From bafa9d95453387814ef25e6b6256ba8db2df612f Mon Sep 17 00:00:00 2001 From: Martin Braun Date: Thu, 23 Jan 2020 16:10:22 -0800 Subject: Merge FPGA repository back into UHD repository MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit The FPGA codebase was removed from the UHD repository in 2014 to reduce the size of the repository. However, over the last half-decade, the split between the repositories has proven more burdensome than it has been helpful. By merging the FPGA code back, it will be possible to create atomic commits that touch both FPGA and UHD codebases. Continuous integration testing is also simplified by merging the repositories, because it was previously difficult to automatically derive the correct UHD branch when testing a feature branch on the FPGA repository. This commit also updates the license files and paths therein. We are therefore merging the repositories again. Future development for FPGA code will happen in the same repository as the UHD host code and MPM code. == Original Codebase and Rebasing == The original FPGA repository will be hosted for the foreseeable future at its original local location: https://github.com/EttusResearch/fpga/ It can be used for bisecting, reference, and a more detailed history. The final commit from said repository to be merged here is 05003794e2da61cabf64dd278c45685a7abad7ec. This commit is tagged as v4.0.0.0-pre-uhd-merge. If you have changes in the FPGA repository that you want to rebase onto the UHD repository, simply run the following commands: - Create a directory to store patches (this should be an empty directory): mkdir ~/patches - Now make sure that your FPGA codebase is based on the same state as the code that was merged: cd src/fpga # Or wherever your FPGA code is stored git rebase v4.0.0.0-pre-uhd-merge Note: The rebase command may look slightly different depending on what exactly you're trying to rebase. - Create a patch set for your changes versus v4.0.0.0-pre-uhd-merge: git format-patch v4.0.0.0-pre-uhd-merge -o ~/patches Note: Make sure that only patches are stored in your output directory. It should otherwise be empty. Make sure that you picked the correct range of commits, and only commits you wanted to rebase were exported as patch files. - Go to the UHD repository and apply the patches: cd src/uhd # Or wherever your UHD repository is stored git am --directory fpga ~/patches/* rm -rf ~/patches # This is for cleanup == Contributors == The following people have contributed mainly to these files (this list is not complete): Co-authored-by: Alex Williams Co-authored-by: Andrej Rode Co-authored-by: Ashish Chaudhari Co-authored-by: Ben Hilburn Co-authored-by: Ciro Nishiguchi Co-authored-by: Daniel Jepson Co-authored-by: Derek Kozel Co-authored-by: EJ Kreinar Co-authored-by: Humberto Jimenez Co-authored-by: Ian Buckley Co-authored-by: Jörg Hofrichter Co-authored-by: Jon Kiser Co-authored-by: Josh Blum Co-authored-by: Jonathon Pendlum Co-authored-by: Martin Braun Co-authored-by: Matt Ettus Co-authored-by: Michael West Co-authored-by: Moritz Fischer Co-authored-by: Nick Foster Co-authored-by: Nicolas Cuervo Co-authored-by: Paul Butler Co-authored-by: Paul David Co-authored-by: Ryan Marlow Co-authored-by: Sugandha Gupta Co-authored-by: Sylvain Munaut Co-authored-by: Trung Tran Co-authored-by: Vidush Vishwanath Co-authored-by: Wade Fife --- fpga/usrp3/top/Makefile.common | 59 + fpga/usrp3/top/b200/.gitignore | 5 + fpga/usrp3/top/b200/Makefile | 97 + fpga/usrp3/top/b200/Makefile.b200.inc | 143 + fpga/usrp3/top/b200/S6CLK2PIN.v | 23 + fpga/usrp3/top/b200/b200.ucf | 245 + fpga/usrp3/top/b200/b200.v | 365 + fpga/usrp3/top/b200/b200_core.v | 423 + fpga/usrp3/top/b200/b200_io.v | 554 + fpga/usrp3/top/b200/check.sh | 1 + fpga/usrp3/top/b200/core_compile | 1 + fpga/usrp3/top/b200/coregen/.gitignore | 5 + .../usrp3/top/b200/coregen/b200_chipscope_icon.asy | 9 + .../b200_chipscope_icon.ucf | 9 + .../b200_chipscope_icon.xdc | 7 + .../usrp3/top/b200/coregen/b200_chipscope_icon.ncf | 0 .../usrp3/top/b200/coregen/b200_chipscope_icon.ngc | 3 + .../usrp3/top/b200/coregen/b200_chipscope_icon.ucf | 9 + fpga/usrp3/top/b200/coregen/b200_chipscope_icon.v | 27 + .../usrp3/top/b200/coregen/b200_chipscope_icon.veo | 28 + .../usrp3/top/b200/coregen/b200_chipscope_icon.xco | 56 + .../usrp3/top/b200/coregen/b200_chipscope_icon.xdc | 7 + .../top/b200/coregen/b200_chipscope_icon_flist.txt | 15 + .../b200/coregen/b200_chipscope_icon_readme.txt | 45 + .../top/b200/coregen/b200_chipscope_icon_xmdf.tcl | 88 + fpga/usrp3/top/b200/coregen/b200_chipscope_ila.asy | 21 + fpga/usrp3/top/b200/coregen/b200_chipscope_ila.cdc | 83 + .../b200_chipscope_ila.ucf | 17 + .../b200_chipscope_ila.xdc | 6 + fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ncf | 0 fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc | 3 + fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ucf | 17 + fpga/usrp3/top/b200/coregen/b200_chipscope_ila.v | 33 + fpga/usrp3/top/b200/coregen/b200_chipscope_ila.veo | 31 + fpga/usrp3/top/b200/coregen/b200_chipscope_ila.xco | 141 + fpga/usrp3/top/b200/coregen/b200_chipscope_ila.xdc | 6 + .../top/b200/coregen/b200_chipscope_ila_flist.txt | 18 + .../top/b200/coregen/b200_chipscope_ila_readme.txt | 48 + .../top/b200/coregen/b200_chipscope_ila_xmdf.tcl | 87 + fpga/usrp3/top/b200/coregen/b200_clk_gen.asy | 33 + fpga/usrp3/top/b200/coregen/b200_clk_gen.ucf | 72 + fpga/usrp3/top/b200/coregen/b200_clk_gen.v | 163 + fpga/usrp3/top/b200/coregen/b200_clk_gen.veo | 83 + fpga/usrp3/top/b200/coregen/b200_clk_gen.xco | 269 + fpga/usrp3/top/b200/coregen/b200_clk_gen.xdc | 68 + .../coregen/b200_clk_gen/clk_wiz_v3_6_readme.txt | 181 + .../b200_clk_gen/doc/clk_wiz_v3_6_readme.txt | 181 + .../b200_clk_gen/doc/clk_wiz_v3_6_vinfo.html | 192 + .../coregen/b200_clk_gen/doc/pg065_clk_wiz.pdf | Bin 0 -> 42657 bytes .../example_design/b200_clk_gen_exdes.ucf | 73 + .../example_design/b200_clk_gen_exdes.v | 180 + .../example_design/b200_clk_gen_exdes.xdc | 70 + .../coregen/b200_clk_gen/implement/implement.bat | 90 + .../coregen/b200_clk_gen/implement/implement.sh | 91 + .../b200_clk_gen/implement/planAhead_ise.bat | 58 + .../b200_clk_gen/implement/planAhead_ise.sh | 59 + .../b200_clk_gen/implement/planAhead_ise.tcl | 78 + .../b200_clk_gen/implement/planAhead_rdn.bat | 58 + .../b200_clk_gen/implement/planAhead_rdn.sh | 57 + .../b200_clk_gen/implement/planAhead_rdn.tcl | 69 + .../b200/coregen/b200_clk_gen/implement/xst.prj | 2 + .../b200/coregen/b200_clk_gen/implement/xst.scr | 9 + .../b200_clk_gen/simulation/b200_clk_gen_tb.v | 146 + .../b200_clk_gen/simulation/functional/simcmds.tcl | 8 + .../simulation/functional/simulate_isim.bat | 59 + .../simulation/functional/simulate_isim.sh | 61 + .../simulation/functional/simulate_mti.bat | 61 + .../simulation/functional/simulate_mti.do | 65 + .../simulation/functional/simulate_mti.sh | 61 + .../simulation/functional/simulate_ncsim.sh | 62 + .../simulation/functional/simulate_vcs.sh | 72 + .../simulation/functional/ucli_commands.key | 5 + .../simulation/functional/vcs_session.tcl | 18 + .../b200_clk_gen/simulation/functional/wave.do | 60 + .../b200_clk_gen/simulation/functional/wave.sv | 119 + .../simulation/timing/b200_clk_gen_tb.v | 160 + .../b200_clk_gen/simulation/timing/sdf_cmd_file | 2 + .../b200_clk_gen/simulation/timing/simcmds.tcl | 9 + .../simulation/timing/simulate_isim.sh | 62 + .../simulation/timing/simulate_mti.bat | 59 + .../b200_clk_gen/simulation/timing/simulate_mti.do | 65 + .../b200_clk_gen/simulation/timing/simulate_mti.sh | 61 + .../simulation/timing/simulate_ncsim.sh | 64 + .../b200_clk_gen/simulation/timing/simulate_vcs.sh | 72 + .../simulation/timing/ucli_commands.key | 5 + .../b200_clk_gen/simulation/timing/vcs_session.tcl | 1 + .../coregen/b200_clk_gen/simulation/timing/wave.do | 72 + fpga/usrp3/top/b200/coregen/b200_clk_gen_flist.txt | 53 + fpga/usrp3/top/b200/coregen/b200_clk_gen_xmdf.tcl | 144 + fpga/usrp3/top/b200/coregen/chipscope_icon.asy | 9 + .../chipscope_icon.constraints/chipscope_icon.ucf | 9 + .../chipscope_icon.constraints/chipscope_icon.xdc | 7 + fpga/usrp3/top/b200/coregen/chipscope_icon.ncf | 0 fpga/usrp3/top/b200/coregen/chipscope_icon.ngc | 3 + fpga/usrp3/top/b200/coregen/chipscope_icon.ucf | 9 + fpga/usrp3/top/b200/coregen/chipscope_icon.v | 27 + fpga/usrp3/top/b200/coregen/chipscope_icon.veo | 28 + fpga/usrp3/top/b200/coregen/chipscope_icon.xco | 56 + fpga/usrp3/top/b200/coregen/chipscope_icon.xdc | 7 + .../top/b200/coregen/chipscope_icon_flist.txt | 16 + .../top/b200/coregen/chipscope_icon_readme.txt | 46 + .../usrp3/top/b200/coregen/chipscope_icon_xmdf.tcl | 88 + fpga/usrp3/top/b200/coregen/chipscope_ila_128.asy | 17 + fpga/usrp3/top/b200/coregen/chipscope_ila_128.cdc | 144 + .../chipscope_ila_128.ucf | 15 + .../chipscope_ila_128.xdc | 6 + fpga/usrp3/top/b200/coregen/chipscope_ila_128.ncf | 0 fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc | 3 + fpga/usrp3/top/b200/coregen/chipscope_ila_128.ucf | 15 + fpga/usrp3/top/b200/coregen/chipscope_ila_128.v | 31 + fpga/usrp3/top/b200/coregen/chipscope_ila_128.veo | 30 + fpga/usrp3/top/b200/coregen/chipscope_ila_128.xco | 141 + fpga/usrp3/top/b200/coregen/chipscope_ila_128.xdc | 6 + .../top/b200/coregen/chipscope_ila_128_flist.txt | 18 + .../top/b200/coregen/chipscope_ila_128_readme.txt | 48 + .../top/b200/coregen/chipscope_ila_128_xmdf.tcl | 87 + fpga/usrp3/top/b200/coregen/chipscope_ila_256.asy | 17 + fpga/usrp3/top/b200/coregen/chipscope_ila_256.cdc | 272 + .../chipscope_ila_256.ucf | 15 + .../chipscope_ila_256.xdc | 6 + fpga/usrp3/top/b200/coregen/chipscope_ila_256.ncf | 0 fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc | 3 + fpga/usrp3/top/b200/coregen/chipscope_ila_256.ucf | 15 + fpga/usrp3/top/b200/coregen/chipscope_ila_256.v | 31 + fpga/usrp3/top/b200/coregen/chipscope_ila_256.veo | 30 + fpga/usrp3/top/b200/coregen/chipscope_ila_256.xco | 141 + fpga/usrp3/top/b200/coregen/chipscope_ila_256.xdc | 6 + .../top/b200/coregen/chipscope_ila_256_flist.txt | 18 + .../top/b200/coregen/chipscope_ila_256_readme.txt | 53 + .../top/b200/coregen/chipscope_ila_256_xmdf.tcl | 87 + fpga/usrp3/top/b200/coregen/chipscope_ila_32.asy | 17 + fpga/usrp3/top/b200/coregen/chipscope_ila_32.cdc | 48 + .../chipscope_ila_32.ucf | 15 + .../chipscope_ila_32.xdc | 6 + fpga/usrp3/top/b200/coregen/chipscope_ila_32.ncf | 0 fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc | 3 + fpga/usrp3/top/b200/coregen/chipscope_ila_32.ucf | 15 + fpga/usrp3/top/b200/coregen/chipscope_ila_32.v | 31 + fpga/usrp3/top/b200/coregen/chipscope_ila_32.veo | 30 + fpga/usrp3/top/b200/coregen/chipscope_ila_32.xco | 141 + fpga/usrp3/top/b200/coregen/chipscope_ila_32.xdc | 6 + .../top/b200/coregen/chipscope_ila_32_flist.txt | 18 + .../top/b200/coregen/chipscope_ila_32_readme.txt | 48 + .../top/b200/coregen/chipscope_ila_32_xmdf.tcl | 87 + fpga/usrp3/top/b200/coregen/coregen.cgp | 9 + fpga/usrp3/top/b200/coregen/fifo_4k_2clk.asy | 49 + fpga/usrp3/top/b200/coregen/fifo_4k_2clk.ncf | 0 fpga/usrp3/top/b200/coregen/fifo_4k_2clk.ngc | 3 + fpga/usrp3/top/b200/coregen/fifo_4k_2clk.v | 491 + fpga/usrp3/top/b200/coregen/fifo_4k_2clk.veo | 79 + fpga/usrp3/top/b200/coregen/fifo_4k_2clk.xco | 213 + .../doc/fifo_generator_v9_3_readme.txt | 236 + .../doc/fifo_generator_v9_3_vinfo.html | 247 + .../fifo_4k_2clk/doc/pg057-fifo-generator.pdf | Bin 0 -> 75348 bytes .../example_design/fifo_4k_2clk_exdes.ucf | 56 + .../example_design/fifo_4k_2clk_exdes.vhd | 145 + .../fifo_4k_2clk/fifo_generator_v9_3_readme.txt | 236 + .../coregen/fifo_4k_2clk/implement/implement.bat | 88 + .../coregen/fifo_4k_2clk/implement/implement.sh | 87 + .../fifo_4k_2clk/implement/implement_synplify.bat | 87 + .../fifo_4k_2clk/implement/implement_synplify.sh | 86 + .../fifo_4k_2clk/implement/planAhead_ise.bat | 54 + .../fifo_4k_2clk/implement/planAhead_ise.sh | 55 + .../fifo_4k_2clk/implement/planAhead_ise.tcl | 67 + .../b200/coregen/fifo_4k_2clk/implement/xst.prj | 1 + .../b200/coregen/fifo_4k_2clk/implement/xst.scr | 13 + .../fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd | 123 + .../simulation/fifo_4k_2clk_dverif.vhd | 150 + .../fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd | 541 + .../fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd | 350 + .../fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd | 100 + .../fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd | 300 + .../fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd | 208 + .../simulation/functional/simulate_isim.bat | 63 + .../simulation/functional/simulate_isim.sh | 65 + .../simulation/functional/simulate_mti.bat | 47 + .../simulation/functional/simulate_mti.do | 74 + .../simulation/functional/simulate_mti.sh | 49 + .../simulation/functional/simulate_ncsim.sh | 69 + .../simulation/functional/simulate_vcs.sh | 69 + .../simulation/functional/ucli_commands.key | 4 + .../simulation/functional/vcs_session.tcl | 77 + .../simulation/functional/wave_isim.tcl | 68 + .../fifo_4k_2clk/simulation/functional/wave_mti.do | 88 + .../simulation/functional/wave_ncsim.sv | 70 + .../simulation/timing/simulate_isim.bat | 61 + .../simulation/timing/simulate_isim.sh | 63 + .../simulation/timing/simulate_mti.bat | 47 + .../fifo_4k_2clk/simulation/timing/simulate_mti.do | 72 + .../fifo_4k_2clk/simulation/timing/simulate_mti.sh | 49 + .../simulation/timing/simulate_ncsim.sh | 73 + .../fifo_4k_2clk/simulation/timing/simulate_vcs.sh | 67 + .../simulation/timing/ucli_commands.key | 4 + .../fifo_4k_2clk/simulation/timing/vcs_session.tcl | 76 + .../fifo_4k_2clk/simulation/timing/wave_isim.tcl | 68 + .../fifo_4k_2clk/simulation/timing/wave_mti.do | 88 + .../fifo_4k_2clk/simulation/timing/wave_ncsim.sv | 70 + fpga/usrp3/top/b200/coregen/fifo_4k_2clk_flist.txt | 56 + fpga/usrp3/top/b200/coregen/fifo_4k_2clk_xmdf.tcl | 251 + fpga/usrp3/top/b200/coregen/fifo_short_2clk.asy | 49 + fpga/usrp3/top/b200/coregen/fifo_short_2clk.ncf | 0 fpga/usrp3/top/b200/coregen/fifo_short_2clk.ngc | 3 + fpga/usrp3/top/b200/coregen/fifo_short_2clk.v | 491 + fpga/usrp3/top/b200/coregen/fifo_short_2clk.veo | 79 + fpga/usrp3/top/b200/coregen/fifo_short_2clk.xco | 213 + .../doc/fifo_generator_v9_3_readme.txt | 236 + .../doc/fifo_generator_v9_3_vinfo.html | 247 + .../fifo_short_2clk/doc/pg057-fifo-generator.pdf | Bin 0 -> 75348 bytes .../example_design/fifo_short_2clk_exdes.ucf | 56 + .../example_design/fifo_short_2clk_exdes.vhd | 145 + .../fifo_short_2clk/fifo_generator_v9_3_readme.txt | 236 + .../fifo_short_2clk/implement/implement.bat | 88 + .../coregen/fifo_short_2clk/implement/implement.sh | 87 + .../implement/implement_synplify.bat | 87 + .../implement/implement_synplify.sh | 86 + .../fifo_short_2clk/implement/planAhead_ise.bat | 54 + .../fifo_short_2clk/implement/planAhead_ise.sh | 55 + .../fifo_short_2clk/implement/planAhead_ise.tcl | 67 + .../b200/coregen/fifo_short_2clk/implement/xst.prj | 1 + .../b200/coregen/fifo_short_2clk/implement/xst.scr | 13 + .../simulation/fifo_short_2clk_dgen.vhd | 123 + .../simulation/fifo_short_2clk_dverif.vhd | 150 + .../simulation/fifo_short_2clk_pctrl.vhd | 541 + .../simulation/fifo_short_2clk_pkg.vhd | 350 + .../simulation/fifo_short_2clk_rng.vhd | 100 + .../simulation/fifo_short_2clk_synth.vhd | 300 + .../simulation/fifo_short_2clk_tb.vhd | 208 + .../simulation/functional/simulate_isim.bat | 63 + .../simulation/functional/simulate_isim.sh | 65 + .../simulation/functional/simulate_mti.bat | 47 + .../simulation/functional/simulate_mti.do | 74 + .../simulation/functional/simulate_mti.sh | 49 + .../simulation/functional/simulate_ncsim.sh | 69 + .../simulation/functional/simulate_vcs.sh | 69 + .../simulation/functional/ucli_commands.key | 4 + .../simulation/functional/vcs_session.tcl | 77 + .../simulation/functional/wave_isim.tcl | 68 + .../simulation/functional/wave_mti.do | 88 + .../simulation/functional/wave_ncsim.sv | 70 + .../simulation/timing/simulate_isim.bat | 61 + .../simulation/timing/simulate_isim.sh | 63 + .../simulation/timing/simulate_mti.bat | 47 + .../simulation/timing/simulate_mti.do | 72 + .../simulation/timing/simulate_mti.sh | 49 + .../simulation/timing/simulate_ncsim.sh | 73 + .../simulation/timing/simulate_vcs.sh | 67 + .../simulation/timing/ucli_commands.key | 4 + .../simulation/timing/vcs_session.tcl | 76 + .../simulation/timing/wave_isim.tcl | 68 + .../fifo_short_2clk/simulation/timing/wave_mti.do | 88 + .../simulation/timing/wave_ncsim.sv | 70 + .../top/b200/coregen/fifo_short_2clk_flist.txt | 57 + .../top/b200/coregen/fifo_short_2clk_xmdf.tcl | 251 + fpga/usrp3/top/b200/coregen_dsp/.gitignore | 6 + fpga/usrp3/top/b200/coregen_dsp/Makefile.srcs | 15 + fpga/usrp3/top/b200/coregen_dsp/coregen.cgp | 9 + fpga/usrp3/top/b200/coregen_dsp/filt2.coe | 36 + fpga/usrp3/top/b200/coregen_dsp/hb31.coe | 32 + fpga/usrp3/top/b200/coregen_dsp/hb35.coe | 36 + fpga/usrp3/top/b200/coregen_dsp/hb39.coe | 40 + fpga/usrp3/top/b200/coregen_dsp/hb43.coe | 44 + fpga/usrp3/top/b200/coregen_dsp/hb47.coe | 51 + fpga/usrp3/top/b200/coregen_dsp/hb51.coe | 52 + fpga/usrp3/top/b200/coregen_dsp/hb55.coe | 56 + fpga/usrp3/top/b200/coregen_dsp/hb59.coe | 60 + fpga/usrp3/top/b200/coregen_dsp/hb63.coe | 67 + fpga/usrp3/top/b200/coregen_dsp/hbdec1.asy | 61 + fpga/usrp3/top/b200/coregen_dsp/hbdec1.mif | 47 + fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc | 3 + fpga/usrp3/top/b200/coregen_dsp/hbdec1.v | 45924 +++++++++++++++ fpga/usrp3/top/b200/coregen_dsp/hbdec1.veo | 79 + fpga/usrp3/top/b200/coregen_dsp/hbdec1.xco | 102 + .../top/b200/coregen_dsp/hbdec1COEFF_auto0_0.mif | 5 + .../top/b200/coregen_dsp/hbdec1COEFF_auto0_1.mif | 5 + .../top/b200/coregen_dsp/hbdec1COEFF_auto0_2.mif | 5 + .../top/b200/coregen_dsp/hbdec1COEFF_auto0_3.mif | 5 + .../top/b200/coregen_dsp/hbdec1COEFF_auto0_4.mif | 5 + .../top/b200/coregen_dsp/hbdec1COEFF_auto0_5.mif | 5 + .../hbdec1COEFF_auto_HALFBAND_CENTRE0.mif | 3 + fpga/usrp3/top/b200/coregen_dsp/hbdec1_flist.txt | 22 + fpga/usrp3/top/b200/coregen_dsp/hbdec1_readme.txt | 78 + .../hbdec1_reload_addrfilt_decode_rom.mif | 17 + .../top/b200/coregen_dsp/hbdec1_reload_order.txt | 25 + fpga/usrp3/top/b200/coregen_dsp/hbdec1_xmdf.tcl | 111 + .../top/b200/coregen_dsp/hbdec1filt_decode_rom.mif | 17 + fpga/usrp3/top/b200/coregen_dsp/hbdec2.asy | 61 + fpga/usrp3/top/b200/coregen_dsp/hbdec2.mif | 47 + fpga/usrp3/top/b200/coregen_dsp/hbdec2.ngc | 3 + fpga/usrp3/top/b200/coregen_dsp/hbdec2.v | 27912 +++++++++ fpga/usrp3/top/b200/coregen_dsp/hbdec2.veo | 79 + fpga/usrp3/top/b200/coregen_dsp/hbdec2.xco | 102 + .../top/b200/coregen_dsp/hbdec2COEFF_auto0_0.mif | 9 + .../top/b200/coregen_dsp/hbdec2COEFF_auto0_1.mif | 9 + .../top/b200/coregen_dsp/hbdec2COEFF_auto0_2.mif | 9 + .../hbdec2COEFF_auto_HALFBAND_CENTRE0.mif | 3 + fpga/usrp3/top/b200/coregen_dsp/hbdec2_flist.txt | 19 + fpga/usrp3/top/b200/coregen_dsp/hbdec2_readme.txt | 75 + .../hbdec2_reload_addrfilt_decode_rom.mif | 17 + .../top/b200/coregen_dsp/hbdec2_reload_order.txt | 25 + fpga/usrp3/top/b200/coregen_dsp/hbdec2_xmdf.tcl | 99 + .../top/b200/coregen_dsp/hbdec2filt_decode_rom.mif | 17 + fpga/usrp3/top/b200/gpio.ucf | 12 + .../planahead.data/cache/b200_ngc_d1c0f267.edif | 59025 +++++++++++++++++++ .../planahead/planahead.data/constrs_1/fileset.xml | 25 + .../b200/planahead/planahead.data/runs/impl_1.psg | 20 + .../planahead.data/runs/impl_1/constrs_in.xml | 25 + .../planahead.data/runs/impl_1/constrs_out.xml | 20 + .../planahead.data/runs/impl_1/impl_1.psg | 20 + .../planahead.data/runs/impl_1/sources.xml | 18 + .../b200/planahead/planahead.data/runs/runs.xml | 30 + .../planahead/planahead.data/sim_1/fileset.xml | 10 + .../planahead/planahead.data/sources_1/fileset.xml | 26 + .../planahead.data/wt/java_command_handlers.wdf | 12 + .../b200/planahead/planahead.data/wt/project.wpc | 3 + .../planahead/planahead.data/wt/webtalk_pa.xml | 38 + fpga/usrp3/top/b200/planahead/planahead.ppr | 28 + .../b200/planahead/planahead.runs/.jobs/job1.bat | 21 + .../b200/planahead/planahead.runs/.jobs/job1.sh | 26 + .../planahead.runs/impl_1/.constrs/b200.ucf | 317 + .../planahead.runs/impl_1/.constrs/timing.ucf | 85 + .../planahead/planahead.runs/impl_1/.map.begin.rst | 0 .../planahead/planahead.runs/impl_1/.map.end.rst | 0 .../planahead.runs/impl_1/.ngdbuild.begin.rst | 0 .../planahead.runs/impl_1/.ngdbuild.end.rst | 0 .../planahead/planahead.runs/impl_1/.par.begin.rst | 0 .../planahead/planahead.runs/impl_1/.par.end.rst | 0 .../planahead.runs/impl_1/.trce.begin.rst | 0 .../planahead/planahead.runs/impl_1/.trce.end.rst | 0 .../planahead/planahead.runs/impl_1/.xdl.begin.rst | 0 .../planahead/planahead.runs/impl_1/.xdl.end.rst | 0 .../planahead/planahead.runs/impl_1/ISEWrap.js | 196 + .../planahead/planahead.runs/impl_1/ISEWrap.sh | 62 + .../b200/planahead/planahead.runs/impl_1/b200.edf | 51815 ++++++++++++++++ .../b200/planahead/planahead.runs/impl_1/b200.ncd | 3 + .../b200/planahead/planahead.runs/impl_1/b200.twx | 341 + .../b200/planahead/planahead.runs/impl_1/b200.ucf | 415 + .../b200/planahead/planahead.runs/impl_1/b200.xdl | Bin 0 -> 198029 bytes .../b200/planahead/planahead.runs/impl_1/htr.txt | 13 + .../b200/planahead/planahead.runs/impl_1/rundef.js | 48 + .../b200/planahead/planahead.runs/impl_1/runme.bat | 11 + .../b200/planahead/planahead.runs/impl_1/runme.log | 4 + .../b200/planahead/planahead.runs/impl_1/runme.sh | 43 + .../planahead.srcs/constrs_1/imports/b200/b200.ucf | 241 + .../constrs_1/imports/b200/timing.ucf | 44 + .../sources_1/imports/coregen/fifo_4k_2clk.ngc | 3 + fpga/usrp3/top/b200/sim/b200_io_tb.v | 155 + fpga/usrp3/top/b200/sim/b200_tb.v | 213 + fpga/usrp3/top/b200/sim/b2x0/sim_b2x0_1/run_isim | 22 + fpga/usrp3/top/b200/sim/sim_b200_io/mimo/mimo.wcfg | 112 + .../b200/sim/sim_b200_io/mimo/simulation_script.v | 14 + fpga/usrp3/top/b200/sim/sim_b200_io/run_isim | 22 + .../b200/sim/sim_b200_io/siso/simulation_script.v | 13 + fpga/usrp3/top/b200/sim/sim_b200_io/siso/siso.wcfg | 64 + fpga/usrp3/top/b200/timing.ucf | 46 + fpga/usrp3/top/b2xxmini/.gitignore | 7 + fpga/usrp3/top/b2xxmini/Makefile | 91 + fpga/usrp3/top/b2xxmini/Makefile.b205.inc | 129 + fpga/usrp3/top/b2xxmini/b205.ucf | 157 + fpga/usrp3/top/b2xxmini/b205.v | 298 + fpga/usrp3/top/b2xxmini/b205_core.v | 250 + fpga/usrp3/top/b2xxmini/b205_io.v | 459 + fpga/usrp3/top/b2xxmini/b205_ref_pll.v | 278 + fpga/usrp3/top/b2xxmini/coregen/.gitignore | 5 + fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.asy | 29 + fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.ucf | 59 + fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.v | 158 + fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.veo | 82 + fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.xco | 269 + fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.xdc | 67 + .../coregen/b205_clk_gen/clk_wiz_v3_6_readme.txt | 184 + .../b205_clk_gen/doc/clk_wiz_v3_6_readme.txt | 184 + .../b205_clk_gen/doc/clk_wiz_v3_6_vinfo.html | 195 + .../coregen/b205_clk_gen/doc/pg065_clk_wiz.pdf | Bin 0 -> 42657 bytes .../example_design/b205_clk_gen_exdes.ucf | 60 + .../example_design/b205_clk_gen_exdes.v | 178 + .../example_design/b205_clk_gen_exdes.xdc | 69 + .../coregen/b205_clk_gen/implement/implement.bat | 90 + .../coregen/b205_clk_gen/implement/implement.sh | 91 + .../b205_clk_gen/implement/planAhead_ise.bat | 58 + .../b205_clk_gen/implement/planAhead_ise.sh | 59 + .../b205_clk_gen/implement/planAhead_ise.tcl | 78 + .../b205_clk_gen/implement/planAhead_rdn.bat | 58 + .../b205_clk_gen/implement/planAhead_rdn.sh | 57 + .../b205_clk_gen/implement/planAhead_rdn.tcl | 69 + .../coregen/b205_clk_gen/implement/xst.prj | 2 + .../coregen/b205_clk_gen/implement/xst.scr | 9 + .../b205_clk_gen/simulation/b205_clk_gen_tb.v | 143 + .../b205_clk_gen/simulation/functional/simcmds.tcl | 8 + .../simulation/functional/simulate_isim.bat | 59 + .../simulation/functional/simulate_isim.sh | 61 + .../simulation/functional/simulate_mti.bat | 61 + .../simulation/functional/simulate_mti.do | 65 + .../simulation/functional/simulate_mti.sh | 61 + .../simulation/functional/simulate_ncsim.sh | 62 + .../simulation/functional/simulate_vcs.sh | 72 + .../simulation/functional/ucli_commands.key | 5 + .../simulation/functional/vcs_session.tcl | 18 + .../b205_clk_gen/simulation/functional/wave.do | 60 + .../b205_clk_gen/simulation/functional/wave.sv | 119 + .../simulation/timing/b205_clk_gen_tb.v | 157 + .../b205_clk_gen/simulation/timing/sdf_cmd_file | 2 + .../b205_clk_gen/simulation/timing/simcmds.tcl | 9 + .../simulation/timing/simulate_isim.sh | 62 + .../simulation/timing/simulate_mti.bat | 59 + .../b205_clk_gen/simulation/timing/simulate_mti.do | 65 + .../b205_clk_gen/simulation/timing/simulate_mti.sh | 61 + .../simulation/timing/simulate_ncsim.sh | 64 + .../b205_clk_gen/simulation/timing/simulate_vcs.sh | 72 + .../simulation/timing/ucli_commands.key | 5 + .../b205_clk_gen/simulation/timing/vcs_session.tcl | 1 + .../coregen/b205_clk_gen/simulation/timing/wave.do | 72 + .../top/b2xxmini/coregen/b205_clk_gen_flist.txt | 54 + .../top/b2xxmini/coregen/b205_clk_gen_xmdf.tcl | 140 + fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.asy | 9 + .../chipscope_icon.constraints/chipscope_icon.ucf | 9 + .../chipscope_icon.constraints/chipscope_icon.xdc | 7 + fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ncf | 0 fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ngc | 3 + fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ucf | 9 + fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.v | 27 + fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.veo | 28 + fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.xco | 56 + fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.xdc | 7 + .../top/b2xxmini/coregen/chipscope_icon_flist.txt | 15 + .../top/b2xxmini/coregen/chipscope_icon_readme.txt | 45 + .../top/b2xxmini/coregen/chipscope_icon_xmdf.tcl | 88 + fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.asy | 17 + fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.cdc | 144 + .../chipscope_ila.constraints/chipscope_ila.ucf | 15 + .../chipscope_ila.constraints/chipscope_ila.xdc | 6 + fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ncf | 15 + fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc | 3 + fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ucf | 15 + fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.v | 31 + fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.veo | 30 + fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.xco | 141 + fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.xdc | 6 + .../top/b2xxmini/coregen/chipscope_ila_flist.txt | 18 + .../top/b2xxmini/coregen/chipscope_ila_readme.txt | 48 + .../top/b2xxmini/coregen/chipscope_ila_xmdf.tcl | 87 + fpga/usrp3/top/b2xxmini/coregen/coregen.cgp | 9 + fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.asy | 49 + fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.ncf | 0 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.ngc | 3 + fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.v | 491 + fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.veo | 79 + fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.xco | 213 + .../doc/fifo_generator_v9_3_readme.txt | 236 + .../doc/fifo_generator_v9_3_vinfo.html | 247 + .../fifo_4k_2clk/doc/pg057-fifo-generator.pdf | Bin 0 -> 75348 bytes .../example_design/fifo_4k_2clk_exdes.ucf | 56 + .../example_design/fifo_4k_2clk_exdes.vhd | 145 + .../fifo_4k_2clk/fifo_generator_v9_3_readme.txt | 236 + .../coregen/fifo_4k_2clk/implement/implement.bat | 88 + .../coregen/fifo_4k_2clk/implement/implement.sh | 87 + .../fifo_4k_2clk/implement/implement_synplify.bat | 87 + .../fifo_4k_2clk/implement/implement_synplify.sh | 86 + .../fifo_4k_2clk/implement/planAhead_ise.bat | 54 + .../fifo_4k_2clk/implement/planAhead_ise.sh | 55 + .../fifo_4k_2clk/implement/planAhead_ise.tcl | 67 + .../coregen/fifo_4k_2clk/implement/xst.prj | 1 + .../coregen/fifo_4k_2clk/implement/xst.scr | 13 + .../fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd | 123 + .../simulation/fifo_4k_2clk_dverif.vhd | 150 + .../fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd | 541 + .../fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd | 350 + .../fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd | 100 + .../fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd | 300 + .../fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd | 208 + .../simulation/functional/simulate_isim.bat | 63 + .../simulation/functional/simulate_isim.sh | 65 + .../simulation/functional/simulate_mti.bat | 47 + .../simulation/functional/simulate_mti.do | 74 + .../simulation/functional/simulate_mti.sh | 49 + .../simulation/functional/simulate_ncsim.sh | 69 + .../simulation/functional/simulate_vcs.sh | 69 + .../simulation/functional/ucli_commands.key | 4 + .../simulation/functional/vcs_session.tcl | 77 + .../simulation/functional/wave_isim.tcl | 68 + .../fifo_4k_2clk/simulation/functional/wave_mti.do | 88 + .../simulation/functional/wave_ncsim.sv | 70 + .../simulation/timing/simulate_isim.bat | 61 + .../simulation/timing/simulate_isim.sh | 63 + .../simulation/timing/simulate_mti.bat | 47 + .../fifo_4k_2clk/simulation/timing/simulate_mti.do | 72 + .../fifo_4k_2clk/simulation/timing/simulate_mti.sh | 49 + .../simulation/timing/simulate_ncsim.sh | 73 + .../fifo_4k_2clk/simulation/timing/simulate_vcs.sh | 67 + .../simulation/timing/ucli_commands.key | 4 + .../fifo_4k_2clk/simulation/timing/vcs_session.tcl | 76 + .../fifo_4k_2clk/simulation/timing/wave_isim.tcl | 68 + .../fifo_4k_2clk/simulation/timing/wave_mti.do | 88 + .../fifo_4k_2clk/simulation/timing/wave_ncsim.sv | 70 + .../top/b2xxmini/coregen/fifo_4k_2clk_flist.txt | 57 + .../top/b2xxmini/coregen/fifo_4k_2clk_xmdf.tcl | 251 + .../usrp3/top/b2xxmini/coregen/fifo_short_2clk.asy | 49 + .../usrp3/top/b2xxmini/coregen/fifo_short_2clk.ncf | 0 .../usrp3/top/b2xxmini/coregen/fifo_short_2clk.ngc | 3 + fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.v | 491 + .../usrp3/top/b2xxmini/coregen/fifo_short_2clk.veo | 79 + .../usrp3/top/b2xxmini/coregen/fifo_short_2clk.xco | 213 + .../doc/fifo_generator_v9_3_readme.txt | 236 + .../doc/fifo_generator_v9_3_vinfo.html | 247 + .../fifo_short_2clk/doc/pg057-fifo-generator.pdf | Bin 0 -> 75348 bytes .../example_design/fifo_short_2clk_exdes.ucf | 56 + .../example_design/fifo_short_2clk_exdes.vhd | 145 + .../fifo_short_2clk/fifo_generator_v9_3_readme.txt | 236 + .../fifo_short_2clk/implement/implement.bat | 88 + .../coregen/fifo_short_2clk/implement/implement.sh | 87 + .../implement/implement_synplify.bat | 87 + .../implement/implement_synplify.sh | 86 + .../fifo_short_2clk/implement/planAhead_ise.bat | 54 + .../fifo_short_2clk/implement/planAhead_ise.sh | 55 + .../fifo_short_2clk/implement/planAhead_ise.tcl | 67 + .../coregen/fifo_short_2clk/implement/xst.prj | 1 + .../coregen/fifo_short_2clk/implement/xst.scr | 13 + .../simulation/fifo_short_2clk_dgen.vhd | 123 + .../simulation/fifo_short_2clk_dverif.vhd | 150 + .../simulation/fifo_short_2clk_pctrl.vhd | 541 + .../simulation/fifo_short_2clk_pkg.vhd | 350 + .../simulation/fifo_short_2clk_rng.vhd | 100 + .../simulation/fifo_short_2clk_synth.vhd | 300 + .../simulation/fifo_short_2clk_tb.vhd | 208 + .../simulation/functional/simulate_isim.bat | 63 + .../simulation/functional/simulate_isim.sh | 65 + .../simulation/functional/simulate_mti.bat | 47 + .../simulation/functional/simulate_mti.do | 74 + .../simulation/functional/simulate_mti.sh | 49 + .../simulation/functional/simulate_ncsim.sh | 69 + .../simulation/functional/simulate_vcs.sh | 69 + .../simulation/functional/ucli_commands.key | 4 + .../simulation/functional/vcs_session.tcl | 77 + .../simulation/functional/wave_isim.tcl | 68 + .../simulation/functional/wave_mti.do | 88 + .../simulation/functional/wave_ncsim.sv | 70 + .../simulation/timing/simulate_isim.bat | 61 + .../simulation/timing/simulate_isim.sh | 63 + .../simulation/timing/simulate_mti.bat | 47 + .../simulation/timing/simulate_mti.do | 72 + .../simulation/timing/simulate_mti.sh | 49 + .../simulation/timing/simulate_ncsim.sh | 73 + .../simulation/timing/simulate_vcs.sh | 67 + .../simulation/timing/ucli_commands.key | 4 + .../simulation/timing/vcs_session.tcl | 76 + .../simulation/timing/wave_isim.tcl | 68 + .../fifo_short_2clk/simulation/timing/wave_mti.do | 88 + .../simulation/timing/wave_ncsim.sv | 70 + .../top/b2xxmini/coregen/fifo_short_2clk_flist.txt | 57 + .../top/b2xxmini/coregen/fifo_short_2clk_xmdf.tcl | 251 + fpga/usrp3/top/b2xxmini/coregen_dsp/.gitignore | 6 + fpga/usrp3/top/b2xxmini/coregen_dsp/Makefile.srcs | 15 + fpga/usrp3/top/b2xxmini/coregen_dsp/coregen.cgp | 9 + fpga/usrp3/top/b2xxmini/coregen_dsp/filt2.coe | 36 + fpga/usrp3/top/b2xxmini/coregen_dsp/hb31.coe | 32 + fpga/usrp3/top/b2xxmini/coregen_dsp/hb35.coe | 36 + fpga/usrp3/top/b2xxmini/coregen_dsp/hb39.coe | 40 + fpga/usrp3/top/b2xxmini/coregen_dsp/hb43.coe | 44 + fpga/usrp3/top/b2xxmini/coregen_dsp/hb47.coe | 51 + fpga/usrp3/top/b2xxmini/coregen_dsp/hb51.coe | 52 + fpga/usrp3/top/b2xxmini/coregen_dsp/hb55.coe | 56 + fpga/usrp3/top/b2xxmini/coregen_dsp/hb59.coe | 60 + fpga/usrp3/top/b2xxmini/coregen_dsp/hb63.coe | 67 + fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.asy | 61 + fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.mif | 47 + fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc | 3 + fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.v | 45924 +++++++++++++++ fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.veo | 79 + fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.xco | 102 + .../b2xxmini/coregen_dsp/hbdec1COEFF_auto0_0.mif | 5 + .../b2xxmini/coregen_dsp/hbdec1COEFF_auto0_1.mif | 5 + .../b2xxmini/coregen_dsp/hbdec1COEFF_auto0_2.mif | 5 + .../b2xxmini/coregen_dsp/hbdec1COEFF_auto0_3.mif | 5 + .../b2xxmini/coregen_dsp/hbdec1COEFF_auto0_4.mif | 5 + .../b2xxmini/coregen_dsp/hbdec1COEFF_auto0_5.mif | 5 + .../hbdec1COEFF_auto_HALFBAND_CENTRE0.mif | 3 + .../top/b2xxmini/coregen_dsp/hbdec1_flist.txt | 22 + .../top/b2xxmini/coregen_dsp/hbdec1_readme.txt | 76 + .../hbdec1_reload_addrfilt_decode_rom.mif | 17 + .../b2xxmini/coregen_dsp/hbdec1_reload_order.txt | 25 + .../usrp3/top/b2xxmini/coregen_dsp/hbdec1_xmdf.tcl | 111 + .../b2xxmini/coregen_dsp/hbdec1filt_decode_rom.mif | 17 + fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.asy | 61 + fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.mif | 47 + fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.ngc | 3 + fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.v | 27912 +++++++++ fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.veo | 79 + fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.xco | 102 + .../b2xxmini/coregen_dsp/hbdec2COEFF_auto0_0.mif | 9 + .../b2xxmini/coregen_dsp/hbdec2COEFF_auto0_1.mif | 9 + .../b2xxmini/coregen_dsp/hbdec2COEFF_auto0_2.mif | 9 + .../hbdec2COEFF_auto_HALFBAND_CENTRE0.mif | 3 + .../top/b2xxmini/coregen_dsp/hbdec2_flist.txt | 19 + .../top/b2xxmini/coregen_dsp/hbdec2_readme.txt | 73 + .../hbdec2_reload_addrfilt_decode_rom.mif | 17 + .../b2xxmini/coregen_dsp/hbdec2_reload_order.txt | 25 + .../usrp3/top/b2xxmini/coregen_dsp/hbdec2_xmdf.tcl | 99 + .../b2xxmini/coregen_dsp/hbdec2filt_decode_rom.mif | 17 + fpga/usrp3/top/b2xxmini/timing.ucf | 38 + fpga/usrp3/top/e31x/Makefile | 101 + fpga/usrp3/top/e31x/Makefile.e31x.inc | 111 + fpga/usrp3/top/e31x/axi_pmu.v | 250 + fpga/usrp3/top/e31x/build_e31x.tcl | 39 + fpga/usrp3/top/e31x/dts/dma-common.dtsi | 302 + fpga/usrp3/top/e31x/dts/e31x-common.dtsi | 27 + fpga/usrp3/top/e31x/dts/e31x-pmu.dtsi | 29 + fpga/usrp3/top/e31x/dts/e31x-sg1-fpga.dtsi | 9 + fpga/usrp3/top/e31x/dts/e31x-sg1-idle-fpga.dtsi | 9 + fpga/usrp3/top/e31x/dts/e31x-sg3-fpga.dtsi | 9 + fpga/usrp3/top/e31x/dts/e31x-sg3-idle-fpga.dtsi | 9 + fpga/usrp3/top/e31x/dts/usrp_e310_sg1_fpga.dts | 13 + .../usrp3/top/e31x/dts/usrp_e310_sg1_idle_fpga.dts | 11 + fpga/usrp3/top/e31x/dts/usrp_e310_sg3_fpga.dts | 13 + .../usrp3/top/e31x/dts/usrp_e310_sg3_idle_fpga.dts | 11 + fpga/usrp3/top/e31x/e310_io.v | 139 + fpga/usrp3/top/e31x/e310_static_router.hex | 5 + fpga/usrp3/top/e31x/e31x.v | 882 + fpga/usrp3/top/e31x/e31x_core.v | 681 + fpga/usrp3/top/e31x/e31x_idle.v | 473 + fpga/usrp3/top/e31x/e31x_idle_pins.xdc | 507 + fpga/usrp3/top/e31x/e31x_pins.xdc | 507 + fpga/usrp3/top/e31x/e31x_rfnoc_image_core.v | 461 + fpga/usrp3/top/e31x/e31x_rfnoc_image_core.yml | 54 + fpga/usrp3/top/e31x/e31x_timing.xdc | 157 + fpga/usrp3/top/e31x/ip/.gitignore | 2 + fpga/usrp3/top/e31x/ip/Makefile.inc | 68 + fpga/usrp3/top/e31x/ip/e31x_ps_bd/Makefile.inc | 35 + .../top/e31x/ip/e31x_ps_bd/chdr_dma_frame_size.tcl | 59 + fpga/usrp3/top/e31x/ip/e31x_ps_bd/chdr_dma_rx.tcl | 339 + fpga/usrp3/top/e31x/ip/e31x_ps_bd/chdr_dma_top.tcl | 159 + fpga/usrp3/top/e31x/ip/e31x_ps_bd/chdr_dma_tx.tcl | 193 + fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl | 432 + fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl | 720 + fpga/usrp3/top/e31x/ip/e31x_ps_bd/ps7_init.c | 13335 +++++ .../top/e31x/ip/e31x_ps_bd/ps7_init_gpl-sg1.c | 1087 + .../top/e31x/ip/e31x_ps_bd/ps7_init_gpl-sg3.c | 1087 + fpga/usrp3/top/e31x/ip/e31x_ps_bd/ps7_init_gpl.c | 13326 +++++ fpga/usrp3/top/e31x/ip/fifo_4k_2clk/Makefile.inc | 15 + .../top/e31x/ip/fifo_4k_2clk/fifo_4k_2clk.xci | 575 + .../usrp3/top/e31x/ip/fifo_short_2clk/Makefile.inc | 15 + .../e31x/ip/fifo_short_2clk/fifo_short_2clk.xci | 577 + fpga/usrp3/top/e31x/ip/mig_7series_0/Makefile.inc | 32 + .../top/e31x/ip/mig_7series_0/mig_7series_0.xci | 2648 + .../e31x/ip/mig_7series_0/mig_xc7z020clg484-1.prj | 140 + .../e31x/ip/mig_7series_0/mig_xc7z020clg484-3.prj | 140 + fpga/usrp3/top/e31x/ppsloop.v | 415 + fpga/usrp3/top/e31x/setupenv.sh | 11 + fpga/usrp3/top/e31x/sim/dram_test/Makefile | 65 + fpga/usrp3/top/e31x/sim/dram_test/dram_test_tb.sv | 121 + fpga/usrp3/top/e31x/sim/e310_io_tb/Makefile | 40 + fpga/usrp3/top/e31x/sim/e310_io_tb/e310_io_tb.sv | 230 + .../e31x/sim/e3x0/catcap_ddr_cmos/catcap_tb.build | 21 + .../top/e31x/sim/e3x0/catcap_ddr_cmos/catcap_tb.v | 114 + .../e31x/sim/e3x0/catgen_ddr_cmos/catgen_tb.build | 21 + .../top/e31x/sim/e3x0/catgen_ddr_cmos/catgen_tb.v | 102 + fpga/usrp3/top/e31x/spi_slave.v | 107 + fpga/usrp3/top/e320/.gitignore | 8 + fpga/usrp3/top/e320/Makefile | 100 + fpga/usrp3/top/e320/Makefile.e320.inc | 141 + fpga/usrp3/top/e320/build_e320.tcl | 39 + fpga/usrp3/top/e320/dev_config.json | 3 + fpga/usrp3/top/e320/dts/dma-common.dtsi | 358 + fpga/usrp3/top/e320/dts/e320-common.dtsi | 46 + fpga/usrp3/top/e320/dts/e320-fpga.dtsi | 8 + fpga/usrp3/top/e320/dts/usrp_e320_fpga_1G.dts | 47 + fpga/usrp3/top/e320/dts/usrp_e320_fpga_AA.dts | 22 + fpga/usrp3/top/e320/dts/usrp_e320_fpga_XG.dts | 47 + fpga/usrp3/top/e320/e320.v | 1739 + fpga/usrp3/top/e320/e320_10ge.xdc | 16 + fpga/usrp3/top/e320/e320_10ge_port0.xdc | 7 + fpga/usrp3/top/e320/e320_1ge.xdc | 15 + fpga/usrp3/top/e320/e320_aurora.xdc | 13 + fpga/usrp3/top/e320/e320_clocking.v | 220 + fpga/usrp3/top/e320/e320_core.v | 1103 + fpga/usrp3/top/e320/e320_dram.xdc | 27 + fpga/usrp3/top/e320/e320_rfnoc_image_core.v | 994 + fpga/usrp3/top/e320/e320_rfnoc_image_core.yml | 101 + fpga/usrp3/top/e320/e320_static_router.hex | 13 + fpga/usrp3/top/e320/ip/Makefile.inc | 81 + .../top/e320/ip/aurora_64b66b_pcs_pma/Makefile.inc | 30 + .../aurora_64b66b_pcs_pma.xci | 1774 + .../ip/aurora_64b66b_pcs_pma/aurora_axis_mac.v | 329 + .../e320/ip/aurora_64b66b_pcs_pma/aurora_phy_x1.v | 370 + .../top/e320/ip/axi64_4k_2clk_fifo/Makefile.inc | 15 + .../ip/axi64_4k_2clk_fifo/axi64_4k_2clk_fifo.xci | 584 + .../top/e320/ip/axi64_8k_2clk_fifo/Makefile.inc | 15 + .../ip/axi64_8k_2clk_fifo/axi64_8k_2clk_fifo.xci | 582 + fpga/usrp3/top/e320/ip/axi_eth_dma/Makefile.inc | 14 + fpga/usrp3/top/e320/ip/axi_eth_dma/axi_eth_dma.xci | 412 + .../e320/ip/axi_intercon_4x64_256_bd/Makefile.inc | 17 + .../axi_intercon_4x64_256_bd.bd | 2191 + .../axi_intercon_4x64_256_bd.bxml | 123 + .../axi_intercon_4x64_256_bd_wrapper.v | 419 + fpga/usrp3/top/e320/ip/ddr3_32bit/Makefile.inc | 26 + fpga/usrp3/top/e320/ip/ddr3_32bit/ddr3_32bit.xci | 2648 + .../top/e320/ip/ddr3_32bit/mig_xc7z045ffg900-3.prj | 161 + fpga/usrp3/top/e320/ip/e320_ps_bd/Makefile.inc | 35 + .../top/e320/ip/e320_ps_bd/chdr_dma_frame_size.tcl | 59 + fpga/usrp3/top/e320/ip/e320_ps_bd/chdr_dma_rx.tcl | 339 + fpga/usrp3/top/e320/ip/e320_ps_bd/chdr_dma_top.tcl | 159 + fpga/usrp3/top/e320/ip/e320_ps_bd/chdr_dma_tx.tcl | 193 + fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.pdf | 7164 +++ fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl | 823 + fpga/usrp3/top/e320/ip/e320_ps_bd/ps7_init.c | 13335 +++++ fpga/usrp3/top/e320/ip/e320_ps_bd/ps7_init_gpl.c | 13326 +++++ fpga/usrp3/top/e320/ip/fifo_4k_2clk/Makefile.inc | 15 + .../top/e320/ip/fifo_4k_2clk/fifo_4k_2clk.xci | 576 + .../usrp3/top/e320/ip/fifo_short_2clk/Makefile.inc | 15 + .../e320/ip/fifo_short_2clk/fifo_short_2clk.xci | 578 + .../top/e320/ip/one_gig_eth_pcs_pma/Makefile.inc | 49 + .../ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma.xci | 352 + .../one_gig_eth_pcs_pma_clocking.v.patch | 25 + .../one_gig_eth_pcs_pma_support.v.patch | 17 + .../top/e320/ip/one_gig_eth_pcs_pma/one_gige_phy.v | 102 + .../top/e320/ip/ten_gig_eth_pcs_pma/Makefile.inc | 42 + .../ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.xci | 200 + .../top/e320/ip/ten_gig_eth_pcs_pma/ten_gige_phy.v | 249 + .../ip/ten_gig_eth_pcs_pma/ten_gige_phy_clk_gen.v | 37 + fpga/usrp3/top/e320/mb_pins.xdc | 473 + fpga/usrp3/top/e320/mb_timing.xdc | 360 + fpga/usrp3/top/e320/n3xx_mgt_io_core.v | 654 + fpga/usrp3/top/e320/n3xx_sfp_wrapper.v | 484 + fpga/usrp3/top/e320/setupenv.sh | 10 + fpga/usrp3/top/n3xx/.gitignore | 8 + fpga/usrp3/top/n3xx/Makefile | 191 + fpga/usrp3/top/n3xx/Makefile.n3xx.inc | 161 + fpga/usrp3/top/n3xx/WrapBufg.vhd | 172 + fpga/usrp3/top/n3xx/build_n3xx.tcl | 39 + fpga/usrp3/top/n3xx/coregen_dsp/.gitignore | 4 + fpga/usrp3/top/n3xx/coregen_dsp/.lso | 1 + fpga/usrp3/top/n3xx/coregen_dsp/Makefile.srcs | 17 + fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc | 3 + fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.v | 39998 +++++++++++++ fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc | 3 + fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.v | 24109 ++++++++ fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.ngc | 3 + fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.v | 20014 +++++++ fpga/usrp3/top/n3xx/dboards/common/Makefile.srcs | 18 + fpga/usrp3/top/n3xx/dboards/common/PkgRegs.vhd | 314 + .../top/n3xx/dboards/common/sync/CrossTrigger.vhd | 414 + fpga/usrp3/top/n3xx/dboards/common/sync/Pulser.vhd | 362 + .../top/n3xx/dboards/common/sync/SyncRegsIfc.edf | 23420 ++++++++ .../usrp3/top/n3xx/dboards/common/sync/TdcCore.edf | Bin 0 -> 55104 bytes fpga/usrp3/top/n3xx/dboards/common/sync/TdcTop.vhd | 1147 + .../top/n3xx/dboards/common/sync/TdcWrapper.vhd | 397 + fpga/usrp3/top/n3xx/dboards/mg/Makefile.srcs | 34 + fpga/usrp3/top/n3xx/dboards/mg/cpld/Makefile | 22 + fpga/usrp3/top/n3xx/dboards/mg/cpld/PkgMgCpld.vhd | 424 + fpga/usrp3/top/n3xx/dboards/mg/cpld/PkgSetup.vhd | 259 + fpga/usrp3/top/n3xx/dboards/mg/cpld/Timing.sdc | 160 + fpga/usrp3/top/n3xx/dboards/mg/cpld/TopCpld.qpf | 31 + fpga/usrp3/top/n3xx/dboards/mg/cpld/TopCpld.qsf | 313 + fpga/usrp3/top/n3xx/dboards/mg/cpld/TopCpld.vhd | 1228 + fpga/usrp3/top/n3xx/dboards/mg/db0_pins.xdc | 156 + fpga/usrp3/top/n3xx/dboards/mg/db1_pins.xdc | 156 + .../top/n3xx/dboards/mg/db_ifc/ClockingRegs.vhd | 345 + .../n3xx/dboards/mg/db_ifc/DaughterboardRegs.vhd | 116 + fpga/usrp3/top/n3xx/dboards/mg/db_ifc/DbCore.vhd | 562 + .../n3xx/dboards/mg/db_ifc/Jesd204bXcvrCore.edf | Bin 0 -> 216640 bytes .../dboards/mg/db_ifc/Jesd204bXcvrCore_stub.vhd | 56 + .../n3xx/dboards/mg/db_ifc/PkgClockingRegMap.vhd | 107 + .../dboards/mg/db_ifc/PkgDaughterboardRegMap.vhd | 56 + .../top/n3xx/dboards/mg/db_ifc/PkgJesdConfig.vhd | 234 + .../n3xx/dboards/mg/db_ifc/PkgMgPersonality.vhd | 61 + .../top/n3xx/dboards/mg/db_ifc/RadioClocking.vhd | 304 + fpga/usrp3/top/n3xx/dboards/mg/db_timing.xdc | 347 + fpga/usrp3/top/n3xx/dboards/mg/doc/CPLD.md | 2 + fpga/usrp3/top/n3xx/dboards/mg/doc/mg_timing.xlsx | Bin 0 -> 525021 bytes fpga/usrp3/top/n3xx/dboards/mg/n3xx.v | 3915 ++ fpga/usrp3/top/n3xx/dboards/rh/Makefile.srcs | 32 + fpga/usrp3/top/n3xx/dboards/rh/cpld/Makefile | 27 + fpga/usrp3/top/n3xx/dboards/rh/cpld/rh_tb.v | 410 + .../top/n3xx/dboards/rh/cpld/rhodium_gain_ctrl.v | 203 + .../top/n3xx/dboards/rh/cpld/rhodium_gain_table.v | 54 + .../top/n3xx/dboards/rh/cpld/rhodium_lo_gain.v | 124 + .../usrp3/top/n3xx/dboards/rh/cpld/rhodium_top.qpf | 31 + .../usrp3/top/n3xx/dboards/rh/cpld/rhodium_top.qsf | 306 + .../usrp3/top/n3xx/dboards/rh/cpld/rhodium_top.sdc | 415 + fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_top.v | 605 + fpga/usrp3/top/n3xx/dboards/rh/db_clocks.xdc | 118 + .../top/n3xx/dboards/rh/db_ifc/ClockingRegs.vhd | 345 + .../n3xx/dboards/rh/db_ifc/DaughterboardRegs.vhd | 116 + fpga/usrp3/top/n3xx/dboards/rh/db_ifc/DbCore.vhd | 563 + .../n3xx/dboards/rh/db_ifc/Jesd204bXcvrCore.edf | Bin 0 -> 220528 bytes .../dboards/rh/db_ifc/Jesd204bXcvrCore_stub.vhd | 54 + .../dboards/rh/db_ifc/PkgAdcDacInterfaceTypes.vhd | 302 + .../n3xx/dboards/rh/db_ifc/PkgClockingRegMap.vhd | 107 + .../dboards/rh/db_ifc/PkgDaughterboardRegMap.vhd | 56 + .../top/n3xx/dboards/rh/db_ifc/PkgJesdConfig.vhd | 165 + .../n3xx/dboards/rh/db_ifc/PkgRhPersonality.vhd | 62 + .../top/n3xx/dboards/rh/db_ifc/RadioClocking.vhd | 305 + fpga/usrp3/top/n3xx/dboards/rh/db_pins.xdc | 280 + fpga/usrp3/top/n3xx/dboards/rh/db_timing.xdc | 264 + fpga/usrp3/top/n3xx/dboards/rh/doc/rh_timing.xlsx | Bin 0 -> 320432 bytes fpga/usrp3/top/n3xx/dboards/rh/n3xx.v | 3855 ++ fpga/usrp3/top/n3xx/dev_config.json | 3 + fpga/usrp3/top/n3xx/doc/mb_timing.xlsx | Bin 0 -> 414799 bytes fpga/usrp3/top/n3xx/dts/dma-common.dtsi | 588 + fpga/usrp3/top/n3xx/dts/n300-common.dtsi | 62 + fpga/usrp3/top/n3xx/dts/n300-fpga.dtsi | 8 + fpga/usrp3/top/n3xx/dts/n310-common.dtsi | 110 + fpga/usrp3/top/n3xx/dts/n310-fpga.dtsi | 8 + fpga/usrp3/top/n3xx/dts/n320-common.dtsi | 132 + fpga/usrp3/top/n3xx/dts/n320-fpga.dtsi | 8 + fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_AA.dts | 29 + fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_HA.dts | 53 + fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_HG.dts | 80 + fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_WX.dts | 69 + fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_XA.dts | 54 + fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_XG.dts | 80 + fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_AA.dts | 29 + fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_HA.dts | 54 + fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_HG.dts | 81 + fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_WX.dts | 68 + fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_XA.dts | 55 + fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_XG.dts | 81 + fpga/usrp3/top/n3xx/dts/usrp_n320_fpga_AQ.dts | 117 + fpga/usrp3/top/n3xx/dts/usrp_n320_fpga_HG.dts | 79 + fpga/usrp3/top/n3xx/dts/usrp_n320_fpga_WX.dts | 69 + fpga/usrp3/top/n3xx/dts/usrp_n320_fpga_XG.dts | 80 + fpga/usrp3/top/n3xx/dts/usrp_n320_fpga_XQ.dts | 117 + fpga/usrp3/top/n3xx/ip/Makefile.inc | 90 + .../top/n3xx/ip/aurora_64b66b_pcs_pma/Makefile.inc | 32 + .../aurora_64b66b_pcs_pma.xci | 1780 + .../ip/aurora_64b66b_pcs_pma/aurora_axis_mac.v | 329 + .../ip/aurora_64b66b_pcs_pma/aurora_phy_clk_gen.v | 37 + .../ip/aurora_64b66b_pcs_pma/aurora_phy_mmcm.v | 108 + .../n3xx/ip/aurora_64b66b_pcs_pma/aurora_phy_x1.v | 258 + .../Makefile.inc | 15 + .../axi3_to_axi4lite_protocol_converter.xci | 152 + .../Makefile.inc | 15 + .../axi4_to_axi3_protocol_converter_32.xci | 149 + .../Makefile.inc | 15 + .../axi4_to_axi3_protocol_converter_64.xci | 151 + .../top/n3xx/ip/axi64_4k_2clk_fifo/Makefile.inc | 15 + .../ip/axi64_4k_2clk_fifo/axi64_4k_2clk_fifo.xci | 584 + .../top/n3xx/ip/axi64_8k_2clk_fifo/Makefile.inc | 15 + .../ip/axi64_8k_2clk_fifo/axi64_8k_2clk_fifo.xci | 582 + fpga/usrp3/top/n3xx/ip/axi_eth_dma/Makefile.inc | 14 + fpga/usrp3/top/n3xx/ip/axi_eth_dma/axi_eth_dma.xci | 412 + .../n3xx/ip/axi_intercon_2x64_256_bd/Makefile.inc | 17 + .../axi_intercon_2x64_256_bd.bd | 715 + .../axi_intercon_2x64_256_bd.bxml | 89 + .../axi_intercon_2x64_256_bd_wrapper.v | 500 + .../n3xx/ip/axi_intercon_4x64_256_bd/Makefile.inc | 17 + .../axi_intercon_4x64_256_bd.bd | 2191 + .../axi_intercon_4x64_256_bd.bxml | 123 + .../axi_intercon_4x64_256_bd_wrapper.v | 419 + .../top/n3xx/ip/axi_interconnect/Makefile.inc | 16 + .../n3xx/ip/axi_interconnect/axi_interconnect.xci | 2674 + .../top/n3xx/ip/axis_fifo_to_axi4lite/Makefile.inc | 14 + .../axis_fifo_to_axi4lite.xci | 267 + fpga/usrp3/top/n3xx/ip/ddr3_32bit/Makefile.inc | 26 + fpga/usrp3/top/n3xx/ip/ddr3_32bit/ddr3_32bit.xci | 2648 + .../top/n3xx/ip/ddr3_32bit/mig_xc7z035ffg900-2.prj | 164 + .../top/n3xx/ip/ddr3_32bit/mig_xc7z100ffg900-2.prj | 164 + fpga/usrp3/top/n3xx/ip/fifo_4k_2clk/Makefile.inc | 15 + .../top/n3xx/ip/fifo_4k_2clk/fifo_4k_2clk.xci | 576 + .../usrp3/top/n3xx/ip/fifo_short_2clk/Makefile.inc | 15 + .../n3xx/ip/fifo_short_2clk/fifo_short_2clk.xci | 578 + fpga/usrp3/top/n3xx/ip/hb47_1to2/Makefile.inc | 15 + fpga/usrp3/top/n3xx/ip/hb47_1to2/hb47_1to2.xci | 294 + fpga/usrp3/top/n3xx/ip/hb47_2to1/Makefile.inc | 15 + fpga/usrp3/top/n3xx/ip/hb47_2to1/hb47.coe | 51 + fpga/usrp3/top/n3xx/ip/hb47_2to1/hb47_2to1.xci | 310 + fpga/usrp3/top/n3xx/ip/misc_clock_gen/Makefile.inc | 18 + .../top/n3xx/ip/misc_clock_gen/misc_clock_gen.xci | 739 + fpga/usrp3/top/n3xx/ip/n310_ps_bd/Makefile.inc | 35 + .../top/n3xx/ip/n310_ps_bd/chdr_dma_frame_size.tcl | 59 + fpga/usrp3/top/n3xx/ip/n310_ps_bd/chdr_dma_rx.tcl | 339 + fpga/usrp3/top/n3xx/ip/n310_ps_bd/chdr_dma_top.tcl | 159 + fpga/usrp3/top/n3xx/ip/n310_ps_bd/chdr_dma_tx.tcl | 193 + fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl | 726 + .../top/n3xx/ip/one_gig_eth_pcs_pma/Makefile.inc | 45 + .../ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma.xci | 353 + .../one_gig_eth_pcs_pma_clocking.v | 188 + .../one_gig_eth_pcs_pma_support.v | 238 + .../top/n3xx/ip/one_gig_eth_pcs_pma/one_gige_phy.v | 105 + .../n3xx/ip/one_gig_eth_pcs_pma/one_gige_phy.xdc | 164 + .../top/n3xx/ip/ten_gig_eth_pcs_pma/Makefile.inc | 42 + .../ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.xci | 192 + .../ten_gig_eth_pcs_pma_gt_common.v | 202 + .../top/n3xx/ip/ten_gig_eth_pcs_pma/ten_gige_phy.v | 241 + .../n3xx/ip/ten_gig_eth_pcs_pma/ten_gige_phy.xdc | 91 + .../ip/ten_gig_eth_pcs_pma/ten_gige_phy_clk_gen.v | 37 + fpga/usrp3/top/n3xx/mb_clocks.xdc | 86 + fpga/usrp3/top/n3xx/mb_pins.xdc | 386 + fpga/usrp3/top/n3xx/mb_timing.xdc | 111 + fpga/usrp3/top/n3xx/n300_bist_image_core.v | 885 + fpga/usrp3/top/n3xx/n300_bist_image_core.yml | 87 + fpga/usrp3/top/n3xx/n300_bist_static_router.hex | 9 + fpga/usrp3/top/n3xx/n300_rfnoc_image_core.v | 652 + fpga/usrp3/top/n3xx/n300_rfnoc_image_core.yml | 78 + fpga/usrp3/top/n3xx/n300_static_router.hex | 9 + fpga/usrp3/top/n3xx/n310_10ge.xdc | 22 + fpga/usrp3/top/n3xx/n310_1ge.xdc | 15 + fpga/usrp3/top/n3xx/n310_aurora.xdc | 15 + fpga/usrp3/top/n3xx/n310_bist_image_core.v | 1169 + fpga/usrp3/top/n3xx/n310_bist_image_core.yml | 107 + fpga/usrp3/top/n3xx/n310_bist_static_router.hex | 13 + fpga/usrp3/top/n3xx/n310_dram.xdc | 33 + fpga/usrp3/top/n3xx/n310_rfnoc_image_core.v | 1062 + fpga/usrp3/top/n3xx/n310_rfnoc_image_core.yml | 111 + fpga/usrp3/top/n3xx/n310_static_router.hex | 17 + fpga/usrp3/top/n3xx/n320_rfnoc_image_core.v | 884 + fpga/usrp3/top/n3xx/n320_rfnoc_image_core.yml | 95 + fpga/usrp3/top/n3xx/n320_static_router.hex | 9 + fpga/usrp3/top/n3xx/n3xx_clocking.v | 238 + fpga/usrp3/top/n3xx/n3xx_core.v | 1287 + fpga/usrp3/top/n3xx/n3xx_db_fe_core.v | 82 + fpga/usrp3/top/n3xx/n3xx_mgt_channel_wrapper.v | 388 + fpga/usrp3/top/n3xx/n3xx_mgt_io_core.v | 815 + fpga/usrp3/top/n3xx/n3xx_mgt_wrapper.v | 440 + fpga/usrp3/top/n3xx/n3xx_serial_dac.vhd | 218 + fpga/usrp3/top/n3xx/n3xx_serial_dac_arb.vhd | 187 + fpga/usrp3/top/n3xx/n3xx_wr.xdc | 78 + fpga/usrp3/top/n3xx/n3xx_wr_top.vhd | 496 + fpga/usrp3/top/n3xx/setupenv.sh | 13 + .../top/n3xx/sim/arm_to_sfp_loopback/Makefile | 84 + .../n3xx/sim/arm_to_sfp_loopback/arm_to_sfp_tb.sv | 528 + fpga/usrp3/top/n3xx/sim/aurora_loopback/Makefile | 80 + .../n3xx/sim/aurora_loopback/aurora_loopback_tb.sv | 395 + fpga/usrp3/top/n3xx/sim/dram_fifo/Makefile | 70 + .../n3xx/sim/dram_fifo/axis_dram_fifo_single.sv | 493 + fpga/usrp3/top/n3xx/sim/dram_fifo/dram_fifo_tb.sv | 163 + fpga/usrp3/top/n3xx/sim/dram_fifo_bist/Makefile | 70 + .../n3xx/sim/dram_fifo_bist/dram_fifo_bist_tb.sv | 348 + .../top/n3xx/sim/one_gig_eth_loopback/Makefile | 78 + .../demo_one_gig_pcs_pma_mdio.v | 489 + .../one_gig_eth_loopback_tb.sv | 381 + .../top/n3xx/sim/ten_gig_eth_loopback/Makefile | 84 + .../ten_gig_eth_loopback_tb.sv | 527 + fpga/usrp3/top/python/batch-build | 45 + fpga/usrp3/top/python/check_inout.py | 62 + fpga/usrp3/top/python/check_timing.py | 37 + fpga/usrp3/top/tcl/ise_helper.tcl | 82 + fpga/usrp3/top/x300/.gitignore | 8 + fpga/usrp3/top/x300/Makefile | 145 + fpga/usrp3/top/x300/Makefile.x300.inc | 133 + fpga/usrp3/top/x300/build_x300.tcl | 45 + fpga/usrp3/top/x300/bus_int.v | 901 + fpga/usrp3/top/x300/capture_ddrlvds.v | 200 + fpga/usrp3/top/x300/coregen_dsp/.gitignore | 4 + fpga/usrp3/top/x300/coregen_dsp/.lso | 1 + fpga/usrp3/top/x300/coregen_dsp/Makefile.srcs | 17 + fpga/usrp3/top/x300/coregen_dsp/coregen.cgp | 9 + fpga/usrp3/top/x300/coregen_dsp/filt2.coe | 36 + fpga/usrp3/top/x300/coregen_dsp/hb31.coe | 32 + fpga/usrp3/top/x300/coregen_dsp/hb35.coe | 36 + fpga/usrp3/top/x300/coregen_dsp/hb39.coe | 40 + fpga/usrp3/top/x300/coregen_dsp/hb43.coe | 44 + fpga/usrp3/top/x300/coregen_dsp/hb47.coe | 51 + fpga/usrp3/top/x300/coregen_dsp/hb51.coe | 52 + fpga/usrp3/top/x300/coregen_dsp/hb55.coe | 56 + fpga/usrp3/top/x300/coregen_dsp/hb59.coe | 60 + fpga/usrp3/top/x300/coregen_dsp/hb63.coe | 67 + fpga/usrp3/top/x300/coregen_dsp/hbdec1.asy | 61 + fpga/usrp3/top/x300/coregen_dsp/hbdec1.mif | 47 + fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc | 3 + fpga/usrp3/top/x300/coregen_dsp/hbdec1.v | 39998 +++++++++++++ fpga/usrp3/top/x300/coregen_dsp/hbdec1.veo | 79 + fpga/usrp3/top/x300/coregen_dsp/hbdec1.xco | 102 + .../top/x300/coregen_dsp/hbdec1COEFF_auto0_0.mif | 5 + .../top/x300/coregen_dsp/hbdec1COEFF_auto0_1.mif | 5 + .../top/x300/coregen_dsp/hbdec1COEFF_auto0_2.mif | 5 + .../top/x300/coregen_dsp/hbdec1COEFF_auto0_3.mif | 5 + .../top/x300/coregen_dsp/hbdec1COEFF_auto0_4.mif | 5 + .../top/x300/coregen_dsp/hbdec1COEFF_auto0_5.mif | 5 + .../hbdec1COEFF_auto_HALFBAND_CENTRE0.mif | 3 + fpga/usrp3/top/x300/coregen_dsp/hbdec1_flist.txt | 22 + fpga/usrp3/top/x300/coregen_dsp/hbdec1_readme.txt | 67 + .../hbdec1_reload_addrfilt_decode_rom.mif | 17 + .../top/x300/coregen_dsp/hbdec1_reload_order.txt | 25 + fpga/usrp3/top/x300/coregen_dsp/hbdec1_xmdf.tcl | 111 + .../top/x300/coregen_dsp/hbdec1filt_decode_rom.mif | 17 + fpga/usrp3/top/x300/coregen_dsp/hbdec2.asy | 61 + fpga/usrp3/top/x300/coregen_dsp/hbdec2.mif | 47 + fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc | 3 + fpga/usrp3/top/x300/coregen_dsp/hbdec2.v | 24109 ++++++++ fpga/usrp3/top/x300/coregen_dsp/hbdec2.veo | 79 + fpga/usrp3/top/x300/coregen_dsp/hbdec2.xco | 102 + .../top/x300/coregen_dsp/hbdec2COEFF_auto0_0.mif | 9 + .../top/x300/coregen_dsp/hbdec2COEFF_auto0_1.mif | 9 + .../top/x300/coregen_dsp/hbdec2COEFF_auto0_2.mif | 9 + .../hbdec2COEFF_auto_HALFBAND_CENTRE0.mif | 3 + fpga/usrp3/top/x300/coregen_dsp/hbdec2_flist.txt | 19 + fpga/usrp3/top/x300/coregen_dsp/hbdec2_readme.txt | 64 + .../hbdec2_reload_addrfilt_decode_rom.mif | 17 + .../top/x300/coregen_dsp/hbdec2_reload_order.txt | 25 + fpga/usrp3/top/x300/coregen_dsp/hbdec2_xmdf.tcl | 99 + .../top/x300/coregen_dsp/hbdec2filt_decode_rom.mif | 17 + fpga/usrp3/top/x300/coregen_dsp/hbdec3.asy | 61 + fpga/usrp3/top/x300/coregen_dsp/hbdec3.mif | 63 + fpga/usrp3/top/x300/coregen_dsp/hbdec3.ngc | 3 + fpga/usrp3/top/x300/coregen_dsp/hbdec3.v | 20014 +++++++ fpga/usrp3/top/x300/coregen_dsp/hbdec3.veo | 79 + fpga/usrp3/top/x300/coregen_dsp/hbdec3.xco | 102 + .../top/x300/coregen_dsp/hbdec3COEFF_auto0_0.mif | 17 + .../top/x300/coregen_dsp/hbdec3COEFF_auto0_1.mif | 17 + .../hbdec3COEFF_auto_HALFBAND_CENTRE0.mif | 3 + fpga/usrp3/top/x300/coregen_dsp/hbdec3_flist.txt | 18 + fpga/usrp3/top/x300/coregen_dsp/hbdec3_readme.txt | 63 + .../hbdec3_reload_addrfilt_decode_rom.mif | 17 + .../top/x300/coregen_dsp/hbdec3_reload_order.txt | 33 + fpga/usrp3/top/x300/coregen_dsp/hbdec3_xmdf.tcl | 95 + .../top/x300/coregen_dsp/hbdec3filt_decode_rom.mif | 17 + fpga/usrp3/top/x300/dev_config.json | 3 + fpga/usrp3/top/x300/gen_ddrlvds.v | 106 + fpga/usrp3/top/x300/ip/Makefile.inc | 81 + .../top/x300/ip/aurora_64b66b_pcs_pma/Makefile.inc | 34 + .../aurora_64b66b_pcs_pma.xci | 1780 + .../ip/aurora_64b66b_pcs_pma/aurora_axis_mac.v | 329 + .../ip/aurora_64b66b_pcs_pma/aurora_phy_clk_gen.v | 51 + .../x300/ip/aurora_64b66b_pcs_pma/aurora_phy_x1.v | 370 + .../top/x300/ip/axi4_dualport_sram/Makefile.inc | 15 + .../ip/axi4_dualport_sram/axi4_dualport_sram.xci | 314 + .../top/x300/ip/axi64_4k_2clk_fifo/Makefile.inc | 15 + .../ip/axi64_4k_2clk_fifo/axi64_4k_2clk_fifo.xci | 584 + .../top/x300/ip/axi64_8k_2clk_fifo/Makefile.inc | 15 + .../ip/axi64_8k_2clk_fifo/axi64_8k_2clk_fifo.xci | 582 + .../x300/ip/axi_intercon_2x64_128_bd/Makefile.inc | 19 + .../axi_intercon_2x64_128_bd.bd | 1326 + .../axi_intercon_2x64_128_bd.bxml | 132 + .../axi_intercon_2x64_128_bd_wrapper.v | 508 + fpga/usrp3/top/x300/ip/bootram/Makefile.inc | 15 + fpga/usrp3/top/x300/ip/bootram/bootram.coe | 8194 +++ fpga/usrp3/top/x300/ip/bootram/bootram.xci | 318 + fpga/usrp3/top/x300/ip/bus_clk_gen/Makefile.inc | 15 + fpga/usrp3/top/x300/ip/bus_clk_gen/bus_clk_gen.xci | 786 + fpga/usrp3/top/x300/ip/ddr3_32bit/Makefile.inc | 26 + fpga/usrp3/top/x300/ip/ddr3_32bit/ddr3_32bit.xci | 2645 + .../x300/ip/ddr3_32bit/mig_xc7k325tffg900-2.prj | 163 + .../x300/ip/ddr3_32bit/mig_xc7k410tffg900-2.prj | 163 + fpga/usrp3/top/x300/ip/fifo_4k_2clk/Makefile.inc | 15 + .../top/x300/ip/fifo_4k_2clk/fifo_4k_2clk.xci | 576 + .../usrp3/top/x300/ip/fifo_short_2clk/Makefile.inc | 15 + .../x300/ip/fifo_short_2clk/fifo_short_2clk.xci | 578 + .../top/x300/ip/input_sample_fifo/Makefile.inc | 15 + .../ip/input_sample_fifo/input_sample_fifo.xci | 575 + .../top/x300/ip/one_gig_eth_pcs_pma/Makefile.inc | 50 + .../ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma.xci | 353 + .../one_gig_eth_pcs_pma_clocking.v.patch | 25 + .../one_gig_eth_pcs_pma_support.v.patch | 17 + .../top/x300/ip/one_gig_eth_pcs_pma/one_gige_phy.v | 100 + .../x300/ip/one_gig_eth_pcs_pma/one_gige_phy.xdc | 164 + .../ip/one_gig_eth_pcs_pma/one_gige_phy_clk_gen.v | 29 + fpga/usrp3/top/x300/ip/pcie_clk_gen/Makefile.inc | 15 + .../top/x300/ip/pcie_clk_gen/pcie_clk_gen.xci | 752 + fpga/usrp3/top/x300/ip/radio_clk_gen/Makefile.inc | 19 + .../top/x300/ip/radio_clk_gen/radio_clk_gen.xci | 755 + .../x300/ip/radio_clk_gen/radio_clk_gen.xdc.patch | 4 + .../top/x300/ip/ten_gig_eth_pcs_pma/Makefile.inc | 42 + .../ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.xci | 192 + .../top/x300/ip/ten_gig_eth_pcs_pma/ten_gige_phy.v | 249 + .../x300/ip/ten_gig_eth_pcs_pma/ten_gige_phy.xdc | 91 + .../ip/ten_gig_eth_pcs_pma/ten_gige_phy_clk_gen.v | 51 + fpga/usrp3/top/x300/nirio_chdr64_adapter.v | 106 + fpga/usrp3/top/x300/setupenv.sh | 11 + fpga/usrp3/top/x300/sim/aurora_loopback/Makefile | 77 + .../x300/sim/aurora_loopback/aurora_loopback_tb.sv | 310 + fpga/usrp3/top/x300/sim/dram_fifo/Makefile | 72 + .../x300/sim/dram_fifo/axis_dram_fifo_single.sv | 589 + fpga/usrp3/top/x300/sim/dram_fifo/dram_fifo_tb.sv | 184 + fpga/usrp3/top/x300/sim/dram_fifo_bist/Makefile | 72 + .../x300/sim/dram_fifo_bist/dram_fifo_bist_tb.sv | 349 + fpga/usrp3/top/x300/sim/x300_pcie_int/Makefile | 66 + .../top/x300/sim/x300_pcie_int/x300_pcie_int_tb.sv | 596 + fpga/usrp3/top/x300/soft_ctrl.v | 482 + fpga/usrp3/top/x300/timing.xdc | 603 + fpga/usrp3/top/x300/x300.v | 1483 + fpga/usrp3/top/x300/x300.xdc | 710 + fpga/usrp3/top/x300/x300_10ge.xdc | 19 + fpga/usrp3/top/x300/x300_10ge_port0.xdc | 6 + fpga/usrp3/top/x300/x300_10ge_port1.xdc | 6 + fpga/usrp3/top/x300/x300_1ge.xdc | 19 + fpga/usrp3/top/x300/x300_aurora.xdc | 16 + fpga/usrp3/top/x300/x300_core.v | 680 + fpga/usrp3/top/x300/x300_dram.xdc | 16 + fpga/usrp3/top/x300/x300_eth_interface.v | 114 + fpga/usrp3/top/x300/x300_pcie_int.v | 382 + fpga/usrp3/top/x300/x300_rfnoc_image_core.v | 1051 + fpga/usrp3/top/x300/x300_rfnoc_image_core.yml | 103 + fpga/usrp3/top/x300/x300_sfpp_io_core.v | 508 + fpga/usrp3/top/x300/x300_static_router.hex | 13 + fpga/usrp3/top/x300/x300_zpu_config.vhd | 20 + fpga/usrp3/top/x300/x310_rfnoc_image_core.v | 1051 + fpga/usrp3/top/x300/x310_rfnoc_image_core.yml | 113 + fpga/usrp3/top/x300/x310_static_router.hex | 13 + fpga/usrp3/top/x300/x3x0_base.lvbitx | 469 + 1088 files changed, 685426 insertions(+) create mode 100644 fpga/usrp3/top/Makefile.common create mode 100644 fpga/usrp3/top/b200/.gitignore create mode 100644 fpga/usrp3/top/b200/Makefile create mode 100644 fpga/usrp3/top/b200/Makefile.b200.inc create mode 100644 fpga/usrp3/top/b200/S6CLK2PIN.v create mode 100644 fpga/usrp3/top/b200/b200.ucf create mode 100644 fpga/usrp3/top/b200/b200.v create mode 100644 fpga/usrp3/top/b200/b200_core.v create mode 100644 fpga/usrp3/top/b200/b200_io.v create mode 100644 fpga/usrp3/top/b200/check.sh create mode 100755 fpga/usrp3/top/b200/core_compile create mode 100644 fpga/usrp3/top/b200/coregen/.gitignore create mode 100644 fpga/usrp3/top/b200/coregen/b200_chipscope_icon.asy create mode 100644 fpga/usrp3/top/b200/coregen/b200_chipscope_icon.constraints/b200_chipscope_icon.ucf create mode 100644 fpga/usrp3/top/b200/coregen/b200_chipscope_icon.constraints/b200_chipscope_icon.xdc create mode 100644 fpga/usrp3/top/b200/coregen/b200_chipscope_icon.ncf create mode 100644 fpga/usrp3/top/b200/coregen/b200_chipscope_icon.ngc create mode 100644 fpga/usrp3/top/b200/coregen/b200_chipscope_icon.ucf create mode 100644 fpga/usrp3/top/b200/coregen/b200_chipscope_icon.v create mode 100644 fpga/usrp3/top/b200/coregen/b200_chipscope_icon.veo create mode 100644 fpga/usrp3/top/b200/coregen/b200_chipscope_icon.xco create mode 100644 fpga/usrp3/top/b200/coregen/b200_chipscope_icon.xdc create mode 100644 fpga/usrp3/top/b200/coregen/b200_chipscope_icon_flist.txt create mode 100644 fpga/usrp3/top/b200/coregen/b200_chipscope_icon_readme.txt create mode 100755 fpga/usrp3/top/b200/coregen/b200_chipscope_icon_xmdf.tcl create mode 100644 fpga/usrp3/top/b200/coregen/b200_chipscope_ila.asy create mode 100644 fpga/usrp3/top/b200/coregen/b200_chipscope_ila.cdc create mode 100644 fpga/usrp3/top/b200/coregen/b200_chipscope_ila.constraints/b200_chipscope_ila.ucf create mode 100644 fpga/usrp3/top/b200/coregen/b200_chipscope_ila.constraints/b200_chipscope_ila.xdc create mode 100644 fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ncf create mode 100644 fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc create mode 100644 fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ucf create mode 100644 fpga/usrp3/top/b200/coregen/b200_chipscope_ila.v create mode 100644 fpga/usrp3/top/b200/coregen/b200_chipscope_ila.veo create mode 100644 fpga/usrp3/top/b200/coregen/b200_chipscope_ila.xco create mode 100644 fpga/usrp3/top/b200/coregen/b200_chipscope_ila.xdc create mode 100644 fpga/usrp3/top/b200/coregen/b200_chipscope_ila_flist.txt create mode 100644 fpga/usrp3/top/b200/coregen/b200_chipscope_ila_readme.txt create mode 100755 fpga/usrp3/top/b200/coregen/b200_chipscope_ila_xmdf.tcl create mode 100644 fpga/usrp3/top/b200/coregen/b200_clk_gen.asy create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen.ucf create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen.v create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen.veo create mode 100644 fpga/usrp3/top/b200/coregen/b200_clk_gen.xco create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen.xdc create mode 100644 fpga/usrp3/top/b200/coregen/b200_clk_gen/clk_wiz_v3_6_readme.txt create mode 100644 fpga/usrp3/top/b200/coregen/b200_clk_gen/doc/clk_wiz_v3_6_readme.txt create mode 100644 fpga/usrp3/top/b200/coregen/b200_clk_gen/doc/clk_wiz_v3_6_vinfo.html create mode 100644 fpga/usrp3/top/b200/coregen/b200_clk_gen/doc/pg065_clk_wiz.pdf create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/example_design/b200_clk_gen_exdes.ucf create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/example_design/b200_clk_gen_exdes.v create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/example_design/b200_clk_gen_exdes.xdc create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/implement.bat create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/implement.sh create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_ise.bat create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_ise.sh create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_ise.tcl create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_rdn.bat create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_rdn.sh create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_rdn.tcl create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/xst.prj create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/xst.scr create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/b200_clk_gen_tb.v create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simcmds.tcl create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_isim.bat create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_isim.sh create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_mti.bat create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_mti.do create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_mti.sh create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_ncsim.sh create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_vcs.sh create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/ucli_commands.key create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/vcs_session.tcl create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/wave.do create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/wave.sv create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/b200_clk_gen_tb.v create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/sdf_cmd_file create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simcmds.tcl create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_isim.sh create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_mti.bat create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_mti.do create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_mti.sh create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_ncsim.sh create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_vcs.sh create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/ucli_commands.key create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/vcs_session.tcl create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/wave.do create mode 100644 fpga/usrp3/top/b200/coregen/b200_clk_gen_flist.txt create mode 100755 fpga/usrp3/top/b200/coregen/b200_clk_gen_xmdf.tcl create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_icon.asy create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_icon.constraints/chipscope_icon.ucf create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_icon.constraints/chipscope_icon.xdc create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_icon.ncf create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_icon.ngc create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_icon.ucf create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_icon.v create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_icon.veo create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_icon.xco create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_icon.xdc create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_icon_flist.txt create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_icon_readme.txt create mode 100755 fpga/usrp3/top/b200/coregen/chipscope_icon_xmdf.tcl create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_128.asy create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_128.cdc create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_128.constraints/chipscope_ila_128.ucf create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_128.constraints/chipscope_ila_128.xdc create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_128.ncf create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_128.ucf create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_128.v create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_128.veo create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_128.xco create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_128.xdc create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_128_flist.txt create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_128_readme.txt create mode 100755 fpga/usrp3/top/b200/coregen/chipscope_ila_128_xmdf.tcl create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_256.asy create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_256.cdc create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_256.constraints/chipscope_ila_256.ucf create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_256.constraints/chipscope_ila_256.xdc create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_256.ncf create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_256.ucf create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_256.v create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_256.veo create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_256.xco create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_256.xdc create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_256_flist.txt create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_256_readme.txt create mode 100755 fpga/usrp3/top/b200/coregen/chipscope_ila_256_xmdf.tcl create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_32.asy create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_32.cdc create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_32.constraints/chipscope_ila_32.ucf create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_32.constraints/chipscope_ila_32.xdc create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_32.ncf create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_32.ucf create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_32.v create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_32.veo create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_32.xco create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_32.xdc create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_32_flist.txt create mode 100644 fpga/usrp3/top/b200/coregen/chipscope_ila_32_readme.txt create mode 100755 fpga/usrp3/top/b200/coregen/chipscope_ila_32_xmdf.tcl create mode 100644 fpga/usrp3/top/b200/coregen/coregen.cgp create mode 100644 fpga/usrp3/top/b200/coregen/fifo_4k_2clk.asy create mode 100644 fpga/usrp3/top/b200/coregen/fifo_4k_2clk.ncf create mode 100644 fpga/usrp3/top/b200/coregen/fifo_4k_2clk.ngc create mode 100644 fpga/usrp3/top/b200/coregen/fifo_4k_2clk.v create mode 100644 fpga/usrp3/top/b200/coregen/fifo_4k_2clk.veo create mode 100644 fpga/usrp3/top/b200/coregen/fifo_4k_2clk.xco create mode 100644 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_readme.txt create mode 100644 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_vinfo.html create mode 100644 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/doc/pg057-fifo-generator.pdf create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd create mode 100644 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/fifo_generator_v9_3_readme.txt create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement.bat create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement.sh create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement_synplify.bat create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement_synplify.sh create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/planAhead_ise.bat create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/planAhead_ise.sh create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/planAhead_ise.tcl create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/xst.prj create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/xst.scr create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.bat create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.sh create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.bat create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.do create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.sh create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_ncsim.sh create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_vcs.sh create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/ucli_commands.key create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/vcs_session.tcl create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/wave_isim.tcl create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/wave_mti.do create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/wave_ncsim.sv create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.bat create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.sh create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.bat create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.do create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.sh create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_ncsim.sh create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_vcs.sh create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/ucli_commands.key create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/vcs_session.tcl create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/wave_isim.tcl create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/wave_mti.do create mode 100755 fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/wave_ncsim.sv create mode 100644 fpga/usrp3/top/b200/coregen/fifo_4k_2clk_flist.txt create mode 100644 fpga/usrp3/top/b200/coregen/fifo_4k_2clk_xmdf.tcl create mode 100644 fpga/usrp3/top/b200/coregen/fifo_short_2clk.asy create mode 100644 fpga/usrp3/top/b200/coregen/fifo_short_2clk.ncf create mode 100644 fpga/usrp3/top/b200/coregen/fifo_short_2clk.ngc create mode 100644 fpga/usrp3/top/b200/coregen/fifo_short_2clk.v create mode 100644 fpga/usrp3/top/b200/coregen/fifo_short_2clk.veo create mode 100644 fpga/usrp3/top/b200/coregen/fifo_short_2clk.xco create mode 100644 fpga/usrp3/top/b200/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_readme.txt create mode 100644 fpga/usrp3/top/b200/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_vinfo.html create mode 100644 fpga/usrp3/top/b200/coregen/fifo_short_2clk/doc/pg057-fifo-generator.pdf create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd create mode 100644 fpga/usrp3/top/b200/coregen/fifo_short_2clk/fifo_generator_v9_3_readme.txt create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement.bat create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement.sh create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement_synplify.bat create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement_synplify.sh create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/planAhead_ise.bat create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/planAhead_ise.sh create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/planAhead_ise.tcl create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/xst.prj create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/xst.scr create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_isim.bat create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_isim.sh create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_mti.bat create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_mti.do create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_mti.sh create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_ncsim.sh create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_vcs.sh create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/ucli_commands.key create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/vcs_session.tcl create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/wave_isim.tcl create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/wave_mti.do create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/wave_ncsim.sv create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_isim.bat create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_isim.sh create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_mti.bat create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_mti.do create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_mti.sh create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_ncsim.sh create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_vcs.sh create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/ucli_commands.key create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/vcs_session.tcl create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/wave_isim.tcl create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/wave_mti.do create mode 100755 fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/wave_ncsim.sv create mode 100644 fpga/usrp3/top/b200/coregen/fifo_short_2clk_flist.txt create mode 100644 fpga/usrp3/top/b200/coregen/fifo_short_2clk_xmdf.tcl create mode 100644 fpga/usrp3/top/b200/coregen_dsp/.gitignore create mode 100644 fpga/usrp3/top/b200/coregen_dsp/Makefile.srcs create mode 100644 fpga/usrp3/top/b200/coregen_dsp/coregen.cgp create mode 100644 fpga/usrp3/top/b200/coregen_dsp/filt2.coe create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hb31.coe create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hb35.coe create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hb39.coe create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hb43.coe create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hb47.coe create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hb51.coe create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hb55.coe create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hb59.coe create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hb63.coe create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec1.asy create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec1.mif create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec1.v create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec1.veo create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec1.xco create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec1COEFF_auto0_0.mif create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec1COEFF_auto0_1.mif create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec1COEFF_auto0_2.mif create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec1COEFF_auto0_3.mif create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec1COEFF_auto0_4.mif create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec1COEFF_auto0_5.mif create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec1COEFF_auto_HALFBAND_CENTRE0.mif create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec1_flist.txt create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec1_readme.txt create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec1_reload_addrfilt_decode_rom.mif create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec1_reload_order.txt create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec1_xmdf.tcl create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec1filt_decode_rom.mif create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec2.asy create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec2.mif create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec2.ngc create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec2.v create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec2.veo create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec2.xco create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec2COEFF_auto0_0.mif create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec2COEFF_auto0_1.mif create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec2COEFF_auto0_2.mif create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec2COEFF_auto_HALFBAND_CENTRE0.mif create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec2_flist.txt create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec2_readme.txt create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec2_reload_addrfilt_decode_rom.mif create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec2_reload_order.txt create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec2_xmdf.tcl create mode 100644 fpga/usrp3/top/b200/coregen_dsp/hbdec2filt_decode_rom.mif create mode 100644 fpga/usrp3/top/b200/gpio.ucf create mode 100644 fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif create mode 100644 fpga/usrp3/top/b200/planahead/planahead.data/constrs_1/fileset.xml create mode 100644 fpga/usrp3/top/b200/planahead/planahead.data/runs/impl_1.psg create mode 100644 fpga/usrp3/top/b200/planahead/planahead.data/runs/impl_1/constrs_in.xml create mode 100644 fpga/usrp3/top/b200/planahead/planahead.data/runs/impl_1/constrs_out.xml create mode 100644 fpga/usrp3/top/b200/planahead/planahead.data/runs/impl_1/impl_1.psg create mode 100644 fpga/usrp3/top/b200/planahead/planahead.data/runs/impl_1/sources.xml create mode 100644 fpga/usrp3/top/b200/planahead/planahead.data/runs/runs.xml create mode 100644 fpga/usrp3/top/b200/planahead/planahead.data/sim_1/fileset.xml create mode 100644 fpga/usrp3/top/b200/planahead/planahead.data/sources_1/fileset.xml create mode 100644 fpga/usrp3/top/b200/planahead/planahead.data/wt/java_command_handlers.wdf create mode 100644 fpga/usrp3/top/b200/planahead/planahead.data/wt/project.wpc create mode 100644 fpga/usrp3/top/b200/planahead/planahead.data/wt/webtalk_pa.xml create mode 100644 fpga/usrp3/top/b200/planahead/planahead.ppr create mode 100644 fpga/usrp3/top/b200/planahead/planahead.runs/.jobs/job1.bat create mode 100755 fpga/usrp3/top/b200/planahead/planahead.runs/.jobs/job1.sh create mode 100644 fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.constrs/b200.ucf create mode 100644 fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.constrs/timing.ucf create mode 100644 fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.map.begin.rst create mode 100644 fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.map.end.rst create mode 100644 fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.ngdbuild.begin.rst create mode 100644 fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.ngdbuild.end.rst create mode 100644 fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.par.begin.rst create mode 100644 fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.par.end.rst create mode 100644 fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.trce.begin.rst create mode 100644 fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.trce.end.rst create mode 100644 fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.xdl.begin.rst create mode 100644 fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.xdl.end.rst create mode 100644 fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/ISEWrap.js create mode 100755 fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/ISEWrap.sh create mode 100644 fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf create mode 100644 fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.ncd create mode 100644 fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.twx create mode 100644 fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.ucf create mode 100644 fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.xdl create mode 100644 fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/htr.txt create mode 100644 fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/rundef.js create mode 100644 fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/runme.bat create mode 100644 fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/runme.log create mode 100755 fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/runme.sh create mode 100644 fpga/usrp3/top/b200/planahead/planahead.srcs/constrs_1/imports/b200/b200.ucf create mode 100644 fpga/usrp3/top/b200/planahead/planahead.srcs/constrs_1/imports/b200/timing.ucf create mode 100644 fpga/usrp3/top/b200/planahead/planahead.srcs/sources_1/imports/coregen/fifo_4k_2clk.ngc create mode 100644 fpga/usrp3/top/b200/sim/b200_io_tb.v create mode 100644 fpga/usrp3/top/b200/sim/b200_tb.v create mode 100755 fpga/usrp3/top/b200/sim/b2x0/sim_b2x0_1/run_isim create mode 100644 fpga/usrp3/top/b200/sim/sim_b200_io/mimo/mimo.wcfg create mode 100644 fpga/usrp3/top/b200/sim/sim_b200_io/mimo/simulation_script.v create mode 100755 fpga/usrp3/top/b200/sim/sim_b200_io/run_isim create mode 100644 fpga/usrp3/top/b200/sim/sim_b200_io/siso/simulation_script.v create mode 100644 fpga/usrp3/top/b200/sim/sim_b200_io/siso/siso.wcfg create mode 100644 fpga/usrp3/top/b200/timing.ucf create mode 100644 fpga/usrp3/top/b2xxmini/.gitignore create mode 100644 fpga/usrp3/top/b2xxmini/Makefile create mode 100644 fpga/usrp3/top/b2xxmini/Makefile.b205.inc create mode 100644 fpga/usrp3/top/b2xxmini/b205.ucf create mode 100644 fpga/usrp3/top/b2xxmini/b205.v create mode 100644 fpga/usrp3/top/b2xxmini/b205_core.v create mode 100644 fpga/usrp3/top/b2xxmini/b205_io.v create mode 100644 fpga/usrp3/top/b2xxmini/b205_ref_pll.v create mode 100644 fpga/usrp3/top/b2xxmini/coregen/.gitignore create mode 100644 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.asy create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.ucf create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.v create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.veo create mode 100644 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.xco create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.xdc create mode 100644 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/clk_wiz_v3_6_readme.txt create mode 100644 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/clk_wiz_v3_6_readme.txt create mode 100644 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/clk_wiz_v3_6_vinfo.html create mode 100644 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/pg065_clk_wiz.pdf create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.ucf create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.v create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.xdc create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/implement.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/implement.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/xst.prj create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/xst.scr create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/b205_clk_gen_tb.v create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simcmds.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_isim.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_isim.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.do create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_ncsim.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_vcs.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/ucli_commands.key create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/vcs_session.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/wave.do create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/wave.sv create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/b205_clk_gen_tb.v create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/sdf_cmd_file create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simcmds.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_isim.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.do create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_ncsim.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_vcs.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/ucli_commands.key create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/vcs_session.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/wave.do create mode 100644 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen_flist.txt create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen_xmdf.tcl create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.asy create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.constraints/chipscope_icon.ucf create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.constraints/chipscope_icon.xdc create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ncf create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ngc create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ucf create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.v create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.veo create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.xco create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.xdc create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_flist.txt create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_readme.txt create mode 100755 fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_xmdf.tcl create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.asy create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.cdc create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.constraints/chipscope_ila.ucf create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.constraints/chipscope_ila.xdc create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ncf create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ucf create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.v create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.veo create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.xco create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.xdc create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_flist.txt create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_readme.txt create mode 100755 fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_xmdf.tcl create mode 100644 fpga/usrp3/top/b2xxmini/coregen/coregen.cgp create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.asy create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.ncf create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.ngc create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.v create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.veo create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.xco create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_readme.txt create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_vinfo.html create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/doc/pg057-fifo-generator.pdf create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/fifo_generator_v9_3_readme.txt create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement_synplify.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement_synplify.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/xst.prj create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/xst.scr create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.do create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_ncsim.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_vcs.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/ucli_commands.key create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/vcs_session.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_isim.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_mti.do create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_ncsim.sv create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.do create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_ncsim.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_vcs.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/ucli_commands.key create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/vcs_session.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_isim.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_mti.do create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_ncsim.sv create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk_flist.txt create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk_xmdf.tcl create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.asy create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.ncf create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.ngc create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.v create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.veo create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.xco create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_readme.txt create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_vinfo.html create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/doc/pg057-fifo-generator.pdf create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/fifo_generator_v9_3_readme.txt create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement_synplify.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement_synplify.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/xst.prj create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/xst.scr create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_isim.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_isim.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.do create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_ncsim.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_vcs.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/ucli_commands.key create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/vcs_session.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_isim.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_mti.do create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_ncsim.sv create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_isim.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_isim.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.do create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_ncsim.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_vcs.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/ucli_commands.key create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/vcs_session.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_isim.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_mti.do create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_ncsim.sv create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk_flist.txt create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk_xmdf.tcl create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/.gitignore create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/Makefile.srcs create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/coregen.cgp create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/filt2.coe create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hb31.coe create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hb35.coe create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hb39.coe create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hb43.coe create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hb47.coe create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hb51.coe create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hb55.coe create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hb59.coe create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hb63.coe create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.asy create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.v create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.veo create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.xco create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_0.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_1.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_2.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_3.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_4.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_5.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto_HALFBAND_CENTRE0.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_flist.txt create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_readme.txt create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_reload_addrfilt_decode_rom.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_reload_order.txt create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_xmdf.tcl create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1filt_decode_rom.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.asy create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.ngc create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.v create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.veo create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.xco create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto0_0.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto0_1.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto0_2.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto_HALFBAND_CENTRE0.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_flist.txt create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_readme.txt create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_reload_addrfilt_decode_rom.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_reload_order.txt create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_xmdf.tcl create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2filt_decode_rom.mif create mode 100644 fpga/usrp3/top/b2xxmini/timing.ucf create mode 100644 fpga/usrp3/top/e31x/Makefile create mode 100644 fpga/usrp3/top/e31x/Makefile.e31x.inc create mode 100644 fpga/usrp3/top/e31x/axi_pmu.v create mode 100644 fpga/usrp3/top/e31x/build_e31x.tcl create mode 100644 fpga/usrp3/top/e31x/dts/dma-common.dtsi create mode 100644 fpga/usrp3/top/e31x/dts/e31x-common.dtsi create mode 100644 fpga/usrp3/top/e31x/dts/e31x-pmu.dtsi create mode 100644 fpga/usrp3/top/e31x/dts/e31x-sg1-fpga.dtsi create mode 100644 fpga/usrp3/top/e31x/dts/e31x-sg1-idle-fpga.dtsi create mode 100644 fpga/usrp3/top/e31x/dts/e31x-sg3-fpga.dtsi create mode 100644 fpga/usrp3/top/e31x/dts/e31x-sg3-idle-fpga.dtsi create mode 100644 fpga/usrp3/top/e31x/dts/usrp_e310_sg1_fpga.dts create mode 100644 fpga/usrp3/top/e31x/dts/usrp_e310_sg1_idle_fpga.dts create mode 100644 fpga/usrp3/top/e31x/dts/usrp_e310_sg3_fpga.dts create mode 100644 fpga/usrp3/top/e31x/dts/usrp_e310_sg3_idle_fpga.dts create mode 100644 fpga/usrp3/top/e31x/e310_io.v create mode 100644 fpga/usrp3/top/e31x/e310_static_router.hex create mode 100644 fpga/usrp3/top/e31x/e31x.v create mode 100644 fpga/usrp3/top/e31x/e31x_core.v create mode 100644 fpga/usrp3/top/e31x/e31x_idle.v create mode 100644 fpga/usrp3/top/e31x/e31x_idle_pins.xdc create mode 100644 fpga/usrp3/top/e31x/e31x_pins.xdc create mode 100644 fpga/usrp3/top/e31x/e31x_rfnoc_image_core.v create mode 100644 fpga/usrp3/top/e31x/e31x_rfnoc_image_core.yml create mode 100644 fpga/usrp3/top/e31x/e31x_timing.xdc create mode 100644 fpga/usrp3/top/e31x/ip/.gitignore create mode 100644 fpga/usrp3/top/e31x/ip/Makefile.inc create mode 100644 fpga/usrp3/top/e31x/ip/e31x_ps_bd/Makefile.inc create mode 100644 fpga/usrp3/top/e31x/ip/e31x_ps_bd/chdr_dma_frame_size.tcl create mode 100644 fpga/usrp3/top/e31x/ip/e31x_ps_bd/chdr_dma_rx.tcl create mode 100644 fpga/usrp3/top/e31x/ip/e31x_ps_bd/chdr_dma_top.tcl create mode 100644 fpga/usrp3/top/e31x/ip/e31x_ps_bd/chdr_dma_tx.tcl create mode 100644 fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl create mode 100644 fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl create mode 100644 fpga/usrp3/top/e31x/ip/e31x_ps_bd/ps7_init.c create mode 100644 fpga/usrp3/top/e31x/ip/e31x_ps_bd/ps7_init_gpl-sg1.c create mode 100644 fpga/usrp3/top/e31x/ip/e31x_ps_bd/ps7_init_gpl-sg3.c create mode 100644 fpga/usrp3/top/e31x/ip/e31x_ps_bd/ps7_init_gpl.c create mode 100644 fpga/usrp3/top/e31x/ip/fifo_4k_2clk/Makefile.inc create mode 100644 fpga/usrp3/top/e31x/ip/fifo_4k_2clk/fifo_4k_2clk.xci create mode 100644 fpga/usrp3/top/e31x/ip/fifo_short_2clk/Makefile.inc create mode 100644 fpga/usrp3/top/e31x/ip/fifo_short_2clk/fifo_short_2clk.xci create mode 100644 fpga/usrp3/top/e31x/ip/mig_7series_0/Makefile.inc create mode 100644 fpga/usrp3/top/e31x/ip/mig_7series_0/mig_7series_0.xci create mode 100644 fpga/usrp3/top/e31x/ip/mig_7series_0/mig_xc7z020clg484-1.prj create mode 100644 fpga/usrp3/top/e31x/ip/mig_7series_0/mig_xc7z020clg484-3.prj create mode 100644 fpga/usrp3/top/e31x/ppsloop.v create mode 100644 fpga/usrp3/top/e31x/setupenv.sh create mode 100644 fpga/usrp3/top/e31x/sim/dram_test/Makefile create mode 100644 fpga/usrp3/top/e31x/sim/dram_test/dram_test_tb.sv create mode 100644 fpga/usrp3/top/e31x/sim/e310_io_tb/Makefile create mode 100644 fpga/usrp3/top/e31x/sim/e310_io_tb/e310_io_tb.sv create mode 100755 fpga/usrp3/top/e31x/sim/e3x0/catcap_ddr_cmos/catcap_tb.build create mode 100644 fpga/usrp3/top/e31x/sim/e3x0/catcap_ddr_cmos/catcap_tb.v create mode 100755 fpga/usrp3/top/e31x/sim/e3x0/catgen_ddr_cmos/catgen_tb.build create mode 100644 fpga/usrp3/top/e31x/sim/e3x0/catgen_ddr_cmos/catgen_tb.v create mode 100644 fpga/usrp3/top/e31x/spi_slave.v create mode 100644 fpga/usrp3/top/e320/.gitignore create mode 100644 fpga/usrp3/top/e320/Makefile create mode 100644 fpga/usrp3/top/e320/Makefile.e320.inc create mode 100644 fpga/usrp3/top/e320/build_e320.tcl create mode 100644 fpga/usrp3/top/e320/dev_config.json create mode 100644 fpga/usrp3/top/e320/dts/dma-common.dtsi create mode 100644 fpga/usrp3/top/e320/dts/e320-common.dtsi create mode 100644 fpga/usrp3/top/e320/dts/e320-fpga.dtsi create mode 100644 fpga/usrp3/top/e320/dts/usrp_e320_fpga_1G.dts create mode 100644 fpga/usrp3/top/e320/dts/usrp_e320_fpga_AA.dts create mode 100644 fpga/usrp3/top/e320/dts/usrp_e320_fpga_XG.dts create mode 100644 fpga/usrp3/top/e320/e320.v create mode 100644 fpga/usrp3/top/e320/e320_10ge.xdc create mode 100644 fpga/usrp3/top/e320/e320_10ge_port0.xdc create mode 100644 fpga/usrp3/top/e320/e320_1ge.xdc create mode 100644 fpga/usrp3/top/e320/e320_aurora.xdc create mode 100644 fpga/usrp3/top/e320/e320_clocking.v create mode 100644 fpga/usrp3/top/e320/e320_core.v create mode 100644 fpga/usrp3/top/e320/e320_dram.xdc create mode 100644 fpga/usrp3/top/e320/e320_rfnoc_image_core.v create mode 100644 fpga/usrp3/top/e320/e320_rfnoc_image_core.yml create mode 100644 fpga/usrp3/top/e320/e320_static_router.hex create mode 100644 fpga/usrp3/top/e320/ip/Makefile.inc create mode 100644 fpga/usrp3/top/e320/ip/aurora_64b66b_pcs_pma/Makefile.inc create mode 100644 fpga/usrp3/top/e320/ip/aurora_64b66b_pcs_pma/aurora_64b66b_pcs_pma.xci create mode 100644 fpga/usrp3/top/e320/ip/aurora_64b66b_pcs_pma/aurora_axis_mac.v create mode 100644 fpga/usrp3/top/e320/ip/aurora_64b66b_pcs_pma/aurora_phy_x1.v create mode 100644 fpga/usrp3/top/e320/ip/axi64_4k_2clk_fifo/Makefile.inc create mode 100644 fpga/usrp3/top/e320/ip/axi64_4k_2clk_fifo/axi64_4k_2clk_fifo.xci create mode 100644 fpga/usrp3/top/e320/ip/axi64_8k_2clk_fifo/Makefile.inc create mode 100644 fpga/usrp3/top/e320/ip/axi64_8k_2clk_fifo/axi64_8k_2clk_fifo.xci create mode 100644 fpga/usrp3/top/e320/ip/axi_eth_dma/Makefile.inc create mode 100644 fpga/usrp3/top/e320/ip/axi_eth_dma/axi_eth_dma.xci create mode 100644 fpga/usrp3/top/e320/ip/axi_intercon_4x64_256_bd/Makefile.inc create mode 100644 fpga/usrp3/top/e320/ip/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd.bd create mode 100644 fpga/usrp3/top/e320/ip/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd.bxml create mode 100644 fpga/usrp3/top/e320/ip/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd_wrapper.v create mode 100644 fpga/usrp3/top/e320/ip/ddr3_32bit/Makefile.inc create mode 100644 fpga/usrp3/top/e320/ip/ddr3_32bit/ddr3_32bit.xci create mode 100644 fpga/usrp3/top/e320/ip/ddr3_32bit/mig_xc7z045ffg900-3.prj create mode 100644 fpga/usrp3/top/e320/ip/e320_ps_bd/Makefile.inc create mode 100644 fpga/usrp3/top/e320/ip/e320_ps_bd/chdr_dma_frame_size.tcl create mode 100644 fpga/usrp3/top/e320/ip/e320_ps_bd/chdr_dma_rx.tcl create mode 100644 fpga/usrp3/top/e320/ip/e320_ps_bd/chdr_dma_top.tcl create mode 100644 fpga/usrp3/top/e320/ip/e320_ps_bd/chdr_dma_tx.tcl create mode 100644 fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.pdf create mode 100644 fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl create mode 100644 fpga/usrp3/top/e320/ip/e320_ps_bd/ps7_init.c create mode 100644 fpga/usrp3/top/e320/ip/e320_ps_bd/ps7_init_gpl.c create mode 100644 fpga/usrp3/top/e320/ip/fifo_4k_2clk/Makefile.inc create mode 100644 fpga/usrp3/top/e320/ip/fifo_4k_2clk/fifo_4k_2clk.xci create mode 100644 fpga/usrp3/top/e320/ip/fifo_short_2clk/Makefile.inc create mode 100644 fpga/usrp3/top/e320/ip/fifo_short_2clk/fifo_short_2clk.xci create mode 100644 fpga/usrp3/top/e320/ip/one_gig_eth_pcs_pma/Makefile.inc create mode 100644 fpga/usrp3/top/e320/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma.xci create mode 100644 fpga/usrp3/top/e320/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_clocking.v.patch create mode 100644 fpga/usrp3/top/e320/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_support.v.patch create mode 100644 fpga/usrp3/top/e320/ip/one_gig_eth_pcs_pma/one_gige_phy.v create mode 100644 fpga/usrp3/top/e320/ip/ten_gig_eth_pcs_pma/Makefile.inc create mode 100644 fpga/usrp3/top/e320/ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.xci create mode 100644 fpga/usrp3/top/e320/ip/ten_gig_eth_pcs_pma/ten_gige_phy.v create mode 100644 fpga/usrp3/top/e320/ip/ten_gig_eth_pcs_pma/ten_gige_phy_clk_gen.v create mode 100644 fpga/usrp3/top/e320/mb_pins.xdc create mode 100644 fpga/usrp3/top/e320/mb_timing.xdc create mode 100644 fpga/usrp3/top/e320/n3xx_mgt_io_core.v create mode 100644 fpga/usrp3/top/e320/n3xx_sfp_wrapper.v create mode 100644 fpga/usrp3/top/e320/setupenv.sh create mode 100644 fpga/usrp3/top/n3xx/.gitignore create mode 100644 fpga/usrp3/top/n3xx/Makefile create mode 100644 fpga/usrp3/top/n3xx/Makefile.n3xx.inc create mode 100644 fpga/usrp3/top/n3xx/WrapBufg.vhd create mode 100644 fpga/usrp3/top/n3xx/build_n3xx.tcl create mode 100644 fpga/usrp3/top/n3xx/coregen_dsp/.gitignore create mode 100644 fpga/usrp3/top/n3xx/coregen_dsp/.lso create mode 100644 fpga/usrp3/top/n3xx/coregen_dsp/Makefile.srcs create mode 100644 fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc create mode 100644 fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.v create mode 100644 fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc create mode 100644 fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.v create mode 100644 fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.ngc create mode 100644 fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.v create mode 100644 fpga/usrp3/top/n3xx/dboards/common/Makefile.srcs create mode 100644 fpga/usrp3/top/n3xx/dboards/common/PkgRegs.vhd create mode 100644 fpga/usrp3/top/n3xx/dboards/common/sync/CrossTrigger.vhd create mode 100644 fpga/usrp3/top/n3xx/dboards/common/sync/Pulser.vhd create mode 100644 fpga/usrp3/top/n3xx/dboards/common/sync/SyncRegsIfc.edf create mode 100644 fpga/usrp3/top/n3xx/dboards/common/sync/TdcCore.edf create mode 100644 fpga/usrp3/top/n3xx/dboards/common/sync/TdcTop.vhd create mode 100644 fpga/usrp3/top/n3xx/dboards/common/sync/TdcWrapper.vhd create mode 100644 fpga/usrp3/top/n3xx/dboards/mg/Makefile.srcs create mode 100644 fpga/usrp3/top/n3xx/dboards/mg/cpld/Makefile create mode 100644 fpga/usrp3/top/n3xx/dboards/mg/cpld/PkgMgCpld.vhd create mode 100644 fpga/usrp3/top/n3xx/dboards/mg/cpld/PkgSetup.vhd create mode 100644 fpga/usrp3/top/n3xx/dboards/mg/cpld/Timing.sdc create mode 100644 fpga/usrp3/top/n3xx/dboards/mg/cpld/TopCpld.qpf create mode 100644 fpga/usrp3/top/n3xx/dboards/mg/cpld/TopCpld.qsf create mode 100644 fpga/usrp3/top/n3xx/dboards/mg/cpld/TopCpld.vhd create mode 100644 fpga/usrp3/top/n3xx/dboards/mg/db0_pins.xdc create mode 100644 fpga/usrp3/top/n3xx/dboards/mg/db1_pins.xdc create mode 100644 fpga/usrp3/top/n3xx/dboards/mg/db_ifc/ClockingRegs.vhd create mode 100644 fpga/usrp3/top/n3xx/dboards/mg/db_ifc/DaughterboardRegs.vhd create mode 100644 fpga/usrp3/top/n3xx/dboards/mg/db_ifc/DbCore.vhd create mode 100644 fpga/usrp3/top/n3xx/dboards/mg/db_ifc/Jesd204bXcvrCore.edf create mode 100644 fpga/usrp3/top/n3xx/dboards/mg/db_ifc/Jesd204bXcvrCore_stub.vhd create mode 100644 fpga/usrp3/top/n3xx/dboards/mg/db_ifc/PkgClockingRegMap.vhd create mode 100644 fpga/usrp3/top/n3xx/dboards/mg/db_ifc/PkgDaughterboardRegMap.vhd create mode 100644 fpga/usrp3/top/n3xx/dboards/mg/db_ifc/PkgJesdConfig.vhd create mode 100644 fpga/usrp3/top/n3xx/dboards/mg/db_ifc/PkgMgPersonality.vhd create mode 100644 fpga/usrp3/top/n3xx/dboards/mg/db_ifc/RadioClocking.vhd create mode 100644 fpga/usrp3/top/n3xx/dboards/mg/db_timing.xdc create mode 100644 fpga/usrp3/top/n3xx/dboards/mg/doc/CPLD.md create mode 100644 fpga/usrp3/top/n3xx/dboards/mg/doc/mg_timing.xlsx create mode 100644 fpga/usrp3/top/n3xx/dboards/mg/n3xx.v create mode 100644 fpga/usrp3/top/n3xx/dboards/rh/Makefile.srcs create mode 100644 fpga/usrp3/top/n3xx/dboards/rh/cpld/Makefile create mode 100644 fpga/usrp3/top/n3xx/dboards/rh/cpld/rh_tb.v create mode 100644 fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_gain_ctrl.v create mode 100644 fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_gain_table.v create mode 100644 fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_lo_gain.v create mode 100644 fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_top.qpf create mode 100644 fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_top.qsf create mode 100644 fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_top.sdc create mode 100644 fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_top.v create mode 100644 fpga/usrp3/top/n3xx/dboards/rh/db_clocks.xdc create mode 100644 fpga/usrp3/top/n3xx/dboards/rh/db_ifc/ClockingRegs.vhd create mode 100644 fpga/usrp3/top/n3xx/dboards/rh/db_ifc/DaughterboardRegs.vhd create mode 100644 fpga/usrp3/top/n3xx/dboards/rh/db_ifc/DbCore.vhd create mode 100644 fpga/usrp3/top/n3xx/dboards/rh/db_ifc/Jesd204bXcvrCore.edf create mode 100644 fpga/usrp3/top/n3xx/dboards/rh/db_ifc/Jesd204bXcvrCore_stub.vhd create mode 100644 fpga/usrp3/top/n3xx/dboards/rh/db_ifc/PkgAdcDacInterfaceTypes.vhd create mode 100644 fpga/usrp3/top/n3xx/dboards/rh/db_ifc/PkgClockingRegMap.vhd create mode 100644 fpga/usrp3/top/n3xx/dboards/rh/db_ifc/PkgDaughterboardRegMap.vhd create mode 100644 fpga/usrp3/top/n3xx/dboards/rh/db_ifc/PkgJesdConfig.vhd create mode 100644 fpga/usrp3/top/n3xx/dboards/rh/db_ifc/PkgRhPersonality.vhd create mode 100644 fpga/usrp3/top/n3xx/dboards/rh/db_ifc/RadioClocking.vhd create mode 100644 fpga/usrp3/top/n3xx/dboards/rh/db_pins.xdc create mode 100644 fpga/usrp3/top/n3xx/dboards/rh/db_timing.xdc create mode 100755 fpga/usrp3/top/n3xx/dboards/rh/doc/rh_timing.xlsx create mode 100644 fpga/usrp3/top/n3xx/dboards/rh/n3xx.v create mode 100644 fpga/usrp3/top/n3xx/dev_config.json create mode 100644 fpga/usrp3/top/n3xx/doc/mb_timing.xlsx create mode 100644 fpga/usrp3/top/n3xx/dts/dma-common.dtsi create mode 100644 fpga/usrp3/top/n3xx/dts/n300-common.dtsi create mode 100644 fpga/usrp3/top/n3xx/dts/n300-fpga.dtsi create mode 100644 fpga/usrp3/top/n3xx/dts/n310-common.dtsi create mode 100644 fpga/usrp3/top/n3xx/dts/n310-fpga.dtsi create mode 100644 fpga/usrp3/top/n3xx/dts/n320-common.dtsi create mode 100644 fpga/usrp3/top/n3xx/dts/n320-fpga.dtsi create mode 100644 fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_AA.dts create mode 100644 fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_HA.dts create mode 100644 fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_HG.dts create mode 100644 fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_WX.dts create mode 100644 fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_XA.dts create mode 100644 fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_XG.dts create mode 100644 fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_AA.dts create mode 100644 fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_HA.dts create mode 100644 fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_HG.dts create mode 100644 fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_WX.dts create mode 100644 fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_XA.dts create mode 100644 fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_XG.dts create mode 100644 fpga/usrp3/top/n3xx/dts/usrp_n320_fpga_AQ.dts create mode 100644 fpga/usrp3/top/n3xx/dts/usrp_n320_fpga_HG.dts create mode 100644 fpga/usrp3/top/n3xx/dts/usrp_n320_fpga_WX.dts create mode 100644 fpga/usrp3/top/n3xx/dts/usrp_n320_fpga_XG.dts create mode 100644 fpga/usrp3/top/n3xx/dts/usrp_n320_fpga_XQ.dts create mode 100644 fpga/usrp3/top/n3xx/ip/Makefile.inc create mode 100644 fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/Makefile.inc create mode 100644 fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/aurora_64b66b_pcs_pma.xci create mode 100644 fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/aurora_axis_mac.v create mode 100644 fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/aurora_phy_clk_gen.v create mode 100644 fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/aurora_phy_mmcm.v create mode 100644 fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/aurora_phy_x1.v create mode 100644 fpga/usrp3/top/n3xx/ip/axi3_to_axi4lite_protocol_converter/Makefile.inc create mode 100644 fpga/usrp3/top/n3xx/ip/axi3_to_axi4lite_protocol_converter/axi3_to_axi4lite_protocol_converter.xci create mode 100644 fpga/usrp3/top/n3xx/ip/axi4_to_axi3_protocol_converter_32/Makefile.inc create mode 100644 fpga/usrp3/top/n3xx/ip/axi4_to_axi3_protocol_converter_32/axi4_to_axi3_protocol_converter_32.xci create mode 100644 fpga/usrp3/top/n3xx/ip/axi4_to_axi3_protocol_converter_64/Makefile.inc create mode 100644 fpga/usrp3/top/n3xx/ip/axi4_to_axi3_protocol_converter_64/axi4_to_axi3_protocol_converter_64.xci create mode 100644 fpga/usrp3/top/n3xx/ip/axi64_4k_2clk_fifo/Makefile.inc create mode 100644 fpga/usrp3/top/n3xx/ip/axi64_4k_2clk_fifo/axi64_4k_2clk_fifo.xci create mode 100644 fpga/usrp3/top/n3xx/ip/axi64_8k_2clk_fifo/Makefile.inc create mode 100644 fpga/usrp3/top/n3xx/ip/axi64_8k_2clk_fifo/axi64_8k_2clk_fifo.xci create mode 100644 fpga/usrp3/top/n3xx/ip/axi_eth_dma/Makefile.inc create mode 100644 fpga/usrp3/top/n3xx/ip/axi_eth_dma/axi_eth_dma.xci create mode 100644 fpga/usrp3/top/n3xx/ip/axi_intercon_2x64_256_bd/Makefile.inc create mode 100644 fpga/usrp3/top/n3xx/ip/axi_intercon_2x64_256_bd/axi_intercon_2x64_256_bd.bd create mode 100644 fpga/usrp3/top/n3xx/ip/axi_intercon_2x64_256_bd/axi_intercon_2x64_256_bd.bxml create mode 100644 fpga/usrp3/top/n3xx/ip/axi_intercon_2x64_256_bd/axi_intercon_2x64_256_bd_wrapper.v create mode 100644 fpga/usrp3/top/n3xx/ip/axi_intercon_4x64_256_bd/Makefile.inc create mode 100644 fpga/usrp3/top/n3xx/ip/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd.bd create mode 100644 fpga/usrp3/top/n3xx/ip/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd.bxml create mode 100644 fpga/usrp3/top/n3xx/ip/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd_wrapper.v create mode 100644 fpga/usrp3/top/n3xx/ip/axi_interconnect/Makefile.inc create mode 100644 fpga/usrp3/top/n3xx/ip/axi_interconnect/axi_interconnect.xci create mode 100644 fpga/usrp3/top/n3xx/ip/axis_fifo_to_axi4lite/Makefile.inc create mode 100644 fpga/usrp3/top/n3xx/ip/axis_fifo_to_axi4lite/axis_fifo_to_axi4lite.xci create mode 100644 fpga/usrp3/top/n3xx/ip/ddr3_32bit/Makefile.inc create mode 100644 fpga/usrp3/top/n3xx/ip/ddr3_32bit/ddr3_32bit.xci create mode 100644 fpga/usrp3/top/n3xx/ip/ddr3_32bit/mig_xc7z035ffg900-2.prj create mode 100644 fpga/usrp3/top/n3xx/ip/ddr3_32bit/mig_xc7z100ffg900-2.prj create mode 100644 fpga/usrp3/top/n3xx/ip/fifo_4k_2clk/Makefile.inc create mode 100644 fpga/usrp3/top/n3xx/ip/fifo_4k_2clk/fifo_4k_2clk.xci create mode 100644 fpga/usrp3/top/n3xx/ip/fifo_short_2clk/Makefile.inc create mode 100644 fpga/usrp3/top/n3xx/ip/fifo_short_2clk/fifo_short_2clk.xci create mode 100644 fpga/usrp3/top/n3xx/ip/hb47_1to2/Makefile.inc create mode 100644 fpga/usrp3/top/n3xx/ip/hb47_1to2/hb47_1to2.xci create mode 100644 fpga/usrp3/top/n3xx/ip/hb47_2to1/Makefile.inc create mode 100644 fpga/usrp3/top/n3xx/ip/hb47_2to1/hb47.coe create mode 100644 fpga/usrp3/top/n3xx/ip/hb47_2to1/hb47_2to1.xci create mode 100644 fpga/usrp3/top/n3xx/ip/misc_clock_gen/Makefile.inc create mode 100644 fpga/usrp3/top/n3xx/ip/misc_clock_gen/misc_clock_gen.xci create mode 100644 fpga/usrp3/top/n3xx/ip/n310_ps_bd/Makefile.inc create mode 100644 fpga/usrp3/top/n3xx/ip/n310_ps_bd/chdr_dma_frame_size.tcl create mode 100644 fpga/usrp3/top/n3xx/ip/n310_ps_bd/chdr_dma_rx.tcl create mode 100644 fpga/usrp3/top/n3xx/ip/n310_ps_bd/chdr_dma_top.tcl create mode 100644 fpga/usrp3/top/n3xx/ip/n310_ps_bd/chdr_dma_tx.tcl create mode 100644 fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl create mode 100644 fpga/usrp3/top/n3xx/ip/one_gig_eth_pcs_pma/Makefile.inc create mode 100644 fpga/usrp3/top/n3xx/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma.xci create mode 100644 fpga/usrp3/top/n3xx/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_clocking.v create mode 100644 fpga/usrp3/top/n3xx/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_support.v create mode 100644 fpga/usrp3/top/n3xx/ip/one_gig_eth_pcs_pma/one_gige_phy.v create mode 100644 fpga/usrp3/top/n3xx/ip/one_gig_eth_pcs_pma/one_gige_phy.xdc create mode 100644 fpga/usrp3/top/n3xx/ip/ten_gig_eth_pcs_pma/Makefile.inc create mode 100644 fpga/usrp3/top/n3xx/ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.xci create mode 100644 fpga/usrp3/top/n3xx/ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_gt_common.v create mode 100644 fpga/usrp3/top/n3xx/ip/ten_gig_eth_pcs_pma/ten_gige_phy.v create mode 100644 fpga/usrp3/top/n3xx/ip/ten_gig_eth_pcs_pma/ten_gige_phy.xdc create mode 100644 fpga/usrp3/top/n3xx/ip/ten_gig_eth_pcs_pma/ten_gige_phy_clk_gen.v create mode 100644 fpga/usrp3/top/n3xx/mb_clocks.xdc create mode 100644 fpga/usrp3/top/n3xx/mb_pins.xdc create mode 100644 fpga/usrp3/top/n3xx/mb_timing.xdc create mode 100644 fpga/usrp3/top/n3xx/n300_bist_image_core.v create mode 100644 fpga/usrp3/top/n3xx/n300_bist_image_core.yml create mode 100644 fpga/usrp3/top/n3xx/n300_bist_static_router.hex create mode 100644 fpga/usrp3/top/n3xx/n300_rfnoc_image_core.v create mode 100644 fpga/usrp3/top/n3xx/n300_rfnoc_image_core.yml create mode 100644 fpga/usrp3/top/n3xx/n300_static_router.hex create mode 100644 fpga/usrp3/top/n3xx/n310_10ge.xdc create mode 100644 fpga/usrp3/top/n3xx/n310_1ge.xdc create mode 100644 fpga/usrp3/top/n3xx/n310_aurora.xdc create mode 100644 fpga/usrp3/top/n3xx/n310_bist_image_core.v create mode 100644 fpga/usrp3/top/n3xx/n310_bist_image_core.yml create mode 100644 fpga/usrp3/top/n3xx/n310_bist_static_router.hex create mode 100644 fpga/usrp3/top/n3xx/n310_dram.xdc create mode 100644 fpga/usrp3/top/n3xx/n310_rfnoc_image_core.v create mode 100644 fpga/usrp3/top/n3xx/n310_rfnoc_image_core.yml create mode 100644 fpga/usrp3/top/n3xx/n310_static_router.hex create mode 100644 fpga/usrp3/top/n3xx/n320_rfnoc_image_core.v create mode 100644 fpga/usrp3/top/n3xx/n320_rfnoc_image_core.yml create mode 100644 fpga/usrp3/top/n3xx/n320_static_router.hex create mode 100644 fpga/usrp3/top/n3xx/n3xx_clocking.v create mode 100644 fpga/usrp3/top/n3xx/n3xx_core.v create mode 100644 fpga/usrp3/top/n3xx/n3xx_db_fe_core.v create mode 100644 fpga/usrp3/top/n3xx/n3xx_mgt_channel_wrapper.v create mode 100644 fpga/usrp3/top/n3xx/n3xx_mgt_io_core.v create mode 100644 fpga/usrp3/top/n3xx/n3xx_mgt_wrapper.v create mode 100644 fpga/usrp3/top/n3xx/n3xx_serial_dac.vhd create mode 100644 fpga/usrp3/top/n3xx/n3xx_serial_dac_arb.vhd create mode 100644 fpga/usrp3/top/n3xx/n3xx_wr.xdc create mode 100644 fpga/usrp3/top/n3xx/n3xx_wr_top.vhd create mode 100644 fpga/usrp3/top/n3xx/setupenv.sh create mode 100644 fpga/usrp3/top/n3xx/sim/arm_to_sfp_loopback/Makefile create mode 100644 fpga/usrp3/top/n3xx/sim/arm_to_sfp_loopback/arm_to_sfp_tb.sv create mode 100644 fpga/usrp3/top/n3xx/sim/aurora_loopback/Makefile create mode 100644 fpga/usrp3/top/n3xx/sim/aurora_loopback/aurora_loopback_tb.sv create mode 100644 fpga/usrp3/top/n3xx/sim/dram_fifo/Makefile create mode 100644 fpga/usrp3/top/n3xx/sim/dram_fifo/axis_dram_fifo_single.sv create mode 100644 fpga/usrp3/top/n3xx/sim/dram_fifo/dram_fifo_tb.sv create mode 100644 fpga/usrp3/top/n3xx/sim/dram_fifo_bist/Makefile create mode 100644 fpga/usrp3/top/n3xx/sim/dram_fifo_bist/dram_fifo_bist_tb.sv create mode 100644 fpga/usrp3/top/n3xx/sim/one_gig_eth_loopback/Makefile create mode 100644 fpga/usrp3/top/n3xx/sim/one_gig_eth_loopback/demo_one_gig_pcs_pma_mdio.v create mode 100644 fpga/usrp3/top/n3xx/sim/one_gig_eth_loopback/one_gig_eth_loopback_tb.sv create mode 100644 fpga/usrp3/top/n3xx/sim/ten_gig_eth_loopback/Makefile create mode 100644 fpga/usrp3/top/n3xx/sim/ten_gig_eth_loopback/ten_gig_eth_loopback_tb.sv create mode 100755 fpga/usrp3/top/python/batch-build create mode 100755 fpga/usrp3/top/python/check_inout.py create mode 100644 fpga/usrp3/top/python/check_timing.py create mode 100644 fpga/usrp3/top/tcl/ise_helper.tcl create mode 100644 fpga/usrp3/top/x300/.gitignore create mode 100644 fpga/usrp3/top/x300/Makefile create mode 100644 fpga/usrp3/top/x300/Makefile.x300.inc create mode 100644 fpga/usrp3/top/x300/build_x300.tcl create mode 100644 fpga/usrp3/top/x300/bus_int.v create mode 100644 fpga/usrp3/top/x300/capture_ddrlvds.v create mode 100644 fpga/usrp3/top/x300/coregen_dsp/.gitignore create mode 100644 fpga/usrp3/top/x300/coregen_dsp/.lso create mode 100644 fpga/usrp3/top/x300/coregen_dsp/Makefile.srcs create mode 100644 fpga/usrp3/top/x300/coregen_dsp/coregen.cgp create mode 100644 fpga/usrp3/top/x300/coregen_dsp/filt2.coe create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hb31.coe create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hb35.coe create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hb39.coe create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hb43.coe create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hb47.coe create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hb51.coe create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hb55.coe create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hb59.coe create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hb63.coe create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec1.asy create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec1.mif create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec1.v create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec1.veo create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec1.xco create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec1COEFF_auto0_0.mif create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec1COEFF_auto0_1.mif create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec1COEFF_auto0_2.mif create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec1COEFF_auto0_3.mif create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec1COEFF_auto0_4.mif create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec1COEFF_auto0_5.mif create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec1COEFF_auto_HALFBAND_CENTRE0.mif create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec1_flist.txt create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec1_readme.txt create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec1_reload_addrfilt_decode_rom.mif create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec1_reload_order.txt create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec1_xmdf.tcl create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec1filt_decode_rom.mif create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec2.asy create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec2.mif create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec2.v create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec2.veo create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec2.xco create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec2COEFF_auto0_0.mif create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec2COEFF_auto0_1.mif create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec2COEFF_auto0_2.mif create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec2COEFF_auto_HALFBAND_CENTRE0.mif create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec2_flist.txt create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec2_readme.txt create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec2_reload_addrfilt_decode_rom.mif create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec2_reload_order.txt create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec2_xmdf.tcl create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec2filt_decode_rom.mif create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec3.asy create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec3.mif create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec3.ngc create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec3.v create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec3.veo create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec3.xco create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec3COEFF_auto0_0.mif create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec3COEFF_auto0_1.mif create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec3COEFF_auto_HALFBAND_CENTRE0.mif create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec3_flist.txt create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec3_readme.txt create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec3_reload_addrfilt_decode_rom.mif create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec3_reload_order.txt create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec3_xmdf.tcl create mode 100644 fpga/usrp3/top/x300/coregen_dsp/hbdec3filt_decode_rom.mif create mode 100644 fpga/usrp3/top/x300/dev_config.json create mode 100644 fpga/usrp3/top/x300/gen_ddrlvds.v create mode 100644 fpga/usrp3/top/x300/ip/Makefile.inc create mode 100644 fpga/usrp3/top/x300/ip/aurora_64b66b_pcs_pma/Makefile.inc create mode 100644 fpga/usrp3/top/x300/ip/aurora_64b66b_pcs_pma/aurora_64b66b_pcs_pma.xci create mode 100644 fpga/usrp3/top/x300/ip/aurora_64b66b_pcs_pma/aurora_axis_mac.v create mode 100644 fpga/usrp3/top/x300/ip/aurora_64b66b_pcs_pma/aurora_phy_clk_gen.v create mode 100644 fpga/usrp3/top/x300/ip/aurora_64b66b_pcs_pma/aurora_phy_x1.v create mode 100644 fpga/usrp3/top/x300/ip/axi4_dualport_sram/Makefile.inc create mode 100644 fpga/usrp3/top/x300/ip/axi4_dualport_sram/axi4_dualport_sram.xci create mode 100644 fpga/usrp3/top/x300/ip/axi64_4k_2clk_fifo/Makefile.inc create mode 100644 fpga/usrp3/top/x300/ip/axi64_4k_2clk_fifo/axi64_4k_2clk_fifo.xci create mode 100644 fpga/usrp3/top/x300/ip/axi64_8k_2clk_fifo/Makefile.inc create mode 100644 fpga/usrp3/top/x300/ip/axi64_8k_2clk_fifo/axi64_8k_2clk_fifo.xci create mode 100644 fpga/usrp3/top/x300/ip/axi_intercon_2x64_128_bd/Makefile.inc create mode 100755 fpga/usrp3/top/x300/ip/axi_intercon_2x64_128_bd/axi_intercon_2x64_128_bd.bd create mode 100755 fpga/usrp3/top/x300/ip/axi_intercon_2x64_128_bd/axi_intercon_2x64_128_bd.bxml create mode 100644 fpga/usrp3/top/x300/ip/axi_intercon_2x64_128_bd/axi_intercon_2x64_128_bd_wrapper.v create mode 100644 fpga/usrp3/top/x300/ip/bootram/Makefile.inc create mode 100644 fpga/usrp3/top/x300/ip/bootram/bootram.coe create mode 100644 fpga/usrp3/top/x300/ip/bootram/bootram.xci create mode 100644 fpga/usrp3/top/x300/ip/bus_clk_gen/Makefile.inc create mode 100644 fpga/usrp3/top/x300/ip/bus_clk_gen/bus_clk_gen.xci create mode 100644 fpga/usrp3/top/x300/ip/ddr3_32bit/Makefile.inc create mode 100644 fpga/usrp3/top/x300/ip/ddr3_32bit/ddr3_32bit.xci create mode 100644 fpga/usrp3/top/x300/ip/ddr3_32bit/mig_xc7k325tffg900-2.prj create mode 100644 fpga/usrp3/top/x300/ip/ddr3_32bit/mig_xc7k410tffg900-2.prj create mode 100644 fpga/usrp3/top/x300/ip/fifo_4k_2clk/Makefile.inc create mode 100644 fpga/usrp3/top/x300/ip/fifo_4k_2clk/fifo_4k_2clk.xci create mode 100644 fpga/usrp3/top/x300/ip/fifo_short_2clk/Makefile.inc create mode 100644 fpga/usrp3/top/x300/ip/fifo_short_2clk/fifo_short_2clk.xci create mode 100644 fpga/usrp3/top/x300/ip/input_sample_fifo/Makefile.inc create mode 100644 fpga/usrp3/top/x300/ip/input_sample_fifo/input_sample_fifo.xci create mode 100644 fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/Makefile.inc create mode 100644 fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma.xci create mode 100644 fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_clocking.v.patch create mode 100644 fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_support.v.patch create mode 100644 fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/one_gige_phy.v create mode 100644 fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/one_gige_phy.xdc create mode 100644 fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/one_gige_phy_clk_gen.v create mode 100644 fpga/usrp3/top/x300/ip/pcie_clk_gen/Makefile.inc create mode 100644 fpga/usrp3/top/x300/ip/pcie_clk_gen/pcie_clk_gen.xci create mode 100644 fpga/usrp3/top/x300/ip/radio_clk_gen/Makefile.inc create mode 100644 fpga/usrp3/top/x300/ip/radio_clk_gen/radio_clk_gen.xci create mode 100644 fpga/usrp3/top/x300/ip/radio_clk_gen/radio_clk_gen.xdc.patch create mode 100644 fpga/usrp3/top/x300/ip/ten_gig_eth_pcs_pma/Makefile.inc create mode 100644 fpga/usrp3/top/x300/ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.xci create mode 100644 fpga/usrp3/top/x300/ip/ten_gig_eth_pcs_pma/ten_gige_phy.v create mode 100644 fpga/usrp3/top/x300/ip/ten_gig_eth_pcs_pma/ten_gige_phy.xdc create mode 100644 fpga/usrp3/top/x300/ip/ten_gig_eth_pcs_pma/ten_gige_phy_clk_gen.v create mode 100644 fpga/usrp3/top/x300/nirio_chdr64_adapter.v create mode 100644 fpga/usrp3/top/x300/setupenv.sh create mode 100644 fpga/usrp3/top/x300/sim/aurora_loopback/Makefile create mode 100644 fpga/usrp3/top/x300/sim/aurora_loopback/aurora_loopback_tb.sv create mode 100644 fpga/usrp3/top/x300/sim/dram_fifo/Makefile create mode 100644 fpga/usrp3/top/x300/sim/dram_fifo/axis_dram_fifo_single.sv create mode 100644 fpga/usrp3/top/x300/sim/dram_fifo/dram_fifo_tb.sv create mode 100644 fpga/usrp3/top/x300/sim/dram_fifo_bist/Makefile create mode 100644 fpga/usrp3/top/x300/sim/dram_fifo_bist/dram_fifo_bist_tb.sv create mode 100644 fpga/usrp3/top/x300/sim/x300_pcie_int/Makefile create mode 100644 fpga/usrp3/top/x300/sim/x300_pcie_int/x300_pcie_int_tb.sv create mode 100644 fpga/usrp3/top/x300/soft_ctrl.v create mode 100644 fpga/usrp3/top/x300/timing.xdc create mode 100644 fpga/usrp3/top/x300/x300.v create mode 100644 fpga/usrp3/top/x300/x300.xdc create mode 100644 fpga/usrp3/top/x300/x300_10ge.xdc create mode 100644 fpga/usrp3/top/x300/x300_10ge_port0.xdc create mode 100644 fpga/usrp3/top/x300/x300_10ge_port1.xdc create mode 100644 fpga/usrp3/top/x300/x300_1ge.xdc create mode 100644 fpga/usrp3/top/x300/x300_aurora.xdc create mode 100644 fpga/usrp3/top/x300/x300_core.v create mode 100644 fpga/usrp3/top/x300/x300_dram.xdc create mode 100644 fpga/usrp3/top/x300/x300_eth_interface.v create mode 100644 fpga/usrp3/top/x300/x300_pcie_int.v create mode 100644 fpga/usrp3/top/x300/x300_rfnoc_image_core.v create mode 100644 fpga/usrp3/top/x300/x300_rfnoc_image_core.yml create mode 100644 fpga/usrp3/top/x300/x300_sfpp_io_core.v create mode 100644 fpga/usrp3/top/x300/x300_static_router.hex create mode 100644 fpga/usrp3/top/x300/x300_zpu_config.vhd create mode 100644 fpga/usrp3/top/x300/x310_rfnoc_image_core.v create mode 100644 fpga/usrp3/top/x300/x310_rfnoc_image_core.yml create mode 100644 fpga/usrp3/top/x300/x310_static_router.hex create mode 100644 fpga/usrp3/top/x300/x3x0_base.lvbitx (limited to 'fpga/usrp3/top') diff --git a/fpga/usrp3/top/Makefile.common b/fpga/usrp3/top/Makefile.common new file mode 100644 index 000000000..e005fcb8a --- /dev/null +++ b/fpga/usrp3/top/Makefile.common @@ -0,0 +1,59 @@ +# +# Copyright 2008-2013 Ettus Research LLC +# + +################################################## +# Constants +################################################## +ISE_VER = $(shell xtclsh -h | head -n1 | cut -f2 -d" " | cut -f1 -d.) +ifeq ($(ISE_VER),10) + ISE_EXT = ise +else + ISE_EXT = xise +endif +BASE_DIR = $(abspath ..) +ISE_HELPER = xtclsh $(BASE_DIR)/tcl/ise_helper.tcl +SANITY_CHECKER = python $(BASE_DIR)/python/check_inout.py +TIMING_CHECKER = python $(BASE_DIR)/python/check_timing.py +ISE_FILE = $(BUILD_DIR)/$(TOP_MODULE).$(ISE_EXT) +BIN_FILE = $(BUILD_DIR)/$(TOP_MODULE).bin +BIT_FILE = $(BUILD_DIR)/$(TOP_MODULE).bit +TWR_FILE = $(BUILD_DIR)/$(TOP_MODULE).twr + +################################################## +# Global Targets +################################################## +all: bin + +proj: $(ISE_FILE) + +check: $(ISE_FILE) + #$(SANITY_CHECKER) $(TOP_MODULE).v $(TOP_MODULE).ucf + $(ISE_HELPER) "Check Syntax" + +synth: $(ISE_FILE) + $(ISE_HELPER) "Synthesize - XST" + +#bin: check $(BIN_FILE) +bin: $(BIN_FILE) $(BIT_FILE) + $(TIMING_CHECKER) $(TWR_FILE) + +clean: + $(RM) -r $(BUILD_DIR) + +.PHONY: all proj check synth bin mcs clean + +################################################## +# Dependency Targets +################################################## +.SECONDEXPANSION: +$(ISE_FILE): $$(SOURCES) $$(MAKEFILE_LIST) + @echo $@ + $(ISE_HELPER) "" + +$(BIN_FILE): $(ISE_FILE) $$(SOURCES) $$(MAKEFILE_LIST) + @echo $@ + $(ISE_HELPER) "Generate Programming File" 2>&1 | tee $(BUILD_DIR)/build.log + touch $@ + +.EXPORT_ALL_VARIABLES: diff --git a/fpga/usrp3/top/b200/.gitignore b/fpga/usrp3/top/b200/.gitignore new file mode 100644 index 000000000..376246b05 --- /dev/null +++ b/fpga/usrp3/top/b200/.gitignore @@ -0,0 +1,5 @@ +build* +catcap_tb +catgen_tb +fuse* +isim* diff --git a/fpga/usrp3/top/b200/Makefile b/fpga/usrp3/top/b200/Makefile new file mode 100644 index 000000000..8a3569e00 --- /dev/null +++ b/fpga/usrp3/top/b200/Makefile @@ -0,0 +1,97 @@ +# +# Copyright 2012-2014 Ettus Research LLC +# + +# NOTE: All comments prefixed with a "##" will be displayed as a part of the "make help" target +##------------------- +##USRP B2X0 FPGA Help +##------------------- +##Usage: +## make +## +##Output: +## build/usrp__fpga.bit: Configuration bitstream with header +## build/usrp__fpga.bin: Configuration bitstream without header +## build/usrp__fpga.syr: Xilinx system report +## build/usrp__fpga.twr: Xilinx timing report + +# Build USRP B2x0 design with a debug UART on J400 +ifeq ($(DEBUG_UART),1) +OPTIONS += DEBUG_UART=1 +endif + +print_report = \ + echo "========================================================================"; \ + cat $(1)/b200.syr | grep "Device utilization summary:" -A 30; \ + echo "========================================================================"; \ + echo "Timing Summary:\n"; \ + cat $(1)/b200.twr | grep constraint | grep met | grep -v "*"; \ + echo "========================================================================"; + +# pre_build($1=Device) +ifeq ($(EXPORT_ONLY),1) + pre_build = @test -s build-$(1)/b200.bit || { echo "EXPORT_ONLY requires the project in build-$(1) to be fully built."; false; } +else + pre_build = @echo "ISE Version: $(shell xtclsh -h | head -n1)" +endif + +# ise_build($1=Device, $2=Definitions) +ifeq ($(PROJECT_ONLY),1) + ise_build = make -f Makefile.b200.inc proj NAME=$@ DEVICE=$1 EXTRA_DEFS="$2 $(OPTIONS)" +else ifeq ($(EXPORT_ONLY),1) + ise_build = @echo "Skipping ISE build and exporting pre-built files."; +else + ise_build = make -f Makefile.b200.inc bin NAME=$@ DEVICE=$1 EXTRA_DEFS="$2 $(OPTIONS)" +endif + +# post_build($1=Device) +ifeq ($(PROJECT_ONLY),1) + post_build = \ + @echo "Generated $(shell pwd)/build-$(1)/b200.xise"; \ + echo "\nProject Generation DONE ... $(1)\n"; +else + post_build = \ + @$(call print_report,build-$(1)) \ + mkdir -p build; \ + echo "Exporting bitstream files..."; \ + cp build-$(1)/b200.bin build/usrp_`echo $(1) | tr A-Z a-z`_fpga.bin; \ + cp build-$(1)/b200.bit build/usrp_`echo $(1) | tr A-Z a-z`_fpga.bit; \ + echo "Exporting logs..."; \ + cp build-$(1)/b200.syr build/usrp_`echo $(1) | tr A-Z a-z`_fpga.syr; \ + cp build-$(1)/b200.twr build/usrp_`echo $(1) | tr A-Z a-z`_fpga.twr; \ + echo "\nBuild DONE ... $(1)\n"; +endif + +## +##Supported Targets +##----------------- + +all: B200 B210 ##(Default target) + +B200: ##Build USRP B200 design. + $(call pre_build,B200) + $(call ise_build,XC6SLX75,) + $(call post_build,B200) + +B210: ##Build USRP B210 design. + $(call pre_build,B210) + $(call ise_build,XC6SLX150,TARGET_B210=1) + $(call post_build,B210) + +clean: ##Clean up all build output. + rm -rf build-* + rm -rf build + +help: ## Show this help message. + @grep -h "##" Makefile | grep -v "\"##\"" | sed -e 's/\\$$//' | sed -e 's/##//' + +## +##Supported Options +##----------------- +##PROJECT_ONLY=1 Only create a Xilinx project for the specified target(s). +## Useful for use with the ISE GUI. +##EXPORT_ONLY=1 Export build targets from a GUI build to the build directory. +## Requires the project in build-*_* to be built. +##DEBUG_UART=1 Build USRP B2x0 design with a debug UART on J400 + +.PHONY: all clean help diff --git a/fpga/usrp3/top/b200/Makefile.b200.inc b/fpga/usrp3/top/b200/Makefile.b200.inc new file mode 100644 index 000000000..788280c6a --- /dev/null +++ b/fpga/usrp3/top/b200/Makefile.b200.inc @@ -0,0 +1,143 @@ +# +# Copyright 2012-2013 Ettus Research LLC +# + +################################################## +# Project Setup +################################################## +TOP_MODULE := b200 +BUILD_DIR := build-$(NAME)/ +export PROJ_FILE := $(BUILD_DIR)$(TOP_MODULE).ise + +# set me in a custom makefile +CUSTOM_SRCS = +CUSTOM_DEFS = + +include ../Makefile.common +include ../../lib/gpif2/Makefile.srcs +include ../../lib/fifo/Makefile.srcs +include ../../lib/fifo_200/Makefile.srcs +include ../../lib/dsp/Makefile.srcs +include ../../lib/control/Makefile.srcs +include ../../lib/control_200/Makefile.srcs +include ../../lib/packet_proc/Makefile.srcs +include ../../lib/packet_proc_200/Makefile.srcs +include ../../lib/timing/Makefile.srcs +include ../../lib/vita_200/Makefile.srcs +include ../../lib/wishbone/Makefile.srcs +include ../../lib/axi/Makefile.srcs +include ../../lib/radio_200/Makefile.srcs +include ../../lib/io_cap_gen/Makefile.srcs +include coregen_dsp/Makefile.srcs + + +B200_COREGEN_SRCS = \ +coregen/b200_clk_gen.v \ +coregen/fifo_4k_2clk.xco \ +coregen/fifo_short_2clk.xco \ +coregen/chipscope_icon.v \ +coregen/chipscope_icon.xco \ +coregen/chipscope_ila_32.v \ +coregen/chipscope_ila_32.xco \ +coregen/chipscope_ila_128.v \ +coregen/chipscope_ila_128.xco \ +coregen/chipscope_ila_256.v \ +coregen/chipscope_ila_256.xco + + + +################################################## +# Project Properties +################################################## +export PROJECT_PROPERTIES := \ +family "Spartan6" \ +device $(DEVICE) \ +package fgg484 \ +speed -3 \ +top_level_module_type "HDL" \ +synthesis_tool "XST (VHDL/Verilog)" \ +simulator "ISE Simulator (VHDL/Verilog)" \ +"Preferred Language" "Verilog" \ +"Enable Message Filtering" FALSE \ +"Display Incremental Messages" FALSE + +################################################## +# Sources +################################################## +TOP_SRCS = \ +b200.v \ +b200_core.v \ +b200_io.v \ +b200.ucf \ +timing.ucf \ +S6CLK2PIN.v \ +$(B200_COREGEN_SRCS) + +# GPIO only available for B210 +# The LX75 on the B200 is missing the connection from the balls to the internal fabric +ifeq ($(NAME),B210) +TOP_SRCS+=gpio.ucf +endif + +SOURCES = $(abspath $(TOP_SRCS)) $(FIFO_SRCS) $(FIFO_200_SRCS) \ +$(CONTROL_LIB_SRCS) $(CONTROL_LIB_200_SRCS) $(SDR_LIB_SRCS) \ +$(TIMING_SRCS) $(OPENCORES_SRCS) \ +$(VRT_SRCS) \ +$(GPIF2_SRCS) $(PACKET_PROC_SRCS) $(PACKET_PROC_200_SRCS) \ +$(WISHBONE_SRCS) \ +$(TIMING_SRCS) \ +$(DSP_SRCS) \ +$(VITA_200_SRCS) \ +$(AXI_SRCS) \ +$(RADIO_200_SRCS) \ +$(CAT_CAP_GEN_SRCS) \ +$(COREGEN_DSP_SRCS) + +################################################## +# Process Properties +################################################## +SYNTHESIZE_PROPERTIES = \ +"Pack I/O Registers into IOBs" Yes \ +"Optimization Effort" High \ +"Optimize Instantiated Primitives" TRUE \ +"Register Balancing" Yes \ +"Use Clock Enable" Auto \ +"Use Synchronous Reset" Auto \ +"Use Synchronous Set" Auto \ +"Verilog Macros" "SPARTAN6=1 $(EXTRA_DEFS) $(CUSTOM_DEFS)" \ +"Other XST Command Line Options" "-loop_iteration_limit 16385" + +#"Number of Clock Buffers" 8 \ + +TRANSLATE_PROPERTIES = \ +"Macro Search Path" "$(shell pwd)/../../coregen/" + +MAP_PROPERTIES = \ +"Generate Detailed MAP Report" TRUE \ +"Allow Logic Optimization Across Hierarchy" TRUE \ +"Map to Input Functions" 4 \ +"Optimization Strategy (Cover Mode)" Speed \ +"Pack I/O Registers/Latches into IOBs" "For Inputs and Outputs" \ +"Perform Timing-Driven Packing and Placement" TRUE \ +"Map Effort Level" High \ +"Extra Effort" Normal \ +"Combinatorial Logic Optimization" TRUE \ +"Register Duplication" TRUE + +PLACE_ROUTE_PROPERTIES = \ +"Place & Route Effort Level (Overall)" High + +STATIC_TIMING_PROPERTIES = \ +"Number of Paths in Error/Verbose Report" 10 \ +"Report Type" "Error Report" + +GEN_PROG_FILE_PROPERTIES = \ +"Create Binary Configuration File" TRUE \ +"Done (Output Events)" 5 \ +"Enable Bitstream Compression" TRUE \ +"Unused IOB Pins" "Pull Up" + +#"Configuration Rate" 6 \ +#"Enable Outputs (Output Events)" 6 \ + +SIM_MODEL_PROPERTIES = "" diff --git a/fpga/usrp3/top/b200/S6CLK2PIN.v b/fpga/usrp3/top/b200/S6CLK2PIN.v new file mode 100644 index 000000000..a9d6332ef --- /dev/null +++ b/fpga/usrp3/top/b200/S6CLK2PIN.v @@ -0,0 +1,23 @@ +module S6CLK2PIN +( + input I, + output O +); + + ODDR2 #( + .DDR_ALIGNMENT("NONE"), // to "NONE", "C0" or "C1" + .INIT(1'b0), // output to 1'b0 or 1'b1 + .SRTYPE("ASYNC")) // set/reset "SYNC" or "ASYNC" + + ODDR2_S6CLK2PIN + ( + .Q(O), // 1-bit DDR output data + .C0(I), // 1-bit clock input + .C1(~I), // 1-bit clock input + .CE(1'b1), // 1-bit clock enable input + .D0(1'b1), // 1-bit data input (associated with C0) + .D1(1'b0), // 1-bit data input (associated with C1) + .R(1'b0), // 1-bit reset input + .S(1'b0) );// 1-bit set input + +endmodule //S6CLK2PIN diff --git a/fpga/usrp3/top/b200/b200.ucf b/fpga/usrp3/top/b200/b200.ucf new file mode 100644 index 000000000..501b06c33 --- /dev/null +++ b/fpga/usrp3/top/b200/b200.ucf @@ -0,0 +1,245 @@ +## SPI Nets + +NET "cat_ce" LOC = "Y1" | IOSTANDARD = LVCMOS18; +NET "cat_miso" LOC = "V1" | IOSTANDARD = LVCMOS18; +NET "cat_mosi" LOC = "T4" | IOSTANDARD = LVCMOS18; +NET "cat_sclk" LOC = "P7" | IOSTANDARD = LVCMOS18; + +NET "fx3_ce" LOC = "H20" | IOSTANDARD = LVCMOS18 ; +NET "fx3_miso" LOC = "G20" | IOSTANDARD = LVCMOS18 ; +NET "fx3_mosi" LOC = "AA20" | IOSTANDARD = LVCMOS18 ; +#NET "fx3_mosi" LOC = "A9" | IOSTANDARD = LVCMOS33 ; +NET "fx3_sclk" LOC = "Y21" | IOSTANDARD = LVCMOS18 ; + +NET "pll_ce" LOC = "W11" | IOSTANDARD = LVCMOS18 ; +NET "pll_mosi" LOC = "AB11" | IOSTANDARD = LVCMOS18 ; +NET "pll_sclk" LOC = "Y12" | IOSTANDARD = LVCMOS18 ; + +## UART header not always connected so has pullups. Can also be GPIO as build option. +NET "FPGA_RXD0" LOC = "AB8" | IOSTANDARD = LVCMOS18 | PULLUP ; +NET "FPGA_TXD0" LOC = "AB7" | IOSTANDARD = LVCMOS18 | PULLUP ; + +## Catalina Controls + +NET "codec_enable" LOC = "J6" | IOSTANDARD = LVCMOS18; +NET "codec_en_agc" LOC = "P6" | IOSTANDARD = LVCMOS18; +NET "codec_reset" LOC = "Y2" | IOSTANDARD = LVCMOS18; +NET "codec_sync" LOC = "M3" | IOSTANDARD = LVCMOS18; +NET "codec_txrx" LOC = "M7" | IOSTANDARD = LVCMOS18; + +NET "codec_ctrl_in<0>" LOC = "E3" | IOSTANDARD = LVCMOS18; +NET "codec_ctrl_in<1>" LOC = "F2" | IOSTANDARD = LVCMOS18; +NET "codec_ctrl_in<2>" LOC = "F1" | IOSTANDARD = LVCMOS18; +NET "codec_ctrl_in<3>" LOC = "E1" | IOSTANDARD = LVCMOS18; + +NET "codec_ctrl_out<0>" LOC = "D1" | IOSTANDARD = LVCMOS18; +NET "codec_ctrl_out<1>" LOC = "C1" | IOSTANDARD = LVCMOS18; +NET "codec_ctrl_out<2>" LOC = "H3" | IOSTANDARD = LVCMOS18; +NET "codec_ctrl_out<3>" LOC = "F3" | IOSTANDARD = LVCMOS18; +NET "codec_ctrl_out<4>" LOC = "P1" | IOSTANDARD = LVCMOS18; +NET "codec_ctrl_out<5>" LOC = "J1" | IOSTANDARD = LVCMOS18; +NET "codec_ctrl_out<6>" LOC = "B1" | IOSTANDARD = LVCMOS18; +NET "codec_ctrl_out<7>" LOC = "H2" | IOSTANDARD = LVCMOS18; + +## Catalina Data TX + +NET "tx_codec_d<0>" LOC = "T2" | IOSTANDARD = LVCMOS18 ; +NET "tx_codec_d<1>" LOC = "R1" | IOSTANDARD = LVCMOS18 ; +NET "tx_codec_d<2>" LOC = "V2" | IOSTANDARD = LVCMOS18 ; +NET "tx_codec_d<3>" LOC = "N1" | IOSTANDARD = LVCMOS18 ; +NET "tx_codec_d<4>" LOC = "V3" | IOSTANDARD = LVCMOS18 ; +NET "tx_codec_d<5>" LOC = "T1" | IOSTANDARD = LVCMOS18 ; +NET "tx_codec_d<6>" LOC = "W1" | IOSTANDARD = LVCMOS18 ; +NET "tx_codec_d<7>" LOC = "U1" | IOSTANDARD = LVCMOS18 ; +NET "tx_codec_d<8>" LOC = "W3" | IOSTANDARD = LVCMOS18 ; +NET "tx_codec_d<9>" LOC = "U3" | IOSTANDARD = LVCMOS18 ; +NET "tx_codec_d<10>" LOC = "P2" | IOSTANDARD = LVCMOS18 ; +NET "tx_codec_d<11>" LOC = "R3" | IOSTANDARD = LVCMOS18 ; +NET "tx_codec_d*" DRIVE = 2; + +## Catalina Data RX + +NET "rx_codec_d<0>" LOC = "M1" | IOSTANDARD = LVCMOS18 ; +NET "rx_codec_d<1>" LOC = "K1" | IOSTANDARD = LVCMOS18 ; +NET "rx_codec_d<2>" LOC = "K2" | IOSTANDARD = LVCMOS18 ; +NET "rx_codec_d<3>" LOC = "G3" | IOSTANDARD = LVCMOS18 ; +NET "rx_codec_d<4>" LOC = "M2" | IOSTANDARD = LVCMOS18 ; +NET "rx_codec_d<5>" LOC = "J4" | IOSTANDARD = LVCMOS18 ; +NET "rx_codec_d<6>" LOC = "L3" | IOSTANDARD = LVCMOS18 ; +NET "rx_codec_d<7>" LOC = "H1" | IOSTANDARD = LVCMOS18 ; +NET "rx_codec_d<8>" LOC = "L4" | IOSTANDARD = LVCMOS18 ; +NET "rx_codec_d<9>" LOC = "G1" | IOSTANDARD = LVCMOS18 ; +NET "rx_codec_d<10>" LOC = "N3" | IOSTANDARD = LVCMOS18 ; +NET "rx_codec_d<11>" LOC = "M4" | IOSTANDARD = LVCMOS18 ; +NET "rx_codec_d*" DRIVE = 2; + +## Catalina Clocks + +NET "cat_clkout_fpga" LOC = "J3" | IOSTANDARD = LVCMOS18; +NET "codec_data_clk_p" LOC = "K3" | IOSTANDARD = LVCMOS18; +NET "codec_fb_clk_p" LOC = "P3" | IOSTANDARD = LVCMOS18 | DRIVE = 2 ; +NET "codec_main_clk_p" LOC = "K5" | IOSTANDARD = LVDS_25; +NET "codec_main_clk_n" LOC = "K4" | IOSTANDARD = LVDS_25; + +NET "rx_frame_p" LOC = "U4" | IOSTANDARD = LVCMOS18; +NET "tx_frame_p" LOC = "T3" | IOSTANDARD = LVCMOS18 | DRIVE = 2 ; + +## Debug Bus + +NET "debug<0>" LOC = "D10" | IOSTANDARD = LVCMOS33 ; +NET "debug<1>" LOC = "D9" | IOSTANDARD = LVCMOS33 ; +NET "debug<2>" LOC = "A8" | IOSTANDARD = LVCMOS33 ; +NET "debug<3>" LOC = "B8" | IOSTANDARD = LVCMOS33 ; +NET "debug<4>" LOC = "C8" | IOSTANDARD = LVCMOS33 ; +NET "debug<5>" LOC = "D8" | IOSTANDARD = LVCMOS33 ; +NET "debug<6>" LOC = "A7" | IOSTANDARD = LVCMOS33 ; +NET "debug<7>" LOC = "D7" | IOSTANDARD = LVCMOS33 ; +NET "debug<8>" LOC = "A6" | IOSTANDARD = LVCMOS33 ; +NET "debug<9>" LOC = "B6" | IOSTANDARD = LVCMOS33 ; +NET "debug<10>" LOC = "C6" | IOSTANDARD = LVCMOS33 ; +NET "debug<11>" LOC = "D6" | IOSTANDARD = LVCMOS33 ; +NET "debug<12>" LOC = "A5" | IOSTANDARD = LVCMOS33 ; +NET "debug<13>" LOC = "A4" | IOSTANDARD = LVCMOS33 ; +NET "debug<14>" LOC = "C5" | IOSTANDARD = LVCMOS33 ; +NET "debug<15>" LOC = "A3" | IOSTANDARD = LVCMOS33 ; +NET "debug<16>" LOC = "A18" | IOSTANDARD = LVCMOS33 ; +NET "debug<17>" LOC = "B18" | IOSTANDARD = LVCMOS33 ; +NET "debug<18>" LOC = "A17" | IOSTANDARD = LVCMOS33 ; +NET "debug<19>" LOC = "C17" | IOSTANDARD = LVCMOS33 ; +NET "debug<20>" LOC = "C14" | IOSTANDARD = LVCMOS33 ; +NET "debug<21>" LOC = "D12" | IOSTANDARD = LVCMOS33 ; +NET "debug<22>" LOC = "C10" | IOSTANDARD = LVCMOS33 ; +NET "debug<23>" LOC = "F15" | IOSTANDARD = LVCMOS33 ; +NET "debug<24>" LOC = "E14" | IOSTANDARD = LVCMOS33 ; +NET "debug<25>" LOC = "F14" | IOSTANDARD = LVCMOS33 ; +NET "debug<26>" LOC = "H14" | IOSTANDARD = LVCMOS33 ; +NET "debug<27>" LOC = "D13" | IOSTANDARD = LVCMOS33 ; +NET "debug<28>" LOC = "F13" | IOSTANDARD = LVCMOS33 ; +NET "debug<29>" LOC = "G13" | IOSTANDARD = LVCMOS33 ; +NET "debug<30>" LOC = "E12" | IOSTANDARD = LVCMOS33 ; +NET "debug<31>" LOC = "H13" | IOSTANDARD = LVCMOS33 ; + +NET "debug_clk<0>" LOC = "A12" | IOSTANDARD = LVCMOS33 ; +NET "debug_clk<1>" LOC = "C12" | IOSTANDARD = LVCMOS33 ; + +NET "debug*" DRIVE = 2; + +## GPIF + +NET "IFCLK" LOC = "H21" | IOSTANDARD = LVCMOS18 ; +NET "FX3_EXTINT" LOC = "U20" | IOSTANDARD = LVCMOS18 ; + +NET "IFCLK" DRIVE = 8; +NET "IFCLK" SLEW = SLOW; + +NET "GPIF_CTL0" LOC = "P22" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_CTL1" LOC = "N22" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_CTL2" LOC = "AA18" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_CTL3" LOC = "AB18" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_CTL4" LOC = "P19" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_CTL5" LOC = "AA2" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_CTL6" LOC = "M22" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_CTL7" LOC = "AB19" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_CTL8" LOC = "M19" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_CTL9" LOC = "R20" | IOSTANDARD = LVCMOS18 ; +##GPIF_CTL10 is "FPGA_CFG_DONE", defined later. +NET "GPIF_CTL11" LOC = "M21" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_CTL12" LOC = "M20" | IOSTANDARD = LVCMOS18 ; + +NET "GPIF_D<0>" LOC = "T17" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<1>" LOC = "U14" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<2>" LOC = "U13" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<3>" LOC = "AA6" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<4>" LOC = "AB6" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<5>" LOC = "Y3" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<6>" LOC = "AB3" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<7>" LOC = "AA4" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<8>" LOC = "V20" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<9>" LOC = "AB2" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<10>" LOC = "V21" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<11>" LOC = "T22" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<12>" LOC = "U22" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<13>" LOC = "R22" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<14>" LOC = "AA12" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<15>" LOC = "AB12" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<16>" LOC = "Y13" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<17>" LOC = "N20" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<18>" LOC = "T21" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<19>" LOC = "K18" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<20>" LOC = "H22" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<21>" LOC = "J20" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<22>" LOC = "K19" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<23>" LOC = "L19" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<24>" LOC = "N19" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<25>" LOC = "K22" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<26>" LOC = "L22" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<27>" LOC = "L20" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<28>" LOC = "J22" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<29>" LOC = "K20" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<30>" LOC = "G22" | IOSTANDARD = LVCMOS18 ; +NET "GPIF_D<31>" LOC = "F22" | IOSTANDARD = LVCMOS18 ; + +NET "GPIF_*" DRIVE = 2; +NET "GPIF_*" SLEW = SLOW; + +## GPS + +NET "gps_lock" LOC = "B14" | IOSTANDARD = LVCMOS33 ; +NET "gps_rxd" LOC = "A15" | IOSTANDARD = LVCMOS33 ; +NET "gps_txd" LOC = "A14" | IOSTANDARD = LVCMOS33 | PULLUP; +NET "gps_txd_nmea" LOC = "C15" | IOSTANDARD = LVCMOS33 | PULLUP ; + +## LEDS + +NET "LED_RX1" LOC = "C22" | IOSTANDARD = LVCMOS18 ; +NET "LED_RX2" LOC = "L15" | IOSTANDARD = LVCMOS18 ; +NET "LED_TXRX1_TX" LOC = "C20" | IOSTANDARD = LVCMOS18 ; +NET "LED_TXRX2_RX" LOC = "D21" | IOSTANDARD = LVCMOS18 ; +NET "LED_TXRX1_RX" LOC = "K16" | IOSTANDARD = LVCMOS18 ; +NET "LED_TXRX2_TX" LOC = "D22" | IOSTANDARD = LVCMOS18 ; + +## Misc Hardware Control +NET "ref_sel" LOC = "AA14" | IOSTANDARD = LVCMOS18 ; +NET "pll_lock" LOC = "AB10" | IOSTANDARD = LVCMOS18 ; +NET "AUX_PWR_ON" LOC = "B16" | IOSTANDARD = LVCMOS33 ; +#NET "RFUSE" LOC = "P15" | IOSTANDARD = LVCMOS33 ; + +## PPS + +NET "PPS_IN_EXT" LOC = "B10" | IOSTANDARD = LVCMOS33 ; +NET "PPS_IN_INT" LOC = "A10" | IOSTANDARD = LVCMOS33 ; + +## RF Hardware Control + +NET "SFDX1_RX" LOC = "A16" | IOSTANDARD = LVCMOS33 ; +NET "SFDX1_TX" LOC = "D14" | IOSTANDARD = LVCMOS33 ; +NET "SFDX2_RX" LOC = "C11" | IOSTANDARD = LVCMOS33 ; +NET "SFDX2_TX" LOC = "A11" | IOSTANDARD = LVCMOS33 ; +NET "SRX1_RX" LOC = "D15" | IOSTANDARD = LVCMOS33 ; +NET "SRX1_TX" LOC = "C16" | IOSTANDARD = LVCMOS33 ; +NET "SRX2_RX" LOC = "B12" | IOSTANDARD = LVCMOS33 ; +NET "SRX2_TX" LOC = "D11" | IOSTANDARD = LVCMOS33 ; +NET "tx_bandsel_a" LOC = "C13" | IOSTANDARD = LVCMOS33 ; +NET "tx_bandsel_b" LOC = "D17" | IOSTANDARD = LVCMOS33 ; +NET "tx_enable1" LOC = "Y4" | IOSTANDARD = LVCMOS18 ; +NET "tx_enable2" LOC = "R19" | IOSTANDARD = LVCMOS18 ; +NET "rx_bandsel_a" LOC = "C9" | IOSTANDARD = LVCMOS33 ; +NET "rx_bandsel_b" LOC = "A13" | IOSTANDARD = LVCMOS33 ; +NET "rx_bandsel_c" LOC = "E16" | IOSTANDARD = LVCMOS33 ; + +## FPGA Config Pins + +#NET "FPGA_CFG_INIT_B" LOC = "T6" | IOSTANDARD = LVCMOS18 ; +#NET "FPGA_CFG_DONE" LOC = "Y22" | IOSTANDARD = LVCMOS18 ; +#NET "FPGA_CFG_M0" LOC = "AA22" | IOSTANDARD = LVCMOS18 ; +#NET "FPGA_CFG_M1" LOC = "U15" | IOSTANDARD = LVCMOS18 ; +#NET "FPGA_CFG_PROG_B" LOC = "AA1" | IOSTANDARD = LVCMOS18 ; + +## Special Pins + +#NET "VFS" LOC = "P16" | IOSTANDARD = LVCMOS33 ; +#NET "TMS" LOC = "C18" | IOSTANDARD = LVCMOS33 ; +#NET "TDO" LOC = "A19" | IOSTANDARD = LVCMOS33 ; +#NET "TDI" LOC = "E18" | IOSTANDARD = LVCMOS33 ; +#NET "TCK" LOC = "G15" | IOSTANDARD = LVCMOS33 ; +#NET "GND" LOC = "N15" | IOSTANDARD = LVCMOS33 ; diff --git a/fpga/usrp3/top/b200/b200.v b/fpga/usrp3/top/b200/b200.v new file mode 100644 index 000000000..811842f32 --- /dev/null +++ b/fpga/usrp3/top/b200/b200.v @@ -0,0 +1,365 @@ +// +// Copyright 2013 Ettus Research LLC +// Copyright 2017 Ettus Research, a National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// + + +/*********************************************************** + * B200 Module Declaration + **********************************************************/ +module b200 ( + // SPI Interfaces + output cat_ce, + input cat_miso, + output cat_mosi, + output cat_sclk, + + input fx3_ce, + output fx3_miso, + input fx3_mosi, + input fx3_sclk, + + output pll_ce, + output pll_mosi, + output pll_sclk, + + // UART + // By default these provide an FX3 UART console output. Under compile time control they can alternatively + // provide 2 (1.8V) GPIO pins which are logically bits [9:8] of the fp_gpio bus. + // Used as a UART RXD is an input and TXD an output electrically. + // input FPGA_RXD0, // These pins goto 3 pin 0.1" header on B2x0 and + // output FPGA_TXD0, // carry FX3 UART. + inout FPGA_RXD0, // These pins goto 3 pin 0.1" header J400 on B2x0 and + inout FPGA_TXD0, // carry FX3 UART. + + // Catalina Controls + output codec_enable, + output codec_en_agc, + output codec_reset, + output codec_sync, + output codec_txrx, + output [3:0] codec_ctrl_in, // These should be outputs + input [7:0] codec_ctrl_out, // MUST BE INPUT + + // Catalina Data + input codec_data_clk_p, // Clock from CAT (RX) + output codec_fb_clk_p, // Clock to CAT (TX) + input [11:0] rx_codec_d, + output [11:0] tx_codec_d, + input rx_frame_p, + output tx_frame_p, + + input cat_clkout_fpga, + + //always on 40MHz clock + input codec_main_clk_p, + input codec_main_clk_n, + + // Debug Bus + output [31:0] debug, + output [1:0] debug_clk, + + // GPIF, FX3 Slave FIFO + output IFCLK, // pclk + input FX3_EXTINT, + output GPIF_CTL0, // n_slcs + output GPIF_CTL1, // n_slwr + output GPIF_CTL2, // n_sloe + output GPIF_CTL3, // n_slrd + output GPIF_CTL7, // n_pktend + input GPIF_CTL4, // slfifo_flags[0] + input GPIF_CTL5, // slfifo_flags[1] + input GPIF_CTL6, // Serial settings bus from FX3. SDA + input GPIF_CTL8, // Serial settings bus from FX3. SCL + output GPIF_CTL11, // slfifo_addr[1] + output GPIF_CTL12, // slfifo_addr[0] + inout [31:0] GPIF_D, + input GPIF_CTL9, // global_reset + + // GPS + input gps_lock, + output gps_rxd, + input gps_txd, // FPGA has pullup for unpopulated GPS + input gps_txd_nmea, // FPGA has pullup for unpopulated GPS + + // LEDS + output LED_RX1, + output LED_RX2, + output LED_TXRX1_RX, + output LED_TXRX1_TX, + output LED_TXRX2_RX, + output LED_TXRX2_TX, + + // GPIO Header J504 - 10 pin 0.1" 3.3V. + // Only present on Rev6 and later boards...these pins unused on Rev5 and earlier. + // NOTE: These pins are allocated from complimentry pairs and could potentially be used + // as differential style I/O. + `ifdef TARGET_B210 + inout [7:0] fp_gpio, + `endif + // Misc Hardware Control + output ref_sel, + input pll_lock, + input FPGA_CFG_CS, // Driven by FX3 gpio. + input AUX_PWR_ON, // Driven by FX3 gpio. + + // PPS + input PPS_IN_EXT, + input PPS_IN_INT, + + // RF Hardware Control + output SFDX1_RX, + output SFDX1_TX, + output SFDX2_RX, + output SFDX2_TX, + output SRX1_RX, + output SRX1_TX, + output SRX2_RX, + output SRX2_TX, + output tx_bandsel_a, + output tx_bandsel_b, + output tx_enable1, + output tx_enable2, + output rx_bandsel_a, + output rx_bandsel_b, + output rx_bandsel_c + ); + + wire reset_global = GPIF_CTL9; + + /////////////////////////////////////////////////////////////////////// + // generate clocks from always on codec main clk + /////////////////////////////////////////////////////////////////////// + wire bus_clk, gpif_clk, radio_clk; + wire locked; + b200_clk_gen gen_clks + ( + .CLK_IN1_40_P(codec_main_clk_p), .CLK_IN1_40_N(codec_main_clk_n), + .CLK_OUT1_40_int(), .CLK_OUT2_100_gpif(gpif_clk), .CLK_OUT3_100_bus(), + .RESET(reset_global), .LOCKED(locked) + ); + + // Bus Clock and GPIF Clock both same 100MHz clock. + assign bus_clk = gpif_clk; + + + //hold-off logic for clocks ready + reg [15:0] clocks_ready_count; + reg clocks_ready; + always @(posedge bus_clk or posedge reset_global or negedge locked) begin + if (reset_global | !locked) begin + clocks_ready_count <= 16'b0; + clocks_ready <= 1'b0; + end + else if (!clocks_ready) begin + clocks_ready_count <= clocks_ready_count + 1'b1; + clocks_ready <= (clocks_ready_count == 16'hffff); + end + end + + /////////////////////////////////////////////////////////////////////// + // drive output clocks + /////////////////////////////////////////////////////////////////////// + wire [1:0] debug_clk_int; + //S6CLK2PIN S6CLK2PIN_dbg0 (.I(debug_clk_int[0]), .O(debug_clk[0])); + //S6CLK2PIN S6CLK2PIN_dbg1 (.I(debug_clk_int[1]), .O(debug_clk[1])); + assign debug_clk[1:0] = 2'b0; + S6CLK2PIN S6CLK2PIN_gpif (.I(gpif_clk), .O(IFCLK)); + + /////////////////////////////////////////////////////////////////////// + // Create sync reset signals + /////////////////////////////////////////////////////////////////////// + wire gpif_rst, bus_rst, radio_rst; + reset_sync gpif_sync(.clk(gpif_clk), .reset_in(!clocks_ready), .reset_out(gpif_rst)); + reset_sync bus_sync(.clk(bus_clk), .reset_in(!clocks_ready), .reset_out(bus_rst)); + reset_sync radio_sync(.clk(radio_clk), .reset_in(!clocks_ready), .reset_out(radio_rst)); + + /////////////////////////////////////////////////////////////////////// + // I/O + /////////////////////////////////////////////////////////////////////// + wire [31:0] rx_data0, rx_data1; + wire [31:0] tx_data0, tx_data1; + wire mimo; + + b200_io b200_io_i0 + ( + .reset(reset), + .mimo(mimo), + + // Baseband sample interface + .radio_clk(radio_clk), + + .rx_i0(rx_data0[31:20]), + .rx_q0(rx_data0[15:4]), + .rx_i1(rx_data1[31:20]), + .rx_q1(rx_data1[15:4]), + + .tx_i0(tx_data0[31:20]), + .tx_q0(tx_data0[15:4]), + .tx_i1(tx_data1[31:20]), + .tx_q1(tx_data1[15:4]), + + // Catalina interface + .rx_clk(codec_data_clk_p), + .rx_frame(rx_frame_p), + .rx_data(rx_codec_d), + + .tx_clk(codec_fb_clk_p), + .tx_frame(tx_frame_p), + .tx_data(tx_codec_d) + ); + + assign {rx_data0[19:16],rx_data0[3:0],rx_data1[19:16],rx_data1[3:0]} = 16'h0; + + /////////////////////////////////////////////////////////////////////// + // SPI connections + /////////////////////////////////////////////////////////////////////// + wire mosi, miso, sclk; + wire [7:0] sen; + + //AD9361 Slave + assign cat_ce = sen[0]; + assign cat_mosi = ~sen[0] & mosi; + assign cat_sclk = ~sen[0] & sclk; + assign miso = cat_miso; //PLL does not have a miso + + //ADF4001 Slave + assign pll_ce = sen[1]; + assign pll_mosi = ~sen[1] & mosi; + assign pll_sclk = ~sen[1] & sclk; + + //FX3 Master + //The following signals are routed to the FX3 and were used by an obsolete + //bit-banging SPI engine. + // fx3_ce, fx3_sclk, fx3_mosi + assign fx3_miso = 1'bZ; //Safe state because we cannot guarantee the + //direction of this pin in the FX3 + + /////////////////////////////////////////////////////////////////////// + // bus signals + /////////////////////////////////////////////////////////////////////// + wire [63:0] ctrl_tdata, resp_tdata, rx_tdata, tx_tdata; + wire ctrl_tlast, resp_tlast, rx_tlast, tx_tlast; + wire ctrl_tvalid, resp_tvalid, rx_tvalid, tx_tvalid; + wire ctrl_tready, resp_tready, rx_tready, tx_tready; + + + /////////////////////////////////////////////////////////////////////// + // frontend assignments + // Most B2x0's have frontends swapped (radio0 to FE2), but some hardware revisions do not. + // The ATR pins are mapped from radio to frontend here based on the swap_atr_n bit. + /////////////////////////////////////////////////////////////////////// + wire swap_atr_n; + wire [7:0] radio0_gpio, radio1_gpio; + reg [7:0] fe0_gpio, fe1_gpio; + always @(posedge radio_clk) begin //Registers in the IOB + fe0_gpio <= swap_atr_n ? radio1_gpio : radio0_gpio; + fe1_gpio <= swap_atr_n ? radio0_gpio : radio1_gpio; + end + assign {tx_enable1, SFDX1_RX, SFDX1_TX, SRX1_RX, SRX1_TX, LED_RX1, LED_TXRX1_RX, LED_TXRX1_TX} = fe0_gpio; + assign {tx_enable2, SFDX2_RX, SFDX2_TX, SRX2_RX, SRX2_TX, LED_RX2, LED_TXRX2_RX, LED_TXRX2_TX} = fe1_gpio; + + wire [31:0] misc_outs; reg [31:0] misc_outs_r; + + always @(posedge bus_clk) misc_outs_r <= misc_outs; //register misc ios to ease routing to flop + + wire codec_arst; + + assign { swap_atr_n, tx_bandsel_a, tx_bandsel_b, rx_bandsel_a, rx_bandsel_b, rx_bandsel_c, codec_arst, mimo, ref_sel } = misc_outs_r[8:0]; + + assign codec_ctrl_in = 4'b1; + assign codec_en_agc = 1'b1; + assign codec_txrx = 1'b1; + assign codec_enable = 1'b1; + assign codec_reset = ~codec_arst; // Codec Reset // RESETB // Operates active-low + assign codec_sync = 1'b0; + + /////////////////////////////////////////////////////////////////////// + // b200 core + /////////////////////////////////////////////////////////////////////// + wire [9:0] fp_gpio_in, fp_gpio_out, fp_gpio_ddr; + + b200_core #(.EXTRA_BUFF_SIZE(12)) b200_core + ( + .bus_clk(bus_clk), .bus_rst(bus_rst), + .tx_tdata(tx_tdata), .tx_tlast(tx_tlast), .tx_tvalid(tx_tvalid), .tx_tready(tx_tready), + .rx_tdata(rx_tdata), .rx_tlast(rx_tlast), .rx_tvalid(rx_tvalid), .rx_tready(rx_tready), + .ctrl_tdata(ctrl_tdata), .ctrl_tlast(ctrl_tlast), .ctrl_tvalid(ctrl_tvalid), .ctrl_tready(ctrl_tready), + .resp_tdata(resp_tdata), .resp_tlast(resp_tlast), .resp_tvalid(resp_tvalid), .resp_tready(resp_tready), + + .radio_clk(radio_clk), .radio_rst(radio_rst), + .rx0(rx_data0), .rx1(rx_data1), + .tx0(tx_data0), .tx1(tx_data1), + .fe0_gpio_out(radio0_gpio), .fe1_gpio_out(radio1_gpio), + .fp_gpio_in(fp_gpio_in), .fp_gpio_out(fp_gpio_out), .fp_gpio_ddr(fp_gpio_ddr), + + .pps_int(PPS_IN_INT), .pps_ext(PPS_IN_EXT), + + .rxd(gps_txd), .txd(gps_rxd), + .sclk(sclk), .sen(sen), .mosi(mosi), .miso(miso), + .rb_misc({31'b0, pll_lock}), .misc_outs(misc_outs), + + .debug_scl(GPIF_CTL8), .debug_sda(GPIF_CTL6), +`ifdef DEBUG_UART + .debug_txd(FPGA_TXD0), .debug_rxd(FPGA_RXD0), +`else + .debug_txd(), .debug_rxd(1'b0), +`endif + + .lock_signals(codec_ctrl_out[7:6]), + .debug() + ); + +`ifdef TARGET_B210 + `ifdef DEBUG_UART + gpio_atr_io #(.WIDTH(8)) gpio_atr_io_inst ( // B210 with UART + .clk(radio_clk), .gpio_pins(fp_gpio), + .gpio_ddr(fp_gpio_ddr[7:0]), .gpio_out(fp_gpio_out[7:0]), .gpio_in(fp_gpio_in[7:0]) + ); + assign fp_gpio_in[9:8] = 2'b00; + `else + gpio_atr_io #(.WIDTH(10)) gpio_atr_io_inst ( // B210 no UART + .clk(radio_clk), .gpio_pins({FPGA_RXD0, FPGA_TXD0, fp_gpio}), + .gpio_ddr(fp_gpio_ddr), .gpio_out(fp_gpio_out), .gpio_in(fp_gpio_in) + ); + `endif +`else + `ifdef DEBUG_UART + assign fp_gpio_in = 10'h000; // B200 with UART + `else + gpio_atr_io #(.WIDTH(2)) gpio_atr_io_inst ( // B200 no UART + .clk(radio_clk), .gpio_pins({FPGA_RXD0, FPGA_TXD0}), + .gpio_ddr(fp_gpio_ddr[9:8]), .gpio_out(fp_gpio_out[9:8]), .gpio_in(fp_gpio_in[9:8]) + ); + assign fp_gpio_in[7:0] = 8'h00; + `endif +`endif + + /////////////////////////////////////////////////////////////////////// + // GPIF2 + /////////////////////////////////////////////////////////////////////// + + gpif2_slave_fifo32 #(.DATA_RX_FIFO_SIZE(13), .DATA_TX_FIFO_SIZE(13)) slave_fifo32 + ( + .gpif_clk(gpif_clk), .gpif_rst(gpif_rst), .gpif_enb(1'b1), + .gpif_ctl({GPIF_CTL8, GPIF_CTL6, GPIF_CTL5, GPIF_CTL4}), .fifoadr({GPIF_CTL11,GPIF_CTL12}), + .slwr(GPIF_CTL1), .sloe(GPIF_CTL2), .slcs(GPIF_CTL0), .slrd(GPIF_CTL3), .pktend(GPIF_CTL7), + .gpif_d(GPIF_D), + + .fifo_clk(bus_clk), .fifo_rst(bus_rst), + .tx_tdata(tx_tdata), .tx_tlast(tx_tlast), .tx_tvalid(tx_tvalid), .tx_tready(tx_tready), + .rx_tdata(rx_tdata), .rx_tlast(rx_tlast), .rx_tvalid(rx_tvalid), .rx_tready(rx_tready), + .ctrl_tdata(ctrl_tdata), .ctrl_tlast(ctrl_tlast), .ctrl_tvalid(ctrl_tvalid), .ctrl_tready(ctrl_tready), + .resp_tdata(resp_tdata), .resp_tlast(resp_tlast), .resp_tvalid(resp_tvalid), .resp_tready(resp_tready), + + .debug() + ); + + /////////////////////////////////////////////////////////////////////// + // Debug port + /////////////////////////////////////////////////////////////////////// + assign debug = 0; + +endmodule // B200 diff --git a/fpga/usrp3/top/b200/b200_core.v b/fpga/usrp3/top/b200/b200_core.v new file mode 100644 index 000000000..f03c5ea1f --- /dev/null +++ b/fpga/usrp3/top/b200/b200_core.v @@ -0,0 +1,423 @@ +// +// Copyright 2013 Ettus Research LLC +// Copyright 2017 Ettus Research, a National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// + + +/*********************************************************** + * B200 Core Guts + **********************************************************/ +module b200_core +#( + parameter R0_CTRL_SID = 8'h10, + parameter R1_CTRL_SID = 8'h20, + parameter U0_CTRL_SID = 8'h30, + parameter L0_CTRL_SID = 8'h40, + parameter R0_DATA_SID = 8'h50, + parameter R1_DATA_SID = 8'h60, + parameter DEMUX_SID_MASK = 8'hf0, + parameter EXTRA_BUFF_SIZE = 0, + parameter RADIO_FIFO_SIZE = 11, + parameter SAMPLE_FIFO_SIZE = 11 + +) +( + //////////////////////////////////////////////////////////////////// + // bus interfaces + //////////////////////////////////////////////////////////////////// + input bus_clk, + input bus_rst, + + input [63:0] tx_tdata, input tx_tlast, input tx_tvalid, output tx_tready, + output [63:0] rx_tdata, output rx_tlast, output rx_tvalid, input rx_tready, + input [63:0] ctrl_tdata, input ctrl_tlast, input ctrl_tvalid, output ctrl_tready, + output [63:0] resp_tdata, output resp_tlast, output resp_tvalid, input resp_tready, + + //////////////////////////////////////////////////////////////////// + // radio interfaces + //////////////////////////////////////////////////////////////////// + input radio_clk, + input radio_rst, + + input [31:0] rx0, input [31:0] rx1, + output [31:0] tx0, output [31:0] tx1, + output [7:0] fe0_gpio_out, output [7:0] fe1_gpio_out, + input [9:0] fp_gpio_in, output [9:0] fp_gpio_out, output [9:0] fp_gpio_ddr, + input pps_int, input pps_ext, + + //////////////////////////////////////////////////////////////////// + // gpsdo uart + //////////////////////////////////////////////////////////////////// + input rxd, + output txd, + + //////////////////////////////////////////////////////////////////// + // core interfaces + //////////////////////////////////////////////////////////////////// + output [7:0] sen, output sclk, output mosi, input miso, + input [31:0] rb_misc, + output [31:0] misc_outs, + //////////////////////////////////////////////////////////////////// + // debug UART + //////////////////////////////////////////////////////////////////// + output debug_txd, input debug_rxd, + input debug_scl, input debug_sda, + + //////////////////////////////////////////////////////////////////// + // fe lock signals + //////////////////////////////////////////////////////////////////// + input [1:0] lock_signals, + + //////////////////////////////////////////////////////////////////// + // debug signals + //////////////////////////////////////////////////////////////////// + output [63:0] debug +); + localparam SR_CORE_SPI = 8'd8; + localparam SR_CORE_MISC = 8'd16; + localparam SR_CORE_COMPAT = 8'd24; + localparam SR_CORE_READBACK = 8'd32; + localparam SR_CORE_GPSDO_ST = 8'd40; + localparam SR_CORE_SYNC = 8'd48; + localparam COMPAT_MAJOR = 16'h0010; + localparam COMPAT_MINOR = 16'h0000; + + reg [1:0] lock_state; + reg [1:0] lock_state_r; + + always @(posedge bus_clk) + if (bus_rst) + {lock_state_r, lock_state} <= 4'h0; + else + {lock_state_r, lock_state} <= {lock_state, lock_signals}; + + + /******************************************************************* + * PPS Timing stuff + ******************************************************************/ + + // Generate an internal PPS signal + wire int_pps; + pps_generator #(.CLK_FREQ(100000000)) pps_gen + (.clk(bus_clk), .reset(1'b0), .pps(int_pps)); + + // Flop PPS signals into radio clock domain + reg [1:0] gpsdo_pps_del, ext_pps_del, int_pps_del; + always @(posedge radio_clk) ext_pps_del[1:0] <= {ext_pps_del[0], pps_ext}; + always @(posedge radio_clk) gpsdo_pps_del[1:0] <= {gpsdo_pps_del[0], pps_int}; + always @(posedge radio_clk) int_pps_del[1:0] <= {int_pps_del[0], int_pps}; + + // PPS mux + wire [1:0] pps_select; + wire pps = (pps_select == 2'b00)? gpsdo_pps_del[1] : + (pps_select == 2'b01)? ext_pps_del[1] : + (pps_select == 2'b10)? int_pps_del[1] : + 1'b0; + + /******************************************************************* + * Response mux Routing logic + ******************************************************************/ + wire [63:0] r0_resp_tdata; wire r0_resp_tlast, r0_resp_tvalid, r0_resp_tready; + wire [63:0] r1_resp_tdata; wire r1_resp_tlast, r1_resp_tvalid, r1_resp_tready; + wire [63:0] u0_resp_tdata; wire u0_resp_tlast, u0_resp_tvalid, u0_resp_tready; + wire [63:0] l0_resp_tdata; wire l0_resp_tlast, l0_resp_tvalid, l0_resp_tready; + + axi_mux4 #(.WIDTH(64), .BUFFER(1)) mux_for_resp + (.clk(bus_clk), .reset(bus_rst), .clear(1'b0), + .i0_tdata(r0_resp_tdata), .i0_tlast(r0_resp_tlast), .i0_tvalid(r0_resp_tvalid), .i0_tready(r0_resp_tready), + .i1_tdata(r1_resp_tdata), .i1_tlast(r1_resp_tlast), .i1_tvalid(r1_resp_tvalid), .i1_tready(r1_resp_tready), + .i2_tdata(u0_resp_tdata), .i2_tlast(u0_resp_tlast), .i2_tvalid(u0_resp_tvalid), .i2_tready(u0_resp_tready), + .i3_tdata(l0_resp_tdata), .i3_tlast(l0_resp_tlast), .i3_tvalid(l0_resp_tvalid), .i3_tready(l0_resp_tready), + .o_tdata(resp_tdata), .o_tlast(resp_tlast), .o_tvalid(resp_tvalid), .o_tready(resp_tready)); + + /******************************************************************* + * Control demux Routing logic + ******************************************************************/ + wire [63:0] r0_ctrl_tdata; wire r0_ctrl_tlast, r0_ctrl_tvalid, r0_ctrl_tready; + wire [63:0] r1_ctrl_tdata; wire r1_ctrl_tlast, r1_ctrl_tvalid, r1_ctrl_tready; + wire [63:0] u0_ctrl_tdata; wire u0_ctrl_tlast, u0_ctrl_tvalid, u0_ctrl_tready; + wire [63:0] l0_ctrl_tdata; wire l0_ctrl_tlast, l0_ctrl_tvalid, l0_ctrl_tready; + + wire [63:0] ctrl_hdr; + wire [1:0] ctrl_dst = + ((ctrl_hdr[7:0] & DEMUX_SID_MASK) == R0_CTRL_SID)? 0 : ( + ((ctrl_hdr[7:0] & DEMUX_SID_MASK) == R1_CTRL_SID)? 1 : ( + ((ctrl_hdr[7:0] & DEMUX_SID_MASK) == U0_CTRL_SID)? 2 : ( + ((ctrl_hdr[7:0] & DEMUX_SID_MASK) == L0_CTRL_SID)? 3 : ( + 3)))); + axi_demux4 #(.ACTIVE_CHAN(4'b1111), .WIDTH(64), .BUFFER(1)) demux_for_ctrl + (.clk(bus_clk), .reset(bus_rst), .clear(1'b0), + .header(ctrl_hdr), .dest(ctrl_dst), + .i_tdata(ctrl_tdata), .i_tlast(ctrl_tlast), .i_tvalid(ctrl_tvalid), .i_tready(ctrl_tready), + .o0_tdata(r0_ctrl_tdata), .o0_tlast(r0_ctrl_tlast), .o0_tvalid(r0_ctrl_tvalid), .o0_tready(r0_ctrl_tready), + .o1_tdata(r1_ctrl_tdata), .o1_tlast(r1_ctrl_tlast), .o1_tvalid(r1_ctrl_tvalid), .o1_tready(r1_ctrl_tready), + .o2_tdata(u0_ctrl_tdata), .o2_tlast(u0_ctrl_tlast), .o2_tvalid(u0_ctrl_tvalid), .o2_tready(u0_ctrl_tready), + .o3_tdata(l0_ctrl_tdata), .o3_tlast(l0_ctrl_tlast), .o3_tvalid(l0_ctrl_tvalid), .o3_tready(l0_ctrl_tready)); + + /******************************************************************* + * UART + ******************************************************************/ + wire [63:0] u0i_ctrl_tdata; wire u0i_ctrl_tlast, u0i_ctrl_tvalid, u0i_ctrl_tready; + + axi_fifo #(.WIDTH(65), .SIZE(5)) uart_timing_fifo + ( + .clk(bus_clk), .reset(bus_rst), .clear(1'b0), + .i_tdata({u0_ctrl_tlast, u0_ctrl_tdata}), .i_tvalid(u0_ctrl_tvalid), .i_tready(u0_ctrl_tready), .space(), + .o_tdata({u0i_ctrl_tlast, u0i_ctrl_tdata}), .o_tvalid(u0i_ctrl_tvalid), .o_tready(u0i_ctrl_tready), .occupied() + ); + + cvita_uart #(.SIZE(7)) uart + ( + .clk(bus_clk), .rst(bus_rst), .rxd(rxd), .txd(txd), + .i_tdata(u0i_ctrl_tdata), .i_tlast(u0i_ctrl_tlast), .i_tvalid(u0i_ctrl_tvalid), .i_tready(u0i_ctrl_tready), + .o_tdata(u0_resp_tdata), .o_tlast(u0_resp_tlast), .o_tvalid(u0_resp_tvalid), .o_tready(u0_resp_tready) + ); + + /******************************************************************* + * Misc controls + ******************************************************************/ + wire set_stb; + wire [7:0] set_addr; + wire [31:0] set_data; + + wire spi_ready; + wire [31:0] spi_readback; + + wire [7:0] gpsdo_st; + wire [7:0] radio_st; + + wire [1:0] rb_addr; + reg [63:0] rb_data; + + wire [63:0] l0i_ctrl_tdata; wire l0i_ctrl_tlast, l0i_ctrl_tvalid, l0i_ctrl_tready; + + wire time_sync, time_sync_r; + + axi_fifo #(.WIDTH(65), .SIZE(5)) radio_ctrl_proc_timing_fifo + ( + .clk(bus_clk), .reset(bus_rst), .clear(1'b0), + .i_tdata({l0_ctrl_tlast, l0_ctrl_tdata}), .i_tvalid(l0_ctrl_tvalid), .i_tready(l0_ctrl_tready), .space(), + .o_tdata({l0i_ctrl_tlast, l0i_ctrl_tdata}), .o_tvalid(l0i_ctrl_tvalid), .o_tready(l0i_ctrl_tready), .occupied() + ); + + radio_ctrl_proc radio_ctrl_proc + (.clk(bus_clk), .reset(bus_rst), .clear(1'b0), + .ctrl_tdata(l0i_ctrl_tdata), .ctrl_tlast(l0i_ctrl_tlast), .ctrl_tvalid(l0i_ctrl_tvalid), .ctrl_tready(l0i_ctrl_tready), + .resp_tdata(l0_resp_tdata), .resp_tlast(l0_resp_tlast), .resp_tvalid(l0_resp_tvalid), .resp_tready(l0_resp_tready), + .vita_time(64'b0), + .set_stb(set_stb), .set_addr(set_addr), .set_data(set_data), + .ready(spi_ready), .readback(rb_data), + .debug()); + + setting_reg #(.my_addr(SR_CORE_MISC), .awidth(8), .width(32), .at_reset(8'h0)) sr_misc + (.clk(bus_clk), .rst(bus_rst), .strobe(set_stb), .addr(set_addr), .in(set_data), + .out(misc_outs), .changed()); + + setting_reg #(.my_addr(SR_CORE_READBACK), .awidth(8), .width(2)) sr_rdback + (.clk(bus_clk), .rst(bus_rst), .strobe(set_stb), .addr(set_addr), .in(set_data), + .out(rb_addr), .changed()); + + setting_reg #(.my_addr(SR_CORE_GPSDO_ST), .awidth(8), .width(8)) sr_gpsdo_st + (.clk(bus_clk), .rst(1'b0/*keep*/), .strobe(set_stb), .addr(set_addr), .in(set_data), + .out(gpsdo_st), .changed()); + + setting_reg #(.my_addr(SR_CORE_SYNC), .awidth(8), .width(3)) sr_sync + (.clk(bus_clk), .rst(bus_rst), .strobe(set_stb), .addr(set_addr), .in(set_data), + .out({time_sync,pps_select}), .changed()); + + synchronizer time_sync_synchronizer + (.clk(radio_clk), .rst(radio_rst), .in(time_sync), .out(time_sync_r)); + + simple_spi_core #(.BASE(SR_CORE_SPI), .WIDTH(8), .CLK_IDLE(0), .SEN_IDLE(8'hFF)) misc_spi + (.clock(bus_clk), .reset(bus_rst), + .set_stb(set_stb), .set_addr(set_addr), .set_data(set_data), + .readback(spi_readback), .ready(spi_ready), + .sen(sen), .sclk(sclk), .mosi(mosi), .miso(miso), + .debug()); + + always @* + case(rb_addr) + 2'd0 : rb_data <= { 32'hACE0BA5E, COMPAT_MAJOR, COMPAT_MINOR }; + 2'd1 : rb_data <= { 32'b0, spi_readback }; + 2'd2 : rb_data <= { 16'b0, radio_st, gpsdo_st, rb_misc }; + 2'd3 : rb_data <= { 30'h0, lock_state_r }; + default : rb_data <= 64'd0; + endcase // case (rb_addr) + + /******************************************************************* + * RX Data mux Routing logic + ******************************************************************/ + wire [63:0] r0_rx_tdata; wire r0_rx_tlast, r0_rx_tvalid, r0_rx_tready; + wire [63:0] r1_rx_tdata; wire r1_rx_tlast, r1_rx_tvalid, r1_rx_tready; + wire [63:0] rx_tdata_int; wire rx_tlast_int, rx_tvalid_int, rx_tready_int; + + axi_mux4 #(.WIDTH(64), .BUFFER(1)) mux_for_rx + (.clk(bus_clk), .reset(bus_rst), .clear(1'b0), + .i0_tdata(r0_rx_tdata), .i0_tlast(r0_rx_tlast), .i0_tvalid(r0_rx_tvalid), .i0_tready(r0_rx_tready), + .i1_tdata(r1_rx_tdata), .i1_tlast(r1_rx_tlast), .i1_tvalid(r1_rx_tvalid), .i1_tready(r1_rx_tready), + .i2_tdata(64'b0), .i2_tlast(1'b0), .i2_tvalid(1'b0), .i2_tready(), + .i3_tdata(64'b0), .i3_tlast(1'b0), .i3_tvalid(1'b0), .i3_tready(), + .o_tdata(rx_tdata_int), .o_tlast(rx_tlast_int), .o_tvalid(rx_tvalid_int), .o_tready(rx_tready_int)); + + axi_fifo #(.WIDTH(65), .SIZE(EXTRA_BUFF_SIZE)) extra_rx_buff + (.clk(bus_clk), .reset(bus_rst),.clear(1'b0), + .i_tdata({rx_tlast_int, rx_tdata_int}), .i_tvalid(rx_tvalid_int), .i_tready(rx_tready_int), + .o_tdata({rx_tlast, rx_tdata}), .o_tvalid(rx_tvalid), .o_tready(rx_tready)); + + /******************************************************************* + * TX Data mux Routing logic + ******************************************************************/ + wire [63:0] r0_tx_tdata; wire r0_tx_tlast, r0_tx_tvalid, r0_tx_tready; + wire [63:0] r1_tx_tdata; wire r1_tx_tlast, r1_tx_tvalid, r1_tx_tready; + wire [63:0] tx_tdata_int; wire tx_tlast_int, tx_tvalid_int, tx_tready_int; + + axi_fifo #(.WIDTH(65), .SIZE(EXTRA_BUFF_SIZE)) extra_tx_buff + (.clk(bus_clk), .reset(bus_rst),.clear(1'b0), + .i_tdata({tx_tlast, tx_tdata}), .i_tvalid(tx_tvalid), .i_tready(tx_tready), + .o_tdata({tx_tlast_int, tx_tdata_int}), .o_tvalid(tx_tvalid_int), .o_tready(tx_tready_int)); + + wire [63:0] tx_hdr; + wire [1:0] tx_dst = + ((tx_hdr[7:0] & DEMUX_SID_MASK) == R0_DATA_SID)? 0 : ( + ((tx_hdr[7:0] & DEMUX_SID_MASK) == R1_DATA_SID)? 1 : ( + 3)); + axi_demux4 #(.ACTIVE_CHAN(4'b0011), .WIDTH(64), .BUFFER(1)) demux_for_tx + (.clk(bus_clk), .reset(bus_rst), .clear(1'b0), + .header(tx_hdr), .dest(tx_dst), + .i_tdata(tx_tdata_int), .i_tlast(tx_tlast_int), .i_tvalid(tx_tvalid_int), .i_tready(tx_tready_int), + .o0_tdata(r0_tx_tdata), .o0_tlast(r0_tx_tlast), .o0_tvalid(r0_tx_tvalid), .o0_tready(r0_tx_tready), + .o1_tdata(r1_tx_tdata), .o1_tlast(r1_tx_tlast), .o1_tvalid(r1_tx_tvalid), .o1_tready(r1_tx_tready), + .o2_tdata(), .o2_tlast(), .o2_tvalid(), .o2_tready(1'b1), + .o3_tdata(), .o3_tlast(), .o3_tvalid(), .o3_tready(1'b1)); + + /******************************************************************* + * Radio 0 + ******************************************************************/ + wire [63:0] radio0_debug; + wire [31:0] fe0_gpio_out32; + assign fe0_gpio_out = fe0_gpio_out32[7:0]; + + radio_legacy #( + .RADIO_FIFO_SIZE(RADIO_FIFO_SIZE), + .SAMPLE_FIFO_SIZE(SAMPLE_FIFO_SIZE), + .FP_GPIO(1), + .NEW_HB_INTERP(1), + .NEW_HB_DECIM(1), + .SOURCE_FLOW_CONTROL(0), + .USER_SETTINGS(0), + .DEVICE("SPARTAN6") + ) radio_0 ( + .radio_clk(radio_clk), .radio_rst(radio_rst), + .rx(rx0), .tx(tx0), .pps(pps), .time_sync(time_sync_r), + .fe_gpio_in(32'h00000000), .fe_gpio_out(fe0_gpio_out32), .fe_gpio_ddr(/* Always assumed to be outputs */), + .fp_gpio_in(fp_gpio_in), .fp_gpio_out(fp_gpio_out), .fp_gpio_ddr(fp_gpio_ddr), + .bus_clk(bus_clk), .bus_rst(bus_rst), + .tx_tdata(r0_tx_tdata), .tx_tlast(r0_tx_tlast), .tx_tvalid(r0_tx_tvalid), .tx_tready(r0_tx_tready), + .rx_tdata(r0_rx_tdata), .rx_tlast(r0_rx_tlast), .rx_tvalid(r0_rx_tvalid), .rx_tready(r0_rx_tready), + .ctrl_tdata(r0_ctrl_tdata), .ctrl_tlast(r0_ctrl_tlast), .ctrl_tvalid(r0_ctrl_tvalid), .ctrl_tready(r0_ctrl_tready), + .resp_tdata(r0_resp_tdata), .resp_tlast(r0_resp_tlast), .resp_tvalid(r0_resp_tvalid), .resp_tready(r0_resp_tready), + .debug(radio0_debug) + ); + + /******************************************************************* + * Radio 1 + ******************************************************************/ +`ifdef TARGET_B210 // B210 Has two radio instances. + assign radio_st = 8'h2; + + wire [63:0] radio1_debug; + wire [31:0] fe1_gpio_out32; + assign fe1_gpio_out = fe1_gpio_out32[7:0]; + + radio_legacy #( + .RADIO_FIFO_SIZE(RADIO_FIFO_SIZE), + .SAMPLE_FIFO_SIZE(SAMPLE_FIFO_SIZE), + .FP_GPIO(0), + .NEW_HB_INTERP(1), + .NEW_HB_DECIM(1), + .SOURCE_FLOW_CONTROL(0), + .USER_SETTINGS(0), + .DEVICE("SPARTAN6") + ) radio_1 ( + .radio_clk(radio_clk), .radio_rst(radio_rst), + .rx(rx1), .tx(tx1), .pps(pps), .time_sync(time_sync_r), + .fe_gpio_in(32'h00000000), .fe_gpio_out(fe1_gpio_out32), .fe_gpio_ddr(/* Always assumed to be outputs */), + .fp_gpio_in(32'h00000000), .fp_gpio_out(), .fp_gpio_ddr(), + .bus_clk(bus_clk), .bus_rst(bus_rst), + .tx_tdata(r1_tx_tdata), .tx_tlast(r1_tx_tlast), .tx_tvalid(r1_tx_tvalid), .tx_tready(r1_tx_tready), + .rx_tdata(r1_rx_tdata), .rx_tlast(r1_rx_tlast), .rx_tvalid(r1_rx_tvalid), .rx_tready(r1_rx_tready), + .ctrl_tdata(r1_ctrl_tdata), .ctrl_tlast(r1_ctrl_tlast), .ctrl_tvalid(r1_ctrl_tvalid), .ctrl_tready(r1_ctrl_tready), + .resp_tdata(r1_resp_tdata), .resp_tlast(r1_resp_tlast), .resp_tvalid(r1_resp_tvalid), .resp_tready(r1_resp_tready), + .debug(radio1_debug) + ); +`else + assign radio_st = 8'h1; + + //assign undriven outputs + assign fe1_gpio_out = 32'b0; //Always assumed to be outputs + assign tx1 = 32'b0; + + //unused control signals -- leave in loopback + assign r1_resp_tdata = r1_ctrl_tdata; + assign r1_resp_tlast = r1_ctrl_tlast; + assign r1_resp_tvalid = r1_ctrl_tvalid; + assign r1_ctrl_tready = r1_resp_tready; + + //unused data signals -- leave in loopback + assign r1_rx_tdata = r1_tx_tdata; + assign r1_rx_tlast = r1_tx_tlast; + assign r1_rx_tvalid = r1_tx_tvalid; + assign r1_tx_tready = r1_tx_tready; + +`endif // !`ifdef TARGET_B210 + + /******************************************************************* + * Debug UART for FX3 + ******************************************************************/ + + wire debug_stb; + wire [31:0] debug_data; + wire [7:0] debug_addr; + wire [31:0] debug_serial; + + + serial_to_settings serial_to_settings_i1 + ( + .clk(bus_clk), + .reset(bus_rst), + .scl(debug_scl), + .sda(debug_sda), + .set_stb(debug_stb), + .set_addr(debug_addr), + .set_data(debug_data), + .debug(debug_serial) + ); + + // Nasty Hack to convert settings to wishbone crudely. + reg wb_stb; + wire wb_ack_o; + + always @(posedge bus_clk) + wb_stb <= debug_stb ? 1 : ((wb_ack_o) ? 0 : wb_stb); + + simple_uart debug_uart + ( + .clk_i(bus_clk), + .rst_i(bus_rst), + .we_i(wb_stb), + .stb_i(wb_stb), + .cyc_i(wb_stb), + .ack_o(wb_ack_o), + .adr_i(debug_addr[2:0]), + .dat_i(debug_data[31:0]), + .dat_o(), + .rx_int_o(), + .tx_int_o(), + .tx_o(debug_txd), + .rx_i(debug_rxd), + .baud_o() + ); + +endmodule // b200_core diff --git a/fpga/usrp3/top/b200/b200_io.v b/fpga/usrp3/top/b200/b200_io.v new file mode 100644 index 000000000..1c6ea2dc9 --- /dev/null +++ b/fpga/usrp3/top/b200/b200_io.v @@ -0,0 +1,554 @@ +// +// Copyright 2013 Ettus Research LLC +// Copyright 2017 Ettus Research, a National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// +// +//------------------------------------------------------------------ +// +// In SISO mode, we output a clock thats 1x the frequency of the Catalina +// source-synchronous bus clock to be used as the radio_clk. +// In MIMO mode, we output a clock thats 1/2 the frequency of the Catalina +// source-synchronous bus clock to be used as the radio_clk. +// +//------------------------------------------------------------------ + +module b200_io + ( + input reset, + input mimo, + + // Baseband sample interface + output radio_clk, + output [11:0] rx_i0, + output [11:0] rx_q0, + output [11:0] rx_i1, + output [11:0] rx_q1, + input [11:0] tx_i0, + input [11:0] tx_q0, + input [11:0] tx_i1, + input [11:0] tx_q1, + + // Catalina interface + input rx_clk, + input rx_frame, + input [11:0] rx_data, + output tx_clk, + output tx_frame, + output [11:0] tx_data + ); + + + genvar z; + + //------------------------------------------------------------------ + // + // Synchronize MIMO signal from bus_clk to siso_clk. + // + //------------------------------------------------------------------ + reg mimo_sync, mimo_sync2; + + always @(posedge siso_clk) begin + mimo_sync <= mimo_sync2; + mimo_sync2 <= mimo; + end + + + //------------------------------------------------------------------ + // Clock Buffering. + // BUFIO2 drives all IDDR2 and ODDR2 cells directly in bank3. + // Need two pairs of BUFIO2 one pair each for Top Left and Bottom Left half banks. + //------------------------------------------------------------------ + wire rx_clk_buf; + wire mimo_clk_unbuf; + wire siso_clk_unbuf; + wire siso2_clk_unbuf; + + IBUFG clk_ibufg (.O(rx_clk_buf), .I(rx_clk)); + + //------------------------------------------------------------------ + // + // Buffers for LEFT TOP half bank pins + // BUFIO2_X0Y22 + // + //------------------------------------------------------------------ + BUFIO2 #( + .DIVIDE(4), + .DIVIDE_BYPASS("FALSE"), + .I_INVERT("FALSE"), + .USE_DOUBLER("TRUE")) + clk_bufio_lt + ( + .IOCLK(io_clk_lt), + .DIVCLK(mimo_clk_unbuf), // Non-inverted source of 1/2x interface clock for radio_clk + .SERDESSTROBE(), + .I(rx_clk_buf) + ); + + // BUFIO2_X0Y23 + BUFIO2 #( + .DIVIDE(1), + .DIVIDE_BYPASS("FALSE"), + .I_INVERT("TRUE"), + .USE_DOUBLER("FALSE")) + clk_bufio_lt_b + ( + .IOCLK(io_clk_lt_b), + .DIVCLK(siso_clk2_unbuf), // Inverted source of 1x interface clock for radio_clk + .SERDESSTROBE(), + .I(rx_clk_buf) + ); + + //------------------------------------------------------------------ + // + // Buffers for LEFT BOTTOM half bank pins + // BUFIO2_X1Y14 + // + //------------------------------------------------------------------ + BUFIO2 #( + .DIVIDE(1), + .DIVIDE_BYPASS("FALSE"), + .I_INVERT("FALSE"), + .USE_DOUBLER("FALSE")) + clk_bufio_lb + ( + .IOCLK(io_clk_lb), + .DIVCLK(siso_clk_unbuf), // Non-inverted source of 1x interface clock for local IO use + .SERDESSTROBE(), + .I(rx_clk_buf) + ); + + // BUFIO2_X1Y15 + BUFIO2 #( + .DIVIDE(1), + .DIVIDE_BYPASS("FALSE"), + .I_INVERT("TRUE"), + .USE_DOUBLER("FALSE")) + clk_bufio_lb_b + ( + .IOCLK(io_clk_lb_b), + .DIVCLK(), + .SERDESSTROBE(), + .I(rx_clk_buf) + ); + + //------------------------------------------------------------------ + // Always-on SISO clk needed to load/unload DDR2 I/O Regs + //------------------------------------------------------------------ + BUFG siso_clk_bufg ( + .I(siso_clk_unbuf), + .O(siso_clk) + ); + + //------------------------------------------------------------------ + // 2-1 mux combined with BUFG to drive global radio_clk. + // Note: Not addressed setup/hold constraints of S input ...unsure if anything "bad" can happen here. + //------------------------------------------------------------------ + BUFGMUX #( + .CLK_SEL_TYPE("SYNC")) + radio_clk_bufg ( + .I0(siso_clk2_unbuf), + .I1(mimo_clk_unbuf), + .S(mimo_sync), + .O(radio_clk) + ); + + //------------------------------------------------------------------ + // RX Frame Signal - In bank 3 LB + //------------------------------------------------------------------ + wire rx_frame_0, rx_frame_1; + + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_frame ( + .Q0(rx_frame_1), + .Q1(rx_frame_0), + .C0(io_clk_lb), + .C1(io_clk_lb_b), + .CE(1'b1), + .D(rx_frame), + .R(1'b0), + .S(1'b0)); + + reg rx_frame_d1, rx_frame_d2; + always @(posedge siso_clk) + if(~mimo_sync) + { rx_frame_d2, rx_frame_d1 } <= { rx_frame_1, 1'b0 }; + else + { rx_frame_d2, rx_frame_d1 } <= { rx_frame_d1, rx_frame_1 }; + + //------------------------------------------------------------------ + // RX Data Bus - In bank3 both LT and LB + //------------------------------------------------------------------ + wire [11:0] rx_i,rx_q; + + // Bit0 LB + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i0 ( + .Q0(rx_q[0]), + .Q1(rx_i[0]), + .C0(io_clk_lb), + .C1(io_clk_lb_b), + .CE(1'b1), + .D(rx_data[0]), + .R(1'b0), + .S(1'b0)); + + // Bit1 LB + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i1 ( + .Q0(rx_q[1]), + .Q1(rx_i[1]), + .C0(io_clk_lb), + .C1(io_clk_lb_b), + .CE(1'b1), + .D(rx_data[1]), + .R(1'b0), + .S(1'b0)); + + // Bit2 LB + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i2 ( + .Q0(rx_q[2]), + .Q1(rx_i[2]), + .C0(io_clk_lb), + .C1(io_clk_lb_b), + .CE(1'b1), + .D(rx_data[2]), + .R(1'b0), + .S(1'b0)); + + // Bit3 LT + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i3 ( + .Q0(rx_q[3]), + .Q1(rx_i[3]), + .C0(io_clk_lt), + .C1(io_clk_lt_b), + .CE(1'b1), + .D(rx_data[3]), + .R(1'b0), + .S(1'b0)); + + // Bit4 LB + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i4 ( + .Q0(rx_q[4]), + .Q1(rx_i[4]), + .C0(io_clk_lb), + .C1(io_clk_lb_b), + .CE(1'b1), + .D(rx_data[4]), + .R(1'b0), + .S(1'b0)); + + // Bit5 LT + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i5 ( + .Q0(rx_q[5]), + .Q1(rx_i[5]), + .C0(io_clk_lt), + .C1(io_clk_lt_b), + .CE(1'b1), + .D(rx_data[5]), + .R(1'b0), + .S(1'b0)); + + // Bit6 LB + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i6 ( + .Q0(rx_q[6]), + .Q1(rx_i[6]), + .C0(io_clk_lb), + .C1(io_clk_lb_b), + .CE(1'b1), + .D(rx_data[6]), + .R(1'b0), + .S(1'b0)); + + // Bit7 LT + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i7 ( + .Q0(rx_q[7]), + .Q1(rx_i[7]), + .C0(io_clk_lt), + .C1(io_clk_lt_b), + .CE(1'b1), + .D(rx_data[7]), + .R(1'b0), + .S(1'b0)); + + // Bit8 LB + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i8 ( + .Q0(rx_q[8]), + .Q1(rx_i[8]), + .C0(io_clk_lb), + .C1(io_clk_lb_b), + .CE(1'b1), + .D(rx_data[8]), + .R(1'b0), + .S(1'b0)); + + // Bit9 LT + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i9 ( + .Q0(rx_q[9]), + .Q1(rx_i[9]), + .C0(io_clk_lt), + .C1(io_clk_lt_b), + .CE(1'b1), + .D(rx_data[9]), + .R(1'b0), + .S(1'b0)); + + // Bit10 LB + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i10 ( + .Q0(rx_q[10]), + .Q1(rx_i[10]), + .C0(io_clk_lb), + .C1(io_clk_lb_b), + .CE(1'b1), + .D(rx_data[10]), + .R(1'b0), + .S(1'b0)); + + // Bit11 LB + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i11 ( + .Q0(rx_q[11]), + .Q1(rx_i[11]), + .C0(io_clk_lb), + .C1(io_clk_lb_b), + .CE(1'b1), + .D(rx_data[11]), + .R(1'b0), + .S(1'b0)); + + //------------------------------------------------------------------ + // + // De-mux I & Q, Ch A & B onto fullrate clock. + // + // In all modes we grab data from the IDDR2 using negedge of siso_clk. + // IDDR2 updates all Q pins on posedge of io_clk. siso_clk does not have aligned phase + // with siso_clk...siso_clk is always a little more delayed than io_clk. + // This small delay is always much smaller than half a clk cycle. Thus by sampling the Q outputs + // with negedge siso_clk we avoid any risk of a race condition (hold violation on receiveing register). + // + // In SISO mode data is replicated onto both CH0 and CH1 for max flexibility in using the DDC's. + // + //------------------------------------------------------------------ + reg [11:0] rx_i_del, rx_q_del; + reg [11:0] rx_i0_siso_pos; + reg [11:0] rx_q0_siso_pos; + reg [11:0] rx_i1_siso_pos; + reg [11:0] rx_q1_siso_pos; + reg [11:0] rx_i0_siso_neg; + reg [11:0] rx_q0_siso_neg; + reg [11:0] rx_i1_siso_neg; + reg [11:0] rx_q1_siso_neg; + reg [11:0] rx_i0_siso; + reg [11:0] rx_q0_siso; + reg [11:0] rx_i1_siso; + reg [11:0] rx_q1_siso; + + + always @(negedge siso_clk) + if(mimo_sync) + // rx_frame_0 was sampled by same falling io_clk edge as rx_i[x] + // rx_frame_0 == 0 causes I & Q to be allocated to CH0 + if(rx_frame_0) begin + rx_i_del[11:0] <= rx_i[11:0]; + rx_q_del[11:0] <= rx_q[11:0]; + end + else begin + // Deal with the fact that Ch A and Ch B are labelled in silkscreen opposite to their documentation in AD9361. + rx_i0_siso[11:0] <= rx_i[11:0]; + rx_q0_siso[11:0] <= rx_q[11:0]; + rx_i1_siso[11:0] <= rx_i_del[11:0]; + rx_q1_siso[11:0] <= rx_q_del[11:0]; + end + else begin + rx_i0_siso[11:0] <= rx_i[11:0]; + rx_q0_siso[11:0] <= rx_q[11:0]; + rx_i1_siso[11:0] <= rx_i[11:0]; + rx_q1_siso[11:0] <= rx_q[11:0]; + end // else: !if(rx_frame_0) + + //------------------------------------------------------------------ + // + // Now prepare data for crossing into radio_clk domain which can be for SISO mode (inverted) siso_clk or for MIMO mode siso_clk/2. + // In MIMO mode tx_strobe is used to maintain a known phase relationship betwwen siso_clk and radio_clk. + // (Note: Negedge or posedge is used conditionally so that we have massive margin against a fast-path race condition + // betwwen siso_clk and radio_clk). This kind of arrangement could still lead to confusion in timing analysis + // even if it works in the real world depending on how well the STA tool can do automatic case analysis. + // + //------------------------------------------------------------------ + // This code lock only relevent in MIMO mode. + always @(negedge siso_clk) + if (tx_strobe) + begin + rx_i0_siso_neg[11:0] <= rx_i0_siso[11:0]; + rx_q0_siso_neg[11:0] <= rx_q0_siso[11:0]; + rx_i1_siso_neg[11:0] <= rx_i1_siso[11:0]; + rx_q1_siso_neg[11:0] <= rx_q1_siso[11:0]; + end + // This code block only relevent in SISO mode. + always @(posedge siso_clk) + begin + rx_i0_siso_pos[11:0] <= rx_i0_siso[11:0]; + rx_q0_siso_pos[11:0] <= rx_q0_siso[11:0]; + rx_i1_siso_pos[11:0] <= rx_i1_siso[11:0]; + rx_q1_siso_pos[11:0] <= rx_q1_siso[11:0]; + end + + assign rx_i0 = (mimo_sync) ? rx_i0_siso_neg : rx_i0_siso_pos; + assign rx_q0 = (mimo_sync) ? rx_q0_siso_neg : rx_q0_siso_pos; + assign rx_i1 = (mimo_sync) ? rx_i1_siso_neg : rx_i1_siso_pos; + assign rx_q1 = (mimo_sync) ? rx_q1_siso_neg : rx_q1_siso_pos; + + + //------------------------------------------------------------------ + // TX Data Bus - In bank3 LB + //------------------------------------------------------------------ + reg [11:0] tx_i,tx_q; + reg tx_strobe_del; + + generate + for(z = 0; z < 12; z = z + 1) + begin : gen_pins + ODDR2 #( + .DDR_ALIGNMENT("C0"), .SRTYPE("ASYNC")) + oddr2 ( + .Q(tx_data[z]), .C0(io_clk_lb), .C1(io_clk_lb_b), + .CE(1'b1), .D0(tx_i[z]), .D1(tx_q[z]), .R(1'b0), .S(1'b0)); + end + endgenerate + + //------------------------------------------------------------------ + // TX Frame Signal - In bank 3 LB + //------------------------------------------------------------------ + ODDR2 #( + .DDR_ALIGNMENT("C0"), .SRTYPE("ASYNC")) + oddr2_frame ( + .Q(tx_frame), .C0(io_clk_lb), .C1(io_clk_lb_b), + .CE(1'b1), .D0(tx_strobe_del), .D1(mimo_sync & tx_strobe_del), .R(1'b0), .S(1'b0)); + + //------------------------------------------------------------------ + // TX Clock Signal - In bank 3 LB + //------------------------------------------------------------------ + ODDR2 #( + .DDR_ALIGNMENT("C0"), .SRTYPE("ASYNC")) + oddr2_clk ( + .Q(tx_clk), .C0(io_clk_lb), .C1(io_clk_lb_b), + .CE(1'b1), .D0(1'b1), .D1(1'b0), .R(1'b0), .S(1'b0)); + + //------------------------------------------------------------------ + // + // Mux I & Q, Ch A & B onto fullrate clockTX bus to AD9361 + // + //------------------------------------------------------------------ + wire tx_strobe; + reg [11:0] tx_i_del, tx_q_del; + + reg find_radio_clk_phase = 1'b0; + reg find_radio_clk_phase_del; + + + always @(posedge radio_clk) + find_radio_clk_phase <= ~find_radio_clk_phase; + + always @(negedge radio_clk) + find_radio_clk_phase_del <= find_radio_clk_phase; + + assign tx_strobe = mimo_sync ? (find_radio_clk_phase_del ^ find_radio_clk_phase) : 1'b1; + + always @(posedge siso_clk) + tx_strobe_del <= tx_strobe; + + // This strange piece of logic allows either USRP DUC to drive the AD9361 in SISO mode. + // This is principly used in the CODEC loopback test. + wire [11:0] tx_im = (mimo_sync || tx_i0 != 12'h0) ? tx_i0 : tx_i1; + wire [11:0] tx_qm = (mimo_sync || tx_q0 != 12'h0) ? tx_q0 : tx_q1; + + + // Deal with the fact that Ch A and Ch B are labelled in silkscreen opposite to their documentation in AD9361. + // (Except on B200 based on AD9364 where only the true Ch A is stuffed) + always @(posedge siso_clk) + if(tx_strobe) + begin + {tx_i,tx_q} <= mimo_sync ? {tx_i1,tx_q1} : {tx_im,tx_qm}; + {tx_i_del,tx_q_del} <= {tx_i0,tx_q0}; + end + else + {tx_i,tx_q} <= {tx_i_del,tx_q_del}; + // + // Debug + // +/* -----\/----- EXCLUDED -----\/----- + wire [35:0] CONTROL0; + reg [11:0] tx_i_del_debug, tx_q_del_debug; + reg [11:0] tx_i_debug,tx_q_debug; + reg [11:0] tx_i0_debug,tx_q0_debug; + reg find_radio_clk_phase_debug; + reg find_radio_clk_phase_del_debug; + reg tx_strobe_debug; + reg tx_strobe_del_debug; + + + always @(posedge siso_clk) begin + tx_i_del_debug <= tx_i_del; + tx_q_del_debug <= tx_q_del; + tx_i_debug <= tx_i; + tx_q_debug <= tx_q; + tx_i0_debug <=tx_i0; + tx_q0_debug <= tx_q0; + find_radio_clk_phase_debug <= find_radio_clk_phase; + find_radio_clk_phase_del_debug <= find_radio_clk_phase_del; + tx_strobe_debug <= tx_strobe; + tx_strobe_del_debug <= tx_strobe_del; + end + + + + chipscope_icon chipscope_icon_i0 + ( + .CONTROL0(CONTROL0) // INOUT BUS [35:0] + ); + + chipscope_ila_128 chipscope_ila_i0 + ( + .CONTROL(CONTROL0), // INOUT BUS [35:0] + .CLK(siso_clk), // IN + .TRIG0( + { + tx_i_del_debug[11:0], + tx_q_del_debug[11:0], + tx_i_debug[11:0], + tx_q_debug[11:0], + tx_i0_debug[11:0], + tx_q0_debug[11:0], + find_radio_clk_phase_debug, + find_radio_clk_phase_del_debug, + tx_strobe_debug, + tx_strobe_del_debug + } + ) + + ); + -----/\----- EXCLUDED -----/\----- */ +endmodule diff --git a/fpga/usrp3/top/b200/check.sh b/fpga/usrp3/top/b200/check.sh new file mode 100644 index 000000000..5935de883 --- /dev/null +++ b/fpga/usrp3/top/b200/check.sh @@ -0,0 +1 @@ +iverilog ../top/b200/b200.v -y control/ -y timing/ -y fifo/ -y vita/ -y /opt/Xilinx/14.4/ISE_DS/ISE/verilog/src/unisims/ -y ../top/b200/ -y ../top/b200/coregen/ -y gpif2/ -y /opt/Xilinx/14.4/ISE_DS/ISE/verilog/src/XilinxCoreLib/ -Wall | grep -v timescale diff --git a/fpga/usrp3/top/b200/core_compile b/fpga/usrp3/top/b200/core_compile new file mode 100755 index 000000000..553e9c8ad --- /dev/null +++ b/fpga/usrp3/top/b200/core_compile @@ -0,0 +1 @@ +iverilog -Wall -y. -y ../../control_lib/ -y ../../custom/ -y ../../fifo/ -y ../../gpif2/ -y ../../models/ -y ../../sdr_lib/ -y ../../coregen/ -y ../../vrt/ -y ../../opencores/i2c/rtl/verilog/ -y ../../opencores/spi/rtl/verilog/ -y ../../timing/ -y ../../opencores/8b10b/ -I ../../opencores/spi/rtl/verilog/ -I ../../opencores/i2c/rtl/verilog/ -y ../../simple_gemac B200.v 2>&1 | grep -v timescale | grep -v coregen | grep -v models diff --git a/fpga/usrp3/top/b200/coregen/.gitignore b/fpga/usrp3/top/b200/coregen/.gitignore new file mode 100644 index 000000000..bdeac28ca --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/.gitignore @@ -0,0 +1,5 @@ +/tmp +/_xmsgs +/*.log +/*.gise +/*.xise diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.asy b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.asy new file mode 100644 index 000000000..eb4747f81 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.asy @@ -0,0 +1,9 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 b200_chipscope_icon +RECTANGLE Normal 32 32 544 864 +LINE Wide 576 112 544 112 +PIN 576 112 RIGHT 36 +PINATTR PinName control0[35:0] +PINATTR Polarity BOTH + diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.constraints/b200_chipscope_icon.ucf b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.constraints/b200_chipscope_icon.ucf new file mode 100644 index 000000000..b83296f8e --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.constraints/b200_chipscope_icon.ucf @@ -0,0 +1,9 @@ +NET "U0/U_ICON/*/iDRCK_LOCAL" TNM_NET = J_CLK ; +TIMESPEC TS_J_CLK = PERIOD J_CLK 30 ns ; +#Update Constraints +NET "U0/iUPDATE_OUT" TNM_NET = U_CLK ; +NET "U0/iSHIFT_OUT" TIG ; +TIMESPEC TS_U_TO_J = FROM U_CLK TO J_CLK 15 ns ; +TIMESPEC TS_U_TO_U = FROM U_CLK TO U_CLK 15 ns ; +TIMESPEC TS_J_TO_D = FROM J_CLK TO D_CLK TIG ; +TIMESPEC TS_D_TO_J = FROM D_CLK TO J_CLK TIG ; diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.constraints/b200_chipscope_icon.xdc b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.constraints/b200_chipscope_icon.xdc new file mode 100644 index 000000000..903799425 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.constraints/b200_chipscope_icon.xdc @@ -0,0 +1,7 @@ +# icon XDC +create_clock -name J_CLK -period 30 -waveform {15 30} [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}] +create_generated_clock -name U_CLK -source [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}] -multiply_by 1 -invert [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/UPDATE}] +set_false_path -through [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/SHIFT}] +set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -setup 2 +set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -hold 1 +set_clock_groups -asynchronous -name cross_jtag_clock_domains -group {J_CLK U_CLK} diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.ncf b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.ncf new file mode 100644 index 000000000..e69de29bb diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.ngc b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.ngc new file mode 100644 index 000000000..d43ee8b57 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$0`;7=*981;86>?00684565<2:;<9:4012;0>6799>0<=>01684466<2:9<=:405230>6?89;0=95>0127?40688198618:7GAOTV9GJHSZFF;0>;50?3801=389::75:4812355=?89;3<=>?0133456b3KYHMCQ\P@PWEK033K_MK55MUR]JJCI63J=0OL^[USG1?FC6:2ICINEPLHAFJVCX\PZN>6MF4:AOO5024;eEHF]XD@=2>2?a8GJHSZFF;0<=1c:ALJQTHD96:83m4CNLWVJJ748?5o6M@NUPLH5:6>7i0OB@[RNN38419k2IDBY\@L1>2<;eEHF]XD@=2>>b9@KKRUGE:7>=0l;BMMPWIK858:2n5LOOVQKI6;:;4h7NAATSMO49446j1HCCZ]OM2?618d3JEEX_AC0=06:f=DGG^YCA>327<`?FII\[EG<1<8>b9@KKRUGE:7>50l;BMMPWIK85822o5LOOVQKI6;:7i0OB@[RNN38669k2IDBY\@L1>05;eEHF]XD@=2<3?a8GJHSZFF;0>:1e:ALJQTHD96897>1c:ALJQTHD96893l4CNLWVJJ74:4i7NAATSMO4929j2IDBY\@L1>6:g=DGG^YCA>36?`8GJHSZFF;0:0m;BMMPWIK8525n6M@NUPLH5:>68>0OB\J_FGMAWGSAFDTECH@7:AQADRBL81O>6JF4:FQGN2;H08M540@XZ>4:NVP727@[WF478IP^A>;1E<:5AEUULVN0V03Y$?5iQC4:RBVQg;5Z0.W\MFII'@URI^QMSBCM*PYE[&cO^NEPLNABH7d<]9%^SDM@N.W\GHB(OV^J^JK[[02^*LYIM9$^SYO]GDV1f>S7'\UBOB@ U^AN@*AX\HXLIYU>1\,J[KC7&\U_M_IJT3`8Q5)RW@IDB"[PCLF,CZRFZNO_W<V"DQAE1,V[QGUOL^9n6[?/T]JGJH(]VIFH"IPT@PDAQ]6=T$BSH_?.T]WEWAB\;k0Y=!Z_HALJ*SXKDN$KRZNRFGW_3[)AVDN<#[PT@PDAQ4f3\:$YRGLOO-V[FKC'NU_M_IJTZ5^*LYIM9$^SYO]GDV1e>S7'\UBOB@ U^AN@*AX\HXLIYU7]/K\J@6)]V^J^JK[2`9V4*SXAJEE#XQLME-D[QGUOL^P5P F_OG3*PYSI[MNX?m4U1-V[LEHF&_TO@J U^ALIHGILVYN@"G;.GKX4X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY24X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY25X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY26X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY27X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY20X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY21X(RWE__>n5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY2Y+SXD\^9o6[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ0^*PYK]]8h7X> U^K@KK)RWJGO#XQLOLOBJAYTME%B8#HF[2_-QZJR\;i0Y=!Z_HALJ*SXKDN$YRM@MLCM@ZUBD&C?"KGT4\,V[ISS:j1^<"[PIBMM+PYDEM%^SNABM@LG[VCK'@>%JDU:]/W\HPR5k2_;#XQFCNL,QZEJL&_TOBCBAOF\W@J(A=$MEV8R.T]OQQ4d3\:$YRGLOO-V[FKC'\UHC@CNNE]PAI)N<'LBW:S!U^NVP7e<]9%^SDM@N.W\GHB(]VIDA@OAD^QFH*O3&OCP4P Z_MWW6f=R8&_TENAA/T]@IA)RWJEFAL@K_RGO+L2)N@Q2Q#[PLTV1g>S7'\UBOB@ U^AN@*SXKFXNSDJPSDN,M1(AAR:V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8:V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8;V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS88V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS89V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8>V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8?V"XQCUU0`?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8W%YRBZT3a8Q5)RW@IDB"[PCLF,QZEHZLUBHR]JL.K7*CO\:T$^SA[[2b9V4*SXAJEE#XQLME-V[FIUMVCOS^KC/H6-BL]4U'_T@XZ=c:W3+PYNKFD$YRMBD.W\GJTBW@NT_HB I5,EM^2Z&\UGYYn5Z0.W\MFII'\UHAI!Z_BMQAZOCWZOG#D:!FHY4Y+SXD\^9o6[?/T]JGJH(]VIFH"[PCNPF[LBX[LF$E9 IIZ:^*PYK]]8h7X> U^K@KK)RWJGO#XQLOSG\MAYTME%B8#HF[8_-QZJR\8=0Y=!Z_HALJ*SXKDN$YR]JL^l2f>S7'\UBOB@ U^AN@*SX\HXLIYQLE048Q5)RW@IDB"[PCLF,mVCKWg;i7X> U^K@KK)RWJGO#dZNRFGW84699k1^<"[PIBMM+PYDEM%bXL\HEU>25;7f3\:$YRGLOO-V[FKC'`^J^JK[<9<2e>S7'\UBOB@ U^AN@*oSI[MNX1711`9V4*SXAJEE#XQLME-jPDT@M]UHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX4X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY3Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ33Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ33Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ32Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ32Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ31Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ31Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ30Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ30Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ37Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ37Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ36Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ36Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ3^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[0_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT2\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU=]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_5[)NVIFHV=R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW>S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP8P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ2^*CYDEMQ?Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR?V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\<BN^T0\,E[FKCST$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]1U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W=S!F^AN@^1Z&\UCOHn5Z0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY;Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ;^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[8_-QZJDM8o0Y=!Z_HALJ*SXK]XGSB[[/T]@IAAUX9;n7X> U^K@KK)RWJ^Y@RAZT.W\GHB@ZY;9=6[?/T]JGJH(]VI_^AQ@UU-V[AGSIV\J@DJ=8:W3+PYNKFD$YRM[RM]LQQ)nKFGFMCJPGSR\W@J;87837X> U^K@KK)RWJ^Y@RAZT.k@KHKFFMUL^]Q\EM>2:76<]9%^SDM@N.W\GQTKWF__#dJNT@]UEIOC:81^<"[PIBMM+PYT\H^$JR][AUY3Y+SX[]K_><5Z0.W\MFII'\UXXLZ F^QWEQ]6U'_T_YO[209V4*SXAJEE#XQ\T@V,BZUSI]Q9Q#[PSUCW64=R8&_TENAA/T]PPDR(NVY_MYU<]/W\WQGS9h1^<"[PIBMM+PYT\H^$YRMBDFPS44b<]9%^SDM@N.W\WQGS'\UOMYOPV@NJ@4g<]9%^SDM@N.W\WQGS'\UXXLZLME3`?P6(]VCHCC!Z_RVBP*SX[]K_O@JPn338Q5)RW@IDB"[PSUCW+PYT\H^TOCZ CH>2:77<]9%^SDM@N.W\WQGS'\UXXLZPCOV,GL:56;;0Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(K@682??4U1-V[LEHF&_T_YO[/T]PPDRXKG^$OD2;>338Q5)RW@IDB"[PSUCW+PYT\H^TOCZ CH>6:76<]9%^SDM@N.W\WQGS'\UXXLZPCOV,@969:91^<"[PIBMM+PYT\H^$YR][AU]@JQ)C4849<6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&N7>3328Q5)RW@IDB"[PSUCW+PYT\H^TOCZ D=6=65=R8&_TENAA/T]PPDR(]VY_MYQLNU-G8085k2_;#XQFCNL,QZUSI]%^S^ZNT^AMP*A\8T$LbE Z_LW[G\403\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]7U'_TJI\J279V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[1_-QZJR\;20Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(OR:V"XQWOSAZ6f=R8&_TENAA/T]PPDR(]VY_MYQLNU-D_4[)OgB%YRCZXB[13>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX5X(RWONYI?84U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV?R.T]OQQ4?3\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]6U'_TTB\LY3a8Q5)RW@IDB"[PSUCW+PYT\H^TOCZ GZ0^*BhO&\UFYUMV269V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[3_-QZ@CZL8=7X> U^K@KK)RWZ^JX"[PSUCW[FHS'NQ9Q#[PLTV1<>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX6X(RWQEYOT2_;#XQFCNL,QZUSI]%^S^ZNT^AMP*A\;T$^SA[[299V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[2_-QZ^HZJS9o6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&MP8P HnI,V[HS_KP8<7X> U^K@KK)RWZ^JX"[PSUCW[FHS'NQ?Q#[PFEPF63=R8&_TENAA/T]PPDR(]VY_MYQLNU-D_1[)]VF^X?64U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV:R.T][KWE^:>1^<"[PIBMM+PYT\H^$YR][AU]@JQ)@S=5Z0.W\MFII'\UXXLZ U^QWEQYDF]%X0?0=0:W3+PYNKFD$YR][AU-V[VRF\VIEX"]33?03?P6(]VCHCC!Z_RVBP*SX[]K_SN@[/R>7:76<]9%^SDM@N.W\WQGS'\UXXLZPCOV,W9399j1^<"[PIBMM+PYT\H^$YR][AU]KMBN6j2_;#XQFCNL,QZUSI]%^S^ZNT^NLS40<]9%^SDM@N.W\WQGS'\U_HB?m;T2,QZODGG%^S^ZNT.W\PAIXflr=h5Z0.W\MFII'\UXXLZ iBOG[BTW8VYN@5=i5Z0.W\MFII'\UXXLZ iRVBPZEI\5?5=o5Z0.W\MFII'\UXXLZ iRVBPZNNOA;j7X> U^K@KK)RWZ^JX"g\T@V\HJQ6i2_;#XQFCNL,QZUSI]%bXIAPndzw6g=R8&_TENAA/T]P]KE(OVYRBNQXOSFX4X(NWGO;"XQIDS0a?P6(]VCHCC!Z_R[MG*AX[PDHSZA]DZ3^*LYIM9$^SKJ]2c9V4*SXAJEE#XQ\YOA,CZU^FJU\C_JT2\,J[KC7&\UMH_o5Z0.W\MFII'\UXUCM G^QZJFYPG[NP8P F_OG3*PYAL[8i7X> U^K@KK)RWZSEO"IPSXL@[RIULR?V"DQAE1,V[CBU:k1^<"[PIBMM+PYTQGI$KR]VNB]TKWB\>T$BSH_?.T]E@W7e3\:$YRGLOO-V[V_IK&_TKBZPSXL@5a=R8&_TENAA/T]P]KE(]VMDXR]VNB]K5a=R8&_TENAA/T]P]KE(]VMDXR]VNB]O52=R8&_TENAA/T]P]KE(]VYRBN?j;T2,QZODGG%^S^WAC.W\mAGSIVIFHR`>c:W3+PYNKFD$YR]VNB-j@DRFWJGOSc?n;T2,QZODGG%^S^WAC.kDKQYTQGI:j6[?/T]JGJH(]VYRBN!fGNV\W\HDWACLDe:W3+PYNKFD$YR]VNB-jW\HDW^EYH1?11d9V4*SXAJEE#XQ\YOA,mV_IKV]D^I2=>0g8Q5)RW@IDB"[PSXL@+lU^FJU\C_J33?3f?P6(]VCHCC!Z_R[MG*oTQGIT[B\K<5<2a>S7'\UBOB@ U^QZJF)n[PDHSZA]D=7=5`=R8&_TENAA/T]P]KE(aZSEORY@RE>5:45<]9%^SDM@N.W\PAOXzlm8<6[?/T]JGJH(]V^OCRCZX.W\GVYJ]Q%B8#[PMTZ22*Kj}qUD=S7'\UBOB@ iBMNIDHCWZOG0=0>a:W3+PYNKFD$eNABM@LG[VCK48:5=l5Z0.W\MFII'`IDA@OAD^QFH97668k0Y=!Z_HALJ*oDGDGJBIQ\EM>26;7f3\:$YRGLOO-jGJKJIGNT_HB312<2e>S7'\UBOB@ iBMNIDHCWZOG0<:11`9V4*SXAJEE#dM@MLCM@ZUBD5;>2<74U1-V[LEHF&cHC@CNNE]PAI:66830Y=!Z_HALJ*oDGDGJBIQ\EM>1:4?<]9%^SDM@N.k@KHKFFMUXIA2<>0;8Q5)RW@IDB"gLOLOBJAYTME6?2<74U1-V[LEHF&cHC@CNNE]PAI:26830Y=!Z_HALJ*oDGDGJBIQ\EM>5:4?<]9%^SDM@N.k@KHKFFMUXIA28>0;8Q5)RW@IDB"gLOLOBJAYTME632<74U1-V[LEHF&cHC@CNNE]PAI:>68?0Y=!Z_HALJ*oDG[OTEI2?>078Q5)RW@IDB"gLOSG\MA:668?0Y=!Z_HALJ*oDG[OTEI2=>078Q5)RW@IDB"gLOSG\MA:46830Y=!Z_HALJ*oDG[OTEIQ\EM>3:4g<]9%^SDM@N.k@KWCXAMUXIA2>5?30?P6(]VCHCC!fD@VB[FKC9<1^<"[PIBMM+lBF\HUHAIQaf:W3+PYNKFD$eI\LKd9V4*SXAJEE#d]JL028Q5)RW@IDB"g\EM]mb>S7'\UBOB@ iR[MG`=R8&_TENAA/hVGM`=R8&_TENAA/hVGK40<]9%^SDM@N.kW@JYQMJ6:93?<;T2,QZODGG%bXIAPndzw`>S7'`YCEKZPOTVf?P6(a\ZOMYKPOTV5?PVCI]Oh7X]JR^TJWLDKM:1]ON74VHGT[Q_WM8n0TDBFNY/[@G&7&8*XXXL/0/3#EVENA<1SC_MV6:ZPPIOE?2RXXRIAD69[WQYQKJh0TRM@RD]JJCI13QniSDj>0:ZgiZKfbfx]i}foo33?]bjWDcecXjrrklj6=_{}90Uh}m4_^][HKKXWV;;SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY26X(RWEIN?n5P_^ZOJHYXW8;TSR[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ30Y+SXDJO8o6QP_YNMIZYX9;UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[06^*PYKKL9h7RQPXMLN[ZY6;VUTY=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT14_-QZJDM:h0SRQWLOO\[Z73WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU>]/W\HFC4j2UTSUBAM^]\50YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW?S!U^N@A6dYXWQFEARQP16]\[P6(]VCHCC!Z_BVQHZIR\<BN^T0\,E[FKCS=W%YRBLE2`8[ZY_DGGTSR?7_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]2U'_T@NKl4_^][HKKXWV;TSR[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ31Y+SXD\^8n6QP_YNMIZYX:9UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[6_-QZJDM:h0SRQWLOO\[Z46WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU7]/W\HFC4j2UTSUBAM^]\67YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW4S!U^N@A7bYXWQFEARQP3^]\Q5)RW@IDB"[PCLF,QZEHEDKEHR]JL.K7*CO\0T$^SA[[3`9\[Z^KFDUTS9QP_T2,QZODGG%^SNCK/T]@KHKFFMUXIA!F4/DJ_3[)]VF^X>o4_^][HKKXWV?TSR[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ6^*PYK]]9j7RQPXMLN[ZY1WVU^<"[PIBMM+PYDEM%^SNABM@LG[VCK'@>%JDU=]/W\HPR4i2UTSUBAM^]\3ZYX]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP

R.G]@IA]69T$^SAMJd:cp}keXllidhh|6;`qzjfYj}q;87oo1i>=>PcikswfiwmVchcc"lhhrpgjvbW`idbRx>_14\e)}dW|ynSckx_qcqw`t:8%iTtnaPlhqw9KsjjlxTOb`{rnn\Tjts{4;0Y~kPEyv\Fveff4lj`~k4Urgq[VeffVIcmd`2URGQ5>CiikfnSGzng^@vbb;sz|o0Y~kPUowpaaYE{jke1kocsd9Vw`YTgo~inoa=gcow`*dWqnnzdmjf`ojh|;txhxmc8#c^alv`Ysqyo6=!mPm`hlvZpbzzcdb0?;,b]nmkiuWoydaa=5.`[gsndmUyi{g|inl>4)eXkfxnS`oeos]uaw;6$jUhckPmhllvZpbz48'oRm`rd]nmkiuWhf{dlQyes?:3)eXeomTei3>,b]vw`Ye}ox60>#c^wpaZehf}xd`>3?,b]vw`Ydgg~yca:20-a\qvcXkfd~bb:=1.`[pubWjeexac6<2/gZstmVidby|`l6?3(fYr{lUhccz}om:>4)eX}zoTob`{rnn:95*dW|ynSnaatsmo55;7$jU~hQloovqki7659&hSx}j_bmmpwik9;7; nQzsd]`kkruge;81="l_tqf[fii|{eg=93?,b]vw`Ybp}Uinoa=1.`[pubW|d~hjPbrabj86+kVxiRv|t^v`oZqhzbkycx`k=0.`[`~feyfnSik|ifl>bdjtm%iTob`|tscjjqYsqyo6iuzjroco(fYr{lxTnoa_bjbmk;6$to0oegsbmsaZodgg997nffpralt`YnkfdTz2ixS`{w8:ap[hs9?k0enaa_cq`ek`;ya5wi~0=$llk96;-2CDu2712JKt4}T=j0:44475;3077?fj39=::?tn0:4>4=i9121:6*>8782<5=z[:?1=5758482764>ik08:;9;;e3b3?6=93;p_8m519;9<0<6;:82mo4<6752?sR><3:1=7?57gyP1f<6000397?<33;bf?51>>;0(<9i:648 =0=9k=0n?2>lpD<9k;%;b>4g03S;o65;'5=3=9hh0(??51`:8 0d=9h30(4=50:&:6?7?i2!>87>5$9:917=i0>09<65$5283>!>?2<80b5950:9(14<72-2368<4n9595>=,=90;6)67:408j=1=:21 8k4?:%:;>04!>?2<80b5954:9(0a<72-2368<4n9591>=,21 8l4?:%:;>04!>?2<80b5958:9(0=<72-2368<4n959=>=,<>0;6)67:408j=1=i21 8;4?:%:;>04!>?2<80b595c:9(01<72-2368<4n959`>=,<:0;6)67:408j=1=m21 8?4?:%:;>04!>?2<80b5951198/6`=83.347;=;o:4>47<3"9n6=4+89866>h??3;976%==;1e4:4>3:9(7f<72-2368<4n95951=<#:h1<7*78;71?k>028?07&=n:18'<=<2:2d3;7?9;:)0=?6=,1219?5a86823>=,;10;6)67:408j=1=9110'>950;&;5<#010>>6`77;3b?>-213:1(565539m<2<6j21 954?:%:;>0497>5$9:917=i0>0:j65$4b83>!>?2<80b5952098/17=83.347;=;o:4>74<3"9=6=4+89866>h??38876l>8583>4<729q/5l4>7d9K5=4<@8=o7b6;:188yg7?;3:1=7>50z&:e?003A;3>6F>7e9l23<722wi5n4?:383>5}#1h0:o6F>839K52b<,191=o84o9094?=n>10;66sm9c83>g<729q/5l4>b:J2<7=O9>n0b:?51:l46?6>i?m3:17b79:188k41d2900c5j50;9l=5<722c247>5;n3e>5<>{e9<<1<7<50;2x 428h=7d87:188k=4=831vn<;8:181>5<7s-3j6:>4H0:1?M70l2.3?7?m6:k5o0:7d?j:188m76=831b9i4?::m;f?6=3th:9?4?:583>5}#1h0=?6F>839K52b<,191=o84$7d95>o6m3:17d1<7>t$8c924=O9180D<9k;%:0>4d13->o4<3:17d;k:188k=d=831vnn650;094?6|,0k1;=5G1908L41c3-2865<55;294~">i3<97E?72:J23a=#0:0:n;5+6g82?l7b2900e?>50;9j71<722c>h7>5;n:a>5<6=4::183!?f2?80D<6=;I34`>"?;3;i:6*9f;38m4c=831b>=4?::k00?6=3`?o6=44o9`94?=zjh<1<7;50;2x ;1C=5<4H05g?!>428h=7)8i:09j5`<722c9<7>5;h17>5<>{ei>0;684?:1y'=d<1:2B:4?5G16f8 =5=9k<0(;h51:k2a?6=3`8;6=44i2694?=n=m0;66a7b;29?xd>m3:197>50z&:e?053A;3>6F>7e9'<6<6j?1/:k4>;h3f>5<>o2l3:17b6m:188yg?a290>6=4?{%;b>34<@8297E?8d:&;7?7e>2.=j7?4i0g94?=n:90;66g<4;29?l3c2900c5l50;9~fd6=83?1<7>t$8c927=O9180D<9k;%:0>4d13->o583:17d=;:188m0b=831d4o4?::ae4<72<0;6=u+9`856>N60;1C=:j4$9195g0<,?l1=6g>e;29?l472900e>:50;9j1a<722e3n7>5;|`b6?6==3:1o0:7d?j:188m76=831b?94?::k6`?6=3f2i6=44}cc0>5<2290;w)7n:708L4>53A;"1n3;0e5;h7g>5<428h=7)8i:058 =?=91h0e;750;9j2d<722c=n7>5;h4`>5<428h=7)8i:028 =?=90<0e;750;9j2d<722c=n7>5;h4`>5<9e9j2<<722c=m7>5;h4a>5<>{ei00;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5d:&;=?7>l2c=57>5;h4b>5<>i?:3:17plm7;291?6=8r.2m796;I3;6>N6?m1/4>4>b79'2c5<>o1k3:17b6=:188ygd1290>6=4?{%;b>2?<@8297E?8d:&;7?7e>2.=j7o4$9;95>o1j3:17d8l:188k=4=831vno;50;794?6|,0k1;45G1908L41c3-286<=#000:5k5f6883>>o1i3:17d8m:188m3e=831d4?4?::af1<72<0;6=u+9`84=>N60;1C=:j4$9195g0<,?l146*79;3:b>o113:17d8n:188m3d=831b:n4?::m;6?6=3thi?7>55;294~">i3=27E?72:J23a=#0:0:n;5+6g84?!>>28k;7d86:188m3g=831b:o4?::k5g?6=3f296=44}c`1>5<2290;w)7n:6;8L4>53A;"1n3<0(5751`28m3?=831b:l4?::k5f?6=3`428h=7)8i:49'<<<6i81b:44?::k5e?6=3`0<729q/5l489:J2<7=O9>n0(5=51c48 3`=<2.357?n1:k5=?6=3`j0;66a72;29?xdfn3:197>50z&:e?1>3A;3>6F>7e9'<6<6j?1/:k4<;h4:>5<>o1k3:17b6=:188ygg?290>6=4?{%;b>2?<@8297E?8d:&;7?7e>2.=j7<4i7;94?=n>h0;66g9b;29?l0d2900c5<50;9~fgc=83?1<7>t$8c93<=O9180D<9k;%:0>4d13-h0;66g9b;29?l0d2900c5<50;9~fgb=83?1<7>t$8c93<=O9180D<9k;%:0>4d13-h0;66g9b;29?l0d2900c5<50;9~fge=83?1<7>t$8c93<=O9180D<9k;%:0>4d13-h0;66g9b;29?l0d2900c5<50;9~fgd=83?1<7>t$8c93<=O9180D<9k;%:0>4d13-4i7;94?=n>h0;66g9b;29?l0d2900c5<50;9~fgg=83?1<7>t$8c93<=O9180D<9k;%:0>4d13->o1i3:17d8m:188m3e=831d4?4?::af<<72<0;6=u+9`84=>N60;1C=:j4$9195g0<,?l1h6g99;29?l0f2900e;l50;9j2f<722e3>7>5;|``3?6==3:1o0h7d86:188m3g=831b:o4?::k5g?6=3f296=44}ca5>5<2290;w)7n:6;8L4>53A;"1n3k0e;750;9j2d<722c=n7>5;h4`>5<0<729q/5l489:J2<7=O9>n0(5=51c48 3`=02c=57>5;h4b>5<>i?:3:17pll3;291?6=8r.2m796;I3;6>N6?m1/4>4>b79'2c<03`<26=44i7c94?=n>k0;66g9c;29?j>52900qom=:186>5<7s-3j6:74H0:1?M70l2.3?7?m6:&5b?0>o1j3:17d8l:188k=4=831vnn?50;794?6|,0k1;45G1908L41c3-2860=n>00;66g9a;29?l0e2900e;m50;9l<7<722wio=4?:483>5}#1h0<56F>839K52b<,191=o84$7d90>o113:17d8n:188m3d=831b:n4?::m;6?6=3thij7>55;294~">i3=27E?72:J23a=#0:0:n;5+6g80?l0>2900e;o50;9j2g<722c=o7>5;n:1>5<30D<6=;I34`>"?;3;i:6*9f;08m3?=831b:l4?::k5f?6=3`428h=7)8i:0;8 =?=9h80e;750;9j2d<722c=n7>5;h4`>5<428h=7)8i:0;8 =?=90:0e;750;9j2d<722c=n7>5;h4`>5<428h=7)8i:0;8 =?=90;0e;750;9j2d<722c=n7>5;h4`>5<428h=7)8i:0;8 =?=9080e;750;9j2d<722c=n7>5;h4`>5<1<7;50;2x 428h=7)8i:0;8 =?=9090e;750;9j2d<722c=n7>5;h4`>5<428h=7)8i:0;8 =?=90>0e;750;9j2d<722c=n7>5;h4`>5<428h=7)8i:0;8 =?=90?0e;750;9j2d<722c=n7>5;h4`>5<428h=7)8i:0;8 =?=90=0e;750;9j2d<722c=n7>5;h4`>5<428h=7)8i:0;8 =?=9020e;750;9j2d<722c=n7>5;h4`>5<428h=7)8i:0;8 =?=9030e;750;9j2d<722c=n7>5;h4`>5<428h=7)8i:0;8 =?=9h90e;750;9j2d<722c=n7>5;h4`>5<1<7;50;2x 428h=7)8i:0;8 =?=9h>0e;750;9j2d<722c=n7>5;h4`>5<428h=7)8i:0;8 =?=91i0e;750;9j2d<722c=n7>5;h4`>5<428h=7)8i:0;8 =?=91n0e;750;9j2d<722c=n7>5;h4`>5<428h=7)8i:0;8 =?=91o0e;750;9j2d<722c=n7>5;h4`>5<428h=7)8i:0;8 =?=91l0e;750;9j2d<722c=n7>5;h4`>5<428h=7)8i:008 =?=90k0e;750;9j2d<722e3>7>5;|`e4?6=;3:1o0m7)66:0;b?l0>2900e;o50;9l<7<722wij?4?:283>5}#1h0<46F>839K52b<,191=o84$7d95==#000:5o5f6883>>o1i3:17b6=:188yg7513:187>50z&:e?1c3A;3>6F>7e9'<6<6j?1b:44?::k5e?6=3`2m6=44o9094?=zj88<6=4;:183!?f2>i0D<6=;I34`>"?;3;i:6g99;29?l0f2900e5h50;9l<7<722wi=?850;694?6|,0k1;n5G1908L41c3-2865<>i?:3:17pli6;291?6=8r.2m796;I3;6>N6?m1/4>4>b79'2ck0;66g9c;29?j>52900qoh8:186>5<7s-3j6:74H0:1?M70l2.3?7?m6:&5b?073`<26=44i7c94?=n>k0;66g9c;29?j>52900qoh7:186>5<7s-3j6:74H0:1?M70l2.3?7?m6:&5b?733`<26=44i7c94?=n>k0;66g9c;29?j>52900qoh6:186>5<7s-3j6:74H0:1?M70l2.3?7?m6:&5b?763`<26=44i7c94?=n>k0;66g9c;29?j>52900qohk:181>5<7s-3j6:>4H0:1?M70l2.3?7?m6:k55<4290;w)7n:6:8L4>53A;"1n3l0e;750;9j2d<722e3>7>5;|`eg?6==3:1o0:56g99;29?l0f2900e;l50;9j2f<722e3>7>5;|`ef?6=;3:1o0:46*79;3:f>o113:17d8n:188k=4=831vn<<7:187>5<7s-3j6;=4H0:1?M70l2.3?7?m6:&5b?7>o2l3:17b6m:188yg77k3:1?7>50z&:e?7?92B:4?5G16f8 =5=9k<0e?<50;9j36<722e3>7>5;|`24<<72=0;6=u+9`84f>N60;1C=:j4$9195g0>o?n3:17b9;:188yg7683:1?7>50z&:e?7?92B:4?5G16f8 =5=9k<0e?<50;9j36<722e3>7>5;|`24a<72=0;6=u+9`84f>N60;1C=:j4$9195g0>o?n3:17b9;:188yg76<3:1?7>50z&:e?7?92B:4?5G16f8 =5=9k<0e?<50;9j36<722e3>7>5;|`254<72=0;6=u+9`84f>N60;1C=:j4$9195g0>o?n3:17b9;:188yg7603:1?7>50z&:e?7?92B:4?5G16f8 =5=9k<0e?<50;9j36<722e3>7>5;|`250<72=0;6=u+9`84f>N60;1C=:j4$9195g0>o?n3:17b9;:188yg76k3:1?7>50z&:e?7?92B:4?5G16f8 =5=9k<0e?<50;9j36<722e3>7>5;|`25<<72=0;6=u+9`84f>N60;1C=:j4$9195g0>o?n3:17b9;:188yg76n3:1?7>50z&:e?7?92B:4?5G16f8 =5=9k<0e?<50;9j36<722e3>7>5;|`24g<72;0;6=u+9`843>N60;1C=:j4$9195g0<,?l1=?5f6883>>i?:3:17pl>0g83>7<729q/5l487:J2<7=O9>n0(5=51c48 3`=9;1b:44?::m;6?6=3th:=>4?:383>5}#1h0<;6F>839K52b<,191=o84$7d957=n>00;66a72;29?xd69>0;6?4?:1y'=d<0?2B:4?5G16f8 =5=9k<0(;h5139j2<<722e3>7>5;|`25g<72;0;6=u+9`843>N60;1C=:j4$9195g0<,?l1=?5f6883>>i?:3:17pl>1d83>7<729q/5l487:J2<7=O9>n0(5=51c48 3`=9;1b:44?::m;6?6=3th:5}#1h0=96F>839K52b<,191=o84$7d95>o6m3:17d5}#1h0=96F>839K52b<,191=o84$7d95>o6m3:17d5}#1h0=96F>839K52b<,191=o84$7d95>o6m3:17d5}#1h0=96F>839K52b<,191=o84$7d95>o6m3:17d5}#1h0=96F>839K52b<,191=o84$7d95>o6m3:17d5}#1h0=96F>839K52b<,191=o84$7d95>o6m3:17d5}#1h0<56F>839K52b<,191=o84$7d9g>o113:17d8n:188m3d=831b:n4?::m;6?6=3th:8?4?:283>5}#1h0<46F>839K52b<,191=o84$7d95==#000:5n5f6883>>o1i3:17b6=:188yg73>3:1>7>50z&:e?173A;3>6F>7e9'<6<6j?1b:54?::m;6?6=3th:8>4?:483>5}#1h0<56F>839K52b<,191=o84$7d9`>o113:17d8n:188m3d=831b:n4?::m;6?6=3th:884?:483>5}#1h0=96F>839K52b<,191=o84$7d95>o6m3:17d5}#1h0=86F>839K52b<,191=o84$7d95>o6m3:17d;k:188m=e=831d4o4?::a56e=83>1<7>t$8c921=O9180D<9k;%:0>4d13->o2l3:17d6l:188k=d=831vn<=k:187>5<7s-3j6;:4H0:1?M70l2.3?7?m6:&5b?7>o?k3:17b6m:188yg74m3:187>50z&:e?033A;3>6F>7e9'<6<6j?1/:k4>;h3f>5<>i?j3:17pl>3g83>1<729q/5l494:J2<7=O9>n0(5=51c48 3`=92c:i7>5;h7g>5<>{e9=:1<7:50;2x =1C=5<4H05g?!>428h=7)8i:09j5`<722c>h7>5;h:`>5<5<3290;w)7n:768L4>53A;"1n3;0e5;n:a>5<?7>57;294~">i3=j7E?72:J23a=#>o0:m6g99;29?l0f2900e;l50;9j2f<722c=h7>5;h4f>5<01<;<:7f8yv342909wS;<;=45<5sW>m70j9:908yv2b2909wS:j;=4o6=4={_6g?8b52180q~:m:181[2e34on65<4}r6b>5<5sW>j70kl:908yv2>2909wS:6;=436=4={_6;?8c?2180q~:8:181[2034o=65<4}r65>5<5sW>=70k;:908yv222909wS::;=4?6=4={_67?8c72180q~:<:181[2434nn65<4}r61>5<5sW>970j?:908yv272909wS:?;=45<5sW9o70j8:908yv5d2909wS=l;=45<5sW9270kk:908yv5?2909wS=7;=42180q~;n:181[3f34o<65<4}r7:>5<5sW?270k::908yv3?2909wS;7;=45<5sW?>70j>:908yv26290>wS:>;<366?>e34k868j4=062>0b<58>86;m4}r346?6=9mqU?;529b8;6>;6=;0:i63>5582a>;f;3;n70o=:0g89d7=9l16m=4>e:?:b?7b343n6n:0g8942628o01<:?:0g8945a28o01<=j:0g8945c28o01<=l:0g8945e28o01<:::0g8yv1b290ow0?74;:7?872:38;70?:4;03?875038;70?>d;03?876i38;70?>6;03?876:38;70??e;03?877i38;70??9;01?877k38970?;5;7g?xu3k3:1;v3>82852>X3k27:=44:e:?250<2m27:=<4:e:?24a<2m27:<44:e:p52d=838p1<;::0g89i0q~?8a;290~;d13h165o461:p=a<72;q65o4:f:?:g?0?3ty:;<4?:3y>503==m16=885839~w4132909w0?:5;17?872?3297p}>7483>6}:n;0=563ib;4:?873=32i7p}>7683>7}:9<>14o529c8:<>{t9>21<7=d<58?86;k4}r36e?6=3g<5m:1:l52fb85e>{t9?;1<7=t=`d9<7=:lo0=m63ke;4b?xu6>;0;6>u2b18;6>;b93h16i949a:p533=839p1o=5839>a2<1i27n:78n;|q223<72:q6n9472:?f=?0f34o36;o4}r353?6=;r7i976=;3g<5lk1:l5rs04;>5<4s4h=65<4=df92d=:mj0=m6s|17;94?5|5k=14?52eg85e>;bm35c83>6}:i003>63k3;4b?8b52?k0q~?:c;297~;fi32970j::7c89a2=>h1v<;k:1808ge21801i956`9>`3<1i2wx=8k50;1x9de=0;16h449a:?gea3g53z?ba?>534no6;o4=ea92d=z{8534no6;l4=ea92g=:lk0=n63ka;4a?8b>2?h01i656c9>`2<1j27o:78m;3d<5m>1:o52d285f>;c:3k16ii49b:?fg?0e34oi6;l4=dc92g=:m00=n63j8;4a?8c02?h01h856c9>a0<1j27n878m;3d<5l81:o52e085f>;b83k16h<49b:?g4?0e3ty::k4?:3y>f`58c9>=c<2l27i4786;<`e>3?<5j:1:452c085=>;d:3<270m<:7;89f2=>016o8499:?`2?0>34i<6;74=c;92<=:jh0=563mb;4:?8dd2?301oj5689>f`<1127:9>49c:p53d=83;8w0o>:9`89d6==m16n549a:?ab?0f34i;6;o4=b392d=:k;0=m63l3;4b?8e32?k01n;56`9>g3<1i27h;78n;<`:>3g<5kk1:l52bc85e>;ek3h16=8=56c9~w40d290:?v3n2;:a?8g62fc<1j27h<78m;3d<5j81:o52c285f>;d<3k16o:49b:?a=?0e34hj6;l4=c`92g=:jj0=n63md;4a?8db2?h01<;<:7c8yv71l3:1=>u2a28;f>;f:3?o70l7:7a89g`=>j16o=49c:?`5?0d34i96;m4=b192f=:k=0=o63l5;4`?8e12?i01n956b9>f<<1k27im78l;<`a>3e<5ki1:n52be85g>;em3;a83<270h>:7;89cg=>01v<;6:1868g221h01l:55e9>b5<1i27m=78n;3g54z?21034;?:787;|q23<<72;q6=8:55e9>505=0;1v<9<:180872?3<3707m:8289f>=>11v<99:181872:3?o707m:858yvef290iw0o<:2689d4=;=16m<4<4:?b4?53343m6>:4=8g971=:i>08863n6;17?8g22:>01l:5359>g=e6<5827j>776<5h:1>=529g814>;>m38;70o8:3289d0=:916m84=0:?b0?4734i265<4}rag>5<6:r7j:76m;0b<5h21:452ag85=>;e83<270l>:7;89g4=>016n>499:?a0?0>34h>6;74=c492<=:j>0=563n9;4:?8gf2?301ll5689>ef<1127jh786;3?2z?b3?>e34k=68j4=`:92d=:io0=m63m0;4b?8d62?k01o<56`9>f6<1i27i878n;<`6>3g<5k<1:l52b685e>;f13h16mn49a:?b`?0f34kn6;o4}raa>5<6:r72i76m;0b<5h21:o52ag85f>;e83:7`89g4=>k16n>49b:?a0?0e34h>6;l4=c492g=:j>0=n63n9;4a?8gf2?h01ll56c9>ef<1j27jh78m;3d2z?:b?>e343n68j4=`:92f=:io0=o63m0;4`?8d62?i01o<56b9>f6<1k27i878l;<`6>3e<5k<1:n52b685g>;f13j16mn49c:?b`?0d34kn6;m4}rd7>5<69r7m=76=;3e<5mk1:n52d985g>;c>3j16ih49c:?fg?0d34oj6;m4=d:92f=:m?0=o63j4;4`?8c52?i01h>56b9>``<1k27o<78l;|qe7?6=98q6j=472:?g`?0d34ni6;m4=e;92f=:l>0=o63k5;4`?8b42?i01hh56b9>aa<1k27nn78l;3e<5l=1:n52e485g>;b;3:7a89a`=>j16h<49c:pb0<72;;p1k<5839>`a<1127oo786;3?<5mk1:452d885=>;c03<270j8:7;89a0=>016h8499:?g0?0>34n86;74=e092<=:mo0=563je;4:?8cc2?301hm5689>ag<1127nm786;3?<5l21:452e685=>;b>3<270k::7;89`2=>016i>499:?f6?0>34o:6;74=d292<=:lo0=563ke;4:?8b62?301i>5689~w45f2909w0?=8;7g?87513297p}>3883>7}:9;=14?5213;92<=z{8936=4={<312?>534;9578n;|q26f<72;q6j;472:?262<112wx=?j50;0x9c1=0;16=?956`9~w44b2909w0h7:90894412?30q~?=f;296~;a132970?=6;4b?xu6;80;6:u2fe8;6>;69m03o63>1`8;g>;69?03o63>138;g>;68l03o63>0`8;g>{t9;k1<76s|12294?4|5oi14?52fe85<>{t9;h1<7=d<58;n6;74}r302?6=;47f:?262;50;4x9c?=>j16j549c:?e3?0d34l=6;m4=035>=d<58;<6;74}r300?6=>r7m578m;3d<5o=1:o52f785f>;69;03n63>1285=>{t9:91<78t=g;92d=:n10=m63i7;4b?8`12?k01<>j:9`8946a2?30q~?<2;292~;a13<270h7:7;89c1=>016j;499:?24dn:4f8946d2180q~hj:180877l38970?>0;01?87713=?7p}>0583>7}:99o19i521029<7=z{ol1<7=t=032>74<58;?6?<4=02g>2252z?257<2l27:=9472:p556=839p1:668yv77>3:1>v3>1786`>;69103>6s|11394?5|58;26?<4=03`>74<58;>6::4}r333?6=:r7:=l4:d:?25fv3>1e86`>;69o03>6s|13294?5|58:i65<4=02:>=`<58:h6:=4}r315?6=;r7:168;6>;69<03j63>19847>{t9;>1<7=t=03a>=4<58;265h4=03`>2552z?25`438;6>{t9==1<77t=062>=e<58>;65m4=01e>=e<589n65m4=01g>=e<589h65m4=01a>=e<58>>65m4=065>=452z?27g3e8;f>;6;j0>h63>4585f>{t9=o1<7=t=01f>=d<589o68j4=067>3e53z?27c50;1x942721h01<=i:4f894242?k0q~?;9;296~;6<:03>63>4385e>{t9<;1<7=t=062>=d<58>;68j4=060>3d51zJ23a=zf::o6=4>{I34`>{i;9o1<7?tH05g?xh48o0;67e9~j676290:wE?8d:m744=83;pD<9k;|l056<728qC=:j4}o120?6=9rB:;i5rn236>5<6sA;4}O9>n0qc=>8;295~N6?m1vb>?6:182M70l2we?51zJ23a=zf:;o6=4>{I34`>{i;8o1<7?tH05g?xh49o0;67e9~j646290:wE?8d:m774=83;pD<9k;|l066<728qC=:j4}o110?6=9rB:;i5rn206>5<6sA;4}O9>n0qc==8;295~N6?m1vb><6:182M70l2we??o50;3xL41c3td8>o4?:0yK52b51zJ23a=zf:8o6=4>{I:2?M>73A;4}O9>n0qc=<0;295~N?92B3<6F>7e9~j656290:wE6>;I:3?M70l2we?><50;3xL41c3td8?>4?:0yK52b51zJ23a=zf:9>6=4>{I34`>{i;:<1<7?tH05g?xh4;>0;67e9~j65>290:wE?8d:m76g=83;pD<9k;|l07g<728qC=:j4}o10g?6=9rB:;i5rn21g>5<6sA;4}O9>n0qc=;0;295~N6?m1vb>:>:182M70l2we?9<50;3xL41c3td88>4?:0yK52b51zJ23a=zf:>>6=4>{I34`>{i;=<1<7?tH05g?xh4<>0;67e9~j62>290:wE?8d:m71g=83;pD<9k;|l00g<728qC=:j4}o17g?6=9rB:;i5rn26g>5<6sA;4}O9>n0qc=:0;295~N6?m1vb>;>:182M70l2we?8<50;3xL41c3td89>4?:0yK52b87>51zJ23a=zf:?>6=4>{I34`>{i;<<1<7?tH05g?xh4=>0;67e9~j63>290:wE?8d:m70g=83;pD<9k;|l01g<728qC=:j4}o16g?6=9rB:;i5rn27g>5<6sA;4}O9>n0qc=90;295~N6?m1vb>8>:182M70l2we?;<50;3xL41c3td8:>4?:0yK52b51zJ23a=zf:<>6=4>{I34`>{i;?<1<7?tH05g?xh4>>0;67e9~j60>290:wE?8d:m73g=83;pD<9k;|l02g<728qC=:j4}o15g?6=9rB:;i5rn24g>5<6sA;4}O9>n0qc=80;295~N6?m1vb>9>:182M70l2we?:<50;3xL41c3td8;>4?:0yK52b51zJ23a=zf:=>6=4>{I34`>{i;><1<7?tH05g?xh4?>0;67e9~j61>290:wE?8d:m72g=83;pD<9k;|l03g<728qC=:j4}o14g?6=9rB:;i5rn25g>5<6sA;4}O9>n0qc=70;295~N6?m1vb>6>:182M70l2we?5<50;3xL41c3td84>4?:0yK52b51zJ23a=zf:2>6=4>{I34`>{i;1<1<7?tH05g?xh40>0;67e9~j6>>290:wE?8d:~yxFGKr>;57686dc~DED|8tJK\vsO@ \ No newline at end of file diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.ucf b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.ucf new file mode 100644 index 000000000..b83296f8e --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.ucf @@ -0,0 +1,9 @@ +NET "U0/U_ICON/*/iDRCK_LOCAL" TNM_NET = J_CLK ; +TIMESPEC TS_J_CLK = PERIOD J_CLK 30 ns ; +#Update Constraints +NET "U0/iUPDATE_OUT" TNM_NET = U_CLK ; +NET "U0/iSHIFT_OUT" TIG ; +TIMESPEC TS_U_TO_J = FROM U_CLK TO J_CLK 15 ns ; +TIMESPEC TS_U_TO_U = FROM U_CLK TO U_CLK 15 ns ; +TIMESPEC TS_J_TO_D = FROM J_CLK TO D_CLK TIG ; +TIMESPEC TS_D_TO_J = FROM D_CLK TO J_CLK TIG ; diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.v b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.v new file mode 100644 index 000000000..30b31cca5 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.v @@ -0,0 +1,27 @@ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 2013 Xilinx, Inc. +// All Rights Reserved +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 14.4 +// \ \ Application: Xilinx CORE Generator +// / / Filename : b200_chipscope_icon.v +// /___/ /\ Timestamp : Tue Feb 19 14:28:40 PST 2013 +// \ \ / \ +// \___\/\___\ +// +// Design Name: Verilog Synthesis Wrapper +/////////////////////////////////////////////////////////////////////////////// +// This wrapper is used to integrate with Project Navigator and PlanAhead + +`timescale 1ns/1ps + +module b200_chipscope_icon( + CONTROL0) /* synthesis syn_black_box syn_noprune=1 */; + + +inout [35 : 0] CONTROL0; + +endmodule diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.veo b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.veo new file mode 100644 index 000000000..6c82410b6 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.veo @@ -0,0 +1,28 @@ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 2013 Xilinx, Inc. +// All Rights Reserved +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 14.4 +// \ \ Application: Xilinx CORE Generator +// / / Filename : b200_chipscope_icon.veo +// /___/ /\ Timestamp : Tue Feb 19 14:28:40 PST 2013 +// \ \ / \ +// \___\/\___\ +// +// Design Name: ISE Instantiation template +/////////////////////////////////////////////////////////////////////////////// + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +b200_chipscope_icon YourInstanceName ( + .CONTROL0(CONTROL0) // INOUT BUS [35:0] +); + +// INST_TAG_END ------ End INSTANTIATION Template --------- + diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.xco b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.xco new file mode 100644 index 000000000..2b7395488 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.xco @@ -0,0 +1,56 @@ +############################################################## +# +# Xilinx Core Generator version 14.4 +# Date: Tue Feb 19 22:27:58 2013 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:chipscope_icon:1.06.a +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx75 +SET devicefamily = spartan6 +SET flowvendor = Foundation_ISE +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = fgg484 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT ICON_(ChipScope_Pro_-_Integrated_Controller) family Xilinx,_Inc. 1.06.a +# END Select +# BEGIN Parameters +CSET component_name=b200_chipscope_icon +CSET constraint_type=external +CSET enable_jtag_bufg=true +CSET example_design=false +CSET number_control_ports=1 +CSET use_ext_bscan=false +CSET use_softbscan=false +CSET use_unused_bscan=false +CSET user_scan_chain=USER1 +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2012-12-18T02:47:25Z +# END Extra information +GENERATE +# CRC: 729764e8 diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.xdc b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.xdc new file mode 100644 index 000000000..903799425 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.xdc @@ -0,0 +1,7 @@ +# icon XDC +create_clock -name J_CLK -period 30 -waveform {15 30} [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}] +create_generated_clock -name U_CLK -source [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}] -multiply_by 1 -invert [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/UPDATE}] +set_false_path -through [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/SHIFT}] +set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -setup 2 +set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -hold 1 +set_clock_groups -asynchronous -name cross_jtag_clock_domains -group {J_CLK U_CLK} diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_icon_flist.txt b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon_flist.txt new file mode 100644 index 000000000..97a36c09d --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon_flist.txt @@ -0,0 +1,15 @@ +# Output products list for +b200_chipscope_icon.asy +b200_chipscope_icon.constraints/b200_chipscope_icon.ucf +b200_chipscope_icon.constraints/b200_chipscope_icon.xdc +b200_chipscope_icon.gise +b200_chipscope_icon.ngc +b200_chipscope_icon.ucf +b200_chipscope_icon.v +b200_chipscope_icon.veo +b200_chipscope_icon.xco +b200_chipscope_icon.xdc +b200_chipscope_icon.xise +b200_chipscope_icon_flist.txt +b200_chipscope_icon_readme.txt +b200_chipscope_icon_xmdf.tcl diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_icon_readme.txt b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon_readme.txt new file mode 100644 index 000000000..c3b896f44 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon_readme.txt @@ -0,0 +1,45 @@ +The following files were generated for 'b200_chipscope_icon' in directory +/home/bhilburn/xilinx/ + +XCO file generator: + Generate an XCO file for compatibility with legacy flows. + + * b200_chipscope_icon.xco + +Creates an implementation netlist: + Creates an implementation netlist for the IP. + + * b200_chipscope_icon.constraints/b200_chipscope_icon.ucf + * b200_chipscope_icon.constraints/b200_chipscope_icon.xdc + * b200_chipscope_icon.ngc + * b200_chipscope_icon.ucf + * b200_chipscope_icon.v + * b200_chipscope_icon.veo + * b200_chipscope_icon.xdc + * b200_chipscope_icon_xmdf.tcl + +IP Symbol Generator: + Generate an IP symbol based on the current project options'. + + * b200_chipscope_icon.asy + +Generate ISE subproject: + Create an ISE subproject for use when including this core in ISE designs + + * b200_chipscope_icon.gise + * b200_chipscope_icon.xise + +Deliver Readme: + Readme file for the IP. + + * b200_chipscope_icon_readme.txt + +Generate FLIST file: + Text file listing all of the output files produced when a customized core was + generated in the CORE Generator. + + * b200_chipscope_icon_flist.txt + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_icon_xmdf.tcl b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon_xmdf.tcl new file mode 100755 index 000000000..c9d1c0b14 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon_xmdf.tcl @@ -0,0 +1,88 @@ +# The package naming convention is _xmdf +package provide b200_chipscope_icon_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::b200_chipscope_icon_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::b200_chipscope_icon_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name b200_chipscope_icon +} +# ::b200_chipscope_icon_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::b200_chipscope_icon_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_icon.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_icon.constraints/b200_chipscope_icon.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ucf +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_icon.ncf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ncf +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_icon.constraints/b200_chipscope_icon.xdc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Xdc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_icon.xcf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_icon.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_icon.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_icon.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_icon.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_icon_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module b200_chipscope_icon +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams + + diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.asy b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.asy new file mode 100644 index 000000000..fcca7f4e0 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.asy @@ -0,0 +1,21 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 b200_chipscope_ila +RECTANGLE Normal 32 32 288 704 +LINE Wide 0 80 32 80 +PIN 0 80 LEFT 36 +PINATTR PinName control[35:0] +PINATTR Polarity IN +LINE Normal 0 112 32 112 +PIN 0 112 LEFT 36 +PINATTR PinName clk +PINATTR Polarity IN +LINE Wide 0 144 32 144 +PIN 0 144 LEFT 36 +PINATTR PinName data[63:0] +PINATTR Polarity IN +LINE Wide 0 176 32 176 +PIN 0 176 LEFT 36 +PINATTR PinName trig0[7:0] +PINATTR Polarity IN + diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.cdc b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.cdc new file mode 100644 index 000000000..985834f8d --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.cdc @@ -0,0 +1,83 @@ +#ChipScope Core Generator Project File Version 3.0 +#Tue Feb 19 16:52:47 PST 2013 +SignalExport.clockChannel=CLK +SignalExport.dataChannel<0000>=DATA[0] +SignalExport.dataChannel<0001>=DATA[1] +SignalExport.dataChannel<0002>=DATA[2] +SignalExport.dataChannel<0003>=DATA[3] +SignalExport.dataChannel<0004>=DATA[4] +SignalExport.dataChannel<0005>=DATA[5] +SignalExport.dataChannel<0006>=DATA[6] +SignalExport.dataChannel<0007>=DATA[7] +SignalExport.dataChannel<0008>=DATA[8] +SignalExport.dataChannel<0009>=DATA[9] +SignalExport.dataChannel<0010>=DATA[10] +SignalExport.dataChannel<0011>=DATA[11] +SignalExport.dataChannel<0012>=DATA[12] +SignalExport.dataChannel<0013>=DATA[13] +SignalExport.dataChannel<0014>=DATA[14] +SignalExport.dataChannel<0015>=DATA[15] +SignalExport.dataChannel<0016>=DATA[16] +SignalExport.dataChannel<0017>=DATA[17] +SignalExport.dataChannel<0018>=DATA[18] +SignalExport.dataChannel<0019>=DATA[19] +SignalExport.dataChannel<0020>=DATA[20] +SignalExport.dataChannel<0021>=DATA[21] +SignalExport.dataChannel<0022>=DATA[22] +SignalExport.dataChannel<0023>=DATA[23] +SignalExport.dataChannel<0024>=DATA[24] +SignalExport.dataChannel<0025>=DATA[25] +SignalExport.dataChannel<0026>=DATA[26] +SignalExport.dataChannel<0027>=DATA[27] +SignalExport.dataChannel<0028>=DATA[28] +SignalExport.dataChannel<0029>=DATA[29] +SignalExport.dataChannel<0030>=DATA[30] +SignalExport.dataChannel<0031>=DATA[31] +SignalExport.dataChannel<0032>=DATA[32] +SignalExport.dataChannel<0033>=DATA[33] +SignalExport.dataChannel<0034>=DATA[34] +SignalExport.dataChannel<0035>=DATA[35] +SignalExport.dataChannel<0036>=DATA[36] +SignalExport.dataChannel<0037>=DATA[37] +SignalExport.dataChannel<0038>=DATA[38] +SignalExport.dataChannel<0039>=DATA[39] +SignalExport.dataChannel<0040>=DATA[40] +SignalExport.dataChannel<0041>=DATA[41] +SignalExport.dataChannel<0042>=DATA[42] +SignalExport.dataChannel<0043>=DATA[43] +SignalExport.dataChannel<0044>=DATA[44] +SignalExport.dataChannel<0045>=DATA[45] +SignalExport.dataChannel<0046>=DATA[46] +SignalExport.dataChannel<0047>=DATA[47] +SignalExport.dataChannel<0048>=DATA[48] +SignalExport.dataChannel<0049>=DATA[49] +SignalExport.dataChannel<0050>=DATA[50] +SignalExport.dataChannel<0051>=DATA[51] +SignalExport.dataChannel<0052>=DATA[52] +SignalExport.dataChannel<0053>=DATA[53] +SignalExport.dataChannel<0054>=DATA[54] +SignalExport.dataChannel<0055>=DATA[55] +SignalExport.dataChannel<0056>=DATA[56] +SignalExport.dataChannel<0057>=DATA[57] +SignalExport.dataChannel<0058>=DATA[58] +SignalExport.dataChannel<0059>=DATA[59] +SignalExport.dataChannel<0060>=DATA[60] +SignalExport.dataChannel<0061>=DATA[61] +SignalExport.dataChannel<0062>=DATA[62] +SignalExport.dataChannel<0063>=DATA[63] +SignalExport.dataEqualsTrigger=false +SignalExport.dataPortWidth=64 +SignalExport.triggerChannel<0000><0000>=TRIG0[0] +SignalExport.triggerChannel<0000><0001>=TRIG0[1] +SignalExport.triggerChannel<0000><0002>=TRIG0[2] +SignalExport.triggerChannel<0000><0003>=TRIG0[3] +SignalExport.triggerChannel<0000><0004>=TRIG0[4] +SignalExport.triggerChannel<0000><0005>=TRIG0[5] +SignalExport.triggerChannel<0000><0006>=TRIG0[6] +SignalExport.triggerChannel<0000><0007>=TRIG0[7] +SignalExport.triggerPort<0000>.name=TRIG0 +SignalExport.triggerPortCount=1 +SignalExport.triggerPortIsData<0000>=false +SignalExport.triggerPortWidth<0000>=8 +SignalExport.type=ila + diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.constraints/b200_chipscope_ila.ucf b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.constraints/b200_chipscope_ila.ucf new file mode 100644 index 000000000..e1ce12a9d --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.constraints/b200_chipscope_ila.ucf @@ -0,0 +1,17 @@ +# +# Clock constraints +# +NET "CLK" TNM_NET = D_CLK ; +INST "U0/*/U_STAT/U_DIRTY_LDC" TNM = D2_CLK; +TIMESPEC TS_D2_TO_T2_b200_chipscope_ila = FROM D2_CLK TO "FFS" TIG; +TIMESPEC TS_J2_TO_D2_b200_chipscope_ila = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J3_TO_D2_b200_chipscope_ila = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J4_TO_D2_b200_chipscope_ila = FROM "FFS" TO D2_CLK TIG; + +# +# Input keep/save net constraints +# +NET "TRIG0<*" S; +NET "TRIG0<*" KEEP; +NET "DATA<*" S; +NET "DATA<*" KEEP; diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.constraints/b200_chipscope_ila.xdc b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.constraints/b200_chipscope_ila.xdc new file mode 100644 index 000000000..49e2b9e7b --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.constraints/b200_chipscope_ila.xdc @@ -0,0 +1,6 @@ +# +# Clock constraints +# +set_false_path -from [get_cells U0/*/U_STAT/U_DIRTY_LDC] -to [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] +set_false_path -from [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC] +set_false_path -from [get_cells U0/*/U_RST/U_ARM_XFER/U_GEN_DELAY[3].U_FD] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC] diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ncf b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ncf new file mode 100644 index 000000000..e69de29bb diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc new file mode 100644 index 000000000..82baab030 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$85b7=*981;86>?01784567811;<=>?012:?56789:;<=:?;123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0028456789:;<=>?012255=789:;<=>?0133456339:;895?0627?5779=1;==H>0:22546798::<<>?006844@A:2:386>I233857=68=1:<=>>a:30>LHW]]0JHI\NWSCG@W:6:3:5=l5>3;KMTPR=IMNYN_JNDEP?57<768>0=94FNQWW>DBCZH6:?7>115920?OIX\^1MIJ]B=30>586<2;=6D@_UU8GMDBN48?1<3?;;049MKVR\3NBNIG31483:43<9?0DYY^ZT;FLEAI;9<0;2<;4178LQQVR\3NDNIA31483:4=5:28;=95=:HLSQQ:1<20>4=AGZ^X7JFPCFJT97=87;87?4FNQWW>RCF_LF7=7>11291>LHW]]0\IOYJU=394;723;0DYY^ZT;FLTDBHX5;1<3?:;38LQQVR\3ND\OJ@P=394;753:81EC^ZT;FJE956294:>6==:HLSQQ112906?IR\Y__6IAN<2394;743:81CXZ_UU8GKG:493:5=;5<6;KMKPR=KFD_^BB33483:4=3<2>:<=?>;58JJUSS2MC[M1=50?32?14?>0080?IR\Y__6IA_B=194;773<>>98:;402614678l1>6D@_UU8B81<76890:94FNQWW>AGSI5<86=0>;931?=4>586822J4?>N03:;<56?8980M=<4A008E740M1>14:C?5;20M1:18:CG@WG;8730MIJ]A=33:<=FLMXJ027?6912KOH_O312<;?DBCZH6:255NDEPB878?3HNO^L2<>99B@ATF4=437LJKR@>6:==FLMXJ0;07;@FGVD:0611JHI\N<9<;?DBCZH622h5NDEPBSWGCL[6;2k5NDEPBSWGCL[6:<3h4AEFQERTFLMX7=<0>1:CG@WGPZHNO^1?=:1GCL[K\^LJKR=3=a>GCL[K\^LJKR=0=a>GCL[K\^LJKR=1=a>GCL[K\^LJKR=6=a>GCL[K\^LJKR=7=a>GCL[K\^LJKR=4=a>GCL[K\^LJKR=5=a>GCL[K\^LJKR=:=a>GCL[K\^LJKR=;=<>GCL[H7<374AEFQF977601JHI\M<03==>GCL[H7=?0m;@FGVG:6;3:556OKDS@?568?3HNO^O2>>99B@ATE4;437LJKRC>0:==FLMXI0907;@FGVG:2611JHI\M<7<;?DBCZK6<255NDEPA8=8?3HNO^O26>d9B@ATEZMKOH_2?>g9B@ATEZMKOH_2>0?d8EABUJ[NJHI\310<25>GCL[HYHLJKR=31>58a3HNO^O\KAEFQ8449m2KOH_L]D@FGV979m2KOH_L]D@FGV949m2KOH_L]D@FGV959m2KOH_L]D@FGV929m2KOH_L]D@FGV939m2KOH_L]D@FGV909m2KOH_L]D@FGV919m2KOH_L]D@FGV9>9m2KOH_L]D@FGV9?9991IJOLNF@C03657=9:37O[\_HLEK4=D:2IJ>6MJ139@L@ELWECHIC]J_U[SA7=DA:1H@F:4CMI32>EKC82;:6MCK3531>EKC;R37NBD2Y3;40=DDB3;86MCK@78GIMF9>1H@FO>D358GIMF9M><7NBDA0F;=>EKCH]YOAE;;BNHF0=DDBH:56MCKCPGGIM23JF@O<;4CMIG50=DDBN]o6MCKET\@LPNLL?0OAEIX99@HN@_91:87NB]9:ALIHOS\LNj7NAATSMO858e3JEEX_AC<02=f>EHF]XD@1?>>c9@KKRUGE6:>3l4CNLWVJJ;9:4i7NAATSMO8429j2IDBY\@L=36:g=DGG^YCA2>6?`8GJHSZFF7=:0m;BMMPWIK4825n6M@NUPLH97>6h1HCCZ]OM>2:g=DGG^YCA2=0?`8GJHSZFF7><0m;BMMPWIK4;85n6M@NUPLH9446k1HCCZ]OM>10;d>1b:ALJQTHD59:2o5LOOVQKI:4:7h0OB@[RNN?768e3JEEX_AC<26=`>EHF]XD@1=::1;1a:ALJQTHD595m6M@NUPLH929i2IDBY\@L=7=e>EHF]XD@181a:ALJQTHD5=5m6M@NUPLH9>9i2IDBY\@L=;=51=DG[OTKH@JR@VJKKYNFOE<7N\JAUGG5>B?3M::?069GEQG;8720HLZN<02=<>BF\H6:=364D@VB844902NJXL2>3?:8@DRF48>546JNT@>21;>BF\H6:5394D@VB848?3MK_M199GEQG;:8437IO[A=01:==CI]K7>>07;ECWE943611OMYO324<;?AGSI58=255KAUC?628?3MK_M1<7>99GEQG;:04<7IO[A=0=<>BF\H68<364D@VB867902NJXL2<2?:8@DRF4:9546JNT@>00;>;18:FBPD:4>720HLZN<25=<>BF\H684364D@VB86?9?2NJXL2<>99GEQG;<9437IO[A=62:==CI]K78?07;ECWE924611OMYO345<;?AGSI5>>255KAUC?038?3MK_M1:8>99GEQG;<1437IO[A=6::2=CI]K78364D@VB806902NJXL2:1?:8@DRF4<8546JNT@>67;>BF\H6>;364D@VB80>902NJXL2:9?58@DRF4<437IO[A=43:==CI]K7:<07;ECWE9056h1OMYO36283:==CI]K7:>08;ECWE909?2NJXL28>69GEQG;07=0HLZN<8<`?AGSIV]BHYFPAb9GEQGX_@N_DRL>0:FG<=@C012345O78908@L01OEL2>3?58@LG;9=4<7IGN<07=3>BNI5;=2:5KI@>23;12NBM1?17:FJE9476>1OEL2=1?58@LG;:;4<7IGN<31=3>BNI58?2:5KI@>11;1;08;EKB8719?2NBM1<7>69GMD:517<0HDO32?58@LG;;9427IGN<2394;189GMDBN48:556JFAEK?548>3MCJHD2>2?;8@LGCA5;8245KI@FJ8429j2NBMIG31483:<=CAHNB0<;18:FJEAO;9720HDOKI=0=<>BNIMC7?364DHCGM92902NBMIG35?:8@LGCA5<546JFAEK?3;>BNJ5;92:5KIC>27;169GMG:6?7=0HDL319<4?AOE4835:6JFB=3=3>BNJ58;2:5KIC>15;1?08;EKA8759?2NBN1<;>69GMG:5=7=0HDL327<4?AOE4;=5;6JFB=0;:2=CAK695384DH@?6;14=7IGM<9<5?AOE40437IGMDH>3:<=CAKNB0<>19:FJFAO;98427IGMDH>26;?89GMGBN48>5n6JFBEK?50<7601OEOJF<07=<>BNJMC7=364DH@GM94902NBNIG33?:8@LDCA5>546JFBEK?1;>BNJMC75394DHRB85803MC[M1?17:FJTD:5601OE]O33;2=3>BNXH682l5KIQCGMU:76j1OE]OKIQ>2>58f3MC[MIG_<0<4?AOWJ5:5;6JFPC>2:2=CAYH7>374DHRA86<76>1OE]L33?c8@LVEL@Z7<3m4DHRA@LV;93:5m6JFPCFJT979>2NDM1>17:FLE9776>1OCL2>1?58@JG;9;4<7IAN<01=3>BHI5;?2:5KO@>21;169GKD:617<0HBO31?58@JG;:94<7IAN<33=3>BHI5892:5KO@>17;1908;EMB8739?2NDM1<9>69GKD:5?7=0HBO329<4?AIF4;35:6J@A=0=3>BHI59;245KO@>05?69?2NDM1=>>79GKD:46?1OCL2;>79GKD:26?1OCL29>79GKD:06?1OCL27>79GKD:>611OCLJ@<1<:?AIFLF6:<374DNCGK976601OCLJ@<00==>BHIME7=>06;EMB@J:6<7h0HBOKO=36>58>3MEJHB2>5?:8@JGCG5;546J@AEM?6;>BHIME7:364DNCGK91902NDMIA38?:8@JGCG535;6J@A^PFC3=CGK6;2:5KOC>24;169GKG:6<7=0HBL314<4?AIE48<5;6J@B=34:2=CGK6:4394DN@?5<813MEI0<08;EMA8769?2NDN1<>>69GKG:5:7=0HBL322<4?AIE4;>5;6J@B=06:2=CGK69:394DN@?62803MEI0?617:FLF94>6?1OCO2=>69GKG:48730HBL33083:2=CGK68=384DN@?7;019:FLFAI;99427IAMDN>25;?89GKGBH489556J@BEM?518e3MEIHB2>5;2==>BHJME7=807;EMA@J:6611OCOJ@<3<;?AIELF68255KOCFL818?3MEIHB2:>99GKGBH4?437IAMDN>4:==CGKND0507;EMA@J:>6>1OCOQ]EF58@JVF494<7IA_A=3=3>BHXH69245KOQC?7?69?2ND\L2<>`9GKUGCGY6;2n5KOQCGKU:6294j7IA_AEMS84803ME[N1>17:FLTG:66>1OC]L32?;8@JVE4:0;2:5KOQ@?7;g1a:FLTGBHX5;5=6K=;D67?@27:8:0IHOJFGDE0163NOLM>6KI3:GME2=BFH]YIC=4EO@4?@HEZMOEn6KA_SQWVDKXIk1NBR\\TSCN[G2;;G4240=AIEYN>6HK119E@23E1:;NO;:N8320?CBD<2LOOH=4FEG;?CBA01N34>5IDQ18BAT33ONYI>5IDR68B@BD02LNJ9;J5533?C@BMOONIKKJEGGFA1=ANO:?7KHIE99EBCC2=<>:<6HIFGC1<5G509K94=??;GDEBCGA:OLMJK6I0028BC@ANOLM9<:?416355=ANOLMJKH:5466106682LMJKHIFG:B<=>?01;;7KHIFGDEB@CFM=>;8<5H3:EM@4=N:2C;>6G>2:K16>O4:2C?>6G:8:KMMQVX8920ECG[P^22<>OIA]ZT46GAIUR\43>89:KMMQUSI]O<7D@FT^233>OIA]U;=:5FNHV\471L7:KMMQY7L>1BBDZP0D58MKOSW9L<7D@FT^333>OIA]U:=:5FNHV\571569JJLRX9?=0ECG[_054?LHN\V;3;6GAIU]2=2=NF@^T=L94IOKW[4D03@DBXR?L7:KMMQY6L>1BBDZP1D58MKOSW8L<7D@FT^033>OIA]U9=:5FNHV\671L94IOKW[7D03@DBXR1BBDZP2D58MKOSW;L<7D@FT^133>OIA]U8=:5FNHV\771=8;HLJPZ53?2CEEYQ<569JJLRX;?=0ECG[_254?LHN\V93;6GAIU]0=2=NF@^T?L94IOKW[6D03@DBXR=L7:KMMQY4L>1BBDZP3D58MKOSW:L=7D@FT^C5?LHN\VH27D@FT^DJH@5JH>2FDOFKK7:NLCLEFD=1GYY?;;MWW61=K]]9?7A[[459OQQ333E__::5BUYAZ[I3H6;2D:;>5A1918J7643G8:?6@=229M66587C<:3:L126=I:>=0BHZXOSI7?KIIM81D=:5@PUKNM_CXX[CFEYGYER]MCF71["97k_M68TDTSi2ZBBRLZSHF[f>VNFVH^_COBE89SOZNK]]DF?6^]E79SWAIIM81Z?6_>529R7476CUFV<=UIDH3NZK]8:PBIZKHLL<0^HILE@48V@ADMKh0^HILECPFCFC33[Y_M95]SU@4?WUSJ[Y_;6\\TU[SA`=U[]U[^DA]IU[\E`=U[]U[^DA]IU[\F4=T981XD_KH_DZWVDESWGMHi6]GRDE\ILHX[@PN=>5\IL]@KIJN[@EESNFJCJc8WLKXLL\BOH84SNWQG@><[YKYXL@95:QQH4013ZXG=;K8;RPOG40B?2YY@N==E69PVSGKWH=0__XNL^@5?VRF\\Y?7^WAC29W@U><\[CL<1>18:VQMB6;9720X_GH0=0=<>RUAN:7?364TSKD492902^YEJ>35?:8PWO@85<5m6Z]IF2?3?6902^YEJ>37?68P\VB9=1^<"GPDP,D[AQ\8T$^SI_>5:W3+LYCY'MTHZU>0\,V[AW6=2_;#DQKQ/E\@R]69T$^SI_>5:W3+LYCY'MTHZU>2\,V[AW6=2_;#DQKQ/E\@R]6;T$^SI_>5:W3+LYCY'MTHZU>4\,V[AW6=2_;#DQKQ/E\@R]6=T$^SI_>5:W3+LYCY'MTHZU>6\,V[AW6=2_;#DQKQ/E\@R]6?T$^SI_>5:W3+LYCY'MTHZU>8\,V[AW6=2_;#DQKQ/E\@R]61T$^SI_>4:W3+LYCY'MTHZU>]/W\@T723\:$ERJ^.F]GS^47U'_TH\?:;T2,MZBV&NUO[V<>]/W\@T723\:$ERJ^.F]GS^45U'_TH\?:;T2,MZBV&NUO[V<<]/W\@T723\:$ERJ^.F]GS^43U'_TH\?:;T2,MZBV&NUO[V<:]/W\@T723\:$ERJ^.F]GS^41U'_TH\?:;T2,MZBV&NUO[V<8]/W\@T723\:$ERJ^.F]GS^4?U'_TH\?:;T2,MZBV&NUO[V<6]/W\@T733\:$ERJ^.F]GS^4Z&\UO]<;4U1-J[AW)OVN\W>>R.T]GU43<]9%BSI_!G^FT_67Z&\UO]<;4U1-J[AW)OVN\W>:R.T]GU43<]9%BSI_!G^FT_63Z&\UO]<;4U1-J[AW)OVN\W>8R.T]GU43<]9%BSI_!G^FT_61Z&\UO]<;4U1-J[AW)OVN\W>6R.T]GU43<]9%BSI_!G^FT_6?Z&\UO]<:4U1-J[AW)OVN\W>S!U^FR50=R8&CTH\ H_EUX05[)]VNZ=85Z0.K\@T(@WM]P8S!U^FR50=R8&CTH\ H_EUX01[)]VNZ=85Z0.K\@T(@WM]P88S!U^FR50=R8&CTH\ H_EUX03[)]VNZ=85Z0.K\@T(@WM]P8:S!U^FR50=R8&CTH\ H_EUX0=[)]VNZ=85Z0.K\@T(@WM]P84S!U^FR51=R8&CTH\ H_EUX0X(RWM[:96[?/H]GU+AXL^Q>

S7'@UO]#IPDVY65X(RWM[:96[?/H]GU+AXL^Q>>P Z_ES21>S7'@UO]#IPDVY67X(RWM[:96[?/H]GU+AXL^Q>8P Z_ES21>S7'@UO]#IPDVY61X(RWM[:96[?/H]GU+AXL^Q>:P Z_ES21>S7'@UO]#IPDVY63X(RWM[:96[?/H]GU+AXL^Q>4P Z_ES21>S7'@UO]#IPDVY6=X(RWM[:86[?/H]GU+AXL^Q>Q#[PDP36?P6(AVNZ"JQKWZ43Y+SXLX;>7X> I^FR*BYC_R<:Q#[PDP36?P6(AVNZ"JQKWZ41Y+SXLX;>7X> I^FR*BYC_R<8Q#[PDP37?P6(AVNZ"JQKWZ4^*PYCY8>0Y=!F_ES-CZBPS>W%YRJ^159V4*OXLX$LSIYT8\,V[AW6<2_;#DQKQ/E\@R]>U'_TH\?:;T2,MZRV8'MTXZU?]/W\PT723\:$ERZ^0/E\PR]6U'_TX\?:;T2,MZRV8'MTXZU=]/W\PT723\:$ERZ^0/E\PR]4U'_TX\?:;T2,MZRV8'MTXZU;]/W\PT723\:$ERZ^0/E\PR]2U'_TX\?:;T2,MZRV8'MTXZU9]/W\PT723\:$ERZ^0/E\PR]0U'_TX\=i;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW=S!I^QQHQYIMV:%HAW63e9V4*OXQLYTH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU?]/K\WWJSWGOT<#HI419V4*OXQLYTH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>0\,J[VTK\VDNS= KLX;0a>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ33Y+OX[[F_SCKP0/DE05=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY25X(NWZXGXR@J_1,GH\?4m2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^76U'CT__B[_OG\4+@A<91^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6:T$BS^\CT^LF[5(CDP38i6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;9Q#GPSSNW[KCX8'LM8=5Z0.K\]@UXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[4\,J[VTK\VDNS= KLX;0a>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ37Y+OX[[F_SCKP0/DE05=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY21X(NWZXGXR@J_1,GH\?4m2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^72U'CT__B[_OG\4+@A<91^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6>T$BS^\CT^LF[5(CDP38i6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;=Q#GPSSNW[KCX8'LM8=5Z0.K\]@UXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[8\,J[VTK\VDNS= KLX;0a>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ3;Y+OX[[F_SCKP0/DE05=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY2=X(NWZXGXR@J_1,GH\?4m2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^7>U'CT__B[_OG\4+@A;o1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6U'CT__B[_OG\4+BKQ09o7X> I^[FWZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8W%ER]]LU]MAZ6)NO>;7X> I^[FWZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;:V"DQ\RMV\J@Y7&MFR5>k4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>=S!I^QQHQYIMV:%JK:?;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW??R.H]PVIRXFLU;"IBV92g8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T20_-MZUUD]UEIR>!FG63?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[30^*LYTZE^TBHQ?.ENZ=6c<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX67[)AVYY@YQAE^2-BC273\:$ERWJS^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_75Z&@UX^AZPND]3*AJ^1:o0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\::W%ER]]LU]MAZ6)NO>;7X> I^[FWZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;>V"DQ\RMV\J@Y7&MFR5>k4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>9S!I^QQHQYIMV:%JK:?;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW?;R.H]PVIRXFLU;"IBV92g8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T24_-MZUUD]UEIR>!FG63?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[34^*LYTZE^TBHQ?.ENZ=6c<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX63[)AVYY@YQAE^2-BC273\:$ERWJS^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_71Z&@UX^AZPND]3*AJ^1:o0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:>W%ER]]LU]MAZ6)NO>;7X> I^[FWZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;2V"DQ\RMV\J@Y7&MFR5>k4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>5S!I^QQHQYIMV:%JK:?;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW?7R.H]PVIRXFLU;"IBV92g8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T28_-MZUUD]UEIR>!FG1e?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[3_-MZUUD]UEIR>!DM[:7a=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY1Y+OX[[F_SCKP0/DE05=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY04X(NWZXGXR@J_1,GH\?4m2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^57U'CT__B[_OG\4+@A<91^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]49T$BS^\CT^LF[5(CDP38i6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR9:Q#GPSSNW[KCX8'LM8=5Z0.K\]@UXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[P F_RPOPZHBW9$O@T7S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ10Y+OX[[F_SCKP0/DE05=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY00X(NWZXGXR@J_1,GH\?4m2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^53U'CT__B[_OG\4+@A<91^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]4=T$BS^\CT^LF[5(CDP38i6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR9>Q#GPSSNW[KCX8'LM8=5Z0.K\]@UXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ14Y+OX[[F_SCKP0/DE05=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY0WNOEW>S!I^QQHQYIMV:%JK:?;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW9>R.H]PVIRXFLU;"IBV92g8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T41_-MZUUD]UEIR>!FG63?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[53^*LYTZE^TBHQ?.ENZ=6c<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX04[)AVYY@YQAE^2-BC273\:$ERWJS^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_14Z&@UX^AZPND]3*AJ^1:o0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\<;W%ER]]LU]MAZ6)NO>;7X> I^[FWZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS=9V"DQ\RMV\J@Y7&MFR5>k4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP8>S!I^QQHQYIMV:%JK:?;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW9:R.H]PVIRXFLU;"IBV92g8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T45_-MZUUD]UEIR>!FG63?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[57^*LYTZE^TBHQ?.ENZ=6c<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX00[)AVYY@YQAE^2-BC273\:$ERWJS^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_10Z&@UX^AZPND]3*AJ^1:o0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\;7X> I^[FWZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS==V"DQ\RMV\J@Y7&MFR5>k4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP8:S!I^QQHQYIMV:%JK:?;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW96R.H]PVIRXFLU;"IBV92g8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T49_-MZUUD]UEIR>!FG63?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[5;^*LYTZE^TBHQ?.ENZ=6c<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX0<[)AVYY@YQAE^2-BC5a3\:$ERWJS^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_1[)AVYY@YQAE^2-@I_>;m1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]3U'CT__B[_OG\4+@A<91^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]28T$BS^\CT^LF[5(CDP38i6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR?;Q#GPSSNW[KCX8'LM8=5Z0.K\]@UXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[=P F_RPOPZHBW9$O@T7]/K\WWJSWGOT<#HI419V4*OXQLYTH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU:2\,J[VTK\VDNS= KLX;0a>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ71Y+OX[[F_SCKP0/DE05=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY67X(NWZXGXR@J_1,GH\?4m2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^34U'CT__B[_OG\4+@A<91^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]29P F_RPOPZHBW9$O@T7S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ75Y+OX[[F_SCKP0/DE05=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY63X(NWZXGXR@J_1,GH\?4m2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^30U'CT__B[_OG\4+@A<91^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]20T$BS^\CT^LF[5(CDP38i6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR?3Q#GPSSNW[KCX8'LM8=5Z0.K\]@UXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[5P F_RPOPZHBW9$O@T7!FG63?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[72^*LYTZE^TBHQ?.ENZ=6c<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX25[)AVYY@YQAE^2-BC273\:$ERWJS^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_37Z&@UX^AZPND]3*AJ^1:o0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\>8W%ER]]LU]MAZ6)NO>;7X> I^[FWZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS?8V"DQ\RMV\J@Y7&MFR5>k4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP:?S!I^QQHQYIMV:%JK:?;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW;=R.H]PVIRXFLU;"IBV92g8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T62_-MZUUD]UEIR>!FG1e?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[7_-MZUUD]UEIR>!DM[:7a=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY5Y+OX[[F_SCKP0/DE7c=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY4Y+OX[[F_SCKP0/FO]<5c3\:$ERWJS^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_2[)AVYY@YQAE^2-BC5a3\:$ERWJS^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_=[)AVYY@YQAE^2-@I_>;m1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]?U'CT__B[_OG\4+@A;o1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]>U'CT__B[_OG\4+BKQ09o7X> I^[FWZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS0W%ER]]LU]MAZ6)NO887X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|1>1259V4*OXQLYTH#[PIMC,MZBV&\UO]\!{elr?5585<2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey6:=3<;;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=31:72<]9%BSTK\_E,V[LJF'@UO]#[PDPS,p`kw4895>95Z0.K\]@UXL'_TEAO I^FR*PYCYX%i`~315<10>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.vfiu:6=78?7X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|1?9>368Q5)NWPOXSI Z_HNB+LYCY'_TH\_ tdos8419:=1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)smdz7=50=4:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>2=;443\:$ERWJS^F-QZOKI&CTH\ Z_ESR+qcjx5;5>95Z0.K\]@UXL'_TEAO I^FR*PYCYX%i`~321<10>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.vfiu:5978?7X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|1<=>368Q5)NWPOXSI Z_HNB+LYCY'_TH\_ tdos8759:=1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)smdz7>90=4:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>11;433\:$ERWJS^F-QZOKI&CTH\ Z_ESR+qcjx58=2?:4U1-J[\CTWM$^SDBN/H]GU+SXLX[$xhc<35=61=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-wahv;:14986[?/H]ZAVYC&\UB@L!F_ES-QZBVY&~na}2=9?00?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ugnt949:=1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)smdz7?=0=4:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>05;433\:$ERWJS^F-QZOKI&CTH\ Z_ESR+qcjx5992?:4U1-J[\CTWM$^SDBN/H]GU+SXLX[$xhc<21=61=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-wahv;;=4986[?/H]ZAVYC&\UB@L!F_ES-QZBVY&~na}2<5?07?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ugnt9516;>0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(|lg{0>91259V4*OXQLYTH#[PIMC,MZBV&\UO]\!{elr?7=85<2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey6853<<;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=1=61=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-wahv;<94986[?/H]ZAVYC&\UB@L!F_ES-QZBVY&~na}2;1?07?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ugnt9256;>0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(|lg{09=1259V4*OXQLYTH#[PIMC,MZBV&\UO]\!{elr?0185<2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey6?93<;;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=65:72<]9%BSTK\_E,V[LJF'@UO]#[PDPS,p`kw4==5>95Z0.K\]@UXL'_TEAO I^FR*PYCYX%i`~349<10>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.vfiu:317887X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|1:1259V4*OXQLYTH#[PIMC,MZBV&\UO]\!{elr?1585<2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey6>=3<;;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=71:72<]9%BSTK\_E,V[LJF'@UO]#[PDPS,p`kw4<95>95Z0.K\]@UXL'_TEAO I^FR*PYCYX%i`~355<10>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.vfiu:2=78?7X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|1;9>368Q5)NWPOXSI Z_HNB+LYCY'_TH\_ tdos8019:=1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)smdz7950=4:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>6=;443\:$ERWJS^F-QZOKI&CTH\ Z_ESR+qcjx5?5>95Z0.K\]@UXL'_TEAO I^FR*PYCYX%i`~361<10>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.vfiu:1978?7X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|18=>368Q5)NWPOXSI Z_HNB+LYCY'_TH\_ tdos8359::1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)smdz7:3<<;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=5=66=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-wahv;07887X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|171659V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'@U\EIZG_6,v[qe0>2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ I^UJ@QNX?'TxnQ?/XGP[ISS>'_T@XZ9679V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'@U\EIZG_6,v[qeX9<30Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.ppp0`<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"zl_wg`8582n2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ tb]uaf:66?;0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(K@6:2;?4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$OD2=>738Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz CH>0:37<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,GL:36?;0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(K@6>2;?4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$OD29>728Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz D=2=25=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-G848182_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*B;:7<;7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'M682;>4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$H1:1619V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!K<4<54>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.F?2;0d3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+B]7U'MeD#[PMTZ@]31<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,C^6Z&\UMH_K96:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT0\,V[ISS>11^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)@S9W%YRV@RB[5g>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX5X(@fA$^S@[WCX44?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/FY2Y+SXNMXN:;5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%LW<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,C^7Z&\USC_MV6b9V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!H[3_-CkN)]VG^TNW97:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT2\,V[CBUM?<0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(OR8V"XQCUU4;?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/FY1Y+SXPFXHU;m4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$KV=R.FlK*PYJ]QIR::5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%LW>S!U^DGV@013\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+B]4U'_T@XZ98:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT3\,V[]IUKP I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ?Q#IaH/W\IP^DQ?=0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(OR>V"XQIDSG52>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX0X(RWE__:55Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%LW9S!U^ZLVF_1k2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*A\=T$LbE Z_LW[G\003\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+B]2U'_TJI\J679V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!H[4_-QZJR\?20Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(OR?V"XQWOSAZ22=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-D_3[)]VLO^H89;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#JU9]/W\HPR102_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*A\>T$^SUA]CX43?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/R>3:36<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,W979>91^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)T4;4=<6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&Y7?38?;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#^2;>728Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz S=7=25=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-P8382j2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^ppp3b<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^RJCY.E\V@AXJ@^P

P Z_GFQA3b<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^RJCY.E\V@AXJ@^P?P Z_GFQA3b<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^RJCY.E\V@AXJ@^P8P Z_GFQA3b<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^RJCY.E\V@AXJ@^P9P Z_GFQA3b<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^RJCY.E\V@AXJ@^P:P Z_GFQA I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPS7,V[FUXJ[KFSNO\C@FF[V0(AVNN\YFPLUGR[40L&\UXN_OB_1-J[G7?CN^;"JQ]AL@2<^77U'T~lcm19-V[WGJJ82j56[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYM@!F_R4-QZETWKXJARMNSBCGAZU1'@UOI]ZG_MVFUZ71C'_T_O\NM^2,MZD60BM_<#IPR@OA5=]68T$~Sobb0:,QZTFEK;3Sd`|umcwa47f12_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BS^8!U^AP[GTFEVIJ_NOKE^Q5+LYCMY^CSAZJQ^35O+SX[KXJAR> I^@2S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWZ<%YRM\_CPBIZEF[JKOIR]9/H]GAUROWE^N]R?9K/W\WGTFEV:$ERL>8JEW4+AXZHGI=5U>1\,v[wgjj82$YR\NMC3;e<=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVY="XQLS^@QEHYDIZIJHHQ\6.K\@@VS@VF_I\Q>6J,V[VDUIDU;#DQM19IDP5(@W[KFN<6T10_-qZtfek;3#XQ]AL@28^kmwpjf|l8;5h5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^Q5*PYD[VHYM@QLARAB@@YT>&CTHH^[H^NWATY6>B$^S^L]AL]3+LYE91ALX= H_SCNF4>\9;W%yR|nmc3;+PYUIDH:4l74U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]P2+SXKZUI^LCPC@Q@EACX[?%BSIK_TI]OP@WX9?A%YR]MR@O\4*OXJ82@KY>!G^PBIG7?S88V"xQ}al`2<*SXZHGI=5Qfnrwoeqc6;h30Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQ\6/W\GVYEZHGTOL]LAEG\W3)NWMO[XEQCTDS\53M)]VYI^LCP0.K\F4>LO]:%KR\NMC3;_44Z&|Uym`l>8.W\VDKE91Ubb~{caug2=3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTFE&CT_; Z_BQ\FWGJWJKXOLJJ_R4,MZBBX]BT@YK^_04H*PYTJ[KFS=!F_C3;OBR7&NUYM@L>8Z30Y+sXzhgi=5!Z_SCNF4>Xagy~`lzj15c:?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PBI*OX[?$^SN]PBSCN[FGTKHNNS^8 I^FFTQNXD]OZS<8D.T]PFWGJW9%BSO?7KFV3*BYUIDH:4V?<]/w\vdke91%^S_OBB0:\mkurdh~n=57j;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\W3(RWJYTN_OB_BCPGDBBWZ<$ERJJPUJ\HQCVW8<@"XQ\BSCN[5)NWK;3GJZ?.F]QEHD60R;?Q#{Pr`oa5=)RW[KFN<6n9:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q:8P z_scnf4>(]VXJAO?7_hlpqigsm8?j56[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYM@!F_R4-QZETWKXJARMNSBCGAZU1'@UOI]ZG_MVFUZ71C'_T_O\NM^2,MZD60BM_<#IPR@OA5=]6m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BS^8!U^AP[GTFEVIJ_NOKE^Q5+LYCMY^CSAZJQ^35O+SX[KXJAR> I^@26J,V[VDUIDU;#DQM19IDP5(@W[KFN<6T17_-qZtfek;3#XQ]AL@25`;8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SCN+LYT>'_TO^QMR@O\GDUDIMOT_;!F_EGSPMYK\L[T=;E!U^QAVDKX8&CTN<6DGU2-CZTFEK;3W<8R.t]qehd60&_T^LCM19]jjvski}o:;4k4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]P2+SXKZUI^LCPC@Q@EACX[?%BSIK_TI]OP@WX9?A%YR]MR@O\4*OXJ82@KY>!G^PBIG7?S8=V"xQ}al`2<*SXZHGI=5o6;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\W3(RWJYTN_OB_BCPGDBBWZ<$ERJJPUJ\HQCVW8<@"XQ\BSCN[5)NWK;3GJZ?.F]QEHD60R; I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPS7,V[FUXJ[KFSNO\C@FF[V0(AVNN\YFPLUGR[40L&\UXN_OB_1-J[G7?CN^;"JQ]AL@2<^70U'T~lcm19-V[WGJJ82Tec}zl`vf5=?b3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTFE&CT_; Z_BQ\FWGJWJKXOLJJ_R4,MZBBX]BT@YK^_04H*PYTJ[KFS=!F_C3;OBR7&NUYM@L>8Z3;Y+sXzhgi=5!Z_SCNF4>f12_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BS^8!U^AP[GTFEVIJ_NOKE^Q5+LYCMY^CSAZJQ^35O+SX[KXJAR> I^@2S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWZ<%YRM\_CPBIZEF[JKOIR]9/H]GAUROWE^N]R?9K/W\WGTFEV:$ERL>8JEW4+AXZHGI=5U>8\,v[wgjj82$YR\NMC3;[lht}eki<76e:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q:5P z_scnf4>(]VXJAO?7a89V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI=5EHT1,D[WGJJ82P=4S!u^pbig7?'\UYM@L>8^kmwpjf|l;9m45Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^Q5*PYD[VHYM@QLARAB@@YT>&CTHH^[H^NWATY6>B$^S^L]AL]3+LYE91ALX= H_SCNF4>\90W%yR|nmc3;+PYUIDH:4Rgastnbp`471m1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ER]9.T]@WZDUIDUHM^MNDD]P2*OXLLZ_DRB[EP]22N(RWZHYM@Q?/H]A5=M@\9$LS_OBB0:X5X(rW{kfn<6 U^PBIG7?i>1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ER]9.T]@WZDUIDUHM^MNDD]P2*OXLLZ_DRB[EP]22N(RWZHYM@Q?/H]A5=M@\9$LS_OBB0:X5X(rW{kfn<6 U^PBIG7?W`dxyao{e3c;?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PBI*OX[?$^SN]PBSCN[FGTKHNNS^8 I^FFTQNXD]OZS<8D.T]PFWGJW9%BSO?7KFV3*BYUIDH:4V?R.t]qehd60&_T^LCM19]jjvski}o8<4k4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]P2+SXKZUI^LCPC@Q@EACX[?%BSIK_TI]OP@WX9?A%YR]MR@O\4*OXJ82@KY>!G^PBIG7?S;:V"xQ}al`2<*SXZHGI=5o6;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\W3(RWJYTN_OB_BCPGDBBWZ<$ERJJPUJ\HQCVW8<@"XQ\BSCN[5)NWK;3GJZ?.F]QEHD60R8;Q#{Pr`oa5=)RW[KFN<6Pioqvhdrb98k27X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPS7,V[FUXJ[KFSNO\C@FF[V0(AVNN\YFPLUGR[40L&\UXN_OB_1-J[G7?CN^;"JQ]AL@2<^47U'T~lcm19-V[WGJJ82Tec}zl`vf64?b3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTFE&CT_; Z_BQ\FWGJWJKXOLJJ_R4,MZBBX]BT@YK^_04H*PYTJ[KFS=!F_C3;OBR7&NUYM@L>8Z02Y+sXzhgi=5!Z_SCNF4>f12_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BS^8!U^AP[GTFEVIJ_NOKE^Q5+LYCMY^CSAZJQ^35O+SX[KXJAR> I^@2S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWZ<%YRM\_CPBIZEF[JKOIR]9/H]GAUROWE^N]R?9K/W\WGTFEV:$ERL>8JEW4+AXZHGI=5U=1\,v[wgjj82$YR\NMC3;[lht}eki?<6e:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q9>P z_scnf4>(]VXJAO?7a89V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI=5EHT1,D[WGJJ82P>?S!u^pbig7?'\UYM@L>8^kmwpjf|l88m55Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^Q5*PYD[VHYM@QLARAB@@YT>&CTHH^[H^NWATY6>B$^S^L]AL]3+LYE91ALX= H_SCNF4>\:;W%yR|nmc3;+PYUIDH:4Rgastnbp`?>m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BS^8!U^AP[GTFEVIJ_NOKE^Q5+LYCMY^CSAZJQ^35O+SX[KXJAR> I^@26J,V[VDUIDU;#DQM19IDP5(@W[KFN<6T22_-qZtfek;3#XQ]AL@2(]VXJAO?7a89V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI=5EHT1,D[WGJJ82P>9S!u^pbig7?'\UYM@L>8^kmwpjf|l8>m55Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^Q5*PYD[VHYM@QLARAB@@YT>&CTHH^[H^NWATY6>B$^S^L]AL]3+LYE91ALX= H_SCNF4>\:=W%yR|nmc3;+PYUIDH:4Rgastnbp`1>m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BS^8!U^AP[GTFEVIJ_NOKE^Q5+LYCMY^CSAZJQ^35O+SX[KXJAR> I^@26J,V[VDUIDU;#DQM19IDP5(@W[KFN<6T24_-qZtfek;3#XQ]AL@2(]VXJAO?7a89V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI=5EHT1,D[WGJJ82P>;S!u^pbig7?'\UYM@L>8^kmwpjf|l8&CTHH^[H^NWATY6>B$^S^L]AL]3+LYE91ALX= H_SCNF4>\:?W%yR|nmc3;+PYUIDH:4Rgastnbp`3>m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BS^8!U^AP[GTFEVIJ_NOKE^Q5+LYCMY^CSAZJQ^35O+SX[KXJAR> I^@26J,V[VDUIDU;#DQM19IDP5(@W[KFN<6T26_-qZtfek;3#XQ]AL@2(]VXJAO?7a89V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI=5EHT1,D[WGJJ82P>5S!u^pbig7?'\UYM@L>8^kmwpjf|l82m55Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^Q5*PYD[VHYM@QLARAB@@YT>&CTHH^[H^NWATY6>B$^S^L]AL]3+LYE91ALX= H_SCNF4>\:1W%yR|nmc3;+PYUIDH:4Rgastnbp`5>m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BS^8!U^AP[GTFEVIJ_NOKE^Q5+LYCMY^CSAZJQ^35O+SX[KXJAR> I^@2S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWZ<%YRM\_CPBIZEF[JKOIR]9/H]GAUROWE^N]R?9K/W\WGTFEV:$ERL>8JEW4+AXZHGI=5U=9\,v[wgjj82$YR\NMC3;[lht}eki>>6d:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q9Q#{Pr`oa5=)RW[KFN<6n8:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q9Q#{Pr`oa5=)RW[KFN<6Pioqvhdrb:0k<7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPS7,V[FUXJ[KFSNO\C@FF[V0(AVNN\YFPLUGR[40L&\UXN_OB_1-J[G7?CN^;"JQ]AL@2<^4Z&|Uym`l>8.W\VDKE91Ubb~{caug0=`=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVY="XQLS^@QEHYDIZIJHHQ\6.K\@@VS@VF_I\Q>6J,V[VDUIDU;#DQM19IDP5(@W[KFN<6T31_-qZtfek;3#XQ]AL@2<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WGJ'@UX:#[PCR]AVDKXKHYHMIKPS7-J[ACW\AUGXH_P17I-QZUEZHGT<"GPB0:HCQ6)OVXJAO?7[22^*pYuidh:4"[PR@OA5=Ynfzgmyk>a89V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI=5EHT1,D[WGJJ82P?=S!u^pbig7?'\UYM@L>8^kmwpjf|l9:5h5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^Q5*PYD[VHYM@QLARAB@@YT>&CTHH^[H^NWATY6>B$^S^L]AL]3+LYE91ALX= H_SCNF4>\;8W%yR|nmc3;+PYUIDH:4l64U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]P2+SXKZUI^LCPC@Q@EACX[?%BSIK_TI]OP@WX9?A%YR]MR@O\4*OXJ82@KY>!G^PBIG7?S:;V"xQ}al`2<*SXZHGI=5Qfnrwoeqc7i01^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ER]9.T]@WZDUIDUHM^MNDD]P2*OXLLZ_DRB[EP]22N(RWZHYM@Q?/H]A5=M@\9$LS_OBB0:X74[)}Vxjao?7/T]QEHD60Vcexbntd11=a=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVY="XQLS^@QEHYDIZIJHHQ\6.K\@@VS@VF_I\Q>6J,V[VDUIDU;#DQM19IDP5(@W[KFN<6T3\,v[wgjj82$YR\NMC3;e==R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVY="XQLS^@QEHYDIZIJHHQ\6.K\@@VS@VF_I\Q>6J,V[VDUIDU;#DQM19IDP5(@W[KFN<6T3\,v[wgjj82$YR\NMC3;[lht}eki?6n7:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q8Q#{Pr`oa5=)RW[KFN<6Pioqvhdrb<0n0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQ\6/W\GVYEZHGTOL]LAEG\W3)NWMO[XEQCTDS\53M)]VYI^LCP0.K\F4>LO]:%KR\NMC3;_1[)}Vxjao?7/T]QEHD60h20Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQ\6/W\GVYEZHGTOL]LAEG\W3)NWMO[XEQCTDS\53M)]VYI^LCP0.K\F4>LO]:%KR\NMC3;_1[)}Vxjao?7/T]QEHD60Vcexbntd04e2=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVY="XQLS^@QEHYDIZIJHHQ\6.K\@@VS@VF_I\Q>6J,V[VDUIDU;#DQM19IDP5(@W[KFN<6T4\,v[wgjj82$YR\NMC3;[lht}eki87k;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\W3(RWJYTN_OB_BCPGDBBWZ<$ERJJPUJ\HQCVW8<@"XQ\BSCN[5)NWK;3GJZ?.F]QEHD60R?V"xQ}al`2<*SXZHGI=5o7;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\W3(RWJYTN_OB_BCPGDBBWZ<$ERJJPUJ\HQCVW8<@"XQ\BSCN[5)NWK;3GJZ?.F]QEHD60R?V"xQ}al`2<*SXZHGI=5Qfnrwoeqc5>h=0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQ\6/W\GVYEZHGTOL]LAEG\W3)NWMO[XEQCTDS\53M)]VYI^LCP0.K\F4>LO]:%KR\NMC3;_0[)}Vxjao?7/T]QEHD60Vcexbntd4:`>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWZ<%YRM\_CPBIZEF[JKOIR]9/H]GAUROWE^N]R?9K/W\WGTFEV:$ERL>8JEW4+AXZHGI=5U9]/w\vdke91%^S_OBB0:b<>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWZ<%YRM\_CPBIZEF[JKOIR]9/H]GAUROWE^N]R?9K/W\WGTFEV:$ERL>8JEW4+AXZHGI=5U9]/w\vdke91%^S_OBB0:\mkurdh~n>8o8;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\W3(RWJYTN_OB_BCPGDBBWZ<$ERJJPUJ\HQCVW8<@"XQ\BSCN[5)NWK;3GJZ?.F]QEHD60RS7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWZ<%YRM\_CPBIZEF[JKOIR]9/H]GAUROWE^N]R?9K/W\WGTFEV:$ERL>8JEW4+AXZHGI=5U8]/w\vdke91%^S_OBB0:\mkurdh~n44j4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]P2+SXKZUI^LCPC@Q@EACX[?%BSIK_TI]OP@WX9?A%YR]MR@O\4*OXJ82@KY>!G^PBIG7?S1W%yR|nmc3;+PYUIDH:4l64U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]P2+SXKZUI^LCPC@Q@EACX[?%BSIK_TI]OP@WX9?A%YR]MR@O\4*OXJ82@KY>!G^PBIG7?S1W%yR|nmc3;+PYUIDH:4Rgastnbp`44i>1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ER]9.T]@WZDUIDUHM^MNDD]P2*OXLLZ_DRB[EP]22N(RWZHYM@Q?/H]A5=M@\9$LS_OBB0:X!G^PBIG7?S0W%yR|nmc3;+PYUIDH:4Rgastnbp`450l1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ER]9.T]@WZDUIDUHM^MNDD]P2*OXLLZ_DRB[EP]22N(RWZHYM@Q?/H]A=NAS8'T~lcm9.W\VDKE1020Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQ\6/W\GVYEZHGTOL]LAEG\W3)NWMO[XEQCTDS\53M)]VYI^LCP0.K\F:d:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C<"XQBUY31<*Kj}qUD==?:e:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C<"XQBUY31<*Kj}qUD==??5d9V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B;#[PMTZ26=)Je|rTC<>>14g8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E: Z_LW[57>(EdsSB??137f?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D9!U^OV\44?'Dg~tRA>0016a>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G8.T]NQ]750&GfyuQ@11371`=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F7/W\IP^6:1%FaxvPO02210c<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXKFFTAXV I6,V[HS_9;2$A`{w_N33533b3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H5-QZKRP883#@czx^M24412m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K4*PYJ]Q;94"Cbuy]L557?=l1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J3+SXE\R:>5!Bmtz\K4661 I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L1)]VG^T<<7/Lov|ZI68;;>i6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O0&\UFYU?=8.Onq}YH99899h5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N?'_TAXV>29-Nip~XG8:9?8k4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A>$^S@[W13:,IhsWF;;>8;j;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@=%YRCZX00;+HkrpVE:034\C0c<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXKFFTAXV I6,V[HS_9;2$A`{w_N33623b3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H5-QZKRP883#@czx^M247>2m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K4*PYJ]Q;94"Cbuy]L554>=l1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J3+SXE\R:>5!Bmtz\K4648 I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L1)]VG^T<<7/Lov|ZI68:9>i6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O0&\UFYU?=8.Onq}YH999?:=5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N?'_TAXV>29-Nip~XG8:88RH90:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C<"XQBUY31<*Kj}qUD===;_F7f?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D9!U^OV\44?'Dg~tRA>0276a>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G8.T]NQ]750&GfyuQ@11151a=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F7/W\IP^6:1%FaxvPO0261a=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F7/W\IP^6:1%FaxvPO0251c=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F7/W\IP^6:1%FaxvPO025[C3a3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H5-QZKRP883#@czx^M243Y@=m1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J3+SXE\R:>5!Bmtz\K460=m1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J3+SXE\R:>5!Bmtz\K46?=m1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J3+SXE\R:>5!Bmtz\K46>=j1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J3+SXE\R:>5!Bmtz\K4>2l2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K4*PYJ]Q;94"Cbuy]L5=73n2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-@M9776=l0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+FO;984?j6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)DA5;929k4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'JC7=3:j;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&IB0?0;e:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1=14d9V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$OD2;>5g8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#NG35?6f?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"MF<7<7a>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!LI=5=0`=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ CH>;:1c<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/BK?=;2c3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.F?4;2b3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.F?5583m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-G84796<30Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]7U'MeD#[PMTZ@]02<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/FY3Y+SXNMXN9>5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(OR:V"XQCUU76?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"IT0\,V[]IUKP?j7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\99W%KcF!U^OV\F_2=2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-D_46Z&\UMH_K:4:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW<>R.T]OQQ313\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX55[)]VRD^NW:a:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW:6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S8;V"XQWOSAZ10=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ GZ31Y+SXNMXN995Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(OR;9Q#[PLTV62>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[00^*PY_G[IR945Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(OR;V"J`G.T]NQ]E^==1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,C^7Z&\UMH_K:3:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]5U'_TJI\J529V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\;T$^SKJ]E418Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#JU<]/W\HPR2=2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-D_6[)]VRD^NW:9:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW9S!GoJ-QZKRPJS>86[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S=W%YRHKRD70?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"IT4\,V[ISS=<1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,C^2Z&\USC_MV589V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV;R.FlK*PYJ]QIR995Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(OR?V"XQIDSG67>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[4_-QZJR\7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\>T$^SUA]CX7:?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"IT7\,DjM(RWD_SOT;;;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&MP;P Z_GFQA05<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/FY4Y+SXD\^>96[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S>W%YRV@RB[6=>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[9_-CkN)]VG^TNW:4:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW5S!U^DGV@343\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX3:1c<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/R>24;2b3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.Q?5483m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-P8449958i5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSnac_`fgvZbkq5?58i5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSnac_`fgvZbkq5<5885Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=2=03=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;;2984U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>25;213\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=?0;6:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8459548Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6=7>=7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo317<72>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48=58;5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=3;:10<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:53::;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5;213\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7>=0;6:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8779548Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:5;7>=7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo325<72>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;?58;5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=05:10<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h69;3:9;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?6=83>2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0?71449V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe949548Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:497>=7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo333<72>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4:958;5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=17:10<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6893:9;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?7383>2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0>91479V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe95?6=<0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2<9?66?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;;7>=7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo341<72>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4=;58;5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=61:10<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6??3:9;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?0183>2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j09;1479V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9216=<0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2;7?65?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;<14?:6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<5;=00=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5>58;5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=73:10<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6>=3:9;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?1783>2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j08=1479V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9336=<0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2:5?65?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;=?4?:6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<45=03=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5?32984U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>6=;223\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k793:9;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?2583>2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0;?1479V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9056=<0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl293?65?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;>=4?96[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<7<71>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4>4?96[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<9<71>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf404?56[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nT~byPaefq8583i2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXzf}Tmij}<02=0d=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[wipWhno~1?>>5c8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^plsZgcl{6:>3:6;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQ}ov]b`at;97>27X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUyczQndep?6;2>3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYug~Ujhi|33?6:?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]qkrYflmx783:6;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQ}ov]b`at;=7>27X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUyczQndep?2;2>3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYug~Ujhi|37?6:?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]qkrYflmx743:6;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQ}ov]b`at;17>?7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUyczQjn058Q5)NWPOXSI Z_HNB+PYCG\^9o6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/BOS[WCTM]9=7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B I^KMPFGWWO$^SNO_WD202>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'@UBBYMNP^D-QZEFX^O:9n5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PCEMMA*OXQLYGYY8!I^LL[WVJ&@URI^Q@RDE-KPRXZLM>=6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQLDNLF+LY^MZF^X; F_OM\VUK)]VG^TK9:3:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]@@JHB'@URI^BZT7,J[KIXZYG%YR]]L20\E05<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWJNDBH!F_XGPHPR1&@UECR\_M/W\WWJ4:VH?46[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQLDNLF+LY^MZF^X; \RM]NQ]2?3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$ER]]LU]MAZ7)]VIOCCK I^[FWISS>'YY@R_<15;8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[FBHFL%BSTK\LTV5*VTKWX6;2974U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_BFLJ@)NWPOX@XZ9.RPO[T:66<>0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SNC_RDQFP*OXQLYGYY8!I^LL[WVJ&\UFYUH8579V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\GHVUMZO_#DQVERNVP3(NWGET^]C!U^QQH64XI<<0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SNC_RDQFP*OXQLYGYY8!I^LL[WVJ&\UX^A==_C6a?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZEJX[OXIY!F_XGPHPR1&ZXGS\=>4b9V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\GHVUMZO_#DQVERNVP3(TZEUZ0=0;c:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]@IUTB[L^$ERWJSMWW2+UUDV[7=3;n;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^LP4*OXQLYGYY8!I^LL[WVJ&@URI^Q@RDE-KPRXZLM>>6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQAS1-J[\CTD\^="DQAO^PSI+SXE\RM;ROM539V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\JV6(AVSN_A[[6/K\JJYUXD$^S@[WF6]@@1`<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWGY;#DQVERNVP3(NWGET^]C!U^OV\C>292_;#DQVER]G*PYNDH%^SJ=6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQAS1-J[\CTD\^="DQAO^PSI+SX[[F8>RL:1:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]MW5)NWPOX@XZ9.H]MKZTWE'_T__B<2^A65>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYI[9%BSTK\LTV5*LYIGVX[A#[PSSN06ZB3i2_;#DQVER]G*PYNDH%^SJ>558Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[KU7'@URI^BZT7,PVIYJ]Q2?56[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQAS1-J[\CTD\^="^\C_P12858312_;#DQVER]G*PYNDH%^SJ<0<7=>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYI[9%BSTK\LTV5*VTKWX9:0?0;7:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]MW5)NWPOX@XZ9.RPO[T:76==0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SC]?/H]ZAVJR\?$X^AQ^<0<73>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYI[9%BSTK\LTV5*VTKWX692994U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_OQ3+LY^MZF^X; \RM]R8682i2_;#DQVER]G*PYNDH%^SJ/H]ZAVJR\?$BSCAPRQO-QZKRPO=TOI:i;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^LP5*OXQLYGYY8!I^LL[WVJ&\UFYUH7509V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\JV7(AVSN_A[[6/K\JJYUXD$^S^\C33]B14=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXFZ;$ERWJSMWW2+OXFFUY\@ Z_RPO77YE=81^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_TB^? I^[FWISS>'CTBBQ]PL,V[VTK;;UH9<5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PNR3,MZ_B[E__:#GPNN]QTH(RWZXG??QK4`9V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\JV7(AVSN_A[[6/QQHZKRP>6;29o4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_OQ2+LY^MZF^X; \RM]NQ]1;97><7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B I^QQHQYIMV;%YR@\1.K\]@UK]]<%__BPMTZ;0<=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXFZ;$ERWJSMWW2+UUDV[8=1>1489V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\JV7(AVSN_A[[6/QQHZW495;5845Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PNR3,MZ_B[E__:#]]L^S05949<>1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_TB^? I^[FWISS>'YY@R_30?64?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZHT9&CTUH]CUU4-WWJXY5;58:5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PNR3,MZ_B[E__:#]]L^S?6;203\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$ER]]LU]MAZ7)]VDX="GPYDQOQQ0)[[FT]1=1499V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\WFC(AVSN_A[[6/W\WWJD9?O?56[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQ\CLR@A*OXQLYGYY8!U^QQH64292_;#DQVER]G*PYNDH%^SJ?6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQ\CSQW+LY^MZF^X; F_OM\VUK)]VYY@>37X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B I^QQHQYIMV;%YRYLE.K\]@UK]]<%YR]]LB35A1g<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RW^BHA]MJ/H]ZAVJR\?$^S^\C336b?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZQKKDZHI"GPYDQOQQ0)]VYY@><=a:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+VEI\VIN>o5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.Q@JQYDEY8n7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B SBLW[FKWWJO9h6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/RAMPZEJXV[9h6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/RAMPZTB[L^?=6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!LAQ]B@ATXflr0=0;2:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-@EUYFLMXTbhv{<02=07=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(KHZTMIJ]_og{p9766=80Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#NO__@FGVZhbp}6:>3:>;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.ABTZGCL[Ueiuz31?62?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*EFXVKOH_Qaeyv?6;263\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&IJ\ROKDS]ma}r;;7>:7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"MNP^CG@WYimq~783:>;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.ABTZGCL[Ueiuz35?62?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*EFXVKOH_Qaeyv?2;263\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&IJ\ROKDS]ma}r;?7>:7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"MNP^CG@WYimq~743:>;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.ABTZGCL[Ueiuz39?6b?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX8V^DS;:D.G]PAI]7U'_T_HB;b:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[5YSGV=A%JR]JLZ32Y+SX[LF?n6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_1]WKZ03C'LT_HBT13_-QZUBD=h0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ?_UM\21M)NVYN@V?<]/W\W@J3j2_;#DQVER]G*PYNDH%^SJR]^.T]@EUESZE%^SNO__@FGVBCI'@U;SYAP65I-BZUBDR;>Q#[PSDN7e>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NW9U_CR8;K/D\W@J\9T$^S^KC4`9V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZ6X\FU=8F I_RGO_7[)]VYN@9o4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]3[QIX>=A%JR]JLZ1^*PYTME>j7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GP0^VL[32L&OUXIAU;]/W\W@J3i2_;#DQVER]G*PYNDH%^SJ@"KQ\EMY;Y+SX[LF?m6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_1]WKZ03C'LT_HBT9\,V[VCK<11^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER>PTN]50N(NWZXG"XQ\EMZ66>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NW@D_OL^!F^ABTZGCL[Q;Q#[PC@R\EABU=?1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ERGATBCS*CYDIYUJHI\T0\,V[FGWWHNO^RCZX418Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYNF]IJ\#HPC@R\EABUS9W%YRgLAQ]B@AT2;2_;#DQVER]G*PYNDH%^SJ0\,V[FGWWHNO^894U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_46Z&\UHM]QNDEP\IP^2<2_;#DQVER]G*PYNDH%^SJ0\,V[lEFXVKOH_;<;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^76U'_TOL^PAEFQ12=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVCEXNO_.G]@EUYFLMXP=R]^.T]@EUESZE%^SNO__@FGVBCI'@UBBYMNP/D\GDVXIMNYW<;6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_HLWGDV)NVIJ\ROKDSY26X(RWJK[SLJKR^OV\02<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UBBYMNP/D\GDVXIMNYW< I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPIOV@EU(AWJK[SLJKRZ3^*PYnKHZTMIJ]539V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZOI\JK["KQLAQ]B@AT\:T$^SNO__@FGV00<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UBBYMNP/D\GDVXIMNYW?S!U^ABTZGCL[UFYU;<;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^4Z&\UbOL^PAEFQ17=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVCEXNO_.G]@EUYFLMXP?P Z_BCS[DBCZ<<0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQFNUABT+@XKHZTMIJ][2_-QZEFXVKOH_QBUY70?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXAG^HM] I_BCS[DBCZR9V"XQfC@R\EABU=;1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ERGATBCS*CYDIYUJHI\T4\,V[FGWWHNO^884U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_1[)]VIJ\ROKDS]NQ]343\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTECZLAQ,E[FGWWHNO^V:R.T]jGDVXIMNY9?5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^KMPFGW&OUHM]QNDEPX1X(RWJK[SLJKR448Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYNF]IJ\#HPC@R\EABUS I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPIOV@EU(AWJK[SLJKRZ7^*PYnKHZTMIJ]539V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZOI\JK["KQLAQ]B@AT\>T$^SNO__@FGV00<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UBBYMNP/D\GDVXIMNYW;S!U^ABTZGCL[UFYU;<;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^0Z&\UbOL^PAEFQ17=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVCEXNO_.G]@EUYFLMXP;P Z_BCS[DBCZ<<0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQFNUABT+@XKHZTMIJ][6_-QZEFXVKOH_QBUY70?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXAG^HM] I_BCS[DBCZR=V"XQfC@R\EABU=;1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ERGATBCS*CYDIYUJHI\T8\,V[FGWWHNO^884U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_=[)]VIJ\ROKDS]NQ]343\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTECZLAQ,E[FGWWHNO^V6R.T]jGDVXIMNY9?5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^KMPFGW&OUHM]QNDEPX=X(RWJK[SLJKR448Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYNF]IJ\#HPC@R\EABUS0W%YRMNP^CG@WYJ]Q?87X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPIOV@EU(AWJK[SLJKRZ;^*PYn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e:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ2^*BhO&\UFYUMV589V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/FY3Y+SXNMXN955Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU?]/W\HPR2i2_;#DQVER]G*PYNDH%^SJ.T]PGKR(OR:V"XQWOSAZ1c=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+B]68T$LbE Z_LW[G\3f3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)@S8:V"XQIDSG6=>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,C^77U'_T@XZ:b:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ33Y+SXPFXHU8h4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT10_-CkN)]VG^TNW:a:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ32Y+SXNMXN945Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU>1\,V[ISS=k1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'NQ:=P Z_YMQG\3f3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)@S88V"XQIDSG6=>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,C^75U'_T@XZ:b:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ31Y+SXPFXHU8k4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT1\,DjM(RWD_SOT;6;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[0_-QZ@CZL?37X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LWR]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*A\9T$^SUA]CX7f?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-D_7[)OgB%YRCZXB[6=>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,C^4Z&\UMH_K:8:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ0^*PYK]]?j7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW?S!U^ZLVF_2m2_;#DQVER]G*PYNDH%^SJ.T]PGKR(OR9V"J`G.T]NQ]E^=01^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'NQ8Q#[PFEPF1==R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+B]4U'_T@XZ:a:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ1^*PY_G[IR9h5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU;]/EmL+SXE\RHU874U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT4\,V[CBUM<20Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP8P Z_MWW1d=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+B]3U'_TTB\LY4g8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.EX1X(@fA$^S@[WCX7:?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-D_0[)]VLO^H;7;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[4_-QZJR\.T]PGKR(ORS7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,C^0Z&\UGYY;n;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[7_-QZ^HZJS>i6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$KV9R.FlK*PYJ]QIR945Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU8]/W\BATB=11^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'NQS7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,C^1Z&\USC_MV5d9V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/FY;Y+Ai@'_TAXVLY4;8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.EXR]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*A\1T$LbE Z_LW[G\3>3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)@S0W%YRHKRD7;?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-D_<[)]VF^X8o4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT9\,V[]IUKP?97X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%X0=0:3:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ S=33:05<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*U;984>?6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$_1?=>408Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.Q?5;353\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)T4;4>>6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$_1=1539V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/R>7:04<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*U;=7?97X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%X0;0:2:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ S=5=17=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+V:?6<80Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&Y753:n;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+FO;994?m6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.AJ8479R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$OD2>>5;8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(K@692974U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,GL:46=30Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ CH>7:1?<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$OD2:>5;8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(K@6=2974U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,GL:06=30Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ CH>;:1?<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$OD26>5:8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(L5:5845Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-G8469<01^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER]]LU]MAZ7)]V]HBY!K<03=0<=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVYY@YQAE^3-QZQDF]%O0<<1499V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)C484?46[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.F?6;2?3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#I2<>5:8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(L5>5855Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-G808302_;#DQVER]G*PYNDH%^SJ9<11^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER]]LU]MAZ7)]V]HBY!K<8<60>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP

m7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/FY3Y+SXNMXN8h5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_5[)]VF^X8>4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,C^6Z&\USC_MV549V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S8:V"J`G.T]NQ]E^=91^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER]]LU]MAZ7)]V]HBY!H[02^*PYAL[O?j6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.EX55[)]VF^X8?4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,C^77U'_TTB\LY478Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(OR;:Q#IaH/W\IP^DQ<:0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ32Y+SXNMXN8k5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_47Z&\UGYY;>;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+B]69T$^SUA]CX73?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ:>P Z_GFQA1`<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV?=]/W\HPR292_;#DQVER]G*PYNDH%^SJ86[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.EX5X(@fA$^S@[WCX6e?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ:Q#[PFEPF0`=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVYY@YQAE^3-QZQDF]%LWR]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV?R.T][KWE^==1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER]]LU]MAZ7)]V]HBY!H[3_-CkN)]VG^TNW;f:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*A\:T$^SKJ]E5g8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(OR8V"XQCUU73?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ9Q#[PXNP@]02<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV=R.FlK*PYJ]QIR8k5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_6[)]VLO^H:j;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+B]4U'_T@XZ:0:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*A\;T$^SUA]CX77?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ?Q#IaH/W\IP^DQ=l0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ6^*PYAL[O?i6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.EX0X(RWE__9=5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_1[)]VRD^NW:4:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*A\=T$LbE Z_LW[G\2a3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#JU:]/W\BATBS7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP:P Z_GFQA1c<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV8R.T]OQQ373\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#JU9]/W\\JTDQ<>0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ5^*BhO&\UFYUMV4g9V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S>W%YRHKRD6f?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQS7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP;P Z_YMQG\333\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#JU7]/EmL+SXE\RHU9h4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,C^>Z&\UMH_K;e:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*A\0T$^SA[[519V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S1W%YRV@RB[60>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP5P HnI,V[HS_KP>m7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/FY:Y+SXNMXN8h5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_<[)]VF^X8>4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,C^?Z&\USC_MV499V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)T494?56[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.Q?558312_;#DQVER]G*PYNDH%^SJS7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&Y7=?0;8:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*U;97>37X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/R>1:1><]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$_1=1499V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)T4=4?46[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.Q?1;2?3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#^29>5:8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR([5=5855Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-P8=8302_;#DQVER]G*PYNDH%^SJ2:gd<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\UXOCZPCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)kkeUx~aQ~<3S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RWZIEXRMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1>1b`9V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,QZUDF]UHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6:2oo4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]PGKRXKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;:7hj7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PSBLW[FKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl868ej2_;#DQVER]G*PYNDH%^SJ3:gd<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\UXOCZPCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<0S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RWZIEXRMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2=>c`8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYTKG^TO@^ I^AP[BGIL'_TO^QHAOF\WWJ(AVY="XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BS]O]THCO[VJNKL$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0>0k1:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VBHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.K\MVYSM[GBBLZFOO]PHLEBWGO;"XQBUYDa2>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRFLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RJn;5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYOKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Fg0<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\U\OCZPHBOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TNl9;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWAIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Be>2_;#DQVER]G*PYNDH%^SJ I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[MEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:66ki0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQXCOV\LFKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$`nbPssn\u949jk1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YRYLNU]KGHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?4;de3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_IANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=3=fg=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]V]HBYQGCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa32?`a?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX_JD_SEMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1=1bb9V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,QZQDF]UCO@^ I^AP[BGIL'_TO^QHAOF\WWJ(AVY="XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BS]O]THCO[VJNKL$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0=0mc:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VBHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7=3ll;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWAIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme692om4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRX@JG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd595h<5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYKKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!F_HQ\P@TJAGK_EB@PSMK@AZHB8'_TAXVIb79V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,QZQDF]UGO@^ I^AP[BGIL'_TO^QHAOF\WWJ(AVY="XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BS]O]THCO[VJNKL$^SJOAD^QQHZUKAJOTBBQ]PL-V[HS_Ik<0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQXCOV\HFKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZXC`5?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX_JD_SAMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QIi:6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZJDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZGf3=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]V]HBYQCCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGMo84U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRXDJG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C U^QQHGd13\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_MANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AMm6:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VFHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.W\WWJCjj1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YRYLNU]OGHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r858ek2_;#DQVER]G*PYNDH%^SJ1:gd<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\U\OCZPLBOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<1S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRBLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2>>c`8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYPKG^T@NC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0?0mb:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VFHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf682om4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRXDJG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd5:5nn5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYKKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck484io6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZJDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;:7hh7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[IEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:46:i0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#nhh_ecwe969;j1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$okiPd`vb8484k2_;#DQVER]G*PYNDH%^SJ0:6e<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'jllSio{a=6=04=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(komThlzn_wg`8469<81^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$okiPd`vb[scd48;58<5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ cge\`drfWoh0<<1409V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,gcaXlh~jS{kl<01=04=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(komThlzn_wg`8429<81^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$okiPd`vb[scd48?58<5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ cge\`drfWoh0<81419V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,gcaXlh~jS{kl<0<74>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)dnnUomyoPvda?6;273\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&imkRjnt`]uaf:46=:0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#nhh_ecweZpbk5>58=5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ cge\`drfWoh080;0:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-`bbYci}kTzhm36?63?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*eaoVnjxlQyeb>4:16<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'jllSio{a^tfg9>9<91^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$okiPd`vb[scd4048h6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!fC@R\EABU4948i6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!fC@R\EABU48:5?h5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ iBCS[DBCZ5;:2>k4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/hABTZGCL[6:>3=k;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.k@EUYFLMX7=3=k;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.k@EUYFLMX7>3=k;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.k@EUYFLMX7?3=k;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.k@EUYFLMX783=k;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.k@EUYFLMX793=k;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.k@EUYFLMX7:3=k;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.k@EUYFLMX7;3=k;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.k@EUYFLMX743=k;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.k@EUYFLMX753=6;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.kPGKR;879j7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"g\COV?5584i2_;#DQVER]G*PYNDH%^SJ2;8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+lUDF]6:2>74U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/hQ@JQ:56:30Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#d]LNU>0:6?<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'`YHBY2;>2;8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+lUDF]6>2>74U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/hQ@JQ:16:30Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#d]LNU>4:6?<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'`YHBY27>2;8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+lUDF]622?h4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[VEI\VIF\R_=9:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYSZ@M9m6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]WVLA7:h1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PTSKD566<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SZMAT^J@IUYV;91^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PWBLW[IEJXV[9m6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/VAMPZEB:j1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"YLNU]KGHV5n2_;#DQVER]G*PYNDH%^SJ I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B WBLW[IEJX;l0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!XCOV\HFKWWJO9i6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/VAMPZJDEYUZ>h5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.aecZbf|h6;2?k4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-`bbYci}k7=33g8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)dnnUomyo35?0f?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(komThlzn<7<1a>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'jllSio{a=5=6`=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&imkRjnt`>;:7c<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?=;4c3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$eNO__VP\AK4b3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$eY\FGFGQ[LH5n2_;#DQVER]G*PYNDH%^SJ I^[FWZB)]VCGM"[PRRV,TWCXZLYNX=<>;T2,MZ_B[VN%YRGCA.W\VVR(X[OT^H]JT00:?P6(AVSN_RJ!U^KOE*SXZZ^$YRO]M^ZEAW)RWJFNM_<7;T2,MZ_B[VN%YRGCA.W\VVR(]VKYARVIES-V[AIR\;30Y=!F_XGP[A(RW@FJ#XQ]SU-V[DTJWQLN^"[PDNWW47?<]9%BSTK\_E,V[LJF'\UY_Y!Z_@PN[]@BZ&_THB[[1278Q5)NWPOXSI Z_HNB+PYU[]%^SL\B_YDFV*SXOLDTHHBNYZ3^*PYAL:?0Y=!F_XGP[A(RW@FJ#XQ]SU-V[DTJWQLN^"[PGDL\@@JFQR8V"XQID278Q5)NWPOXSI Z_HNB+PYU[]%^SL\B_YDFV*SXOLDTHHBNYZ1^*PYAL:?0Y=!F_XGP[A(RW@FJ#XQ]SU-V[DTJWQLN^"[PGDL\@@JFQR>V"XQID3;8Q5)NWPOXSI Z_HNB+PYU[]%^SL\B_YDFV*SXZONYI?74U1-J[\CTWM$^SDBN/T]QWQ)RWHXFSUHJR.W\PCBUM;n0Y=!F_XGP[A(RW@FJ#XQ]SU-V[DTJWQLN^"jfn^nbpfnbl;<0Y=!F_XGP[A(RW@FJ#XQ]SU-V[DTJWQLN^"gLLS0:?P6(AVSN_RJ!U^KOE*SXZZ^$YRO]M^ZEAW)nL@D7<3<6;T2,MZ_B[VN%YRGCA.W\VVR(]VKYARVIES-j@LH;978<7X> I^[FWZB)]VCGM"[PRRV,QZGUEVRMI_!fDNWW6`=R8&CTUH]PD/W\MIG(]VXXX"[PASO\\CCU'`NDYYQklx>3:7c<]9%BSTK\_E,V[LJF'\UY_Y!Z_@PN[]@BZ&cOCXZPdm{?5;4b3\:$ERWJS^F-QZOKI&_T^^Z U^CQIZ^AM[%bHB[[_enz8785m2_;#DQVER]G*PYNDH%^S_][/T]BVHY_NLX$eIAZT^fo}929:h1^<"GPYDQ\@+SXAEK$YR\\T.W\LDJSWQLN^"[PCMGBV7?<]9%BSTK\_E,V[LJF'\UY_Y!Z_ICOPZ^AM[%^SIAZT3c8Q5)NWPOXSI Z_HNB+PYU[]%^SEOCT^ZEAW)RWME^X= I^[FWZB)]VCGM"[PRRV,QZNFD]USJH\ U^VE@WC5m2_;#DQVER]G*PYNDH%^S_][/T]KEIRXPOOY#iga_mcwgmcc:>1^<"GPYDQ\@+SXAEK$YR\\T.W\LDJSWQLN^"gLLS0b?P6(AVSN_RJ!U^KOE*SXZZ^$YRFNLU][B@T(aMCE0=0=a:W3+LY^MZUO"XQFL@-V[WUS'\UCMAZPXGGQ+lBNF5;5>55Z0.K\]@UXL'_TEAO U^PPP*SX@HF_SUHJR.kGKPR5n2_;#DQVER]G*PYNDH%^S_][/T]KEIRXPOOY#dJ@UU]gh|:76;l0Y=!F_XGP[A(RW@FJ#XQ]SU-V[MGK\VRMI_!fDNWW[aj~4;4:o6[?/H]ZAVYC&\UB@L!Z_SQW+PYWG[;n7X> I^[FWZB)]VCGM"[PRRV,QZVU[]::i6[?/H]ZAVYC&\UB@L!Z_SQW+PYWZZ^:=i5Z0.K\]@UXL'_TEAO U^PPP*SXZZ^;>=5Z0.K\]@UXL'_TEAO U^PPP*oUMZO_0=0=6:W3+LY^MZUO"XQFL@-V[VRF\&KHX_K\EU]sqiub:81^<"GPYDQ\@+SXAEK$YR][AU-BGQYc{}k><5Z0.K\]@UXL'_TEAO U^QWEQ)FZDUoyo{279V4*OXQLYTH#[PIMC,QZUSI]%HM]Q]ERGW[aj~9;:0Y=!F_XGP[A(RW@FJ#XQ\T@V,@LTSQVN;>=5Z0.K\]@UXL'_TEAO U^QWEQ)CA[^RSI?=1:W3+LY^MZUO"XQFL@-V[VRF\&NB^YWPSDN17>S7'@URI^QK.T]JHD)RWZ^JX"JFRU[\`vrf|;:0Y=!F_XGP[A(RW@FJ#XQ\T@V,@VRF\5>5>85Z0.K\]@UXL'_TEAO U^QWEQ)C[]K_Sh`Pdm{260=R8&CTUH]PD/W\MIG(]VY_MY!KSUCW[`hXles9>85Z0.K\]@UXL'_TEAO U^QWEQ)C[]K_Sh`Pdm{067=R8&CTUH]PD/W\MIG(]VY_MY!KSUCW[iifl;k0Y=!F_XGP[A(RW@FJ#XQ\T@V,A]RDIYUYILJV_eqweq453\:$ERWJS^F-QZOKI&_T_YO[/GWOHZbt|h~8<6[?/H]ZAVYC&\UB@L!Z_RVBP*@X[Z^JXV>R.H]PPDR)]VY_MY=>;T2,MZ_B[VN%YRGCA.W\WQGS'OUX_YO[[02^*LYT\H^%YR][AU13?P6(AVSN_RJ!U^KOE*SX[]K_#KQ\SUCW_4[)AVY_MY Z_RVBP66<]9%BSTK\_E,V[LJF'\UXXLZ F^QPPDR\:T$BS^ZNT/W\WQGS;91^<"GPYDQ\@+SXAEK$YR][AU-E[VUSI]Q8Q#GPSUCW*PYT\H^8<6[?/H]ZAVYC&\UB@L!Z_RVBP*@X[Z^JXV:R.H]PPDR)]VY_MY=?;T2,MZ_B[VN%YRGCA.W\WQGS'OUX_YO[[4_-MZUSI]$^S^ZNT228Q5)NWPOXSI Z_HNB+PYT\H^$JR]\T@VX2X(NWZ^JX#[PSUCW75=R8&CTUH]PD/W\MIG(]VY_MY!I_RQWEQ]0U'CT_YO[.T]PPDR482_;#DQVER]G*PYNDH%^S^ZNT.D\WVRF\R2V"DQ\T@V-QZUSI]9;7X> I^[FWZB)]VCGM"[PSUCW+CYT[]K_W4S!I^QWEQ(RWZ^JX?;4U1-J[\CTWM$^SDBN/T]PPDR(OVDXW=S!U^LPU70<]9%BSTK\_E,V[LJF'\UXXLZ G^LP_46Z&\UE_\<9;T2,MZ_B[VN%YRGCA.W\WQGS'NUE_V?>]/W\JVW5>2_;#DQVER]G*PYNDH%^S^ZNT.E\JV]6:T$^SC]^249V4*OXQLYTH#[PIMC,QZUSI]%LSC]T1\,V[KUV:<1^<"GPYDQ\@+SXAEK$YR][AU-D[KU\:T$^SC]^249V4*OXQLYTH#[PIMC,QZUSI]%LSC]T3\,V[KUV:<1^<"GPYDQ\@+SXAEK$YR][AU-D[KU\T$^SC]^249V4*OXQLYTH#[PIMC,QZUSI]%LSC]T7\,V[KUV:<1^<"GPYDQ\@+SXAEK$YR][AU-D[KU\0T$^SC]^249V4*OXQLYTH#[PIMC,QZUSI]%LSC]T9\,V[KUV:h1^<"GPYDQ\@+SXAEK$YR][AU-Nip~XL@X_URj|t`v2575<]9%BSTK\_E,V[LJF'\UXXLZ NR]gwqgs494986[?/H]ZAVYC&\UB@L!Z_RVBP*HTWmymy2>0?07?P6(AVSN_RJ!U^KOE*SX[]K_#C]Pdrvbp9766;>0Y=!F_XGP[A(RW@FJ#XQ\T@V,JVYc{}k0<<1229V4*OXQLYTH#[PIMC,QZUSI]%E_Rj|t`v?5;443\:$ERWJS^F-QZOKI&_T_YO[/OQ\`vrf|585>>5Z0.K\]@UXL'_TEAO U^QWEQ)I[Vnxxlz33?00?P6(AVSN_RJ!U^KOE*SX[]K_#C]Pdrvbp929::1^<"GPYDQ\@+SXAEK$YR][AU-MWZbt|h~793<<;T2,MZ_B[VN%YRGCA.W\WQGS'GYTh~znt=4=66=R8&CTUH]PD/W\MIG(]VY_MY!AS^fppdr;?7887X> I^[FWZB)]VCGM"[PSUCW+KUXlz~jx161229V4*OXQLYTH#[PIMC,QZUSI]%E_Rj|t`v?=;7a3\:$ERWJS^F-QZOKI&_T_YO[/OQ\hjgc:?1^<"GPYDQ\@+SXAEK$YR][AU-PPDRBWmymy2?>348Q5)NWPOXSI Z_HNB+PYT\H^$_YO[E^fppdr;978;7X> I^[FWZB)]VCGM"[PSUCW+QBHWgosx?;4U1-J[\CTWM$^SDBN/T]PPDR(\[CLKH\Pdrvbp4b<]9%BSTK\_E,V[LJF'\UXXLZ U^CQI70<]9%BSTK\_E,V[LJF'\UXXLZ U^CQIZak}lUxiy?l;T2,MZ_B[VN%YRGCA.W\WQGS'\UH^?:4U1-J[\CTWM$^SDBN/T]PPDR(]VNB^YWPFEAF61=R8&CTUH]PD/W\MIG(]VY_MY!Z_EKQP\YALYO9?6[?/H]ZAVYC&\UB@L!Z_RVBP*SXL@X_URBKC2g8Q5)NWPOXSI Z_HNB+PYT\H^$YRJBUY6,QZETWD_S#D=!U^OV\=)Je|rTCR(EdsSBQ<3c9V4*OXQLYTH#[PIMC,QZUSI]%^SICZX5-V[FUXE\R$E> Z_LW[<*Kj}qUDS9?k;T2,MZ_B[VN%YRGCA.W\WQGS'\UO_A<9;T2,MZ_B[VN%YRGCA.W\WQGS'\UO_A? U^AOADT5=2_;#DQVER]G*PYNDH%^S^ZNT.W\@VJ6'\UOCXZ=6:W3+LY^MZUO"XQFL@-V[VRF\&_TH^B>/T]GKPR7:?1^<"GPYDQ\@+SXAEK$YR][AU-V[AUK9&_THB[[1208Q5)NWPOXSI Z_HNB+PYT\H^$YRJ\L0-V[BCIWMOGMTU>]/W\BA413\:$ERWJS^F-QZOKI&_T_YO[/T]GWI7(]VXMH_K=6:W3+LY^MZUO"XQFL@-V[VRF\&_TH^B>/T]WBATB:h1^<"GPYDQ\@+SXAEK$YR][AU-V[AUK9&nbbRbntbjf`75<]9%BSTK\_E,V[LJF'\UXXLZ U^FPH4)nKEX9:6[?/H]ZAVYC&\UB@L!Z_RVBP*SXLZF:#dJFN=2=63=R8&CTUH]PD/W\MIG(]VY_MY!Z_EQO5*oCAG6:2?l4U1-J[\CTWM$^SDBN/T]PPDR(]VNX@ I^[FWZB)]VCGM"[PSUCW+PYC[E9:h6[?/H]ZAVYC&\UB@L!Z_RVBP*SXLZX:h6[?/H]ZAVYC&\UB@L!Z_RVBP*SXMJX9:6[?/H]ZAVYC&\UB@L!Z_RVBP*SXMJXTka{j_rgw5`=R8&CTUH]PD/W\MIG(]VY_MY!Z_GWOH71<]9%BSTK\_E,V[LJF'\UXXLZ U^DVHIY`d|oThz<8:W3+LY^MZUO"XQFL@-V[VRF\&_TAXV U^AP[HS_'@;%YRCZX3-Nip~XG8927X> I^[FWZB)]VCGM"[PSUCW+PYJ]Q%^SN]PMTZ,M4(RWD_S>"Cbuy]L545f3\:$ERWJS^F-QZOKI&_T_YO[/T]NQ])RWJYTAXV I0,V[HS_:&GfyuQ@1020e>S7'@URI^QK.T]JHD)RWZ^JX"[PMTZ,QZETWD_S#D?!U^OV\7)Je|rTC3b9V4*OXQLYTH#[PIMC,QZUSI]%^S@[W/T]@WZKRP&C:"XQBUY0,IhsWF;:=RH141b?P6(AVSN_RJ!U^KOE*SX[]K_#XQBUY-V[FUXE\R$E< Z_LW[6*Kj}qUD=<8<9:W3+LY^MZUO"XQFL@-V[VRF\&_TAXV U^AP[HS_'@;%YRCZX3-Nip~XG88856[?/H]ZAVYC&\UB@L!Z_RVBP*SXE\R$YRM\_LW[+L7)]VG^T?!Bmtz\K45412_;#DQVER]G*PYNDH%^S^ZNT.W\IP^(]VIXS@[W/H3-QZKRP;%FaxvPO070=>S7'@URI^QK.T]JHD)RWZ^JX"[PMTZ,QZETWD_S#D?!U^OV\7)Je|rTC<8412_;#DQVER]G*PYNDH%^S^ZNT.W\IP^(]VIXS@[W/H3-QZKRP;%FaxvPO0;2`>S7'@URI^QK.T]JHD)RWZ^JX"[PNRN05>S7'@URI^QK.T]JHD)RWZ^JX"[PRDQFPZCCOL%BSE3:7b<]9%BSTK\_E,V[LJF'\UXXLZ U^PFW@RXMMMN#dJ@UU>2:76<]9%BSTK\_E,V[LJF'\UXXLZ U^PJWLH@:81^<"GPYDQ\@+SXAEK$YR][AU-V[VRF\JGO>>5Z0.K\]@UXL'_TEAO U^QWEQ)RWZ^JXNCK_o03?P6(AVSN_RJ!U^KOE*SX[]K_#XQ\T@VF476<]9%BSTK\_E,V[LJF'\UXXLZ U^QWEQC6:11^<"GPYDQ\@+SXAEK$YR][AU-V[VRF\VIEX"MF<0<1<>S7'@URI^QK.T]JHD)RWZ^JX"[PSUCW[FHS'JC7>3<7;T2,MZ_B[VN%YRGCA.W\WQGS'\UXXLZPCOV,GL:46;20Y=!F_XGP[A(RW@FJ#XQ\T@V,QZUSI]UHBY!LI=6=6==R8&CTUH]PD/W\MIG(]VY_MY!Z_RVBPZEI\&IB080=8:W3+LY^MZUO"XQFL@-V[VRF\&_T_YO[_BLW+FO;>7837X> I^[FWZB)]VCGM"[PSUCW+PYT\H^TOCZ CH>4:7><]9%BSTK\_E,V[LJF'\UXXLZ U^QWEQYDF]%HE161299V4*OXQLYTH#[PIMC,QZUSI]%^S^ZNT^AMP*EN4049;6[?/H]ZAVYC&\UB@L!Z_RVBP*SX[]K_SN@[/E>3:71<]9%BSTK\_E,V[LJF'\UXXLZ U^QWEQYDF]%O0<0=7:W3+LY^MZUO"XQFL@-V[VRF\&_T_YO[_BLW+A:56;=0Y=!F_XGP[A(RW@FJ#XQ\T@V,QZUSI]UHBY!K<2<13>S7'@URI^QK.T]JHD)RWZ^JX"[PSUCW[FHS'M6?2?94U1-J[\CTWM$^SDBN/T]PPDR(]VY_MYQLNU-G8085?2_;#DQVER]G*PYNDH%^S^ZNT.W\WQGSWJD_#I29>358Q5)NWPOXSI Z_HNB+PYT\H^$YR][AU]@JQ)C4>49;6[?/H]ZAVYC&\UB@L!Z_RVBP*SX[]K_SN@[/E>;:71<]9%BSTK\_E,V[LJF'\UXXLZ U^QWEQYDF]%O040<3:W3+LY^MZUO"XQFL@-V[VRF\&_T_YO[_BLW+B]7U'MeD#[PMTZ@]7c<]9%BSTK\_E,V[LJF'\UXXLZ U^QWEQYDF]%LW=S!U^DGV@4c3\:$ERWJS^F-QZOKI&_T_YO[/T]PPDRXKG^$KV>R.T]OQQ4a3\:$ERWJS^F-QZOKI&_T_YO[/T]PPDRXKG^$KV>R.T][KWE^;:1^<"GPYDQ\@+SXAEK$YR][AU-V[VRF\VIEX"IT1\,DjM(RWD_SOT I^[FWZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ1^*BhO&\UFYUMV2d9V4*OXQLYTH#[PIMC,QZUSI]%^S^ZNT^AMP*A\;T$^SKJ]E3f8Q5)NWPOXSI Z_HNB+PYT\H^$YR][AU]@JQ)@S:W%YRBZT3d8Q5)NWPOXSI Z_HNB+PYT\H^$YR][AU]@JQ)@S:W%YRV@RB[07>S7'@URI^QK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ?Q#IaH/W\IP^DQ;o0Y=!F_XGP[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[5_-QZ@CZL8o7X> I^[FWZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ6^*PYK]]8m7X> I^[FWZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ6^*PY_G[IR?>5Z0.K\]@UXL'_TEAO U^QWEQ)RWZ^JXRMAT.EX1X(@fA$^S@[WCX0f?P6(AVSN_RJ!U^KOE*SX[]K_#XQ\T@V\GKR(OR?V"XQIDSG1`>S7'@URI^QK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ>Q#[PLTV1b>S7'@URI^QK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ>Q#[PXNP@]65<]9%BSTK\_E,V[LJF'\UXXLZ U^QWEQYDF]%LW;S!GoJ-QZKRPJS9i6[?/H]ZAVYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY5Y+SXNMXN>i5Z0.K\]@UXL'_TEAO U^QWEQ)RWZ^JXRMAT.EX2X(RWE__>k5Z0.K\]@UXL'_TEAO U^QWEQ)RWZ^JXRMAT.EX2X(RWQEYOT=<;T2,MZ_B[VN%YRGCA.W\WQGS'\UXXLZPCOV,C^1Z&NdC"XQBUYAZ6`=R8&CTUH]PD/W\MIG(]VY_MY!Z_RVBPZEI\&MP;P Z_GFQA7b<]9%BSTK\_E,V[LJF'\UXXLZ U^QWEQYDF]%LW:S!U^NVP7`<]9%BSTK\_E,V[LJF'\UXXLZ U^QWEQYDF]%LW:S!U^ZLVF_4;2_;#DQVER]G*PYNDH%^S^ZNT.W\WQGSWJD_#JU7]/EmL+SXE\RHU?k4U1-J[\CTWM$^SDBN/T]PPDR(]VY_MYQLNU-D_=[)]VLO^HZ&\UGYYZ&\USC_MV2d9V4*OXQLYTH#[PIMC,QZUSI]%^S^ZNT^AMP*A\1T$^SKJ]E3f8Q5)NWPOXSI Z_HNB+PYT\H^$YR][AU]@JQ)@S0W%YRBZT3d8Q5)NWPOXSI Z_HNB+PYT\H^$YR][AU]@JQ)@S0W%YRV@RB[13>S7'@URI^QK.T]JHD)RWZ^JX"[PSUCW[FHS'Z6;2?94U1-J[\CTWM$^SDBN/T]PPDR(]VY_MYQLNU-P8485?2_;#DQVER]G*PYNDH%^S^ZNT.W\WQGSWJD_#^2=>358Q5)NWPOXSI Z_HNB+PYT\H^$YR][AU]@JQ)T4:49;6[?/H]ZAVYC&\UB@L!Z_RVBP*SX[]K_SN@[/R>7:71<]9%BSTK\_E,V[LJF'\UXXLZ U^QWEQYDF]%X080=7:W3+LY^MZUO"XQFL@-V[VRF\&_T_YO[_BLW+V:16;=0Y=!F_XGP[A(RW@FJ#XQ\T@V,QZUSI]UHBY!\<6<13>S7'@URI^QK.T]JHD)RWZ^JX"[PSUCW[FHS'Z632?94U1-J[\CTWM$^SDBN/T]PPDR(]VY_MYQLNU-P8<86l2_;#DQVER]G*PYNDH%^S^ZNT.W\PAI592_;#DQVER]G*PYNDH%^S^ZNT.W\PWO@OLX9m6[?/H]ZAVYC&\UB@L!Z_RVBP*SX\[CLKH\Pgmwf[vcs:91^<"GPYDQ\@+SXAEK$YR][AU-jWQGS4949=6[?/H]ZAVYC&\UB@L!Z_RVBP*oT\H^7==0=0:W3+LY^MZUO"XQFL@-V[VRF\&cXXLZ31?03?P6(AVSN_RJ!U^KOE*SX[]K_#d][AU>1:76<]9%BSTK\_E,V[LJF'\UXXLZ iRVBP959:91^<"GPYDQ\@+SXAEK$YR][AU-jWQGS4=49<6[?/H]ZAVYC&\UB@L!Z_RVBP*oT\H^793328Q5)NWPOXSI Z_HNB+PYT\H^$e^ZNT=5=65=R8&CTUH]PD/W\MIG(]VY_MY!fSUCW8=8582_;#DQVER]G*PYNDH%^S^ZNT.kPPDR;17887X> I^[FWZB)]VCGM"[PSUCW+lUSI]IFHRMJ249V4*OXQLYTH#[PIMC,QZUSI]%b_YO[CLF\G@Yi:=1^<"GPYDQ\@+SXAEK$YR][AU-jWQGSWJD_0=0=4:W3+LY^MZUO"XQFL@-V[VRF\&cXXLZPCOV?5;433\:$ERWJS^F-QZOKI&_T_YO[/hQWEQYDF]692?:4U1-J[\CTWM$^SDBN/T]PPDR(aZ^JXRMAT=1=61=R8&CTUH]PD/W\MIG(]VY_MY!fSUCW[FHS4=4986[?/H]ZAVYC&\UB@L!Z_RVBP*oT\H^TOCZ35?07?P6(AVSN_RJ!U^KOE*SX[]K_#d][AU]@JQ:16;>0Y=!F_XGP[A(RW@FJ#XQ\T@V,mVRF\VIEX191259V4*OXQLYTH#[PIMC,QZUSI]%b_YO[_BLW8=85<2_;#DQVER]G*PYNDH%^S^ZNT.kPPDRXKG^753<8;T2,MZ_B[VN%YRGCA.W\PWO@'OUECRZLMB,V[CBU;:1^<"GPYDQ\@+SXAEK$YRZ]IF-V[QE(AVY_C_OHE^SVEI(RWJK[SO=:;T2,MZ_B[VN%YRGCA.W\PWO@'\U_O"GPSUMQEBCXY\KG"XQLAQ]GH\1a3\:$ERWJS^F-QZOKI&_TX_GH/T]WG*OX[]EYMJKPQTCO*PYT\FXJKHQ^U@N,MZUUD]UEIR?!I^LNQZ7X\FU?"XQ[CM-J[KKRWL[:"XQfDNWW+LY^MZF^X; Z_RPOG40B>01^<"GPYDQ\@+SXAEK$YRZ]IF-V[QE(AVY_C_OHE^SVEI(RWZ^D^LIJ_PWBH*OX[[F_SCKP1/K\JHSX9V^DS9 Z_UAO+LYH]]XNK#[PDNWW1c=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UA,MZUSG[KLIR_ZAM,V[VRHZHMNS\[NL.K\WWJSWGOT=#GPNLW\5ZRHW=$^SYMC/hFLQQ3e3\:$ERWJS^F-QZOKI&_TX_GH/T]WG*OX[]EYMJKPQTCO*PYT\FXJKHQ^U@N,MZUUD]UEIR?!I^LNQZ7X\FU?"XQ[RHER06=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UA,MZUSG[KLIR_ZAM,V[VRHZHMNS\[NL.kWVLA@M[=i7X> I^[FWZB)]VCGM"[PTSKD+PYSK&CTX^K^_OGR6+SX\JUN]XO[INL,MZUUD]UEIR?!I^LNQZ7X\FU?"XQ[CM-J[KKRWL[:"XQfDNWW+LY^MZF^X; Z_RPOG40B><1^<"GPYDQ\@+SXAEK$YRZ]IF-V[QE(AV^XI\QAEP0-QZRDWL[^MYG@N.K\WWJSWGOT=#GPNLW\5ZRHW=$^SYMC/H]LQQTBO'_THB[[5c9V4*OXQLYTH#[PIMC,QZRUAN%^SYM I^VPATYIMX8%YRZL_DSVEQOHF&CT__B[_OG\5+OXFD_T=RZ@_5,V[QEK'`NDYY;8;T2,MZ_B[VN%YRGCA.W\PWO@'\U_O"GPTRGR[KCV:'_TXNQJQTCWMJH(AVYY@YQAE^3-MZHJ]V;TXBQ;.T]WVLAV;o1^<"GPYDQ\@+SXAEK$YRZ]IF-V[QE(AV^XI\QAEP0-QZRDWL[^MYG@N.kWVLA@M[8>7X> I^[FWZB)]VCGM"[PTSKD+PYSK&cHM]ZZRD0:?P6(AVSN_RJ!U^KOE*SX\[CL#XQ[C.k@BBYCI]K7<3=k;T2,MZ_B[VN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%BS@MPNN,V[KIXEJUYIJ=8;T2,MZ_B[VN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ DNWW[qkwim1^<"GPYDQ\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q;Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPYDQ\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q:Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPYDQ\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPYDQ\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q8Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPYDQ\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q?Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPYDQ\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q>Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPYDQ\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q=Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPYDQ\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8QR.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q;Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]6U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXQLYTH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y1Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\]@UXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U<]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPYDQ\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q?Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]2U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXQLYTH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y5Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\]@UXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U8]/K\MKYUIGMN"XQHAOF\@I_599n0Y=!F_XGP[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\8T$^SJOAD^QQHZUKAJOTBBQ]PL-J[LUX\LXFECO[INL\WIODMVDN<#GPURG\KPRW]]UYIJQAE1,V[JTBOo20Y=!F_XGP[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\8T$^SJOAD^QQHZUKAJOTBBQ]PL-J[LUX\LXFECO[INL\WIODMVDN<#[PMTZE`a=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZB`a=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZA`a=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZ@`a=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZG`a=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNB`a=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNA`a=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSN@`a=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNGa6=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;87o87X> I^[FWZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S9W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1?1e29V4*OXQLYTH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU?]/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?6;c53\:$ERWJS^F-QZOKI&_TX_GH/T]WI*AXFD_P

6[?/H]ZAVYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR:V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1?1e39V4*OXQLYTH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU?]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPln>1:`4<]9%BSTK\_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX4X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;;7o97X> I^[FWZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S9W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd090j3:W3+LY^MZUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV>R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>3:`5<]9%BSTK\_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX4X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck484n?6[?/H]ZAVYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR:V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2=>d18Q5)NWPOXSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT0\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo868?82_;#DQVER]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;872;7X> I^[FWZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86:25>4U1-J[\CTWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1<1819V4*OXQLYTH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><2<;4>S7'@URI^QK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?0;>73\:$ERWJS^F-QZOKI&_TX_GH/T]WI*AXFD_P

2:=6<]9%BSTK\_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6949091^<"GPYDQ\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54:43<6[?/H]ZAVYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87836?;T2,MZ_B[VN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2:>928Q5)NWPOXSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=4=<5=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{18283?2_;#DQVER]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UD^HI!I^[FWZIUMN$^SB\JG208Q5)NWPOXSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"j`uu]wiu453\:$ERWJS^F-QZOKI&_TX_GH/upjcFiil@d9?6[?/H]ZAVYC&\UB@L!Z_UPJC*ruanIdbiAzt078Q5)NWPOXSI Z_HNB+lGUE830Y=!F_XGP[A(RW@FJ#dMNPUWQA4g<]9%BSTK\_E,V[LJF'`IJ\RJ@ND07?P6(AVSN_RJ!U^KOE*oDIYUEY@Q\ALROAV:76;?0Y=!F_XGP[A(RW@FJ#dMNP^LVIZUFEYFN_1??>378Q5)NWPOXSI Z_HNB+lEFXVD^AR]NMQNFW9766;?0Y=!F_XGP[A(RW@FJ#dMNP^LVIZUFEYFN_1?=>368Q5)NWPOXSI Z_HNB+lEFXVD^AR]NMQNFW979:=1^<"GPYDQ\@+SXAEK$eNO__OWN[VGJXEOX0?0=4:W3+LY^MZUO"XQFL@-jGDVXF\GT_LC_LDQ?7;433\:$ERWJS^F-QZOKI&cHM]QAUL]PEHVKMZ6?2?:4U1-J[\CTWM$^SDBN/hABTZHREVYJA]BJS=7=61=R8&CTUH]PD/W\MIG(aJK[SC[B_RCNTICT4?4986[?/H]ZAVYC&\UB@L!fC@R\JPKX[HG[@H]37?07?P6(AVSN_RJ!U^KOE*oDIYUEY@Q\ALROAV:?6;>0Y=!F_XGP[A(RW@FJ#dMNP^LVIZUFEYFN_1711d9V4*OXQLYTH#[PIMC,mFGWWZ^JXH2?>0g8Q5)NWPOXSI Z_HNB+lEFXVY_MYK31?02?P6(AVSN_RJ!U^KOE*oDIYU_^DIHES]LQQ473\:$ERWJS^F-QZOKI&cHM]QXR^CG@W:76;;0Y=!F_XGP[A(RW@FJ#dMNP^UQ[DBCZ5;;2??4U1-J[\CTWM$^SDBN/hABTZQUWHNO^1?>>338Q5)NWPOXSI Z_HNB+lEFXV]YSLJKR=31:76<]9%BSTK\_E,V[LJF'`IJ\RY]_@FGV979:91^<"GPYDQ\@+SXAEK$eNO__VP\EABU4;49<6[?/H]ZAVYC&\UB@L!fC@R\SWYFLMX7?3328Q5)NWPOXSI Z_HNB+lEFXV]YSLJKR=7=65=R8&CTUH]PD/W\MIG(aJK[SZ\PAEFQ838582_;#DQVER]G*PYNDH%bOL^PWS]B@AT;?78;7X> I^[FWZB)]VCGM"gLAQ]TVZGCL[632?>4U1-J[\CTWM$^SDBN/hABTZQUWHNO^1711c9V4*OXQLYTH#[PIMC,mFGWW^XTIC?6;T2,MZ_B[VN%YRGCA.kGEQG;87;j7X> I^[FWZB)]VCGM"gKAUC?5586i2_;#DQVER]G*PYNDH%bHLZN<03=5d=R8&CTUH]PD/W\MIG(aMK_M1?=>0c8Q5)NWPOXSI Z_HNB+lBF\H6:?3?n;T2,MZ_B[VN%YRGCA.kGEQG;9=4:m6[?/H]ZAVYC&\UB@L!fD@VB84399h1^<"GPYDQ\@+SXAEK$eIO[A=35:4g<]9%BSTK\_E,V[LJF'`NJXL2>7?3b?P6(AVSN_RJ!U^KOE*oCI]K7=50>a:W3+LY^MZUO"XQFL@-j@DRF4835=45Z0.K\]@UXL'_TEAO iECWE9799h1^<"GPYDQ\@+SXAEK$eIO[A=03:4g<]9%BSTK\_E,V[LJF'`NJXL2=1?3b?P6(AVSN_RJ!U^KOE*oCI]K7>?0>a:W3+LY^MZUO"XQFL@-j@DRF4;95=l5Z0.K\]@UXL'_TEAO iECWE94368k0Y=!F_XGP[A(RW@FJ#dJNT@>11;7f3\:$ERWJS^F-QZOKI&cOMYO327<2e>S7'@URI^QK.T]JHD)nLH^J0?911`9V4*OXQLYTH#[PIMC,mAGSI5832 I^[FWZB)]VCGM"gKAUC?6;7f3\:$ERWJS^F-QZOKI&cOMYO331<2e>S7'@URI^QK.T]JHD)nLH^J0>?11`9V4*OXQLYTH#[PIMC,mAGSI5992 I^[FWZB)]VCGM"gKAUC?7186i2_;#DQVER]G*PYNDH%bHLZN<27=5d=R8&CTUH]PD/W\MIG(aMK_M1=9>0c8Q5)NWPOXSI Z_HNB+lBF\H68;3?n;T2,MZ_B[VN%YRGCA.kGEQG;;14:m6[?/H]ZAVYC&\UB@L!fD@VB86?9901^<"GPYDQ\@+SXAEK$eIO[A=1=5d=R8&CTUH]PD/W\MIG(aMK_M1:?>0c8Q5)NWPOXSI Z_HNB+lBF\H6?=3?n;T2,MZ_B[VN%YRGCA.kGEQG;<;4:m6[?/H]ZAVYC&\UB@L!fD@VB81599h1^<"GPYDQ\@+SXAEK$eIO[A=67:4g<]9%BSTK\_E,V[LJF'`NJXL2;5?3b?P6(AVSN_RJ!U^KOE*oCI]K78;0>a:W3+LY^MZUO"XQFL@-j@DRF4==5=l5Z0.K\]@UXL'_TEAO iECWE92?68k0Y=!F_XGP[A(RW@FJ#dJNT@>7=;7>3\:$ERWJS^F-QZOKI&cOMYO34?3b?P6(AVSN_RJ!U^KOE*oCI]K79=0>a:W3+LY^MZUO"XQFL@-j@DRF4<;5=l5Z0.K\]@UXL'_TEAO iECWE93568k0Y=!F_XGP[A(RW@FJ#dJNT@>67;7f3\:$ERWJS^F-QZOKI&cOMYO355<2e>S7'@URI^QK.T]JHD)nLH^J08;11`9V4*OXQLYTH#[PIMC,mAGSI5?=2 I^[FWZB)]VCGM"gKAUC?1=86i2_;#DQVER]G*PYNDH%bHLZN<4;=5<=R8&CTUH]PD/W\MIG(aMK_M1;11`9V4*OXQLYTH#[PIMC,mAGSI5<;2 I^[FWZB)]VCGM"gKAUC?2786i2_;#DQVER]G*PYNDH%bHLZN<71=5<=R8&CTUH]PD/W\MIG(aMK_M181189V4*OXQLYTH#[PIMC,mAGSI5=5=45Z0.K\]@UXL'_TEAO iECWE9>9901^<"GPYDQ\@+SXAEK$eIO[A=;=5g=R8&CTUH]PD/W\MIG(aMK_MRJ@UU3b?P6(AVSN_RJ!U^KOE*oUMZO_0=0>a:W3+LY^MZUO"XQFL@-jV@UB\5;5=l5Z0.K\]@UXL'_TEAO iSGPAQ:568k0Y=!F_XGP[A(RW@FJ#d\JSDV?7;7f3\:$ERWJS^F-QZOKI&cYI^K[<5<2e>S7'@URI^QK.T]JHD)nZLYNX1;11`9V4*OXQLYTH#[PIMC,mWCTM]6=2 I^[FWZB)]VCGM"g\T@V\@JSS901^<"GPYDQ\@+SXAEK$eY\FGFGQf>S7'`NJXL2?>b9V4*oCI]K7==0l;T2,mAGSI5;:2n5Z0.kGEQG;9;4h7X> iECWE9746j1^<"gKAUC?518d3\:$eIO[A=36:f=R8&cOMYO317<`?P6(aMK_M1?8>b9V4*oCI]K7=50l;T2,mAGSI5;22o5Z0.kGEQG;97i0Y=!fD@VB8769k2_;#dJNT@>15;e<]9%bHLZN<30=g>S7'`NJXL2=3?a8Q5)nLH^J0?:1c:W3+lBF\H6993m4U1-j@DRF4;<5o6[?/hFBPD:5?7i0Y=!fD@VB87>9k2_;#dJNT@>1=;d<]9%bHLZN<3<`?P6(aMK_M1=?>b9V4*oCI]K7?<0l;T2,mAGSI5992n5Z0.kGEQG;;:4h7X> iECWE9536j1^<"gKAUC?708d3\:$eIO[A=15:f=R8&cOMYO336<`?P6(aMK_M1=7>b9V4*oCI]K7?40m;T2,mAGSI595o6[?/hFBPD:387i0Y=!fD@VB8179k2_;#dJNT@>76;e<]9%bHLZN<51=g>S7'`NJXL2;4?a8Q5)nLH^J09;1c:W3+lBF\H6?:3m4U1-j@DRF4==5o6[?/hFBPD:307i0Y=!fD@VB81?9j2_;#dJNT@>7:f=R8&cOMYO351<`?P6(aMK_M1;>>b9V4*oCI]K79?0l;T2,mAGSI5?82n5Z0.kGEQG;==4h7X> iECWE9326j1^<"gKAUC?138d3\:$eIO[A=74:f=R8&cOMYO359<`?P6(aMK_M1;6>c9V4*oCI]K793m4U1-j@DRF4?:5o6[?/hFBPD:197i0Y=!fD@VB8349k2_;#dJNT@>57;d<]9%bHLZN<77o0Y=!fTSKD[LH;?7i0Y^K]_WKPMGJB;2\HO45YIDU\P\VBk2]J^CGAG^MMH\0<_LK7<384WDC?5;0<_LK7>364WDC?7?69>2]NM1=19:UFERCK494i7ZKNWDN?5?6912]NMZKC<0<5?RCE494=7ZKM<0<5?RCE4;437ZKM<283:3=PMK68245XECUFQ969j2]NNZKZ<083:<=PMK]NY1?1b:UQMQCXN@XXXn5XRHVF[HICMVKh7Z\FTD]NKACXJ8n0TDBFNY/[@G&7&8*XXXL/0/3#EVENA<1SC_MV6:ZPPIOE?2RXXRIAD69[WQYQKJh0TRM@RD]JJCI13QniSDj>0:ZgiZKfbfx]i}foo33?]bjWDcecXjrrklj6=_{}90Uh}=b:]\[]JIEVUT.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O0&\UFYU?=8.Onq}YH91;=n6QP_YNMIZYX:VUTY=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M2(RWD_S=?6 Mlw{[J771?i0SRQWLOO\[Z5XWV_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K4*PYJ]Q;94"Cbuy]L5545<<1TSRVCNL]\[1YXW\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_TN_E?2g9\[Z^KFDUTS8QP_T2,MZ_B[VN%YRGCA.W\WQGS'\UXXLZLME0`?ZYXPEDFSRQ9_^]V4*OXQLYTH#[PIMC,QZTT\&_T\_][07`8[ZY_DGGTSR9P_^W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C<"XQBUY31<*Kj}qUD==<<4:]\[]JIEVUT4RQPU1-J[\CTWM$^SDBN/T]PPDR(]VKYARicud]paqd4ar{mgZruigymiQ>119bw|hdW}xjb~hjr^024>gtqgiTxoasggq[6753k8;6l=01]`llvtkfznSdbn,bjjtvehxlUb`lQy1^26[d*IGGO'BB@J135g?g478Vice}}loqg\mig+kac{nae^koeZp6W9?Tm!ul_yal[iot|4Ida}aaeov\Jdkb5k8;5>KfpVYn}xkacd]Oasck{4;0Y~kPRQOp9cgk{l1Nbllce^VqmbabzVE~x}{{_Qmqp8`fdzo0_lcld]Lj8Tn{`dl7^obpmg\@drfWMo{xe371808AkgedlUXxb|ngd]Rqdjnn`ijxdaa=upva>Bf|hUXm`kPAr]Wvla`m{7mma}j;EcweZVhz}U\eizg=768Pwo`olxT\b|{_VkgpmY6511Fmymg_TljpvY6581Hcx`{es]TmaroW87Oe~omldf8Idrd`V^r|hQ>=ccpmfYpa}bTiiijs:G{giscmVLyc`QKauc\WqiuinoT=0z}ud9Wvla`m{U[czPWhfwlZ4:02GjxnfPUokwwZ4:92Idyczjr^Uj`qnX:4Nbllcee9NeqeoW]s{iR<2b`qjgZqn|aUnhjk|;Dz`hpbbWOxdaRJnt`]PpjtfolU91y|ze:VqmbabzVZd~yQXievk[6;?3DkoeQZnhvp[6;63Je~byk}_VkgpmY45Mcxmobjd:ObpfnX\pznS>3mark`[ros`Vookh}4EyaoqacXN{efSIo{a^Qwkwg`mV96x{j;UpjcbcuWYeyxRYfduj\08>1oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX=4~yyh5[rhedawYWg{~T[dj{h^4><>Kf|jbTYcg{s^4>5>Eh}g~n~RYfduj\28Bn{hhgii5Bauak[QwmV<6nl}fc^ujpmYblnox7Hvlltff[CtheVNjxlQ\tnpbc`Y15}x~i6Z}ifefvZVhz}U\eizg_6?;?HgskaU^bdz|_6?2?Firf}oySZgkti]49Aotikfnh6Cntbj\P|vbW>7im~gl_vkwlZccoly0Iumcueg\BwijWMkmR]{oscdaZ1:|{n7Y|fgfgq[Uiu|V]bhyfP8<:8Idrd`V_eey}P8<38Gjsi|lxT[dj{h^:>@lufjeoo7@o{ci]W}ucX04hjdmPwhvk[`b`mz1Ntnbzdd]EvjkXLh~jS^z`r`ef[=;sz|o0Xghgdp\TjtsW^coxeQ6=99NeqeoW\dbx~Q6=09@kphsm{U\eizg_8?Gmvgedln0Alzlh^Vzt`Y>5kkxenQxiuj\aaab{2Osoa{ke^DqkhYCi}kT_ya}afg\=8ru}l1_~dihes]SkwrX_`ndR??=99NeqeoW\dbx~Q>0<38Gjsi|lxT[dj{h^339Aotikfnh6Cntbj\P|vbW8:6nl}fc^ujpmYblnox7Hvlltff[CtheVNjxlQ\tnpbc`Y684~yyh5[rhedawYWg{~T[dj{h^329==Ji}icSX`ftr]2587Kf|jbTXt~j_00>fdunkV}bxeQjdfgp?@~dd|nnSK|`m^FbpdYT|fxjkhQ>24<38Gjsi|lxT[dj{h^379Aotikfnh6Cntbj\P|vbW8>6nl}fc^ujpmYblnox7Hvlltff[CtheVNjxlQ\tnpbc`Y6<4~yyh5[rhedawYWg{~T[dj{h^369==Ji}icSX`ftr]21874;0Ob{atdp\Slbs`V;=1Ig|acnf`>Kf|jbTXt~j_04>fdunkV}bxeQjdfgp?@~dd|nnSK|`m^FbpdYT|fxjkhQ>6!mPmge\ma;6$jUfmga}_wgqwlii58>'oRcfnnp\r`ttafd68!mPbtko`Ztb~`ybcc3?,b]`kwcXeh`d~Rxjr<3/gZehzlUfeca}_wgq91*dWjeyiRcfnnp\eivoiV|n~078,b]qehYsqyo6=!mPssn22Zr~xl79 nQzsd]jjsYddb7; nQzsd]qth;7$jU~hQ{rhe\kpr:8%iTy~kPaua\gimnf4:'oR{|e^fbpd;6$jU~hQ{rhe394*dW|ynSy|fg0?3(fYr{lU~di==1.`[pubW}xbk>3?,b]vw`Ysz`m?1="l_tqf[qtno<7; nQzsd]wvla159&hSx}j_upjc2;7$jU~hQ{rhe;95*dW|ynSy|fg8?3(fYr{lU~di>0<2/gZstmV~yej?>=1.`[pubW}xbk<<20-a\qvcX|{cl=>3?,b]vw`Ysz`m:80>#c^wpaZruan;>1="l_tqf[qtnomkm=3?,b]vw`Ysz`momyo>=1.`[pubW}xbkio{a3?3(fYr{lU~dikauc095*dW|ynSy|fgecwe1;7$jU~hQ{rhegeqg259&hSx}j_upjcagsi?7; nQzsd]wvlaci}k<1="l_tqf[qtnomkm53?,b]vw`Ysz`momyo6=1.`[pubW}xbkio{a02>4)eX}zoTxghd`vb54;7$jU~hQ{rhegeqg6:4:'oR{|e^vqmbbf|h;81="l_tqf[qtnomkm<:20-a\qvcX|{clhlzn14?3(fYci}kT{dj{h<47(fYci}kThh~{h<:2=7*dW|ynSjo=1.`[qojmz~ja}Q{yqg>4)eX|`gnyobp^uj`qn:;;&hSygbervbiuYcmy~c18?=,b]vw`Yt|fxjkhQ~u`n>5)eX|zozSywe<2/gZhreV~xi|Qlnu?3(fYs{l{Tocz>_vkgpm;6$jUhPcov3[roc|a7: nQaul]ww`wX{}ki~3>,b]vw`YskVghby3?,b]wgZkdf}U|eizg=0.`[`~sWjk{So{e^ol``;7$jUntyQlaq]smkYjgmo6,b]n4Zrwam7; nQb1^vsma;6$jUf>Rzie?1(fYj;V~{ei3<,b]n0Zrwam7? nQb5^vsma;2$jUf:Rzie?5(fYj?V~{ei38,b]n$jUf==Q{phf>55*dWd;:Sy~fd<32(fYj9;U|dj213.`[h74W}zbh0?<,b]n51Ysx`n6=9"l_l36[qvnl4;> nQb0^vzt`;6$jUf=Rzvpd?3(fYj:V~r|h3?,b]n7Zr~xl7; nQb4^vzt`;7$jUf9Rzvpd?3(fYj>V~r|h3?,b]n3Zr~xl7; nQb8^vzt`;7$jUf5Rzvpd?3(fYj99Uu}k20-a\i47X|pzn1="l_l31[qwm4:'oRc>3^vzt`;7$jUf=9Q{yqg>4)eXe8?Txt~j=1.`[pubWdiex=3?,b]vw`Yjkg~:1="l_tqf[hei|;7; nQzsd]ngkr459&hSx}j_lamp1;7$jU~hQbcov695*dW|ynS`mat7?3(fYr{lUfocz8=1.`[pubWdiex53?,b]vw`Yjkg~21="l_tqf[hei|8:6_vkgpm;6$jUfocz=_vkgpm;6$jUfocz<_vkgpm;6$jUfocz;_vkgpm;6$jUfocz:_vkgpm;6$jUfocz9_vkgpm;6$jUfocz8_vkgpm;6$jUfocz7_vkgpm;6$jUfocz6_vkgpm;6$jUfocz>0^uj`qn:9%iTan`{10]tmaro58&hS`mat00\slbs`4;'oRclnu30[roc|a7: nQbcov20Zqnl}b6=!mPmblw50Ypam~c1<"l_bmmwqtfag~Txt~j=dzwawhfd%iTiuobpmg\``unog7mma}j,|f8gmow{je{iRgca238gmow{je{iRgca^t2[53Xi%*Seagax!ALV@&@mgoymya} 06-0<=dg|diQ>9:alqkrbzV827naznugq[6`V;h7n}Pcgeoqq0X:h1hRmigmww34detWnkehR}}l028gvY`ignTbPs7]255=d{VmjbiQ|rm]p2Z46<2ixSjoad^qqhZu1WzoSetWe:45ls^ov|44??2ixS`{w299`wZkrp?><7n}Pmtz;<>etWdsS<64cr]nq}Y502ixS`{w_2:8gvYj}qU?n6m|_sgdmvrbz:1oh`j4eeef[acsmj~d~k5fl`]`euYflmxlic?=;hnb[fgwWj~y`Ri=_rse?ljfWjk{S~z`r`ef<>okiVid~h74imc\idrd`8;0eaoPm`v`lZehekceik5fl`]neqeoWjefnbk4imc\idrd`Vmjbih4imc\idrd`Vmjbivj;hnb[wctm}Uhxbn;hnb[vrf||y:<6gca^vqefcXj|lmik4imc\pwo`Wdkoem4imc\pwo`kfdo==5fl`]wvladggnT`xzm;hnb[qtnonoy>6``139svjauidgjnakPddnb}47<6ab82026|f08i6<5a93a92>">:h02>95rS8;9=7b=:1h1=>==9`a951123m38i7>51;3xWf6=1;n1>5l51211=de=9==;7{Z=a683>4<62:3=w^m?:80g>7>e2898>4ol:0644>">8o09;<5+29a9=2?>rB2i1?v=<:2796=<5a3-hm64:?;%0b0?6<,;k8645<#:1o1jh5a29f94>=nnm0;6)<7e;df?k4?l3;07dhl:18'6=c=nl1e>5j52:9jbg<72-83i7hj;o0;`?5<3`l26=4+29g9b`=i:1n1865ff983>!4?m3ln7c<7d;78?l`0290/>5k5fd9m6=b=>21bj;4?:%0;a?`b3g83h794;hd6>5<#:1o1jh5a29f9<>=nn=0;6)<7e;df?k4?l3307dh<:18'6=c=nl1e>5j5a:9jb7<72-83i7hj;o0;`?d<3`l:6=4+29g9b`=i:1n1o65ff183>!4?m3ln7c<7d;f8?lcb290/>5k5fd9m6=b=m21bii4?:%0;a?`b3g83h7h4;hg`>5<#:1o1jh5a29f955=h50m0:=65fe`83>!4?m3ln7c<7d;31?>ob13:1(?6j:gg8j7>c28907dk7:18'6=c=nl1e>5j51598m`1=83.94h4ie:l141<3`o86=4+29g9b`=i:1n1=554id094?"50l0mi6`=8e82=>=nm80;6)<7e;df?k4?l3;j76gj0;29 7>b2oo0b?6k:0`8?lba290/>5k5fd9m6=b=9j10eik50;&1<`d:9j`a<72-83i7hj;o0;`?7b32coo7>5$3:f>cc5<#:1o1jh5a29f965=h50m09=65fd983>!4?m3ln7c<7d;01?>oc?3:1(?6j:gg8j7>c2;907dj9:18'6=c=nl1e>5j52598ma3=83.94h4ie:l171<3`n96=4+29g9b`=i:1n1>554ie394?"50l0mi6`=8e81=>=nl90;6)<7e;df?k4?l38j76glf;29 7>b2oo0b?6k:3`8?lec290/>5k5fd9m6=b=:j10enm50;&1<`5$3:f>cc5<#:1o1jh5a29f975=h50m08=65fc683>!4?m3ln7c<7d;11?>od>3:1(?6j:gg8j7>c2:907dm::18'6=c=nl1e>5j53598mf2=83.94h4ie:l16d<3`o?6=4+29g9b`=i:1n1?n54ie;94?"50l0mi6`=8e80`>=nkl0;6)<7e;df?k4?l39n76gl3;29 7>b2oo0b?6k:2d8?l4ei3:1(?6j:3`:?k4?l3:07db2;h27c<7d;38?l4e?3:1(?6j:3`:?k4?l3807db2;h27c<7d;18?l4e=3:1(?6j:3`:?k4?l3>07db2;h27c<7d;78?l4e;3:1(?6j:3`:?k4?l3<07db2;h27c<7d;58?.d0290/>5k5b79m6=b=821 n84?:%0;a?d13g83h7?4;*`7>5<#:1o1n;5a29f96>=,j:0;6)<7e;`5?k4?l3907&l=:18'6=c=j?1e>5j54:9(f4<72-83i7l9;o0;`?3<3"km6=4+29g9f3=i:1n1:65$ad83>!4?m3h=7c<7d;58?.gc290/>5k5b79m6=b=021 mn4?:%0;a?d13g83h774;*ca>5<#:1o1n;5a29f9e>=,ih0;6)<7e;`5?k4?l3h07&o6:18'6=c=j?1e>5j5c:9(e=<72-83i7l9;o0;`?b<3"k<6=4+29g9f3=i:1n1i65$a783>!4?m3h=7c<7d;d8?.g3290/>5k5b79m6=b=9910'l=50;&1<`2d94i4>1:9(e7<72-83i7l9;o0;`?7532!j=7>5$3:f>g05<#:1o1n;5a29f951=<#0l1<7*=8d8a2>h50m0:965$9d83>!4?m3h=7c<7d;35?>->l3:1(?6j:c48j7>c28=07&7l:18'6=c=j?1e>5j51998/4d<3"hh6=4+29g9f3=i:1n1=n54+c`94?"50l0i:6`=8e82`>=,jh0;6)<7e;`5?k4?l3;n76%m9;29 7>b2k<0b?6k:0d8?.d7290/>5k5b79m6=b=:810'l;50;&1<`2d94i4=2:9(=d<72-83i7l9;o0;`?4432c3>7>5;*`;>5<#:1o1n;5a29f965=:183!4ej33;i6F6279K=5bk;n023?6=3th8o84?:683>5}#:kh1>:94H805?M?7l2.94446769'67>=?2c9=l4?::k15g<722c9=n4?::k15a<722c9=h4?::k15c<722e9454?::a7c?=8391<7>t$3`a>7143A39:6F60e9'6=?=1>=0(?<7:0d1?!4?n338:6g=1`83>>o59k0;66a=8983>>{e;j<1<7<50;2x 7de2;=97E7=6:J:4a=#:1315:94$30;>4e5<53;294~"5jk09;>5G9348L<6c3-8357787:&16=<4<2c9=l4?::k15g<722e9454?::a<47=8331<7>t$3`a>7ge3A39:6F60e9'6=?=1>=0(?<7:39j72<722c847>5;h1:>5<>o?:3:17dm>:188k7?52900c?7<:188yg>6>3:157>50z&1fg<5ik1C5?84H82g?!4?133<;6*=2981?l502900e>650;9j7<<722c8m7>5;h5e>5<>i51;0;66a=9283>>{e09l1<7<50;2x 7de2;=97E7=6:J:4a=#:1315:94$30;>475<7>54;294~"5jk09;95G9348L<6c3-8357787:&16=<0m2.94k46369j64g=831b>5650;9~f167290>6=4?{%0af?4712B2>;5G91f8 7>>20=<7)<=8;38m2b=831b;k4?::k`5?6=3`8297>5;n0:6?6=3th8jk4?:483>5}#:kh1>=74H805?M?7l2.94446769'67>=92c5;h5e>5<5<2290;w)N>:?1C5=j4$3::><103-8947?4i6f94?=n?o0;66gl1;29?l4>=3:17b<62;29?xd4nm0;684?:1y'6gd=:930D4<9;I;3`>"50002;:5+23:95>o0l3:17d9i:188mf7=831b>4;50;9l6<4=831vn>hl:186>5<7s-8in75;h0:1?6=3f82>7>5;|`0bg<72<0;6=u+2c`965?<@08=7E7?d:&1<<<>?>1/>?651:k4`?6=3`=m6=44ib394?=n:0?1<75`28094?=zj:lj6=4::183!4ej38;56F6279K=5b<,;226498;%01n1<75f7g83>>od93:17d<65;29?j4>:3:17pl71683>1<729q/>ol521:8L<413A3;h6*=888:32=#:;21=6g8d;29?le62900e?7::188k7?52900qo6>2;290?6=8r.9no4=099K=70<@0:o7)<79;;43>"5:10:7d9k:188mf7=831b>4;50;9l6<4=831vn5?;:187>5<7s-8in77;I;12>N>8m1/>5759658 74?281b;i4?::k`5?6=3`8297>5;n0:6?6=3th3==4?:583>5}#:kh1>=64H805?M?7l2.94446769'67>=92c5;ha2>5<6=44o3;1>5<54;294~"5jk095;ha2>5<5<54;294~"5jk09<55G9348L<6c3-8357787:&16=<63`=o6=44ib394?=n:0?1<75`28094?=zj:=j6=48:183!4ej38j56F6279K=5b<,;226498;%01>o413:17d=n:188m=4=831bo<4?::m1=7<722wi?:650;594?6|,;hi6?o6;I;12>N>8m1/>5759658 74?2;1b?:4?::k0:3:17pl<7783>2<729q/>ol52`;8L<413A3;h6*=888:32=#:;21>6g<7;29?l5?2900e>750;9j7d<722c3>7>5;ha2>5<k;%0;=??0?2.9>54=;h14>5<>o4i3:17d6=:188mf7=831d>4<50;9~f617290<6=4?{%0af?4f12B2>;5G91f8 7>>20=<7)<=8;08m61=831b?54?::k0=?6=3`9j6=44i9094?=nk80;66a=9383>>{e;?o1<7950;2x 7de2;k27E7=6:J:4a=#:1315:94$30;>7=n;>0;66g<8;29?l5>2900e>o50;9j<7<722ch=7>5;n0:6?6=3th8:n4?:683>5}#:kh1>l74H805?M?7l2.94446769'67>=:2c8;7>5;h1;>5<>o?:3:17dm>:188k7?52900qo=9a;293?6=8r.9no4=a89K=70<@0:o7)<79;;43>"5:1097d=8:188m6>=831b?44?::k0e?6=3`296=44ib394?=h:081<75rb24;>5<0290;w)N>:?1C5=j4$3::><103-8947<4i2594?=n;10;66g<9;29?l5f2900e5<50;9jg4<722e95?4?::a730=83=1<7>t$3`a>7g>3A39:6F60e9'6=?=1>=0(?<7:39j72<722c847>5;h1:>5<>od93:17b<62;29?xd4>=0;6:4?:1y'6gd=:h30D4<9;I;3`>"50002;:5+23:96>o4?3:17d=7:188m6?=831b?l4?::k;6?6=3`i:6=44o3;1>5<7>57;294~"5jk09m45G9348L<6c3-8357787:&16=<53`9<6=44i2:94?=n;00;66g52900en?50;9l6<4=831vn>8?:184>5<7s-8in7950;9j7=<722c857>5;h1b>5<>i51;0;66sm34g94?1=83:p(?lm:3c:?M?5>2B2>o403:17d=6:188m6g=831b4?4?::k`5?6=3f82>7>5;|`01d<72>0;6=u+2c`96d?<@08=7E7?d:&1<<<>?>1/>?652:k03?6=3`936=44i2;94?=n;h0;66g72;29?le62900c?7=:188yg5203:1;7>50z&1fg<5i01C5?84H82g?!4?133<;6*=2981?l502900e>650;9j7<<722c8m7>5;h:1>5<>o413:17d=n:188m=4=831bo<4?::m1=7<722wi?8:50;594?6|,;hi6?o6;I;12>N>8m1/>5759658 74?2;1b?:4?::k0:3:17pl<5383>2<729q/>ol52`;8L<413A3;h6*=888:32=#:;21>6g<7;29?l5?2900e>750;9j7d<722c3>7>5;ha2>5<k;%0;=??0?2.9>54=;h14>5<>o4i3:17d6=:188mf7=831d>4<50;9~f62b290<6=4?{%0af?4f12B2>;5G91f8 7>>20=<7)<=8;08m61=831b?54?::k0=?6=3`9j6=44i9094?=nk80;66a=9383>>{e;=i1<7950;2x 7de2;k27E7=6:J:4a=#:1315:94$30;>7=n;>0;66g<8;29?l5>2900e>o50;9j<7<722ch=7>5;n0:6?6=3th88l4?:683>5}#:kh1>l74H805?M?7l2.94446769'67>=:2c8;7>5;h1;>5<>o?:3:17dm>:188k7?52900qo=;8;293?6=8r.9no4=a89K=70<@0:o7)<79;;43>"5:1097d=8:188m6>=831b?44?::k0e?6=3`296=44ib394?=h:081<75rb267>5<0290;w)N>:?1C5=j4$3::><103-8947<4i2594?=n;10;66g<9;29?l5f2900e5<50;9jg4<722e95?4?::a714=83=1<7>t$3`a>7g>3A39:6F60e9'6=?=1>=0(?<7:39j72<722c847>5;h1:>5<>od93:17b<62;29?xd4<90;6:4?:1y'6gd=:h30D4<9;I;3`>"50002;:5+23:96>o4?3:17d=7:188m6?=831b?l4?::k;6?6=3`i:6=44o3;1>5<57;294~"5jk09m45G9348L<6c3-8357787:&16=<53`9<6=44i2:94?=n;00;66g52900en?50;9l6<4=831vn>=l:184>5<7s-8in7950;9j7=<722c857>5;h1b>5<>i51;0;66sm32c94?1=83:p(?lm:3c:?M?5>2B2>o403:17d=6:188m6g=831b4?4?::k`5?6=3f82>7>5;|`07=<72>0;6=u+2c`96d?<@08=7E7?d:&1<<<>?>1/>?652:k03?6=3`936=44i2;94?=n;h0;66g72;29?le62900c?7=:188yg54>3:1;7>50z&1fg<5i01C5?84H82g?!4?133<;6*=2981?l502900e>650;9j7<<722c8m7>5;h:1>5<>o413:17d=n:188m=4=831bo<4?::m1=7<722wi?><50;594?6|,;hi6?o6;I;12>N>8m1/>5759658 74?2;1b?:4?::k0:3:17pl<2d83>2<729q/>ol52`;8L<413A3;h6*=888:32=#:;21>6g<7;29?l5?2900e>750;9j7d<722c3>7>5;ha2>5<k;%0;=??0?2.9>54=;h14>5<>o4i3:17d6=:188mf7=831d>4<50;9~f64f290<6=4?{%0af?4f12B2>;5G91f8 7>>20=<7)<=8;08m61=831b?54?::k0=?6=3`9j6=44i9094?=nk80;66a=9383>>{e;;21<7950;2x 7de2;k27E7=6:J:4a=#:1315:94$30;>7=n;>0;66g<8;29?l5>2900e>o50;9j<7<722ch=7>5;n0:6?6=3th8>;4?:683>5}#:kh1>l74H805?M?7l2.94446769'67>=:2c8;7>5;h1;>5<>o?:3:17dm>:188k7?52900qo==4;293?6=8r.9no4=a89K=70<@0:o7)<79;;43>"5:1097d=8:188m6>=831b?44?::k0e?6=3`296=44ib394?=h:081<75rb201>5<0290;w)N>:?1C5=j4$3::><103-8947<4i2594?=n;10;66g<9;29?l5f2900e5<50;9jg4<722e95?4?::a776=83=1<7>t$3`a>7g>3A39:6F60e9'6=?=1>=0(?<7:39j72<722c847>5;h1:>5<>od93:17b<62;29?xd49l0;6:4?:1y'6gd=:h30D4<9;I;3`>"50002;:5+23:96>o4?3:17d=7:188m6?=831b?l4?::k;6?6=3`i:6=44o3;1>5<57;294~"5jk09m45G9348L<6c3-8357787:&16=<53`9<6=44i2:94?=n;00;66g52900en?50;9l6<4=831vn>?7:184>5<7s-8in7950;9j7=<722c857>5;h1b>5<>i51;0;66sm30494?1=83:p(?lm:3c:?M?5>2B2>o403:17d=6:188m6g=831b4?4?::k`5?6=3f82>7>5;|`051<72>0;6=u+2c`96d?<@08=7E7?d:&1<<<>?>1/>?652:k03?6=3`936=44i2;94?=n;h0;66g72;29?le62900c?7=:188yg56:3:1;7>50z&1fg<5i01C5?84H82g?!4?133<;6*=2981?l502900e>650;9j7<<722c8m7>5;h:1>5<>o413:17d=n:188m=4=831bo<4?::m1=7<722wi?=k50;594?6|,;hi6?o6;I;12>N>8m1/>5759658 74?2;1b?:4?::k0:3:17pl<0b83>2<729q/>ol52`;8L<413A3;h6*=888:32=#:;21>6g<7;29?l5?2900e>750;9j7d<722c3>7>5;ha2>5<k;%0;=??0?2.9>54=;h14>5<>o4i3:17d6=:188mf7=831d>4<50;9~f66?290<6=4?{%0af?4f12B2>;5G91f8 7>>20=<7)<=8;08m61=831b?54?::k0=?6=3`9j6=44i9094?=nk80;66a=9383>>{e;9<1<7950;2x 7de2;k27E7=6:J:4a=#:1315:94$30;>7=n;>0;66g<8;29?l5>2900e>o50;9j<7<722ch=7>5;n0:6?6=3th84?4?:683>5}#:kh1>l74H805?M?7l2.94446769'67>=:2c8;7>5;h1;>5<>o?:3:17dm>:188k7?52900qo=70;293?6=8r.9no4=a89K=70<@0:o7)<79;;43>"5:1097d=8:188m6>=831b?44?::k0e?6=3`296=44ib394?=h:081<75rb25f>5<0290;w)N>:?1C5=j4$3::><103-8947<4i2594?=n;10;66g<9;29?l5f2900e5<50;9jg4<722e95?4?::a72e=83=1<7>t$3`a>7g>3A39:6F60e9'6=?=1>=0(?<7:39j72<722c847>5;h1:>5<>od93:17b<62;29?xd4?;0;6:4?:1y'6gd=:h30D4<9;I;3`>"50002;:5+23:96>o4?3:17d=7:188m6?=831b?l4?::k;6?6=3`i:6=44o3;1>5<o7>57;294~"5jk09m45G9348L<6c3-8357787:&16=<53`9<6=44i2:94?=n;00;66g52900en?50;9l6<4=831vn>:9:184>5<7s-8in7950;9j7=<722c857>5;h1b>5<>i51;0;66sm32294?1=83:p(?lm:3c:?M?5>2B2>o403:17d=6:188m6g=831b4?4?::k`5?6=3f82>7>5;|`05d<72>0;6=u+2c`96d?<@08=7E7?d:&1<<<>?>1/>?652:k03?6=3`936=44i2;94?=n;h0;66g72;29?le62900c?7=:188yg57<3:1;7>50z&1fg<5i01C5?84H82g?!4?133<;6*=2981?l502900e>650;9j7<<722c8m7>5;h:1>5<n1<75fc083>>i51;0;66sm36;94?5=83:p(?lm:322?M?5>2B2>od93:17b<62;29?xd4?>0;6>4?:1y'6gd=:9;0D4<9;I;3`>"50002;:5+23:95>o0l3:17dm>:188k7?52900qo=85;297?6=8r.9no4=009K=70<@0:o7)<79;;43>"5:10:7d9k:188mf7=831d>4<50;9~f61629086=4?{%0af?4792B2>;5G91f8 7>>20=<7)<=8;38m2b=831bo<4?::m1=7<722wi?;h50;194?6|,;hi6?>>;I;12>N>8m1/>5759658 74?281b;i4?::k`5?6=3f82>7>5;|`02a<72:0;6=u+2c`9657<@08=7E7?d:&1<<<>?>1/>?651:k4`?6=3`i:6=44o3;1>5<53;294~"5jk09<<5G9348L<6c3-8357787:&16=<63`=o6=44ib394?=h:081<75rb24:>5<4290;w)N>:?1C5=j4$3::><103-8947?4i6f94?=nk80;66a=9383>>{e;?=1<7=50;2x 7de2;::7E7=6:J:4a=#:1315:94$30;>4=n?m0;66gl1;29?j4>:3:17pl<6483>6<729q/>ol52138L<413A3;h6*=888:32=#:;21=6g8d;29?le62900c?7=:188yg51;3:1?7>50z&1fg<5881C5?84H82g?!4?133<;6*=2982?l1c2900en?50;9l6<4=831vn>8>:180>5<7s-8in7t$3`a>7663A39:6F60e9'6=?=1>=0(?<7:09j3a<722ch=7>5;n0:6?6=3th89o4?:283>5}#:kh1>=?4H805?M?7l2.94446769'67>=92c5;ha2>5<k;%0;=??0?2.9>54>;h5g>5<n1<75fc083>>i51;0;66sm34794?5=83:p(?lm:322?M?5>2B2>od93:17b<62;29?xd4=:0;6>4?:1y'6gd=:9;0D4<9;I;3`>"50002;:5+23:95>o0l3:17dm>:188k7?52900qo=:1;297?6=8r.9no4=009K=70<@0:o7)<79;;43>"5:10:7d9k:188mf7=831d>4<50;9~f62a29086=4?{%0af?4792B2>;5G91f8 7>>20=<7)<=8;38m2b=831bo<4?::m1=7<722wi?9j50;194?6|,;hi6?>>;I;12>N>8m1/>5759658 74?281b;i4?::k`5?6=3f82>7>5;|`00g<72:0;6=u+2c`9657<@08=7E7?d:&1<<<>?>1/>?651:k4`?6=3`i:6=44o3;1>5<53;294~"5jk09<<5G9348L<6c3-8357787:&16=<63`=o6=44ib394?=h:081<75rb266>5<4290;w)N>:?1C5=j4$3::><103-8947?4i6f94?=nk80;66a=9383>>{e;=91<7=50;2x 7de2;::7E7=6:J:4a=#:1315:94$30;>4=n?m0;66gl1;29?j4>:3:17pl<4083>6<729q/>ol52138L<413A3;h6*=888:32=#:;21=6g8d;29?le62900c?7=:188yg54n3:1?7>50z&1fg<5881C5?84H82g?!4?133<;6*=2982?l1c2900en?50;9l6<4=831vn>=k:180>5<7s-8in7t$3`a>7663A39:6F60e9'6=?=1>=0(?<7:09j3a<722ch=7>5;n0:6?6=3th8?44?:283>5}#:kh1>=?4H805?M?7l2.94446769'67>=92c5;ha2>5<k;%0;=??0?2.9>54>;h5g>5<6=4<:183!4ej38;=6F6279K=5b<,;226498;%01n1<75fc083>>i51;0;66sm32194?5=83:p(?lm:322?M?5>2B2>od93:17b<62;29?xd4:o0;6>4?:1y'6gd=:9;0D4<9;I;3`>"50002;:5+23:95>o0l3:17dm>:188k7?52900qo==d;297?6=8r.9no4=009K=70<@0:o7)<79;;43>"5:10:7d9k:188mf7=831d>4<50;9~f64e29086=4?{%0af?4792B2>;5G91f8 7>>20=<7)<=8;38m2b=831bo<4?::m1=7<722wi??750;194?6|,;hi6?>>;I;12>N>8m1/>5759658 74?281b;i4?::k`5?6=3f82>7>5;|`062<72:0;6=u+2c`9657<@08=7E7?d:&1<<<>?>1/>?651:k4`?6=3`i:6=44o3;1>5<53;294~"5jk09<<5G9348L<6c3-8357787:&16=<63`=o6=44ib394?=h:081<75rb200>5<4290;w)N>:?1C5=j4$3::><103-8947?4i6f94?=nk80;66a=9383>>{e;;;1<7=50;2x 7de2;::7E7=6:J:4a=#:1315:94$30;>4=n?m0;66gl1;29?j4>:3:17pl<1g83>6<729q/>ol52138L<413A3;h6*=888:32=#:;21=6g8d;29?le62900c?7=:188yg56l3:1?7>50z&1fg<5881C5?84H82g?!4?133<;6*=2982?l1c2900en?50;9l6<4=831vn>?6:180>5<7s-8in7t$3`a>7663A39:6F60e9'6=?=1>=0(?<7:09j3a<722ch=7>5;n0:6?6=3th8=84?:283>5}#:kh1>=?4H805?M?7l2.94446769'67>=92c5;ha2>5<k;%0;=??0?2.9>54>;h5g>5<n1<75fc083>>i51;0;66sm31d94?5=83:p(?lm:322?M?5>2B2>od93:17b<62;29?xd48m0;6>4?:1y'6gd=:9;0D4<9;I;3`>"50002;:5+23:95>o0l3:17dm>:188k7?52900qo=?b;297?6=8r.9no4=009K=70<@0:o7)<79;;43>"5:10:7d9k:188mf7=831d>4<50;9~f66>29086=4?{%0af?4792B2>;5G91f8 7>>20=<7)<=8;38m2b=831bo<4?::m1=7<722wi?=950;194?6|,;hi6?>>;I;12>N>8m1/>5759658 74?281b;i4?::k`5?6=3f82>7>5;|`0<6<72:0;6=u+2c`9657<@08=7E7?d:&1<<<>?>1/>?651:k4`?6=3`i:6=44o3;1>5<53;294~"5jk09<<5G9348L<6c3-8357787:&16=<63`=o6=44ib394?=h:081<75rb25e>5<4290;w)N>:?1C5=j4$3::><103-8947?4i6f94?=nk80;66a=9383>>{e;>n1<7=50;2x 7de2;::7E7=6:J:4a=#:1315:94$30;>4=n?m0;66gl1;29?j4>:3:17pl<7283>6<729q/>ol52138L<413A3;h6*=888:32=#:;21=6g8d;29?le62900c?7=:188yg52l3:1?7>50z&1fg<5881C5?84H82g?!4?133<;6*=2982?l1c2900en?50;9l6<4=831vn>:8:180>5<7s-8in7t$3`a>7663A39:6F60e9'6=?=1>=0(?<7:09j3a<722ch=7>5;n0:6?6=3th8=o4?:283>5}#:kh1>=?4H805?M?7l2.94446769'67>=92c5;ha2>5<k;%0;=??0?2.9>54>;h5g>5<5<5<k;%0;=??0?2c3=7>5;h333?6=3`8j>7>5;n05b?6=3th3??4?:583>5}#:kh1>:64H805?M?7l2.94446769j<4<722c:<:4?::k1e7<722e9:k4?::a<65=83>1<7>t$3`a>71?3A39:6F60e9'6=?=1>=0e5?50;9j551=831b>l<50;9l63`=831vn5=;:187>5<7s-8in7<88:J:63=O19n0(?66:854?l>62900e<>8:188m7g52900c?8i:188yg>4=3:1m7>50z&1fg<5ij1C5?84H82g?_1d28q8>7s+29;9=21<,;83695f8383>>od93:17d9i:188k7?52900c?7;:188m16=83.94h45$3:f>6`o4k3:1(?6j:2d8j7>c2:10e>l50;&1<`<4n2d94i4;;:a<60=83k1<7>t$3`a>7gd3A39:6F60e9Y3f<6s:81q)<79;;43>"5:10?7d6=:188mf7=831b;k4?::m1=7<722e9594?::k74?6=,;2n6>h4n3:g>5=h50m0:76gb2:l0b?6k:398m6e=83.94h45$3:f>6`k;[5`>4}4:3w/>5759658 74?2=1b4?4?::k`5?6=3`=m6=44o3;1>5<o4m3:1(?6j:2d8j7>c2810e>j50;&1<`<4n2d94i4=;:k0g?6=,;2n6>h4n3:g>6=h50m0?76sm82:94??=83:p(?lm:3ca?M?5>2B2>o403:17d=6:188m6g=831b;k4?::k;6?6=3`i:6=44o3;1>5<k;%0;=??0?2.9>54>1:k4`?6=3`i:6=44i3c1>5<k;%0;=??0?2.9>54>c:&1;=1b>5650;9~f22?290>6=4?{%0af?40=2B2>;5G91f8 7>>20=<7)<=8;`8m77f2900e??m:188m77d2900e??k:188k7>?2900qo9;a;291?6=8r.9no4=749K=70<@0:o7)<79;;43>"5:10:j95f20c94?=n:8h1<75f20a94?=n:8n1<75`29:94?=zj>>i6=4::183!4ej38<96F6279K=5b<,;226498;%015;h02f?6=3`8:o7>5;h02`?6=3f8347>5;|`40f<72<0;6=u+2c`9623<@08=7E7?d:&1<<<>?>1/>?651gd8m77f2900e??m:188m77d2900e??k:188k7>?2900qo9;d;291?6=8r.9no4=749K=70<@0:o7)<79;;43>"5:10:ji5f20c94?=n:8h1<75f20a94?=n:8n1<75`29:94?=zj>>n6=4::183!4ej38<96F6279K=5b<,;226498;%015<5<k;%0;=??0?2.9>54=;h02e?6=3`8:n7>5;h02g?6=3`8:h7>5;n0;5}#:kh1>:;4H805?M?7l2.94446769'67>=12c9=l4?::k15g<722c9=n4?::k15a<722e9454?::a307=83?1<7>t$3`a>7123A39:6F60e9'6=?=1>=0(?<7:32f?l46i3:17d<>b;29?l46k3:17d<>d;29?j4?03:17pl85383>0<729q/>ol52678L<413A3;h6*=888:32=#:;21o6g=1`83>>o59k0;66g=1b83>>o59m0;66a=8983>>{e?=31<7;50;2x 7de2;=>7E7=6:J:4a=#:1315:94$30;>d=n:8k1<75f20`94?=n:8i1<75f20f94?=h:121<75rb6cg>5<5290;w)N>:?1C5=j4$3::><103`8:57>5;n0;5}#:kh1>:=4H805?M?7l2.94446769'67>=9j1b>5650;9~f21d29086=4?{%0af?40;2B2>;5G91f8 7>>20=<7)<=8;0:?!4?n339j6g=1`83>>o59k0;66a=8983>>{e?hi1<7=50;2x 7de2;=87E7=6:J:4a=#:1315:94$30;>4`53-83j77<4:k15d<722c9=o4?::m1<=<722wi;l;50;794?6|,;hi6?9:;I;12>N>8m1/>5759658 74?28:0(?6i:80e?l46i3:17d<>b;29?l46k3:17d<>d;29?j4?03:17pl87`83>2<729q/>ol52658L<413A3;h6*=298147=n:8k1<75f20`94?=n:8i1<75f20f94?=n:8o1<75f20d94?=h:121<75rb65a>5<0290;w)N>:?1C5=j4$30;>7653`8:m7>5;h02f?6=3`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3f8347>5;|`43<<72=0;6=u+2c`962?<@08=7E7?d:k15d<722c9=o4?::k1e7<722e9454?::a3d1=83>1<7>t$3`a>7623A39:6F60e9'6=?=1>=0(?<7:09j3a<722c5;ha2>5<k;%0;=??0?2.9>54>;h5g>5<l1<75fc083>>i51;0;66sm7`494?2=83:p(?lm:32;?M?5>2B2>od93:17d<65;29?j4>:3:17pl88283>0<729q/>ol52168L<413A3;h6*=888:32=#:;21=6g8d;29?l1a2900e4650;9jg4<722e95?4?::a32c=83>1<7>t$3`a>76?3A39:6F60e9'6=?=1>=0(?<7:09j3a<722ch=7>5;h0:1?6=3f82>7>5;|`4<7<72<0;6=u+2c`9652<@08=7E7?d:&1<<<>?>1/>?651:k4`?6=3`=m6=44i8:94?=nk80;66a=9383>>{e?1;1<7:50;2x 7de2;:>7E7=6:J:4a=#:1315:94$30;>4=n?m0;66g8f;29?le62900c?7=:188yg1a<3:187>50z&1fg<58<1C5?84H82g?!4?133<;6*=2982?l1c2900e:h50;9jg4<722e95?4?::a32>=83>1<7>t$3`a>70c3A39:6F60e9'6=?=1>=0(?<7:09j==<722ch=7>5;h023?6=3f82>7>5;|`4e`<72<0;6=u+2c`965?<@08=7E7?d:&1<<<>?>1/>?651:k4`?6=3`=m6=44ib394?=n:0?1<75`28094?=zj>km6=4::183!4ej38;56F6279K=5b<,;226498;%01n1<75f7g83>>od93:17d<65;29?j4>:3:17pl85283>0<729q/>ol521;8L<413A3;h6*=888:32=#:;21=6g8d;29?l1a2900en?50;9j6<3=831d>4<50;9~f230290>6=4?{%0af?4712B2>;5G91f8 7>>20=<7)<=8;38m2b=831b;k4?::k`5?6=3`8297>5;n0:6?6=3th<954?:483>5}#:kh1>=74H805?M?7l2.94446769'67>=92c5;h5e>5<5<2290;w)N>:?1C5=j4$3::><103-8947?4i6f94?=n?o0;66gl1;29?l4>=3:17b<62;29?xd0=h0;684?:1y'6gd=:930D4<9;I;3`>"50002;:5+23:95>o0l3:17d9i:188mf7=831b>4;50;9l6<4=831vn:;m:186>5<7s-8in75;h0:1?6=3f82>7>5;|`41f<72<0;6=u+2c`965?<@08=7E7?d:&1<<<>?>1/>?651:k4`?6=3`=m6=44ib394?=n:0?1<75`28094?=zj>?o6=4::183!4ej38;56F6279K=5b<,;226498;%01n1<75f7g83>>od93:17d<65;29?j4>:3:17pl85d83>0<729q/>ol521;8L<413A3;h6*=888:32=#:;21=6g8d;29?l1a2900en?50;9j6<3=831d>4<50;9~f23a290>6=4?{%0af?4712B2>;5G91f8 7>>20=<7)<=8;38m2b=831b;k4?::k`5?6=3`8297>5;n0:6?6=3th<994?:483>5}#:kh1>=74H805?M?7l2.94446769'67>=92c5;h5e>5<5<2290;w)N>:?1C5=j4$3::><103-8947?4i6f94?=n?o0;66gl1;29?l4>=3:17b<62;29?xd0=?0;684?:1y'6gd=:930D4<9;I;3`>"50002;:5+23:95>o0l3:17d9i:188mf7=831b>4;50;9l6<4=831vn:9::187>5<7s-8in75;n0:6?6=3th<4o4?:583>5}#:kh1>=;4H805?M?7l2.94446769'67>=92c5;h5e>5<2j6=4;:183!4ej38;96F6279K=5b<,;226498;%01n1<75f7g83>>od93:17b<62;29?xd0k?0;6>4?:1y'6gd=1;?0D4<9;I;3`>"50002;:5f8083>>o5>l0;66a=8983>>{e?j91<7:50;2x 7de2;=37E7=6:J:4a=#:1315:94i9394?=n99=1<75f2`094?=h:?l1<75rb6ab>5<4290;w)N>:?1C5=j4$3::><103`2:6=44i34f>5<k;%0;=??0?2c3=7>5;h333?6=3`8j>7>5;n05b?6=3th5}#:kh15?;4H805?M?7l2.94446769j<4<722c9:h4?::m1<=<722wi;nl50;694?6|,;hi6?97;I;12>N>8m1/>5759658m=7=831b==950;9j6d4=831d>;h50;9~f2b529086=4?{%0af??5=2B2>;5G91f8 7>>20=<7d6>:188m70b2900c?67:188yg1dn3:187>50z&1fg<5?11C5?84H82g?!4?133<;6g71;29?l77?3:17d6<729q/>ol59378L<413A3;h6*=888:32=n080;66g=6d83>>i5010;66sm7e194?2=83:p(?lm:35;?M?5>2B2nj6=4<:183!4ej33996F6279K=5b<,;226498;h:2>5<5<54;294~"5jk09;55G9348L<6c3-8357787:k;5?6=3`;;;7>5;h0b6?6=3f8=j7>5;|`4``<72:0;6=u+2c`9=73<@08=7E7?d:&1<<<>?>1b4<4?::k12`<722e9454?::a3ad=83>1<7>t$3`a>71?3A39:6F60e9'6=?=1>=0e5?50;9j551=831b>l<50;9l63`=831vn:k=:180>5<7s-8in77=5:J:63=O19n0(?66:854?l>62900e?8j:188k7>?2900qo9kf;290?6=8r.9no4=799K=70<@0:o7)<79;;43>o?93:17d??7;29?l4f:3:17b<9f;29?xd0m?0;6>4?:1y'6gd=1;?0D4<9;I;3`>"50002;:5f8083>>o5>l0;66a=8983>>{e?l91<7:50;2x 7de2;=37E7=6:J:4a=#:1315:94i9394?=n99=1<75f2`094?=h:?l1<75rb6g:>5<4290;w)N>:?1C5=j4$3::><103`2:6=44i34f>5<k;%0;=??0?2.9>54>c:k15d<722e9454?::a3f?=8381<7>t$3`a>7153A39:6F60e9'6=?=1>=0(?<7:0a8m77f2900c?67:188yg1dl3:1>7>50z&1fg<5?;1C5?84H82g?!4?133<;6*=2982g>o59h0;66a=8983>>{e?m;1<7<50;2x 7de2;=97E7=6:J:4a=#:1315:94$30;>4e5<52;294~"5jk09;?5G9348L<6c3-8357787:&16=<6k2c9=l4?::m1<=<722wi;i750;094?6|,;hi6?9=;I;12>N>8m1/>5759658 74?28i0e??n:188k7>?2900qo9kd;296?6=8r.9no4=739K=70<@0:o7)<79;;43>"5:10:o6g=1`83>>i5010;66sm7d394?4=83:p(?lm:351?M?5>2B25<k;%0;=??0?2.9>54>c:k15d<722e9454?::a3`>=8381<7>t$3`a>7153A39:6F60e9'6=?=1>=0(?<7:0a8m77f2900c?67:188yg1d<3:197>50z&1fg<5801C5?84H82g?!4?133<;6*=2982?l1c2900e:h50;9jg4<722c9584?::m1=7<722wi;n650;794?6|,;hi6?>6;I;12>N>8m1/>5759658 74?281b;i4?::k4b?6=3`i:6=44i3;6>5<k;%0;=??0?2.9>54>;h5g>5<l1<75fc083>>o51<0;66a=9383>>{e?m:1<7;50;2x 7de2;:27E7=6:J:4a=#:1315:94$30;>4=n?m0;66g8f;29?le62900e?7::188k7?52900qo9k4;291?6=8r.9no4=089K=70<@0:o7)<79;;43>"5:10:7d9k:188m2`=831bo<4?::k1=0<722e95?4?::a3a>=83?1<7>t$3`a>76>3A39:6F60e9'6=?=1>=0(?<7:09j3a<722c5;ha2>5<6=44o3;1>5<55;294~"5jk09<45G9348L<6c3-8357787:&16=<63`=o6=44i6d94?=nk80;66g=9483>>i51;0;66sm7d294?3=83:p(?lm:32:?M?5>2B2>o0n3:17dm>:188m7?22900c?7=:188yg1b<3:197>50z&1fg<5801C5?84H82g?!4?133<;6*=2982?l1c2900e:h50;9jg4<722c9584?::m1=7<722wi;h950;794?6|,;hi6?>6;I;12>N>8m1/>5759658 74?281b;i4?::k4b?6=3`i:6=44i3;6>5<k;%0;=??0?2.9>54=9:k15d<722c9=o4?::m1<=<722wi;>850;694?6|,;hi6?9;;I;12>N>8m1/>5759658 74?28l=7d<>a;29?l46j3:17d<>c;29?j4?03:17pl83483>0<729q/>ol52678L<413A3;h6*=888:32=#:;21>=h4$3:e><523`8:m7>5;h02f?6=3`8:o7>5;h02`?6=3f8347>5;|`44a<72=0;6=u+2c`965g<@08=7E7?d:&1<<<>?>1/>?65109j3a<722ch=7>5;h0b6?6=3f82>7>5;|`44f<72=0;6=u+2c`965g<@08=7E7?d:&1<<<>?>1/>?65109j3a<722ch=7>5;h0b6?6=3f82>7>5;|`44g<72=0;6=u+2c`965g<@08=7E7?d:&1<<<>?>1/>?65109j3a<722ch=7>5;h0b6?6=3f82>7>5;|`44d<72=0;6=u+2c`965g<@08=7E7?d:&1<<<>?>1/>?65109j3a<722ch=7>5;h0b6?6=3f82>7>5;|`44<<72=0;6=u+2c`965g<@08=7E7?d:&1<<<>?>1/>?65109j3a<722ch=7>5;h0b6?6=3f82>7>5;|`44=<72=0;6=u+2c`965g<@08=7E7?d:&1<<<>?>1/>?65109j3a<722ch=7>5;h0b6?6=3f82>7>5;|`442<72=0;6=u+2c`965g<@08=7E7?d:&1<<<>?>1/>?65109j3a<722ch=7>5;h0b6?6=3f82>7>5;|`443<72=0;6=u+2c`965g<@08=7E7?d:&1<<<>?>1/>?65109j3a<722ch=7>5;h0b6?6=3f82>7>5;|`460<72:0;6=u+2c`9625<@08=7E7?d:&1<<<>?>1/>?651b9j64g=831b>=831vn:5<7s-8in75;n0:6?6=3th<>44?:583>5}#:kh1>=;4H805?M?7l2.94446769'67>=92c5;h5e>5<8i6=4::183!4ej38;86F6279K=5b<,;226498;%01n1<75f7g83>>o>03:17dm>:188k7?52900qo9=6;290?6=8r.9no4=099K=70<@0:o7)<79;;43>"5:10:7d9k:188mf7=831b>4;50;9l6<4=831vn:5<7s-8in75;ha2>5<k;%0;=??0?2.9>54>c:k15d<722c9=o4?::m1<=<722wi;<750;694?6|,;hi6?>:;I;12>N>8m1/>5759658 74?281b;i4?::k4b?6=3`i:6=44o3;1>5<54;294~"5jk09<85G9348L<6c3-8357787:&16=<63`=o6=44i6d94?=nk80;66a=9383>>{e?8=1<7:50;2x 7de2;:>7E7=6:J:4a=#:1315:94$30;>4=n?m0;66g8f;29?le62900c?7=:188yg16>3:187>50z&1fg<58<1C5?84H82g?!4?133<;6*=2982?l1c2900e:h50;9jg4<722e95?4?::a34g=83?1<7>t$3`a>7633A39:6F60e9'6=?=1>=0(?<7:09j3a<722c5;h;;>5<;86=4;:183!4ej38;46F6279K=5b<,;226498;%01n1<75fc083>>o51<0;66a=9383>>{e?8h1<7;50;2x 7de2;:?7E7=6:J:4a=#:1315:94$30;>4=n?m0;66g8f;29?l??2900en?50;9l6<4=831vn896:18b>5<7s-8in7x"50002;:5+23:90>o?:3:17dm>:188m2`=831d>4<50;9l6<2=831b8=4?:%0;a?5a3g83h7>4;h1f>5<#:1o1?k5a29f95>=n;m0;6)<7e;1e?k4?l3807d=l:18'6=c=;o1e>5j53:9j7g<72-83i7=i;o0;`?2<3th>4?4?:`83>5}#:kh1>lm4H805?M?7l2P>20=<7)<=8;68m=4=831bo<4?::k4b?6=3f82>7>5;n0:0?6=3`>;6=4+29g97c=i:1n1<65f3d83>!4?m39m7c<7d;38?l5c290/>5k53g9m6=b=:21b?n4?:%0;a?5a3g83h7=4;h1a>5<#:1o1?k5a29f90>=zj<2:6=4n:183!4ej38jo6F6279K=5bi1=v==:|&1<<<>?>1/>?654:k;6?6=3`i:6=44i6d94?=h:081<75`28694?=n<90;6)<7e;1e?k4?l3:07d=j:18'6=c=;o1e>5j51:9j7a<72-83i7=i;o0;`?4<3`9h6=4+29g97c=i:1n1?65f3c83>!4?m39m7c<7d;68?xd2<00;6l4?:1y'6gd=:hi0D4<9;I;3`>\0k3;p??4r$3::><103-8947:4i9094?=nk80;66g8f;29?j4>:3:17b<64;29?l27290/>5k53g9m6=b=821b?h4?:%0;a?5a3g83h7?4;h1g>5<#:1o1?k5a29f96>=n;j0;6)<7e;1e?k4?l3907d=m:18'6=c=;o1e>5j54:9~f02?290j6=4?{%0af?4fk2B2>;5G91f8^2e=9r996p*=888:32=#:;2186g72;29?le62900e:h50;9l6<4=831d>4:50;9j05<72-83i7=i;o0;`?6<3`9n6=4+29g97c=i:1n1=65f3e83>!4?m39m7c<7d;08?l5d290/>5k53g9m6=b=;21b?o4?:%0;a?5a3g83h7:4;|`602<72=0;6=u+2c`962?<@08=7E7?d:&1<<<>?>1b>l<50;9l6=>=831vn89l:18b>5<7s-8in7x"50002;:5+23:90>o?:3:17dm>:188m2`=831d>4<50;9l6<2=831b8=4?:%0;a?5a3g83h7>4;h1f>5<#:1o1?k5a29f95>=n;m0;6)<7e;1e?k4?l3807d=l:18'6=c=;o1e>5j53:9j7g<72-83i7=i;o0;`?2<3th>;o4?:`83>5}#:kh1>lm4H805?M?7l2P>20=<7)<=8;68m=4=831bo<4?::k4b?6=3f82>7>5;n0:0?6=3`>;6=4+29g97c=i:1n1<65f3d83>!4?m39m7c<7d;38?l5c290/>5k53g9m6=b=:21b?n4?:%0;a?5a3g83h7=4;h1a>5<#:1o1?k5a29f90>=zj<=j6=4;:183!4ej38<56F6279K=5b<,;226498;h02e?6=3`8:n7>5;h0b6?6=3f8347>5;|`615<72h0;6=u+2c`96de<@08=7E7?d:X4g?7|;;0v(?66:854?!4503>0e5<50;9jg4<722c5;n0:6?6=3f8287>5;h63>5<#:1o1?k5a29f94>=n;l0;6)<7e;1e?k4?l3;07d=k:18'6=c=;o1e>5j52:9j7f<72-83i7=i;o0;`?5<3`9i6=4+29g97c=i:1n1865rb46e>5N>:?1C5=j4Z6a95~552t.94446769'67>=<2c3>7>5;ha2>5<l1<75`28094?=h:0>1<75f4183>!4?m39m7c<7d;28?l5b290/>5k53g9m6=b=921b?i4?:%0;a?5a3g83h7<4;h1`>5<#:1o1?k5a29f97>=n;k0;6)<7e;1e?k4?l3>07pl:4d83>1<729q/>ol526;8L<413A3;h6*=888:32=n:8k1<75f20`94?=n:h81<75`29:94?=zj<i1=v==:|&1<<<>?>1/>?654:k;6?6=3`i:6=44i6d94?=h:081<75`28694?=n<90;6)<7e;1e?k4?l3:07d=j:18'6=c=;o1e>5j51:9j7a<72-83i7=i;o0;`?4<3`9h6=4+29g97c=i:1n1?65f3c83>!4?m39m7c<7d;68?xd2>j0;6l4?:1y'6gd=:hi0D4<9;I;3`>\0k3;p??4r$3::><103-8947:4i9094?=nk80;66g8f;29?j4>:3:17b<64;29?l27290/>5k53g9m6=b=821b?h4?:%0;a?5a3g83h7?4;h1g>5<#:1o1?k5a29f96>=n;j0;6)<7e;1e?k4?l3907d=m:18'6=c=;o1e>5j54:9~f00e290j6=4?{%0af?4fk2B2>;5G91f8^2e=9r996p*=888:32=#:;2186g72;29?le62900e:h50;9l6<4=831d>4:50;9j05<72-83i7=i;o0;`?6<3`9n6=4+29g97c=i:1n1=65f3e83>!4?m39m7c<7d;08?l5d290/>5k53g9m6=b=;21b?o4?:%0;a?5a3g83h7:4;|`62d<72h0;6=u+2c`96de<@08=7E7?d:X4g?7|;;0v(?66:854?!4503>0e5<50;9jg4<722c5;n0:6?6=3f8287>5;h63>5<#:1o1?k5a29f94>=n;l0;6)<7e;1e?k4?l3;07d=k:18'6=c=;o1e>5j52:9j7f<72-83i7=i;o0;`?5<3`9i6=4+29g97c=i:1n1865rb444>5<3290;w)N>:?1C5=j4$3::><103`8:m7>5;h02f?6=3`8j>7>5;n0;:54?:583>5}#:kh1>:74H805?M?7l2.94446769j64g=831b>5650;9~f00>290?6=4?{%0af?40i2B2>;5G91f8 7>>20=<7d<>a;29?l46j3:17dd<729q/>ol52`a8L<413A3;h6T8c;3x77>o0n3:17b<62;29?j4><3:17d:?:18'6=c=;o1e>5j50:9j7`<72-83i7=i;o0;`?7<3`9o6=4+29g97c=i:1n1>65f3b83>!4?m39m7c<7d;18?l5e290/>5k53g9m6=b=<21vn8;n:18b>5<7s-8in7x"50002;:5+23:90>o?:3:17dm>:188m2`=831d>4<50;9l6<2=831b8=4?:%0;a?5a3g83h7>4;h1f>5<#:1o1?k5a29f95>=n;m0;6)<7e;1e?k4?l3807d=l:18'6=c=;o1e>5j53:9j7g<72-83i7=i;o0;`?2<3th>944?:`83>5}#:kh1>lm4H805?M?7l2P>20=<7)<=8;68m=4=831bo<4?::k4b?6=3f82>7>5;n0:0?6=3`>;6=4+29g97c=i:1n1<65f3d83>!4?m39m7c<7d;38?l5c290/>5k53g9m6=b=:21b?n4?:%0;a?5a3g83h7=4;h1a>5<#:1o1?k5a29f90>=zji1=v==:|&1<<<>?>1/>?654:k;6?6=3`i:6=44i6d94?=h:081<75`28694?=n<90;6)<7e;1e?k4?l3:07d=j:18'6=c=;o1e>5j51:9j7a<72-83i7=i;o0;`?4<3`9h6=4+29g97c=i:1n1?65f3c83>!4?m39m7c<7d;68?xd2=<0;694?:1y'6gd=:>30D4<9;I;3`>"50002;:5f20c94?=n:8h1<75f2`094?=h:121<75rb475>5<3290;w)N>:?1C5=j4$3::><103`8:m7>5;h02f?6=3`8j>7>5;n0;9:4?:583>5}#:kh1>:o4H805?M?7l2.94446769j64g=831b>5650;9~f0>729026=4?{%0af?4fj2B2>;5G91f8 7>>20=<7)<=8;08m61=831b?54?::k0=?6=3`9j6=44i6d94?=n0;0;66gl1;29?j4>:3:17b<63;29?xd2?10;644?:1y'6gd=:hh0D4<9;I;3`>"50002;:5+23:96>o4?3:17d=7:188m6?=831b?l4?::k4b?6=3`296=44ib394?=h:081<75`28194?=zj?oo6=4;:183!4ej38<86F6279K=5b<,;226498;%015;h02f?6=3`8:o7>5;n0;8;4?:583>5}#:kh1>=64H805?M?7l2.94446769'67>=92c5;ha2>5<6=44o3;1>5<54;294~"5jk09<55G9348L<6c3-8357787:&16=<63`=o6=44ib394?=n:0?1<75`28094?=zjn1<75fc083>>o51<0;66a=9383>>{e>ll1<7:50;2x 7de2;:37E7=6:J:4a=#:1315:94$30;>4=n?m0;66gl1;29?l4>=3:17b<62;29?xd1ml0;694?:1y'6gd=:920D4<9;I;3`>"50002;:5+23:95>o0l3:17dm>:188m7?22900c?7=:188yg33=3:187>50z&1fg<5811C5?84H82g?!4?133<;6*=2982?l1c2900en?50;9j6<3=831d>4<50;9~f023290?6=4?{%0af?4702B2>;5G91f8 7>>20=<7)<=8;38m2b=831bo<4?::k1=0<722e95?4?::a2`e=83?1<7>t$3`a>76>3A39:6F60e9'6=?=1>=0(?<7:09j3a<722c5;ha2>5<6=44o3;1>5<55;294~"5jk09<45G9348L<6c3-8357787:&16=<63`=o6=44i6d94?=nk80;66g=9483>>i51;0;66sm6g294?3=83:p(?lm:32:?M?5>2B2>o0n3:17dm>:188m7?22900c?7=:188yg3e83:187>50z&1fg<5?=1C5?84H82g?!4?133<;6*=2984a>o59h0;66g=1c83>>o59j0;66a=8983>>{e=hn1<7:50;2x 7de2;=?7E7=6:J:4a=#:1315:94$30;>2c5<5<54;294~"5jk09;95G9348L<6c3-8357787:&16=<0m2c9=l4?::k15g<722c9=n4?::m1<=<722wi9nl50;694?6|,;hi6?9;;I;12>N>8m1/>5759658 74?2>o0e??n:188m77e2900e??l:188k7>?2900qo;l8;290?6=8r.9no4=759K=70<@0:o7)<79;;43>"5:10>o59k0;66g=1b83>>i5010;66sm5b794?2=83:p(?lm:357?M?5>2B25<5<k;%0;=??0?2.9>548e:k15d<722c9=o4?::k15f<722e9454?::a1g`=83>1<7>t$3`a>7133A39:6F60e9'6=?=1>=0(?<7:6g8m77f2900e??m:188m77d2900c?67:188yg3ek3:187>50z&1fg<5?=1C5?84H82g?!4?133<;6*=2984a>o59h0;66g=1c83>>o59j0;66a=8983>>{e=k31<7:50;2x 7de2;=?7E7=6:J:4a=#:1315:94$30;>2c5<5<54;294~"5jk09;95G9348L<6c3-8357787:&16=<0m2c9=l4?::k15g<722c9=n4?::m1<=<722wi9o=50;694?6|,;hi6?9;;I;12>N>8m1/>5759658 74?2>o0e??n:188m77e2900e??l:188k7>?2900qo;n7;290?6=8r.9no4=759K=70<@0:o7)<79;;43>"5:10>o59k0;66g=1b83>>i5010;66sm69294?5=83:p(?lm:350?M?5>2B2:4$3:e><543`8:m7>5;h02f?6=3f8347>5;|`53c<72:0;6=u+2c`9625<@08=7E7?d:&1<<<>?>1/>?65359'6=`=1:90e??n:188m77e2900c?67:188yg3f=3:147>50z&1fg<5ih1C5?84H82g?!4?133<;6*=2981?l502900e>650;9j7<<722c8m7>5;h5e>5<>i51;0;66sm58`94?2=83:p(?lm:326?M?5>2B2>o0n3:17dm>:188k7?52900qo;6a;290?6=8r.9no4=049K=70<@0:o7)<79;;43>"5:10:7d9k:188m2`=831bo<4?::m1=7<722wi94750;694?6|,;hi6?>:;I;12>N>8m1/>5759658 74?281b;i4?::k4b?6=3`i:6=44o3;1>5<54;294~"5jk09<85G9348L<6c3-8357787:&16=<63`=o6=44i6d94?=nk80;66a=9383>>{e=0=1<7:50;2x 7de2;:>7E7=6:J:4a=#:1315:94$30;>4=n?m0;66g8f;29?le62900c?7=:188yg3>>3:187>50z&1fg<58<1C5?84H82g?!4?133<;6*=2982?l1c2900e:h50;9jg4<722e95?4?::a1d2=83>1<7>t$3`a>7623A39:6F60e9'6=?=1>=0(?<7:09j3a<722c5;ha2>5<k;%0;=??0?2.9>54>;h5g>5<l1<75fc083>>i51;0;66sm5`094?2=83:p(?lm:326?M?5>2B2>o0n3:17dm>:188k7?52900qo;n1;290?6=8r.9no4=049K=70<@0:o7)<79;;43>"5:10:7d9k:188m2`=831bo<4?::m1=7<722wi9l>50;694?6|,;hi6?>:;I;12>N>8m1/>5759658 74?281b;i4?::k4b?6=3`i:6=44o3;1>5<54;294~"5jk09<85G9348L<6c3-8357787:&16=<63`=o6=44i6d94?=nk80;66a=9383>>{e=0o1<7:50;2x 7de2;:>7E7=6:J:4a=#:1315:94$30;>4=n?m0;66g8f;29?le62900c?7=:188yg3>l3:187>50z&1fg<58<1C5?84H82g?!4?133<;6*=2982?l1c2900e:h50;9jg4<722e95?4?::a11<7>t$3`a>7623A39:6F60e9'6=?=1>=0(?<7:09j3a<722c5;ha2>5<k;%0;=??0?2.9>54>;h5g>5<l1<75fc083>>i51;0;66sm5`d94?2=83:p(?lm:32;?M?5>2B2>od93:17d<65;29?j4>:3:17pl:b083>1<729q/>ol521:8L<413A3;h6*=888:32=#:;21=6g8d;29?le62900e?7::188k7?52900qo;nc;290?6=8r.9no4=099K=70<@0:o7)<79;;43>"5:10:7d9k:188mf7=831b>4;50;9l6<4=831vn8oj:187>5<7s-8in77;I;12>N>8m1/>5759658 74?281b;i4?::k`5?6=3`8297>5;n0:6?6=3th>mo4?:583>5}#:kh1>=64H805?M?7l2.94446769'67>=92c5;ha2>5<6=44o3;1>5<54;294~"5jk09<55G9348L<6c3-8357787:&16=<63`=o6=44ib394?=n:0?1<75`28094?=zjn1<75fc083>>o51<0;66a=9383>>{e=j=1<7:50;2x 7de2;:37E7=6:J:4a=#:1315:94$30;>4=n?m0;66gl1;29?l4>=3:17b<62;29?xd2k00;694?:1y'6gd=:920D4<9;I;3`>"50002;:5+23:95>o0l3:17dm>:188m7?22900c?7=:188yg3d<3:187>50z&1fg<5811C5?84H82g?!4?133<;6*=2982?l1c2900en?50;9j6<3=831d>4<50;9~f0e1290?6=4?{%0af?4702B2>;5G91f8 7>>20=<7)<=8;38m2b=831bo<4?::k1=0<722e95?4?::a1f7=83>1<7>t$3`a>76?3A39:6F60e9'6=?=1>=0(?<7:09j3a<722ch=7>5;h0:1?6=3f82>7>5;|`6g6<72=0;6=u+2c`965><@08=7E7?d:&1<<<>?>1/>?651:k4`?6=3`i:6=44i3;6>5<k;%0;=??0?2.9>54>;h5g>5<5<3290;w)N>:?1C5=j4$3::><103-8947?4i6f94?=nk80;66g=9483>>i51;0;66sm5c`94?2=83:p(?lm:32;?M?5>2B2>od93:17d<65;29?j4>:3:17pl:be83>1<729q/>ol521:8L<413A3;h6*=888:32=#:;21=6g8d;29?le62900e?7::188k7?52900qo;m8;290?6=8r.9no4=099K=70<@0:o7)<79;;43>"5:10:7d9k:188mf7=831b>4;50;9l6<4=831vn8ln:187>5<7s-8in77;I;12>N>8m1/>5759658 74?281b;i4?::k`5?6=3`8297>5;n0:6?6=3th>n:4?:583>5}#:kh1>=64H805?M?7l2.94446769'67>=92c5;ha2>5<6=44o3;1>5<7>54;294~"5jk09<55G9348L<6c3-8357787:&16=<63`=o6=44ib394?=n:0?1<75`28094?=zjn1<75fc083>>o51<0;66a=9383>>{e=h<1<7:50;2x 7de2;:37E7=6:J:4a=#:1315:94$30;>4=n?m0;66gl1;29?l4>=3:17b<62;29?xd2i10;694?:1y'6gd=:920D4<9;I;3`>"50002;:5+23:95>o0l3:17dm>:188m7?22900c?7=:188yg03:3:1?7>50z&1fg<>:<1C5?84H82g?!4?133<;6g71;29?l41m3:17b<78;29?xd1;o0;694?:1y'6gd=:>20D4<9;I;3`>"50002;:5f8083>>o68>0;66g=a383>>i5>o0;66sm64394?5=83:p(?lm:806?M?5>2B25<3290;w)N>:?1C5=j4$3::><103`2:6=44i024>5<5<97>53;294~"5jk02>85G9348L<6c3-8357787:k;5?6=3`8=i7>5;n0;5}#:kh1>:64H805?M?7l2.94446769j<4<722c:<:4?::k1e7<722e9:k4?::a20?=8391<7>t$3`a><423A39:6F60e9'6=?=1>=0e5?50;9j63c=831d>5650;9~f331290?6=4?{%0af?4002B2>;5G91f8 7>>20=<7d6>:188m4602900e?o=:188k70a2900qo8:d;297?6=8r.9no46249K=70<@0:o7)<79;;43>o?93:17d<9e;29?j4?03:17pl95`83>1<729q/>ol526:8L<413A3;h6*=888:32=n080;66g>0683>>o5i;0;66a=6g83>>{e>?;1<7=50;2x 7de208>7E7=6:J:4a=#:1315:94i9394?=n:?o1<75`29:94?=zj??n6=4;:183!4ej38<46F6279K=5b<,;226498;h:2>5<5<k;%0;=??0?2c3=7>5;h05a?6=3f8347>5;|`527<72=0;6=u+2c`962><@08=7E7?d:&1<<<>?>1b4<4?::k242<722c9m?4?::m12c<722wi:;750;194?6|,;hi64<:;I;12>N>8m1/>5759658m=7=831b>;k50;9l6=>=831vn;89:187>5<7s-8in7<88:J:63=O19n0(?66:854?l>62900e<>8:188m7g52900c?8i:188yg01l3:1?7>50z&1fg<>:<1C5?84H82g?!4?133<;6g71;29?l41m3:17b<78;29?xd1>h0;694?:1y'6gd=:>20D4<9;I;3`>"50002;:5f8083>>o68>0;66g=a383>>i5>o0;66sm66394?5=83:p(?lm:806?M?5>2B25<3290;w)N>:?1C5=j4$3::><103`2:6=44i024>5<5<53;294~"5jk02>85G9348L<6c3-8357787:k;5?6=3`8=i7>5;n0;4?:583>5}#:kh1>:64H805?M?7l2.94446769j<4<722c:<:4?::k1e7<722e9:k4?::a21g=8391<7>t$3`a><423A39:6F60e9'6=?=1>=0e5?50;9j63c=831d>5650;9~f320290?6=4?{%0af?4002B2>;5G91f8 7>>20=<7d6>:188m4602900e?o=:188k70a2900qo8;d;297?6=8r.9no46249K=70<@0:o7)<79;;43>o?93:17d<9e;29?j4?03:17pl94083>7<729q/>ol52608L<413A3;h6*=888:32=#:;21=n5f20c94?=h:121<75rb773>5<5290;w)N>:?1C5=j4$3::><103-8947?l;h02e?6=3f8347>5;|`511<72;0;6=u+2c`9624<@08=7E7?d:&1<<<>?>1/>?651b9j64g=831d>5650;9~f33?29096=4?{%0af?40:2B2>;5G91f8 7>>20=<7)<=8;3`?l46i3:17b<78;29?xd1=j0;6?4?:1y'6gd=:>80D4<9;I;3`>"50002;:5+23:95f=n:8k1<75`29:94?=zj?<;6=4=:183!4ej38<>6F6279K=5b<,;226498;%015;n0;5}#:kh1>:<4H805?M?7l2.94446769'67>=9j1b>=831vn;87:181>5<7s-8in7<82:J:63=O19n0(?66:854?!4503;h7d<>a;29?j4?03:17pl96b83>7<729q/>ol52608L<413A3;h6*=888:32=#:;21=n5f20c94?=h:121<75rb753>5<5290;w)N>:?1C5=j4$3::><103-8947?l;h02e?6=3f8347>5;|`500<72;0;6=u+2c`9624<@08=7E7?d:&1<<<>?>1/>?651b9j64g=831d>5650;9~f32>29096=4?{%0af?40:2B2>;5G91f8 7>>20=<7)<=8;3`?l46i3:17b<78;29?xd180D4<9;I;3`>"50002;:5+23:95f=n:8k1<75`29:94?=zj?>;6=4::183!4ej38;56F6279K=5b<,;226498;%01n1<75f7g83>>od93:17d<65;29?j4>:3:17pl94g83>0<729q/>ol521;8L<413A3;h6*=888:32=#:;21=6g8d;29?l1a2900en?50;9j6<3=831d>4<50;9~f334290>6=4?{%0af?4712B2>;5G91f8 7>>20=<7)<=8;38m2b=831b;k4?::k`5?6=3`8297>5;n0:6?6=3th=9:4?:483>5}#:kh1>=74H805?M?7l2.94446769'67>=92c5;h5e>5<5<2290;w)N>:?1C5=j4$3::><103-8947?4i6f94?=n?o0;66gl1;29?l4>=3:17b<62;29?xd1=o0;684?:1y'6gd=:930D4<9;I;3`>"50002;:5+23:95>o0l3:17d9i:188mf7=831b>4;50;9l6<4=831vn;8<:186>5<7s-8in75;h0:1?6=3f82>7>5;|`522<72<0;6=u+2c`965?<@08=7E7?d:&1<<<>?>1/>?651:k4`?6=3`=m6=44ib394?=n:0?1<75`28094?=zj?n1<75f7g83>>od93:17d<65;29?j4>:3:17pl96g83>0<729q/>ol521;8L<413A3;h6*=888:32=#:;21=6g8d;29?l1a2900en?50;9j6<3=831d>4<50;9~f323290>6=4?{%0af?4712B2>;5G91f8 7>>20=<7)<=8;38m2b=831b;k4?::k`5?6=3`8297>5;n0:6?6=3th=854?:483>5}#:kh1>=74H805?M?7l2.94446769'67>=92c5;h5e>5<5<2290;w)N>:?1C5=j4$3::><103-8947?4i6f94?=n?o0;66gl1;29?l4>=3:17b<62;29?xd2m00;6>4?:1y'6gd=1;?0D4<9;I;3`>"50002;:5f8083>>o5>l0;66a=8983>>{e=l<1<7:50;2x 7de2;=37E7=6:J:4a=#:1315:94i9394?=n99=1<75f2`094?=h:?l1<75rb4d;>5<4290;w)N>:?1C5=j4$3::><103`2:6=44i34f>5<k;%0;=??0?2c3=7>5;h333?6=3`8j>7>5;n05b?6=3th>jn4?:283>5}#:kh15?;4H805?M?7l2.94446769j<4<722c9:h4?::m1<=<722wi9k750;694?6|,;hi6?97;I;12>N>8m1/>5759658m=7=831b==950;9j6d4=831d>;h50;9~f36729086=4?{%0af??5=2B2>;5G91f8 7>>20=<7d6>:188m70b2900c?67:188yg3al3:187>50z&1fg<5?11C5?84H82g?!4?133<;6g71;29?l77?3:17d6<729q/>ol59378L<413A3;h6*=888:32=n080;66g=6d83>>i5010;66sm61394?2=83:p(?lm:35;?M?5>2B25<5<54;294~"5jk09;55G9348L<6c3-8357787:k;5?6=3`;;;7>5;h0b6?6=3f8=j7>5;|`54f<72:0;6=u+2c`9=73<@08=7E7?d:&1<<<>?>1b4<4?::k12`<722e9454?::a25?=83>1<7>t$3`a>71?3A39:6F60e9'6=?=1>=0e5?50;9j551=831b>l<50;9l63`=831vn;??:180>5<7s-8in77=5:J:63=O19n0(?66:854?l>62900e?8j:188k7>?2900qo8?d;290?6=8r.9no4=799K=70<@0:o7)<79;;43>o?93:17d??7;29?l4f:3:17b<9f;29?xd19=0;6>4?:1y'6gd=1;?0D4<9;I;3`>"50002;:5f8083>>o5>l0;66a=8983>>{e>8;1<7:50;2x 7de2;=37E7=6:J:4a=#:1315:94i9394?=n99=1<75f2`094?=h:?l1<75rb73;>5<4290;w)N>:?1C5=j4$3::><103`2:6=44i34f>5<k;%0;=??0?2c3=7>5;h333?6=3`8j>7>5;n05b?6=3th>ii4?:283>5}#:kh15?;4H805?M?7l2.94446769j<4<722c9:h4?::m1<=<722wi9ho50;694?6|,;hi6?97;I;12>N>8m1/>5759658m=7=831b==950;9j6d4=831d>;h50;9~f0`629086=4?{%0af??5=2B2>;5G91f8 7>>20=<7d6>:188m70b2900c?67:188yg3bm3:187>50z&1fg<5?11C5?84H82g?!4?133<;6g71;29?l77?3:17d6<729q/>ol59378L<413A3;h6*=888:32=n080;66g=6d83>>i5010;66sm5d:94?4=83:p(?lm:351?M?5>2B25<k;%0;=??0?2.9>54>c:k15d<722e9454?::a1cd=8381<7>t$3`a>7153A39:6F60e9'6=?=1>=0(?<7:0a8m77f2900c?67:188yg3an3:1>7>50z&1fg<5?;1C5?84H82g?!4?133<;6*=2982g>o59h0;66a=8983>>{e>991<7<50;2x 7de2;=97E7=6:J:4a=#:1315:94$30;>4e5<52;294~"5jk09;?5G9348L<6c3-8357787:&16=<6k2c9=l4?::m1<=<722wi:=l50;094?6|,;hi6?9=;I;12>N>8m1/>5759658 74?28i0e??n:188k7>?2900qo8?f;296?6=8r.9no4=739K=70<@0:o7)<79;;43>"5:10:o6g=1`83>>i5010;66sm60194?4=83:p(?lm:351?M?5>2B25<k;%0;=??0?2.9>54>c:k15d<722e9454?::a1`e=8381<7>t$3`a>7153A39:6F60e9'6=?=1>=0(?<7:0a8m77f2900c?67:188yg3a83:1>7>50z&1fg<5?;1C5?84H82g?!4?133<;6*=2982g>o59h0;66a=8983>>{e=o91<7<50;2x 7de2;=97E7=6:J:4a=#:1315:94$30;>4e5<55;294~"5jk09<45G9348L<6c3-8357787:&16=<63`=o6=44i6d94?=nk80;66g=9483>>i51;0;66sm5g494?3=83:p(?lm:32:?M?5>2B2>o0n3:17dm>:188m7?22900c?7=:188yg3ai3:197>50z&1fg<5801C5?84H82g?!4?133<;6*=2982?l1c2900e:h50;9jg4<722c9584?::m1=7<722wi9kk50;794?6|,;hi6?>6;I;12>N>8m1/>5759658 74?281b;i4?::k4b?6=3`i:6=44i3;6>5<k;%0;=??0?2.9>54>;h5g>5<l1<75fc083>>o51<0;66a=9383>>{e>9<1<7;50;2x 7de2;:27E7=6:J:4a=#:1315:94$30;>4=n?m0;66g8f;29?le62900e?7::188k7?52900qo8?a;291?6=8r.9no4=089K=70<@0:o7)<79;;43>"5:10:7d9k:188m2`=831bo<4?::k1=0<722e95?4?::a25c=83?1<7>t$3`a>76>3A39:6F60e9'6=?=1>=0(?<7:09j3a<722c5;ha2>5<6=44o3;1>5<7>55;294~"5jk09<45G9348L<6c3-8357787:&16=<63`=o6=44i6d94?=nk80;66g=9483>>i51;0;66sm60494?3=83:p(?lm:32:?M?5>2B2>o0n3:17dm>:188m7?22900c?7=:188yg3bj3:197>50z&1fg<5801C5?84H82g?!4?133<;6*=2982?l1c2900e:h50;9jg4<722c9584?::m1=7<722wi9hh50;794?6|,;hi6?>6;I;12>N>8m1/>5759658 74?281b;i4?::k4b?6=3`i:6=44i3;6>5<k;%0;=??0?2.9>54>;h5g>5<l1<75fc083>>o51<0;66a=9383>>{e7E7=6:J:4a=#:1315:94i9394?=n:?o1<75`29:94?=zj=k>6=4;:183!4ej38<46F6279K=5b<,;226498;h:2>5<5<k;%0;=??0?2c3=7>5;h05a?6=3f8347>5;|`7f1<72=0;6=u+2c`962><@08=7E7?d:&1<<<>?>1b4<4?::k242<722c9m?4?::m12c<722wi8ol50;194?6|,;hi64<:;I;12>N>8m1/>5759658m=7=831b>;k50;9l6=>=831vn9l7:187>5<7s-8in7<88:J:63=O19n0(?66:854?l>62900e<>8:188m7g52900c?8i:188yg2en3:1?7>50z&1fg<>:<1C5?84H82g?!4?133<;6g71;29?l41m3:17b<78;29?xd3jj0;694?:1y'6gd=:>20D4<9;I;3`>"50002;:5f8083>>o68>0;66g=a383>>i5>o0;66sm4b194?5=83:p(?lm:806?M?5>2B25<3290;w)N>:?1C5=j4$3::><103`2:6=44i024>5<5<h;7>53;294~"5jk02>85G9348L<6c3-8357787:k;5?6=3`8=i7>5;n0;5}#:kh1>:64H805?M?7l2.94446769j<4<722c:<:4?::k1e7<722e9:k4?::a0fd=8391<7>t$3`a><423A39:6F60e9'6=?=1>=0e5?50;9j63c=831d>5650;9~f1e?290?6=4?{%0af?4002B2>;5G91f8 7>>20=<7d6>:188m4602900e?o=:188k70a2900qo:lf;297?6=8r.9no46249K=70<@0:o7)<79;;43>o?93:17d<9e;29?j4?03:17pl;cb83>1<729q/>ol526:8L<413A3;h6*=888:32=n080;66g>0683>>o5i;0;66a=6g83>>{e7E7=6:J:4a=#:1315:94i9394?=n:?o1<75`29:94?=zj=n;6=4;:183!4ej38<46F6279K=5b<,;226498;h:2>5<5<k;%0;=??0?2c3=7>5;h05a?6=3f8347>5;|`7`1<72=0;6=u+2c`962><@08=7E7?d:&1<<<>?>1b4<4?::k242<722c9m?4?::m12c<722wi8lm50;194?6|,;hi64<:;I;12>N>8m1/>5759658m=7=831b>;k50;9l6=>=831vn9o6:187>5<7s-8in7<88:J:63=O19n0(?66:854?l>62900e<>8:188m7g52900c?8i:188yg2e83:1?7>50z&1fg<>:<1C5?84H82g?!4?133<;6g71;29?l41m3:17b<78;29?xd3im0;694?:1y'6gd=:>20D4<9;I;3`>"50002;:5f8083>>o68>0;66g=a383>>i5>o0;66sm4c194?5=83:p(?lm:806?M?5>2B25<5290;w)N>:?1C5=j4$3::><103-8947?l;h02e?6=3f8347>5;|`7f3<72;0;6=u+2c`9624<@08=7E7?d:&1<<<>?>1/>?651b9j64g=831d>5650;9~f1df29096=4?{%0af?40:2B2>;5G91f8 7>>20=<7)<=8;3`?l46i3:17b<78;29?xd3jl0;6?4?:1y'6gd=:>80D4<9;I;3`>"50002;:5+23:95f=n:8k1<75`29:94?=zj=i96=4=:183!4ej38<>6F6279K=5b<,;226498;%015;n0;5}#:kh1>:<4H805?M?7l2.94446769'67>=9j1b>=831vn9mn:181>5<7s-8in7<82:J:63=O19n0(?66:854?!4503;h7d<>a;29?j4?03:17pl;cd83>7<729q/>ol52608L<413A3;h6*=888:32=#:;21=n5f20c94?=h:121<75rb5f1>5<5290;w)N>:?1C5=j4$3::><103-8947?l;h02e?6=3f8347>5;|`7`3<72;0;6=u+2c`9624<@08=7E7?d:&1<<<>?>1/>?651b9j64g=831d>5650;9~f1ge29096=4?{%0af?40:2B2>;5G91f8 7>>20=<7)<=8;3`?l46i3:17b<78;29?xd3io0;6?4?:1y'6gd=:>80D4<9;I;3`>"50002;:5+23:95f=n:8k1<75`29:94?=zj=h96=4=:183!4ej38<>6F6279K=5b<,;226498;%015;n0;5}#:kh1>=74H805?M?7l2.94446769'67>=92c5;h5e>5<5<2290;w)N>:?1C5=j4$3::><103-8947?4i6f94?=n?o0;66gl1;29?l4>=3:17b<62;29?xd3j00;684?:1y'6gd=:930D4<9;I;3`>"50002;:5+23:95>o0l3:17d9i:188mf7=831b>4;50;9l6<4=831vn9lk:186>5<7s-8in75;h0:1?6=3f82>7>5;|`7g4<72<0;6=u+2c`965?<@08=7E7?d:&1<<<>?>1/>?651:k4`?6=3`=m6=44ib394?=n:0?1<75`28094?=zj=i>6=4::183!4ej38;56F6279K=5b<,;226498;%01n1<75f7g83>>od93:17d<65;29?j4>:3:17pl;c883>0<729q/>ol521;8L<413A3;h6*=888:32=#:;21=6g8d;29?l1a2900en?50;9j6<3=831d>4<50;9~f1ec290>6=4?{%0af?4712B2>;5G91f8 7>>20=<7)<=8;38m2b=831b;k4?::k`5?6=3`8297>5;n0:6?6=3th?h<4?:483>5}#:kh1>=74H805?M?7l2.94446769'67>=92c5;h5e>5<5<2290;w)N>:?1C5=j4$3::><103-8947?4i6f94?=n?o0;66gl1;29?l4>=3:17b<62;29?xd3ih0;684?:1y'6gd=:930D4<9;I;3`>"50002;:5+23:95>o0l3:17d9i:188mf7=831b>4;50;9l6<4=831vn9oj:186>5<7s-8in75;h0:1?6=3f82>7>5;|`7f4<72<0;6=u+2c`965?<@08=7E7?d:&1<<<>?>1/>?651:k4`?6=3`=m6=44ib394?=n:0?1<75`28094?=zj?k26=4;:183!4ej38<46F6279K=5b<,;226498;h:2>5<5<k;%0;=??0?2c3=7>5;h333?6=3`8j>7>5;n05b?6=3th=mo4?:583>5}#:kh1>:64H805?M?7l2.94446769j<4<722c:<:4?::k1e7<722e9:k4?::a2de=83>1<7>t$3`a>71?3A39:6F60e9'6=?=1>=0e5?50;9j551=831b>l<50;9l63`=831vn;ok:187>5<7s-8in7<88:J:63=O19n0(?66:854?l>62900e<>8:188m7g52900c?8i:188yg0fm3:1m7>50z&1fg<5ij1C5?84H82g?_1d28q8>7s+29;9=21<,;83695f8383>>od93:17d9i:188k7?52900c?7;:188m16=83.94h45$3:f>6`o4k3:1(?6j:2d8j7>c2:10e>l50;&1<`<4n2d94i4;;:a2d`=83k1<7>t$3`a>7gd3A39:6F60e9Y3f<6s:81q)<79;;43>"5:10?7d6=:188mf7=831b;k4?::m1=7<722e9594?::k74?6=,;2n6>h4n3:g>5=h50m0:76gb2:l0b?6k:398m6e=83.94h45$3:f>6`k;[5`>4}4:3w/>5759658 74?2=1b4?4?::k`5?6=3`=m6=44o3;1>5<o4m3:1(?6j:2d8j7>c2810e>j50;&1<`<4n2d94i4=;:k0g?6=,;2n6>h4n3:g>6=h50m0?76sm6c394??=83:p(?lm:3ca?M?5>2B2>o403:17d=6:188m6g=831b;k4?::k;6?6=3`i:6=44o3;1>5<k;%0;=??0?2c3=7>5;h333?6=3`8j>7>5;n05b?6=3th=5;4?:583>5}#:kh1>:64H805?M?7l2.94446769j<4<722c:<:4?::k1e7<722e9:k4?::a2<1=83>1<7>t$3`a>71?3A39:6F60e9'6=?=1>=0e5?50;9j551=831b>l<50;9l63`=831vn;77:187>5<7s-8in7<88:J:63=O19n0(?66:854?l>62900e<>8:188m7g52900c?8i:188yg0>13:187>50z&1fg<5?11C5?84H82g?!4?133<;6g71;29?l77?3:17dd<729q/>ol52`a8L<413A3;h6T8c;3x77>o0n3:17b<62;29?j4><3:17d:?:18'6=c=;o1e>5j50:9j7`<72-83i7=i;o0;`?7<3`9o6=4+29g97c=i:1n1>65f3b83>!4?m39m7c<7d;18?l5e290/>5k53g9m6=b=<21vn;7m:18b>5<7s-8in7x"50002;:5+23:90>o?:3:17dm>:188m2`=831d>4<50;9l6<2=831b8=4?:%0;a?5a3g83h7>4;h1f>5<#:1o1?k5a29f95>=n;m0;6)<7e;1e?k4?l3807d=l:18'6=c=;o1e>5j53:9j7g<72-83i7=i;o0;`?2<3th=5n4?:`83>5}#:kh1>lm4H805?M?7l2P>20=<7)<=8;68m=4=831bo<4?::k4b?6=3f82>7>5;n0:0?6=3`>;6=4+29g97c=i:1n1<65f3d83>!4?m39m7c<7d;38?l5c290/>5k53g9m6=b=:21b?n4?:%0;a?5a3g83h7=4;h1a>5<#:1o1?k5a29f90>=zj?3o6=46:183!4ej38jn6F6279K=5b<,;226498;%01>o413:17d=n:188m2`=831b4?4?::k`5?6=3f82>7>5;n0:7?6=3th=4<4?:583>5}#:kh1>:64H805?M?7l2.94446769j<4<722c:<:4?::k1e7<722e9:k4?::a2=4=83>1<7>t$3`a>71?3A39:6F60e9'6=?=1>=0e5?50;9j551=831b>l<50;9l63`=831vn;6<:187>5<7s-8in7<88:J:63=O19n0(?66:854?l>62900e<>8:188m7g52900c?8i:188yg0?<3:187>50z&1fg<5?11C5?84H82g?!4?133<;6g71;29?l77?3:17d1<729q/>ol526:8L<413A3;h6*=888:32=n080;66g>0683>>o5i;0;66a=6g83>>{e>1<1<7o50;2x 7de2;kh7E7=6:J:4a=]?j0:w><5}%0;=??0?2.9>54;;h:1>5<>i51;0;66a=9583>>o383:1(?6j:2d8j7>c2910e>k50;&1<`<4n2d94i4>;:k0`?6=,;2n6>h4n3:g>7=h50m0876gb2:l0b?6k:598yg0??3:1m7>50z&1fg<5ij1C5?84H82g?_1d28q8>7s+29;9=21<,;83695f8383>>od93:17d9i:188k7?52900c?7;:188m16=83.94h45$3:f>6`o4k3:1(?6j:2d8j7>c2:10e>l50;&1<`<4n2d94i4;;:a2=>=83k1<7>t$3`a>7gd3A39:6F60e9Y3f<6s:81q)<79;;43>"5:10?7d6=:188mf7=831b;k4?::m1=7<722e9594?::k74?6=,;2n6>h4n3:g>5=h50m0:76gb2:l0b?6k:398m6e=83.94h45$3:f>6`k;%0;=??0?2.9>54=;h14>5<>o4i3:17d9i:188m=4=831bo<4?::m1=7<722e95>4?::a7ag=8391<7>t$3`a><423A39:6F60e9'6=?=1>=0e5?50;9j63c=831d>5650;9~f6b0290?6=4?{%0af?4002B2>;5G91f8 7>>20=<7d6>:188m4602900e?o=:188k70a2900qo=ke;297?6=8r.9no46249K=70<@0:o7)<79;;43>o?93:17d<9e;29?j4?03:17pl1<729q/>ol526:8L<413A3;h6*=888:32=n080;66g>0683>>o5i;0;66a=6g83>>{e;l81<7=50;2x 7de208>7E7=6:J:4a=#:1315:94i9394?=n:?o1<75`29:94?=zj:nm6=4;:183!4ej38<46F6279K=5b<,;226498;h:2>5<5<k;%0;=??0?2c3=7>5;h05a?6=3f8347>5;|`0a6<72=0;6=u+2c`962><@08=7E7?d:&1<<<>?>1b4<4?::k242<722c9m?4?::m12c<722wi?ho50;194?6|,;hi64<:;I;12>N>8m1/>5759658m=7=831b>;k50;9l6=>=831vn>k8:187>5<7s-8in7<88:J:63=O19n0(?66:854?l>62900e<>8:188m7g52900c?8i:188yg5bm3:1?7>50z&1fg<>:<1C5?84H82g?!4?133<;6g71;29?l41m3:17b<78;29?xd4mk0;694?:1y'6gd=:>20D4<9;I;3`>"50002;:5f8083>>o68>0;66g=a383>>i5>o0;66sm3g394?5=83:p(?lm:806?M?5>2B25<5290;w)N>:?1C5=j4$3::><103-8947?l;h02e?6=3f8347>5;|`0`a<72;0;6=u+2c`9624<@08=7E7?d:&1<<<>?>1/>?651b9j64g=831d>5650;9~f6c629096=4?{%0af?40:2B2>;5G91f8 7>>20=<7)<=8;3`?l46i3:17b<78;29?xd4m<0;6?4?:1y'6gd=:>80D4<9;I;3`>"50002;:5+23:95f=n:8k1<75`29:94?=zj:o26=4=:183!4ej38<>6F6279K=5b<,;226498;%015;n0;5}#:kh1>:<4H805?M?7l2.94446769'67>=9j1b>=831vn>h?:181>5<7s-8in7<82:J:63=O19n0(?66:854?!4503;h7d<>a;29?j4?03:17pl0<729q/>ol521;8L<413A3;h6*=888:32=#:;21=6g8d;29?l1a2900en?50;9j6<3=831d>4<50;9~f6bd290>6=4?{%0af?4712B2>;5G91f8 7>>20=<7)<=8;38m2b=831b;k4?::k`5?6=3`8297>5;n0:6?6=3th8i=4?:483>5}#:kh1>=74H805?M?7l2.94446769'67>=92c5;h5e>5<5<2290;w)N>:?1C5=j4$3::><103-8947?4i6f94?=n?o0;66gl1;29?l4>=3:17b<62;29?xd4m10;684?:1y'6gd=:930D4<9;I;3`>"50002;:5+23:95>o0l3:17d9i:188mf7=831b>4;50;9l6<4=831vn>kl:186>5<7s-8in75;h0:1?6=3f82>7>5;|`0ac<72<0;6=u+2c`965?<@08=7E7?d:&1<<<>?>1/>?651:k4`?6=3`=m6=44ib394?=n:0?1<75`28094?=zj=2i6=4;:183!4ej38<86F6279K=5b<,;836<<4$3:e><573`8:m7>5;h02f?6=3`8:o7>5;n0;5}#:kh1>::4H805?M?7l2.9>54>f29j64g=831b>5650;9~f103290<6=4?{%0af?40?2B2>;5G91f8 74?211b>5650;9~f10a290?6=4?{%0af?40<2B2>;5G91f8 74?2o1/>5h59248m77f2900e??m:188m77d2900c?67:188yg2??3:1;7>50z&1fg<5?>1C5?84H82g?!45039=7d<>a;29?l46j3:17d<>c;29?l46l3:17d<>e;29?l46n3:17b<78;29?xd3010;6:4?:1y'6gd=:>=0D4<9;I;3`>"5:108=6g=1`83>>o59k0;66g=1b83>>o59m0;66g=1d83>>o59o0;66a=8983>>{e<131<7:50;2x 7de2;=?7E7=6:J:4a=#:;21j6*=8g8:74=n:8k1<75f20`94?=n:8i1<75`29:94?=zj=<>6=49:183!4ej38<:6F6279K=5b<,;836???;h02e?6=3`8:n7>5;h02g?6=3`8:h7>5;h02a?6=3f8347>5;|`723<72>0;6=u+2c`9621<@08=7E7?d:&16=<4>2c9=l4?::k15g<722c9=n4?::k15a<722c9=h4?::k15c<722e9454?::a031=83=1<7>t$3`a>7103A39:6F60e9'67>=;81b>5650;9~f10?290?6=4?{%0af?40<2B2>;5G91f8 74?2o1/>5h59238m77f2900e??m:188m77d2900c?67:188yg2113:1:7>50z&1fg<5??1C5?84H82g?!45038:<6g=1`83>>o59k0;66g=1b83>>o59m0;66g=1d83>>i5010;66sm47c94?1=83:p(?lm:354?M?5>2B25<0290;w)N>:?1C5=j4$30;>675<5<5<k;%015;h02g?6=3f8347>5;|`72a<72?0;6=u+2c`9620<@08=7E7?d:&16=<5991b>=831vn98j:184>5<7s-8in7<87:J:63=O19n0(?<7:321?l46i3:17d<>b;29?l46k3:17d<>d;29?l46m3:17d<>f;29?j4?03:17pl;7183>2<729q/>ol52658L<413A3;h6*=29802>o59h0;66g=1c83>>o59j0;66g=1e83>>o59l0;66g=1g83>>i5010;66sm46394?1=83:p(?lm:354?M?5>2B25<3290;w)N>:?1C5=j4$30;>c=#:1l15><4i33b>5<5<3:1k;%01N>8m1/>?652048m77f2900e??m:188m77d2900e??k:188m77b2900e??i:188k7>?2900qo:89;293?6=8r.9no4=769K=70<@0:o7)<=8;15?l46i3:17d<>b;29?l46k3:17d<>d;29?l46m3:17d<>f;29?j4?03:17pl;7`83>2<729q/>ol52658L<413A3;h6*=29805>o59h0;66g=1c83>>o59j0;66g=1e83>>o59l0;66g=1g83>>i5010;66sm46`94?2=83:p(?lm:357?M?5>2B2"50o02??5f20c94?=n:8h1<75f20a94?=h:121<75rb55`>5<1290;w)N>:?1C5=j4$30;>7773`8:m7>5;h02f?6=3`8:o7>5;h02`?6=3`8:i7>5;n0;5}#:kh1>:94H805?M?7l2.9>54>f49j64g=831b>=831vn96=:184>5<7s-8in7<87:J:63=O19n0(?<7:330?l46i3:17d<>b;29?l46k3:17d<>d;29?l46m3:17d<>f;29?j4?03:17pl89383>2<729q/>ol52658L<413A3;h6*=29814a=n:8k1<75f20`94?=n:8i1<75f20f94?=n:8o1<75f20d94?=h:121<75rb6;`>5<4290;w)N>:?1C5=j4$30;>475<k;%01t$3`a>7113A39:6F60e9'67>=k;1b>=831vn:o<:184>5<7s-8in7<87:J:63=O19n0(?<7:336?l46i3:17d<>b;29?l46k3:17d<>d;29?l46m3:17d<>f;29?j4?03:17pl8a583>2<729q/>ol52658L<413A3;h6*=298g?l46i3:17d<>b;29?l46k3:17d<>d;29?l46m3:17d<>f;29?j4?03:17pl89683>2<729q/>ol52658L<413A3;h6*=298151=n:8k1<75f20`94?=n:8i1<75f20f94?=n:8o1<75f20d94?=h:121<75rb6;;>5<2290;w)N>:?1C5=j4$30;>455<5<k;%01t$3`a>7103A39:6F60e9'67>=99<0e??n:188m77e2900e??l:188m77c2900e??j:188m77a2900c?67:188yg1>j3:1;7>50z&1fg<5?>1C5?84H82g?!4503=i7d<>a;29?l46j3:17d<>c;29?l46l3:17d<>e;29?l46n3:17b<78;29?xd00m0;694?:1y'6gd=:920D4<9;I;3`>"50002;:5+23:95>o0l3:17dm>:188m7?22900c?7=:188yg1?n3:187>50z&1fg<5811C5?84H82g?!4?133<;6*=2982?l1c2900en?50;9j6<3=831d>4<50;9~f2?729086=4?{%0af?40;2B2>;5G91f8 74?28l97)<7f;;01>o59h0;66g=1c83>>i5010;66sm7g794?2=83:p(?lm:32;?M?5>2B2>od93:17d<65;29?j4>:3:17pl8f783>6<729q/>ol52618L<413A3;h6*=2982b7=n:8k1<75f20`94?=h:121<75rb650>5<3290;w)N>:?1C5=j4$3::><103-8947?4i6f94?=nk80;66g=9483>>i51;0;66sm76694?5=83:p(?lm:350?M?5>2B25;n0;5}#:kh1>:84H805?M?7l2.9>54=079j64g=831b>5650;9~f2g6290>6=4?{%0af?40=2B2>;5G91f8 74?2;:i7d<>a;29?l46j3:17d<>c;29?l46l3:17b<78;29?xd01o0;6:4?:1y'6gd=:>=0D4<9;I;3`>"5:109=?5f20c94?=n:8h1<75f20a94?=n:8n1<75f20g94?=n:8l1<75`29:94?=zj1?>6=4;:183!4ej38;;6F6279K=5b<,;836<103`=o6=44ib394?=n:0:1<75`28094?=zj::86=4<:183!4ej38;=6F6279K=5b<,;836<103`=o6=44ib394?=h:081<75rb221>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb223>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3df>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3d`>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3d5>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<6=4<:183!4ej38;=6F6279K=5b<,;836<103`=o6=44ib394?=h:081<75rb3d7>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3d2>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3ge>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3gg>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3ga>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3g:>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3g5>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<6=4<:183!4ej38;=6F6279K=5b<,;836<103`=o6=44ib394?=h:081<75rb3g7>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3g1>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3g3>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3ff>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3fa>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3f:>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3f4>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3f6>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3f0>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3f3>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3af>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3a`>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3ab>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3a;>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3a6>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3a0>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3a2>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3`e>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3`g>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3db>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3d;>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3g;>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3f1>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=h:081<75rb3``>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<<103`=o6=44ib394?=n:0:1<75`28094?=zj1836=4;:183!4ej38;;6F6279K=5b<,;836<103`=o6=44ib394?=n:0:1<75`28094?=zj1826=4;:183!4ej38;;6F6279K=5b<,;836<103`=o6=44ib394?=n:0:1<75`28094?=zj18j6=4;:183!4ej38;;6F6279K=5b<,;836<103`=o6=44ib394?=n:0:1<75`28094?=zj18i6=4;:183!4ej38;;6F6279K=5b<,;836<103`=o6=44ib394?=n:0:1<75`28094?=zj18h6=4;:183!4ej38;;6F6279K=5b<,;836<103`=o6=44ib394?=n:0:1<75`28094?=zj18o6=4;:183!4ej38;;6F6279K=5b<,;836<103`=o6=44ib394?=n:0:1<75`28094?=zj18n6=4;:183!4ej38;;6F6279K=5b<,;836<103`=o6=44ib394?=n:0:1<75`28094?=zj1;m6=4;:183!4ej38;;6F6279K=5b<,;836<103`=o6=44ib394?=n:0:1<75`28094?=zj18;6=4;:183!4ej38;;6F6279K=5b<,;836<103`=o6=44ib394?=n:0:1<75`28094?=zj18:6=4;:183!4ej38;;6F6279K=5b<,;836<103`=o6=44ib394?=n:0:1<75`28094?=zj1896=4;:183!4ej38;;6F6279K=5b<,;836<103`=o6=44ib394?=n:0:1<75`28094?=zj1886=4;:183!4ej38;;6F6279K=5b<,;836<103`=o6=44ib394?=n:0:1<75`28094?=zj18?6=4;:183!4ej38;;6F6279K=5b<,;836<103`=o6=44ib394?=n:0:1<75`28094?=zj18>6=4;:183!4ej38;;6F6279K=5b<,;836<103`=o6=44ib394?=n:0:1<75`28094?=zj18=6=4;:183!4ej38;;6F6279K=5b<,;836<103`=o6=44ib394?=n:0:1<75`28094?=zj>=m6=4;:183!4ej38;?6F6279K=5b<,;836<5+29;9=21n1<75f9983>>od93:17b<62;29?xd0090;694?:1y'6gd=:990D4<9;I;3`>"5:10:7)<79;;43>o0l3:17d77:188mf7=831d>4<50;9~f210290?6=4?{%0af?47?2B2>;5G91f8 74?28;0(?66:854?l1c2900en?50;9j6<6=831d>4<50;9~f211290?6=4?{%0af?47;2B2>;5G91f8 74?281/>5759658m2b=831b554?::k`5?6=3f82>7>5;|`4eg<72=0;6=u+2c`9655<@08=7E7?d:&16=<63-8357787:k4`?6=3`336=44ib394?=h:081<75rb617>5<4290;w)N>:?1C5=j4$30;>47<,;226498;h5g>5<836=4;:183!4ej38;?6F6279K=5b<,;836<5+29;9=21n1<75f9983>>od93:17b<62;29?xd0:>0;694?:1y'6gd=:990D4<9;I;3`>"5:10:7)<79;;43>o0l3:17d77:188mf7=831d>4<50;9~f272290?6=4?{%0af?47;2B2>;5G91f8 74?281/>5759658m2b=831b554?::k`5?6=3f82>7>5;|`451<72=0;6=u+2c`9655<@08=7E7?d:&16=<63-8357787:k4`?6=3`336=44ib394?=h:081<75rb5:7>5<3290;w)N>:?1C5=j4i33b>5<5<k;%01t$3`a>7103A39:6F60e9'67>=:980e??n:188m77e2900e??l:188m77c2900e??j:188m77a2900c?67:188yg20=3:187>50z&1fg<5?01C5?84H82g?l46i3:17d<>b;29?l4f:3:17b<78;29?xd3??0;6;4?:1y'6gd=:><0D4<9;I;3`>"5:1095<0290;w)N>:?1C5=j4$30;>7653`8:m7>5;h02f?6=3`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3f8347>5;|`73`<72=0;6=u+2c`962?<@08=7E7?d:k15d<722c9=o4?::k1e7<722e9454?::a02`=83<1<7>t$3`a>7113A39:6F60e9'67>=:9i0e??n:188m77e2900e??l:188m77c2900e??j:188k7>?2900qo:70;293?6=8r.9no4=769K=70<@0:o7)<=8;036>o59h0;66g=1c83>>o59j0;66g=1e83>>o59l0;66g=1g83>>i5010;66sm78694?2=83:p(?lm:35:?M?5>2B25<0290;w)N>:?1C5=j4$30;>7763`8:m7>5;h02f?6=3`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3f8347>5;|`4=3<72?0;6=u+2c`9620<@08=7E7?d:&16=<58?1b>=831vn98?:185a?6=8r.9no4=969K=70<@0:o7W9l:by20?722;81>>4>f;3f>76=:80:m7?6:0:95gh6il0;7c?j1;28 4`e2;:;7)?ic;034>"5:90=7)<=1;48 7452?1/>?=56:&16<<13-89m784$30a>3=#:;i1:6*=2e85?!45m3<0(?2.9?<49;%006?0<,;986;5+22692>"5;<0=7)<<6;48 7502?1/>>656:&17<<13-88m784$31a>3=#::i1:6*=3e85?!44m3<0(?=i:79'616=>2.98<49;%076?0<,;>86;5+25692>"5<<0=7)<;6;48 7202?1/>9656:&12<<43-8=m7=4$34a>7>03-8247l>57g9'6d7=?o1/>l;59028 7gc2:1/>lk53:&:67<>:81/5?=59338m7?b2900e2900e7:l1;:m2e1<72-83i7?n3:l1;:m2e4<72-83i7?n3:l1;:m2b4<72-83i7?i0:l1;:k:65<72-83i77>f:l1;:k60?6=,;2n68=4n3:g>5=h50m0:76g:1;29 7>b2<90b?6k:398m0b=83.94h4:3:l1o7>5$3:f>05?6`=8e86?>o2i3:1(?6j:418j7>c2?10e8750;&1<`<2;2d94i48;:k6==h50m0276g:6;29 7>b2<90b?6k:`98m03=83.94h4:3:l1<7>5$3:f>055<#:1o1=:o4n3:g>5=5<#:1o1=;?4n3:g>5=5<#:1o1=;?4n3:g>7=54i07g>5<#:1o1=;?4n3:g>1=5<#:1o1=;?4n3:g>3=5<#:1o1=;?4n3:g>==5<#:1o1=;?4n3:g>d=5<#:1o1=;?4n3:g>f=5<#:1o1=;?4n3:g>`=5<#:1o1=?h4n3:g>5=5<#:1o1=?h4n3:g>7=54i00a>5<#:1o1=?h4n3:g>1=5<#:1o1=?h4n3:g>3=5<#:1o1=?h4n3:g>==5<#:1o1=?h4n3:g>d=5<#:1o1=?h4n3:g>f=5<#:1o1=?h4n3:g>`=o1n3:1(?6j:628j7>c2810e;k50;&1<`<082d94i4=;:k4e?6=,;2n6:>4n3:g>6=31<7*=8d844>h50m0?76g88;29 7>b2>:0b?6k:498m21=83.94h480:l15$3:f>26o0<3:1(?6j:628j7>c2010e:=50;&1<`<082d94i4n;:k46?6=,;2n6:>4n3:g>g=h50m0h76a>d683>!4?m3;o:6`=8e83?>i6l<0;6)<7e;3g2>h50m0:76a>d583>!4?m3;o:6`=8e81?>i6l:0;6)<7e;3g2>h50m0876a>d383>!4?m3;o:6`=8e87?>i6l80;6)<7e;3g2>h50m0>76a>e183>!4?m3;o:6`=8e85?>i6lo0;6)<7e;3g2>h50m0<76a>dd83>!4?m3;o:6`=8e8;?>i6lm0;6)<7e;3g2>h50m0276a>db83>!4?m3;o:6`=8e8b?>i6lk0;6)<7e;3g2>h50m0i76a>d`83>!4?m3;o:6`=8e8`?>i6l00;6)<7e;3g2>h50m0o76a>d983>!4?m3;o:6`=8e8f?>i6l90;6)<7e;3g2>h50m0m76g>7283>!4?m3;<>6`=8e83?>o6?80;6)<7e;346>h50m0:76sm41394?dd290;w)N>:?1C5=j4Z6a9g~7128=1>o4=f;0`>66=:m09:7<::3596`<5<3w/>5759658j4632;1e==;52:l2e`<73g;n=7>4$0da>7673-;mo73=#:;91:6*=2585?!45=3<0(?<9:79'671=>2.9>449;%01e?0<,;8i6;5+23a92>"5:m0=7)<=e;48 74a2?1/>>>56:&174<13-88>784$310>3=#::>1:6*=3485?!44>3<0(?=8:79'66>=>2.9?449;%00e?0<,;9i6;5+22a92>"5;m0=7)<9>56:&104<13-8?>784$360>3=#:=>1:6*=4485?!43>3<0(?:8:79'61>=>2.98449;%07e?0<,;>i6;5+25a92>"58>56:&114<13-8>>784$370>3=#:<>1:6*=5485?!42>3<0(?;8:79'60>=>2.99449;%06e?0<,;?i6;5+24a92>"5=m0=7)<:e;48 73a2?1/>;>56:&124<13-8=>784$340>3=#:?>1:6*=6485?!41>3<0(?88:79'63>=>2.9:44:;%05e?3<,;?46209'=75=1;;0e?76:188m=?=831b=k750;9j64:l14:l1<2d94i4?;:m2=6<72-83i7?64:l1<2d94i4=;:m2=5<72-83i7?64:l1<2d94i4;;:m2<`<72-83i7?64:l1<2d94i49;:m2<2d94i47;:m232e:444?:%0;a?7><2d94i4n;:m2<=<72-83i7?64:l1<2d94i4l;:m2<0<72-83i7?64:l1<2d94i4j;:m2<6<72-83i7?64:l1<2d94i4>0:9l5=7=83.94h4>959m6=b=9810c<6?:18'6=c=90>0b?6k:008?j70n3:1(?6j:0;7?k4?l3;876a>7d83>!4?m3;286`=8e820>=h9>n1<7*=8d82=1=i:1n1=854o0;`>5<#:1o1=4:4n3:g>40<3f;2n7>5$3:f>4?33g83h7?8;:m2=d<72-83i7?64:l15j51898k4??290/>5k51868j7>c28k07b?67;29 7>b283?7c<7d;3a?>i61?0;6)<7e;3:0>h50m0:o65`18094?"50l0:595a29f95a=5$3:f>13o3;3:1(?6j:578j7>c2;10e9<50;&1<`<3=2d94i4<;:k7b?6=,;2n69;4n3:g>1=h50m0>76g;d;29 7>b2=?0b?6k:798m1e=83.94h4;5:l15$3:f>13o313:1(?6j:578j7>c2h10e9650;&1<`<3=2d94i4m;:k73?6=,;2n69;4n3:g>f=h50m0o76g93;29 7>b2?80b?6k:198m37=83.94h492:l15$3:f>346`=8e80?>o1k3:1(?6j:708j7>c2=10e;l50;&1<`<1:2d94i4:;:k5e?6=,;2n6;<4n3:g>3=h50m0<76g98;29 7>b2?80b?6k:998m31=83.94h492:l132c=:7>5$3:f>346`=8e8a?>o1<3:1(?6j:708j7>c2j10e8k50;&1<`<1:2d94i4k;:k216<72-83i7?:2:l1;:k20c<72-83i7?:2:l14?:%0;a?72:2d94i4k;:k207<72-83i7?:2:l1h50;&1<`<6=;1e>5j51098m45b290/>5k51408j7>c28807d?b28?97c<7d;30?>o6;j0;6)<7e;366>h50m0:865f12`94?"50l0:9?5a29f950=8:9j501=83.94h4>539m6=b=9010e<;9:18'6=c=9<80b?6k:0c8?l72=3:1(?6j:071?k4?l3;i76g>5583>!4?m3;>>6`=8e82g>=n9<:1<7*=8d8217=i:1n1=i54i066>5<#:1o1=8<4n3:g>4c<3`;8m7>5$3:f>4353g83h7?i;:m2a3<72-83i7?j5:l1;:m2a6<72-83i7?j5:l1;:k230<72-83i7?87:l1;:m2ag<72-83i7?jd:l1;:m2g1<72-83i7?l7:l14?:%0;a?7d?2d94i4<;:m2g7<72-83i7?l7:l11e>5j51098k4d4290/>5k51b58j7>c28807b?m2;29 7>b28i<7c<7d;30?>i6j80;6)<7e;3`3>h50m0:865`1c294?"50l0:o:5a29f950=8:9l5fe=83.94h4>c69m6=b=9010cc883>!4?m3;h;6`=8e82g>=h9j?1<7*=8d82g2=i:1n1=i54o0`b>5<#:1o1=n94n3:g>4c<3f;jj7>5$3:f>4e03g83h7?i;:k:5a<72-83i77>c:l1;:k:5d<72-83i77>c:l1;:k25a<72-83i7?=0:l15j51098m46d290/>5k51328j7>c28807d??b;29 7>b288;7c<7d;30?>o68h0;6)<7e;314>h50m0:865f11;94?"50l0:>=5a29f950=;4?:%0;a?7582d94i4>8:9j573=83.94h4>219m6=b=9010e<<;:18'6=c=9;:0b?6k:0c8?l75;3:1(?6j:003?k4?l3;i76g>2383>!4?m3;9<6`=8e82g>=n98o1<7*=8d8265=i:1n1=i54i030>5<#:1o1=?>4n3:g>4c<3`;;47>5$3:f>4473g83h7?i;:a077=83hh6=4?{%0af?4>>2B2>;5G91f8^2e=kr;=6<952c81b?4d2::1>i4=6;06>71=:l0987s+29;9=21h6il0;7c?j1;28 4`e2;:;7)?ic;034>"5:90=7)<=1;48 7452?1/>?=56:&161<13-899784$305>3=#:;=1:6*=2885?!45i3<0(?2.9>i49;%01a?0<,;8m6;5+22292>"5;80=7)<<2;48 7542?1/>>:56:&170<13-88:784$314>3=#::21:6*=3885?!44i3<0(?=m:79'66e=>2.9?i49;%00a?0<,;9m6;5+25292>"5<80=7)<;2;48 7242?1/>9:56:&100<13-8?:784$364>3=#:=21:6*=4885?!43i3<0(?:m:79'61e=>2.98i49;%07a?0<,;>m6;5+24292>"5=80=7)<:2;48 7342?1/>8:56:&110<13-8>:784$374>3=#:<21:6*=5885?!42i3<0(?;m:79'60e=>2.99i49;%06a?0<,;?m6;5+27292>"5>80=7)<92;48 7042?1/>;:56:&120<13-8=:784$344>3=#:?21:6*=6886?!41i3?0(?8m:3:4?!4>n38i<6*=a184b>"5i80l64$3cg>0=#:ho196*6238:64=#1;915??4i3;:>5<>o51h0;66g=9b83>>o6n>0;66g>7183>!4?m3;=j6`=8e83?>o6>l0;6)<7e;35b>h50m0:76g>6e83>!4?m3;=j6`=8e81?>o6>j0;6)<7e;35b>h50m0876g61483>!4?m33:86`=8e83?>o>9:0;6)<7e;;20>h50m0:76g61383>!4?m33:86`=8e81?>o>980;6)<7e;;20>h50m0876a>9483>!4?m3;286`=8e83?>i61:0;6)<7e;3:0>h50m0:76a>9083>!4?m3;286`=8e81?>i6190;6)<7e;3:0>h50m0876a>8g83>!4?m3;286`=8e87?>i60l0;6)<7e;3:0>h50m0>76a>8e83>!4?m3;286`=8e85?>i60j0;6)<7e;3:0>h50m0<76a>8c83>!4?m3;286`=8e8;?>i60h0;6)<7e;3:0>h50m0276a>8883>!4?m3;286`=8e8b?>i6010;6)<7e;3:0>h50m0i76a>8783>!4?m3;286`=8e8`?>i60<0;6)<7e;3:0>h50m0o76a>8583>!4?m3;286`=8e8f?>i60:0;6)<7e;3:0>h50m0m76a>8383>!4?m3;286`=8e824>=h91;1<7*=8d82=1=i:1n1=<54o0:3>5<#:1o1=4:4n3:g>44<3f;5$3:f>4?33g83h7?<;:m23`<72-83i7?64:l15j51498k4?d290/>5k51868j7>c28<07b?6b;29 7>b283?7c<7d;34?>i61h0;6)<7e;3:0>h50m0:465`18;94?"50l0:595a29f95<=<2d94i4>c:9l5<4=83.94h4>959m6=b=9m10c<68:18'6=c=90>0b?6k:0g8?j70k3:1(?6j:0;7?k4?l3;m76g;6;29 7>b2=?0b?6k:198m12=83.94h4;5:l15$3:f>13o3n3:1(?6j:578j7>c2=10e9k50;&1<`<3=2d94i4:;:k7`?6=,;2n69;4n3:g>3=h50m0<76g;b;29 7>b2=?0b?6k:998m1g=83.94h4;5:l132c?57>5$3:f>13o3?3:1(?6j:578j7>c2j10e9?50;&1<`<3=2d94i4k;:k57?6=,;2n6;<4n3:g>5=h50m0:76g90;29 7>b2?80b?6k:398m0`=83.94h492:l15$3:f>346`=8e86?>o1i3:1(?6j:708j7>c2?10e;750;&1<`<1:2d94i48;:k5==h50m0276g96;29 7>b2?80b?6k:`98m33=83.94h492:l15$3:f>346`=8e8g?>o6=:0;6)<7e;366>h50m0;76g>5083>!4?m3;>>6`=8e82?>o6h50m0976g>4d83>!4?m3;>>6`=8e80?>o6h50m0?76g>4b83>!4?m3;>>6`=8e86?>o6h50m0=76g>4`83>!4?m3;>>6`=8e84?>o6<00;6)<7e;366>h50m0376g>4983>!4?m3;>>6`=8e8:?>o6<>0;6)<7e;366>h50m0j76g>4783>!4?m3;>>6`=8e8a?>o6<=0;6)<7e;366>h50m0h76g>4283>!4?m3;>>6`=8e8g?>o6<;0;6)<7e;366>h50m0n76g>4083>!4?m3;>>6`=8e8e?>o6<90;6)<7e;366>h50m0:<65f12d94?"50l0:9?5a29f954=4:9j56d=83.94h4>539m6=b=9<10e<;n:18'6=c=9<80b?6k:048?l7213:1(?6j:071?k4?l3;<76g>5983>!4?m3;>>6`=8e82<>=n9<=1<7*=8d8217=i:1n1=454i075>5<#:1o1=8<4n3:g>4g<3`;>97>5$3:f>4353g83h7?m;:k211<72-83i7?:2:l150;&1<`<6=;1e>5j51e98m422290/>5k51408j7>c28o07d?b28?97c<7d;3e?>i6m?0;6)<7e;3f1>h50m0;76a>e583>!4?m3;n96`=8e82?>i6m:0;6)<7e;3f1>h50m0976a>e383>!4?m3;n96`=8e80?>o6?10;6)<7e;343>h50m0;76g>7783>!4?m3;<;6`=8e82?>o6?<0;6)<7e;343>h50m0976g>7583>!4?m3;<;6`=8e80?>i6ml0;6)<7e;3f`>h50m0;76a>eb83>!4?m3;nh6`=8e82?>i6mk0;6)<7e;3f`>h50m0976a>e`83>!4?m3;nh6`=8e80?>i6k10;6)<7e;3`3>h50m0;76a>c783>!4?m3;h;6`=8e82?>i6k=0;6)<7e;3`3>h50m0976a>c283>!4?m3;h;6`=8e80?>i6k;0;6)<7e;3`3>h50m0?76a>c083>!4?m3;h;6`=8e86?>i6k90;6)<7e;3`3>h50m0=76a>bg83>!4?m3;h;6`=8e84?>i6jl0;6)<7e;3`3>h50m0376a>be83>!4?m3;h;6`=8e8:?>i6jj0;6)<7e;3`3>h50m0j76a>bc83>!4?m3;h;6`=8e8a?>i6j00;6)<7e;3`3>h50m0h76a>b983>!4?m3;h;6`=8e8g?>i6j>0;6)<7e;3`3>h50m0n76a>b783>!4?m3;h;6`=8e8e?>i6j<0;6)<7e;3`3>h50m0:<65`1c694?"50l0:o:5a29f954=4:9l5g6=83.94h4>c69m6=b=9<10cce83>!4?m3;h;6`=8e82<>=h9ji1<7*=8d82g2=i:1n1=454o0aa>5<#:1o1=n94n3:g>4g<3f;hm7>5$3:f>4e03g83h7?m;:m2g<<72-83i7?l7:l11e>5j51e98k4df290/>5k51b58j7>c28o07b?nf;29 7>b28i<7c<7d;3e?>o>9m0;6)<7e;;2g>h50m0;76g61c83>!4?m33:o6`=8e82?>o>9h0;6)<7e;;2g>h50m0976g61883>!4?m33:o6`=8e80?>o6:80;6)<7e;314>h50m0;76g>1g83>!4?m3;9<6`=8e82?>o69m0;6)<7e;314>h50m0976g>1b83>!4?m3;9<6`=8e80?>o69k0;6)<7e;314>h50m0?76g>1`83>!4?m3;9<6`=8e86?>o6900;6)<7e;314>h50m0=76g>1983>!4?m3;9<6`=8e84?>o69>0;6)<7e;314>h50m0376g>1783>!4?m3;9<6`=8e8:?>o69<0;6)<7e;314>h50m0j76g>1583>!4?m3;9<6`=8e8a?>o69;0;6)<7e;314>h50m0h76g>1083>!4?m3;9<6`=8e8g?>o6990;6)<7e;314>h50m0n76g>0g83>!4?m3;9<6`=8e8e?>o68l0;6)<7e;314>h50m0:<65f11f94?"50l0:>=5a29f954=4:9j55?=83.94h4>219m6=b=9<10e<<7:18'6=c=9;:0b?6k:048?l75?3:1(?6j:003?k4?l3;<76g>2783>!4?m3;9<6`=8e82<>=n9;?1<7*=8d8265=i:1n1=454i007>5<#:1o1=?>4n3:g>4g<3`;9?7>5$3:f>4473g83h7?m;:k267<72-83i7?=0:l15j51e98m474290/>5k51328j7>c28o07d??8;29 7>b288;7c<7d;3e?>{e<=81<7ll:183!4ej382:6F6279K=5bi1ov?9:0596g<5n38h6>>52e812?422;=1>h4=4;'6=?=1>=0b<>;:39m553=:2d:mh4?;o3f5?6<,8li6?>?;%3eg?4782.9>=49;%015?0<,;896;5+23192>"5:=0=7)<=5;48 7412?1/>?956:&16<<13-89m784$30a>3=#:;i1:6*=2e85?!45m3<0(?2.9?<49;%006?0<,;986;5+22692>"5;<0=7)<<6;48 7502?1/>>656:&17<<13-88m784$31a>3=#::i1:6*=3e85?!44m3<0(?=i:79'616=>2.98<49;%076?0<,;>86;5+25692>"5<<0=7)<;6;48 7202?1/>9656:&10<<13-8?m784$36a>3=#:=i1:6*=4e85?!43m3<0(?:i:79'606=>2.99<49;%066?0<,;?86;5+24692>"5=<0=7)<:6;48 7302?1/>8656:&11<<13-8>m784$37a>3=#:2.9:<49;%056?0<,;<86;5+27692>"5><0=7)<96;48 7002?1/>;656:&12<<23-8=m7;4$34a>7>03-82j7991/>l852`:8 7gc2<1/>lk55:&:67<>:81/5?=59338m7?>2900e5750;9j5c?=831b>4j50;9j;:k22a<72-83i7?9f:l14:l14?:%0;a??6<2d94i4>;:k:57<72-83i77>4:l14?:%0;a?7><2d94i4>;:m2=4<72-83i7?64:l1<2d94i4<;:m2<2d94i4:;:m2<2d94i48;:m2<2d94i46;:m2<<<72-83i7?64:l1<2d94i4m;:m2<3<72-83i7?64:l1<2d94i4k;:m2<1<72-83i7?64:l14?:%0;a?7><2d94i4i;:m2<7<72-83i7?64:l15j51098k4>7290/>5k51868j7>c28807b?8f;29 7>b283?7c<7d;30?>i6?l0;6)<7e;3:0>h50m0:865`16f94?"50l0:595a29f950=<2d94i4>8:9l5959m6=b=9010c<77:18'6=c=90>0b?6k:0c8?j7>?3:1(?6j:0;7?k4?l3;i76a>9783>!4?m3;286`=8e82g>=h9081<7*=8d82=1=i:1n1=i54o0:4>5<#:1o1=4:4n3:g>4c<3f;5$3:f>4?33g83h7?i;:k72?6=,;2n69;4n3:g>5=1<7*=8d871>h50m0:76g;3;29 7>b2=?0b?6k:398m14=83.94h4;5:l15$3:f>13o3l3:1(?6j:578j7>c2?10e9m50;&1<`<3=2d94i48;:k7f?6=,;2n69;4n3:g>==h50m0276g;9;29 7>b2=?0b?6k:`98m1>=83.94h4;5:l15$3:f>13o1;3:1(?6j:708j7>c2910e;?50;&1<`<1:2d94i4>;:k54?6=,;2n6;<4n3:g>7=h50m0876g9c;29 7>b2?80b?6k:598m3d=83.94h492:l15$3:f>346`=8e84?>o103:1(?6j:708j7>c2110e;950;&1<`<1:2d94i46;:k52?6=,;2n6;<4n3:g>d=h50m0i76g94;29 7>b2?80b?6k:b98m0c=83.94h492:l14?:%0;a?72:2d94i4?;:k214<72-83i7?:2:l132c:8:4?:%0;a?72:2d94i4n;:k203<72-83i7?:2:l10:9j56`=83.94h4>539m6=b=9810e<=j:18'6=c=9<80b?6k:008?l74l3:1(?6j:071?k4?l3;876g>3b83>!4?m3;>>6`=8e820>=n9:h1<7*=8d8217=i:1n1=854i07b>5<#:1o1=8<4n3:g>40<3`;>57>5$3:f>4353g83h7?8;:k21=<72-83i7?:2:l15j51898m431290/>5k51408j7>c28k07d?:5;29 7>b28?97c<7d;3a?>o6==0;6)<7e;366>h50m0:o65f14294?"50l0:9?5a29f95a=>6=4+29g95044?:%0;a?7b=2d94i4=;:m2a7<72-83i7?j5:l132e:nn4?:%0;a?7d?2d94i4n;:m2fg<72-83i7?l7:l10:9l5g2=83.94h4>c69m6=b=9810cb083>!4?m3;h;6`=8e820>=h9k:1<7*=8d82g2=i:1n1=854o0ae>5<#:1o1=n94n3:g>40<3f;hi7>5$3:f>4e03g83h7?8;:m2ga<72-83i7?l7:l11e>5j51898k4ee290/>5k51b58j7>c28k07b?la;29 7>b28i<7c<7d;3a?>i6k00;6)<7e;3`3>h50m0:o65`1b794?"50l0:o:5a29f95a=c:l1c:l1<4?:%0;a?7582d94i4?;:k25c<72-83i7?=0:l132c:=84?:%0;a?7582d94i4n;:k251<72-83i7?=0:l10:9j55b=83.94h4>219m6=b=9810e<>l:18'6=c=9;:0b?6k:008?l77j3:1(?6j:003?k4?l3;876g>0`83>!4?m3;9<6`=8e820>=n9931<7*=8d8265=i:1n1=854i00;>5<#:1o1=?>4n3:g>40<3`;9;7>5$3:f>4473g83h7?8;:k263<72-83i7?=0:l15j51898m443290/>5k51328j7>c28k07d?=3;29 7>b288;7c<7d;3a?>o6:;0;6)<7e;314>h50m0:o65f10g94?"50l0:>=5a29f95a=5<7s-8in7<66:J:63=O19n0V:m5cz35>41=:k09j738>6?952d810?{#:1315:94n027>7=i99?1>6`>ad83?k7b93:0(2.9>949;%011?0<,;8=6;5+23592>"5:00=7)<=a;48 74e2?1/>?m56:&16a<13-89i784$30e>3=#:::1:6*=3085?!44:3<0(?=<:79'662=>2.9?849;%002?0<,;9<6;5+22:92>"5;00=7)<>m56:&17a<13-88i784$31e>3=#:=:1:6*=4085?!43:3<0(?:<:79'612=>2.98849;%072?0<,;><6;5+25:92>"5<00=7)<;a;48 72e2?1/>9m56:&10a<13-8?i784$36e>3=#:<:1:6*=5085?!42:3<0(?;<:79'602=>2.99849;%062?0<,;?<6;5+24:92>"5=00=7)<:a;48 73e2?1/>8m56:&11a<13-8>i784$37e>3=#:?:1:6*=6085?!41:3<0(?8<:79'632=>2.9:849;%052?0<,;<<6;5+27:92>"5>00>7)<9a;78 70e2;2<7)<6f;0a4>"5i90"5i<02==5+2`496d><,;ko685+2`g91>">:;02><5+9319=77>o51j0;66g>f683>>o6?90;6)<7e;35b>h50m0;76g>6d83>!4?m3;=j6`=8e82?>o6>m0;6)<7e;35b>h50m0976g>6b83>!4?m3;=j6`=8e80?>o>9<0;6)<7e;;20>h50m0;76g61283>!4?m33:86`=8e82?>o>9;0;6)<7e;;20>h50m0976g61083>!4?m33:86`=8e80?>i61<0;6)<7e;3:0>h50m0;76a>9283>!4?m3;286`=8e82?>i6180;6)<7e;3:0>h50m0976a>9183>!4?m3;286`=8e80?>i60o0;6)<7e;3:0>h50m0?76a>8d83>!4?m3;286`=8e86?>i60m0;6)<7e;3:0>h50m0=76a>8b83>!4?m3;286`=8e84?>i60k0;6)<7e;3:0>h50m0376a>8`83>!4?m3;286`=8e8:?>i6000;6)<7e;3:0>h50m0j76a>8983>!4?m3;286`=8e8a?>i60?0;6)<7e;3:0>h50m0h76a>8483>!4?m3;286`=8e8g?>i60=0;6)<7e;3:0>h50m0n76a>8283>!4?m3;286`=8e8e?>i60;0;6)<7e;3:0>h50m0:<65`19394?"50l0:595a29f954=<2d94i4>4:9l52b=83.94h4>959m6=b=9<10c<7l:18'6=c=90>0b?6k:048?j7>j3:1(?6j:0;7?k4?l3;<76a>9`83>!4?m3;286`=8e82<>=h9031<7*=8d82=1=i:1n1=454o0;;>5<#:1o1=4:4n3:g>4g<3f;2;7>5$3:f>4?33g83h7?m;:m2=3<72-83i7?64:l15j51e98k4>0290/>5k51868j7>c28o07b?8c;29 7>b283?7c<7d;3e?>o3>3:1(?6j:578j7>c2910e9:50;&1<`<3=2d94i4>;:k77?6=,;2n69;4n3:g>7=h50m0876g;f;29 7>b2=?0b?6k:598m1c=83.94h4;5:l15$3:f>13o3j3:1(?6j:578j7>c2110e9o50;&1<`<3=2d94i46;:k7=?6=,;2n69;4n3:g>d=h50m0i76g;7;29 7>b2=?0b?6k:b98m17=83.94h4;5:l15$3:f>346`=8e82?>o183:1(?6j:708j7>c2;10e8h50;&1<`<1:2d94i4<;:k5g?6=,;2n6;<4n3:g>1=h50m0>76g9a;29 7>b2?80b?6k:798m3?=83.94h492:l15$3:f>346`=8e8:?>o1>3:1(?6j:708j7>c2h10e;;50;&1<`<1:2d94i4m;:k50?6=,;2n6;<4n3:g>f=h50m0o76g>5283>!4?m3;>>6`=8e83?>o6=80;6)<7e;366>h50m0:76g>4g83>!4?m3;>>6`=8e81?>o6h50m0876g>4e83>!4?m3;>>6`=8e87?>o6h50m0>76g>4c83>!4?m3;>>6`=8e85?>o6h50m0<76g>4883>!4?m3;>>6`=8e8;?>o6<10;6)<7e;366>h50m0276g>4683>!4?m3;>>6`=8e8b?>o6h50m0i76g>4583>!4?m3;>>6`=8e8`?>o6<:0;6)<7e;366>h50m0o76g>4383>!4?m3;>>6`=8e8f?>o6<80;6)<7e;366>h50m0m76g>4183>!4?m3;>>6`=8e824>=n9:l1<7*=8d8217=i:1n1=<54i01f>5<#:1o1=8<4n3:g>44<3`;8h7>5$3:f>4353g83h7?<;:k27f<72-83i7?:2:l1l50;&1<`<6=;1e>5j51498m43f290/>5k51408j7>c28<07d?:9;29 7>b28?97c<7d;34?>o6=10;6)<7e;366>h50m0:465f14594?"50l0:9?5a29f95<=c:9j506=83.94h4>539m6=b=9m10e<:::18'6=c=9<80b?6k:0g8?l74i3:1(?6j:071?k4?l3;m76a>e783>!4?m3;n96`=8e83?>i6m=0;6)<7e;3f1>h50m0:76a>e283>!4?m3;n96`=8e81?>i6m;0;6)<7e;3f1>h50m0876g>7983>!4?m3;<;6`=8e83?>o6??0;6)<7e;343>h50m0:76g>7483>!4?m3;<;6`=8e81?>o6?=0;6)<7e;343>h50m0876a>ed83>!4?m3;nh6`=8e83?>i6mj0;6)<7e;3f`>h50m0:76a>ec83>!4?m3;nh6`=8e81?>i6mh0;6)<7e;3f`>h50m0876a>c983>!4?m3;h;6`=8e83?>i6k?0;6)<7e;3`3>h50m0:76a>c583>!4?m3;h;6`=8e81?>i6k:0;6)<7e;3`3>h50m0876a>c383>!4?m3;h;6`=8e87?>i6k80;6)<7e;3`3>h50m0>76a>c183>!4?m3;h;6`=8e85?>i6jo0;6)<7e;3`3>h50m0<76a>bd83>!4?m3;h;6`=8e8;?>i6jm0;6)<7e;3`3>h50m0276a>bb83>!4?m3;h;6`=8e8b?>i6jk0;6)<7e;3`3>h50m0i76a>b883>!4?m3;h;6`=8e8`?>i6j10;6)<7e;3`3>h50m0o76a>b683>!4?m3;h;6`=8e8f?>i6j?0;6)<7e;3`3>h50m0m76a>b483>!4?m3;h;6`=8e824>=h9k>1<7*=8d82g2=i:1n1=<54o0`0>5<#:1o1=n94n3:g>44<3f;i>7>5$3:f>4e03g83h7?<;:m2f4<72-83i7?l7:l150;&1<`<6k>1e>5j51498k4ea290/>5k51b58j7>c28<07b?le;29 7>b28i<7c<7d;34?>i6km0;6)<7e;3`3>h50m0:465`1ba94?"50l0:o:5a29f95<=c:9l5f3=83.94h4>c69m6=b=9m10c!4?m33:o6`=8e83?>o>9k0;6)<7e;;2g>h50m0:76g61`83>!4?m33:o6`=8e81?>o>900;6)<7e;;2g>h50m0876g>2083>!4?m3;9<6`=8e83?>o69o0;6)<7e;314>h50m0:76g>1e83>!4?m3;9<6`=8e81?>o69j0;6)<7e;314>h50m0876g>1c83>!4?m3;9<6`=8e87?>o69h0;6)<7e;314>h50m0>76g>1883>!4?m3;9<6`=8e85?>o6910;6)<7e;314>h50m0<76g>1683>!4?m3;9<6`=8e8;?>o69?0;6)<7e;314>h50m0276g>1483>!4?m3;9<6`=8e8b?>o69=0;6)<7e;314>h50m0i76g>1383>!4?m3;9<6`=8e8`?>o6980;6)<7e;314>h50m0o76g>1183>!4?m3;9<6`=8e8f?>o68o0;6)<7e;314>h50m0m76g>0d83>!4?m3;9<6`=8e824>=n99n1<7*=8d8265=i:1n1=<54i02`>5<#:1o1=?>4n3:g>44<3`;;n7>5$3:f>4473g83h7?<;:k24d<72-83i7?=0:l15j51498m44?290/>5k51328j7>c28<07d?=7;29 7>b288;7c<7d;34?>o6:?0;6)<7e;314>h50m0:465f13794?"50l0:>=5a29f95<=?4?:%0;a?7582d94i4>c:9j54c=83.94h4>219m6=b=9m10eN>:?1C5=j4Z6a9g~7128=1>o4=f;0`>66=:m09:7<::3596`<5<3w/>5759658j4632;1e==;52:l2e`<73g;n=7>4$0da>7673-;mo73=#:;91:6*=2585?!45=3<0(?<9:79'671=>2.9>449;%01e?0<,;8i6;5+23a92>"5:m0=7)<=e;48 74a2?1/>>>56:&174<13-88>784$310>3=#::>1:6*=3485?!44>3<0(?=8:79'66>=>2.9?449;%00e?0<,;9i6;5+22a92>"5;m0=7)<9>56:&104<13-8?>784$360>3=#:=>1:6*=4485?!43>3<0(?:8:79'61>=>2.98449;%07e?0<,;>i6;5+25a92>"58>56:&114<13-8>>784$370>3=#:<>1:6*=5485?!42>3<0(?;8:79'60>=>2.99449;%06e?0<,;?i6;5+24a92>"5=m0=7)<:e;48 73a2?1/>;>56:&124<13-8=>784$340>3=#:?>1:6*=6485?!41>3<0(?88:79'63>=>2.9:44:;%05e?3<,;?46209'=75=1;;0e?76:188m=?=831b=k750;9j64:l14:l1<2d94i4?;:m2=6<72-83i7?64:l1<2d94i4=;:m2=5<72-83i7?64:l1<2d94i4;;:m2<`<72-83i7?64:l1<2d94i49;:m2<2d94i47;:m232e:444?:%0;a?7><2d94i4n;:m2<=<72-83i7?64:l1<2d94i4l;:m2<0<72-83i7?64:l1<2d94i4j;:m2<6<72-83i7?64:l1<2d94i4>0:9l5=7=83.94h4>959m6=b=9810c<6?:18'6=c=90>0b?6k:008?j70n3:1(?6j:0;7?k4?l3;876a>7d83>!4?m3;286`=8e820>=h9>n1<7*=8d82=1=i:1n1=854o0;`>5<#:1o1=4:4n3:g>40<3f;2n7>5$3:f>4?33g83h7?8;:m2=d<72-83i7?64:l15j51898k4??290/>5k51868j7>c28k07b?67;29 7>b283?7c<7d;3a?>i61?0;6)<7e;3:0>h50m0:o65`18094?"50l0:595a29f95a=5$3:f>13o3;3:1(?6j:578j7>c2;10e9<50;&1<`<3=2d94i4<;:k7b?6=,;2n69;4n3:g>1=h50m0>76g;d;29 7>b2=?0b?6k:798m1e=83.94h4;5:l15$3:f>13o313:1(?6j:578j7>c2h10e9650;&1<`<3=2d94i4m;:k73?6=,;2n69;4n3:g>f=h50m0o76g93;29 7>b2?80b?6k:198m37=83.94h492:l15$3:f>346`=8e80?>o1k3:1(?6j:708j7>c2=10e;l50;&1<`<1:2d94i4:;:k5e?6=,;2n6;<4n3:g>3=h50m0<76g98;29 7>b2?80b?6k:998m31=83.94h492:l132c=:7>5$3:f>346`=8e8a?>o1<3:1(?6j:708j7>c2j10e8k50;&1<`<1:2d94i4k;:k216<72-83i7?:2:l1;:k20c<72-83i7?:2:l14?:%0;a?72:2d94i4k;:k207<72-83i7?:2:l1h50;&1<`<6=;1e>5j51098m45b290/>5k51408j7>c28807d?b28?97c<7d;30?>o6;j0;6)<7e;366>h50m0:865f12`94?"50l0:9?5a29f950=8:9j501=83.94h4>539m6=b=9010e<;9:18'6=c=9<80b?6k:0c8?l72=3:1(?6j:071?k4?l3;i76g>5583>!4?m3;>>6`=8e82g>=n9<:1<7*=8d8217=i:1n1=i54i066>5<#:1o1=8<4n3:g>4c<3`;8m7>5$3:f>4353g83h7?i;:m2a3<72-83i7?j5:l1;:m2a6<72-83i7?j5:l1;:k230<72-83i7?87:l1;:m2ag<72-83i7?jd:l1;:m2g1<72-83i7?l7:l14?:%0;a?7d?2d94i4<;:m2g7<72-83i7?l7:l11e>5j51098k4d4290/>5k51b58j7>c28807b?m2;29 7>b28i<7c<7d;30?>i6j80;6)<7e;3`3>h50m0:865`1c294?"50l0:o:5a29f950=8:9l5fe=83.94h4>c69m6=b=9010cc883>!4?m3;h;6`=8e82g>=h9j?1<7*=8d82g2=i:1n1=i54o0`b>5<#:1o1=n94n3:g>4c<3f;jj7>5$3:f>4e03g83h7?i;:k:5a<72-83i77>c:l1;:k:5d<72-83i77>c:l1;:k25a<72-83i7?=0:l15j51098m46d290/>5k51328j7>c28807d??b;29 7>b288;7c<7d;30?>o68h0;6)<7e;314>h50m0:865f11;94?"50l0:>=5a29f950=;4?:%0;a?7582d94i4>8:9j573=83.94h4>219m6=b=9010e<<;:18'6=c=9;:0b?6k:0c8?l75;3:1(?6j:003?k4?l3;i76g>2383>!4?m3;9<6`=8e82g>=n98o1<7*=8d8265=i:1n1=i54i030>5<#:1o1=?>4n3:g>4c<3`;;47>5$3:f>4473g83h7?i;:a007=83hh6=4?{%0af?4>>2B2>;5G91f8^2e=kr;=6<952c81b?4d2::1>i4=6;06>71=:l0987s+29;9=21h6il0;7c?j1;28 4`e2;:;7)?ic;034>"5:90=7)<=1;48 7452?1/>?=56:&161<13-899784$305>3=#:;=1:6*=2885?!45i3<0(?2.9>i49;%01a?0<,;8m6;5+22292>"5;80=7)<<2;48 7542?1/>>:56:&170<13-88:784$314>3=#::21:6*=3885?!44i3<0(?=m:79'66e=>2.9?i49;%00a?0<,;9m6;5+25292>"5<80=7)<;2;48 7242?1/>9:56:&100<13-8?:784$364>3=#:=21:6*=4885?!43i3<0(?:m:79'61e=>2.98i49;%07a?0<,;>m6;5+24292>"5=80=7)<:2;48 7342?1/>8:56:&110<13-8>:784$374>3=#:<21:6*=5885?!42i3<0(?;m:79'60e=>2.99i49;%06a?0<,;?m6;5+27292>"5>80=7)<92;48 7042?1/>;:56:&120<13-8=:784$344>3=#:?21:6*=6886?!41i3?0(?8m:3:4?!4>n38i<6*=a184b>"5i80l64$3cg>0=#:ho196*6238:64=#1;915??4i3;:>5<>o51h0;66g=9b83>>o6n>0;66g>7183>!4?m3;=j6`=8e83?>o6>l0;6)<7e;35b>h50m0:76g>6e83>!4?m3;=j6`=8e81?>o6>j0;6)<7e;35b>h50m0876g61483>!4?m33:86`=8e83?>o>9:0;6)<7e;;20>h50m0:76g61383>!4?m33:86`=8e81?>o>980;6)<7e;;20>h50m0876a>9483>!4?m3;286`=8e83?>i61:0;6)<7e;3:0>h50m0:76a>9083>!4?m3;286`=8e81?>i6190;6)<7e;3:0>h50m0876a>8g83>!4?m3;286`=8e87?>i60l0;6)<7e;3:0>h50m0>76a>8e83>!4?m3;286`=8e85?>i60j0;6)<7e;3:0>h50m0<76a>8c83>!4?m3;286`=8e8;?>i60h0;6)<7e;3:0>h50m0276a>8883>!4?m3;286`=8e8b?>i6010;6)<7e;3:0>h50m0i76a>8783>!4?m3;286`=8e8`?>i60<0;6)<7e;3:0>h50m0o76a>8583>!4?m3;286`=8e8f?>i60:0;6)<7e;3:0>h50m0m76a>8383>!4?m3;286`=8e824>=h91;1<7*=8d82=1=i:1n1=<54o0:3>5<#:1o1=4:4n3:g>44<3f;5$3:f>4?33g83h7?<;:m23`<72-83i7?64:l15j51498k4?d290/>5k51868j7>c28<07b?6b;29 7>b283?7c<7d;34?>i61h0;6)<7e;3:0>h50m0:465`18;94?"50l0:595a29f95<=<2d94i4>c:9l5<4=83.94h4>959m6=b=9m10c<68:18'6=c=90>0b?6k:0g8?j70k3:1(?6j:0;7?k4?l3;m76g;6;29 7>b2=?0b?6k:198m12=83.94h4;5:l15$3:f>13o3n3:1(?6j:578j7>c2=10e9k50;&1<`<3=2d94i4:;:k7`?6=,;2n69;4n3:g>3=h50m0<76g;b;29 7>b2=?0b?6k:998m1g=83.94h4;5:l132c?57>5$3:f>13o3?3:1(?6j:578j7>c2j10e9?50;&1<`<3=2d94i4k;:k57?6=,;2n6;<4n3:g>5=h50m0:76g90;29 7>b2?80b?6k:398m0`=83.94h492:l15$3:f>346`=8e86?>o1i3:1(?6j:708j7>c2?10e;750;&1<`<1:2d94i48;:k5==h50m0276g96;29 7>b2?80b?6k:`98m33=83.94h492:l15$3:f>346`=8e8g?>o6=:0;6)<7e;366>h50m0;76g>5083>!4?m3;>>6`=8e82?>o6h50m0976g>4d83>!4?m3;>>6`=8e80?>o6h50m0?76g>4b83>!4?m3;>>6`=8e86?>o6h50m0=76g>4`83>!4?m3;>>6`=8e84?>o6<00;6)<7e;366>h50m0376g>4983>!4?m3;>>6`=8e8:?>o6<>0;6)<7e;366>h50m0j76g>4783>!4?m3;>>6`=8e8a?>o6<=0;6)<7e;366>h50m0h76g>4283>!4?m3;>>6`=8e8g?>o6<;0;6)<7e;366>h50m0n76g>4083>!4?m3;>>6`=8e8e?>o6<90;6)<7e;366>h50m0:<65f12d94?"50l0:9?5a29f954=4:9j56d=83.94h4>539m6=b=9<10e<;n:18'6=c=9<80b?6k:048?l7213:1(?6j:071?k4?l3;<76g>5983>!4?m3;>>6`=8e82<>=n9<=1<7*=8d8217=i:1n1=454i075>5<#:1o1=8<4n3:g>4g<3`;>97>5$3:f>4353g83h7?m;:k211<72-83i7?:2:l150;&1<`<6=;1e>5j51e98m422290/>5k51408j7>c28o07d?b28?97c<7d;3e?>i6m?0;6)<7e;3f1>h50m0;76a>e583>!4?m3;n96`=8e82?>i6m:0;6)<7e;3f1>h50m0976a>e383>!4?m3;n96`=8e80?>o6?10;6)<7e;343>h50m0;76g>7783>!4?m3;<;6`=8e82?>o6?<0;6)<7e;343>h50m0976g>7583>!4?m3;<;6`=8e80?>i6ml0;6)<7e;3f`>h50m0;76a>eb83>!4?m3;nh6`=8e82?>i6mk0;6)<7e;3f`>h50m0976a>e`83>!4?m3;nh6`=8e80?>i6k10;6)<7e;3`3>h50m0;76a>c783>!4?m3;h;6`=8e82?>i6k=0;6)<7e;3`3>h50m0976a>c283>!4?m3;h;6`=8e80?>i6k;0;6)<7e;3`3>h50m0?76a>c083>!4?m3;h;6`=8e86?>i6k90;6)<7e;3`3>h50m0=76a>bg83>!4?m3;h;6`=8e84?>i6jl0;6)<7e;3`3>h50m0376a>be83>!4?m3;h;6`=8e8:?>i6jj0;6)<7e;3`3>h50m0j76a>bc83>!4?m3;h;6`=8e8a?>i6j00;6)<7e;3`3>h50m0h76a>b983>!4?m3;h;6`=8e8g?>i6j>0;6)<7e;3`3>h50m0n76a>b783>!4?m3;h;6`=8e8e?>i6j<0;6)<7e;3`3>h50m0:<65`1c694?"50l0:o:5a29f954=4:9l5g6=83.94h4>c69m6=b=9<10cce83>!4?m3;h;6`=8e82<>=h9ji1<7*=8d82g2=i:1n1=454o0aa>5<#:1o1=n94n3:g>4g<3f;hm7>5$3:f>4e03g83h7?m;:m2g<<72-83i7?l7:l11e>5j51e98k4df290/>5k51b58j7>c28o07b?nf;29 7>b28i<7c<7d;3e?>o>9m0;6)<7e;;2g>h50m0;76g61c83>!4?m33:o6`=8e82?>o>9h0;6)<7e;;2g>h50m0976g61883>!4?m33:o6`=8e80?>o6:80;6)<7e;314>h50m0;76g>1g83>!4?m3;9<6`=8e82?>o69m0;6)<7e;314>h50m0976g>1b83>!4?m3;9<6`=8e80?>o69k0;6)<7e;314>h50m0?76g>1`83>!4?m3;9<6`=8e86?>o6900;6)<7e;314>h50m0=76g>1983>!4?m3;9<6`=8e84?>o69>0;6)<7e;314>h50m0376g>1783>!4?m3;9<6`=8e8:?>o69<0;6)<7e;314>h50m0j76g>1583>!4?m3;9<6`=8e8a?>o69;0;6)<7e;314>h50m0h76g>1083>!4?m3;9<6`=8e8g?>o6990;6)<7e;314>h50m0n76g>0g83>!4?m3;9<6`=8e8e?>o68l0;6)<7e;314>h50m0:<65f11f94?"50l0:>=5a29f954=4:9j55?=83.94h4>219m6=b=9<10e<<7:18'6=c=9;:0b?6k:048?l75?3:1(?6j:003?k4?l3;<76g>2783>!4?m3;9<6`=8e82<>=n9;?1<7*=8d8265=i:1n1=454i007>5<#:1o1=?>4n3:g>4g<3`;9?7>5$3:f>4473g83h7?m;:k267<72-83i7?=0:l15j51e98m474290/>5k51328j7>c28o07d??8;29 7>b288;7c<7d;3e?>{e<<>1<7ll:183!4ej382:6F6279K=5bi1ov?9:0596g<5n38h6>>52e812?422;=1>h4=4;'6=?=1>=0b<>;:39m553=:2d:mh4?;o3f5?6<,8li6?>?;%3eg?4782.9>=49;%015?0<,;896;5+23192>"5:=0=7)<=5;48 7412?1/>?956:&16<<13-89m784$30a>3=#:;i1:6*=2e85?!45m3<0(?2.9?<49;%006?0<,;986;5+22692>"5;<0=7)<<6;48 7502?1/>>656:&17<<13-88m784$31a>3=#::i1:6*=3e85?!44m3<0(?=i:79'616=>2.98<49;%076?0<,;>86;5+25692>"5<<0=7)<;6;48 7202?1/>9656:&10<<13-8?m784$36a>3=#:=i1:6*=4e85?!43m3<0(?:i:79'606=>2.99<49;%066?0<,;?86;5+24692>"5=<0=7)<:6;48 7302?1/>8656:&11<<13-8>m784$37a>3=#:2.9:<49;%056?0<,;<86;5+27692>"5><0=7)<96;48 7002?1/>;656:&12<<23-8=m7;4$34a>7>03-82j7991/>l852`:8 7gc2<1/>lk55:&:67<>:81/5?=59338m7?>2900e5750;9j5c?=831b>4j50;9j;:k22a<72-83i7?9f:l14:l14?:%0;a??6<2d94i4>;:k:57<72-83i77>4:l14?:%0;a?7><2d94i4>;:m2=4<72-83i7?64:l1<2d94i4<;:m2<2d94i4:;:m2<2d94i48;:m2<2d94i46;:m2<<<72-83i7?64:l1<2d94i4m;:m2<3<72-83i7?64:l1<2d94i4k;:m2<1<72-83i7?64:l14?:%0;a?7><2d94i4i;:m2<7<72-83i7?64:l15j51098k4>7290/>5k51868j7>c28807b?8f;29 7>b283?7c<7d;30?>i6?l0;6)<7e;3:0>h50m0:865`16f94?"50l0:595a29f950=<2d94i4>8:9l5959m6=b=9010c<77:18'6=c=90>0b?6k:0c8?j7>?3:1(?6j:0;7?k4?l3;i76a>9783>!4?m3;286`=8e82g>=h9081<7*=8d82=1=i:1n1=i54o0:4>5<#:1o1=4:4n3:g>4c<3f;5$3:f>4?33g83h7?i;:k72?6=,;2n69;4n3:g>5=1<7*=8d871>h50m0:76g;3;29 7>b2=?0b?6k:398m14=83.94h4;5:l15$3:f>13o3l3:1(?6j:578j7>c2?10e9m50;&1<`<3=2d94i48;:k7f?6=,;2n69;4n3:g>==h50m0276g;9;29 7>b2=?0b?6k:`98m1>=83.94h4;5:l15$3:f>13o1;3:1(?6j:708j7>c2910e;?50;&1<`<1:2d94i4>;:k54?6=,;2n6;<4n3:g>7=h50m0876g9c;29 7>b2?80b?6k:598m3d=83.94h492:l15$3:f>346`=8e84?>o103:1(?6j:708j7>c2110e;950;&1<`<1:2d94i46;:k52?6=,;2n6;<4n3:g>d=h50m0i76g94;29 7>b2?80b?6k:b98m0c=83.94h492:l14?:%0;a?72:2d94i4?;:k214<72-83i7?:2:l132c:8:4?:%0;a?72:2d94i4n;:k203<72-83i7?:2:l10:9j56`=83.94h4>539m6=b=9810e<=j:18'6=c=9<80b?6k:008?l74l3:1(?6j:071?k4?l3;876g>3b83>!4?m3;>>6`=8e820>=n9:h1<7*=8d8217=i:1n1=854i07b>5<#:1o1=8<4n3:g>40<3`;>57>5$3:f>4353g83h7?8;:k21=<72-83i7?:2:l15j51898m431290/>5k51408j7>c28k07d?:5;29 7>b28?97c<7d;3a?>o6==0;6)<7e;366>h50m0:o65f14294?"50l0:9?5a29f95a=>6=4+29g95044?:%0;a?7b=2d94i4=;:m2a7<72-83i7?j5:l132e:nn4?:%0;a?7d?2d94i4n;:m2fg<72-83i7?l7:l10:9l5g2=83.94h4>c69m6=b=9810cb083>!4?m3;h;6`=8e820>=h9k:1<7*=8d82g2=i:1n1=854o0ae>5<#:1o1=n94n3:g>40<3f;hi7>5$3:f>4e03g83h7?8;:m2ga<72-83i7?l7:l11e>5j51898k4ee290/>5k51b58j7>c28k07b?la;29 7>b28i<7c<7d;3a?>i6k00;6)<7e;3`3>h50m0:o65`1b794?"50l0:o:5a29f95a=c:l1c:l1<4?:%0;a?7582d94i4?;:k25c<72-83i7?=0:l132c:=84?:%0;a?7582d94i4n;:k251<72-83i7?=0:l10:9j55b=83.94h4>219m6=b=9810e<>l:18'6=c=9;:0b?6k:008?l77j3:1(?6j:003?k4?l3;876g>0`83>!4?m3;9<6`=8e820>=n9931<7*=8d8265=i:1n1=854i00;>5<#:1o1=?>4n3:g>40<3`;9;7>5$3:f>4473g83h7?8;:k263<72-83i7?=0:l15j51898m443290/>5k51328j7>c28k07d?=3;29 7>b288;7c<7d;3a?>o6:;0;6)<7e;314>h50m0:o65f10g94?"50l0:>=5a29f95a=5<7s-8in7<66:J:63=O19n0V:m5cz35>41=:k09j738>6?952d810?{#:1315:94n027>7=i99?1>6`>ad83?k7b93:0(2.9>949;%011?0<,;8=6;5+23592>"5:00=7)<=a;48 74e2?1/>?m56:&16a<13-89i784$30e>3=#:::1:6*=3085?!44:3<0(?=<:79'662=>2.9?849;%002?0<,;9<6;5+22:92>"5;00=7)<>m56:&17a<13-88i784$31e>3=#:=:1:6*=4085?!43:3<0(?:<:79'612=>2.98849;%072?0<,;><6;5+25:92>"5<00=7)<;a;48 72e2?1/>9m56:&10a<13-8?i784$36e>3=#:<:1:6*=5085?!42:3<0(?;<:79'602=>2.99849;%062?0<,;?<6;5+24:92>"5=00=7)<:a;48 73e2?1/>8m56:&11a<13-8>i784$37e>3=#:?:1:6*=6085?!41:3<0(?8<:79'632=>2.9:849;%052?0<,;<<6;5+27:92>"5>00>7)<9a;78 70e2;2<7)<6f;0a4>"5i90"5i<02==5+2`496d><,;ko685+2`g91>">:;02><5+9319=77>o51j0;66g>f683>>o6?90;6)<7e;35b>h50m0;76g>6d83>!4?m3;=j6`=8e82?>o6>m0;6)<7e;35b>h50m0976g>6b83>!4?m3;=j6`=8e80?>o>9<0;6)<7e;;20>h50m0;76g61283>!4?m33:86`=8e82?>o>9;0;6)<7e;;20>h50m0976g61083>!4?m33:86`=8e80?>i61<0;6)<7e;3:0>h50m0;76a>9283>!4?m3;286`=8e82?>i6180;6)<7e;3:0>h50m0976a>9183>!4?m3;286`=8e80?>i60o0;6)<7e;3:0>h50m0?76a>8d83>!4?m3;286`=8e86?>i60m0;6)<7e;3:0>h50m0=76a>8b83>!4?m3;286`=8e84?>i60k0;6)<7e;3:0>h50m0376a>8`83>!4?m3;286`=8e8:?>i6000;6)<7e;3:0>h50m0j76a>8983>!4?m3;286`=8e8a?>i60?0;6)<7e;3:0>h50m0h76a>8483>!4?m3;286`=8e8g?>i60=0;6)<7e;3:0>h50m0n76a>8283>!4?m3;286`=8e8e?>i60;0;6)<7e;3:0>h50m0:<65`19394?"50l0:595a29f954=<2d94i4>4:9l52b=83.94h4>959m6=b=9<10c<7l:18'6=c=90>0b?6k:048?j7>j3:1(?6j:0;7?k4?l3;<76a>9`83>!4?m3;286`=8e82<>=h9031<7*=8d82=1=i:1n1=454o0;;>5<#:1o1=4:4n3:g>4g<3f;2;7>5$3:f>4?33g83h7?m;:m2=3<72-83i7?64:l15j51e98k4>0290/>5k51868j7>c28o07b?8c;29 7>b283?7c<7d;3e?>o3>3:1(?6j:578j7>c2910e9:50;&1<`<3=2d94i4>;:k77?6=,;2n69;4n3:g>7=h50m0876g;f;29 7>b2=?0b?6k:598m1c=83.94h4;5:l15$3:f>13o3j3:1(?6j:578j7>c2110e9o50;&1<`<3=2d94i46;:k7=?6=,;2n69;4n3:g>d=h50m0i76g;7;29 7>b2=?0b?6k:b98m17=83.94h4;5:l15$3:f>346`=8e82?>o183:1(?6j:708j7>c2;10e8h50;&1<`<1:2d94i4<;:k5g?6=,;2n6;<4n3:g>1=h50m0>76g9a;29 7>b2?80b?6k:798m3?=83.94h492:l15$3:f>346`=8e8:?>o1>3:1(?6j:708j7>c2h10e;;50;&1<`<1:2d94i4m;:k50?6=,;2n6;<4n3:g>f=h50m0o76g>5283>!4?m3;>>6`=8e83?>o6=80;6)<7e;366>h50m0:76g>4g83>!4?m3;>>6`=8e81?>o6h50m0876g>4e83>!4?m3;>>6`=8e87?>o6h50m0>76g>4c83>!4?m3;>>6`=8e85?>o6h50m0<76g>4883>!4?m3;>>6`=8e8;?>o6<10;6)<7e;366>h50m0276g>4683>!4?m3;>>6`=8e8b?>o6h50m0i76g>4583>!4?m3;>>6`=8e8`?>o6<:0;6)<7e;366>h50m0o76g>4383>!4?m3;>>6`=8e8f?>o6<80;6)<7e;366>h50m0m76g>4183>!4?m3;>>6`=8e824>=n9:l1<7*=8d8217=i:1n1=<54i01f>5<#:1o1=8<4n3:g>44<3`;8h7>5$3:f>4353g83h7?<;:k27f<72-83i7?:2:l1l50;&1<`<6=;1e>5j51498m43f290/>5k51408j7>c28<07d?:9;29 7>b28?97c<7d;34?>o6=10;6)<7e;366>h50m0:465f14594?"50l0:9?5a29f95<=c:9j506=83.94h4>539m6=b=9m10e<:::18'6=c=9<80b?6k:0g8?l74i3:1(?6j:071?k4?l3;m76a>e783>!4?m3;n96`=8e83?>i6m=0;6)<7e;3f1>h50m0:76a>e283>!4?m3;n96`=8e81?>i6m;0;6)<7e;3f1>h50m0876g>7983>!4?m3;<;6`=8e83?>o6??0;6)<7e;343>h50m0:76g>7483>!4?m3;<;6`=8e81?>o6?=0;6)<7e;343>h50m0876a>ed83>!4?m3;nh6`=8e83?>i6mj0;6)<7e;3f`>h50m0:76a>ec83>!4?m3;nh6`=8e81?>i6mh0;6)<7e;3f`>h50m0876a>c983>!4?m3;h;6`=8e83?>i6k?0;6)<7e;3`3>h50m0:76a>c583>!4?m3;h;6`=8e81?>i6k:0;6)<7e;3`3>h50m0876a>c383>!4?m3;h;6`=8e87?>i6k80;6)<7e;3`3>h50m0>76a>c183>!4?m3;h;6`=8e85?>i6jo0;6)<7e;3`3>h50m0<76a>bd83>!4?m3;h;6`=8e8;?>i6jm0;6)<7e;3`3>h50m0276a>bb83>!4?m3;h;6`=8e8b?>i6jk0;6)<7e;3`3>h50m0i76a>b883>!4?m3;h;6`=8e8`?>i6j10;6)<7e;3`3>h50m0o76a>b683>!4?m3;h;6`=8e8f?>i6j?0;6)<7e;3`3>h50m0m76a>b483>!4?m3;h;6`=8e824>=h9k>1<7*=8d82g2=i:1n1=<54o0`0>5<#:1o1=n94n3:g>44<3f;i>7>5$3:f>4e03g83h7?<;:m2f4<72-83i7?l7:l150;&1<`<6k>1e>5j51498k4ea290/>5k51b58j7>c28<07b?le;29 7>b28i<7c<7d;34?>i6km0;6)<7e;3`3>h50m0:465`1ba94?"50l0:o:5a29f95<=c:9l5f3=83.94h4>c69m6=b=9m10c!4?m33:o6`=8e83?>o>9k0;6)<7e;;2g>h50m0:76g61`83>!4?m33:o6`=8e81?>o>900;6)<7e;;2g>h50m0876g>2083>!4?m3;9<6`=8e83?>o69o0;6)<7e;314>h50m0:76g>1e83>!4?m3;9<6`=8e81?>o69j0;6)<7e;314>h50m0876g>1c83>!4?m3;9<6`=8e87?>o69h0;6)<7e;314>h50m0>76g>1883>!4?m3;9<6`=8e85?>o6910;6)<7e;314>h50m0<76g>1683>!4?m3;9<6`=8e8;?>o69?0;6)<7e;314>h50m0276g>1483>!4?m3;9<6`=8e8b?>o69=0;6)<7e;314>h50m0i76g>1383>!4?m3;9<6`=8e8`?>o6980;6)<7e;314>h50m0o76g>1183>!4?m3;9<6`=8e8f?>o68o0;6)<7e;314>h50m0m76g>0d83>!4?m3;9<6`=8e824>=n99n1<7*=8d8265=i:1n1=<54i02`>5<#:1o1=?>4n3:g>44<3`;;n7>5$3:f>4473g83h7?<;:k24d<72-83i7?=0:l15j51498m44?290/>5k51328j7>c28<07d?=7;29 7>b288;7c<7d;34?>o6:?0;6)<7e;314>h50m0:465f13794?"50l0:>=5a29f95<=?4?:%0;a?7582d94i4>c:9j54c=83.94h4>219m6=b=9m10eN>:?1C5=j4Z6a9g~7128=1>o4=f;0`>66=:m09:7<::3596`<5<3w/>5759658j4632;1e==;52:l2e`<73g;n=7>4$0da>7673-;mo73=#:;91:6*=2585?!45=3<0(?<9:79'671=>2.9>449;%01e?0<,;8i6;5+23a92>"5:m0=7)<=e;48 74a2?1/>>>56:&174<13-88>784$310>3=#::>1:6*=3485?!44>3<0(?=8:79'66>=>2.9?449;%00e?0<,;9i6;5+22a92>"5;m0=7)<9>56:&104<13-8?>784$360>3=#:=>1:6*=4485?!43>3<0(?:8:79'61>=>2.98449;%07e?0<,;>i6;5+25a92>"58>56:&114<13-8>>784$370>3=#:<>1:6*=5485?!42>3<0(?;8:79'60>=>2.99449;%06e?0<,;?i6;5+24a92>"5=m0=7)<:e;48 73a2?1/>;>56:&124<13-8=>784$340>3=#:?>1:6*=6485?!41>3<0(?88:79'63>=>2.9:44:;%05e?3<,;?46209'=75=1;;0e?76:188m=?=831b=k750;9j64:l14:l1<2d94i4?;:m2=6<72-83i7?64:l1<2d94i4=;:m2=5<72-83i7?64:l1<2d94i4;;:m2<`<72-83i7?64:l1<2d94i49;:m2<2d94i47;:m232e:444?:%0;a?7><2d94i4n;:m2<=<72-83i7?64:l1<2d94i4l;:m2<0<72-83i7?64:l1<2d94i4j;:m2<6<72-83i7?64:l1<2d94i4>0:9l5=7=83.94h4>959m6=b=9810c<6?:18'6=c=90>0b?6k:008?j70n3:1(?6j:0;7?k4?l3;876a>7d83>!4?m3;286`=8e820>=h9>n1<7*=8d82=1=i:1n1=854o0;`>5<#:1o1=4:4n3:g>40<3f;2n7>5$3:f>4?33g83h7?8;:m2=d<72-83i7?64:l15j51898k4??290/>5k51868j7>c28k07b?67;29 7>b283?7c<7d;3a?>i61?0;6)<7e;3:0>h50m0:o65`18094?"50l0:595a29f95a=5$3:f>13o3;3:1(?6j:578j7>c2;10e9<50;&1<`<3=2d94i4<;:k7b?6=,;2n69;4n3:g>1=h50m0>76g;d;29 7>b2=?0b?6k:798m1e=83.94h4;5:l15$3:f>13o313:1(?6j:578j7>c2h10e9650;&1<`<3=2d94i4m;:k73?6=,;2n69;4n3:g>f=h50m0o76g93;29 7>b2?80b?6k:198m37=83.94h492:l15$3:f>346`=8e80?>o1k3:1(?6j:708j7>c2=10e;l50;&1<`<1:2d94i4:;:k5e?6=,;2n6;<4n3:g>3=h50m0<76g98;29 7>b2?80b?6k:998m31=83.94h492:l132c=:7>5$3:f>346`=8e8a?>o1<3:1(?6j:708j7>c2j10e8k50;&1<`<1:2d94i4k;:k216<72-83i7?:2:l1;:k20c<72-83i7?:2:l14?:%0;a?72:2d94i4k;:k207<72-83i7?:2:l1h50;&1<`<6=;1e>5j51098m45b290/>5k51408j7>c28807d?b28?97c<7d;30?>o6;j0;6)<7e;366>h50m0:865f12`94?"50l0:9?5a29f950=8:9j501=83.94h4>539m6=b=9010e<;9:18'6=c=9<80b?6k:0c8?l72=3:1(?6j:071?k4?l3;i76g>5583>!4?m3;>>6`=8e82g>=n9<:1<7*=8d8217=i:1n1=i54i066>5<#:1o1=8<4n3:g>4c<3`;8m7>5$3:f>4353g83h7?i;:m2a3<72-83i7?j5:l1;:m2a6<72-83i7?j5:l1;:k230<72-83i7?87:l1;:m2ag<72-83i7?jd:l1;:m2g1<72-83i7?l7:l14?:%0;a?7d?2d94i4<;:m2g7<72-83i7?l7:l11e>5j51098k4d4290/>5k51b58j7>c28807b?m2;29 7>b28i<7c<7d;30?>i6j80;6)<7e;3`3>h50m0:865`1c294?"50l0:o:5a29f950=8:9l5fe=83.94h4>c69m6=b=9010cc883>!4?m3;h;6`=8e82g>=h9j?1<7*=8d82g2=i:1n1=i54o0`b>5<#:1o1=n94n3:g>4c<3f;jj7>5$3:f>4e03g83h7?i;:k:5a<72-83i77>c:l1;:k:5d<72-83i77>c:l1;:k25a<72-83i7?=0:l15j51098m46d290/>5k51328j7>c28807d??b;29 7>b288;7c<7d;30?>o68h0;6)<7e;314>h50m0:865f11;94?"50l0:>=5a29f950=;4?:%0;a?7582d94i4>8:9j573=83.94h4>219m6=b=9010e<<;:18'6=c=9;:0b?6k:0c8?l75;3:1(?6j:003?k4?l3;i76g>2383>!4?m3;9<6`=8e82g>=n98o1<7*=8d8265=i:1n1=i54i030>5<#:1o1=?>4n3:g>4c<3`;;47>5$3:f>4473g83h7?i;:a00b=83hh6=4?{%0af?4>>2B2>;5G91f8^2e=kr;=6<952c81b?4d2::1>i4=6;06>71=:l0987s+29;9=21h6il0;7c?j1;28 4`e2;:;7)?ic;034>"5:90=7)<=1;48 7452?1/>?=56:&161<13-899784$305>3=#:;=1:6*=2885?!45i3<0(?2.9>i49;%01a?0<,;8m6;5+22292>"5;80=7)<<2;48 7542?1/>>:56:&170<13-88:784$314>3=#::21:6*=3885?!44i3<0(?=m:79'66e=>2.9?i49;%00a?0<,;9m6;5+25292>"5<80=7)<;2;48 7242?1/>9:56:&100<13-8?:784$364>3=#:=21:6*=4885?!43i3<0(?:m:79'61e=>2.98i49;%07a?0<,;>m6;5+24292>"5=80=7)<:2;48 7342?1/>8:56:&110<13-8>:784$374>3=#:<21:6*=5885?!42i3<0(?;m:79'60e=>2.99i49;%06a?0<,;?m6;5+27292>"5>80=7)<92;48 7042?1/>;:56:&120<13-8=:784$344>3=#:?21:6*=6886?!41i3?0(?8m:3:4?!4>n38i<6*=a184b>"5i80l64$3cg>0=#:ho196*6238:64=#1;915??4i3;:>5<>o51h0;66g=9b83>>o6n>0;66g>7183>!4?m3;=j6`=8e83?>o6>l0;6)<7e;35b>h50m0:76g>6e83>!4?m3;=j6`=8e81?>o6>j0;6)<7e;35b>h50m0876g61483>!4?m33:86`=8e83?>o>9:0;6)<7e;;20>h50m0:76g61383>!4?m33:86`=8e81?>o>980;6)<7e;;20>h50m0876a>9483>!4?m3;286`=8e83?>i61:0;6)<7e;3:0>h50m0:76a>9083>!4?m3;286`=8e81?>i6190;6)<7e;3:0>h50m0876a>8g83>!4?m3;286`=8e87?>i60l0;6)<7e;3:0>h50m0>76a>8e83>!4?m3;286`=8e85?>i60j0;6)<7e;3:0>h50m0<76a>8c83>!4?m3;286`=8e8;?>i60h0;6)<7e;3:0>h50m0276a>8883>!4?m3;286`=8e8b?>i6010;6)<7e;3:0>h50m0i76a>8783>!4?m3;286`=8e8`?>i60<0;6)<7e;3:0>h50m0o76a>8583>!4?m3;286`=8e8f?>i60:0;6)<7e;3:0>h50m0m76a>8383>!4?m3;286`=8e824>=h91;1<7*=8d82=1=i:1n1=<54o0:3>5<#:1o1=4:4n3:g>44<3f;5$3:f>4?33g83h7?<;:m23`<72-83i7?64:l15j51498k4?d290/>5k51868j7>c28<07b?6b;29 7>b283?7c<7d;34?>i61h0;6)<7e;3:0>h50m0:465`18;94?"50l0:595a29f95<=<2d94i4>c:9l5<4=83.94h4>959m6=b=9m10c<68:18'6=c=90>0b?6k:0g8?j70k3:1(?6j:0;7?k4?l3;m76g;6;29 7>b2=?0b?6k:198m12=83.94h4;5:l15$3:f>13o3n3:1(?6j:578j7>c2=10e9k50;&1<`<3=2d94i4:;:k7`?6=,;2n69;4n3:g>3=h50m0<76g;b;29 7>b2=?0b?6k:998m1g=83.94h4;5:l132c?57>5$3:f>13o3?3:1(?6j:578j7>c2j10e9?50;&1<`<3=2d94i4k;:k57?6=,;2n6;<4n3:g>5=h50m0:76g90;29 7>b2?80b?6k:398m0`=83.94h492:l15$3:f>346`=8e86?>o1i3:1(?6j:708j7>c2?10e;750;&1<`<1:2d94i48;:k5==h50m0276g96;29 7>b2?80b?6k:`98m33=83.94h492:l15$3:f>346`=8e8g?>o6=:0;6)<7e;366>h50m0;76g>5083>!4?m3;>>6`=8e82?>o6h50m0976g>4d83>!4?m3;>>6`=8e80?>o6h50m0?76g>4b83>!4?m3;>>6`=8e86?>o6h50m0=76g>4`83>!4?m3;>>6`=8e84?>o6<00;6)<7e;366>h50m0376g>4983>!4?m3;>>6`=8e8:?>o6<>0;6)<7e;366>h50m0j76g>4783>!4?m3;>>6`=8e8a?>o6<=0;6)<7e;366>h50m0h76g>4283>!4?m3;>>6`=8e8g?>o6<;0;6)<7e;366>h50m0n76g>4083>!4?m3;>>6`=8e8e?>o6<90;6)<7e;366>h50m0:<65f12d94?"50l0:9?5a29f954=4:9j56d=83.94h4>539m6=b=9<10e<;n:18'6=c=9<80b?6k:048?l7213:1(?6j:071?k4?l3;<76g>5983>!4?m3;>>6`=8e82<>=n9<=1<7*=8d8217=i:1n1=454i075>5<#:1o1=8<4n3:g>4g<3`;>97>5$3:f>4353g83h7?m;:k211<72-83i7?:2:l150;&1<`<6=;1e>5j51e98m422290/>5k51408j7>c28o07d?b28?97c<7d;3e?>i6m?0;6)<7e;3f1>h50m0;76a>e583>!4?m3;n96`=8e82?>i6m:0;6)<7e;3f1>h50m0976a>e383>!4?m3;n96`=8e80?>o6?10;6)<7e;343>h50m0;76g>7783>!4?m3;<;6`=8e82?>o6?<0;6)<7e;343>h50m0976g>7583>!4?m3;<;6`=8e80?>i6ml0;6)<7e;3f`>h50m0;76a>eb83>!4?m3;nh6`=8e82?>i6mk0;6)<7e;3f`>h50m0976a>e`83>!4?m3;nh6`=8e80?>i6k10;6)<7e;3`3>h50m0;76a>c783>!4?m3;h;6`=8e82?>i6k=0;6)<7e;3`3>h50m0976a>c283>!4?m3;h;6`=8e80?>i6k;0;6)<7e;3`3>h50m0?76a>c083>!4?m3;h;6`=8e86?>i6k90;6)<7e;3`3>h50m0=76a>bg83>!4?m3;h;6`=8e84?>i6jl0;6)<7e;3`3>h50m0376a>be83>!4?m3;h;6`=8e8:?>i6jj0;6)<7e;3`3>h50m0j76a>bc83>!4?m3;h;6`=8e8a?>i6j00;6)<7e;3`3>h50m0h76a>b983>!4?m3;h;6`=8e8g?>i6j>0;6)<7e;3`3>h50m0n76a>b783>!4?m3;h;6`=8e8e?>i6j<0;6)<7e;3`3>h50m0:<65`1c694?"50l0:o:5a29f954=4:9l5g6=83.94h4>c69m6=b=9<10cce83>!4?m3;h;6`=8e82<>=h9ji1<7*=8d82g2=i:1n1=454o0aa>5<#:1o1=n94n3:g>4g<3f;hm7>5$3:f>4e03g83h7?m;:m2g<<72-83i7?l7:l11e>5j51e98k4df290/>5k51b58j7>c28o07b?nf;29 7>b28i<7c<7d;3e?>o>9m0;6)<7e;;2g>h50m0;76g61c83>!4?m33:o6`=8e82?>o>9h0;6)<7e;;2g>h50m0976g61883>!4?m33:o6`=8e80?>o6:80;6)<7e;314>h50m0;76g>1g83>!4?m3;9<6`=8e82?>o69m0;6)<7e;314>h50m0976g>1b83>!4?m3;9<6`=8e80?>o69k0;6)<7e;314>h50m0?76g>1`83>!4?m3;9<6`=8e86?>o6900;6)<7e;314>h50m0=76g>1983>!4?m3;9<6`=8e84?>o69>0;6)<7e;314>h50m0376g>1783>!4?m3;9<6`=8e8:?>o69<0;6)<7e;314>h50m0j76g>1583>!4?m3;9<6`=8e8a?>o69;0;6)<7e;314>h50m0h76g>1083>!4?m3;9<6`=8e8g?>o6990;6)<7e;314>h50m0n76g>0g83>!4?m3;9<6`=8e8e?>o68l0;6)<7e;314>h50m0:<65f11f94?"50l0:>=5a29f954=4:9j55?=83.94h4>219m6=b=9<10e<<7:18'6=c=9;:0b?6k:048?l75?3:1(?6j:003?k4?l3;<76g>2783>!4?m3;9<6`=8e82<>=n9;?1<7*=8d8265=i:1n1=454i007>5<#:1o1=?>4n3:g>4g<3`;9?7>5$3:f>4473g83h7?m;:k267<72-83i7?=0:l15j51e98m474290/>5k51328j7>c28o07d??8;29 7>b288;7c<7d;3e?>{e<9>1<7ll:183!4ej382:6F6279K=5bi1ov?9:0596g<5n38h6>>52e812?422;=1>h4=4;'6=?=1>=0b<>;:39m553=:2d:mh4?;o3f5?6<,8li6?>?;%3eg?4782.9>=49;%015?0<,;896;5+23192>"5:=0=7)<=5;48 7412?1/>?956:&16<<13-89m784$30a>3=#:;i1:6*=2e85?!45m3<0(?2.9?<49;%006?0<,;986;5+22692>"5;<0=7)<<6;48 7502?1/>>656:&17<<13-88m784$31a>3=#::i1:6*=3e85?!44m3<0(?=i:79'616=>2.98<49;%076?0<,;>86;5+25692>"5<<0=7)<;6;48 7202?1/>9656:&10<<13-8?m784$36a>3=#:=i1:6*=4e85?!43m3<0(?:i:79'606=>2.99<49;%066?0<,;?86;5+24692>"5=<0=7)<:6;48 7302?1/>8656:&11<<13-8>m784$37a>3=#:2.9:<49;%056?0<,;<86;5+27692>"5><0=7)<96;48 7002?1/>;656:&12<<23-8=m7;4$34a>7>03-82j7991/>l852`:8 7gc2<1/>lk55:&:67<>:81/5?=59338m7?>2900e5750;9j5c?=831b>4j50;9j;:k22a<72-83i7?9f:l14:l14?:%0;a??6<2d94i4>;:k:57<72-83i77>4:l14?:%0;a?7><2d94i4>;:m2=4<72-83i7?64:l1<2d94i4<;:m2<2d94i4:;:m2<2d94i48;:m2<2d94i46;:m2<<<72-83i7?64:l1<2d94i4m;:m2<3<72-83i7?64:l1<2d94i4k;:m2<1<72-83i7?64:l14?:%0;a?7><2d94i4i;:m2<7<72-83i7?64:l15j51098k4>7290/>5k51868j7>c28807b?8f;29 7>b283?7c<7d;30?>i6?l0;6)<7e;3:0>h50m0:865`16f94?"50l0:595a29f950=<2d94i4>8:9l5959m6=b=9010c<77:18'6=c=90>0b?6k:0c8?j7>?3:1(?6j:0;7?k4?l3;i76a>9783>!4?m3;286`=8e82g>=h9081<7*=8d82=1=i:1n1=i54o0:4>5<#:1o1=4:4n3:g>4c<3f;5$3:f>4?33g83h7?i;:k72?6=,;2n69;4n3:g>5=1<7*=8d871>h50m0:76g;3;29 7>b2=?0b?6k:398m14=83.94h4;5:l15$3:f>13o3l3:1(?6j:578j7>c2?10e9m50;&1<`<3=2d94i48;:k7f?6=,;2n69;4n3:g>==h50m0276g;9;29 7>b2=?0b?6k:`98m1>=83.94h4;5:l15$3:f>13o1;3:1(?6j:708j7>c2910e;?50;&1<`<1:2d94i4>;:k54?6=,;2n6;<4n3:g>7=h50m0876g9c;29 7>b2?80b?6k:598m3d=83.94h492:l15$3:f>346`=8e84?>o103:1(?6j:708j7>c2110e;950;&1<`<1:2d94i46;:k52?6=,;2n6;<4n3:g>d=h50m0i76g94;29 7>b2?80b?6k:b98m0c=83.94h492:l14?:%0;a?72:2d94i4?;:k214<72-83i7?:2:l132c:8:4?:%0;a?72:2d94i4n;:k203<72-83i7?:2:l10:9j56`=83.94h4>539m6=b=9810e<=j:18'6=c=9<80b?6k:008?l74l3:1(?6j:071?k4?l3;876g>3b83>!4?m3;>>6`=8e820>=n9:h1<7*=8d8217=i:1n1=854i07b>5<#:1o1=8<4n3:g>40<3`;>57>5$3:f>4353g83h7?8;:k21=<72-83i7?:2:l15j51898m431290/>5k51408j7>c28k07d?:5;29 7>b28?97c<7d;3a?>o6==0;6)<7e;366>h50m0:o65f14294?"50l0:9?5a29f95a=>6=4+29g95044?:%0;a?7b=2d94i4=;:m2a7<72-83i7?j5:l132e:nn4?:%0;a?7d?2d94i4n;:m2fg<72-83i7?l7:l10:9l5g2=83.94h4>c69m6=b=9810cb083>!4?m3;h;6`=8e820>=h9k:1<7*=8d82g2=i:1n1=854o0ae>5<#:1o1=n94n3:g>40<3f;hi7>5$3:f>4e03g83h7?8;:m2ga<72-83i7?l7:l11e>5j51898k4ee290/>5k51b58j7>c28k07b?la;29 7>b28i<7c<7d;3a?>i6k00;6)<7e;3`3>h50m0:o65`1b794?"50l0:o:5a29f95a=c:l1c:l1<4?:%0;a?7582d94i4?;:k25c<72-83i7?=0:l132c:=84?:%0;a?7582d94i4n;:k251<72-83i7?=0:l10:9j55b=83.94h4>219m6=b=9810e<>l:18'6=c=9;:0b?6k:008?l77j3:1(?6j:003?k4?l3;876g>0`83>!4?m3;9<6`=8e820>=n9931<7*=8d8265=i:1n1=854i00;>5<#:1o1=?>4n3:g>40<3`;9;7>5$3:f>4473g83h7?8;:k263<72-83i7?=0:l15j51898m443290/>5k51328j7>c28k07d?=3;29 7>b288;7c<7d;3a?>o6:;0;6)<7e;314>h50m0:o65f10g94?"50l0:>=5a29f95a=5<7s-8in7<66:J:63=O19n0V:m5cz35>41=:k09j738>6?952d810?{#:1315:94n027>7=i99?1>6`>ad83?k7b93:0(2.9>949;%011?0<,;8=6;5+23592>"5:00=7)<=a;48 74e2?1/>?m56:&16a<13-89i784$30e>3=#:::1:6*=3085?!44:3<0(?=<:79'662=>2.9?849;%002?0<,;9<6;5+22:92>"5;00=7)<>m56:&17a<13-88i784$31e>3=#:=:1:6*=4085?!43:3<0(?:<:79'612=>2.98849;%072?0<,;><6;5+25:92>"5<00=7)<;a;48 72e2?1/>9m56:&10a<13-8?i784$36e>3=#:<:1:6*=5085?!42:3<0(?;<:79'602=>2.99849;%062?0<,;?<6;5+24:92>"5=00=7)<:a;48 73e2?1/>8m56:&11a<13-8>i784$37e>3=#:?:1:6*=6085?!41:3<0(?8<:79'632=>2.9:849;%052?0<,;<<6;5+27:92>"5>00>7)<9a;78 70e2;2<7)<6f;0a4>"5i90"5i<02==5+2`496d><,;ko685+2`g91>">:;02><5+9319=77>o51j0;66g>f683>>o6?90;6)<7e;35b>h50m0;76g>6d83>!4?m3;=j6`=8e82?>o6>m0;6)<7e;35b>h50m0976g>6b83>!4?m3;=j6`=8e80?>o>9<0;6)<7e;;20>h50m0;76g61283>!4?m33:86`=8e82?>o>9;0;6)<7e;;20>h50m0976g61083>!4?m33:86`=8e80?>i61<0;6)<7e;3:0>h50m0;76a>9283>!4?m3;286`=8e82?>i6180;6)<7e;3:0>h50m0976a>9183>!4?m3;286`=8e80?>i60o0;6)<7e;3:0>h50m0?76a>8d83>!4?m3;286`=8e86?>i60m0;6)<7e;3:0>h50m0=76a>8b83>!4?m3;286`=8e84?>i60k0;6)<7e;3:0>h50m0376a>8`83>!4?m3;286`=8e8:?>i6000;6)<7e;3:0>h50m0j76a>8983>!4?m3;286`=8e8a?>i60?0;6)<7e;3:0>h50m0h76a>8483>!4?m3;286`=8e8g?>i60=0;6)<7e;3:0>h50m0n76a>8283>!4?m3;286`=8e8e?>i60;0;6)<7e;3:0>h50m0:<65`19394?"50l0:595a29f954=<2d94i4>4:9l52b=83.94h4>959m6=b=9<10c<7l:18'6=c=90>0b?6k:048?j7>j3:1(?6j:0;7?k4?l3;<76a>9`83>!4?m3;286`=8e82<>=h9031<7*=8d82=1=i:1n1=454o0;;>5<#:1o1=4:4n3:g>4g<3f;2;7>5$3:f>4?33g83h7?m;:m2=3<72-83i7?64:l15j51e98k4>0290/>5k51868j7>c28o07b?8c;29 7>b283?7c<7d;3e?>o3>3:1(?6j:578j7>c2910e9:50;&1<`<3=2d94i4>;:k77?6=,;2n69;4n3:g>7=h50m0876g;f;29 7>b2=?0b?6k:598m1c=83.94h4;5:l15$3:f>13o3j3:1(?6j:578j7>c2110e9o50;&1<`<3=2d94i46;:k7=?6=,;2n69;4n3:g>d=h50m0i76g;7;29 7>b2=?0b?6k:b98m17=83.94h4;5:l15$3:f>346`=8e82?>o183:1(?6j:708j7>c2;10e8h50;&1<`<1:2d94i4<;:k5g?6=,;2n6;<4n3:g>1=h50m0>76g9a;29 7>b2?80b?6k:798m3?=83.94h492:l15$3:f>346`=8e8:?>o1>3:1(?6j:708j7>c2h10e;;50;&1<`<1:2d94i4m;:k50?6=,;2n6;<4n3:g>f=h50m0o76g>5283>!4?m3;>>6`=8e83?>o6=80;6)<7e;366>h50m0:76g>4g83>!4?m3;>>6`=8e81?>o6h50m0876g>4e83>!4?m3;>>6`=8e87?>o6h50m0>76g>4c83>!4?m3;>>6`=8e85?>o6h50m0<76g>4883>!4?m3;>>6`=8e8;?>o6<10;6)<7e;366>h50m0276g>4683>!4?m3;>>6`=8e8b?>o6h50m0i76g>4583>!4?m3;>>6`=8e8`?>o6<:0;6)<7e;366>h50m0o76g>4383>!4?m3;>>6`=8e8f?>o6<80;6)<7e;366>h50m0m76g>4183>!4?m3;>>6`=8e824>=n9:l1<7*=8d8217=i:1n1=<54i01f>5<#:1o1=8<4n3:g>44<3`;8h7>5$3:f>4353g83h7?<;:k27f<72-83i7?:2:l1l50;&1<`<6=;1e>5j51498m43f290/>5k51408j7>c28<07d?:9;29 7>b28?97c<7d;34?>o6=10;6)<7e;366>h50m0:465f14594?"50l0:9?5a29f95<=c:9j506=83.94h4>539m6=b=9m10e<:::18'6=c=9<80b?6k:0g8?l74i3:1(?6j:071?k4?l3;m76a>e783>!4?m3;n96`=8e83?>i6m=0;6)<7e;3f1>h50m0:76a>e283>!4?m3;n96`=8e81?>i6m;0;6)<7e;3f1>h50m0876g>7983>!4?m3;<;6`=8e83?>o6??0;6)<7e;343>h50m0:76g>7483>!4?m3;<;6`=8e81?>o6?=0;6)<7e;343>h50m0876a>ed83>!4?m3;nh6`=8e83?>i6mj0;6)<7e;3f`>h50m0:76a>ec83>!4?m3;nh6`=8e81?>i6mh0;6)<7e;3f`>h50m0876a>c983>!4?m3;h;6`=8e83?>i6k?0;6)<7e;3`3>h50m0:76a>c583>!4?m3;h;6`=8e81?>i6k:0;6)<7e;3`3>h50m0876a>c383>!4?m3;h;6`=8e87?>i6k80;6)<7e;3`3>h50m0>76a>c183>!4?m3;h;6`=8e85?>i6jo0;6)<7e;3`3>h50m0<76a>bd83>!4?m3;h;6`=8e8;?>i6jm0;6)<7e;3`3>h50m0276a>bb83>!4?m3;h;6`=8e8b?>i6jk0;6)<7e;3`3>h50m0i76a>b883>!4?m3;h;6`=8e8`?>i6j10;6)<7e;3`3>h50m0o76a>b683>!4?m3;h;6`=8e8f?>i6j?0;6)<7e;3`3>h50m0m76a>b483>!4?m3;h;6`=8e824>=h9k>1<7*=8d82g2=i:1n1=<54o0`0>5<#:1o1=n94n3:g>44<3f;i>7>5$3:f>4e03g83h7?<;:m2f4<72-83i7?l7:l150;&1<`<6k>1e>5j51498k4ea290/>5k51b58j7>c28<07b?le;29 7>b28i<7c<7d;34?>i6km0;6)<7e;3`3>h50m0:465`1ba94?"50l0:o:5a29f95<=c:9l5f3=83.94h4>c69m6=b=9m10c!4?m33:o6`=8e83?>o>9k0;6)<7e;;2g>h50m0:76g61`83>!4?m33:o6`=8e81?>o>900;6)<7e;;2g>h50m0876g>2083>!4?m3;9<6`=8e83?>o69o0;6)<7e;314>h50m0:76g>1e83>!4?m3;9<6`=8e81?>o69j0;6)<7e;314>h50m0876g>1c83>!4?m3;9<6`=8e87?>o69h0;6)<7e;314>h50m0>76g>1883>!4?m3;9<6`=8e85?>o6910;6)<7e;314>h50m0<76g>1683>!4?m3;9<6`=8e8;?>o69?0;6)<7e;314>h50m0276g>1483>!4?m3;9<6`=8e8b?>o69=0;6)<7e;314>h50m0i76g>1383>!4?m3;9<6`=8e8`?>o6980;6)<7e;314>h50m0o76g>1183>!4?m3;9<6`=8e8f?>o68o0;6)<7e;314>h50m0m76g>0d83>!4?m3;9<6`=8e824>=n99n1<7*=8d8265=i:1n1=<54i02`>5<#:1o1=?>4n3:g>44<3`;;n7>5$3:f>4473g83h7?<;:k24d<72-83i7?=0:l15j51498m44?290/>5k51328j7>c28<07d?=7;29 7>b288;7c<7d;34?>o6:?0;6)<7e;314>h50m0:465f13794?"50l0:>=5a29f95<=?4?:%0;a?7582d94i4>c:9j54c=83.94h4>219m6=b=9m10eN>:?1C5=j4Z6a9g~7128=1>o4=f;0`>66=:m09:7<::3596`<5<3w/>5759658j4632;1e==;52:l2e`<73g;n=7>4$0da>7673-;mo73=#:;91:6*=2585?!45=3<0(?<9:79'671=>2.9>449;%01e?0<,;8i6;5+23a92>"5:m0=7)<=e;48 74a2?1/>>>56:&174<13-88>784$310>3=#::>1:6*=3485?!44>3<0(?=8:79'66>=>2.9?449;%00e?0<,;9i6;5+22a92>"5;m0=7)<9>56:&104<13-8?>784$360>3=#:=>1:6*=4485?!43>3<0(?:8:79'61>=>2.98449;%07e?0<,;>i6;5+25a92>"58>56:&114<13-8>>784$370>3=#:<>1:6*=5485?!42>3<0(?;8:79'60>=>2.99449;%06e?0<,;?i6;5+24a92>"5=m0=7)<:e;48 73a2?1/>;>56:&124<13-8=>784$340>3=#:?>1:6*=6485?!41>3<0(?88:79'63>=>2.9:44:;%05e?3<,;?46209'=75=1;;0e?76:188m=?=831b=k750;9j64:l14:l1<2d94i4?;:m2=6<72-83i7?64:l1<2d94i4=;:m2=5<72-83i7?64:l1<2d94i4;;:m2<`<72-83i7?64:l1<2d94i49;:m2<2d94i47;:m232e:444?:%0;a?7><2d94i4n;:m2<=<72-83i7?64:l1<2d94i4l;:m2<0<72-83i7?64:l1<2d94i4j;:m2<6<72-83i7?64:l1<2d94i4>0:9l5=7=83.94h4>959m6=b=9810c<6?:18'6=c=90>0b?6k:008?j70n3:1(?6j:0;7?k4?l3;876a>7d83>!4?m3;286`=8e820>=h9>n1<7*=8d82=1=i:1n1=854o0;`>5<#:1o1=4:4n3:g>40<3f;2n7>5$3:f>4?33g83h7?8;:m2=d<72-83i7?64:l15j51898k4??290/>5k51868j7>c28k07b?67;29 7>b283?7c<7d;3a?>i61?0;6)<7e;3:0>h50m0:o65`18094?"50l0:595a29f95a=5$3:f>13o3;3:1(?6j:578j7>c2;10e9<50;&1<`<3=2d94i4<;:k7b?6=,;2n69;4n3:g>1=h50m0>76g;d;29 7>b2=?0b?6k:798m1e=83.94h4;5:l15$3:f>13o313:1(?6j:578j7>c2h10e9650;&1<`<3=2d94i4m;:k73?6=,;2n69;4n3:g>f=h50m0o76g93;29 7>b2?80b?6k:198m37=83.94h492:l15$3:f>346`=8e80?>o1k3:1(?6j:708j7>c2=10e;l50;&1<`<1:2d94i4:;:k5e?6=,;2n6;<4n3:g>3=h50m0<76g98;29 7>b2?80b?6k:998m31=83.94h492:l132c=:7>5$3:f>346`=8e8a?>o1<3:1(?6j:708j7>c2j10e8k50;&1<`<1:2d94i4k;:k216<72-83i7?:2:l1;:k20c<72-83i7?:2:l14?:%0;a?72:2d94i4k;:k207<72-83i7?:2:l1h50;&1<`<6=;1e>5j51098m45b290/>5k51408j7>c28807d?b28?97c<7d;30?>o6;j0;6)<7e;366>h50m0:865f12`94?"50l0:9?5a29f950=8:9j501=83.94h4>539m6=b=9010e<;9:18'6=c=9<80b?6k:0c8?l72=3:1(?6j:071?k4?l3;i76g>5583>!4?m3;>>6`=8e82g>=n9<:1<7*=8d8217=i:1n1=i54i066>5<#:1o1=8<4n3:g>4c<3`;8m7>5$3:f>4353g83h7?i;:m2a3<72-83i7?j5:l1;:m2a6<72-83i7?j5:l1;:k230<72-83i7?87:l1;:m2ag<72-83i7?jd:l1;:m2g1<72-83i7?l7:l14?:%0;a?7d?2d94i4<;:m2g7<72-83i7?l7:l11e>5j51098k4d4290/>5k51b58j7>c28807b?m2;29 7>b28i<7c<7d;30?>i6j80;6)<7e;3`3>h50m0:865`1c294?"50l0:o:5a29f950=8:9l5fe=83.94h4>c69m6=b=9010cc883>!4?m3;h;6`=8e82g>=h9j?1<7*=8d82g2=i:1n1=i54o0`b>5<#:1o1=n94n3:g>4c<3f;jj7>5$3:f>4e03g83h7?i;:k:5a<72-83i77>c:l1;:k:5d<72-83i77>c:l1;:k25a<72-83i7?=0:l15j51098m46d290/>5k51328j7>c28807d??b;29 7>b288;7c<7d;30?>o68h0;6)<7e;314>h50m0:865f11;94?"50l0:>=5a29f950=;4?:%0;a?7582d94i4>8:9j573=83.94h4>219m6=b=9010e<<;:18'6=c=9;:0b?6k:0c8?l75;3:1(?6j:003?k4?l3;i76g>2383>!4?m3;9<6`=8e82g>=n98o1<7*=8d8265=i:1n1=i54i030>5<#:1o1=?>4n3:g>4c<3`;;47>5$3:f>4473g83h7?i;:a05b=83hh6=4?{%0af?4>>2B2>;5G91f8^2e=kr;=6<952c81b?4d2::1>i4=6;06>71=:l0987s+29;9=21h6il0;7c?j1;28 4`e2;:;7)?ic;034>"5:90=7)<=1;48 7452?1/>?=56:&161<13-899784$305>3=#:;=1:6*=2885?!45i3<0(?2.9>i49;%01a?0<,;8m6;5+22292>"5;80=7)<<2;48 7542?1/>>:56:&170<13-88:784$314>3=#::21:6*=3885?!44i3<0(?=m:79'66e=>2.9?i49;%00a?0<,;9m6;5+25292>"5<80=7)<;2;48 7242?1/>9:56:&100<13-8?:784$364>3=#:=21:6*=4885?!43i3<0(?:m:79'61e=>2.98i49;%07a?0<,;>m6;5+24292>"5=80=7)<:2;48 7342?1/>8:56:&110<13-8>:784$374>3=#:<21:6*=5885?!42i3<0(?;m:79'60e=>2.99i49;%06a?0<,;?m6;5+27292>"5>80=7)<92;48 7042?1/>;:56:&120<13-8=:784$344>3=#:?21:6*=6886?!41i3?0(?8m:3:4?!4>n38i<6*=a184b>"5i80l64$3cg>0=#:ho196*6238:64=#1;915??4i3;:>5<>o51h0;66g=9b83>>o6n>0;66g>7183>!4?m3;=j6`=8e83?>o6>l0;6)<7e;35b>h50m0:76g>6e83>!4?m3;=j6`=8e81?>o6>j0;6)<7e;35b>h50m0876g61483>!4?m33:86`=8e83?>o>9:0;6)<7e;;20>h50m0:76g61383>!4?m33:86`=8e81?>o>980;6)<7e;;20>h50m0876a>9483>!4?m3;286`=8e83?>i61:0;6)<7e;3:0>h50m0:76a>9083>!4?m3;286`=8e81?>i6190;6)<7e;3:0>h50m0876a>8g83>!4?m3;286`=8e87?>i60l0;6)<7e;3:0>h50m0>76a>8e83>!4?m3;286`=8e85?>i60j0;6)<7e;3:0>h50m0<76a>8c83>!4?m3;286`=8e8;?>i60h0;6)<7e;3:0>h50m0276a>8883>!4?m3;286`=8e8b?>i6010;6)<7e;3:0>h50m0i76a>8783>!4?m3;286`=8e8`?>i60<0;6)<7e;3:0>h50m0o76a>8583>!4?m3;286`=8e8f?>i60:0;6)<7e;3:0>h50m0m76a>8383>!4?m3;286`=8e824>=h91;1<7*=8d82=1=i:1n1=<54o0:3>5<#:1o1=4:4n3:g>44<3f;5$3:f>4?33g83h7?<;:m23`<72-83i7?64:l15j51498k4?d290/>5k51868j7>c28<07b?6b;29 7>b283?7c<7d;34?>i61h0;6)<7e;3:0>h50m0:465`18;94?"50l0:595a29f95<=<2d94i4>c:9l5<4=83.94h4>959m6=b=9m10c<68:18'6=c=90>0b?6k:0g8?j70k3:1(?6j:0;7?k4?l3;m76g;6;29 7>b2=?0b?6k:198m12=83.94h4;5:l15$3:f>13o3n3:1(?6j:578j7>c2=10e9k50;&1<`<3=2d94i4:;:k7`?6=,;2n69;4n3:g>3=h50m0<76g;b;29 7>b2=?0b?6k:998m1g=83.94h4;5:l132c?57>5$3:f>13o3?3:1(?6j:578j7>c2j10e9?50;&1<`<3=2d94i4k;:k57?6=,;2n6;<4n3:g>5=h50m0:76g90;29 7>b2?80b?6k:398m0`=83.94h492:l15$3:f>346`=8e86?>o1i3:1(?6j:708j7>c2?10e;750;&1<`<1:2d94i48;:k5==h50m0276g96;29 7>b2?80b?6k:`98m33=83.94h492:l15$3:f>346`=8e8g?>o6=:0;6)<7e;366>h50m0;76g>5083>!4?m3;>>6`=8e82?>o6h50m0976g>4d83>!4?m3;>>6`=8e80?>o6h50m0?76g>4b83>!4?m3;>>6`=8e86?>o6h50m0=76g>4`83>!4?m3;>>6`=8e84?>o6<00;6)<7e;366>h50m0376g>4983>!4?m3;>>6`=8e8:?>o6<>0;6)<7e;366>h50m0j76g>4783>!4?m3;>>6`=8e8a?>o6<=0;6)<7e;366>h50m0h76g>4283>!4?m3;>>6`=8e8g?>o6<;0;6)<7e;366>h50m0n76g>4083>!4?m3;>>6`=8e8e?>o6<90;6)<7e;366>h50m0:<65f12d94?"50l0:9?5a29f954=4:9j56d=83.94h4>539m6=b=9<10e<;n:18'6=c=9<80b?6k:048?l7213:1(?6j:071?k4?l3;<76g>5983>!4?m3;>>6`=8e82<>=n9<=1<7*=8d8217=i:1n1=454i075>5<#:1o1=8<4n3:g>4g<3`;>97>5$3:f>4353g83h7?m;:k211<72-83i7?:2:l150;&1<`<6=;1e>5j51e98m422290/>5k51408j7>c28o07d?b28?97c<7d;3e?>i6m?0;6)<7e;3f1>h50m0;76a>e583>!4?m3;n96`=8e82?>i6m:0;6)<7e;3f1>h50m0976a>e383>!4?m3;n96`=8e80?>o6?10;6)<7e;343>h50m0;76g>7783>!4?m3;<;6`=8e82?>o6?<0;6)<7e;343>h50m0976g>7583>!4?m3;<;6`=8e80?>i6ml0;6)<7e;3f`>h50m0;76a>eb83>!4?m3;nh6`=8e82?>i6mk0;6)<7e;3f`>h50m0976a>e`83>!4?m3;nh6`=8e80?>i6k10;6)<7e;3`3>h50m0;76a>c783>!4?m3;h;6`=8e82?>i6k=0;6)<7e;3`3>h50m0976a>c283>!4?m3;h;6`=8e80?>i6k;0;6)<7e;3`3>h50m0?76a>c083>!4?m3;h;6`=8e86?>i6k90;6)<7e;3`3>h50m0=76a>bg83>!4?m3;h;6`=8e84?>i6jl0;6)<7e;3`3>h50m0376a>be83>!4?m3;h;6`=8e8:?>i6jj0;6)<7e;3`3>h50m0j76a>bc83>!4?m3;h;6`=8e8a?>i6j00;6)<7e;3`3>h50m0h76a>b983>!4?m3;h;6`=8e8g?>i6j>0;6)<7e;3`3>h50m0n76a>b783>!4?m3;h;6`=8e8e?>i6j<0;6)<7e;3`3>h50m0:<65`1c694?"50l0:o:5a29f954=4:9l5g6=83.94h4>c69m6=b=9<10cce83>!4?m3;h;6`=8e82<>=h9ji1<7*=8d82g2=i:1n1=454o0aa>5<#:1o1=n94n3:g>4g<3f;hm7>5$3:f>4e03g83h7?m;:m2g<<72-83i7?l7:l11e>5j51e98k4df290/>5k51b58j7>c28o07b?nf;29 7>b28i<7c<7d;3e?>o>9m0;6)<7e;;2g>h50m0;76g61c83>!4?m33:o6`=8e82?>o>9h0;6)<7e;;2g>h50m0976g61883>!4?m33:o6`=8e80?>o6:80;6)<7e;314>h50m0;76g>1g83>!4?m3;9<6`=8e82?>o69m0;6)<7e;314>h50m0976g>1b83>!4?m3;9<6`=8e80?>o69k0;6)<7e;314>h50m0?76g>1`83>!4?m3;9<6`=8e86?>o6900;6)<7e;314>h50m0=76g>1983>!4?m3;9<6`=8e84?>o69>0;6)<7e;314>h50m0376g>1783>!4?m3;9<6`=8e8:?>o69<0;6)<7e;314>h50m0j76g>1583>!4?m3;9<6`=8e8a?>o69;0;6)<7e;314>h50m0h76g>1083>!4?m3;9<6`=8e8g?>o6990;6)<7e;314>h50m0n76g>0g83>!4?m3;9<6`=8e8e?>o68l0;6)<7e;314>h50m0:<65f11f94?"50l0:>=5a29f954=4:9j55?=83.94h4>219m6=b=9<10e<<7:18'6=c=9;:0b?6k:048?l75?3:1(?6j:003?k4?l3;<76g>2783>!4?m3;9<6`=8e82<>=n9;?1<7*=8d8265=i:1n1=454i007>5<#:1o1=?>4n3:g>4g<3`;9?7>5$3:f>4473g83h7?m;:k267<72-83i7?=0:l15j51e98m474290/>5k51328j7>c28o07d??8;29 7>b288;7c<7d;3e?>{e<8:1<7ll:183!4ej382:6F6279K=5bi1ov?9:0596g<5n38h6>>52e812?422;=1>h4=4;'6=?=1>=0b<>;:39m553=:2d:mh4?;o3f5?6<,8li6?>?;%3eg?4782.9>=49;%015?0<,;896;5+23192>"5:=0=7)<=5;48 7412?1/>?956:&16<<13-89m784$30a>3=#:;i1:6*=2e85?!45m3<0(?2.9?<49;%006?0<,;986;5+22692>"5;<0=7)<<6;48 7502?1/>>656:&17<<13-88m784$31a>3=#::i1:6*=3e85?!44m3<0(?=i:79'616=>2.98<49;%076?0<,;>86;5+25692>"5<<0=7)<;6;48 7202?1/>9656:&10<<13-8?m784$36a>3=#:=i1:6*=4e85?!43m3<0(?:i:79'606=>2.99<49;%066?0<,;?86;5+24692>"5=<0=7)<:6;48 7302?1/>8656:&11<<13-8>m784$37a>3=#:2.9:<49;%056?0<,;<86;5+27692>"5><0=7)<96;48 7002?1/>;656:&12<<23-8=m7;4$34a>7>03-82j7991/>l852`:8 7gc2<1/>lk55:&:67<>:81/5?=59338m7?>2900e5750;9j5c?=831b>4j50;9j;:k22a<72-83i7?9f:l14:l14?:%0;a??6<2d94i4>;:k:57<72-83i77>4:l14?:%0;a?7><2d94i4>;:m2=4<72-83i7?64:l1<2d94i4<;:m2<2d94i4:;:m2<2d94i48;:m2<2d94i46;:m2<<<72-83i7?64:l1<2d94i4m;:m2<3<72-83i7?64:l1<2d94i4k;:m2<1<72-83i7?64:l14?:%0;a?7><2d94i4i;:m2<7<72-83i7?64:l15j51098k4>7290/>5k51868j7>c28807b?8f;29 7>b283?7c<7d;30?>i6?l0;6)<7e;3:0>h50m0:865`16f94?"50l0:595a29f950=<2d94i4>8:9l5959m6=b=9010c<77:18'6=c=90>0b?6k:0c8?j7>?3:1(?6j:0;7?k4?l3;i76a>9783>!4?m3;286`=8e82g>=h9081<7*=8d82=1=i:1n1=i54o0:4>5<#:1o1=4:4n3:g>4c<3f;5$3:f>4?33g83h7?i;:k72?6=,;2n69;4n3:g>5=1<7*=8d871>h50m0:76g;3;29 7>b2=?0b?6k:398m14=83.94h4;5:l15$3:f>13o3l3:1(?6j:578j7>c2?10e9m50;&1<`<3=2d94i48;:k7f?6=,;2n69;4n3:g>==h50m0276g;9;29 7>b2=?0b?6k:`98m1>=83.94h4;5:l15$3:f>13o1;3:1(?6j:708j7>c2910e;?50;&1<`<1:2d94i4>;:k54?6=,;2n6;<4n3:g>7=h50m0876g9c;29 7>b2?80b?6k:598m3d=83.94h492:l15$3:f>346`=8e84?>o103:1(?6j:708j7>c2110e;950;&1<`<1:2d94i46;:k52?6=,;2n6;<4n3:g>d=h50m0i76g94;29 7>b2?80b?6k:b98m0c=83.94h492:l14?:%0;a?72:2d94i4?;:k214<72-83i7?:2:l132c:8:4?:%0;a?72:2d94i4n;:k203<72-83i7?:2:l10:9j56`=83.94h4>539m6=b=9810e<=j:18'6=c=9<80b?6k:008?l74l3:1(?6j:071?k4?l3;876g>3b83>!4?m3;>>6`=8e820>=n9:h1<7*=8d8217=i:1n1=854i07b>5<#:1o1=8<4n3:g>40<3`;>57>5$3:f>4353g83h7?8;:k21=<72-83i7?:2:l15j51898m431290/>5k51408j7>c28k07d?:5;29 7>b28?97c<7d;3a?>o6==0;6)<7e;366>h50m0:o65f14294?"50l0:9?5a29f95a=>6=4+29g95044?:%0;a?7b=2d94i4=;:m2a7<72-83i7?j5:l132e:nn4?:%0;a?7d?2d94i4n;:m2fg<72-83i7?l7:l10:9l5g2=83.94h4>c69m6=b=9810cb083>!4?m3;h;6`=8e820>=h9k:1<7*=8d82g2=i:1n1=854o0ae>5<#:1o1=n94n3:g>40<3f;hi7>5$3:f>4e03g83h7?8;:m2ga<72-83i7?l7:l11e>5j51898k4ee290/>5k51b58j7>c28k07b?la;29 7>b28i<7c<7d;3a?>i6k00;6)<7e;3`3>h50m0:o65`1b794?"50l0:o:5a29f95a=c:l1c:l1<4?:%0;a?7582d94i4?;:k25c<72-83i7?=0:l132c:=84?:%0;a?7582d94i4n;:k251<72-83i7?=0:l10:9j55b=83.94h4>219m6=b=9810e<>l:18'6=c=9;:0b?6k:008?l77j3:1(?6j:003?k4?l3;876g>0`83>!4?m3;9<6`=8e820>=n9931<7*=8d8265=i:1n1=854i00;>5<#:1o1=?>4n3:g>40<3`;9;7>5$3:f>4473g83h7?8;:k263<72-83i7?=0:l15j51898m443290/>5k51328j7>c28k07d?=3;29 7>b288;7c<7d;3a?>o6:;0;6)<7e;314>h50m0:o65f10g94?"50l0:>=5a29f95a=5<7s-8in7<66:J:63=O19n0V:m5cz35>41=:k09j738>6?952d810?{#:1315:94n027>7=i99?1>6`>ad83?k7b93:0(2.9>949;%011?0<,;8=6;5+23592>"5:00=7)<=a;48 74e2?1/>?m56:&16a<13-89i784$30e>3=#:::1:6*=3085?!44:3<0(?=<:79'662=>2.9?849;%002?0<,;9<6;5+22:92>"5;00=7)<>m56:&17a<13-88i784$31e>3=#:=:1:6*=4085?!43:3<0(?:<:79'612=>2.98849;%072?0<,;><6;5+25:92>"5<00=7)<;a;48 72e2?1/>9m56:&10a<13-8?i784$36e>3=#:<:1:6*=5085?!42:3<0(?;<:79'602=>2.99849;%062?0<,;?<6;5+24:92>"5=00=7)<:a;48 73e2?1/>8m56:&11a<13-8>i784$37e>3=#:?:1:6*=6085?!41:3<0(?8<:79'632=>2.9:849;%052?0<,;<<6;5+27:92>"5>00>7)<9a;78 70e2;2<7)<6f;0a4>"5i90"5i<02==5+2`496d><,;ko685+2`g91>">:;02><5+9319=77>o51j0;66g>f683>>o6?90;6)<7e;35b>h50m0;76g>6d83>!4?m3;=j6`=8e82?>o6>m0;6)<7e;35b>h50m0976g>6b83>!4?m3;=j6`=8e80?>o>9<0;6)<7e;;20>h50m0;76g61283>!4?m33:86`=8e82?>o>9;0;6)<7e;;20>h50m0976g61083>!4?m33:86`=8e80?>i61<0;6)<7e;3:0>h50m0;76a>9283>!4?m3;286`=8e82?>i6180;6)<7e;3:0>h50m0976a>9183>!4?m3;286`=8e80?>i60o0;6)<7e;3:0>h50m0?76a>8d83>!4?m3;286`=8e86?>i60m0;6)<7e;3:0>h50m0=76a>8b83>!4?m3;286`=8e84?>i60k0;6)<7e;3:0>h50m0376a>8`83>!4?m3;286`=8e8:?>i6000;6)<7e;3:0>h50m0j76a>8983>!4?m3;286`=8e8a?>i60?0;6)<7e;3:0>h50m0h76a>8483>!4?m3;286`=8e8g?>i60=0;6)<7e;3:0>h50m0n76a>8283>!4?m3;286`=8e8e?>i60;0;6)<7e;3:0>h50m0:<65`19394?"50l0:595a29f954=<2d94i4>4:9l52b=83.94h4>959m6=b=9<10c<7l:18'6=c=90>0b?6k:048?j7>j3:1(?6j:0;7?k4?l3;<76a>9`83>!4?m3;286`=8e82<>=h9031<7*=8d82=1=i:1n1=454o0;;>5<#:1o1=4:4n3:g>4g<3f;2;7>5$3:f>4?33g83h7?m;:m2=3<72-83i7?64:l15j51e98k4>0290/>5k51868j7>c28o07b?8c;29 7>b283?7c<7d;3e?>o3>3:1(?6j:578j7>c2910e9:50;&1<`<3=2d94i4>;:k77?6=,;2n69;4n3:g>7=h50m0876g;f;29 7>b2=?0b?6k:598m1c=83.94h4;5:l15$3:f>13o3j3:1(?6j:578j7>c2110e9o50;&1<`<3=2d94i46;:k7=?6=,;2n69;4n3:g>d=h50m0i76g;7;29 7>b2=?0b?6k:b98m17=83.94h4;5:l15$3:f>346`=8e82?>o183:1(?6j:708j7>c2;10e8h50;&1<`<1:2d94i4<;:k5g?6=,;2n6;<4n3:g>1=h50m0>76g9a;29 7>b2?80b?6k:798m3?=83.94h492:l15$3:f>346`=8e8:?>o1>3:1(?6j:708j7>c2h10e;;50;&1<`<1:2d94i4m;:k50?6=,;2n6;<4n3:g>f=h50m0o76g>5283>!4?m3;>>6`=8e83?>o6=80;6)<7e;366>h50m0:76g>4g83>!4?m3;>>6`=8e81?>o6h50m0876g>4e83>!4?m3;>>6`=8e87?>o6h50m0>76g>4c83>!4?m3;>>6`=8e85?>o6h50m0<76g>4883>!4?m3;>>6`=8e8;?>o6<10;6)<7e;366>h50m0276g>4683>!4?m3;>>6`=8e8b?>o6h50m0i76g>4583>!4?m3;>>6`=8e8`?>o6<:0;6)<7e;366>h50m0o76g>4383>!4?m3;>>6`=8e8f?>o6<80;6)<7e;366>h50m0m76g>4183>!4?m3;>>6`=8e824>=n9:l1<7*=8d8217=i:1n1=<54i01f>5<#:1o1=8<4n3:g>44<3`;8h7>5$3:f>4353g83h7?<;:k27f<72-83i7?:2:l1l50;&1<`<6=;1e>5j51498m43f290/>5k51408j7>c28<07d?:9;29 7>b28?97c<7d;34?>o6=10;6)<7e;366>h50m0:465f14594?"50l0:9?5a29f95<=c:9j506=83.94h4>539m6=b=9m10e<:::18'6=c=9<80b?6k:0g8?l74i3:1(?6j:071?k4?l3;m76a>e783>!4?m3;n96`=8e83?>i6m=0;6)<7e;3f1>h50m0:76a>e283>!4?m3;n96`=8e81?>i6m;0;6)<7e;3f1>h50m0876g>7983>!4?m3;<;6`=8e83?>o6??0;6)<7e;343>h50m0:76g>7483>!4?m3;<;6`=8e81?>o6?=0;6)<7e;343>h50m0876a>ed83>!4?m3;nh6`=8e83?>i6mj0;6)<7e;3f`>h50m0:76a>ec83>!4?m3;nh6`=8e81?>i6mh0;6)<7e;3f`>h50m0876a>c983>!4?m3;h;6`=8e83?>i6k?0;6)<7e;3`3>h50m0:76a>c583>!4?m3;h;6`=8e81?>i6k:0;6)<7e;3`3>h50m0876a>c383>!4?m3;h;6`=8e87?>i6k80;6)<7e;3`3>h50m0>76a>c183>!4?m3;h;6`=8e85?>i6jo0;6)<7e;3`3>h50m0<76a>bd83>!4?m3;h;6`=8e8;?>i6jm0;6)<7e;3`3>h50m0276a>bb83>!4?m3;h;6`=8e8b?>i6jk0;6)<7e;3`3>h50m0i76a>b883>!4?m3;h;6`=8e8`?>i6j10;6)<7e;3`3>h50m0o76a>b683>!4?m3;h;6`=8e8f?>i6j?0;6)<7e;3`3>h50m0m76a>b483>!4?m3;h;6`=8e824>=h9k>1<7*=8d82g2=i:1n1=<54o0`0>5<#:1o1=n94n3:g>44<3f;i>7>5$3:f>4e03g83h7?<;:m2f4<72-83i7?l7:l150;&1<`<6k>1e>5j51498k4ea290/>5k51b58j7>c28<07b?le;29 7>b28i<7c<7d;34?>i6km0;6)<7e;3`3>h50m0:465`1ba94?"50l0:o:5a29f95<=c:9l5f3=83.94h4>c69m6=b=9m10c!4?m33:o6`=8e83?>o>9k0;6)<7e;;2g>h50m0:76g61`83>!4?m33:o6`=8e81?>o>900;6)<7e;;2g>h50m0876g>2083>!4?m3;9<6`=8e83?>o69o0;6)<7e;314>h50m0:76g>1e83>!4?m3;9<6`=8e81?>o69j0;6)<7e;314>h50m0876g>1c83>!4?m3;9<6`=8e87?>o69h0;6)<7e;314>h50m0>76g>1883>!4?m3;9<6`=8e85?>o6910;6)<7e;314>h50m0<76g>1683>!4?m3;9<6`=8e8;?>o69?0;6)<7e;314>h50m0276g>1483>!4?m3;9<6`=8e8b?>o69=0;6)<7e;314>h50m0i76g>1383>!4?m3;9<6`=8e8`?>o6980;6)<7e;314>h50m0o76g>1183>!4?m3;9<6`=8e8f?>o68o0;6)<7e;314>h50m0m76g>0d83>!4?m3;9<6`=8e824>=n99n1<7*=8d8265=i:1n1=<54i02`>5<#:1o1=?>4n3:g>44<3`;;n7>5$3:f>4473g83h7?<;:k24d<72-83i7?=0:l15j51498m44?290/>5k51328j7>c28<07d?=7;29 7>b288;7c<7d;34?>o6:?0;6)<7e;314>h50m0:465f13794?"50l0:>=5a29f95<=?4?:%0;a?7582d94i4>c:9j54c=83.94h4>219m6=b=9m10eN>:?1C5=j4Z6a9g~7128=1>o4=f;0`>66=:m09:7<::3596`<5<3w/>5759658j4632;1e==;52:l2e`<73g;n=7>4$0da>7673-;mo73=#:;91:6*=2585?!45=3<0(?<9:79'671=>2.9>449;%01e?0<,;8i6;5+23a92>"5:m0=7)<=e;48 74a2?1/>>>56:&174<13-88>784$310>3=#::>1:6*=3485?!44>3<0(?=8:79'66>=>2.9?449;%00e?0<,;9i6;5+22a92>"5;m0=7)<9>56:&104<13-8?>784$360>3=#:=>1:6*=4485?!43>3<0(?:8:79'61>=>2.98449;%07e?0<,;>i6;5+25a92>"58>56:&114<13-8>>784$370>3=#:<>1:6*=5485?!42>3<0(?;8:79'60>=>2.99449;%06e?0<,;?i6;5+24a92>"5=m0=7)<:e;48 73a2?1/>;>56:&124<13-8=>784$340>3=#:?>1:6*=6485?!41>3<0(?88:79'63>=>2.9:44:;%05e?3<,;?46209'=75=1;;0e?76:188m=?=831b=k750;9j64:l14:l1<2d94i4?;:m2=6<72-83i7?64:l1<2d94i4=;:m2=5<72-83i7?64:l1<2d94i4;;:m2<`<72-83i7?64:l1<2d94i49;:m2<2d94i47;:m232e:444?:%0;a?7><2d94i4n;:m2<=<72-83i7?64:l1<2d94i4l;:m2<0<72-83i7?64:l1<2d94i4j;:m2<6<72-83i7?64:l1<2d94i4>0:9l5=7=83.94h4>959m6=b=9810c<6?:18'6=c=90>0b?6k:008?j70n3:1(?6j:0;7?k4?l3;876a>7d83>!4?m3;286`=8e820>=h9>n1<7*=8d82=1=i:1n1=854o0;`>5<#:1o1=4:4n3:g>40<3f;2n7>5$3:f>4?33g83h7?8;:m2=d<72-83i7?64:l15j51898k4??290/>5k51868j7>c28k07b?67;29 7>b283?7c<7d;3a?>i61?0;6)<7e;3:0>h50m0:o65`18094?"50l0:595a29f95a=5$3:f>13o3;3:1(?6j:578j7>c2;10e9<50;&1<`<3=2d94i4<;:k7b?6=,;2n69;4n3:g>1=h50m0>76g;d;29 7>b2=?0b?6k:798m1e=83.94h4;5:l15$3:f>13o313:1(?6j:578j7>c2h10e9650;&1<`<3=2d94i4m;:k73?6=,;2n69;4n3:g>f=h50m0o76g93;29 7>b2?80b?6k:198m37=83.94h492:l15$3:f>346`=8e80?>o1k3:1(?6j:708j7>c2=10e;l50;&1<`<1:2d94i4:;:k5e?6=,;2n6;<4n3:g>3=h50m0<76g98;29 7>b2?80b?6k:998m31=83.94h492:l132c=:7>5$3:f>346`=8e8a?>o1<3:1(?6j:708j7>c2j10e8k50;&1<`<1:2d94i4k;:k216<72-83i7?:2:l1;:k20c<72-83i7?:2:l14?:%0;a?72:2d94i4k;:k207<72-83i7?:2:l1h50;&1<`<6=;1e>5j51098m45b290/>5k51408j7>c28807d?b28?97c<7d;30?>o6;j0;6)<7e;366>h50m0:865f12`94?"50l0:9?5a29f950=8:9j501=83.94h4>539m6=b=9010e<;9:18'6=c=9<80b?6k:0c8?l72=3:1(?6j:071?k4?l3;i76g>5583>!4?m3;>>6`=8e82g>=n9<:1<7*=8d8217=i:1n1=i54i066>5<#:1o1=8<4n3:g>4c<3`;8m7>5$3:f>4353g83h7?i;:m2a3<72-83i7?j5:l1;:m2a6<72-83i7?j5:l1;:k230<72-83i7?87:l1;:m2ag<72-83i7?jd:l1;:m2g1<72-83i7?l7:l14?:%0;a?7d?2d94i4<;:m2g7<72-83i7?l7:l11e>5j51098k4d4290/>5k51b58j7>c28807b?m2;29 7>b28i<7c<7d;30?>i6j80;6)<7e;3`3>h50m0:865`1c294?"50l0:o:5a29f950=8:9l5fe=83.94h4>c69m6=b=9010cc883>!4?m3;h;6`=8e82g>=h9j?1<7*=8d82g2=i:1n1=i54o0`b>5<#:1o1=n94n3:g>4c<3f;jj7>5$3:f>4e03g83h7?i;:k:5a<72-83i77>c:l1;:k:5d<72-83i77>c:l1;:k25a<72-83i7?=0:l15j51098m46d290/>5k51328j7>c28807d??b;29 7>b288;7c<7d;30?>o68h0;6)<7e;314>h50m0:865f11;94?"50l0:>=5a29f950=;4?:%0;a?7582d94i4>8:9j573=83.94h4>219m6=b=9010e<<;:18'6=c=9;:0b?6k:0c8?l75;3:1(?6j:003?k4?l3;i76g>2383>!4?m3;9<6`=8e82g>=n98o1<7*=8d8265=i:1n1=i54i030>5<#:1o1=?>4n3:g>4c<3`;;47>5$3:f>4473g83h7?i;:a04>=83hh6=4?{%0af?4>>2B2>;5G91f8^2e=kr;=6<952c81b?4d2::1>i4=6;06>71=:l0987s+29;9=21h6il0;7c?j1;28 4`e2;:;7)?ic;034>"5:90=7)<=1;48 7452?1/>?=56:&161<13-899784$305>3=#:;=1:6*=2885?!45i3<0(?2.9>i49;%01a?0<,;8m6;5+22292>"5;80=7)<<2;48 7542?1/>>:56:&170<13-88:784$314>3=#::21:6*=3885?!44i3<0(?=m:79'66e=>2.9?i49;%00a?0<,;9m6;5+25292>"5<80=7)<;2;48 7242?1/>9:56:&100<13-8?:784$364>3=#:=21:6*=4885?!43i3<0(?:m:79'61e=>2.98i49;%07a?0<,;>m6;5+24292>"5=80=7)<:2;48 7342?1/>8:56:&110<13-8>:784$374>3=#:<21:6*=5885?!42i3<0(?;m:79'60e=>2.99i49;%06a?0<,;?m6;5+27292>"5>80=7)<92;48 7042?1/>;:56:&120<13-8=:784$344>3=#:?21:6*=6886?!41i3?0(?8m:3:4?!4>n38i<6*=a184b>"5i80l64$3cg>0=#:ho196*6238:64=#1;915??4i3;:>5<>o51h0;66g=9b83>>o6n>0;66g>7183>!4?m3;=j6`=8e83?>o6>l0;6)<7e;35b>h50m0:76g>6e83>!4?m3;=j6`=8e81?>o6>j0;6)<7e;35b>h50m0876g61483>!4?m33:86`=8e83?>o>9:0;6)<7e;;20>h50m0:76g61383>!4?m33:86`=8e81?>o>980;6)<7e;;20>h50m0876a>9483>!4?m3;286`=8e83?>i61:0;6)<7e;3:0>h50m0:76a>9083>!4?m3;286`=8e81?>i6190;6)<7e;3:0>h50m0876a>8g83>!4?m3;286`=8e87?>i60l0;6)<7e;3:0>h50m0>76a>8e83>!4?m3;286`=8e85?>i60j0;6)<7e;3:0>h50m0<76a>8c83>!4?m3;286`=8e8;?>i60h0;6)<7e;3:0>h50m0276a>8883>!4?m3;286`=8e8b?>i6010;6)<7e;3:0>h50m0i76a>8783>!4?m3;286`=8e8`?>i60<0;6)<7e;3:0>h50m0o76a>8583>!4?m3;286`=8e8f?>i60:0;6)<7e;3:0>h50m0m76a>8383>!4?m3;286`=8e824>=h91;1<7*=8d82=1=i:1n1=<54o0:3>5<#:1o1=4:4n3:g>44<3f;5$3:f>4?33g83h7?<;:m23`<72-83i7?64:l15j51498k4?d290/>5k51868j7>c28<07b?6b;29 7>b283?7c<7d;34?>i61h0;6)<7e;3:0>h50m0:465`18;94?"50l0:595a29f95<=<2d94i4>c:9l5<4=83.94h4>959m6=b=9m10c<68:18'6=c=90>0b?6k:0g8?j70k3:1(?6j:0;7?k4?l3;m76g;6;29 7>b2=?0b?6k:198m12=83.94h4;5:l15$3:f>13o3n3:1(?6j:578j7>c2=10e9k50;&1<`<3=2d94i4:;:k7`?6=,;2n69;4n3:g>3=h50m0<76g;b;29 7>b2=?0b?6k:998m1g=83.94h4;5:l132c?57>5$3:f>13o3?3:1(?6j:578j7>c2j10e9?50;&1<`<3=2d94i4k;:k57?6=,;2n6;<4n3:g>5=h50m0:76g90;29 7>b2?80b?6k:398m0`=83.94h492:l15$3:f>346`=8e86?>o1i3:1(?6j:708j7>c2?10e;750;&1<`<1:2d94i48;:k5==h50m0276g96;29 7>b2?80b?6k:`98m33=83.94h492:l15$3:f>346`=8e8g?>o6=:0;6)<7e;366>h50m0;76g>5083>!4?m3;>>6`=8e82?>o6h50m0976g>4d83>!4?m3;>>6`=8e80?>o6h50m0?76g>4b83>!4?m3;>>6`=8e86?>o6h50m0=76g>4`83>!4?m3;>>6`=8e84?>o6<00;6)<7e;366>h50m0376g>4983>!4?m3;>>6`=8e8:?>o6<>0;6)<7e;366>h50m0j76g>4783>!4?m3;>>6`=8e8a?>o6<=0;6)<7e;366>h50m0h76g>4283>!4?m3;>>6`=8e8g?>o6<;0;6)<7e;366>h50m0n76g>4083>!4?m3;>>6`=8e8e?>o6<90;6)<7e;366>h50m0:<65f12d94?"50l0:9?5a29f954=4:9j56d=83.94h4>539m6=b=9<10e<;n:18'6=c=9<80b?6k:048?l7213:1(?6j:071?k4?l3;<76g>5983>!4?m3;>>6`=8e82<>=n9<=1<7*=8d8217=i:1n1=454i075>5<#:1o1=8<4n3:g>4g<3`;>97>5$3:f>4353g83h7?m;:k211<72-83i7?:2:l150;&1<`<6=;1e>5j51e98m422290/>5k51408j7>c28o07d?b28?97c<7d;3e?>i6m?0;6)<7e;3f1>h50m0;76a>e583>!4?m3;n96`=8e82?>i6m:0;6)<7e;3f1>h50m0976a>e383>!4?m3;n96`=8e80?>o6?10;6)<7e;343>h50m0;76g>7783>!4?m3;<;6`=8e82?>o6?<0;6)<7e;343>h50m0976g>7583>!4?m3;<;6`=8e80?>i6ml0;6)<7e;3f`>h50m0;76a>eb83>!4?m3;nh6`=8e82?>i6mk0;6)<7e;3f`>h50m0976a>e`83>!4?m3;nh6`=8e80?>i6k10;6)<7e;3`3>h50m0;76a>c783>!4?m3;h;6`=8e82?>i6k=0;6)<7e;3`3>h50m0976a>c283>!4?m3;h;6`=8e80?>i6k;0;6)<7e;3`3>h50m0?76a>c083>!4?m3;h;6`=8e86?>i6k90;6)<7e;3`3>h50m0=76a>bg83>!4?m3;h;6`=8e84?>i6jl0;6)<7e;3`3>h50m0376a>be83>!4?m3;h;6`=8e8:?>i6jj0;6)<7e;3`3>h50m0j76a>bc83>!4?m3;h;6`=8e8a?>i6j00;6)<7e;3`3>h50m0h76a>b983>!4?m3;h;6`=8e8g?>i6j>0;6)<7e;3`3>h50m0n76a>b783>!4?m3;h;6`=8e8e?>i6j<0;6)<7e;3`3>h50m0:<65`1c694?"50l0:o:5a29f954=4:9l5g6=83.94h4>c69m6=b=9<10cce83>!4?m3;h;6`=8e82<>=h9ji1<7*=8d82g2=i:1n1=454o0aa>5<#:1o1=n94n3:g>4g<3f;hm7>5$3:f>4e03g83h7?m;:m2g<<72-83i7?l7:l11e>5j51e98k4df290/>5k51b58j7>c28o07b?nf;29 7>b28i<7c<7d;3e?>o>9m0;6)<7e;;2g>h50m0;76g61c83>!4?m33:o6`=8e82?>o>9h0;6)<7e;;2g>h50m0976g61883>!4?m33:o6`=8e80?>o6:80;6)<7e;314>h50m0;76g>1g83>!4?m3;9<6`=8e82?>o69m0;6)<7e;314>h50m0976g>1b83>!4?m3;9<6`=8e80?>o69k0;6)<7e;314>h50m0?76g>1`83>!4?m3;9<6`=8e86?>o6900;6)<7e;314>h50m0=76g>1983>!4?m3;9<6`=8e84?>o69>0;6)<7e;314>h50m0376g>1783>!4?m3;9<6`=8e8:?>o69<0;6)<7e;314>h50m0j76g>1583>!4?m3;9<6`=8e8a?>o69;0;6)<7e;314>h50m0h76g>1083>!4?m3;9<6`=8e8g?>o6990;6)<7e;314>h50m0n76g>0g83>!4?m3;9<6`=8e8e?>o68l0;6)<7e;314>h50m0:<65f11f94?"50l0:>=5a29f954=4:9j55?=83.94h4>219m6=b=9<10e<<7:18'6=c=9;:0b?6k:048?l75?3:1(?6j:003?k4?l3;<76g>2783>!4?m3;9<6`=8e82<>=n9;?1<7*=8d8265=i:1n1=454i007>5<#:1o1=?>4n3:g>4g<3`;9?7>5$3:f>4473g83h7?m;:k267<72-83i7?=0:l15j51e98m474290/>5k51328j7>c28o07d??8;29 7>b288;7c<7d;3e?>{e<8h1<7ll:183!4ej382:6F6279K=5bi1ov?9:0596g<5n38h6>>52e812?422;=1>h4=4;'6=?=1>=0b<>;:39m553=:2d:mh4?;o3f5?6<,8li6?>?;%3eg?4782.9>=49;%015?0<,;896;5+23192>"5:=0=7)<=5;48 7412?1/>?956:&16<<13-89m784$30a>3=#:;i1:6*=2e85?!45m3<0(?2.9?<49;%006?0<,;986;5+22692>"5;<0=7)<<6;48 7502?1/>>656:&17<<13-88m784$31a>3=#::i1:6*=3e85?!44m3<0(?=i:79'616=>2.98<49;%076?0<,;>86;5+25692>"5<<0=7)<;6;48 7202?1/>9656:&10<<13-8?m784$36a>3=#:=i1:6*=4e85?!43m3<0(?:i:79'606=>2.99<49;%066?0<,;?86;5+24692>"5=<0=7)<:6;48 7302?1/>8656:&11<<13-8>m784$37a>3=#:2.9:<49;%056?0<,;<86;5+27692>"5><0=7)<96;48 7002?1/>;656:&12<<23-8=m7;4$34a>7>03-82j7991/>l852`:8 7gc2<1/>lk55:&:67<>:81/5?=59338m7?>2900e5750;9j5c?=831b>4j50;9j;:k22a<72-83i7?9f:l14:l14?:%0;a??6<2d94i4>;:k:57<72-83i77>4:l14?:%0;a?7><2d94i4>;:m2=4<72-83i7?64:l1<2d94i4<;:m2<2d94i4:;:m2<2d94i48;:m2<2d94i46;:m2<<<72-83i7?64:l1<2d94i4m;:m2<3<72-83i7?64:l1<2d94i4k;:m2<1<72-83i7?64:l14?:%0;a?7><2d94i4i;:m2<7<72-83i7?64:l15j51098k4>7290/>5k51868j7>c28807b?8f;29 7>b283?7c<7d;30?>i6?l0;6)<7e;3:0>h50m0:865`16f94?"50l0:595a29f950=<2d94i4>8:9l5959m6=b=9010c<77:18'6=c=90>0b?6k:0c8?j7>?3:1(?6j:0;7?k4?l3;i76a>9783>!4?m3;286`=8e82g>=h9081<7*=8d82=1=i:1n1=i54o0:4>5<#:1o1=4:4n3:g>4c<3f;5$3:f>4?33g83h7?i;:k72?6=,;2n69;4n3:g>5=1<7*=8d871>h50m0:76g;3;29 7>b2=?0b?6k:398m14=83.94h4;5:l15$3:f>13o3l3:1(?6j:578j7>c2?10e9m50;&1<`<3=2d94i48;:k7f?6=,;2n69;4n3:g>==h50m0276g;9;29 7>b2=?0b?6k:`98m1>=83.94h4;5:l15$3:f>13o1;3:1(?6j:708j7>c2910e;?50;&1<`<1:2d94i4>;:k54?6=,;2n6;<4n3:g>7=h50m0876g9c;29 7>b2?80b?6k:598m3d=83.94h492:l15$3:f>346`=8e84?>o103:1(?6j:708j7>c2110e;950;&1<`<1:2d94i46;:k52?6=,;2n6;<4n3:g>d=h50m0i76g94;29 7>b2?80b?6k:b98m0c=83.94h492:l14?:%0;a?72:2d94i4?;:k214<72-83i7?:2:l132c:8:4?:%0;a?72:2d94i4n;:k203<72-83i7?:2:l10:9j56`=83.94h4>539m6=b=9810e<=j:18'6=c=9<80b?6k:008?l74l3:1(?6j:071?k4?l3;876g>3b83>!4?m3;>>6`=8e820>=n9:h1<7*=8d8217=i:1n1=854i07b>5<#:1o1=8<4n3:g>40<3`;>57>5$3:f>4353g83h7?8;:k21=<72-83i7?:2:l15j51898m431290/>5k51408j7>c28k07d?:5;29 7>b28?97c<7d;3a?>o6==0;6)<7e;366>h50m0:o65f14294?"50l0:9?5a29f95a=>6=4+29g95044?:%0;a?7b=2d94i4=;:m2a7<72-83i7?j5:l132e:nn4?:%0;a?7d?2d94i4n;:m2fg<72-83i7?l7:l10:9l5g2=83.94h4>c69m6=b=9810cb083>!4?m3;h;6`=8e820>=h9k:1<7*=8d82g2=i:1n1=854o0ae>5<#:1o1=n94n3:g>40<3f;hi7>5$3:f>4e03g83h7?8;:m2ga<72-83i7?l7:l11e>5j51898k4ee290/>5k51b58j7>c28k07b?la;29 7>b28i<7c<7d;3a?>i6k00;6)<7e;3`3>h50m0:o65`1b794?"50l0:o:5a29f95a=c:l1c:l1<4?:%0;a?7582d94i4?;:k25c<72-83i7?=0:l132c:=84?:%0;a?7582d94i4n;:k251<72-83i7?=0:l10:9j55b=83.94h4>219m6=b=9810e<>l:18'6=c=9;:0b?6k:008?l77j3:1(?6j:003?k4?l3;876g>0`83>!4?m3;9<6`=8e820>=n9931<7*=8d8265=i:1n1=854i00;>5<#:1o1=?>4n3:g>40<3`;9;7>5$3:f>4473g83h7?8;:k263<72-83i7?=0:l15j51898m443290/>5k51328j7>c28k07d?=3;29 7>b288;7c<7d;3a?>o6:;0;6)<7e;314>h50m0:o65f10g94?"50l0:>=5a29f95a=5<7s-8in7<66:J:63=O19n0V:m5cz35>41=:k09j738>6?952d810?{#:1315:94n027>7=i99?1>6`>ad83?k7b93:0(2.9>949;%011?0<,;8=6;5+23592>"5:00=7)<=a;48 74e2?1/>?m56:&16a<13-89i784$30e>3=#:::1:6*=3085?!44:3<0(?=<:79'662=>2.9?849;%002?0<,;9<6;5+22:92>"5;00=7)<>m56:&17a<13-88i784$31e>3=#:=:1:6*=4085?!43:3<0(?:<:79'612=>2.98849;%072?0<,;><6;5+25:92>"5<00=7)<;a;48 72e2?1/>9m56:&10a<13-8?i784$36e>3=#:<:1:6*=5085?!42:3<0(?;<:79'602=>2.99849;%062?0<,;?<6;5+24:92>"5=00=7)<:a;48 73e2?1/>8m56:&11a<13-8>i784$37e>3=#:?:1:6*=6085?!41:3<0(?8<:79'632=>2.9:849;%052?0<,;<<6;5+27:92>"5>00>7)<9a;78 70e2;2<7)<6f;0a4>"5i90"5i<02==5+2`496d><,;ko685+2`g91>">:;02><5+9319=77>o51j0;66g>f683>>o6?90;6)<7e;35b>h50m0;76g>6d83>!4?m3;=j6`=8e82?>o6>m0;6)<7e;35b>h50m0976g>6b83>!4?m3;=j6`=8e80?>o>9<0;6)<7e;;20>h50m0;76g61283>!4?m33:86`=8e82?>o>9;0;6)<7e;;20>h50m0976g61083>!4?m33:86`=8e80?>i61<0;6)<7e;3:0>h50m0;76a>9283>!4?m3;286`=8e82?>i6180;6)<7e;3:0>h50m0976a>9183>!4?m3;286`=8e80?>i60o0;6)<7e;3:0>h50m0?76a>8d83>!4?m3;286`=8e86?>i60m0;6)<7e;3:0>h50m0=76a>8b83>!4?m3;286`=8e84?>i60k0;6)<7e;3:0>h50m0376a>8`83>!4?m3;286`=8e8:?>i6000;6)<7e;3:0>h50m0j76a>8983>!4?m3;286`=8e8a?>i60?0;6)<7e;3:0>h50m0h76a>8483>!4?m3;286`=8e8g?>i60=0;6)<7e;3:0>h50m0n76a>8283>!4?m3;286`=8e8e?>i60;0;6)<7e;3:0>h50m0:<65`19394?"50l0:595a29f954=<2d94i4>4:9l52b=83.94h4>959m6=b=9<10c<7l:18'6=c=90>0b?6k:048?j7>j3:1(?6j:0;7?k4?l3;<76a>9`83>!4?m3;286`=8e82<>=h9031<7*=8d82=1=i:1n1=454o0;;>5<#:1o1=4:4n3:g>4g<3f;2;7>5$3:f>4?33g83h7?m;:m2=3<72-83i7?64:l15j51e98k4>0290/>5k51868j7>c28o07b?8c;29 7>b283?7c<7d;3e?>o3>3:1(?6j:578j7>c2910e9:50;&1<`<3=2d94i4>;:k77?6=,;2n69;4n3:g>7=h50m0876g;f;29 7>b2=?0b?6k:598m1c=83.94h4;5:l15$3:f>13o3j3:1(?6j:578j7>c2110e9o50;&1<`<3=2d94i46;:k7=?6=,;2n69;4n3:g>d=h50m0i76g;7;29 7>b2=?0b?6k:b98m17=83.94h4;5:l15$3:f>346`=8e82?>o183:1(?6j:708j7>c2;10e8h50;&1<`<1:2d94i4<;:k5g?6=,;2n6;<4n3:g>1=h50m0>76g9a;29 7>b2?80b?6k:798m3?=83.94h492:l15$3:f>346`=8e8:?>o1>3:1(?6j:708j7>c2h10e;;50;&1<`<1:2d94i4m;:k50?6=,;2n6;<4n3:g>f=h50m0o76g>5283>!4?m3;>>6`=8e83?>o6=80;6)<7e;366>h50m0:76g>4g83>!4?m3;>>6`=8e81?>o6h50m0876g>4e83>!4?m3;>>6`=8e87?>o6h50m0>76g>4c83>!4?m3;>>6`=8e85?>o6h50m0<76g>4883>!4?m3;>>6`=8e8;?>o6<10;6)<7e;366>h50m0276g>4683>!4?m3;>>6`=8e8b?>o6h50m0i76g>4583>!4?m3;>>6`=8e8`?>o6<:0;6)<7e;366>h50m0o76g>4383>!4?m3;>>6`=8e8f?>o6<80;6)<7e;366>h50m0m76g>4183>!4?m3;>>6`=8e824>=n9:l1<7*=8d8217=i:1n1=<54i01f>5<#:1o1=8<4n3:g>44<3`;8h7>5$3:f>4353g83h7?<;:k27f<72-83i7?:2:l1l50;&1<`<6=;1e>5j51498m43f290/>5k51408j7>c28<07d?:9;29 7>b28?97c<7d;34?>o6=10;6)<7e;366>h50m0:465f14594?"50l0:9?5a29f95<=c:9j506=83.94h4>539m6=b=9m10e<:::18'6=c=9<80b?6k:0g8?l74i3:1(?6j:071?k4?l3;m76a>e783>!4?m3;n96`=8e83?>i6m=0;6)<7e;3f1>h50m0:76a>e283>!4?m3;n96`=8e81?>i6m;0;6)<7e;3f1>h50m0876g>7983>!4?m3;<;6`=8e83?>o6??0;6)<7e;343>h50m0:76g>7483>!4?m3;<;6`=8e81?>o6?=0;6)<7e;343>h50m0876a>ed83>!4?m3;nh6`=8e83?>i6mj0;6)<7e;3f`>h50m0:76a>ec83>!4?m3;nh6`=8e81?>i6mh0;6)<7e;3f`>h50m0876a>c983>!4?m3;h;6`=8e83?>i6k?0;6)<7e;3`3>h50m0:76a>c583>!4?m3;h;6`=8e81?>i6k:0;6)<7e;3`3>h50m0876a>c383>!4?m3;h;6`=8e87?>i6k80;6)<7e;3`3>h50m0>76a>c183>!4?m3;h;6`=8e85?>i6jo0;6)<7e;3`3>h50m0<76a>bd83>!4?m3;h;6`=8e8;?>i6jm0;6)<7e;3`3>h50m0276a>bb83>!4?m3;h;6`=8e8b?>i6jk0;6)<7e;3`3>h50m0i76a>b883>!4?m3;h;6`=8e8`?>i6j10;6)<7e;3`3>h50m0o76a>b683>!4?m3;h;6`=8e8f?>i6j?0;6)<7e;3`3>h50m0m76a>b483>!4?m3;h;6`=8e824>=h9k>1<7*=8d82g2=i:1n1=<54o0`0>5<#:1o1=n94n3:g>44<3f;i>7>5$3:f>4e03g83h7?<;:m2f4<72-83i7?l7:l150;&1<`<6k>1e>5j51498k4ea290/>5k51b58j7>c28<07b?le;29 7>b28i<7c<7d;34?>i6km0;6)<7e;3`3>h50m0:465`1ba94?"50l0:o:5a29f95<=c:9l5f3=83.94h4>c69m6=b=9m10c!4?m33:o6`=8e83?>o>9k0;6)<7e;;2g>h50m0:76g61`83>!4?m33:o6`=8e81?>o>900;6)<7e;;2g>h50m0876g>2083>!4?m3;9<6`=8e83?>o69o0;6)<7e;314>h50m0:76g>1e83>!4?m3;9<6`=8e81?>o69j0;6)<7e;314>h50m0876g>1c83>!4?m3;9<6`=8e87?>o69h0;6)<7e;314>h50m0>76g>1883>!4?m3;9<6`=8e85?>o6910;6)<7e;314>h50m0<76g>1683>!4?m3;9<6`=8e8;?>o69?0;6)<7e;314>h50m0276g>1483>!4?m3;9<6`=8e8b?>o69=0;6)<7e;314>h50m0i76g>1383>!4?m3;9<6`=8e8`?>o6980;6)<7e;314>h50m0o76g>1183>!4?m3;9<6`=8e8f?>o68o0;6)<7e;314>h50m0m76g>0d83>!4?m3;9<6`=8e824>=n99n1<7*=8d8265=i:1n1=<54i02`>5<#:1o1=?>4n3:g>44<3`;;n7>5$3:f>4473g83h7?<;:k24d<72-83i7?=0:l15j51498m44?290/>5k51328j7>c28<07d?=7;29 7>b288;7c<7d;34?>o6:?0;6)<7e;314>h50m0:465f13794?"50l0:>=5a29f95<=?4?:%0;a?7582d94i4>c:9j54c=83.94h4>219m6=b=9m10eN>:?1C5=j4Z6a9g~7128=1>o4=f;0`>66=:m09:7<::3596`<5<3w/>5759658j4632;1e==;52:l2e`<73g;n=7>4$0da>7673-;mo73=#:;91:6*=2585?!45=3<0(?<9:79'671=>2.9>449;%01e?0<,;8i6;5+23a92>"5:m0=7)<=e;48 74a2?1/>>>56:&174<13-88>784$310>3=#::>1:6*=3485?!44>3<0(?=8:79'66>=>2.9?449;%00e?0<,;9i6;5+22a92>"5;m0=7)<9>56:&104<13-8?>784$360>3=#:=>1:6*=4485?!43>3<0(?:8:79'61>=>2.98449;%07e?0<,;>i6;5+25a92>"58>56:&114<13-8>>784$370>3=#:<>1:6*=5485?!42>3<0(?;8:79'60>=>2.99449;%06e?0<,;?i6;5+24a92>"5=m0=7)<:e;48 73a2?1/>;>56:&124<13-8=>784$340>3=#:?>1:6*=6485?!41>3<0(?88:79'63>=>2.9:44:;%05e?3<,;?46209'=75=1;;0e?76:188m=?=831b=k750;9j64:l14:l1<2d94i4?;:m2=6<72-83i7?64:l1<2d94i4=;:m2=5<72-83i7?64:l1<2d94i4;;:m2<`<72-83i7?64:l1<2d94i49;:m2<2d94i47;:m232e:444?:%0;a?7><2d94i4n;:m2<=<72-83i7?64:l1<2d94i4l;:m2<0<72-83i7?64:l1<2d94i4j;:m2<6<72-83i7?64:l1<2d94i4>0:9l5=7=83.94h4>959m6=b=9810c<6?:18'6=c=90>0b?6k:008?j70n3:1(?6j:0;7?k4?l3;876a>7d83>!4?m3;286`=8e820>=h9>n1<7*=8d82=1=i:1n1=854o0;`>5<#:1o1=4:4n3:g>40<3f;2n7>5$3:f>4?33g83h7?8;:m2=d<72-83i7?64:l15j51898k4??290/>5k51868j7>c28k07b?67;29 7>b283?7c<7d;3a?>i61?0;6)<7e;3:0>h50m0:o65`18094?"50l0:595a29f95a=5$3:f>13o3;3:1(?6j:578j7>c2;10e9<50;&1<`<3=2d94i4<;:k7b?6=,;2n69;4n3:g>1=h50m0>76g;d;29 7>b2=?0b?6k:798m1e=83.94h4;5:l15$3:f>13o313:1(?6j:578j7>c2h10e9650;&1<`<3=2d94i4m;:k73?6=,;2n69;4n3:g>f=h50m0o76g93;29 7>b2?80b?6k:198m37=83.94h492:l15$3:f>346`=8e80?>o1k3:1(?6j:708j7>c2=10e;l50;&1<`<1:2d94i4:;:k5e?6=,;2n6;<4n3:g>3=h50m0<76g98;29 7>b2?80b?6k:998m31=83.94h492:l132c=:7>5$3:f>346`=8e8a?>o1<3:1(?6j:708j7>c2j10e8k50;&1<`<1:2d94i4k;:k216<72-83i7?:2:l1;:k20c<72-83i7?:2:l14?:%0;a?72:2d94i4k;:k207<72-83i7?:2:l1h50;&1<`<6=;1e>5j51098m45b290/>5k51408j7>c28807d?b28?97c<7d;30?>o6;j0;6)<7e;366>h50m0:865f12`94?"50l0:9?5a29f950=8:9j501=83.94h4>539m6=b=9010e<;9:18'6=c=9<80b?6k:0c8?l72=3:1(?6j:071?k4?l3;i76g>5583>!4?m3;>>6`=8e82g>=n9<:1<7*=8d8217=i:1n1=i54i066>5<#:1o1=8<4n3:g>4c<3`;8m7>5$3:f>4353g83h7?i;:m2a3<72-83i7?j5:l1;:m2a6<72-83i7?j5:l1;:k230<72-83i7?87:l1;:m2ag<72-83i7?jd:l1;:m2g1<72-83i7?l7:l14?:%0;a?7d?2d94i4<;:m2g7<72-83i7?l7:l11e>5j51098k4d4290/>5k51b58j7>c28807b?m2;29 7>b28i<7c<7d;30?>i6j80;6)<7e;3`3>h50m0:865`1c294?"50l0:o:5a29f950=8:9l5fe=83.94h4>c69m6=b=9010cc883>!4?m3;h;6`=8e82g>=h9j?1<7*=8d82g2=i:1n1=i54o0`b>5<#:1o1=n94n3:g>4c<3f;jj7>5$3:f>4e03g83h7?i;:k:5a<72-83i77>c:l1;:k:5d<72-83i77>c:l1;:k25a<72-83i7?=0:l15j51098m46d290/>5k51328j7>c28807d??b;29 7>b288;7c<7d;30?>o68h0;6)<7e;314>h50m0:865f11;94?"50l0:>=5a29f950=;4?:%0;a?7582d94i4>8:9j573=83.94h4>219m6=b=9010e<<;:18'6=c=9;:0b?6k:0c8?l75;3:1(?6j:003?k4?l3;i76g>2383>!4?m3;9<6`=8e82g>=n98o1<7*=8d8265=i:1n1=i54i030>5<#:1o1=?>4n3:g>4c<3`;;47>5$3:f>4473g83h7?i;:a071=83hh6=4?{%0af?4>>2B2>;5G91f8^2e=kr;=6<952c81b?4d2::1>i4=6;06>71=:l0987s+29;9=21h6il0;7c?j1;28 4`e2;:;7)?ic;034>"5:90=7)<=1;48 7452?1/>?=56:&161<13-899784$305>3=#:;=1:6*=2885?!45i3<0(?2.9>i49;%01a?0<,;8m6;5+22292>"5;80=7)<<2;48 7542?1/>>:56:&170<13-88:784$314>3=#::21:6*=3885?!44i3<0(?=m:79'66e=>2.9?i49;%00a?0<,;9m6;5+25292>"5<80=7)<;2;48 7242?1/>9:56:&100<13-8?:784$364>3=#:=21:6*=4885?!43i3<0(?:m:79'61e=>2.98i49;%07a?0<,;>m6;5+24292>"5=80=7)<:2;48 7342?1/>8:56:&110<13-8>:784$374>3=#:<21:6*=5885?!42i3<0(?;m:79'60e=>2.99i49;%06a?0<,;?m6;5+27292>"5>80=7)<92;48 7042?1/>;:56:&120<13-8=:784$344>3=#:?21:6*=6886?!41i3?0(?8m:3:4?!4>n38i<6*=a184b>"5i80l64$3cg>0=#:ho196*6238:64=#1;915??4i3;:>5<>o51h0;66g=9b83>>o6n>0;66g>7183>!4?m3;=j6`=8e83?>o6>l0;6)<7e;35b>h50m0:76g>6e83>!4?m3;=j6`=8e81?>o6>j0;6)<7e;35b>h50m0876g61483>!4?m33:86`=8e83?>o>9:0;6)<7e;;20>h50m0:76g61383>!4?m33:86`=8e81?>o>980;6)<7e;;20>h50m0876a>9483>!4?m3;286`=8e83?>i61:0;6)<7e;3:0>h50m0:76a>9083>!4?m3;286`=8e81?>i6190;6)<7e;3:0>h50m0876a>8g83>!4?m3;286`=8e87?>i60l0;6)<7e;3:0>h50m0>76a>8e83>!4?m3;286`=8e85?>i60j0;6)<7e;3:0>h50m0<76a>8c83>!4?m3;286`=8e8;?>i60h0;6)<7e;3:0>h50m0276a>8883>!4?m3;286`=8e8b?>i6010;6)<7e;3:0>h50m0i76a>8783>!4?m3;286`=8e8`?>i60<0;6)<7e;3:0>h50m0o76a>8583>!4?m3;286`=8e8f?>i60:0;6)<7e;3:0>h50m0m76a>8383>!4?m3;286`=8e824>=h91;1<7*=8d82=1=i:1n1=<54o0:3>5<#:1o1=4:4n3:g>44<3f;5$3:f>4?33g83h7?<;:m23`<72-83i7?64:l15j51498k4?d290/>5k51868j7>c28<07b?6b;29 7>b283?7c<7d;34?>i61h0;6)<7e;3:0>h50m0:465`18;94?"50l0:595a29f95<=<2d94i4>c:9l5<4=83.94h4>959m6=b=9m10c<68:18'6=c=90>0b?6k:0g8?j70k3:1(?6j:0;7?k4?l3;m76g;6;29 7>b2=?0b?6k:198m12=83.94h4;5:l15$3:f>13o3n3:1(?6j:578j7>c2=10e9k50;&1<`<3=2d94i4:;:k7`?6=,;2n69;4n3:g>3=h50m0<76g;b;29 7>b2=?0b?6k:998m1g=83.94h4;5:l132c?57>5$3:f>13o3?3:1(?6j:578j7>c2j10e9?50;&1<`<3=2d94i4k;:k57?6=,;2n6;<4n3:g>5=h50m0:76g90;29 7>b2?80b?6k:398m0`=83.94h492:l15$3:f>346`=8e86?>o1i3:1(?6j:708j7>c2?10e;750;&1<`<1:2d94i48;:k5==h50m0276g96;29 7>b2?80b?6k:`98m33=83.94h492:l15$3:f>346`=8e8g?>o6=:0;6)<7e;366>h50m0;76g>5083>!4?m3;>>6`=8e82?>o6h50m0976g>4d83>!4?m3;>>6`=8e80?>o6h50m0?76g>4b83>!4?m3;>>6`=8e86?>o6h50m0=76g>4`83>!4?m3;>>6`=8e84?>o6<00;6)<7e;366>h50m0376g>4983>!4?m3;>>6`=8e8:?>o6<>0;6)<7e;366>h50m0j76g>4783>!4?m3;>>6`=8e8a?>o6<=0;6)<7e;366>h50m0h76g>4283>!4?m3;>>6`=8e8g?>o6<;0;6)<7e;366>h50m0n76g>4083>!4?m3;>>6`=8e8e?>o6<90;6)<7e;366>h50m0:<65f12d94?"50l0:9?5a29f954=4:9j56d=83.94h4>539m6=b=9<10e<;n:18'6=c=9<80b?6k:048?l7213:1(?6j:071?k4?l3;<76g>5983>!4?m3;>>6`=8e82<>=n9<=1<7*=8d8217=i:1n1=454i075>5<#:1o1=8<4n3:g>4g<3`;>97>5$3:f>4353g83h7?m;:k211<72-83i7?:2:l150;&1<`<6=;1e>5j51e98m422290/>5k51408j7>c28o07d?b28?97c<7d;3e?>i6m?0;6)<7e;3f1>h50m0;76a>e583>!4?m3;n96`=8e82?>i6m:0;6)<7e;3f1>h50m0976a>e383>!4?m3;n96`=8e80?>o6?10;6)<7e;343>h50m0;76g>7783>!4?m3;<;6`=8e82?>o6?<0;6)<7e;343>h50m0976g>7583>!4?m3;<;6`=8e80?>i6ml0;6)<7e;3f`>h50m0;76a>eb83>!4?m3;nh6`=8e82?>i6mk0;6)<7e;3f`>h50m0976a>e`83>!4?m3;nh6`=8e80?>i6k10;6)<7e;3`3>h50m0;76a>c783>!4?m3;h;6`=8e82?>i6k=0;6)<7e;3`3>h50m0976a>c283>!4?m3;h;6`=8e80?>i6k;0;6)<7e;3`3>h50m0?76a>c083>!4?m3;h;6`=8e86?>i6k90;6)<7e;3`3>h50m0=76a>bg83>!4?m3;h;6`=8e84?>i6jl0;6)<7e;3`3>h50m0376a>be83>!4?m3;h;6`=8e8:?>i6jj0;6)<7e;3`3>h50m0j76a>bc83>!4?m3;h;6`=8e8a?>i6j00;6)<7e;3`3>h50m0h76a>b983>!4?m3;h;6`=8e8g?>i6j>0;6)<7e;3`3>h50m0n76a>b783>!4?m3;h;6`=8e8e?>i6j<0;6)<7e;3`3>h50m0:<65`1c694?"50l0:o:5a29f954=4:9l5g6=83.94h4>c69m6=b=9<10cce83>!4?m3;h;6`=8e82<>=h9ji1<7*=8d82g2=i:1n1=454o0aa>5<#:1o1=n94n3:g>4g<3f;hm7>5$3:f>4e03g83h7?m;:m2g<<72-83i7?l7:l11e>5j51e98k4df290/>5k51b58j7>c28o07b?nf;29 7>b28i<7c<7d;3e?>o>9m0;6)<7e;;2g>h50m0;76g61c83>!4?m33:o6`=8e82?>o>9h0;6)<7e;;2g>h50m0976g61883>!4?m33:o6`=8e80?>o6:80;6)<7e;314>h50m0;76g>1g83>!4?m3;9<6`=8e82?>o69m0;6)<7e;314>h50m0976g>1b83>!4?m3;9<6`=8e80?>o69k0;6)<7e;314>h50m0?76g>1`83>!4?m3;9<6`=8e86?>o6900;6)<7e;314>h50m0=76g>1983>!4?m3;9<6`=8e84?>o69>0;6)<7e;314>h50m0376g>1783>!4?m3;9<6`=8e8:?>o69<0;6)<7e;314>h50m0j76g>1583>!4?m3;9<6`=8e8a?>o69;0;6)<7e;314>h50m0h76g>1083>!4?m3;9<6`=8e8g?>o6990;6)<7e;314>h50m0n76g>0g83>!4?m3;9<6`=8e8e?>o68l0;6)<7e;314>h50m0:<65f11f94?"50l0:>=5a29f954=4:9j55?=83.94h4>219m6=b=9<10e<<7:18'6=c=9;:0b?6k:048?l75?3:1(?6j:003?k4?l3;<76g>2783>!4?m3;9<6`=8e82<>=n9;?1<7*=8d8265=i:1n1=454i007>5<#:1o1=?>4n3:g>4g<3`;9?7>5$3:f>4473g83h7?m;:k267<72-83i7?=0:l15j51e98m474290/>5k51328j7>c28o07d??8;29 7>b288;7c<7d;3e?>{e<;k1<7ll:183!4ej382:6F6279K=5bi1ov?9:0596g<5n38h6>>52e812?422;=1>h4=4;'6=?=1>=0b<>;:39m553=:2d:mh4?;o3f5?6<,8li6?>?;%3eg?4782.9>=49;%015?0<,;896;5+23192>"5:=0=7)<=5;48 7412?1/>?956:&16<<13-89m784$30a>3=#:;i1:6*=2e85?!45m3<0(?2.9?<49;%006?0<,;986;5+22692>"5;<0=7)<<6;48 7502?1/>>656:&17<<13-88m784$31a>3=#::i1:6*=3e85?!44m3<0(?=i:79'616=>2.98<49;%076?0<,;>86;5+25692>"5<<0=7)<;6;48 7202?1/>9656:&10<<13-8?m784$36a>3=#:=i1:6*=4e85?!43m3<0(?:i:79'606=>2.99<49;%066?0<,;?86;5+24692>"5=<0=7)<:6;48 7302?1/>8656:&11<<13-8>m784$37a>3=#:2.9:<49;%056?0<,;<86;5+27692>"5><0=7)<96;48 7002?1/>;656:&12<<23-8=m7;4$34a>7>03-82j7991/>l852`:8 7gc2<1/>lk55:&:67<>:81/5?=59338m7?>2900e5750;9j5c?=831b>4j50;9j;:k22a<72-83i7?9f:l14:l14?:%0;a??6<2d94i4>;:k:57<72-83i77>4:l14?:%0;a?7><2d94i4>;:m2=4<72-83i7?64:l1<2d94i4<;:m2<2d94i4:;:m2<2d94i48;:m2<2d94i46;:m2<<<72-83i7?64:l1<2d94i4m;:m2<3<72-83i7?64:l1<2d94i4k;:m2<1<72-83i7?64:l14?:%0;a?7><2d94i4i;:m2<7<72-83i7?64:l15j51098k4>7290/>5k51868j7>c28807b?8f;29 7>b283?7c<7d;30?>i6?l0;6)<7e;3:0>h50m0:865`16f94?"50l0:595a29f950=<2d94i4>8:9l5959m6=b=9010c<77:18'6=c=90>0b?6k:0c8?j7>?3:1(?6j:0;7?k4?l3;i76a>9783>!4?m3;286`=8e82g>=h9081<7*=8d82=1=i:1n1=i54o0:4>5<#:1o1=4:4n3:g>4c<3f;5$3:f>4?33g83h7?i;:k72?6=,;2n69;4n3:g>5=1<7*=8d871>h50m0:76g;3;29 7>b2=?0b?6k:398m14=83.94h4;5:l15$3:f>13o3l3:1(?6j:578j7>c2?10e9m50;&1<`<3=2d94i48;:k7f?6=,;2n69;4n3:g>==h50m0276g;9;29 7>b2=?0b?6k:`98m1>=83.94h4;5:l15$3:f>13o1;3:1(?6j:708j7>c2910e;?50;&1<`<1:2d94i4>;:k54?6=,;2n6;<4n3:g>7=h50m0876g9c;29 7>b2?80b?6k:598m3d=83.94h492:l15$3:f>346`=8e84?>o103:1(?6j:708j7>c2110e;950;&1<`<1:2d94i46;:k52?6=,;2n6;<4n3:g>d=h50m0i76g94;29 7>b2?80b?6k:b98m0c=83.94h492:l14?:%0;a?72:2d94i4?;:k214<72-83i7?:2:l132c:8:4?:%0;a?72:2d94i4n;:k203<72-83i7?:2:l10:9j56`=83.94h4>539m6=b=9810e<=j:18'6=c=9<80b?6k:008?l74l3:1(?6j:071?k4?l3;876g>3b83>!4?m3;>>6`=8e820>=n9:h1<7*=8d8217=i:1n1=854i07b>5<#:1o1=8<4n3:g>40<3`;>57>5$3:f>4353g83h7?8;:k21=<72-83i7?:2:l15j51898m431290/>5k51408j7>c28k07d?:5;29 7>b28?97c<7d;3a?>o6==0;6)<7e;366>h50m0:o65f14294?"50l0:9?5a29f95a=>6=4+29g95044?:%0;a?7b=2d94i4=;:m2a7<72-83i7?j5:l132e:nn4?:%0;a?7d?2d94i4n;:m2fg<72-83i7?l7:l10:9l5g2=83.94h4>c69m6=b=9810cb083>!4?m3;h;6`=8e820>=h9k:1<7*=8d82g2=i:1n1=854o0ae>5<#:1o1=n94n3:g>40<3f;hi7>5$3:f>4e03g83h7?8;:m2ga<72-83i7?l7:l11e>5j51898k4ee290/>5k51b58j7>c28k07b?la;29 7>b28i<7c<7d;3a?>i6k00;6)<7e;3`3>h50m0:o65`1b794?"50l0:o:5a29f95a=c:l1c:l1<4?:%0;a?7582d94i4?;:k25c<72-83i7?=0:l132c:=84?:%0;a?7582d94i4n;:k251<72-83i7?=0:l10:9j55b=83.94h4>219m6=b=9810e<>l:18'6=c=9;:0b?6k:008?l77j3:1(?6j:003?k4?l3;876g>0`83>!4?m3;9<6`=8e820>=n9931<7*=8d8265=i:1n1=854i00;>5<#:1o1=?>4n3:g>40<3`;9;7>5$3:f>4473g83h7?8;:k263<72-83i7?=0:l15j51898m443290/>5k51328j7>c28k07d?=3;29 7>b288;7c<7d;3a?>o6:;0;6)<7e;314>h50m0:o65f10g94?"50l0:>=5a29f95a=5<7s-8in7<66:J:63=O19n0V:m5cz35>41=:k09j738>6?952d810?{#:1315:94n027>7=i99?1>6`>ad83?k7b93:0(2.9>949;%011?0<,;8=6;5+23592>"5:00=7)<=a;48 74e2?1/>?m56:&16a<13-89i784$30e>3=#:::1:6*=3085?!44:3<0(?=<:79'662=>2.9?849;%002?0<,;9<6;5+22:92>"5;00=7)<>m56:&17a<13-88i784$31e>3=#:=:1:6*=4085?!43:3<0(?:<:79'612=>2.98849;%072?0<,;><6;5+25:92>"5<00=7)<;a;48 72e2?1/>9m56:&10a<13-8?i784$36e>3=#:<:1:6*=5085?!42:3<0(?;<:79'602=>2.99849;%062?0<,;?<6;5+24:92>"5=00=7)<:a;48 73e2?1/>8m56:&11a<13-8>i784$37e>3=#:?:1:6*=6085?!41:3<0(?8<:79'632=>2.9:849;%052?0<,;<<6;5+27:92>"5>00>7)<9a;78 70e2;2<7)<6f;0a4>"5i90"5i<02==5+2`496d><,;ko685+2`g91>">:;02><5+9319=77>o51j0;66g>f683>>o6?90;6)<7e;35b>h50m0;76g>6d83>!4?m3;=j6`=8e82?>o6>m0;6)<7e;35b>h50m0976g>6b83>!4?m3;=j6`=8e80?>o>9<0;6)<7e;;20>h50m0;76g61283>!4?m33:86`=8e82?>o>9;0;6)<7e;;20>h50m0976g61083>!4?m33:86`=8e80?>i61<0;6)<7e;3:0>h50m0;76a>9283>!4?m3;286`=8e82?>i6180;6)<7e;3:0>h50m0976a>9183>!4?m3;286`=8e80?>i60o0;6)<7e;3:0>h50m0?76a>8d83>!4?m3;286`=8e86?>i60m0;6)<7e;3:0>h50m0=76a>8b83>!4?m3;286`=8e84?>i60k0;6)<7e;3:0>h50m0376a>8`83>!4?m3;286`=8e8:?>i6000;6)<7e;3:0>h50m0j76a>8983>!4?m3;286`=8e8a?>i60?0;6)<7e;3:0>h50m0h76a>8483>!4?m3;286`=8e8g?>i60=0;6)<7e;3:0>h50m0n76a>8283>!4?m3;286`=8e8e?>i60;0;6)<7e;3:0>h50m0:<65`19394?"50l0:595a29f954=<2d94i4>4:9l52b=83.94h4>959m6=b=9<10c<7l:18'6=c=90>0b?6k:048?j7>j3:1(?6j:0;7?k4?l3;<76a>9`83>!4?m3;286`=8e82<>=h9031<7*=8d82=1=i:1n1=454o0;;>5<#:1o1=4:4n3:g>4g<3f;2;7>5$3:f>4?33g83h7?m;:m2=3<72-83i7?64:l15j51e98k4>0290/>5k51868j7>c28o07b?8c;29 7>b283?7c<7d;3e?>o3>3:1(?6j:578j7>c2910e9:50;&1<`<3=2d94i4>;:k77?6=,;2n69;4n3:g>7=h50m0876g;f;29 7>b2=?0b?6k:598m1c=83.94h4;5:l15$3:f>13o3j3:1(?6j:578j7>c2110e9o50;&1<`<3=2d94i46;:k7=?6=,;2n69;4n3:g>d=h50m0i76g;7;29 7>b2=?0b?6k:b98m17=83.94h4;5:l15$3:f>346`=8e82?>o183:1(?6j:708j7>c2;10e8h50;&1<`<1:2d94i4<;:k5g?6=,;2n6;<4n3:g>1=h50m0>76g9a;29 7>b2?80b?6k:798m3?=83.94h492:l15$3:f>346`=8e8:?>o1>3:1(?6j:708j7>c2h10e;;50;&1<`<1:2d94i4m;:k50?6=,;2n6;<4n3:g>f=h50m0o76g>5283>!4?m3;>>6`=8e83?>o6=80;6)<7e;366>h50m0:76g>4g83>!4?m3;>>6`=8e81?>o6h50m0876g>4e83>!4?m3;>>6`=8e87?>o6h50m0>76g>4c83>!4?m3;>>6`=8e85?>o6h50m0<76g>4883>!4?m3;>>6`=8e8;?>o6<10;6)<7e;366>h50m0276g>4683>!4?m3;>>6`=8e8b?>o6h50m0i76g>4583>!4?m3;>>6`=8e8`?>o6<:0;6)<7e;366>h50m0o76g>4383>!4?m3;>>6`=8e8f?>o6<80;6)<7e;366>h50m0m76g>4183>!4?m3;>>6`=8e824>=n9:l1<7*=8d8217=i:1n1=<54i01f>5<#:1o1=8<4n3:g>44<3`;8h7>5$3:f>4353g83h7?<;:k27f<72-83i7?:2:l1l50;&1<`<6=;1e>5j51498m43f290/>5k51408j7>c28<07d?:9;29 7>b28?97c<7d;34?>o6=10;6)<7e;366>h50m0:465f14594?"50l0:9?5a29f95<=c:9j506=83.94h4>539m6=b=9m10e<:::18'6=c=9<80b?6k:0g8?l74i3:1(?6j:071?k4?l3;m76a>e783>!4?m3;n96`=8e83?>i6m=0;6)<7e;3f1>h50m0:76a>e283>!4?m3;n96`=8e81?>i6m;0;6)<7e;3f1>h50m0876g>7983>!4?m3;<;6`=8e83?>o6??0;6)<7e;343>h50m0:76g>7483>!4?m3;<;6`=8e81?>o6?=0;6)<7e;343>h50m0876a>ed83>!4?m3;nh6`=8e83?>i6mj0;6)<7e;3f`>h50m0:76a>ec83>!4?m3;nh6`=8e81?>i6mh0;6)<7e;3f`>h50m0876a>c983>!4?m3;h;6`=8e83?>i6k?0;6)<7e;3`3>h50m0:76a>c583>!4?m3;h;6`=8e81?>i6k:0;6)<7e;3`3>h50m0876a>c383>!4?m3;h;6`=8e87?>i6k80;6)<7e;3`3>h50m0>76a>c183>!4?m3;h;6`=8e85?>i6jo0;6)<7e;3`3>h50m0<76a>bd83>!4?m3;h;6`=8e8;?>i6jm0;6)<7e;3`3>h50m0276a>bb83>!4?m3;h;6`=8e8b?>i6jk0;6)<7e;3`3>h50m0i76a>b883>!4?m3;h;6`=8e8`?>i6j10;6)<7e;3`3>h50m0o76a>b683>!4?m3;h;6`=8e8f?>i6j?0;6)<7e;3`3>h50m0m76a>b483>!4?m3;h;6`=8e824>=h9k>1<7*=8d82g2=i:1n1=<54o0`0>5<#:1o1=n94n3:g>44<3f;i>7>5$3:f>4e03g83h7?<;:m2f4<72-83i7?l7:l150;&1<`<6k>1e>5j51498k4ea290/>5k51b58j7>c28<07b?le;29 7>b28i<7c<7d;34?>i6km0;6)<7e;3`3>h50m0:465`1ba94?"50l0:o:5a29f95<=c:9l5f3=83.94h4>c69m6=b=9m10c!4?m33:o6`=8e83?>o>9k0;6)<7e;;2g>h50m0:76g61`83>!4?m33:o6`=8e81?>o>900;6)<7e;;2g>h50m0876g>2083>!4?m3;9<6`=8e83?>o69o0;6)<7e;314>h50m0:76g>1e83>!4?m3;9<6`=8e81?>o69j0;6)<7e;314>h50m0876g>1c83>!4?m3;9<6`=8e87?>o69h0;6)<7e;314>h50m0>76g>1883>!4?m3;9<6`=8e85?>o6910;6)<7e;314>h50m0<76g>1683>!4?m3;9<6`=8e8;?>o69?0;6)<7e;314>h50m0276g>1483>!4?m3;9<6`=8e8b?>o69=0;6)<7e;314>h50m0i76g>1383>!4?m3;9<6`=8e8`?>o6980;6)<7e;314>h50m0o76g>1183>!4?m3;9<6`=8e8f?>o68o0;6)<7e;314>h50m0m76g>0d83>!4?m3;9<6`=8e824>=n99n1<7*=8d8265=i:1n1=<54i02`>5<#:1o1=?>4n3:g>44<3`;;n7>5$3:f>4473g83h7?<;:k24d<72-83i7?=0:l15j51498m44?290/>5k51328j7>c28<07d?=7;29 7>b288;7c<7d;34?>o6:?0;6)<7e;314>h50m0:465f13794?"50l0:>=5a29f95<=?4?:%0;a?7582d94i4>c:9j54c=83.94h4>219m6=b=9m10eN>:?1C5=j4Z6a9g~7128=1>o4=f;0`>66=:m09:7<::3596`<5<3w/>5759658j4632;1e==;52:l2e`<73g;n=7>4$0da>7673-;mo73=#:;91:6*=2585?!45=3<0(?<9:79'671=>2.9>449;%01e?0<,;8i6;5+23a92>"5:m0=7)<=e;48 74a2?1/>>>56:&174<13-88>784$310>3=#::>1:6*=3485?!44>3<0(?=8:79'66>=>2.9?449;%00e?0<,;9i6;5+22a92>"5;m0=7)<9>56:&104<13-8?>784$360>3=#:=>1:6*=4485?!43>3<0(?:8:79'61>=>2.98449;%07e?0<,;>i6;5+25a92>"58>56:&114<13-8>>784$370>3=#:<>1:6*=5485?!42>3<0(?;8:79'60>=>2.99449;%06e?0<,;?i6;5+24a92>"5=m0=7)<:e;48 73a2?1/>;>56:&124<13-8=>784$340>3=#:?>1:6*=6485?!41>3<0(?88:79'63>=>2.9:44:;%05e?3<,;?46209'=75=1;;0e?76:188m=?=831b=k750;9j64:l14:l1<2d94i4?;:m2=6<72-83i7?64:l1<2d94i4=;:m2=5<72-83i7?64:l1<2d94i4;;:m2<`<72-83i7?64:l1<2d94i49;:m2<2d94i47;:m232e:444?:%0;a?7><2d94i4n;:m2<=<72-83i7?64:l1<2d94i4l;:m2<0<72-83i7?64:l1<2d94i4j;:m2<6<72-83i7?64:l1<2d94i4>0:9l5=7=83.94h4>959m6=b=9810c<6?:18'6=c=90>0b?6k:008?j70n3:1(?6j:0;7?k4?l3;876a>7d83>!4?m3;286`=8e820>=h9>n1<7*=8d82=1=i:1n1=854o0;`>5<#:1o1=4:4n3:g>40<3f;2n7>5$3:f>4?33g83h7?8;:m2=d<72-83i7?64:l15j51898k4??290/>5k51868j7>c28k07b?67;29 7>b283?7c<7d;3a?>i61?0;6)<7e;3:0>h50m0:o65`18094?"50l0:595a29f95a=5$3:f>13o3;3:1(?6j:578j7>c2;10e9<50;&1<`<3=2d94i4<;:k7b?6=,;2n69;4n3:g>1=h50m0>76g;d;29 7>b2=?0b?6k:798m1e=83.94h4;5:l15$3:f>13o313:1(?6j:578j7>c2h10e9650;&1<`<3=2d94i4m;:k73?6=,;2n69;4n3:g>f=h50m0o76g93;29 7>b2?80b?6k:198m37=83.94h492:l15$3:f>346`=8e80?>o1k3:1(?6j:708j7>c2=10e;l50;&1<`<1:2d94i4:;:k5e?6=,;2n6;<4n3:g>3=h50m0<76g98;29 7>b2?80b?6k:998m31=83.94h492:l132c=:7>5$3:f>346`=8e8a?>o1<3:1(?6j:708j7>c2j10e8k50;&1<`<1:2d94i4k;:k216<72-83i7?:2:l1;:k20c<72-83i7?:2:l14?:%0;a?72:2d94i4k;:k207<72-83i7?:2:l1h50;&1<`<6=;1e>5j51098m45b290/>5k51408j7>c28807d?b28?97c<7d;30?>o6;j0;6)<7e;366>h50m0:865f12`94?"50l0:9?5a29f950=8:9j501=83.94h4>539m6=b=9010e<;9:18'6=c=9<80b?6k:0c8?l72=3:1(?6j:071?k4?l3;i76g>5583>!4?m3;>>6`=8e82g>=n9<:1<7*=8d8217=i:1n1=i54i066>5<#:1o1=8<4n3:g>4c<3`;8m7>5$3:f>4353g83h7?i;:m2a3<72-83i7?j5:l1;:m2a6<72-83i7?j5:l1;:k230<72-83i7?87:l1;:m2ag<72-83i7?jd:l1;:m2g1<72-83i7?l7:l14?:%0;a?7d?2d94i4<;:m2g7<72-83i7?l7:l11e>5j51098k4d4290/>5k51b58j7>c28807b?m2;29 7>b28i<7c<7d;30?>i6j80;6)<7e;3`3>h50m0:865`1c294?"50l0:o:5a29f950=8:9l5fe=83.94h4>c69m6=b=9010cc883>!4?m3;h;6`=8e82g>=h9j?1<7*=8d82g2=i:1n1=i54o0`b>5<#:1o1=n94n3:g>4c<3f;jj7>5$3:f>4e03g83h7?i;:k:5a<72-83i77>c:l1;:k:5d<72-83i77>c:l1;:k25a<72-83i7?=0:l15j51098m46d290/>5k51328j7>c28807d??b;29 7>b288;7c<7d;30?>o68h0;6)<7e;314>h50m0:865f11;94?"50l0:>=5a29f950=;4?:%0;a?7582d94i4>8:9j573=83.94h4>219m6=b=9010e<<;:18'6=c=9;:0b?6k:0c8?l75;3:1(?6j:003?k4?l3;i76g>2383>!4?m3;9<6`=8e82g>=n98o1<7*=8d8265=i:1n1=i54i030>5<#:1o1=?>4n3:g>4c<3`;;47>5$3:f>4473g83h7?i;:a065=83hh6=4?{%0af?4>>2B2>;5G91f8^2e=kr;=6<952c81b?4d2::1>i4=6;06>71=:l0987s+29;9=21h6il0;7c?j1;28 4`e2;:;7)?ic;034>"5:90=7)<=1;48 7452?1/>?=56:&161<13-899784$305>3=#:;=1:6*=2885?!45i3<0(?2.9>i49;%01a?0<,;8m6;5+22292>"5;80=7)<<2;48 7542?1/>>:56:&170<13-88:784$314>3=#::21:6*=3885?!44i3<0(?=m:79'66e=>2.9?i49;%00a?0<,;9m6;5+25292>"5<80=7)<;2;48 7242?1/>9:56:&100<13-8?:784$364>3=#:=21:6*=4885?!43i3<0(?:m:79'61e=>2.98i49;%07a?0<,;>m6;5+24292>"5=80=7)<:2;48 7342?1/>8:56:&110<13-8>:784$374>3=#:<21:6*=5885?!42i3<0(?;m:79'60e=>2.99i49;%06a?0<,;?m6;5+27292>"5>80=7)<92;48 7042?1/>;:56:&120<13-8=:784$344>3=#:?21:6*=6886?!41i3?0(?8m:3:4?!4>n38i<6*=a184b>"5i80l64$3cg>0=#:ho196*6238:64=#1;915??4i3;:>5<>o51h0;66g=9b83>>o6n>0;66g>7183>!4?m3;=j6`=8e83?>o6>l0;6)<7e;35b>h50m0:76g>6e83>!4?m3;=j6`=8e81?>o6>j0;6)<7e;35b>h50m0876g61483>!4?m33:86`=8e83?>o>9:0;6)<7e;;20>h50m0:76g61383>!4?m33:86`=8e81?>o>980;6)<7e;;20>h50m0876a>9483>!4?m3;286`=8e83?>i61:0;6)<7e;3:0>h50m0:76a>9083>!4?m3;286`=8e81?>i6190;6)<7e;3:0>h50m0876a>8g83>!4?m3;286`=8e87?>i60l0;6)<7e;3:0>h50m0>76a>8e83>!4?m3;286`=8e85?>i60j0;6)<7e;3:0>h50m0<76a>8c83>!4?m3;286`=8e8;?>i60h0;6)<7e;3:0>h50m0276a>8883>!4?m3;286`=8e8b?>i6010;6)<7e;3:0>h50m0i76a>8783>!4?m3;286`=8e8`?>i60<0;6)<7e;3:0>h50m0o76a>8583>!4?m3;286`=8e8f?>i60:0;6)<7e;3:0>h50m0m76a>8383>!4?m3;286`=8e824>=h91;1<7*=8d82=1=i:1n1=<54o0:3>5<#:1o1=4:4n3:g>44<3f;5$3:f>4?33g83h7?<;:m23`<72-83i7?64:l15j51498k4?d290/>5k51868j7>c28<07b?6b;29 7>b283?7c<7d;34?>i61h0;6)<7e;3:0>h50m0:465`18;94?"50l0:595a29f95<=<2d94i4>c:9l5<4=83.94h4>959m6=b=9m10c<68:18'6=c=90>0b?6k:0g8?j70k3:1(?6j:0;7?k4?l3;m76g;6;29 7>b2=?0b?6k:198m12=83.94h4;5:l15$3:f>13o3n3:1(?6j:578j7>c2=10e9k50;&1<`<3=2d94i4:;:k7`?6=,;2n69;4n3:g>3=h50m0<76g;b;29 7>b2=?0b?6k:998m1g=83.94h4;5:l132c?57>5$3:f>13o3?3:1(?6j:578j7>c2j10e9?50;&1<`<3=2d94i4k;:k57?6=,;2n6;<4n3:g>5=h50m0:76g90;29 7>b2?80b?6k:398m0`=83.94h492:l15$3:f>346`=8e86?>o1i3:1(?6j:708j7>c2?10e;750;&1<`<1:2d94i48;:k5==h50m0276g96;29 7>b2?80b?6k:`98m33=83.94h492:l15$3:f>346`=8e8g?>o6=:0;6)<7e;366>h50m0;76g>5083>!4?m3;>>6`=8e82?>o6h50m0976g>4d83>!4?m3;>>6`=8e80?>o6h50m0?76g>4b83>!4?m3;>>6`=8e86?>o6h50m0=76g>4`83>!4?m3;>>6`=8e84?>o6<00;6)<7e;366>h50m0376g>4983>!4?m3;>>6`=8e8:?>o6<>0;6)<7e;366>h50m0j76g>4783>!4?m3;>>6`=8e8a?>o6<=0;6)<7e;366>h50m0h76g>4283>!4?m3;>>6`=8e8g?>o6<;0;6)<7e;366>h50m0n76g>4083>!4?m3;>>6`=8e8e?>o6<90;6)<7e;366>h50m0:<65f12d94?"50l0:9?5a29f954=4:9j56d=83.94h4>539m6=b=9<10e<;n:18'6=c=9<80b?6k:048?l7213:1(?6j:071?k4?l3;<76g>5983>!4?m3;>>6`=8e82<>=n9<=1<7*=8d8217=i:1n1=454i075>5<#:1o1=8<4n3:g>4g<3`;>97>5$3:f>4353g83h7?m;:k211<72-83i7?:2:l150;&1<`<6=;1e>5j51e98m422290/>5k51408j7>c28o07d?b28?97c<7d;3e?>i6m?0;6)<7e;3f1>h50m0;76a>e583>!4?m3;n96`=8e82?>i6m:0;6)<7e;3f1>h50m0976a>e383>!4?m3;n96`=8e80?>o6?10;6)<7e;343>h50m0;76g>7783>!4?m3;<;6`=8e82?>o6?<0;6)<7e;343>h50m0976g>7583>!4?m3;<;6`=8e80?>i6ml0;6)<7e;3f`>h50m0;76a>eb83>!4?m3;nh6`=8e82?>i6mk0;6)<7e;3f`>h50m0976a>e`83>!4?m3;nh6`=8e80?>i6k10;6)<7e;3`3>h50m0;76a>c783>!4?m3;h;6`=8e82?>i6k=0;6)<7e;3`3>h50m0976a>c283>!4?m3;h;6`=8e80?>i6k;0;6)<7e;3`3>h50m0?76a>c083>!4?m3;h;6`=8e86?>i6k90;6)<7e;3`3>h50m0=76a>bg83>!4?m3;h;6`=8e84?>i6jl0;6)<7e;3`3>h50m0376a>be83>!4?m3;h;6`=8e8:?>i6jj0;6)<7e;3`3>h50m0j76a>bc83>!4?m3;h;6`=8e8a?>i6j00;6)<7e;3`3>h50m0h76a>b983>!4?m3;h;6`=8e8g?>i6j>0;6)<7e;3`3>h50m0n76a>b783>!4?m3;h;6`=8e8e?>i6j<0;6)<7e;3`3>h50m0:<65`1c694?"50l0:o:5a29f954=4:9l5g6=83.94h4>c69m6=b=9<10cce83>!4?m3;h;6`=8e82<>=h9ji1<7*=8d82g2=i:1n1=454o0aa>5<#:1o1=n94n3:g>4g<3f;hm7>5$3:f>4e03g83h7?m;:m2g<<72-83i7?l7:l11e>5j51e98k4df290/>5k51b58j7>c28o07b?nf;29 7>b28i<7c<7d;3e?>o>9m0;6)<7e;;2g>h50m0;76g61c83>!4?m33:o6`=8e82?>o>9h0;6)<7e;;2g>h50m0976g61883>!4?m33:o6`=8e80?>o6:80;6)<7e;314>h50m0;76g>1g83>!4?m3;9<6`=8e82?>o69m0;6)<7e;314>h50m0976g>1b83>!4?m3;9<6`=8e80?>o69k0;6)<7e;314>h50m0?76g>1`83>!4?m3;9<6`=8e86?>o6900;6)<7e;314>h50m0=76g>1983>!4?m3;9<6`=8e84?>o69>0;6)<7e;314>h50m0376g>1783>!4?m3;9<6`=8e8:?>o69<0;6)<7e;314>h50m0j76g>1583>!4?m3;9<6`=8e8a?>o69;0;6)<7e;314>h50m0h76g>1083>!4?m3;9<6`=8e8g?>o6990;6)<7e;314>h50m0n76g>0g83>!4?m3;9<6`=8e8e?>o68l0;6)<7e;314>h50m0:<65f11f94?"50l0:>=5a29f954=4:9j55?=83.94h4>219m6=b=9<10e<<7:18'6=c=9;:0b?6k:048?l75?3:1(?6j:003?k4?l3;<76g>2783>!4?m3;9<6`=8e82<>=n9;?1<7*=8d8265=i:1n1=454i007>5<#:1o1=?>4n3:g>4g<3`;9?7>5$3:f>4473g83h7?m;:k267<72-83i7?=0:l15j51e98m474290/>5k51328j7>c28o07d??8;29 7>b288;7c<7d;3e?>{e<:<1<7ll:183!4ej382:6F6279K=5bi1ov?9:0596g<5n38h6>>52e812?422;=1>h4=4;'6=?=1>=0b<>;:39m553=:2d:mh4?;o3f5?6<,8li6?>?;%3eg?4782.9>=49;%015?0<,;896;5+23192>"5:=0=7)<=5;48 7412?1/>?956:&16<<13-89m784$30a>3=#:;i1:6*=2e85?!45m3<0(?2.9?<49;%006?0<,;986;5+22692>"5;<0=7)<<6;48 7502?1/>>656:&17<<13-88m784$31a>3=#::i1:6*=3e85?!44m3<0(?=i:79'616=>2.98<49;%076?0<,;>86;5+25692>"5<<0=7)<;6;48 7202?1/>9656:&10<<13-8?m784$36a>3=#:=i1:6*=4e85?!43m3<0(?:i:79'606=>2.99<49;%066?0<,;?86;5+24692>"5=<0=7)<:6;48 7302?1/>8656:&11<<13-8>m784$37a>3=#:2.9:<49;%056?0<,;<86;5+27692>"5><0=7)<96;48 7002?1/>;656:&12<<23-8=m7;4$34a>7>03-82j7991/>l852`:8 7gc2<1/>lk55:&:67<>:81/5?=59338m7?>2900e5750;9j5c?=831b>4j50;9j;:k22a<72-83i7?9f:l14:l14?:%0;a??6<2d94i4>;:k:57<72-83i77>4:l14?:%0;a?7><2d94i4>;:m2=4<72-83i7?64:l1<2d94i4<;:m2<2d94i4:;:m2<2d94i48;:m2<2d94i46;:m2<<<72-83i7?64:l1<2d94i4m;:m2<3<72-83i7?64:l1<2d94i4k;:m2<1<72-83i7?64:l14?:%0;a?7><2d94i4i;:m2<7<72-83i7?64:l15j51098k4>7290/>5k51868j7>c28807b?8f;29 7>b283?7c<7d;30?>i6?l0;6)<7e;3:0>h50m0:865`16f94?"50l0:595a29f950=<2d94i4>8:9l5959m6=b=9010c<77:18'6=c=90>0b?6k:0c8?j7>?3:1(?6j:0;7?k4?l3;i76a>9783>!4?m3;286`=8e82g>=h9081<7*=8d82=1=i:1n1=i54o0:4>5<#:1o1=4:4n3:g>4c<3f;5$3:f>4?33g83h7?i;:k72?6=,;2n69;4n3:g>5=1<7*=8d871>h50m0:76g;3;29 7>b2=?0b?6k:398m14=83.94h4;5:l15$3:f>13o3l3:1(?6j:578j7>c2?10e9m50;&1<`<3=2d94i48;:k7f?6=,;2n69;4n3:g>==h50m0276g;9;29 7>b2=?0b?6k:`98m1>=83.94h4;5:l15$3:f>13o1;3:1(?6j:708j7>c2910e;?50;&1<`<1:2d94i4>;:k54?6=,;2n6;<4n3:g>7=h50m0876g9c;29 7>b2?80b?6k:598m3d=83.94h492:l15$3:f>346`=8e84?>o103:1(?6j:708j7>c2110e;950;&1<`<1:2d94i46;:k52?6=,;2n6;<4n3:g>d=h50m0i76g94;29 7>b2?80b?6k:b98m0c=83.94h492:l14?:%0;a?72:2d94i4?;:k214<72-83i7?:2:l132c:8:4?:%0;a?72:2d94i4n;:k203<72-83i7?:2:l10:9j56`=83.94h4>539m6=b=9810e<=j:18'6=c=9<80b?6k:008?l74l3:1(?6j:071?k4?l3;876g>3b83>!4?m3;>>6`=8e820>=n9:h1<7*=8d8217=i:1n1=854i07b>5<#:1o1=8<4n3:g>40<3`;>57>5$3:f>4353g83h7?8;:k21=<72-83i7?:2:l15j51898m431290/>5k51408j7>c28k07d?:5;29 7>b28?97c<7d;3a?>o6==0;6)<7e;366>h50m0:o65f14294?"50l0:9?5a29f95a=>6=4+29g95044?:%0;a?7b=2d94i4=;:m2a7<72-83i7?j5:l132e:nn4?:%0;a?7d?2d94i4n;:m2fg<72-83i7?l7:l10:9l5g2=83.94h4>c69m6=b=9810cb083>!4?m3;h;6`=8e820>=h9k:1<7*=8d82g2=i:1n1=854o0ae>5<#:1o1=n94n3:g>40<3f;hi7>5$3:f>4e03g83h7?8;:m2ga<72-83i7?l7:l11e>5j51898k4ee290/>5k51b58j7>c28k07b?la;29 7>b28i<7c<7d;3a?>i6k00;6)<7e;3`3>h50m0:o65`1b794?"50l0:o:5a29f95a=c:l1c:l1<4?:%0;a?7582d94i4?;:k25c<72-83i7?=0:l132c:=84?:%0;a?7582d94i4n;:k251<72-83i7?=0:l10:9j55b=83.94h4>219m6=b=9810e<>l:18'6=c=9;:0b?6k:008?l77j3:1(?6j:003?k4?l3;876g>0`83>!4?m3;9<6`=8e820>=n9931<7*=8d8265=i:1n1=854i00;>5<#:1o1=?>4n3:g>40<3`;9;7>5$3:f>4473g83h7?8;:k263<72-83i7?=0:l15j51898m443290/>5k51328j7>c28k07d?=3;29 7>b288;7c<7d;3a?>o6:;0;6)<7e;314>h50m0:o65f10g94?"50l0:>=5a29f95a=750;``>5<7s-8in7<66:J:63=O19n0V:m5cz35>41=:k09j738>6?952d810?{#:1315:94n027>7=i99?1>6`>ad83?k7b93:0(2.9>949;%011?0<,;8=6;5+23592>"5:00=7)<=a;48 74e2?1/>?m56:&16a<13-89i784$30e>3=#:::1:6*=3085?!44:3<0(?=<:79'662=>2.9?849;%002?0<,;9<6;5+22:92>"5;00=7)<>m56:&17a<13-88i784$31e>3=#:=:1:6*=4085?!43:3<0(?:<:79'612=>2.98849;%072?0<,;><6;5+25:92>"5<00=7)<;a;48 72e2?1/>9m56:&10a<13-8?i784$36e>3=#:<:1:6*=5085?!42:3<0(?;<:79'602=>2.99849;%062?0<,;?<6;5+24:92>"5=00=7)<:a;48 73e2?1/>8m56:&11a<13-8>i784$37e>3=#:?:1:6*=6085?!41:3<0(?8<:79'632=>2.9:849;%052?0<,;<<6;5+27:92>"5>00>7)<9a;78 70e2;2<7)<6f;0a4>"5i90"5i<02==5+2`496d><,;ko685+2`g91>">:;02><5+9319=77>o51j0;66g>f683>>o6?90;6)<7e;35b>h50m0;76g>6d83>!4?m3;=j6`=8e82?>o6>m0;6)<7e;35b>h50m0976g>6b83>!4?m3;=j6`=8e80?>o>9<0;6)<7e;;20>h50m0;76g61283>!4?m33:86`=8e82?>o>9;0;6)<7e;;20>h50m0976g61083>!4?m33:86`=8e80?>i61<0;6)<7e;3:0>h50m0;76a>9283>!4?m3;286`=8e82?>i6180;6)<7e;3:0>h50m0976a>9183>!4?m3;286`=8e80?>i60o0;6)<7e;3:0>h50m0?76a>8d83>!4?m3;286`=8e86?>i60m0;6)<7e;3:0>h50m0=76a>8b83>!4?m3;286`=8e84?>i60k0;6)<7e;3:0>h50m0376a>8`83>!4?m3;286`=8e8:?>i6000;6)<7e;3:0>h50m0j76a>8983>!4?m3;286`=8e8a?>i60?0;6)<7e;3:0>h50m0h76a>8483>!4?m3;286`=8e8g?>i60=0;6)<7e;3:0>h50m0n76a>8283>!4?m3;286`=8e8e?>i60;0;6)<7e;3:0>h50m0:<65`19394?"50l0:595a29f954=<2d94i4>4:9l52b=83.94h4>959m6=b=9<10c<7l:18'6=c=90>0b?6k:048?j7>j3:1(?6j:0;7?k4?l3;<76a>9`83>!4?m3;286`=8e82<>=h9031<7*=8d82=1=i:1n1=454o0;;>5<#:1o1=4:4n3:g>4g<3f;2;7>5$3:f>4?33g83h7?m;:m2=3<72-83i7?64:l15j51e98k4>0290/>5k51868j7>c28o07b?8c;29 7>b283?7c<7d;3e?>o3>3:1(?6j:578j7>c2910e9:50;&1<`<3=2d94i4>;:k77?6=,;2n69;4n3:g>7=h50m0876g;f;29 7>b2=?0b?6k:598m1c=83.94h4;5:l15$3:f>13o3j3:1(?6j:578j7>c2110e9o50;&1<`<3=2d94i46;:k7=?6=,;2n69;4n3:g>d=h50m0i76g;7;29 7>b2=?0b?6k:b98m17=83.94h4;5:l15$3:f>346`=8e82?>o183:1(?6j:708j7>c2;10e8h50;&1<`<1:2d94i4<;:k5g?6=,;2n6;<4n3:g>1=h50m0>76g9a;29 7>b2?80b?6k:798m3?=83.94h492:l15$3:f>346`=8e8:?>o1>3:1(?6j:708j7>c2h10e;;50;&1<`<1:2d94i4m;:k50?6=,;2n6;<4n3:g>f=h50m0o76g>5283>!4?m3;>>6`=8e83?>o6=80;6)<7e;366>h50m0:76g>4g83>!4?m3;>>6`=8e81?>o6h50m0876g>4e83>!4?m3;>>6`=8e87?>o6h50m0>76g>4c83>!4?m3;>>6`=8e85?>o6h50m0<76g>4883>!4?m3;>>6`=8e8;?>o6<10;6)<7e;366>h50m0276g>4683>!4?m3;>>6`=8e8b?>o6h50m0i76g>4583>!4?m3;>>6`=8e8`?>o6<:0;6)<7e;366>h50m0o76g>4383>!4?m3;>>6`=8e8f?>o6<80;6)<7e;366>h50m0m76g>4183>!4?m3;>>6`=8e824>=n9:l1<7*=8d8217=i:1n1=<54i01f>5<#:1o1=8<4n3:g>44<3`;8h7>5$3:f>4353g83h7?<;:k27f<72-83i7?:2:l1l50;&1<`<6=;1e>5j51498m43f290/>5k51408j7>c28<07d?:9;29 7>b28?97c<7d;34?>o6=10;6)<7e;366>h50m0:465f14594?"50l0:9?5a29f95<=c:9j506=83.94h4>539m6=b=9m10e<:::18'6=c=9<80b?6k:0g8?l74i3:1(?6j:071?k4?l3;m76a>e783>!4?m3;n96`=8e83?>i6m=0;6)<7e;3f1>h50m0:76a>e283>!4?m3;n96`=8e81?>i6m;0;6)<7e;3f1>h50m0876g>7983>!4?m3;<;6`=8e83?>o6??0;6)<7e;343>h50m0:76g>7483>!4?m3;<;6`=8e81?>o6?=0;6)<7e;343>h50m0876a>ed83>!4?m3;nh6`=8e83?>i6mj0;6)<7e;3f`>h50m0:76a>ec83>!4?m3;nh6`=8e81?>i6mh0;6)<7e;3f`>h50m0876a>c983>!4?m3;h;6`=8e83?>i6k?0;6)<7e;3`3>h50m0:76a>c583>!4?m3;h;6`=8e81?>i6k:0;6)<7e;3`3>h50m0876a>c383>!4?m3;h;6`=8e87?>i6k80;6)<7e;3`3>h50m0>76a>c183>!4?m3;h;6`=8e85?>i6jo0;6)<7e;3`3>h50m0<76a>bd83>!4?m3;h;6`=8e8;?>i6jm0;6)<7e;3`3>h50m0276a>bb83>!4?m3;h;6`=8e8b?>i6jk0;6)<7e;3`3>h50m0i76a>b883>!4?m3;h;6`=8e8`?>i6j10;6)<7e;3`3>h50m0o76a>b683>!4?m3;h;6`=8e8f?>i6j?0;6)<7e;3`3>h50m0m76a>b483>!4?m3;h;6`=8e824>=h9k>1<7*=8d82g2=i:1n1=<54o0`0>5<#:1o1=n94n3:g>44<3f;i>7>5$3:f>4e03g83h7?<;:m2f4<72-83i7?l7:l150;&1<`<6k>1e>5j51498k4ea290/>5k51b58j7>c28<07b?le;29 7>b28i<7c<7d;34?>i6km0;6)<7e;3`3>h50m0:465`1ba94?"50l0:o:5a29f95<=c:9l5f3=83.94h4>c69m6=b=9m10c!4?m33:o6`=8e83?>o>9k0;6)<7e;;2g>h50m0:76g61`83>!4?m33:o6`=8e81?>o>900;6)<7e;;2g>h50m0876g>2083>!4?m3;9<6`=8e83?>o69o0;6)<7e;314>h50m0:76g>1e83>!4?m3;9<6`=8e81?>o69j0;6)<7e;314>h50m0876g>1c83>!4?m3;9<6`=8e87?>o69h0;6)<7e;314>h50m0>76g>1883>!4?m3;9<6`=8e85?>o6910;6)<7e;314>h50m0<76g>1683>!4?m3;9<6`=8e8;?>o69?0;6)<7e;314>h50m0276g>1483>!4?m3;9<6`=8e8b?>o69=0;6)<7e;314>h50m0i76g>1383>!4?m3;9<6`=8e8`?>o6980;6)<7e;314>h50m0o76g>1183>!4?m3;9<6`=8e8f?>o68o0;6)<7e;314>h50m0m76g>0d83>!4?m3;9<6`=8e824>=n99n1<7*=8d8265=i:1n1=<54i02`>5<#:1o1=?>4n3:g>44<3`;;n7>5$3:f>4473g83h7?<;:k24d<72-83i7?=0:l15j51498m44?290/>5k51328j7>c28<07d?=7;29 7>b288;7c<7d;34?>o6:?0;6)<7e;314>h50m0:465f13794?"50l0:>=5a29f95<=?4?:%0;a?7582d94i4>c:9j54c=83.94h4>219m6=b=9m10eN>:?1C5=j4Z6a9g~7128=1>o4=f;0`>66=:m09:7<::3596`<5<3w/>5759658j4632;1e==;52:l2e`<73g;n=7>4$0da>7673-;mo73=#:;91:6*=2585?!45=3<0(?<9:79'671=>2.9>449;%01e?0<,;8i6;5+23a92>"5:m0=7)<=e;48 74a2?1/>>>56:&174<13-88>784$310>3=#::>1:6*=3485?!44>3<0(?=8:79'66>=>2.9?449;%00e?0<,;9i6;5+22a92>"5;m0=7)<9>56:&104<13-8?>784$360>3=#:=>1:6*=4485?!43>3<0(?:8:79'61>=>2.98449;%07e?0<,;>i6;5+25a92>"58>56:&114<13-8>>784$370>3=#:<>1:6*=5485?!42>3<0(?;8:79'60>=>2.99449;%06e?0<,;?i6;5+24a92>"5=m0=7)<:e;48 73a2?1/>;>56:&124<13-8=>784$340>3=#:?>1:6*=6485?!41>3<0(?88:79'63>=>2.9:44:;%05e?3<,;?46209'=75=1;;0e?76:188m=?=831b=k750;9j64:l14:l1<2d94i4?;:m2=6<72-83i7?64:l1<2d94i4=;:m2=5<72-83i7?64:l1<2d94i4;;:m2<`<72-83i7?64:l1<2d94i49;:m2<2d94i47;:m232e:444?:%0;a?7><2d94i4n;:m2<=<72-83i7?64:l1<2d94i4l;:m2<0<72-83i7?64:l1<2d94i4j;:m2<6<72-83i7?64:l1<2d94i4>0:9l5=7=83.94h4>959m6=b=9810c<6?:18'6=c=90>0b?6k:008?j70n3:1(?6j:0;7?k4?l3;876a>7d83>!4?m3;286`=8e820>=h9>n1<7*=8d82=1=i:1n1=854o0;`>5<#:1o1=4:4n3:g>40<3f;2n7>5$3:f>4?33g83h7?8;:m2=d<72-83i7?64:l15j51898k4??290/>5k51868j7>c28k07b?67;29 7>b283?7c<7d;3a?>i61?0;6)<7e;3:0>h50m0:o65`18094?"50l0:595a29f95a=5$3:f>13o3;3:1(?6j:578j7>c2;10e9<50;&1<`<3=2d94i4<;:k7b?6=,;2n69;4n3:g>1=h50m0>76g;d;29 7>b2=?0b?6k:798m1e=83.94h4;5:l15$3:f>13o313:1(?6j:578j7>c2h10e9650;&1<`<3=2d94i4m;:k73?6=,;2n69;4n3:g>f=h50m0o76g93;29 7>b2?80b?6k:198m37=83.94h492:l15$3:f>346`=8e80?>o1k3:1(?6j:708j7>c2=10e;l50;&1<`<1:2d94i4:;:k5e?6=,;2n6;<4n3:g>3=h50m0<76g98;29 7>b2?80b?6k:998m31=83.94h492:l132c=:7>5$3:f>346`=8e8a?>o1<3:1(?6j:708j7>c2j10e8k50;&1<`<1:2d94i4k;:k216<72-83i7?:2:l1;:k20c<72-83i7?:2:l14?:%0;a?72:2d94i4k;:k207<72-83i7?:2:l1h50;&1<`<6=;1e>5j51098m45b290/>5k51408j7>c28807d?b28?97c<7d;30?>o6;j0;6)<7e;366>h50m0:865f12`94?"50l0:9?5a29f950=8:9j501=83.94h4>539m6=b=9010e<;9:18'6=c=9<80b?6k:0c8?l72=3:1(?6j:071?k4?l3;i76g>5583>!4?m3;>>6`=8e82g>=n9<:1<7*=8d8217=i:1n1=i54i066>5<#:1o1=8<4n3:g>4c<3`;8m7>5$3:f>4353g83h7?i;:m2a3<72-83i7?j5:l1;:m2a6<72-83i7?j5:l1;:k230<72-83i7?87:l1;:m2ag<72-83i7?jd:l1;:m2g1<72-83i7?l7:l14?:%0;a?7d?2d94i4<;:m2g7<72-83i7?l7:l11e>5j51098k4d4290/>5k51b58j7>c28807b?m2;29 7>b28i<7c<7d;30?>i6j80;6)<7e;3`3>h50m0:865`1c294?"50l0:o:5a29f950=8:9l5fe=83.94h4>c69m6=b=9010cc883>!4?m3;h;6`=8e82g>=h9j?1<7*=8d82g2=i:1n1=i54o0`b>5<#:1o1=n94n3:g>4c<3f;jj7>5$3:f>4e03g83h7?i;:k:5a<72-83i77>c:l1;:k:5d<72-83i77>c:l1;:k25a<72-83i7?=0:l15j51098m46d290/>5k51328j7>c28807d??b;29 7>b288;7c<7d;30?>o68h0;6)<7e;314>h50m0:865f11;94?"50l0:>=5a29f950=;4?:%0;a?7582d94i4>8:9j573=83.94h4>219m6=b=9010e<<;:18'6=c=9;:0b?6k:0c8?l75;3:1(?6j:003?k4?l3;i76g>2383>!4?m3;9<6`=8e82g>=n98o1<7*=8d8265=i:1n1=i54i030>5<#:1o1=?>4n3:g>4c<3`;;47>5$3:f>4473g83h7?i;:a06`=83hh6=4?{%0af?4>>2B2>;5G91f8^2e=kr;=6<952c81b?4d2::1>i4=6;06>71=:l0987s+29;9=21h6il0;7c?j1;28 4`e2;:;7)?ic;034>"5:90=7)<=1;48 7452?1/>?=56:&161<13-899784$305>3=#:;=1:6*=2885?!45i3<0(?2.9>i49;%01a?0<,;8m6;5+22292>"5;80=7)<<2;48 7542?1/>>:56:&170<13-88:784$314>3=#::21:6*=3885?!44i3<0(?=m:79'66e=>2.9?i49;%00a?0<,;9m6;5+25292>"5<80=7)<;2;48 7242?1/>9:56:&100<13-8?:784$364>3=#:=21:6*=4885?!43i3<0(?:m:79'61e=>2.98i49;%07a?0<,;>m6;5+24292>"5=80=7)<:2;48 7342?1/>8:56:&110<13-8>:784$374>3=#:<21:6*=5885?!42i3<0(?;m:79'60e=>2.99i49;%06a?0<,;?m6;5+27292>"5>80=7)<92;48 7042?1/>;:56:&120<13-8=:784$344>3=#:?21:6*=6886?!41i3?0(?8m:3:4?!4>n38i<6*=a184b>"5i80l64$3cg>0=#:ho196*6238:64=#1;915??4i3;:>5<>o51h0;66g=9b83>>o6n>0;66g>7183>!4?m3;=j6`=8e83?>o6>l0;6)<7e;35b>h50m0:76g>6e83>!4?m3;=j6`=8e81?>o6>j0;6)<7e;35b>h50m0876g61483>!4?m33:86`=8e83?>o>9:0;6)<7e;;20>h50m0:76g61383>!4?m33:86`=8e81?>o>980;6)<7e;;20>h50m0876a>9483>!4?m3;286`=8e83?>i61:0;6)<7e;3:0>h50m0:76a>9083>!4?m3;286`=8e81?>i6190;6)<7e;3:0>h50m0876a>8g83>!4?m3;286`=8e87?>i60l0;6)<7e;3:0>h50m0>76a>8e83>!4?m3;286`=8e85?>i60j0;6)<7e;3:0>h50m0<76a>8c83>!4?m3;286`=8e8;?>i60h0;6)<7e;3:0>h50m0276a>8883>!4?m3;286`=8e8b?>i6010;6)<7e;3:0>h50m0i76a>8783>!4?m3;286`=8e8`?>i60<0;6)<7e;3:0>h50m0o76a>8583>!4?m3;286`=8e8f?>i60:0;6)<7e;3:0>h50m0m76a>8383>!4?m3;286`=8e824>=h91;1<7*=8d82=1=i:1n1=<54o0:3>5<#:1o1=4:4n3:g>44<3f;5$3:f>4?33g83h7?<;:m23`<72-83i7?64:l15j51498k4?d290/>5k51868j7>c28<07b?6b;29 7>b283?7c<7d;34?>i61h0;6)<7e;3:0>h50m0:465`18;94?"50l0:595a29f95<=<2d94i4>c:9l5<4=83.94h4>959m6=b=9m10c<68:18'6=c=90>0b?6k:0g8?j70k3:1(?6j:0;7?k4?l3;m76g;6;29 7>b2=?0b?6k:198m12=83.94h4;5:l15$3:f>13o3n3:1(?6j:578j7>c2=10e9k50;&1<`<3=2d94i4:;:k7`?6=,;2n69;4n3:g>3=h50m0<76g;b;29 7>b2=?0b?6k:998m1g=83.94h4;5:l132c?57>5$3:f>13o3?3:1(?6j:578j7>c2j10e9?50;&1<`<3=2d94i4k;:k57?6=,;2n6;<4n3:g>5=h50m0:76g90;29 7>b2?80b?6k:398m0`=83.94h492:l15$3:f>346`=8e86?>o1i3:1(?6j:708j7>c2?10e;750;&1<`<1:2d94i48;:k5==h50m0276g96;29 7>b2?80b?6k:`98m33=83.94h492:l15$3:f>346`=8e8g?>o6=:0;6)<7e;366>h50m0;76g>5083>!4?m3;>>6`=8e82?>o6h50m0976g>4d83>!4?m3;>>6`=8e80?>o6h50m0?76g>4b83>!4?m3;>>6`=8e86?>o6h50m0=76g>4`83>!4?m3;>>6`=8e84?>o6<00;6)<7e;366>h50m0376g>4983>!4?m3;>>6`=8e8:?>o6<>0;6)<7e;366>h50m0j76g>4783>!4?m3;>>6`=8e8a?>o6<=0;6)<7e;366>h50m0h76g>4283>!4?m3;>>6`=8e8g?>o6<;0;6)<7e;366>h50m0n76g>4083>!4?m3;>>6`=8e8e?>o6<90;6)<7e;366>h50m0:<65f12d94?"50l0:9?5a29f954=4:9j56d=83.94h4>539m6=b=9<10e<;n:18'6=c=9<80b?6k:048?l7213:1(?6j:071?k4?l3;<76g>5983>!4?m3;>>6`=8e82<>=n9<=1<7*=8d8217=i:1n1=454i075>5<#:1o1=8<4n3:g>4g<3`;>97>5$3:f>4353g83h7?m;:k211<72-83i7?:2:l150;&1<`<6=;1e>5j51e98m422290/>5k51408j7>c28o07d?b28?97c<7d;3e?>i6m?0;6)<7e;3f1>h50m0;76a>e583>!4?m3;n96`=8e82?>i6m:0;6)<7e;3f1>h50m0976a>e383>!4?m3;n96`=8e80?>o6?10;6)<7e;343>h50m0;76g>7783>!4?m3;<;6`=8e82?>o6?<0;6)<7e;343>h50m0976g>7583>!4?m3;<;6`=8e80?>i6ml0;6)<7e;3f`>h50m0;76a>eb83>!4?m3;nh6`=8e82?>i6mk0;6)<7e;3f`>h50m0976a>e`83>!4?m3;nh6`=8e80?>i6k10;6)<7e;3`3>h50m0;76a>c783>!4?m3;h;6`=8e82?>i6k=0;6)<7e;3`3>h50m0976a>c283>!4?m3;h;6`=8e80?>i6k;0;6)<7e;3`3>h50m0?76a>c083>!4?m3;h;6`=8e86?>i6k90;6)<7e;3`3>h50m0=76a>bg83>!4?m3;h;6`=8e84?>i6jl0;6)<7e;3`3>h50m0376a>be83>!4?m3;h;6`=8e8:?>i6jj0;6)<7e;3`3>h50m0j76a>bc83>!4?m3;h;6`=8e8a?>i6j00;6)<7e;3`3>h50m0h76a>b983>!4?m3;h;6`=8e8g?>i6j>0;6)<7e;3`3>h50m0n76a>b783>!4?m3;h;6`=8e8e?>i6j<0;6)<7e;3`3>h50m0:<65`1c694?"50l0:o:5a29f954=4:9l5g6=83.94h4>c69m6=b=9<10cce83>!4?m3;h;6`=8e82<>=h9ji1<7*=8d82g2=i:1n1=454o0aa>5<#:1o1=n94n3:g>4g<3f;hm7>5$3:f>4e03g83h7?m;:m2g<<72-83i7?l7:l11e>5j51e98k4df290/>5k51b58j7>c28o07b?nf;29 7>b28i<7c<7d;3e?>o>9m0;6)<7e;;2g>h50m0;76g61c83>!4?m33:o6`=8e82?>o>9h0;6)<7e;;2g>h50m0976g61883>!4?m33:o6`=8e80?>o6:80;6)<7e;314>h50m0;76g>1g83>!4?m3;9<6`=8e82?>o69m0;6)<7e;314>h50m0976g>1b83>!4?m3;9<6`=8e80?>o69k0;6)<7e;314>h50m0?76g>1`83>!4?m3;9<6`=8e86?>o6900;6)<7e;314>h50m0=76g>1983>!4?m3;9<6`=8e84?>o69>0;6)<7e;314>h50m0376g>1783>!4?m3;9<6`=8e8:?>o69<0;6)<7e;314>h50m0j76g>1583>!4?m3;9<6`=8e8a?>o69;0;6)<7e;314>h50m0h76g>1083>!4?m3;9<6`=8e8g?>o6990;6)<7e;314>h50m0n76g>0g83>!4?m3;9<6`=8e8e?>o68l0;6)<7e;314>h50m0:<65f11f94?"50l0:>=5a29f954=4:9j55?=83.94h4>219m6=b=9<10e<<7:18'6=c=9;:0b?6k:048?l75?3:1(?6j:003?k4?l3;<76g>2783>!4?m3;9<6`=8e82<>=n9;?1<7*=8d8265=i:1n1=454i007>5<#:1o1=?>4n3:g>4g<3`;9?7>5$3:f>4473g83h7?m;:k267<72-83i7?=0:l15j51e98m474290/>5k51328j7>c28o07d??8;29 7>b288;7c<7d;3e?>{e<=?1<7ll:183!4ej382:6F6279K=5bi1ov?9:0596g<5n38h6>>52e812?422;=1>h4=4;'6=?=1>=0b<>;:39m553=:2d:mh4?;o3f5?6<,8li6?>?;%3eg?4782.9>=49;%015?0<,;896;5+23192>"5:=0=7)<=5;48 7412?1/>?956:&16<<13-89m784$30a>3=#:;i1:6*=2e85?!45m3<0(?2.9?<49;%006?0<,;986;5+22692>"5;<0=7)<<6;48 7502?1/>>656:&17<<13-88m784$31a>3=#::i1:6*=3e85?!44m3<0(?=i:79'616=>2.98<49;%076?0<,;>86;5+25692>"5<<0=7)<;6;48 7202?1/>9656:&10<<13-8?m784$36a>3=#:=i1:6*=4e85?!43m3<0(?:i:79'606=>2.99<49;%066?0<,;?86;5+24692>"5=<0=7)<:6;48 7302?1/>8656:&11<<13-8>m784$37a>3=#:2.9:<49;%056?0<,;<86;5+27692>"5><0=7)<96;48 7002?1/>;656:&12<<23-8=m7;4$34a>7>03-82j7991/>l852`:8 7gc2<1/>lk55:&:67<>:81/5?=59338m7?>2900e5750;9j5c?=831b>4j50;9j;:k22a<72-83i7?9f:l14:l14?:%0;a??6<2d94i4>;:k:57<72-83i77>4:l14?:%0;a?7><2d94i4>;:m2=4<72-83i7?64:l1<2d94i4<;:m2<2d94i4:;:m2<2d94i48;:m2<2d94i46;:m2<<<72-83i7?64:l1<2d94i4m;:m2<3<72-83i7?64:l1<2d94i4k;:m2<1<72-83i7?64:l14?:%0;a?7><2d94i4i;:m2<7<72-83i7?64:l15j51098k4>7290/>5k51868j7>c28807b?8f;29 7>b283?7c<7d;30?>i6?l0;6)<7e;3:0>h50m0:865`16f94?"50l0:595a29f950=<2d94i4>8:9l5959m6=b=9010c<77:18'6=c=90>0b?6k:0c8?j7>?3:1(?6j:0;7?k4?l3;i76a>9783>!4?m3;286`=8e82g>=h9081<7*=8d82=1=i:1n1=i54o0:4>5<#:1o1=4:4n3:g>4c<3f;5$3:f>4?33g83h7?i;:k72?6=,;2n69;4n3:g>5=1<7*=8d871>h50m0:76g;3;29 7>b2=?0b?6k:398m14=83.94h4;5:l15$3:f>13o3l3:1(?6j:578j7>c2?10e9m50;&1<`<3=2d94i48;:k7f?6=,;2n69;4n3:g>==h50m0276g;9;29 7>b2=?0b?6k:`98m1>=83.94h4;5:l15$3:f>13o1;3:1(?6j:708j7>c2910e;?50;&1<`<1:2d94i4>;:k54?6=,;2n6;<4n3:g>7=h50m0876g9c;29 7>b2?80b?6k:598m3d=83.94h492:l15$3:f>346`=8e84?>o103:1(?6j:708j7>c2110e;950;&1<`<1:2d94i46;:k52?6=,;2n6;<4n3:g>d=h50m0i76g94;29 7>b2?80b?6k:b98m0c=83.94h492:l14?:%0;a?72:2d94i4?;:k214<72-83i7?:2:l132c:8:4?:%0;a?72:2d94i4n;:k203<72-83i7?:2:l10:9j56`=83.94h4>539m6=b=9810e<=j:18'6=c=9<80b?6k:008?l74l3:1(?6j:071?k4?l3;876g>3b83>!4?m3;>>6`=8e820>=n9:h1<7*=8d8217=i:1n1=854i07b>5<#:1o1=8<4n3:g>40<3`;>57>5$3:f>4353g83h7?8;:k21=<72-83i7?:2:l15j51898m431290/>5k51408j7>c28k07d?:5;29 7>b28?97c<7d;3a?>o6==0;6)<7e;366>h50m0:o65f14294?"50l0:9?5a29f95a=>6=4+29g95044?:%0;a?7b=2d94i4=;:m2a7<72-83i7?j5:l132e:nn4?:%0;a?7d?2d94i4n;:m2fg<72-83i7?l7:l10:9l5g2=83.94h4>c69m6=b=9810cb083>!4?m3;h;6`=8e820>=h9k:1<7*=8d82g2=i:1n1=854o0ae>5<#:1o1=n94n3:g>40<3f;hi7>5$3:f>4e03g83h7?8;:m2ga<72-83i7?l7:l11e>5j51898k4ee290/>5k51b58j7>c28k07b?la;29 7>b28i<7c<7d;3a?>i6k00;6)<7e;3`3>h50m0:o65`1b794?"50l0:o:5a29f95a=c:l1c:l1<4?:%0;a?7582d94i4?;:k25c<72-83i7?=0:l132c:=84?:%0;a?7582d94i4n;:k251<72-83i7?=0:l10:9j55b=83.94h4>219m6=b=9810e<>l:18'6=c=9;:0b?6k:008?l77j3:1(?6j:003?k4?l3;876g>0`83>!4?m3;9<6`=8e820>=n9931<7*=8d8265=i:1n1=854i00;>5<#:1o1=?>4n3:g>40<3`;9;7>5$3:f>4473g83h7?8;:k263<72-83i7?=0:l15j51898m443290/>5k51328j7>c28k07d?=3;29 7>b288;7c<7d;3a?>o6:;0;6)<7e;314>h50m0:o65f10g94?"50l0:>=5a29f95a=5<7s-8in7<66:J:63=O19n0V:m5cz35>41=:k09j738>6?952d810?{#:1315:94n027>7=i99?1>6`>ad83?k7b93:0(2.9>949;%011?0<,;8=6;5+23592>"5:00=7)<=a;48 74e2?1/>?m56:&16a<13-89i784$30e>3=#:::1:6*=3085?!44:3<0(?=<:79'662=>2.9?849;%002?0<,;9<6;5+22:92>"5;00=7)<>m56:&17a<13-88i784$31e>3=#:=:1:6*=4085?!43:3<0(?:<:79'612=>2.98849;%072?0<,;><6;5+25:92>"5<00=7)<;a;48 72e2?1/>9m56:&10a<13-8?i784$36e>3=#:<:1:6*=5085?!42:3<0(?;<:79'602=>2.99849;%062?0<,;?<6;5+24:92>"5=00=7)<:a;48 73e2?1/>8m56:&11a<13-8>i784$37e>3=#:?:1:6*=6085?!41:3<0(?8<:79'632=>2.9:849;%052?0<,;<<6;5+27:92>"5>00>7)<9a;78 70e2;2<7)<6f;0a4>"5i90"5i<02==5+2`496d><,;ko685+2`g91>">:;02><5+9319=77>o51j0;66g>f683>>o6?90;6)<7e;35b>h50m0;76g>6d83>!4?m3;=j6`=8e82?>o6>m0;6)<7e;35b>h50m0976g>6b83>!4?m3;=j6`=8e80?>o>9<0;6)<7e;;20>h50m0;76g61283>!4?m33:86`=8e82?>o>9;0;6)<7e;;20>h50m0976g61083>!4?m33:86`=8e80?>i61<0;6)<7e;3:0>h50m0;76a>9283>!4?m3;286`=8e82?>i6180;6)<7e;3:0>h50m0976a>9183>!4?m3;286`=8e80?>i60o0;6)<7e;3:0>h50m0?76a>8d83>!4?m3;286`=8e86?>i60m0;6)<7e;3:0>h50m0=76a>8b83>!4?m3;286`=8e84?>i60k0;6)<7e;3:0>h50m0376a>8`83>!4?m3;286`=8e8:?>i6000;6)<7e;3:0>h50m0j76a>8983>!4?m3;286`=8e8a?>i60?0;6)<7e;3:0>h50m0h76a>8483>!4?m3;286`=8e8g?>i60=0;6)<7e;3:0>h50m0n76a>8283>!4?m3;286`=8e8e?>i60;0;6)<7e;3:0>h50m0:<65`19394?"50l0:595a29f954=<2d94i4>4:9l52b=83.94h4>959m6=b=9<10c<7l:18'6=c=90>0b?6k:048?j7>j3:1(?6j:0;7?k4?l3;<76a>9`83>!4?m3;286`=8e82<>=h9031<7*=8d82=1=i:1n1=454o0;;>5<#:1o1=4:4n3:g>4g<3f;2;7>5$3:f>4?33g83h7?m;:m2=3<72-83i7?64:l15j51e98k4>0290/>5k51868j7>c28o07b?8c;29 7>b283?7c<7d;3e?>o3>3:1(?6j:578j7>c2910e9:50;&1<`<3=2d94i4>;:k77?6=,;2n69;4n3:g>7=h50m0876g;f;29 7>b2=?0b?6k:598m1c=83.94h4;5:l15$3:f>13o3j3:1(?6j:578j7>c2110e9o50;&1<`<3=2d94i46;:k7=?6=,;2n69;4n3:g>d=h50m0i76g;7;29 7>b2=?0b?6k:b98m17=83.94h4;5:l15$3:f>346`=8e82?>o183:1(?6j:708j7>c2;10e8h50;&1<`<1:2d94i4<;:k5g?6=,;2n6;<4n3:g>1=h50m0>76g9a;29 7>b2?80b?6k:798m3?=83.94h492:l15$3:f>346`=8e8:?>o1>3:1(?6j:708j7>c2h10e;;50;&1<`<1:2d94i4m;:k50?6=,;2n6;<4n3:g>f=h50m0o76g>5283>!4?m3;>>6`=8e83?>o6=80;6)<7e;366>h50m0:76g>4g83>!4?m3;>>6`=8e81?>o6h50m0876g>4e83>!4?m3;>>6`=8e87?>o6h50m0>76g>4c83>!4?m3;>>6`=8e85?>o6h50m0<76g>4883>!4?m3;>>6`=8e8;?>o6<10;6)<7e;366>h50m0276g>4683>!4?m3;>>6`=8e8b?>o6h50m0i76g>4583>!4?m3;>>6`=8e8`?>o6<:0;6)<7e;366>h50m0o76g>4383>!4?m3;>>6`=8e8f?>o6<80;6)<7e;366>h50m0m76g>4183>!4?m3;>>6`=8e824>=n9:l1<7*=8d8217=i:1n1=<54i01f>5<#:1o1=8<4n3:g>44<3`;8h7>5$3:f>4353g83h7?<;:k27f<72-83i7?:2:l1l50;&1<`<6=;1e>5j51498m43f290/>5k51408j7>c28<07d?:9;29 7>b28?97c<7d;34?>o6=10;6)<7e;366>h50m0:465f14594?"50l0:9?5a29f95<=c:9j506=83.94h4>539m6=b=9m10e<:::18'6=c=9<80b?6k:0g8?l74i3:1(?6j:071?k4?l3;m76a>e783>!4?m3;n96`=8e83?>i6m=0;6)<7e;3f1>h50m0:76a>e283>!4?m3;n96`=8e81?>i6m;0;6)<7e;3f1>h50m0876g>7983>!4?m3;<;6`=8e83?>o6??0;6)<7e;343>h50m0:76g>7483>!4?m3;<;6`=8e81?>o6?=0;6)<7e;343>h50m0876a>ed83>!4?m3;nh6`=8e83?>i6mj0;6)<7e;3f`>h50m0:76a>ec83>!4?m3;nh6`=8e81?>i6mh0;6)<7e;3f`>h50m0876a>c983>!4?m3;h;6`=8e83?>i6k?0;6)<7e;3`3>h50m0:76a>c583>!4?m3;h;6`=8e81?>i6k:0;6)<7e;3`3>h50m0876a>c383>!4?m3;h;6`=8e87?>i6k80;6)<7e;3`3>h50m0>76a>c183>!4?m3;h;6`=8e85?>i6jo0;6)<7e;3`3>h50m0<76a>bd83>!4?m3;h;6`=8e8;?>i6jm0;6)<7e;3`3>h50m0276a>bb83>!4?m3;h;6`=8e8b?>i6jk0;6)<7e;3`3>h50m0i76a>b883>!4?m3;h;6`=8e8`?>i6j10;6)<7e;3`3>h50m0o76a>b683>!4?m3;h;6`=8e8f?>i6j?0;6)<7e;3`3>h50m0m76a>b483>!4?m3;h;6`=8e824>=h9k>1<7*=8d82g2=i:1n1=<54o0`0>5<#:1o1=n94n3:g>44<3f;i>7>5$3:f>4e03g83h7?<;:m2f4<72-83i7?l7:l150;&1<`<6k>1e>5j51498k4ea290/>5k51b58j7>c28<07b?le;29 7>b28i<7c<7d;34?>i6km0;6)<7e;3`3>h50m0:465`1ba94?"50l0:o:5a29f95<=c:9l5f3=83.94h4>c69m6=b=9m10c!4?m33:o6`=8e83?>o>9k0;6)<7e;;2g>h50m0:76g61`83>!4?m33:o6`=8e81?>o>900;6)<7e;;2g>h50m0876g>2083>!4?m3;9<6`=8e83?>o69o0;6)<7e;314>h50m0:76g>1e83>!4?m3;9<6`=8e81?>o69j0;6)<7e;314>h50m0876g>1c83>!4?m3;9<6`=8e87?>o69h0;6)<7e;314>h50m0>76g>1883>!4?m3;9<6`=8e85?>o6910;6)<7e;314>h50m0<76g>1683>!4?m3;9<6`=8e8;?>o69?0;6)<7e;314>h50m0276g>1483>!4?m3;9<6`=8e8b?>o69=0;6)<7e;314>h50m0i76g>1383>!4?m3;9<6`=8e8`?>o6980;6)<7e;314>h50m0o76g>1183>!4?m3;9<6`=8e8f?>o68o0;6)<7e;314>h50m0m76g>0d83>!4?m3;9<6`=8e824>=n99n1<7*=8d8265=i:1n1=<54i02`>5<#:1o1=?>4n3:g>44<3`;;n7>5$3:f>4473g83h7?<;:k24d<72-83i7?=0:l15j51498m44?290/>5k51328j7>c28<07d?=7;29 7>b288;7c<7d;34?>o6:?0;6)<7e;314>h50m0:465f13794?"50l0:>=5a29f95<=?4?:%0;a?7582d94i4>c:9j54c=83.94h4>219m6=b=9m10e7}Yno16>k95c09~wcb=838pRkj4=3d5>f7;|qe2?6=:rTm:63=eg8`5>{tn<0;6?uQf49>6`c=k81vk:50;0xZc2<5;oo6n?4}rd0>5<5sWl870vPi2:?1ag52z\f`>;5m?0h=6s|eb83>7}Ymj16>h;5c09~w`d=838pRhl4=3g7>f7;|qf1?6=:rTn963=dd8`5>{tm:0;6?uQe29>6ae=k81vh<50;0xZ`4<5;ni6n?4}rg2>5<5sWo:70vPj0:?1`<52z\gg>;5l<0h=6s|dc83>7}Ylk16>i:5c09~wag=838pRio4=3f0>f7;|qg7?6=:rTo?63=cb8`5>{tl;0;6?uQd39>6fd=k81vi?50;0xZa7<5;ij6n?4}rf3>5<5sWn;70vPlf:?1g=52z\`e>;5k:0h=6s|c883>7}Yk016>n<5c09~wf>=838pRn64=3a2>f7279nk4l1:pg0<72;qUo8522cg9g4=z{j>1<7kl5c09~w4652909wS??2:?1bd;|q245<72;qU==>4=3d;>f7;|q`7?6=:rTh?63=bb8`5>{t:kk1<77}Y:k201>>=:b38yv4e?3:1>vP=b69>757=k81v?l9:181[4e>278<=4l1:p6g3=838pR?l:;<0eb?e63ty9n94?:3y]6g2<5;ln6n?4}r0a7?6=:rT9n>522gf9g4=z{;h96=4={_0a6>;5nj0h=6s|a783>43|Vh<015=7:6d89=502>l015=9:6d89=522>l015<8:3;3?8>50382<6372881=5=:0;k1>4>4=90a>7?73429o7<60:?;6a<519164?k528289=7a2;3;706=0;0:4>;?:8095=5283096<6<51886?7?;<:10?4>8273>84=919><70=:0:0q~7i:1822~X>n27?<=4=949>7c`=:0?01>hj:3;6?85al3829634;4=2db>7?2349m57<>b:?7f4<51<168lk5287891gf2;3>70:k5;0:1>;3l80958524bf96<3<5=i26?7:;<6`1?4>=27?o<4=949>0gb=:0?019l6:3;6?82e=382963;a781=0=z{0o1<78t^8g8921?2;;<709=c;5e?815k3i:709=5;02f>;0?>095=5rs8f94?2|V0n01:?m:6d8927e2j;01:?=:33a?xuem3:1?>uQbd9>12>=?o1695>57g9>10>=?o1698757g9>10g=?o1698l57g9>13g=?o169;l57g9>13e=?o169;j57g9>11`=?o1698>57g9>12d=?o169:m57g9>11>=?o1699757g9>1=7=?o1695<57g9>12?=?o1694;57g9>111d6=?o169l?57g9>1d4=?o169l=57g9>1d2=?o1694857g9>1<1=?o1694657g9>1122`=:8h01;6?:33a?80e93=m708m0;5e?80fn3=m708ne;5e?80>l3=m7086c;5e?80>j3=m7086a;5e?80?13=m70878;5e?80??3=m70876;5e?xuel3:1?vPmd:?;53<0n273=<48f:pfg<72:;pRol4=523>2`<5:lm6:h4=2df>2`<5:lo6:h4=2d`>2`<5:li6:h4=2db>2`<5<>96??l;<1fb?1a349no79i;<1f=<7?i8:?744<6n>168??51g58912528l<70:;b;3e3>;3f69>00b=9o=019>;:0d4?827?3;m;63;0`82b2=:<9n1=k94=533>4`034>:?7?i7:?750<6n>168<651g58917e28l<70:>e;3e3>;3:=0:j:5243595c1<5=8j6f69>065=9o=019=9:0d4?82413;m;63;3b82b2=:<:l1=k94=566>4`034>?47?i7:pfd<72?qUnl5279193c=:?191o<527`59g4=:?hi1>77e3tyi57>54z\a=>;0ij09=l52785964g<5>3i6??n;|qb1?6=;?;10h=63:948`5>{t1h0;658t^8c891672>n01>hi:6f896`b2>n01>hk:6f896`d2>n01>hm:6f896`f2>n015?9:9089=76218015=7:9089=50218015=9:9089=5221801:h;:6f892>42>n01:o8:6f892g?2>n01:o9:6f892c02>n01:k;:6f892c72>n01:jl:6f892b?2>n01:j;:6f892b72>n01:ml:6f892e?2>n01:m;:6f8924d2>n01:?m:6f8901?2180186?:908903?218018;6:908903f218018;m:908900f2180188m:908900d2180188k:908902a218018;?:908901e2180189l:908902?218018:6:90890>62180186=:908901>2180187::6f890?d2>n0187k:6f890?b2>n0187i:6f890g72>n018o>:6f890g52>n018o<:6f890g32>n01879:6f890?02>n01877:6f890?>2>n0187n:6f890?e2>n018o::90891d62>n019oj:6f891gf2>n019j::6f891b62>n019mk:6f891e>2>n019m::6f891e62>n019lk:6f891d>2>n019l::6f891g12>n01;l>:90893d721801;oi:90893gb21801;7k:90893?d21801;7m:90893?f21801;66:90893>?21801;68:90893>121801>ki:6f896cd2>n01>k7:6f896c32>n01>k?:6f896bd2>n01>j7:6f892102>n01:99:6f8910721o019>>:9;89146213019:=:9;8912e213019:j:9;89136213019;;:9;89130213019;n:9;8913c213019>;:9;89160213019>n:9;8916c213019??:9;89174213019?::9;8917?213019?m:9;8917b213019<;:9;89140213019213019=l:9;8915a213019:::9;8912?2130q~6=:18231}Y0;164=k57e9><4b=?m164<>57e9><4?=?m164<:57e9><44=?m164<957e9>753=?m16?767=?m16?9957e9>70b=?m16?:=57e9>72b=?m16?:h57e9>7=7=?m16?5=57e9>751=?m16?=757e9>75d=?m16?=j57e9>75`=?m16?745=?m16?<;57e9>741=?m16?<757e9>74b=?m16?777=?m16??=57e9>773=?m16??957e9>77?=?m16??l57e9>77b=?m16??h57e9>765=?m16?>;57e9>761=?m16?>757e9>76d=?m16?>j57e9>76`=?m16?9?57e9>715=?m16?9;57e9>71?=?m16?9l57e9>71b=?m16?9h57e9>707=?m16?8=57e9>703=?m16?8957e9>70?=?m16?8l57e9>70`=?m16?;?57e9>735=?m16?;;57e9>731=?m16?;757e9>73d=?m16?;j57e9>73`=?m16?:?57e9>723=?m16?:957e9>72?=?m16?:l57e9>752=0;16?766=0;16?985839>70e=0;16?:<5839>72e=0;16?:k5839>7=6=0;16?5<5839>750=0;16?=65839>75g=0;16?=m5839>75c=0;16?<>5839>744=0;16?<:5839>740=0;16?<65839>74e=0;16?776=0;16??<5839>772=0;16??85839>77>=0;16??o5839>77e=0;16??k5839>764=0;16?>:5839>760=0;16?>65839>76g=0;16?>m5839>76c=0;16?9>5839>714=0;16?9:5839>71>=0;16?9o5839>71e=0;16?9k5839>706=0;16?8<5839>702=0;16?885839>70>=0;16?8o5839>70c=0;16?;>5839>734=0;16?;:5839>730=0;16?;65839>73g=0;16?;m5839>73c=0;16?:>5839>722=0;16?:85839>72>=0;16?:o5839><7`=?m16;5o57e9>3=d=?m16;:;57e9>300=?m16;8;57e9>302=?m16;8h57e9>30c=?m16;8j57e9>30e=?m16;8l57e9>30g=?m16;8757e9>30>=?m16;8957e9>305=?m16;lh57e9>3dc=?m16;:k57e9>3=4=?m16;5?57e9>350=?m16;=957e9>35>=?m16;=757e9>35g=?m16;=l57e9>35e=?m16;=j57e9>370=?m16;?l57e9>37?=?m16;?o57e9>345=?m16;340=?m16;<957e9>34>=?m16;<757e9>2c6=?m16:k?57e9>2`e=?m1699:57e9>113=?m16:hk57e9>2``=?m1698:57e9>130=?m1699857e9>1d>=?m169l857e9>1g2=?m169o<57e9>1g1=?m169o;57e9>1gg=?m169o657e9>1gb=?m169ol57e9>1f6=?m169ok57e9>1f5=?m169n?57e9>1f0=?m169n:57e9>1f?=?m169n957e9>1fe=?m169no57e9>1dd=?m169l757e9>1dc=?m169lm57e9>1g7=?m169lh57e9>21d=?m16:9657e9>212=?m16:;h57e9>23d=?m16:;957e9>235=?m16:8h57e9>20d=?m16:8957e9>205=?m16:9h57e9>216=?m169k<57e9>1``=?m169hl57e9>240=?m16:<<57e9>25c=?m16:=o57e9>250=?m16:=<57e9>1cc=?m169ko57e9>1c0=?m169h957e9>3=b=?m16;5h57e9>3c3=?m16;:=57e9><03=?m16?==57e9>754=?m16?=?57e9>756=?m16>kh57e9>6cc=?m16>kj57e9>6ce=?m16>k957e9>6c0=?m16>k;57e9>6c2=?m16>k<57e9>6c7=?m16>k>57e9>6``=?m16>hk57e9>6`b=?m16>hm57e9>6`d=?m16>ho57e9>6`?=?m16>h957e9>6`0=?m16>h;57e9>6`2=?m16>h=57e9>6`4=?m16>h?57e9>6`6=?m16>ih57e9>6ac=?m16>im57e9>6ad=?m16>io57e9>6a?=?m16>i657e9>6a1=?m16>i857e9>6a3=?m16>i:57e9>6a5=?m16>i?57e9>6a6=?m16>nh57e9>6fc=?m16>nj57e9>6fe=?m16>nl57e9>6fg=?m16>n757e9>6f>=?m16>n857e9>6f3=?m16>n:57e9>6f5=?m16>n<57e9>6f7=?m16>n>57e9>6g`=?m16>ok57e9>6gb=?m16>kl57e9>6cg=?m16>k757e9>6c>=?m16>k=57e9>6`>=?m16>ij57e9>6a4=?m16>n957e9>6ge=?m164?957e9><7>=?m164?757e9><7g=?m164?l57e9><7e=?m164?j57e9><7c=?m164<76=?m164??57e9><74=?m164?=57e9><72=?m164?;57e9><70=?m16;:h57e9>3=6=?m16;ll57e9>362=?m16;?657e9>371=?m16;<;57e9>342=?m168;>5909>057=0o168??58g9>014=0o1689l58g9>01c=0o1688?58g9>002=0o1688958g9>00g=0o1688j58g9>052=0o168=958g9>05g=0o168=j58g9>046=0o168<=58g9>043=0o168<658g9>04d=0o168072=0o168?958g9>07g=0o168?j58g9>066=0o168>=58g9>060=0o168>758g9>06e=0o168>h58g9>013=0o1689658g9~wg>=838pRo64=461>7>?3ty9;o4?:0738?5?38:;63<0580e>;49h08m63<3180e>;4;4?;08m63<7b80e>;4?l08m63<8180e>;40;08m63<0780e>;48108m63<0`80e>;48j08m63<0d80e>;49908m63<1380e>;49=08m63<1780e>;49108m63<1b80e>;49l08m63<2180e>;4:;08m63<2580e>;4:?08m63<2980e>;4:h08m63<2b80e>;4:l08m63<3380e>;4;=08m63<3780e>;4;108m63<3`80e>;4;j08m63<3d80e>;4<908m63<4380e>;4<=08m63<4980e>;4;4;4=;08m63<5580e>;4=?08m63<5980e>;4=h08m63<5d80e>;4>908m63<6380e>;4>=08m63<6780e>;4>108m63<6`80e>;4>j08m63<6d80e>;4?908m63<7580e>;4??08m63<7980e>;4?h08m637358242=:0:91==94=911>4603428=7??7:?;75<68>16;h=5115892ba28:<709kb;333>;0l>0:<:527e19551<5>im6<>8;<5`f?77?27069>3f5=99=01:=::33b?814=38:o63:4781=0=:>==1==94=760>46034<=i7??7:?52d<68>16:;851158930528:<708:e;333>;1=h0:<:526449551<5??96<>8;<47a?77?27=?k4>069>1`c=99=018kn:024?806=3;;;639108242=:>9n1==94=72:>46034<;97??7:?544<68>169kj5115890`>28:<70;i5;333>;2m?0:<:524`f9551<5=k26<>8;<6g0?77?27?h=4>069>0fe=99=019m7:024?82d<3;;;63;c18242=:46034>i87??7:?7e0<68>16:lj5115893gd28:<708nb;333>;1ih0:<:526`;9551<5?326<>8;<4:069>2<0=99=01;7::024?80?=3;;;639858242=:>191==94=7:1>46034<3=7??7:?0ag<68>16?h95115896c428:<70=kf;333>;4lk0:<:523e59551<5>9?6n?4=543><7?34>=<77>6:?725<51j168;>528g8916620;>70:?1;;27>;38802=?524139=47<5=::6?7l;<635?4>l27?><46149>077=189019<>:831?825933:=63;2081=f=:<;;1>4j4=561><7234>?>77>3:?707<>9;1689<5903891252;3h70:;2;0:`>;3i64?=;<67f??6927?8o4=9b9>01d=:0n019:j:836?823m33:?63;4d8:57=:<=o157?d34>?i7<6d:?714<>9<1688?59018913620;970::1;;25>;3=8095n5244396002=18;019;;:3;`?822<382h63;568:50=:<<=15<=4=574><7534>>;77>1:?712<51j16889528f8913f20;>70::a;;27>;3=h02=?5244c9=47<5=?j6?7l;<66e?4>l27?9i46149>00b=189019;k:831?822l33:=63;5e81=f=:<4j4=527><7234>;877>3:?741<>9;168=:5903891632;3h70:?4;0:`>;38>02=8524159=45<5=:<64?=;<633??6927?<:4=9b9>051=:0n019>n:836?827i33:?63;0`8:57=:<9k157?d34>;m7<6d:?74a<>9<168=j59018916c20;970:?d;;25>;38m095n5241f96046=18;019??:3;`?8268382h63;128:50=:<8915<=4=530><7534>:?77>1:?756<51j168<=528f8917220;>70:>5;;27>;39<02=?524079=47<5=;>6?7l;<621?4>l27?=546149>04>=189019?7:831?826033:=63;1981=f=:<821>4j4=53a><7234>:n77>3:?75g<>9;168b;0:`>;39l02=85240g9=45<5=;n64?=;<62a??6927?=h4=9b9>04c=:0n019<;:836?825<33:?63;258:57=:<;>157?d34>987<6d:?762<>9<168?959018914020;970:=7;;25>;3:>095n5243596l46139>07g=18;019<7534>9h77>1:?76a<51j168?j528f8915720;>70:<0;;27>;3;902=?524229=47<5=9;6?7l;<604?4>l27??>46149>065=189019=<:831?824;33:=63;3281=f=:<:91>4j4=515><7234>8:77>3:?773<>9;168>85903891512;3h70:<6;0:`>;3;002=85242;9=45<5=9264?=;<60=??6927??44=9b9>06?=:0n019=l:836?824k33:?63;3b8:57=:<:i157?d34>8o7<6d:?77c<>9<168>h59018915a20;970:;3;o095n5242d96>64?:;<671??6;27?8846139>013=18;019:::3;`?823=382h63;498:50=:<=215<=4=56;><7534>?477>1:?70=<51j16896528f8yv2?i3:14v3;8c81<==:77f34>=h7<>a:?736<59h168:6520a8911d2;;j70:71;02g>{t;j=1<76t=2d:>7>?349nj7<65:?0af<51<16?h65287896c32;3>70=j0;0:1>;4lj0958523e:96<352z?0g1<59h16?n;529:8yv5d13:1>v3564}r71b?6=:r7?:k4=1`9>036=90n0q~;=e;296~;3>h09=h5245:95=152z?72g<59o16896516a8yv35k3:1>v3;6b815d=:<=?1=594}r71f?6=:r7?:i4=1d9>013=9>i0q~;=9;296~;3>h09=i5242d95=152z?72g<59j168>h516a8yv35?3:1>v3;6`815c=:<:i1=594}r712?6=:r7?:o4=1d9>06e=9>i0q~;=5;296~;3?009=h5242;95=152z?73d<59o168>7516a8yv35;3:1>v3;7c815d=:<:<1=594}r716?6=:r7?;n4=1d9>060=9>i0q~;=1;296~;3?009=i5242195=152z?73d<59j168>=516a8yv36m3:1>v3;78815c=:<::1=594}r72`?6=:r7?;l4=1d9>066=9>i0q~;>c;296~;30>09=h5243f95=152z?7<=<59o168?j516a8yv36i3:1>v3;88815d=:<;k1=594}r72=?6=:r7?:84=1d9>07g=9>i0q~;>8;296~;30>09=i5243595=152z?7<=<59j168?9516a8yv36>3:1>v3;86815c=:<;>1=594}r721?6=:r7?454=1d9>072=9>i0q~;>3;296~;3?909=h5240g95=17>52z?734<59o168v3;73815d=:<8h1=594}r724?6=:r7?;>4=1d9>04d=9>i0q~;?f;296~;3?909=i5240:95=152z?734<59j168<6516a8yv37l3:1>v3;71815c=:<8?1=594}r73g?6=:r7?;<4=1d9>043=9>i0q~;?b;296~;3>?09=h5240195=152z?722<59o168<=516a8yv3703:1>v3;69815d=:<8:1=594}r733?6=:r7?:44=1d9>046=9>i0q~;?6;296~;3>?09=i5241f95=152z?722<59j168=j516a8yv37<3:1>v3;67815c=:<9k1=594}r737?6=:r7?::4=1d9>05g=9>i0q~;?2;296~;30909=i5241595=152z?73c<59l168=9516a8yv3783:1>v3;81815`=:<9>1=594}r6eb?6=:r7?4<4=1e9>052=9>i0q~:id;296~;30909=n5244f95=1mo7>52z?73c<59m1688j516a8yv2aj3:1>v3;81815c=:<00g=9>i0q~:i9;296~;30?09=i5244595=1m47>52z?7<3<59j16889516a8yv2a?3:1>v3;87815`=:<<>1=594}r6e2?6=:r7?4;4=1g9>002=9>i0q~:i5;296~;30<09=i5244395=1m87>52z?7<0<59j1688?516a8yv34;3:1>v3;84815`=:<=o1=594}r706?6=:r7?484=1g9>01c=9>i0q~;<1;296~;3?>09=i5245`95=152z?733<59l1689l516a8yv35i3:1>v3;76815`=:<=81=594}r72b?6=:r7?;54=1e9>014=9>i0q~;>4;296~;3?>09=n5243395=152z?733<59m168??516a8yv2am3:1>v3;76815c=:<9;1=594}r6e7?6=:r7?;;4=1c9>057=9>i0q~;<7;2966}:4<4=5`1>77f34>=<7;;;<635?2134>9=7:9;<676?2134>?n7:9;<67a?2134>>=7:9;<660?2134>>;7:9;<66e?2134>>h7:9;<630?2134>;;7:9;<63e?2134>;h7:9;<624?2134>:?7:9;<621?2134>:47:9;<62f?2134>:i7:9;<610?2134>9;7:9;<61e?2134>9h7:9;<604?2134>8?7:9;<602?2134>857:9;<60g?2134>8j7:9;<671?2134>?47:9;|q673<72;9p19oj:3;1?82fn38:m63;61866>;3880?863;20870>;3<;0?863;4c870>;3;3==0?863;56870>;3=h0?863;5e870>;38=0?863;06870>;38h0?863;0e870>;3990?863;12870>;39<0?863;19870>;39k0?863;1d870>;3:=0?863;26870>;3:h0?863;2e870>;3;90?863;32870>;3;?0?863;38870>;3;j0?863;3g870>;3<<0?863;49870>{t=:?1<7<<{<6be?4>:27?mo4=1`9>036==8168=?5429>077=<:1689<5429>01d=<:1689k5429>007=<:1688:5429>001=<:1688o5429>00b=<:168=:5429>051=<:168=o5429>05b=<:168<>5429>045=<:168<;5429>04>=<:16804c=<:168?:5429>071=<:168?o5429>07b=<:168>>5429>065=<:168>85429>06?=<:168>m5429>06`=<:1689;5429>01>=<:1v8:?:1817~;3l<095?524e4964g<5=<;68j4=522>14<5=8:69<4=561>14<5=>i69<4=56f>14<5=?:69<4=577>14<5=?<69<4=57b>14<5=?o69<4=527>14<5=:<69<4=52b>14<5=:o69<4=533>14<5=;869<4=536>14<5=;369<4=53a>14<5=;n69<4=507>14<5=8<69<4=50b>14<5=8o69<4=513>14<5=9869<4=515>14<5=9269<4=51`>14<5=9m69<4=566>14<5=>369<4}r70b?6=::q68i?5280891b52;;j70:90;7`?82793>m70:=1;6e?823:3>m70:;b;6e?823m3>m70::1;6e?822<3>m70::7;6e?822i3>m70::d;6e?827<3>m70:?7;6e?827i3>m70:?d;6e?82683>m70:>3;6e?826=3>m70:>8;6e?826j3>m70:>e;6e?825<3>m70:=7;6e?825i3>m70:=d;6e?82483>m70:<3;6e?824>3>m70:<9;6e?824k3>m70:m70:;8;6e?xu2;l0;6?=t=5ag>7?534>hi7<>a:?725<2j27?<<4;e:?764<3m27?8?4;e:?70g<3m27?8h4;e:?714<3m27?994;e:?712<3m27?9l4;e:?71a<3m27?<94;e:?742<3m27?94;e:?762<3m27?>l4;e:?76a<3m27??=4;e:?776<3m27??;4;e:?77<<3m27??n4;e:?77c<3m27?884;e:?70=<3m2wx9>j50;0082d1382>63;c`815d=:5<5;r7?o84=939>0f0=:8k0198?:4;891662=i019<>:5a891252=i019:m:5a8912b2=i019;>:5a891332=i019;8:5a8913f2=i019;k:5a891632=i019>8:5a8916f2=i019>k:5a891772=i019?<:5a891722=i019?7:5a8917e2=i019?j:5a891432=i019<8:5a8914f2=i019>u24b396<4<5=i96??n;<654?3?34>;=7:m;<615?2e34>?>7:m;<67f?2e34>?i7:m;<665?2e34>>87:m;<663?2e34>>m7:m;<66`?2e34>;87:m;<633?2e34>;m7:m;<63`?2e34>:<7:m;<627?2e34>:97:m;<62:n7:m;<62a?2e34>987:m;<613?2e34>9m7:m;<61`?2e34>8<7:m;<607?2e34>8:7:m;<60=?2e34>8o7:m;<60b?2e34>?97:m;<67?l4?:31x91dc2;3970:me;02e>;3>90>;63;0087e>;3:80?m63;4387e>;3;3=80?m63;5587e>;3=>0?m63;5`87e>;3=m0?m63;0587e>;38>0?m63;0`87e>;38m0?m63;1187e>;39:0?m63;1487e>;3910?m63;1c87e>;39l0?m63;2587e>;3:>0?m63;2`87e>;3:m0?m63;3187e>;3;:0?m63;3787e>;3;00?m63;3b87e>;3;o0?m63;4487e>;3<10?m6s|52;94?44s4>i57<62:?7fd<59h168;>5579>057=<0168??5489>014=<01689l5489>01c=<01688?5489>002=<0168895489>00g=<01688j5489>052=<0168=95489>05g=<0168=j5489>046=<0168<=5489>043=<0168<65489>04d=<0168072=<0168?95489>07g=<0168?j5489>066=<0168>=5489>060=<0168>75489>06e=<0168>h5489>013=<0168965489~w05?2909?v3;b481=7=:03<5=::6964=502>1><5=>96964=56a>1><5=>n6964=572>1><5=??6964=574>1><5=?j6964=57g>1><5=:?6964=524>1><5=:j6964=52g>1><5=;;6964=530>1><5=;>6964=53;>1><5=;i6964=53f>1><5=8?6964=504>1><5=8j6964=50g>1><5=9;6964=510>1><5=9=6964=51:>1><5=9h6964=51e>1><5=>>6964=56;>1>522y>0d0=:08019o8:33b?82183?;70:?1;64?82593><70:;2;64?823j3><70:;e;64?82293><70::4;64?822?3><70::a;64?822l3><70:?4;64?827?3><70:?a;64?827l3><70:>0;64?826;3><70:>5;64?82603><70:>b;64?826m3><70:=4;64?825?3><70:=a;64?825l3><70:<0;64?824;3><70:<6;64?82413><70:<70:;5;64?82303><7p};ec83>1}:<9:1o<523b4964g<5:om6?7=;<1e4?46i2wx8ho50;6x96`a2j;01>m::33e?85bk382>63e:?0a=<51;16?h7520c8yv2b03:18v3;4k<09=i523d696<4<5:o>6??n;|q7a2<72=q6?km5c09>7f3=:8i01>k?:3;1?85b938:m6s|4d494?2|5:li6n?4=2a6>77e349oo7<62:?0`a<59h1v9k::18785ai3i:70=l5;02e>;4l1095?523e;964g5fz?0g1<50116?k7520c891d62>l019oj:6d891gf2>l019j::6d891b62>l019mk:6d891e>2>l019m::6d891e62>l019lk:6d891d>2>l019l::6d891g12>l0q~:i2;297~;389095?52476964c<5=296??n;|q7b4<72;3?109=l52493964gm<7>55z?0b`<51;168;:520`8910b2;;j70:88;02b>;30809=h5rs5ge>5<4s49mh7<62:?721<59j1685<520`8yv2bm3:1=:u23ga96<4<5=2i6??l;<650?46l27?4:4=1b9>0=>=:8h01966:33a?821>38:o63;66815g=:77d34>=n7<>b:?72f<59k168:>520a891162;;i70:82;02f>;3?009=n5246c964d<5==i6??m;<6;0?4f:27?;;4=1b9>021=:8h0199i:33`?82?838:n6s|4df94?7?s49mn7<62:?702;;i70:78;02`>;30009=n52474964d<5=<<6??k;<6503d=:8n0198l:33`?820838:n63;70815a=:<>81>77e34>d:?73g<59j1685;520c891>12;;j70:86;02e>;3?>09=l5246d964g<5=2;6??n;|q7af<728;p1>hn:3;1?82?j38:m63;6g815f=:<1=1>77f34>=:7<>a:?722<59h168;o520c8910e2;;j70:80;02e>;3?809=l5246;964g<5==j6??n;<6;1?46j27?4;4=1c9>023=:h80199j:3c1?xu?910;6?u28059g4=:08<1>4<4}r:27?6=:r73=?4l1:?;54<51;1v5?::1818>6<3i:706>2;0:6>{t08k1<7f7<51;<6?7=;|q;5g<72:q64<>5c09><42=:08015>i:33b?xu?9j0;6?u280496<5<51;:6n?4}r:2a?6=:r73>k4=939><03=k81v5;9:1818>6l3i:706:5;0:6>{t0<=1<7=t=93g>7?5342::7=8;<:25?503ty3954?:3y><5c=k8164<752808yv>e=3:1>v3<7c81=7=:01>=9=?0q~6m3;296~;4?>095?5245:956g7>52z?030<51;1689;51578yv>e83:1>v3<7081=7=:<=?1=>o4}r:bb?6=:r78:k4=939>06`=9=?0q~6ne;296~;4>m095?5242d956g52z?02g<51;168>m51578yv>fk3:1>v3<6881=7=:<:i1=>o4}r:bf?6=:r78::4=939>06?=9=?0q~6na;296~;4><095?5242;956g52z?026<51;168>851578yv>f03:1>v3<6081=7=:<:<1=>o4}r:b3?6=:r789k4=939>065=9=?0q~6n5;296~;4=k095?52421956g52z?01<<51;168>>51578yv>f;3:1>v3<5681=7=:<::1=>o4}r:b6?6=:r78984=939>07b=9=?0q~6n1;296~;4=:095?5243f956g52z?014<51;168?o51578yv>>n3:1>v3<4g81=7=:<;k1=>o4}r::a?6=:r788i4=939>071=9=?0q~66d;296~;452z?00<<51;168?:51578yv>>i3:1>v3<4481=7=:<;>1=>o4}r::=?6=:r788>4=939>04c=9=?0q~668;296~;4<8095?5240g956g52z?07c<51;168>>3:1>v3<3e81=7=:<8h1=>o4}r::1?6=:r78?o4=939>04>=9=?0q~664;296~;4;0095?5240:956g52z?072<51;168<;51578yv>>:3:1>v3<3481=7=:<8?1=>o4}r::5?6=:r78?>4=939>045=9=?0q~67f;296~;4:o095?52401956g52z?06a<51;168<>51578yv>?l3:1>v3<2c81=7=:<8:1=>o4}r:;g?6=:r78>44=939>05b=9=?0q~67b;296~;4:>095?5241f956g52z?060<51;168=o51578yv>?13:1>v3<2281=7=:<9k1=>o4}r:;<4=939>051=9=?0q~677;296~;49o095?52415956g52z?05a<51;168=:51578yv>?<3:1>v3<1881=7=:<9>1=>o4}r:;7?6=:r78=:4=939>00b=9=?0q~672;296~;49<095?5244f956g52z?056<51;1688o51578yv>?83:1>v3<1081=7=:<o4}r:4b?6=:r78001=9=?0q~68e;296~;48m095?52445956g52z?04g<51;1688:51578yv>0k3:1>v3<0881=7=:<<>1=>o4}r:4f?6=:r78<:4=939>007=9=?0q~6m9;296~;40:095?52443956g52z?0<4<51;1689k51578yv>e?3:1>v3<7g81=7=:<=o1=>o4}r:a2?6=:r78;i4=939>01d=9=?0q~6m1;296~;4?:095?5245`956g52z?01a<51;1689<51578yv>>j3:1>v3<4681=7=:<=81=>o4}r::4?6=:r78?<4=939>077=9=?0q~675;296~;49k095?52433956g52z?040<51;168=?51578yv>d<3:1=8u281g96<4<5?oo6??n;<7434?3<7=6;<7657=k;<76e?5c34?>n7=k;<75e?5c34?=n7=k;<75g?5c34?=h7=k;<77b?5c34?><7=k;<74f?5c34?7=k;<74=?5c3ty39l4?:06x9=772;3970;88;1b?83?839j70;:8;1f?832139n70;:a;1f?832j39n70;9a;1f?831j39n70;9c;1f?831l39n70;;f;1f?832839n70;8b;1f?830k39n70;;8;1f?833139n70;71;1f?83?:39n70;89;1f?xu?k:0;6?u2550964g<5>l?6?7=;|q;g5<72:q64=k52878926e2;39709?c;a2?xu?jo0;69u280;96<3<51;?6?7:;<53e?4>:27<6:38296371681=0=:?931>4<4=62b>f753z?;5a<5i;16;=652808926>2j;0q~6mc;297~;08>095?5271:9g4=:04>4}r:af?6=0r73>k4=a39>323=k816;l;520f892612;39709?7;a2?814?38:n638f481=0=:?>91>4;4}r:`6?6=;r7<113=:0?01;ki:3;6?xu?k80;6?jt=62`>7?534=;h7m>;<770?4>=27=ih4=949>102=:0?01889:3;6?83f0382963:a781=0=:=k>1>4;4=4`1>7?234?i;7<65:?6f0<51<169oo5287890d?2;3>70;md;0:1>;2jk0958525b296<3<5=27>o<4=949>1f0=:0?018m;:3;6?83d1382963:c681=0=:=ji1>4;4=4ab>7?234?jn7<65:?6e<<51<169lk5287890gd2;3>70;m1;0:1>;2io09585265`96<3<5?>36?7:;<470?4>=27=:k4=949>23d=:0?01;88:3;6?801;38296395g81=0=:>

4;4=774>7?234<>?7<65:?50c<51<16:9>52878yv>213:1=:u274496<3<5>?>6?7:;<560?4>=27<9k4=949>30c=:0?01:;k:3;6?812k38296385c81=0=:?4;4=67:>7?234=>47<65:?412<51<16;8=5287892ga2;3>709ne;0:1>;0?102463836815d=:?821>4<4=63:>f7<5>2o6?7:;<5;b?4>=27<;94=1c9>320=111v58i:1816~;2io095?52472934=:<9;1:>52433926=:<=81:>5245`926=:<=o1:>52443926=:<<>1:>52445926=:<5244f926=:<9>1:>52415926=:<9k1:>5241f926=:<8:1:>52401926=:<8?1:>5240:926=:<8h1:>5240g926=:<;>1:>52435926=:<;k1:>5243f926=:<::1:>52421926=:<:<1:>5242;926=:<:i1:>5242d926=:<=?1:>5245:926=z{156g9>057=>8168??5609>014=>81689l5609>01c=>81688?5609>002=>8168895609>00g=>81688j5609>052=>8168=95609>05g=>8168=j5609>046=>8168<=5609>043=>8168<65609>04d=>8168072=>8168?95609>07g=>8168?j5609>066=>8168>=5609>060=>8168>75609>06e=>8168>h5609>013=>8168965609~w=0c2909>v3:a881=7=:5<5:r7>ol4=939>036=?h168=?55g9>077==o1689<55g9>01d==o1689k55g9>007==o1688:55g9>001==o1688o55g9>00b==o168=:55g9>051==o168=o55g9>05b==o168<>55g9>045==o168<;55g9>04>==o16804c==o168?:55g9>071==o168?o55g9>07b==o168>>55g9>065==o168>855g9>06?==o168>m55g9>06`==o1689;55g9>01>==o1v598:1816~;2k>095?5247293<=:<9;1:n5243392f=:<=81:n5245`92f=:<=o1:n5244392f=:<<>1:n5244592f=:<1:n5241592f=:<9k1:n5241f92f=:<8:1:n5240192f=:<8?1:n5240:92f=:<8h1:n5240g92f=:<;>1:n5243592f=:<;k1:n5243f92f=:<::1:n5242192f=:<:<1:n5242;92f=:<:i1:n5242d92f=:<=?1:n5245:92f=z{1==6=4=2z?6g1<51;168;>5799>057=>k168??56c9>014=>k1689l56c9>01c=>k1688?56c9>002=>k1688956c9>00g=>k1688j56c9>052=>k168=956c9>05g=>k168=j56c9>046=>k168<=56c9>043=>k168<656c9>04d=>k168072=>k168?956c9>07g=>k168?j56c9>066=>k168>=56c9>060=>k168>756c9>06e=>k168>h56c9>013=>k1689656c9~w=122909>v3:c081=7=:5<5:r7>nh4=939>036=??168=?5689>077=>01689<5689>01d=>01689k5689>007=>01688:5689>001=>01688o5689>00b=>0168=:5689>051=>0168=o5689>05b=>0168<>5689>045=>0168<;5689>04>=>016804c=>0168?:5689>071=>0168?o5689>07b=>0168>>5689>065=>0168>85689>06?=>0168>m5689>06`=>01689;5689>01>=>01v59<:1816~;2jk095?52472930=:<9;1:55243392==:<=81:55245`92==:<=o1:55244392==:<<>1:55244592==:<1:55241592==:<9k1:55241f92==:<8:1:55240192==:<8?1:55240:92==:<8h1:55240g92==:<;>1:55243592==:<;k1:55243f92==:<::1:55242192==:<:<1:55242;92==:<:i1:55242d92==:<=?1:55245:92==z{1=96=4=2z?6f=<51;168;>5759>057=>>168??5669>014=>>1689l5669>01c=>>1688?5669>002=>>168895669>00g=>>1688j5669>052=>>168=95669>05g=>>168=j5669>046=>>168<=5669>043=>>168<65669>04d=>>168072=>>168?95669>07g=>>168?j5669>066=>>168>=5669>060=>>168>75669>06e=>>168>h5669>013=>>168965669~w=162909>v3:b481=7=:52413923=:<;;1:;52450923=:<=h1:;5245g923=:<<;1:;52446923=:<<=1:;5244c923=:<5<5:r7>n?4=939>036=?;168=?5649>077=><1689<5649>01d=><1689k5649>007=><1688:5649>001=><1688o5649>00b=><168=:5649>051=><168=o5649>05b=><168<>5649>045=><168<;5649>04>=><16804c=><168?:5649>071=><168?o5649>07b=><168>>5649>065=><168>85649>06?=><168>m5649>06`=><1689;5649>01>=><1v58l:1816~;2i?095?5247292a=:<9;1:952433921=:<=81:95245`921=:<=o1:952443921=:<<>1:952445921=:<1:952415921=:<9k1:95241f921=:<8:1:952401921=:<8?1:95240:921=:<8h1:95240g921=:<;>1:952435921=:<;k1:95243f921=:<::1:952421921=:<:<1:95242;921=:<:i1:95242d921=:<=?1:95245:921=z{16z?4ec:;4=939>2`b=:8i01897:2:890>72:2018;7:2a8903>2:i018;n:2a8903e2:i0188n:2a8900e2:i0188l:2a8900c2:i018:i:2a890372:i0189m:2a8901d2:i018:7:2a8902>2:i0186>:2a890>52:i01896:2a8yv>113:1=;u27`g9g4=:=<>1>4<4=7gg>77e34?<47=8;<7;4?5034?>47=m;<76=?5e34?>m7=m;<76f?5e34?=m7=m;<75f?5e34?=o7=m;<75`?5e34??j7=m;<764?5e34?1g6=:8k01;:m:3;1?803k38:m639b180`>;11j08h6s|84g94?0|5>?>6n?4=4cg>77f3453b9>2;1<=095?52657964g<5?h;6>l4=7;`>6d56z?41coo4=1`9>23`=:0801;9?:33b?80fn3>;7086b;63?xu?>>0;6;u274g9g4=:=j21>7?534<=o7<>a:?5ec<4m27=5o4;1>109=l526`d97a=:>0h1?i5rs946>5<1s4=>o7m>;<7`6?46i27=:>4=939>232=:8k01;oi:2a893?e2:i0q~694;292~;0=k0h=63:bg815d=:>4<4=743>77f344?:7y>30g=k8169om520c8933e2;39708:c;02e>;1il0?<6399`874>{t0?81<78t=67:>f7<5:27=954=1`9>2dc=;l16:4o53d9~w=06290=w09:8;a2?83e>38:m6395281=7=:><>1>6b<5?3j6>j4}r:54?6=>r7<9:4l1:?6f6<59h16:9h5280893372;;j708ne;1`?80>i39h7p}75b83>3}:?<91o<525`5964g<5?>;6?7=;<475?46i27=mh463;618:65=:<7c34>;=77>b:?744<>9h168=?590;8914620;o70:=1;;2f>;3:802=l524339=4?<5=>964?k;<676??6j27?8?461`9>014=183019:m:83g?823j33:n63;4c8:5d=:<=h15<74=56f><7c34>?i77>b:?70`<>9h1689k590;8913620;o70::1;;2f>;3=802=l524439=4?<5=??64?k;<660??6j27?99461`9>002=183019;8:83g?822?33:n63;568:5d=:<<=15<74=57b><7c34>>m77>b:?71d<>9h1688o590;8913c20;o70::d;;2f>;3=m02=l5244f9=4?<5=:?64?k;<630??6j27?<9461`9>052=183019>8:83g?827?33:n63;068:5d=:<9=15<74=52b><7c34>;m77>b:?74d<>9h168=o590;8916c20;o70:?d;;2f>;38m02=l5241f9=4?<5=;;64?k;<624??6j27?==461`9>046=183019?<:83g?826;33:n63;128:5d=:<8915<74=536><7c34>:977>b:?750<>9h168<;590;8917?20;o70:>8;;2f>;39102=l5240:9=4?<5=;i64?k;<62f??6j27?=o461`9>04d=183019?j:83g?826m33:n63;1d8:5d=:<8o15<74=507><7c34>9877>b:?761<>9h168?:590;8914020;o70:=7;;2f>;3:>02=l524359=4?<5=8j64?k;<61e??6j27?>l461`9>07g=183019<7c34>8<77>b:?775<>9h168>>590;8915420;o70:<3;;2f>;3;:02=l524219=4?<5=9=64?k;<602??6j27??;461`9>060=183019=6:83g?824133:n63;388:5d=:<:315<74=51`><7c34>8o77>b:?77f<>9h168>m590;8915a20;o70:;3;o02=l5242d9=4?<5=>>64?k;<671??6j27?88461`9>013=183019:7:83g?823033:n63;498:5d=:<=215<74}r:5f?6=;r7=ik4=939>3c0=:8h019>>:01b?xu?=k0;6>u2727964d<5<>=6?7=;<5:4?46j2wx4oo50;0x90252;;i70:72;0;<>{t19i1<77?53429:7m>;|q:4g<72;q6?=<528089=422j;0q~7?a;296~;488095?528369g4=z{0:26=4={<134?4>:273>>4l1:p=5>=838p1?hi:3;1?8>5:3i:7p}60683>7}::oo1>4<4=902>f752z?1ba<51;164?>5c09~w<622909w0;?9o0h=6s|91294?4|5:=j6n?4=3d4>7?53ty3jk4?:3y>72>=k816>k852808yv>am3:1>v3<778`5>;5n<095?5rs9dg>5<5s49<87m>;<0e0?4>:2wx4kl50;0x96172j;01?h=:3;1?xu?nh0;6?u237g9g4=::o;1>4<4}r:e=?6=:r78:n4l1:?1b5<51;1v5h7:181851i3i:70{t0o=1<7f7<5;on6?7=;|q;b3<72;q6?;85c09>6`b=:080q~6i5;296~;4>=0h=63=eb81=7=z{1l?6=4={<156?e6348nn7<62:p8?:b3897cf2;397p}7f383>7}:;52z?01d382>6s|8dg94?4|5:?=6n?4=3g6>7?53ty3ii4?:3y>702=k816>h:52808yv>bk3:1>v3<538`5>;5m:095?5rs9ga>5<5s49><7m>;<0f6?4>:2wx4ho50;0x962b2j;01?k>:3;1?xu?m00;6?u235a9g4=::l:1>4<4}r:f{t0l?1<7f7<5;nh6?7=;|q;a1<72;q6?9<5c09>6ad=:080q~6j3;296~;4<90h=63=d`81=7=z{1o96=4={<10a?e6348o57<62:p<`7=838p1>=l:b3897b?2;397p}7e183>7}:;:k1o<522e596<452z?07=6s|8ef94?4|5:9?6n?4=3f7>7?53ty3hn4?:3y>764=k816>i=52808yv>ci3:1>v3<2d8`5>;5l8095?5rs9f:>5<5s499o7m>;<0g4?4>:2wx4i650;0x964f2j;01?mi:3;1?xu?l>0;6?u233:9g4=::jo1>4<4}r:g2?6=:r78>;4l1:?1ga<51;1v5j::181855<3i:70{t0m>1<7f7<5;ii6?7=;|q;`6<72;q6??>5c09>6fg=:080q~6k2;296~;49l0h=63=c881=7=z{1n:6=4={<12g?e6348h47<62:p?7:b3897e12;397p}7cd83>7}:;8<1o<522b796<452z?0512;a2?84d;382>6s|8b`94?4|5:;;6n?4=3a1>7?53ty3ol4?:3y>75c=k816>n?52808yv>d13:1>v3<0b8`5>;5k9095?5rs9a;>5<5s49;m7m>;<0ab?4>:2wx4n950;0x966?2j;01?lj:3;1?xu?k?0;6?u23149g4=::kn1>4<4}r;30?6=:r784?4l1:?1bg<51;1v4><:18185?83i:70{t1981<7f7<5;l26?7=;|q:44<72;q6?:m5c09>6c>=:080q~6ic;296~;4?;0h=63=f281=7=z{1l:6=4={<16g?e6348n47<62:p<`0=838p1>:9:b3897bc2;397p}7dc83>7}:;::1o<522e096<452z?05d6s|3cd94?4|5:=i6n?4=25b>7?53ty8nh4?:3y>72?=k816?:652808yv5el3:1>v3<768`5>;4??095?5rs2``>5<5s49<97m>;<140?4>:2wx?oo50;0x96162j;01>9?:3;1?xu4j00;6?u237d9g4=:;?o1>4<4}r1al8:181851j3i:70=9a;0:6>{t;k<1<7f7<5:<36?7=;|q0f0<72;q6?;95c09>730=:080q~=m4;296~;4><0h=63<6581=7=z{:h86=4={<157?e6349=>7<62:p7g4=838p1>8>:b3896072;397p}7}:;52z?01g6s|3`f94?4|5:?<6n?4=275>7?53ty8mn4?:3y>703=k816?8:52808yv5fj3:1>v3<528`5>;4=;095?5rs2cb>5<5s49>=7m>;<164?4>:2wx?l750;0x962a2j;01>:j:3;1?xu4i10;6?u235f9g4=:;=i1>4<4}r1b3?6=:r788o4l1:?00d<51;1v>o9:18185313i:70=;8;0:6>{t;h>1<7f7<5:>?6?7=;|q0e6<72;q6?9=5c09>714=:080q~=n2;296~;4<80h=63<4181=7=z{:k:6=4={<10b?e63498i7<62:p7d6=838p1>=k:b38965d2;397p}<9g83>7}:;:h1o<5232c96<452z?07<382>6s|38a94?4|5:9>6n?4=217>7?53ty85o4?:3y>765=k816?><52808yv5>13:1>v3<2g8`5>;4:l095?5rs2;;>5<5s499h7m>;<11g?4>:2wx?4950;0x964e2j;01>4<4}r1:1?6=:r78>:4l1:?063<51;1v>7;:181855=3i:70==4;0:6>{t;091<7f7<5:896?7=;|q0=7<72;q6???5c09>776=:080q~=61;296~;49o0h=63<1d81=7=z{:3;6=4={<12`?e6349:o7<62:p7=c=838p1>?6:b38967?2;397p}<8e83>7}:;8=1o<5230496<452z?050e2909w0=>3;a2?856:382>6s|39c94?4|5:;:6n?4=233>7?53ty8444?:3y>75`=k816?=k52808yv5?03:1>v3<0e8`5>;48j095?5rs2:4>5<5s49;n7m>;<13e?4>:2wx?5850;0x966>2j;01>>7:3;1?xu40<0;6?u23159g4=:;9<1>4<4}r1`7?6=:r784>4l1:?0<7<51;1v>m=:18185?93i:70=70;0:6>{t;j;1<7f7<5:=n6?7=;|q0g5<72;q6?:j5c09>72e=:080q~=mb;296~;4?:0h=63<7381=7=z{:h;6=4={<16`?e6349>o7<62:p7d3=838p1>:8:b3896212;397p}<9`83>7}:;:;1o<5232296<452z?05g32909w0=?5;a2?857<382>6s|85f94?4|519>6>m4=904>7?53ty38h4?:3y><63=;l164?652808yv>3n3:1>v373780g>;?:0095?5rs973>5<5s428:7=j;<:1e?4>:2wx48?50;0x9=502:i0154<4}r:67?6=:r73?54<8:?;6a<51;1v5;;:1818>4039j706=e;0:6>{t0=?1<7=t=916>6d<518<6n?4=93e>7?53ty38;4?:2y><63=;m164?65c09><76=:080q~6;7;297~;?;?08n637288`5>;?:8095?5rs96;>5<4s428:7=k;<:1e?e63429>7<62:p<1?=839p15=8:2`89=4e2j;015<<:3;1?xu?u282597a=:0;i1o<5283696<453z?;7=<4?273>i4l1:?;60<51;1v5:l:1808>40392706=e;a2?8>5>382>6s|85294?4|518m6n?4=913>70a3ty3?n4?:3y><64=08164>?527d8yv>4l3:1>v37328;5>;?;;09:k5rs91f>5<5s428876>;<:07?41n2wx4>h50;0x9=532;8528689=522j;0q~6;2;296~;?;?095?5282096d452z?;72<51=164>85c09~w=242909w06<7;0:6>;?;:09m?5rs91a>5<5s42847<63:?;72{t?>k1<77>?34=<57<>b:p32d=838p1:9m:3:;?810138:m6s|7`;94?5|5>k<6?7=;<5b3i:7p}88583>7}:?191>4<4=65e>f753z?43`3=6=k81v:68:181810m38296388181=7=z{>236=4={<5;6?4>:27<4<4l1:p3=?=838p1:6>:3;1?810l38:m6s|79794?3|5>286464=6:1><><5>=o6?67;<54b???34=3<777;|q4b2<72;q6;96529:892?c2;;n7p}8f883>7}:?=k1>564=6c1>77b3ty31d=:1201:o?:33g?xu0nk0;6?u275a96=><5>k:6??l;|q4bf<72;q6;9j529:892?c2;;o7p}8fe83>7}:?=o1>564=6c1>77c3ty31`=:1201:o?:33a?xu0no0;6?u274296=><5>k:6??k;|q;45<72;q6;8?529:892?c2;;m7p}70083>7}:?<81>564=6;1>77a3ty31?=:1201:o?:33f?xu0<80;6?u276;96=><5>3j6??i;|q;46<72kq6;lj529:892c02;3>709j4;0:1>;0m90958527ea96<3<5>n36?7:;<5g0?4>=273fe=:0?01:m7:3;6?81d<38296s|75294?5|5><;6?67;<543?e634=<:7m>;|q400<72:q6;lh57g9>3dc=?o16;:m529:8yv1093:1>v38f58`5>;01k09455rs921>5<5s4=jo7<78:?4ea<5901v:=6:18181f>382>638ac8:<>{t09k1<79t=6f`>7?534=oh7<>a:?4e7<59k16;l>520c892?52;;h7096d;02e>;0i809=l5rs92a>5<0s4=n<7<62:?4a4<59h16;l>520a892?52;;o7096d;02f>;0i;09=l527`3964d53z?4a1<51;16;h;520c892?52;;i7p}70e83>6}:?l=1>4<4=6g;>77f34=2>7<>a:p<51=83;9w09;9;02`>;0=;09=i52743964b<5>?;6??k;<57b?46l27<8h4=1e9>31b=:8n01::l:33g?813j38:h6384`815a=:?=21>7?534=o=7<>a:?4e6<59h16;l:520c892?f2;;j70965;02e>;01?09=n5rs92;>5;01j09=o527`0964e<5>3i6??l;|q;4<<72?q6;i65280892b>2;;j7096a;02`>;01k09=o5278d964g<5>3h6??n;|q;41<728>p1:9n:33a?810j38:n63848815d=:?<81>77f34=><7<>a:?40c<59h16;9k520c8922c2;;j709;c;02e>;0>36??n;<5`0?4>:273d5=:8o01:o;:33a?81>038:n63898815d=:?0>1>l<4}r:31?6=9=q6;:o520c8921e2;;j709;9;02f>;0=;09=o52743964d<5>?;6??m;<57b?46j27<8h4=1c9>31b=:8h01::l:33a?813j38:n6384`815g=:?=21>7?534=h57<>a:?4e6<59j16;l:520a892??2;;j70969;02f>;01>09=o5rs925>5<631?=:8i01:;=:33`?812938:o63851815f=:?=l1>77d34=?h7<>c:?40f<59j16;9l520a8922f2;;h709;8;02g>;0kj095?527bf964g<5>k86??m;<5b0?46l27<554=1b9>3>38:m6s|72g94?5|5><;6??l;<543?4>:27<584=1b9~w25a2908w0988;0:6>;0>909=l52787964d53z?425<59k16;:85280892?22;;o7p}86d83>`}:?<<1;k5274793c=:?<>1;k5274d93c=:?52z?4eg<51;16;48520g8yv13>3:1>v38a2815a=:?1n1>4<4}r573?6=;r73=`=:0801:7?:33b?xu0?;0;6>u27g796<4<5>l=6??n;<5:2?46l2wx;>l50;1x92g32;;m70983;0:6>;0?=09=l5rs64e>5<5s4=ji7<62:?4=0<59o1v:9?:18181fn382>63897815g=z{><:6=4={<567?4>:27<;o4=1g9~w2022909w09:7;0:6>;0?k09=h5rs645>5<5s4=>47<62:?43g<59j1v:88:1818121382>6387c815a=z{><36=4={<56e?4>:27<;l4=1g9~w20>2909w09:b;0:6>;0?h09=h5rs64b>5<5s4=>o7<62:?43d<59j1v:8m:181812l382>6387`815a=z{>:27<544=1g9~w20c2909w09:f;0:6>;01009=n5rs641>5<5s4=>87<62:?4=<<59l1v:8<:181812=382>63898815a=z{>:27<554=1e9~w25d2909w0985;0:6>;0i<09=n5rs667>5<3s4=3n7<62:?4e0<59h16;:m520c892>d2;;j7p}84283>0}:?1k1>4<4=6:a>f7<5>k>6??m;<54g?46j27<4n4=1c9~w225290?w097a;a2?810m382>6388384b>;00;0h=6s|7c;94?4|5>i?6n?4=6a5>7>?3ty3f1=0816;no5809>3f5=:?l0q~9ma;296~;0k10h=638c`81<==z{>h:6=4<{<5`f?>634=hi76>;<5`3?41n2wx;ol50;0x92ed2j;01:mj:3:;?xu0j;0;6>u27bd9<4=:?m814<527b`963`52z?4`532:709lf;05b>{t?kn1<7f7<5>n=6?67;|q4f1<72:q6;i95809>3ag=0816;i=527d8yv1em3:1>v38d98`5>;0lh09455rs6`6>5<4s4=on76>;<5ga?>634=o;7<9f:p3g`=838p1:jl:b3892bb2;237p}8b783>6}:?ml14<527d09<4=:?mh1>;h4}r5`4?6=:r7o?6n?4=6g5>7>?3ty3`?=0816;h=527d8yv1d:3:1>v38e68`5>;0m009455rs6gb>5<4s4=h97<78:?4g6<5i;16;n8527g8yv1bj3:1?v38c881<==:?j=1>l<4=6ab>70b3ty3fb=:1201:mm:3c1?81dm38=i6s|7df94?5|5>n:6?67;<5`b?4f:27;0l:09m?527e4963c53z?4`<<50116;i952`0892bf2;6}:?mn1>564=6fa>7g534=oi7<9e:p3c7=839p1:k>:3:;?81cn38j>638e3812`=z{>l96=4<{<5f1?4?0274=a39>3`0=:?o0q~9i3;296~;0m10945527d;963c52z?443;0;?09455rs633>5<>s4=;:72;k9709?a;0b6>;08k09m?5271a96d4<5>:o6?o=;<501?4?02wx;=k50;1x92512;;j709=9;0:6>;0:h0h=6s|71d94?4|5>9=6??m;<500?4>:2wx;?k50;7x924d20201:7}:?;k1>4<4=606>77f3ty37d=:0801:<6:b38yv1493:1?v382781=7=:?;h1;k5273`9g4=z{>9;6=4={<512?4>=27<>54=939~w24a2908w09=6;a2?81503i:709=7;0:6>{t?;n1<77?534=9;7m>;|q45a<7234g=1116;<<529:8927220201:?;:8:8yv15<3:1>v381881=7=:?881>34>=k81v:<=:181816>382>638168`5>{t?;;1<77?534=::7m>;|q465<72:q6;<=52808927f2>l01:?n:b38yv16n3:1>v381281=0=:?8?1>4<4}r52a?6=;r7<=>4l1:?450b;0:6>;09=0h=6s|55a94?4|5<><6??n;<77=?4>:2wx99l50;0x902?2j;018:6:3;7?xu236?7=;|q60d<72;q69985c09>111=:120q~;8e;296~;2?h09=l5256a96<452z?63g;n4=959~w01a2909w0;8a;02f>;2?k095?5rs471>5<5s4??i7<>a:?615<51;1v8;>:181833n3i:70;:0;0:0>{t=<91<777e34??j7<62:p122=838p1888:33b?831l382>6s|56394?4|5<7?33ty>;84?:3y>131=:8h0188l:3;1?xu2?;0;6?u257`9g4=:=?i1>4:4}r742?6=:r7>:54=1`9>13d=:080q~;83;296~;2>h0h=63:6c81=1=z{<=<6=4={<75:l4=939~w00b2909w0;99;02e>;2>>09455rs44e>5<5s4?=57<>b:?62=<5011v89?:181831>3i:70;99;0;<>{t=?81<777f34?>n7<62:p10`=838p18;n:b38903e2;3?7p}:6283>7}:=7?53ty>:=4?:3y>10?=k81698o52868yv31<3:1>v3:57815d=:=<31>4<4}r755?6=:r7>954l1:?61<<51=1v88::181832>38:n63:5981=7=z{984=899~w03c2909w0;:7;02f>;2=?09455rs47f>5<5s4?>87m>;<763?4?02wx95=50;dx90232j;01897:3;1?83a:3=m70;jf;5e?83bj3=m708>6;5e?806:3=m708?e;5e?807i3=m708?6;5e?807:3=m70;ie;5e?83ai3=m70;i6;5e?83b?3=m7p}9fd83>7}:=>21>4=4=473>f77>5ez?6<5<51;16:9l57g9>21>=?o16:9:57g9>23`=?o16:;l57g9>231=?o16:;=57g9>20`=?o16:8l57g9>201=?o16:8=57g9>21`=?o16:9>57g9~w3`c2909w0;88;a2?83?8382?6s|71694?4|5?on6n?4=7gg>7>?3ty<<84?:3y>2`c=:0801;ki:b38yv17;3:1>v3:4581=7=:==?1o<5rs7d7>5<5s4:2wx:k950;0x93`62>l0186=:3;1?xu08;0;6?u25939g4=:=181>4:4}r7;1?6=:r7=in48f:?63<<51;1v:>>:18183?:3i:70;89;0:0>{t?9:1<77?334?<57m>;|q606<72=q6:k>5287893`62;3>708jc;0:1>;25<5s4??j7<64:?60<;2mo0958525d`96<3<5?;=6?7:;<426?4>=27=25g=:0?01;>9:3;6?807:382963:fd81=0=:=ok1>4;4=4d5>7?234?n;7<65:p2ce=838p186?:b38901e2;3?7p}9fc83>7}:=<21>4:4=45`>f752z?61g:l4=959~w0>1290hw08jc;0:6>;2=10?<63:58874>;2=h0?<63:5c874>;2>h0?<63:6c874>;2>j0?<63:6e874>;24}r4e100=:h8018;::3c1?831038j>63:6681e7=:==l18=52542905=:=>h18=5256a905=:==218=5255;905=:=1818=5rs7d6>5<2s4ho1>4:4}r4e2?6=:r7=j<4l1:?5e<<5>o1v;h<:18180a83i:70865;05b>{t=1>1<7f7<5?2:6?8i;|q61g6=:120q~;7a;296~;2il0h=63:ae81<==z{<226=4={<7bf?e634?jm7<78:p1<2=838p18ml:b3890ee2;237p}:9283>7}:=j31o<525b:96=>7>52z?6g3o84=899~w0?62909w0;l3;a2?83d:38346s|58294?4|57>?3ty>4k4?:3y>1gb=k8169om529:8yv3?m3:1>v3:b`8`5>;2j009455rs4:g>5<5s4?i;7m>;<7a2?4?02wx95m50;0x90d32j;018l<:3:;?xu2010;6?u25`:9g4=:=h=1>564}r4`5?6=:r7=4=4=899>2g7=k81v;li:181800n38346399e8`5>{t>kn1<77?534<357m>;|q5g=<72;q694l5280890g22j;0q~8l7;296~;21h095?5258`9g4=z{?i=6=4={<7:=?4>:27>5l4l1:p2f3=839p1877:3;1?83>13i:70;m0;02g>{t>j>1<7=t=4;4>7?534?247m>;<7b`?46k2wx:n=50;1x90?12;3970;67;a2?83fi38:o6s|6b094?5|5c:p2a7=839p18o<:3;1?83f<3i:70;l8;02g>{t>m:1<7=t=4c1>7?534?j?7m>;<7`1?46k2wx:nh50;1x90g62;3970;n2;a2?83d:38:o6s|6bg94?5|5c:p2fb=839p187i:3;1?83f83i:70;mc;02g>{t>ji1<7=t=4;f>7?534?2j7m>;<7a=?46k2wx:nl50;1x90?c2;3970;6e;a2?83e>38:o6s|6bc94?5|5<3h6?7=;<7:`?e634?i?7<>c:p2f?=839p187::3;1?83>k3i:70;n7;02g>{t>j:1<777f34<2m7<64:p2gc=838p1;9i:33b?80?>38286s|6d094?2|5:27>j>4=1`9>2=>=;m1v;k>:18783fl38:n63:eg81=7=:=o:1>6e54z?6ed<59k169hl5280890cd2;;j70878;1a?xu1mk0;69u25b`964d<5?;=6?7=;<423?46i27=4:4;0:p2`g=83>p18m7:33a?806:382>63912815d=:>1=1?h5rs7g:>5<3s4?h97<>b:?54`<51;16:=h520c893>02:n0q~8j8;290~;2k;09=o5261c96<4<5?:i6??n;<4;3?5d3ty=i:4?:5y>1g`=:8h01;>9:3;1?807?38:m6398680f>{t>l<1<7:t=4``>77e34<;>7<62:?546<59h16:585419~w3c2290?w0;m9;02f>;2nl095?525gd964g<5?2=6>k4}r4f0?6=n;4=1c9>1cg=:08018hm:33b?80?>39o7p}9e283>1}:=k91>7?534?m;7<>a:?5<3<4k2wx:ih50;6x90g02;;i70;j7;0:6>;2m109=l5269497g=z{?n>6=4={<7a5?4>:27>mk4l1:p2a2=838p18oj:3;1?83fk3i:7p}9d283>7}:=hh1>4<4=4c:>f752z?6gf<51;169no5c09~w3bc2909w0;l9;0:6>;2k>0h=6s|6ea94?4|51f5=:08018m>:b38yv0ci3:1>v3:c181=7=:=ko1o<5rs7f:>5<5s4?ih7<62:?6fg0;6?u25c596<4<56n?4}r4g2?6=:r7>n94=939>1g4=k81v;j=:18183f0382>63:a78`5>{t>:81<7f7<5?>96?67;|q56<<72:q6:9k5809>207=0816:>h527d8yv04>3:1>v394g8`5>;1=809455rs70b>5<4s4<>>76>;<461?>6346}:><<14<5264;9<4=:><81>;h4}r4038=j6s|62;94?4|5??i6n?4=77g>7>?3ty=>i4?:2y>20c=0816:;?5809>20g=:?l0q~8634<=976>;<46a?41n2wx:>l50;0x93042j;01;8::3:;?xu1:o0;6>u26749<4=:>?314<52670963`52z?522{t>:n1<7f7<5?227=0816:;o527d8yv04m3:1>v396g8`5>;1?809455rs705>5<4s4;<472?>634<=i7<9f:p265=838p1;:;:b3893212;237p}92683>6}:>==14<5265c9<4=:>=91>;h4}r400?6=:r7=854l1:?50d<5011v;<7:181803l32:708;7;05b>{t>:?1<7f7<5?>o6?67;|q537<72:q6:9?529:8935a2;k9708;2;05a>{t>><1<7=t=773>7>?34l1v;98:180802<38346395381e7=:>;k4}r44200=:h801;;6:34f?xu1?00;6>u264a96=><5??j6?o=;<46`?41m2wx::o50;1x93072;23708:e;0b6>;1>809:h5rs75a>5<4s4<=87<78:?527<5i;16:;;527g8yv00k3:1?v396981<==:>?<1>l<4=74:>70b3ty=;i4?:2y>23e=:1201;8n:3c1?801l38=i6s|66g94?5|5?=;6?67;<45a?4f:27=;<4=6d9~w3142908w08;5;0;<>;1<:09m?52654963c53z?50<<50116:9952`08932f2;7}:>=i1>564=76g>70b3ty>h44?:3y>1`1=k8169h7529:8yv3c83:1?v3:f48;5>;2n103=63:e7812c=z{564}r7g6?6=;r7>ji471:?545j44=6g9~w0ba2909w0;ie;a2?807838346s|5e194?5|5?::65?4=727>=7<5252=:120q~;k4;297~;18<03=639098;5>;18809:k5rs4g2>5<5s4<;:7m>;<4321;01;>l:93893622;7}:>9k1o<5261a96=>53z?54ao1v8k<:181807m3i:708>0;0;<>{t=m=1<7=t=732>=7<5?;?65?4=72g>70a3ty>i94?:3y>244=k816:<:529:8yv3c03:1?v39148;5>;19103=63910812c=z{6=4={<422?e634<:47<78:p1fb=839p18kn:93890cc21;01;?::34e?xu2lh0;6?u25d`9g4=:=ln1>564}r7`a?6=;r7>ih471:?6b4il4=6g9~w0be2909w0;jf;a2?83a938346s|5bd94?4|570a3ty>hn4?:3y>1c4=k8169k:529:8yv0613:1?v3:e981<==:=l<1>l<4=4g:>70b3ty==i4?:2y>1c1=:12018h::3c1?83a038=i6s|60g94?5|5jn4=6d9~w37a2908w0;if;0;<>;2nm09m?52612963c53z?546<50116:=?52`0893632;6}:>9=1>564=726>7g534<;47<9e:p274=839p1;>m:3:;?807138j>6390b812`=z{?886=4<{<43b?4?027=246=:?o0q~8=4;297~;19:09455260396d4<5?;?6?8j;|q560<72:q6:<9529:893722;k9708>8;05a>{t>8k1<7=t=4g`>7>?34?nm7l1v;?m:18083a8383463:ed81e7=:=o;1>;k4}r42g?6=:r7>j>4=899>1c2=:?o0q~:68;296~;3i?0h=63;a981<==z{=2m6=4<{<6a0?>634>i;76>;<6b1?41n2wx84m50;0x91d22j;019l8:3:;?xu3190;6>u24c:9<4=:2h7>52z?7f<{t<0o1<7f7<5=hm6?67;|q7=7<72:q68n>5809>0f5=08168om527d8yv2>n3:1>v3;c08`5>;3k:09455rs5;0>5<4s4>h876>;<6`3?>634>h<7<9f:p0d6=838p19m::b3891e02;237p};9583>6}:1>;h4}r6b5?6=:r7?o44l1:?7gg<5011v97::18082dk32:70:lf;:2?82d038=j6s|4`094?4|5=io6n?4=5ae>7>?3ty?5;4?:2y>0a6=08168i=5809>0fe=:?l0q~:n3;296~;3l80h=63;d281<==z{=3<6=4<{<6g0?>634>o;76>;<6g4?41n2wx8l:50;0x91b22j;019j8:3:;?xu30j0;6>u24`;9<4=:257>52z?7edc2908w0:nd;:2?82e832:70:n9;05b>{t<0k1<7f7<5=h;6?67;|q7<`<72;q68o=5809>0db=:?l0q~:6b;296~;3j80h=63;b281<==z{=n36=4<{<6b3?4?027?m84=a39>0d>=:?o0q~:kc;297~;3j?0945524c696d4<5=h<6?8j;|q7`a<72:q68oo529:891d?2;k970:mb;05a>{t7>?34>io7l1v9ji:18082d:383463;c181e7=:;k4}r6f4?6=;r7?o;4=899>0f2=:h8019m8:34f?xu3m80;6>u24bc96=><5=i36?o=;<6`f?41m2wx8h<50;1x91eb2;2370:lc;0b6>;3ko09:h5rs5g0>5<4s4>o>7<78:?7`5<5i;168i=527g8yv2b<3:1?v3;d781<==:1>l<4=5f4>70b3ty?h44?:2y>0dd=:12019o6:3c1?82fk38=i6s|4ec94?5|5=km6?67;<6b`?4f:27?n=4=6d9~w1be2909w0:m2;0;<>;3j:09:h5rs7`6>5<5s4;<4be?41n2wx:o850;0x93gd21;01;om:34e?xu1j>0;6?u26`f9<4=:>hi1>;h4}r4a2d?=081v;l6:18180fm382>639a`81e7=z{?h96=4={<4bb?4><27=mh4l1:p2gg=838p1;oi:3;1?80fj38j>6s|6c194?4|5?h;6?7;;<4bb?e63ty=no4?:3y>2g6=:0801;ol:3c1?xu1j=0;6?u26c396<5<5?h;6n?4}r4ag?6=:r7=n<4=939>2db=:h80q~8n1;296~;11>03=63997812c=z{?k96=4={<4:634<2;7<9f:p2d5=838p1;76:93893??2;7}:>031>;h4=7;6>=752z?5=d<51;16:4852`08yv0>m3:1>v399c81=1=:>0k1o<5rs7c5>5<5s4<2n7<62:?5=2<5i;1v;7i:18180>k38286399c8`5>{t>h=1<77?534<247k3i:7p}9a983>7}:>0n1>4<4=7;:>7g53ty=4i4?:3y>2=5=0816:5<527d8yv0?m3:1>v39858;5>;10:09:k5rs7:e>5<5s4<3976>;<4;0?41n2wx:4>50;0x93>22;12j;0q~862;296~;10>095?5269196d452z?5<=<51=16:595c09~w3?42909w0878;0:6>;10=09m?5rs7:`>5<5s4<357<63:?5<=>2;3970875;0b6>{t;m:1<7f7<5:nj6?67;|q0gd<72:q6?il5809>7ac=0816?i9527d8yv5c93:1>v3;4ll09455rs2aa>5<4s49oj76>;<1f6?>6349on7<9f:p7a4=838p1>k?:b3896c52;237p}6}:;l914<523d49<4=:;ml1>;h4}r1g7?6=:r78i94l1:?0a3<5011v>mk:18085b?32:70=ja;:2?85b;38=j6s|3e694?4|5:o36n?4=2gb>7>?3ty8oh4?:2y>7`d=0816?hk5809>7`1=:?l0q~=k5;296~;4mj0h=636349nn7<9f:p7a0=838p1>ki:b3896`62;237p}6}:;m31>564=2f4>7g5349om7<9e:p7c5=839p1>jk:3:;?85cj38j>637`4=:?o0q~=i5;297~;4m<0945523d196d4<5:o=6?8j;|q0b3<72:q6?h7529:896c02;k970=ja;05a>{t;o=1<7=t=2gg>7>?349nn7l1v>h7:18185a8383463;30;09=k5rs5:0>5<5s4>=i7<>f:?7<1<5011v96;:18182??383463;64815a=z{=2<6=4={<6;?2909w0:79;0;<>;3><09=o5rs5::>5<5s4>=97<78:?72`<59l1v98::181821>383463;68815a=z{=<=6=4={<653?4?027?:44=1b9~w1002909w0:98;0;<>;3>009=o5rs54;>5<5s4>=57<78:?72`<59j1v986:181821i383463;6e815a=z{=;3>m09=o5rs54`>5<5s4>=h7<78:?72`<59m1v98k:181821m383463;83815f=z{=4=1e9~w1172909w0:81;0;<>;3?:09=n5rs552>5<5s4><>7<78:?736<59k1v99=:181820;383463;79815`=z{==?6=4={<64;30;09=h5rs55;>5<5s4><57<78:?73f<59m1v996:181820i383463;7b815f=z{==j6=4={<64f?4?027?;n4=1c9~w11e2909w0:8c;0;<>;30809=k5rs55g>5<5s4>3=7<>b:?73`<5011v99j:18182?9383463;83815a=z{>3:6=4={<5:6?4?027<5k4=1b9~w2?52909w096c;0;<>;01m09=n5rs6;`>5<5s4=2h7<78:?4=c<59l1v:7j:18181>j38:j6389g81<==z{>3m6=4={<5b6?4?027<5:4=1g9~w2g52909w09n3;0;<>;01>09=n5rs6c0>5<5s4=j87<78:?4=2<59m1v:7<:18181><383463896815`=z{>3?6=4={<5:3?4?027<5o4=1d9~w2?02909w0968;0;<>;01h09=o5rs6;;>5<5s4=257<78:?4=d<59j1v:76:18181>i38346389c815a=z{>2n6=4n92y>3=b=k8165?6529c89=712:2015?9:2;89=712:k015?>:2:89=762:3015?>:2c896632:=01>>;:2:896632:301>?n:258967f2:201>?n:2;896572:=01>=?:2:896572:301>:9:25896212:201>:9:2;8963d2:=01>;l:2:8963d2:301>9=:25896152:201>9=:2;8961d2:=01>9l:2:8961d2:301>9j:258961b2:201>9j:2;896>72:=01>6?:2:896>72:301>6=:25896>52:201>6=:2;896612:=01>>9:2:896612:301>>7:258966?2:201>>7:2;8966f2:=01>>n:2:8966f2:301>>l:258966d2:201>>l:2;8966b2:=01>>j:2:8966b2:301>??:25896772:201>??:2;896752:=01>?=:2:896752:301>?;:25896732:201>?;:2;896712:=01>?9:2:896712:301>?7:258967?2:201>?7:2;8967d2:=01>?l:2:8967d2:301>?j:258967b2:201>?j:2;896472:=01><=:25896452:201><=:2;896432:=01><;:2:896432:301><9:25896412:201><9:2;8964?2:=01><7:2:8964?2:301>==:2:896552:301>=;:25896532:201>=;:2;896512:=01>=9:2:896512:301>=7:258965?2:201>=7:2;8965f2:=01>=n:2:8965f2:301>=l:258965d2:201>=l:2;8965b2:=01>=j:2:8965b2:301>:?:25896272:201>:?:2;896252:=01>:=:2:896252:301>:;:25896232:201>:;:2;8962?2:=01>:7:2:8962?2:301>:n:258962f2:201>:n:2;8962d2:=01>:l:2:8962d2:301>:j:258962b2:201>:j:2;896372:=01>;?:2:896372:301>;=:25896352:201>;=:2;896332:=01>;;:2:896332:301>;9:25896312:201>;9:2;8963?2:=01>;7:2:8963?2:301>;n:258963f2:201>;n:2;8963b2:=01>;j:2:8963b2:301>8?:25896072:201>8?:2;896052:=01>8=:2:896052:301>8;:25896032:201>8;:2;896012:=01>89:2:896012:301>87:258960?2:201>87:2;8960f2:=01>8n:2:8960f2:301>8l:258960d2:201>8l:2;8960b2:=01>8j:2:8960b2:301>9?:25896172:201>9?:2;896132:=01>9;:2:896132:301>99:25896112:201>99:2;8961?2:=01>97:2:8961?2:301>9n:258961f2:201>9n:2;89=502=:015=9:5289=522=:015=>:9389=572;k97097a;5e?81?j3=m70985;5e?81003i:709i4;5e?81?93=m709n7;5e?81f03=m709j7;5e?81b<3=m709j0;5e?81ck3=m709k8;5e?81c<3=m709k0;5e?81dk3=m709l8;5e?81d<3=m709l3;:2?81d>32:709<6;02g>;0:00;09?0;0910;2i<08;63:a480<>;2i<08563:a480e>;1;o03=639438;5>;2m?03=63:e88;5>;3i<03=63;a98;5>;1j908i639b1874>;1j808;639b080<>;1j8085639b080e>;1ih03=639a881e7=:>0i1?h5268a905=:>0n1?:5268f97==:>0n1?45268f97d=:>0<14<5268796d4<5?236>k4=7:;>16<5?226>94=7::>6><5?226>74=7::>6g<5?2965?4=7:2>7g5349o;76>;<1ge?>634=jn7m>;<654?74827?:=4>2d9>036=9;n0198?:00`?82183;9n63;61826d=:74=543>45?34>=<7?<7:?725<6;?168;>512789107289?70:90;307>;3>90:??524729567<5=<;6<<6;<654?71:27?:=4>619>036=9h63;61821f=:40f34>=<7?99:?725<6>1168;>51758910728<=70:90;351>;3>90::9524729535<5=<;6<9<;<654?70927?:=4>7c9>036=9>30198?:0db?8218382563;6181=g=:<9;18<5241391`=:<9;1=??4=522>47a34>;=7?>d:?744<69j168=?510`8916628;j70:?1;32=>;3880:=5524139541<5=::6159>057=988019>>:032?82793;:<63;00824c=:<9;1==k4=522>46c34>;=7??c:?744<68k168=?511c8916628:270:?1;31<>;3880:>:524139570<5=::6<<:;<635?75<27?<<4>229>057=9;8019>>:03f?82793;:?63;00824==:<9;1=8=4=522>43634>;=7?;f:?744<6h70:?1;37f>;3880:8l52413951?<5=::6<:7;<635?73?27?<<4>479>057=9=>019>>:060?82793;?>63;008204=:<9;1=9>4=522>45a34>;=7?;3880:9452413950><5=::6<;8;<635?72>27?<<4>549>057=9<>019>>:073?82793;<<63;00822`=:<9;1=;j4=522>40d34>;=7?88:?744<6??168=?51678916628=?70:?1;3e=>;388095452413960c<5=8:6<<>;<615?76n27?><4>1e9>077=98i019<>:03a?82593;:m63;20825<=:<;;1=<64=502>47034>9=7?>6:?764<69<168??51068914628;970:=1;325>;3:80:==52433955`<5=8:6<>j;<615?77l27?><4>0b9>077=99h019<>:02b?82593;;563;20826==:<;;1=?94=502>44134>9=7?=5:?764<6:=168??513189146288970:=1;32a>;3:80:=>52433955><5=8:6<;<;<615?72927?><4>4g9>077=9=o019<>:06g?82593;?o63;20820g=:<;;1=9o4=502>42>34>9=7?;8:?764<6<>168??51548914628>?70:=1;377>;3:80:8?524339517<5=8:6<:?;<615?74n27?><4>3d9>077=9:n019<>:01`?82593;8n63;20821d=:<;;1=874=502>43?34>9=7?:7:?764<6=?168??51478914628??70:=1;364>;3:80:;=52433953c<5=8:6<8k;<615?71k27?><4>799>077=9><019<>:056?82593;<863;2082b<=:<;;1>474=502>7?f34>?>7:>;<676?3b34>?>7?=1:?707<69o1689<510f8912528;h70:;2;32f>;3<;0:=l52450954?<5=>96179>014=98?019:=:037?823:3;:>63;438254=:<=81=<>4=561>46a34>?>7??e:?707<68m1689<511a8912528:i70:;2;33e>;3<;0:<452450957><5=>96<<8;<676?75>27?8?4>249>014=9;>019:=:000?823:3;9>63;43825`=:<=81=<=4=561>46?34>?>7?:3:?707<6=81689<515d8912528>n70:;2;37`>;3<;0:8n52450951d<5=>96<:n;<676?73127?8?4>499>014=9==019:=:065?823:3;?863;438206=:<=81=9<4=561>42634>?>7?;0:?707<6;o1689<512g89125289o70:;2;30g>;3<;0:?o52450950g<5=>96<;6;<676?72027?8?4>569>014=9<<019:=:076?823:3;>863;438215=:<=81=:>4=561>40b34>?>7?9d:?707<6>j1689<516:8912528==70:;2;341>;3<;0:;95245095c?<5=>96?76;<676?4>i27?8o4;1:?70g<2m27?8o4>209>01d=98l019:m:03g?823j3;:o63;4c825g=:<=h1=47>34>?n7?>8:?70g<69>1689l51048912e28;>70:;b;320>;3i60d9>01d=99n019:m:02`?823j3;;n63;4c824d=:<=h1==74=56a>44?34>?n7?=7:?70g<6:?1689l51378912e288?70:;b;317>;3?5245`954c<5=>i6529>01d=9<;019:m:06e?823j3;?i63;4c820a=:<=h1=9m4=56a>42e34>?n7?;a:?70g<6<01689l515:8912e28><70:;b;372>;3i6<:=;<67f?73927?8o4>419>01d=9:l019:m:01f?823j3;8h63;4c827f=:<=h1=>l4=56a>43f34>?n7?:9:?70g<6=11689l51458912e28?=70:;b;361>;3i6<9?;<67f?71m27?8o4>6e9>01d=9?i019:m:05;?823j3;<:63;4c8230=:<=h1=::4=56a>4`>34>?n7<69:?70g<51h1689k5409>01c==l1689k51338912b28;m70:;e;32`>;3n6199>01c=98=019:j:035?823m3;:963;4d8251=:<=o1=<<4=56f>47634>?i7?>0:?70`<68o1689k511g8912b28:o70:;e;33g>;3n6<>6;<67a?75027?8h4>269>01c=9;<019:j:006?823m3;9863;4d8266=:<=o1=?<4=56f>47b34>?i7?>3:?70`<6811689k51418912b28?:70:;e;37b>;3n6<:l;<67a?73j27?8h4>4`9>01c=9=3019:j:06;?823m3;?;63;4d8203=:<=o1=9:4=56f>42434>?i7?;2:?70`<6<81689k51528912b289m70:;e;30a>;3n6<=m;<67a?72i27?8h4>589>01c=9<2019:j:074?823m3;>:63;4d8210=:<=o1=8:4=56f>43734>?i7?80:?70`<6>l1689k517f8912b28;3n6<9;;<67a?7a127?8h4=989>01c=:0k019;>:53891362:002?82293;:j63;50825a=:<<;1=47e34>>=7?>a:?714<6901688?510:8913628;<70::1;322>;3=80:=8524439542<5=?:6119>007=99l019;>:02f?82293;;h63;50824f=:<<;1==l4=572>46f34>>=7??9:?714<6:11688?513589136288=70::1;311>;3=80:>9524439575<5=?:6<<=;<665?76m27?9<4>129>007=992019;>:070?82293;>=63;50820c=:<<;1=9k4=572>42c34>>=7?;c:?714<6270::1;37<>;3=80:8:524439510<5=?:6<:;;<665?73;27?9<4>439>007=9=;019;>:063?82293;8j63;50827`=:<<;1=>j4=572>45d34>>=7?;3=80:9;524439503<5=?:6<;;;<665?72827?9<4>719>007=9?o019;>:04g?82293;=o63;50823==:<<;1=:84=572>41234>>=7?84:?714<6n01688?528;891362;3j70::4;62?822<3?n70::4;315>;3==0:=k52446954b<5=??61`9>002=983019;;:03;?822<3;:;63;558253=:<<>1=<;4=577>47334>>87?>2:?711<6981688:51028913328:m70::4;33a>;3==0:m;<660?77i27?994>089>002=9;2019;;:004?822<3;9:63;558260=:<<>1=?:4=577>44434>>87?=2:?711<69l1688:51018913328:370::4;367>;3==0:9<52446951`<5=??6<:j;<660?73l27?994>4b9>002=9=h019;;:06b?822<3;?563;55820==:<<>1=994=577>42134>>87?;4:?711<6<:1688:51508913328>:70::4;374>;3==0:?k52446956c<5=??6<=k;<660?74k27?994>3c9>002=9463;558212=:<<>1=884=577>43234>>87?:4:?711<6=91688:51628913328;3==0::n52446952><5=??6<99;<660?70=27?994>759>002=9o3019;;:3;:?822<382m63;56875>;3=>0>i63;568264=:<<=1=47c34>>;7?>c:?712<69k16889510c8913028;270::7;32<>;3=>0:=:524459540<5=?<6139>001=98;019;8:033?822?3;;j63;56824`=:<<=1==j4=574>46d34>>;7??b:?712<68h16889511;89130288370::7;313>;3=>0:>;524459573<5=?<6<<;;<663?75;27?9:4>239>001=98o019;8:030?822?3;;463;568216=:<<=1=8?4=574>42a34>>;7?;e:?712<6i70::7;37e>;3=>0:8452445951><5=?<6<:8;<663?73>27?9:4>459>001=9=9019;8:061?822?3;?=63;568205=:<<=1=>h4=574>45b34>>;7?;3=>0:95524459501<5=?<6<;9;<663?72=27?9:4>559>001=9<:019;8:053?822?3;=i63;56822a=:<<=1=;m4=574>41?34>>;7?86:?712<6?<1688951668913028l270::7;0:=>;3=>095l5244c904=:<1b9>00g=98h019;n:03b?822i3;:563;5`825==:<47134>>m7?>5:?71d<69=1688o51008913f28;:70::a;324>;3=h0:k;<66e?77k27?9l4>0c9>00g=99k019;n:02:?822i3;9463;5`8262=:<44234>>m7?=4:?71d<6::1688o51308913f28;n70::a;327>;3=h0:<55244c9505<5=?j6<;>;<66e?73n27?9l4>4d9>00g=9=n019;n:06`?822i3;?n63;5`820d=:<42?34>>m7?;7:?71d<6870::a;376>;3=h0:8<5244c9516<5=?j6<=i;<66e?74m27?9l4>3e9>00g=9:i019;n:01a?822i3;>m63;5`821<=:<43034>>m7?:6:?71d<6=<1688o51468913f28?;70::a;344>;3=h0::h5244c953b<5=?j6<8l;<66e?70027?9l4>779>00g=9>?019;n:057?822i3;m563;5`81=<=:<4o4=57g>17<5=?o68k4=57g>44634>>h7?>f:?71a<69m1688j510a8913c28;i70::d;32e>;3=m0:=45244f954><5=?o627?9i4>149>00b=98>019;k:031?822l3;:=63;5e8255=:<46b34>>h7??d:?71a<68j1688j511`8913c28:j70::d;33=>;3=m0:>55244f9571<5=?o6<<9;<66`?75=27?9i4>259>00b=9;9019;k:001?822l3;:i63;5e8256=:<43434>>h7?:1:?71a<6o70::d;37g>;3=m0:8o5244f951g<5=?o6<:6;<66`?73027?9i4>469>00b=9=<019;k:067?822l3;??63;5e8207=:<42734>>h7?;3=m0:9l5244f950?<5=?o6<;7;<66`?72?27?9i4>579>00b=9<63;5e8235=:<40c34>>h7?9c:?71a<6?11688j51648913c28=>70::d;340>;3=m0:j45244f96;87;j;<630?75927?<94>1g9>052=98n019>;:03`?827<3;:n63;05825d=:<9>1=<74=527>47?34>;87?>7:?741<69?168=:51078916328;?70:?4;326>;38=0:=<524169546<5=:?6<>i;<630?77m27?<94>0e9>052=99i019>;:02a?827<3;;m63;05824<=:<9>1=?64=527>44034>;87?=6:?741<6:<168=:513689163288870:?4;316>;38=0:=h524169545<5=:?6<>7;<630?72;27?<94>509>052=9=l019>;:06f?827<3;?h63;05820f=:<9>1=9l4=527>42f34>;87?;9:?741<6<1168=:51558916328>=70:?4;370>;38=0:8>524169514<5=:?6<:>;<630?73827?<94>3g9>052=9:o019>;:01g?827<3;8o63;05827g=:<9>1=8o4=527>43>34>;87?:8:?741<6=>168=:51448916328?>70:?4;360>;38=0:9=524169526<5=:?6<8j;<630?71l27?<94>6b9>052=9>2019>;:055?827<3;<963;058231=:<9>1=k74=527>7?>34>;87<6a:?742<3927?<:4:e:?742<6:8168=9510d8916028;o70:?7;32g>;38>0:=o52415954g<5=:<6169>051=98<019>8:036?827?3;:863;068257=:<9=1=47734>;;7??f:?742<68l168=9511f8916028:h70:?7;33f>;38>0:279>051=9;?019>8:007?827?3;9?63;068267=:<9=1=47434>;;7??8:?742<6=:168=951438916028>m70:?7;37a>;38>0:8i52415951e<5=:<6<:m;<633?73i27?<:4>489>051=9=2019>8:064?827?3;?:63;068201=:<9=1=9=4=524>42534>;;7?;1:?742<6<9168=9512d89160289n70:?7;30`>;38>0:?n52415956d<5=:<6<;n;<633?72127?<:4>599>051=9<=019>8:075?827?3;>963;068211=:<9=1=8>4=524>41734>;;7?9e:?742<6>m168=9517a8916028=370:?7;342>;38>0:;8524159522<5=:<6127?<:4=9`9>05g=<8168=o55d9>05g=9;;019>n:03e?827i3;:h63;0`825f=:<9k1=47f34>;m7?>9:?74d<691168=o51058916f28;=70:?a;321>;38h0:=95241c9544<5=:j6;<63e?76827?0g9>05g=99o019>n:02g?827i3;;o63;0`824g=:<9k1==o4=52b>46>34>;m7?=8:?74d<6:>168=o51348916f288>70:?a;310>;38h0:>>5241c9574<5=:j6099>05g=9<9019>n:072?827i3;?j63;0`820`=:<9k1=9j4=52b>42d34>;m7?;b:?74d<6370:?a;373>;38h0:8;5241c9512<5=:j6<:<;<63e?73:27?409>05g=9=:019>n:01e?827i3;8i63;0`827a=:<9k1=>m4=52b>45e34>;m7?:a:?74d<6=0168=o514:8916f28?<70:?a;362>;38h0:985241c9502<5=:j6<;?;<63e?70827?6d9>05g=9?n019>n:04`?827i3;<463;0`8233=:<9k1=:;4=52b>41334>;m7?i9:?74d<510168=o528c8916c2=;019>k:4g8916c288:70:?d;32b>;38m0:=i5241f954e<5=:o6189>05b=982019>k:034?827l3;::63;0e8250=:<9n1=<:4=52g>47534>;h7?>1:?74a<699168=j511d8916c28:n70:?d;33`>;38m0:n;<63`?77127?299>05b=9;=019>k:005?827l3;9963;0e8261=:<9n1=?=4=52g>44534>;h7?>e:?74a<69:168=j511:8916c28?870:?d;365>;38m0:8k5241f951c<5=:o6<:k;<63`?73k27?4c9>05b=9=k019>k:06:?827l3;?463;0e8202=:<9n1=984=52g>42334>;h7?;3:?74a<6<;168=j51538916c28>;70:?d;30b>;38m0:?h5241f956b<5=:o6<=l;<63`?74j27?5`9>05b=9<3019>k:07;?827l3;>;63;0e8213=:<9n1=8;4=52g>43334>;h7?:0:?74a<6?9168=j517g8916c28;38m0:;55241f9520<5=:o6<9:;<63`?70<27?f89>05b=:03019>k:3;b?82683>:70:>0;7f?82683;9=63;11825c=:<8:1=47d34>:<7?>b:?755<69h168<>510;8917728;370:>0;323>;3990:=;524029543<5=;;6109>046=98:019??:02e?82683;;i63;11824a=:<8:1==m4=533>46e34>:<7??a:?755<680168<>513:89177288<70:>0;312>;3990:>8524029572<5=;;6<<<;<624?75:27?==4>1d9>046=989019??:02;?82683;>?63;118214=:<8:1=9h4=533>42b34>:<7?;d:?755<6515`8917728>j70:>0;37=>;3990:85524029511<5=;;6<:9;<624?73<27?==4>429>046=9=8019??:062?82683;?<63;11827c=:<8:1=>k4=533>45c34>:<7?514c8917728?270:>0;36<>;3990:9:524029500<5=;;6<;:;<624?72<27?==4>519>046=9>:019??:04f?82683;=h63;11822f=:<8:1=:64=533>41134>:<7?85:?755<6?=168<>51g;891772;3270:>0;0:e>;39:0?=63;1286a>;39:0:><52401954`<5=;864>1c9>045=98k019?<:03:?826;3;:463;128252=:<891=<84=530>47234>:?7?>4:?756<69;168<=51038917428;;70:>3;33b>;39:0:l;<627?77j27?=>4>0`9>045=993019?<:00;?826;3;9;63;128263=:<891=?;4=530>44334>:?7?=3:?756<6:;168<=510g8917428;870:>3;33<>;39:0:9>524019507<5=;86<:i;<627?73m27?=>4>4e9>045=9=i019?<:06a?826;3;?m63;12820<=:<891=964=530>42034>:?7?;6:?756<6<=168<=51518917428>970:>3;375>;39:0:8=52401956`<5=;86<=j;<627?74l27?=>4>3b9>045=9:h019?<:07b?826;3;>563;12821==:<891=894=530>43134>:?7?:5:?756<6==168<=51428917428=;70:>3;35a>;39:0::i52401953e<5=;86<97;<627?70>27?=>4>749>045=9>>019?<:0d:?826;382563;1281=d=:<8?18<5240791`=:<8?1=??4=536>47a34>:97?>d:?750<69j168<;510`8917228;j70:>5;32=>;39<0:=5524079541<5=;>6159>043=988019?::032?826=3;:<63;14824c=:<8?1==k4=536>46c34>:97??c:?750<68k168<;511c8917228:270:>5;31<>;39<0:>:524079570<5=;>6<<:;<621?75<27?=84>229>043=9;8019?::03f?826=3;:?63;14824==:<8?1=8=4=536>43634>:97?;f:?750<6h70:>5;37f>;39<0:8l52407951?<5=;>6<:7;<621?73?27?=84>479>043=9=>019?::060?826=3;?>63;148204=:<8?1=9>4=536>45a34>:97?5;36e>;39<0:9452407950><5=;>6<;8;<621?72>27?=84>549>043=9<>019?::073?826=3;<<63;14822`=:<8?1=;j4=536>40d34>:97?88:?750<6??168<;51678917228=?70:>5;3e=>;39<095452407960c<5=;36<<>;<621e9>04>=98i019?7:03a?82603;:m63;19825<=:<821=<64=53;>47034>:47?>6:?75=<69<168<651068917?28;970:>8;325>;3910:==5240:955`<5=;36<>j;<620b9>04>=99h019?7:02b?82603;;563;19826==:<821=?94=53;>44134>:47?=5:?75=<6:=168<651318917?288970:>8;32a>;3910:=>5240:955><5=;36<;<;<624g9>04>=9=o019?7:06g?82603;?o63;19820g=:<821=9o4=53;>42>34>:47?;8:?75=<6<>168<651548917?28>?70:>8;377>;3910:8?5240:9517<5=;36<:?;<623d9>04>=9:n019?7:01`?82603;8n63;19821d=:<821=874=53;>43?34>:47?:7:?75=<6=?168<651478917?28??70:>8;364>;3910:;=5240:953c<5=;36<8k;<62799>04>=9><019?7:056?82603;<863;1982b<=:<821>474=53;>7?f34>:n7:>;<62f?3b34>:n7?=1:?75g<69o168b;32f>;39k0:=l5240`954?<5=;i6179>04d=98?019?m:037?826j3;:>63;1c8254=:<8h1=<>4=53a>46a34>:n7??e:?75g<68m168b;33e>;39k0:<45240`957><5=;i6<<8;<62f?75>27?=o4>249>04d=9;>019?m:000?826j3;9>63;1c825`=:<8h1=<=4=53a>46?34>:n7?:3:?75g<6=8168n70:>b;37`>;39k0:8n5240`951d<5=;i6<:n;<62f?73127?=o4>499>04d=9==019?m:065?826j3;?863;1c8206=:<8h1=9<4=53a>42634>:n7?;0:?75g<6;o168b;30g>;39k0:?o5240`950g<5=;i6<;6;<62f?72027?=o4>569>04d=9<<019?m:076?826j3;>863;1c8215=:<8h1=:>4=53a>40b34>:n7?9d:?75g<6>j168b;341>;39k0:;95240`95c?<5=;i6?76;<62f?4>i27?=h4;1:?75`<2m27?=h4>209>04c=98l019?j:03g?826m3;:o63;1d825g=:<8o1=47>34>:i7?>8:?75`<69>16870:>e;320>;39l0:=?5240g9547<5=;n60d9>04c=99n019?j:02`?826m3;;n63;1d824d=:<8o1==74=53f>44?34>:i7?=7:?75`<6:?168e;317>;39l0:>?5240g954c<5=;n6529>04c=9<;019?j:06e?826m3;?i63;1d820a=:<8o1=9m4=53f>42e34>:i7?;a:?75`<6<0168<70:>e;372>;39l0:895240g9515<5=;n6<:=;<62a?73927?=h4>419>04c=9:l019?j:01f?826m3;8h63;1d827f=:<8o1=>l4=53f>43f34>:i7?:9:?75`<6=1168e;361>;39l0:995240g9506<5=;n6<9?;<62a?71m27?=h4>6e9>04c=9?i019?j:05;?826m3;<:63;1d8230=:<8o1=::4=53f>4`>34>:i7<69:?75`<51h168?:5409>072==l168?:51338914328;m70:=4;32`>;3:=0:=n52436954d<5=8?694>199>072=98=019<;:035?825<3;:963;258251=:<;>1=<<4=507>47634>987?>0:?761<68o168?:511g8914328:o70:=4;33g>;3:=0:6;<610?75027?>94>269>072=9;<019<;:006?825<3;9863;258266=:<;>1=?<4=507>47b34>987?>3:?761<681168?:51418914328?:70:=4;37b>;3:=0:8h52436951b<5=8?6<:l;<610?73j27?>94>4`9>072=9=3019<;:06;?825<3;?;63;258203=:<;>1=9:4=507>42434>987?;2:?761<6<8168?:515289143289m70:=4;30a>;3:=0:?i52436956e<5=8?6<=m;<610?72i27?>94>589>072=9<2019<;:074?825<3;>:63;258210=:<;>1=8:4=507>43734>987?80:?761<6>l168?:517f8914328;3:=0:;;524369523<5=8?6<9;;<610?7a127?>94=989>072=:0k019<8:5389140247e34>9;7?>a:?762<690168?9510:8914028;<70:=7;322>;3:>0:=8524359542<5=8<6:4>119>071=99l019<8:02f?825?3;;h63;26824f=:<;=1==l4=504>46f34>9;7??9:?762<6:1168?9513589140288=70:=7;311>;3:>0:>9524359575<5=8<6<<=;<613?76m27?>:4>129>071=992019<8:070?825?3;>=63;26820c=:<;=1=9k4=504>42c34>9;7?;c:?762<6270:=7;37<>;3:>0:8:524359510<5=8<6<:;;<613?73;27?>:4>439>071=9=;019<8:063?825?3;8j63;26827`=:<;=1=>j4=504>45d34>9;7?;3:>0:9;524359503<5=8<6<;;;<613?72827?>:4>719>071=9?o019<8:04g?825?3;=o63;26823==:<;=1=:84=504>41234>9;7?84:?762<6n0168?9528;891402;3j70:=a;62?825i3?n70:=a;315>;3:h0:=k5243c954b<5=8j6l4>1`9>07g=98301947334>9m7?>2:?76d<698168?o51028914f28:m70:=a;33a>;3:h0:m;<61e?77i27?>l4>089>07g=9;201944434>9m7?=2:?76d<69l168?o51018914f28:370:=a;367>;3:h0:9<5243c951`<5=8j6<:j;<61e?73l27?>l4>4b9>07g=9=h01942134>9m7?;4:?76d<6<:168?o51508914f28>:70:=a;374>;3:h0:?k5243c956c<5=8j6<=k;<61e?74k27?>l4>3c9>07g=9463;2`8212=:<;k1=884=50b>43234>9m7?:4:?76d<6=9168?o51628914f28;3:h0::n5243c952><5=8j6<99;<61e?70=27?>l4>759>07g=9o3019;3:m0>i63;2e8264=:<;n1=47c34>9h7?>c:?76a<69k168?j510c8914c28;270:=d;32<>;3:m0:=:5243f9540<5=8o6i4>139>07b=98;01946d34>9h7??b:?76a<68h168?j511;8914c288370:=d;313>;3:m0:>;5243f9573<5=8o6<<;;<61`?75;27?>i4>239>07b=98o01942a34>9h7?;e:?76a<6i70:=d;37e>;3:m0:845243f951><5=8o6<:8;<61`?73>27?>i4>459>07b=9=9019h4=50g>45b34>9h7?;3:m0:955243f9501<5=8o6<;9;<61`?72=27?>i4>559>07b=9<:01941?34>9h7?86:?76a<6?<168?j51668914c28l270:=d;0:=>;3:m095l52422904=:<::19h524229577<5=9;61b9>066=98h019=?:03b?82483;:563;31825==:<::1=<94=513>47134>8<7?>5:?775<69=168>>51008915728;:70:<0;324>;3;90:k;<604?77k27??=4>0c9>066=99k019=?:02:?82483;9463;318262=:<::1=?84=513>44234>8<7?=4:?775<6::168>>51308915728;n70:<0;327>;3;90:<5524229505<5=9;6<;>;<604?73n27??=4>4d9>066=9=n019=?:06`?82483;?n63;31820d=:<::1=974=513>42?34>8<7?;7:?775<6>51568915728>870:<0;376>;3;90:8<524229516<5=9;6<=i;<604?74m27??=4>3e9>066=9:i019=?:01a?82483;>m63;31821<=:<::1=864=513>43034>8<7?:6:?775<6=<168>>51468915728?;70:<0;344>;3;90::h52422953b<5=9;6<8l;<604?70027??=4>779>066=9>?019=?:057?82483;m563;3181=<=:<::1>4o4=510>17<5=9868k4=510>44634>8?7?>f:?776<69m168>=510a8915428;i70:<3;32e>;3;:0:=452421954><5=98627??>4>149>065=98>019=<:031?824;3;:=63;328255=:<:91==h4=510>46b34>8?7??d:?776<68j168>=511`8915428:j70:<3;33=>;3;:0:>5524219571<5=986<<9;<607?75=27??>4>259>065=9;9019=<:001?824;3;:i63;328256=:<:91==64=510>43434>8?7?:1:?776<6=515g8915428>o70:<3;37g>;3;:0:8o52421951g<5=986<:6;<607?73027??>4>469>065=9=<019=<:067?824;3;??63;328207=:<:91=9?4=510>42734>8?7?=512f89154289h70:<3;30f>;3;:0:9l52421950?<5=986<;7;<607?72?27??>4>579>065=9<63;328235=:<:91=;k4=510>40c34>8?7?9c:?776<6?1168>=51648915428=>70:<3;340>;3;:0:j452421968:7;j;<602?75927??;4>1g9>060=98n019=9:03`?824>3;:n63;37825d=:<:<1=<74=515>47?34>8:7?>7:?773<69?168>851078915128;?70:<6;326>;3;?0:=<524249546<5=9=6<>i;<602?77m27??;4>0e9>060=99i019=9:02a?824>3;;m63;37824<=:<:<1=?64=515>44034>8:7?=6:?773<6:<168>8513689151288870:<6;316>;3;?0:=h524249545<5=9=6<>7;<602?72;27??;4>509>060=9=l019=9:06f?824>3;?h63;37820f=:<:<1=9l4=515>42f34>8:7?;9:?773<6<1168>851558915128>=70:<6;370>;3;?0:8>524249514<5=9=6<:>;<602?73827??;4>3g9>060=9:o019=9:01g?824>3;8o63;37827g=:<:<1=8o4=515>43>34>8:7?:8:?773<6=>168>851448915128?>70:<6;360>;3;?0:9=524249526<5=9=6<8j;<602?71l27??;4>6b9>060=9>2019=9:055?824>3;<963;378231=:<:<1=k74=515>7?>34>8:7<6a:?77<<3927??44:e:?77<<6:8168>7510d8915>28;o70:<9;32g>;3;00:=o5242;954g<5=926169>06?=98<019=6:036?82413;:863;388257=:<:31=47734>857??f:?77<<68l168>7511f8915>28:h70:<9;33f>;3;00:279>06?=9;?019=6:007?82413;9?63;388267=:<:31=47434>857??8:?77<<6=:168>751438915>28>m70:<9;37a>;3;00:8i5242;951e<5=926<:m;<60=?73i27??44>489>06?=9=2019=6:064?82413;?:63;388201=:<:31=9=4=51:>42534>857?;1:?77<<6<9168>7512d8915>289n70:<9;30`>;3;00:?n5242;956d<5=926<;n;<60=?72127??44>599>06?=9<=019=6:075?82413;>963;388211=:<:31=8>4=51:>41734>857?9e:?77<<6>m168>7517a8915>28=370:<9;342>;3;00:;85242;9522<5=926127??44=9`9>06e=<8168>m55d9>06e=9;;019=l:03e?824k3;:h63;3b825f=:<:i1=47f34>8o7?>9:?77f<691168>m51058915d28;=70:;3;j0:=95242a9544<5=9h6;<60g?76827??n4>0g9>06e=99o019=l:02g?824k3;;o63;3b824g=:<:i1==o4=51`>46>34>8o7?=8:?77f<6:>168>m51348915d288>70:;3;j0:>>5242a9574<5=9h6099>06e=9<9019=l:072?824k3;?j63;3b820`=:<:i1=9j4=51`>42d34>8o7?;b:?77f<6m515;8915d28>370:;3;j0:8;5242a9512<5=9h6<:<;<60g?73:27??n4>409>06e=9=:019=l:01e?824k3;8i63;3b827a=:<:i1=>m4=51`>45e34>8o7?:a:?77f<6=0168>m514:8915d28?<70:;3;j0:985242a9502<5=9h6<;?;<60g?70827??n4>6d9>06e=9?n019=l:04`?824k3;<463;3b8233=:<:i1=:;4=51`>41334>8o7?i9:?77f<510168>m528c8915a2=;019=i:4g8915a288:70:;3;o0:=i5242d954e<5=9m6189>06`=982019=i:034?824n3;::63;3g8250=:<:l1=<:4=51e>47534>8j7?>1:?77c<699168>h511d8915a28:n70:;3;o0:n;<60b?77127??k4>299>06`=9;=019=i:005?824n3;9963;3g8261=:<:l1=?=4=51e>44534>8j7?>e:?77c<69:168>h511:8915a28?870:;3;o0:8k5242d951c<5=9m6<:k;<60b?73k27??k4>4c9>06`=9=k019=i:06:?824n3;?463;3g8202=:<:l1=984=51e>42334>8j7?;3:?77c<6<;168>h51538915a28>;70:;3;o0:?h5242d956b<5=9m6<=l;<60b?74j27??k4>5`9>06`=9<3019=i:07;?824n3;>;63;3g8213=:<:l1=8;4=51e>43334>8j7?:0:?77c<6?9168>h517g8915a28;3;o0:;55242d9520<5=9m6<9:;<60b?70<27??k4>f89>06`=:03019=i:3;b?823=3>:70:;5;7f?823=3;9=63;44825c=:<=?1=47d34>?97?>b:?700<69h1689;510;8912228;370:;5;323>;3<<0:=;524579543<5=>>6109>013=98:019:::02e?823=3;;i63;44824a=:<=?1==m4=566>46e34>?97??a:?700<6801689;513:89122288<70:;5;312>;3<<0:>8524579572<5=>>6<<<;<671?75:27?884>1d9>013=989019:::02;?823=3;>?63;448214=:<=?1=9h4=566>42b34>?97?;d:?700<6j70:;5;37=>;3<<0:85524579511<5=>>6<:9;<671?73<27?884>429>013=9=8019:::062?823=3;?<63;44827c=:<=?1=>k4=566>45c34>?97?;3<<0:9:524579500<5=>>6<;:;<671?72<27?884>519>013=9>:019:::04f?823=3;=h63;44822f=:<=?1=:64=566>41134>?97?85:?700<6?=1689;51g;891222;3270:;5;0:e>;3<10?=63;4986a>;3<10:><5245:954`<5=>361c9>01>=98k019:7:03:?82303;:463;498252=:<=21=<84=56;>47234>?47?>4:?70=<69;1689651038912?28;;70:;8;33b>;3<10:36<>l;<670`9>01>=993019:7:00;?82303;9;63;498263=:<=21=?;4=56;>44334>?47?=3:?70=<6:;16896510g8912?28;870:;8;33<>;3<10:9>5245:9507<5=>36<:i;<674e9>01>=9=i019:7:06a?82303;?m63;49820<=:<=21=964=56;>42034>?47?;6:?70=<6<=1689651518912?28>970:;8;375>;3<10:8=5245:956`<5=>36<=j;<673b9>01>=9:h019:7:07b?82303;>563;49821==:<=21=894=56;>43134>?47?:5:?70=<6==1689651428912?28=;70:;8;35a>;3<10::i5245:953e<5=>36<97;<6727?854>749>01>=9>>019:7:0d:?8230382563;4981=d=z{>3;6=4={<5:4?4?027<4k4l1:p3c0=838p1:h9:3:;?81a=3i:7p}87583>7}:?>>1>564=650>f752z?4e5<50116;4h520d8yv40l3:1>v38a081<==:?0l1>0=2=:8k0q~<8f;296~;30?094552496964d52z?733<501168:;520c8yv4?93:1>v3;7681<==:<>?1>02c=:8k0q~<73;296~;30909455246g964d52z?4=0<50116;4:520c8yv4?=3:1>v389781<==:?0>1>k;|l1<44=83;pD4>k;|l1<45=83;pD4>k;|l1<42=83;pD4>k;|l1<43=83;pD4>k;|l1<40=83;pD4>k;|l1<41=83;pD4>k;|l1<4>=83;pD4>k;|l1<4?=83;pD4>k;|l1<4g=83;pD4>k;|l1<4d=83;pD4>k;|l1<4e=83;pD4>k;|l1<4b=83;pD4>k;|l1<4c=83;pD4>k;|l1<4`=83;pD4>k;|l1<76=83;pD4>k;|l1<77=83;pD4>k;|l1<74=83;pD4>k;|l1<75=83;pD4>k;|l1<72=83;pD4>k;|l1<73=83;pD4>k;|l1<70=83;pD4>k;|l1<71=83;pD4>k;|l1<7>=83;pD4>k;|l1<7?=83;pD4>k;|l1<7g=83;pD4>k;|l1<7d=83;pD4>k;|l1<7e=83;pD4>k;|l1<7b=83;pD4>k;|l1<7c=83;pD4>k;|l1<7`=83;pD4>k;|l1<66=83;pD4>k;|l1<67=83;pD4>k;|l1<64=83;pD4>k;|l1<65=83;pD4>k;|l1<62=83;pD4>k;|l1<63=83;pD4>k;|l1<60=83;pD4>k;|l1<61=83;pD4>k;|l1<6>=83;pD4>k;|l1<6?=83;pD4>k;|l1<6g=83;pD4>k;|l1<6d=83;pD4>k;|l1<6e=83;pD4>k;|l1<6b=83;pD4>k;|l1<6c=83;pD4>k;|l1<6`=83;pD4>k;|l1<16=83;pD4>k;|l1<17=83;pD4>k;|l1<14=83;pD4>k;|l1<15=83;pD4>k;|l1<12=83;pD4>k;|l1<13=83;pD4>k;|l1<10=83;pD4>k;|l1<11=83;pD4>k;|l1<1>=83;pD4>k;|l1<1?=83;pD4>k;|l1<1g=83;pD4>k;|l1<1d=83;pD4>k;|l1<1e=83;pD4>k;|l1<1b=83;pD4>k;|l1<1c=83;pD4>k;|l1<1`=83;pD4>k;|l1<06=83;pD4>k;|l1<07=83;pD4>k;|l1<04=83;pD4>k;|l1<05=83;pD4>k;|l1<02=83;pD4>k;|l1<03=83;pD4>k;|l1<00=83;pD4>k;|l1<01=83;pD4>k;|l1<0>=83;pD4>k;|l1<0?=83;pD4>k;|l1<0g=83;pD4>k;|l1<0d=83;pD4>k;|l1<0e=83;pD4>k;|l1<0b=83;pD4>k;|l1<0c=83;pD4>k;|l1<0`=83;pD4>k;|l1<36=83;pD4>k;|l1<37=83;pD4>k;|l1<34=83;pD4>k;|l1<35=83;pD4>k;|l1<32=83;pD4>k;|l1<33=83;pD4>k;|l1<30=83;pD4>k;|l1<31=83;pD4>k;|l1<3>=83;pD4>k;|l1<3?=83;pD4>k;|l1<3g=83;pD4>k;|l1<3d=83;pD4>k;|l1<3e=83;pD4>k;|l1<3b=83;pD4>k;|l1<3c=83;pD4>k;|l1<3`=83;pD4>k;|l1<26=83;pD4>k;|l1<27=83;pD4>k;|l1<24=83;pD4>k;|l1<25=83;pD4>k;|l1<22=83;pD4>k;|l1<23=83;pD4>k;|l1<20=83;pD4>k;|l1<21=83;pD4>k;|l1<2>=83;pD4>k;|l1<2?=83;pD4>k;|l1<2g=83;pD4>k;|l1<2d=83;pD4>k;|l1<2e=83;pD4>k;|l1<2b=83;pD4>k;|l1<2c=83;pD4>k;|l1<2`=83;pD4>k;|l1<=6=83;pD4>k;|l1<=7=83;pD4>k;|l1<=4=83;pD4>k;|l1<=5=83;pD4>k;|l1<=2=83;pD4>k;|l1<=3=83;pD4>k;|l1<=0=83;pD4>k;|l1<=1=83;pD4>k;|l1<=>=83;pD4>k;|l1<=?=83;pD4>k;|l1<=g=83;pD4>k;|l1<=d=83;pD4>k;|l1<=e=83;pD4>k;|l1<=b=83;pD4>k;|l1<=c=83;pD4>k;|l1<=`=83;pD4>k;|l1<<6=83;pD4>k;|l1<<7=83;pD4>k;|l1<<4=83;pD4>k;|l1<<5=83;pD4>k;|l1<<2=83;pD4>k;|l1<<3=83;pD4>k;|l1<<0=83;pD4>k;|l1<<1=83;pD4>k;|l1<<>=83;pD4>k;|l1<k;|l1<k;|l1<k;|l1<k;|l1<k;|l1<k;|l1<<`=83;pD4>k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1=83;pD4>k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1=83;pD4>k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1=83;pD4>k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1=83;pD4>k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1<`6=83;pD4>k;|l1<`7=83;pD4>k;|l1<`4=83;pD4>k;|l1<`5=83;pD4>k;|l1<`2=83;pD4>k;|l1<`3=83;pD4>k;|l1<`0=83;pD4>k;|l1<`1=83;pD4>k;|l1<`>=83;pD4>k;|l1<`?=83;pD4>k;|l1<`g=83;pD4>k;|l1<`d=83;pD4>k;|l1<`e=83;pD4>k;|l1<`b=83;pD4>k;|l1<`c=83;pD4>k;|l1<``=83;pD4>k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1=83;pD4>k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1k;|l1=56=83;pD4>k;|l1=57=83;pD4>k;|l1=54=83;pD4>k;|l1=55=83;pD4>k;|l1=52=83;pD4>k;|l1=53=83;pD4>k;|l1=50=83;pD4>k;|l1=51=83;pD4>k;|l1=5>=83;pD4>k;|l1=5?=83;pD4>k;|l1=5g=83;pD4>k;|l1=5d=83;pD4>k;|l1=5e=83;pD4>k;|l1=5b=83;pD4>k;|l1=5c=83;pD4>k;|l1=5`=83;pD4>k;|l1=46=83;pD4>k;|l1=47=83;pD4>k;|l1=44=83;pD4>k;|l1=45=83;pD4>k;|l1=42=83;pD4>k;|l1=43=83;pD4>k;|l1=40=83;pD4>k;|l1=41=83;pD4>k;|l1=4>=83;pD4>k;|l1=4?=83;pD4>k;|l1=4g=83;pD4>k;|l1=4d=83;pD4>k;|l1=4e=83;pD4>k;|l1=4b=83;pD4>k;|l1=4c=83;pD4>k;|l1=4`=83;pD4>k;|l1=76=83;pD4>k;|l1=77=83;pD4>k;|l1=74=83;pD4>k;|l1=75=83;pD4>k;|l1=72=83;pD4>k;|l1=73=83;pD4>k;|l1=70=83;pD4>k;|l1=71=83;pD4>k;|l1=7>=83;pD4>k;|l1=7?=83;pD4>k;|l1=7g=83;pD4>k;|l1=7d=83;pD4>k;|l1=7e=83;pD4>k;|l1=7b=83;pD4>k;|l1=7c=83;pD4>k;|l1=7`=83;pD4>k;|l1=66=83;pD4>k;|l1=67=83;pD4>k;|l1=64=83;pD4>k;|l1=65=83;pD4>k;|l1=62=83;pD4>k;|l1=63=83;pD4>k;|l1=60=83;pD4>k;|l1=61=83;pD4>k;|l1=6>=83;pD4>k;|l1=6?=83;pD4>k;|l1=6g=83;pD4>k;|l1=6d=83;pD4>k;|l1=6e=83;pD4>k;|l1=6b=83;pD4>k;|l1=6c=83;pD4>k;|l1=6`=83;pD4>k;|l1=16=83;pD4>k;|l1=17=83;pD4>k;|l1=14=83;pD4>k;|l1=15=83;pD4>k;|l1=12=83;pD4>k;|l1=13=83;pD4>k;|l1=10=83;pD4>k;|l1=11=83;pD4>k;|l1=1>=83;pD4>k;|l1=1?=83;pD4>k;|l1=1g=83;pD4>k;|l1=1d=83;pD4>k;|l1=1e=83;pD4>k;|l1=1b=83;pD4>k;|l1=1c=83;pD4>k;|l1=1`=83;pD4>k;|l1=06=83;pD4>k;|l1=07=83;pD4>k;|l1=04=83;pD4>k;|l1=05=83;pD4>k;|l1=02=83;pD4>k;|l1=03=83;pD4>k;|l1=00=83;pD4>k;|l1=01=83;pD4>k;|l1=0>=83;pD4>k;|l1=0?=83;pD4>k;|l1=0g=83;pD4>k;|l1=0d=83;pD4>k;|l1=0e=83;pD4>k;|l1=0b=83;pD4>k;|l1=0c=83;pD4>k;|l1=0`=83;pD4>k;|l1=36=83;pD4>k;|l1=37=83;pD4>k;|l1=34=83;pD4>k;|l1=35=83;pD4>k;|l1=32=83;pD4>k;|l1=33=83;pD4>k;|l1=30=83;pD4>k;|l1=31=83;pD4>k;|l1=3>=83;pD4>k;|l1=3?=83;pD4>k;|l1=3g=83;pD4>k;|l1=3d=83;pD4>k;|l1=3e=83;pD4>k;|l1=3b=83;pD4>k;|l1=3c=83;pD4>k;|l1=3`=83;pD4>k;|l1=26=83;pD4>k;|l1=27=83;pD4>k;|l1=24=83;pD4>k;|l1=25=83;pD4>k;|l1=22=83;pD4>k;|l1=23=83;pD4>k;|l1=20=83;pD4>k;|l1=21=83;pD4>k;|l1=2>=83;pD4>k;|l1=2?=83;pD4>k;|l1=2g=83;pD4>k;|l1=2d=83;pD4>k;|l1=2e=83;pD4>k;|l1=2b=83;pD4>k;|l1=2c=83;pD4>k;|l1=2`=83;pD4>k;|l1==6=83;pD4>k;|l1==7=83;pD4>k;|l1==4=83;pD4>k;|l1==5=83;pD4>k;|l1==2=83;pD4>k;|l1==3=83;pD4>k;|l1==0=83;pD4>k;|l1==1=83;pD4>k;|l1==>=83;pD4>k;|l1==?=83;pD4>k;|l1==g=83;pD4>k;|l1==d=83;pD4>k;|l1==e=83;pD4>k;|l1==b=83;pD4>k;|l1==c=83;pD4>k;|l1==`=83;pD4>k;|l1=<6=83;pD4>k;|l1=<7=83;pD4>k;|l1=<4=83;pD4>k;|l1=<5=83;pD4>k;|l1=<2=83;pD4>k;|l1=<3=83;pD4>k;|l1=<0=83;pD4>k;|l1=<1=83;pD4>k;|l1=<>=83;pD4>k;|l1=k;|l1=k;|l1=k;|l1=k;|l1=k;|l1=k;|l1=<`=83;pD4>k;|l1=d6=83;pD4>k;|l1=d7=83;pD4>k;|l1=d4=83;pD4>k;|l1=d5=83;pD4>k;|l1=d2=83;pD4>k;|l1=d3=83;pD4>k;|l1=d0=83;pD4>k;|l1=d1=83;pD4>k;|l1=d>=83;pD4>k;|l1=d?=83;pD4>k;|l1=dg=83;pD4>k;|l1=dd=83;pD4>k;|l1=de=83;pD4>k;|l1=db=83;pD4>k;|l1=dc=83;pD4>k;|l1=d`=83;pD4>k;|l1=g6=83;pD4>k;|l1=g7=83;pD4>k;|l1=g4=83;pD4>k;|l1=g5=83;pD4>k;|l1=g2=83;pD4>k;|l1=g3=83;pD4>k;|l1=g0=83;pD4>k;|l1=g1=83;pD4>k;|l1=g>=83;pD4>k;|l1=g?=83;pD4>k;|l1=gg=83;pD4>k;|l1=gd=83;pD4>k;|l1=ge=83;pD4>k;|l1=gb=83;pD4>k;|l1=gc=83;pD4>k;|l1=g`=83;pD4>k;|l1=f6=83;pD4>k;|l1=f7=83;pD4>k;|l1=f4=83;pD4>k;|l1=f5=83;pD4>k;|l1=f2=83;pD4>k;|l1=f3=83;pD4>k;|l1=f0=83;pD4>k;|l1=f1=83;pD4>k;|l1=f>=83;pD4>k;|l1=f?=83;pD4>k;|l1=fg=83;pD4>k;|l1=fd=83;pD4>k;|l1=fe=83;pD4>k;|l1=fb=83;pD4>k;|l1=fc=83;pD4>k;|l1=f`=83;pD4>k;|l1=a6=83;pD4>k;|l1=a7=83;pD4>k;|l1=a4=83;pD4>k;|l1=a5=83;pD4>k;|l1=a2=83;pD4>k;|l1=a3=83;pD4>k;|l1=a0=83;pD4>k;|l1=a1=83;pD4>k;|l1=a>=83;pD4>k;|l1=a?=83;pD4>k;|l1=ag=83;pD4>k;|l1=ad=83;pD4>k;|l1=ae=83;pD4>k;|l1=ab=83;pD4>k;|l1=ac=83;pD4>k;|l1=a`=83;pD4>k;|l1=`6=83;pD4>k;|l1=`7=83;pD4>k;|l1=`4=83;pD4>k;|l1=`5=83;pD4>k;|l1=`2=83;pD4>k;|l1=`3=83;pD4>k;|l1=`0=83;pD4>k;|l1=`1=83;pD4>k;|l1=`>=83;pD4>k;|l1=`?=83;pD4>k;|l1=`g=83;pD4>k;|l1=`d=83;pD4>k;|l1=`e=83;pD4>k;|l1=`b=83;pD4>k;|l1=`c=83;pD4>k;|l1=``=83;pD4>k;|l1=c6=83;pD4>k;|l1=c7=83;pD4>k;|l1=c4=83;pD4>k;|l1=c5=83;pD4>k;|l1=c2=83;pD4>k;|l1=c3=83;pD4>k;|l1=c0=83;pD4>k;|l1=c1=83;pD4>k;|l1=c>=83;pD4>k;|l1=c?=83;pD4>k;|l1=cg=83;pD4>k;|l1=cd=83;pD4>k;|l1=ce=83;pD4>k;|l1=cb=83;pD4>k;|l1=cc=83;pD4>k;|l1=c`=83;pD4>k;|l1e56=83;pD4>k;|l1e57=83;pD4>k;|l1e54=83;pD4>k;|l1e55=83;pD4>k;|l1e52=83;pD4>k;|l1e53=83;pD4>k;|l1e50=83;pD4>k;|l1e51=83;pD4>k;|l1e5>=83;pD4>k;|l1e5?=83;pD4>k;|l1e5g=83;pD4>k;|l1e5d=83;pD4>k;|l1e5e=83;pD4>k;|l1e5b=83;pD4>k;|l1e5c=83;pD4>k;|l1e5`=83;pD4>k;|l1e46=83;pD4>k;|l1e47=83;pD4>k;|l1e44=83;pD4>k;|l1e45=83;pD4>k;|l1e42=83;pD4>k;|l1e43=83;pD4>k;|l1e40=83;pD4>k;|l1e41=83;pD4>k;|l1e4>=83;pD4>k;|l1e4?=83;pD4>k;|l1e4g=83;pD4>k;|l1e4d=83;pD4>k;|l1e4e=83;pD4>k;|l1e4b=83;pD4>k;|l1e4c=83;pD4>k;|l1e4`=83;pD4>k;|l1e76=83;pD4>k;|l1e77=83;pD4>k;|l1e74=83;pD4>k;|l1e75=83;pD4>k;|l1e72=83;pD4>k;|l1e73=83;pD4>k;|l1e70=83;pD4>k;|l1e71=83;pD4>k;|l1e7>=83;pD4>k;|l1e7?=83;pD4>k;|l1e7g=83;pD4>k;|l1e7d=83;pD4>k;|l1e7e=83;pD4>k;|l1e7b=83;pD4>k;|l1e7c=83;pD4>k;|l1e7`=83;pD4>k;|l1e66=83;pD4>k;|l1e67=83;pD4>k;|l1e64=83;pD4>k;|l1e65=83;pD4>k;|l1e62=83;pD4>k;|l1e63=83;pD4>k;|l1e60=83;pD4>k;|l1e61=83;pD4>k;|l1e6>=83;pD4>k;|l1e6?=83;pD4>k;|l1e6g=83;pD4>k;|l1e6d=83;pD4>k;|l1e6e=83;pD4>k;|l1e6b=83;pD4>k;|l1e6c=83;pD4>k;|l1e6`=83;pD4>k;|l1e16=83;pD4>k;|l1e17=83;pD4>k;|l1e14=83;pD4>k;|l1e15=83;pD4>k;|l1e12=83;pD4>k;|l1e13=83;pD4>k;|l1e10=83;pD4>k;|l1e11=83;pD4>k;|l1e1>=83;pD4>k;|l1e1?=83;pD4>k;|l1e1g=83;pD4>k;|l1e1d=83;pD4>k;|l1e1e=83;pD4>k;|l1e1b=83;pD4>k;|l1e1c=83;pD4>k;|l1e1`=83;pD4>k;|l1e06=83;pD4>k;|l1e07=83;pD4>k;|l1e04=83;pD4>k;|l1e05=83;pD4>k;|l1e02=83;pD4>k;|l1e03=83;pD4>k;|l1e00=83;pD4>k;|l1e01=83;pD4>k;|l1e0>=83;pD4>k;|l1e0?=83;pD4>k;|l1e0g=83;pD4>k;|l1e0d=83;pD4>k;|l1e0e=83;pD4>k;|l1e0b=83;pD4>k;|l1e0c=83;pD4>k;|l1e0`=83;pD4>k;|l1e36=83;pD4>k;|l1e37=83;pD4>k;|l1e34=83;pD4>k;|l1e35=83;pD4>k;|l1e32=83;pD4>k;|l1e33=83;pD4>k;|l1e30=83;pD4>k;|l1e31=83;pD4>k;|l1e3>=83;pD4>k;|l1e3?=83;pD4>k;|l1e3g=83;pD4>k;|l1e3d=83;pD4>k;|l1e3e=83;pD4>k;|l1e3b=83;pD4>k;|l1e3c=83;pD4>k;|l1e3`=83;pD4>k;|l1e26=83;pD4>k;|l1e27=83;pD4>k;|l1e24=83;pD4>k;|l1e25=83;pD4>k;|l1e22=83;pD4>k;|l1e23=83;pD4>k;|l1e20=83;pD4>k;|l1e21=83;pD4>k;|l1e2>=83;pD4>k;|l1e2?=83;pD4>k;|l1e2g=83;pD4>k;|l1e2d=83;pD4>k;|l1e2e=83;pD4>k;|l1e2b=83;pD4>k;|l1e2c=83;pD4>k;|l1e2`=83;pD4>k;|l1e=6=83;pD4>k;|l1e=7=83;pD4>k;|l1e=4=83;pD4>k;|l1e=5=83;pD4>k;|l1e=2=83;pD4>k;|l1e=3=83;pD4>k;|l1e=0=83;pD4>k;|l1e=1=83;pD4>k;|l1e=>=83;pD4>k;|l1e=?=83;pD4>k;|l1e=g=83;pD4>k;|l1e=d=83;pD4>k;|l1e=e=83;pD4>k;|l1e=b=83;pD4>k;|l1e=c=83;pD4>k;|l1e=`=83;pD4>k;|l1e<6=83;pD4>k;|l1e<7=83;pD4>k;|l1e<4=83;pD4>k;|l1e<5=83;pD4>k;|l1e<2=83;pD4>k;|l1e<3=83;pD4>k;|l1e<0=83;pD4>k;|l1e<1=83;pD4>k;|l1e<>=83;pD4>k;|l1ek;|l1ek;|l1ek;|l1ek;|l1ek;|l1ek;|l1e<`=83;pD4>k;|l1ed6=83;pD4>k;|l1ed7=83;pD4>k;|l1ed4=83;pD4>k;|l1ed5=83;pD4>k;|l1ed2=83;pD4>k;|l1ed3=83;pD4>k;|l1ed0=83;pD4>k;|l1ed1=83;pD4>k;|l1ed>=83;pD4>k;|l1ed?=83;pD4>k;|l1edg=83;pD4>k;|l1edd=83;pD4>k;|l1ede=83;pD4>k;|l1edb=83;pD4>k;|l1edc=83;pD4>k;|l1ed`=83;pD4>k;|l1eg6=83;pD4>k;|l1eg7=83;pD4>k;|l1eg4=83;pD4>k;|l1eg5=83;pD4>k;|l1eg2=83;pD4>k;|l1eg3=83;pD4>k;|l1eg0=83;pD4>k;|l1eg1=83;pD4>k;|l1eg>=83;pD4>k;|l1eg?=83;pD4>k;|l1egg=83;pD4>k;|l1egd=83;pD4>k;|l1ege=83;pD4>k;|l1egb=83;pD4>k;|l1egc=83;pD4>k;|l1eg`=83;pD4>k;|l1ef6=83;pD4>k;|l1ef7=83;pD4>k;|l1ef4=83;pD4>k;|l1ef5=83;pD4>k;|l1ef2=83;pD4>k;|l1ef3=83;pD4>k;|l1ef0=83;pD4>k;|l1ef1=83;pD4>k;|l1ef>=83;pD4>k;|l1ef?=83;pD4>k;|l1efg=83;pD4>k;|l1efd=83;pD4>k;|l1efe=83;pD4>k;|l1efb=83;pD4>k;|l1efc=83;pD4>k;|l1ef`=83;pD4>k;|l1ea6=83;pD4>k;|l1ea7=83;pD4>k;|l1ea4=83;pD4>k;|l1ea5=83;pD4>k;|l1ea2=83;pD4>k;|l1ea3=83;pD4>k;|l1ea0=83;pD4>k;|l1ea1=83;pD4>k;|l1ea>=83;pD4>k;|l1ea?=83;pD4>k;|l1eag=83;pD4>k;|l1ead=83;pD4>k;|l1eae=83;pD4>k;|l1eab=83;pD4>k;|l1eac=83;pD4>k;|l1ea`=83;pD4>k;|l1e`6=83;pD4>k;|l1e`7=83;pD4>k;|l1e`4=83;pD4>k;|l1e`5=83;pD4>k;|l1e`2=83;pD4>k;|l1e`3=83;pD4>k;|l1e`0=83;pD4>k;|l1e`1=83;pD4>k;|l1e`>=83;pD4>k;|l1e`?=83;pD4>k;|l1e`g=83;pD4>k;|l1e`d=83;pD4>k;|l1e`e=83;pD4>k;|l1e`b=83;pD4>k;|l1e`c=83;pD4>k;|l1e``=83;pD4>k;|l1ec6=83;pD4>k;|l1ec7=83;pD4>k;|l1ec4=83;pD4>k;|l1ec5=83;pD4>k;|l1ec2=83;pD4>k;|l1ec3=83;pD4>k;|l1ec0=83;pD4>k;|l1ec1=83;pD4>k;|l1ec>=83;pD4>k;|l1ec?=83;pD4>k;|l1ecg=83;pD4>k;|l1ecd=83;pD4>k;|l1ece=83;pD4>k;|l1ecb=83;pD4>k;|l1ecc=83;pD4>k;|l1ec`=83;pD4>k;|l1f56=83;pD4>k;|l1f57=83;pD4>k;|l1f54=83;pD4>k;|l1f55=83;pD4>k;|l1f52=83;pD4>k;|l1f53=83;pD4>k;|l1f50=83;pD4>k;|l1f51=83;pD4>k;|l1f5>=83;pD4>k;|l1f5?=83;pD4>k;|l1f5g=83;pD4>k;|l1f5d=83;pD4>k;|l1f5e=83;pD4>k;|l1f5b=83;pD4>k;|l1f5c=83;pD4>k;|l1f5`=83;pD4>k;|l1f46=83;pD4>k;|l1f47=83;pD4>k;|l1f44=83;pD4>k;|l1f45=83;pD4>k;|l1f42=83;pD4>k;|l1f43=83;pD4>k;|l1f40=83;pD4>k;|l1f41=83;pD4>k;|l1f4>=83;pD4>k;|l1f4?=83;pD4>k;|l1f4g=83;pD4>k;|l1f4d=83;pD4>k;|l1f4e=83;pD4>k;|l1f4b=83;pD4>k;|l1f4c=83;pD4>k;|l1f4`=83;pD4>k;|l1f76=83;pD4>k;|l1f77=83;pD4>k;|l1f74=83;pD4>k;|l1f75=83;pD4>k;|l1f72=83;pD4>k;|l1f73=83;pD4>k;|l1f70=83;pD4>k;|l1f71=83;pD4>k;|l1f7>=83;pD4>k;|l1f7?=83;pD4>k;|l1f7g=83;pD4>k;|l1f7d=83;pD4>k;|l1f7e=83;pD4>k;|l1f7b=83;pD4>k;|l1f7c=83;pD4>k;|l1f7`=83;pD4>k;|l1f66=83;pD4>k;|l1f67=83;pD4>k;|l1f64=83;pD4>k;|l1f65=83;pD4>k;|l1f62=83;pD4>k;|l1f63=83;pD4>k;|l1f60=83;pD4>k;|l1f61=83;pD4>k;|l1f6>=83;pD4>k;|l1f6?=83;pD4>k;|l1f6g=83;pD4>k;|l1f6d=83;pD4>k;|l1f6e=83;pD4>k;|l1f6b=83;pD4>k;|l1f6c=83;pD4>k;|l1f6`=83;pD4>k;|l1f16=83;pD4>k;|l1f17=83;pD4>k;|l1f14=83;pD4>k;|l1f15=83;pD4>k;|l1f12=83;pD4>k;|l1f13=83;pD4>k;|l1f10=83;pD4>k;|l1f11=83;pD4>k;|l1f1>=83;pD4>k;|l1f1?=83;pD4>k;|l1f1g=83;pD4>k;|l1f1d=83;pD4>k;|l1f1e=83;pD4>k;|l1f1b=83;pD4>k;|l1f1c=83;pD4>k;|l1f1`=83;pD4>k;|l1f06=83;pD4>k;|l1f07=83;pD4>k;|l1f04=83;pD4>k;|l1f05=83;pD4>k;|l1f02=83;pD4>k;|l1f03=83;pD4>k;|l1f00=83;pD4>k;|l1f01=83;pD4>k;|l1f0>=83;pD4>k;|l1f0?=83;pD4>k;|l1f0g=83;pD4>k;|l1f0d=83;pD4>k;|l1f0e=83;pD4>k;|l1f0b=83;pD4>k;|l1f0c=83;pD4>k;|l1f0`=83;pD4>k;|l1f36=83;pD4>k;|l1f37=83;pD4>k;|l1f34=83;pD4>k;|l1f35=83;pD4>k;|l1f32=83;pD4>k;|l1f33=83;pD4>k;|l1f30=83;pD4>k;|l1f31=83;pD4>k;|l1f3>=83;pD4>k;|l1f3?=83;pD4>k;|l1f3g=83;pD4>k;|l1f3d=83;pD4>k;|l1f3e=83;pD4>k;|l1f3b=83;pD4>k;|l1f3c=83;pD4>k;|l1f3`=83;pD4>k;|l1f26=83;pD4>k;|l1f27=83;pD4>k;|l1f24=83;pD4>k;|l1f25=83;pD4>k;|l1f22=83;pD4>k;|l1f23=83;pD4>k;|l1f20=83;pD4>k;|l1f21=83;pD4>k;|l1f2>=83;pD4>k;|l1f2?=83;pD4>k;|l1f2g=83;pD4>k;|l1f2d=83;pD4>k;|l1f2e=83;pD4>k;|l1f2b=83;pD4>k;|l1f2c=83;pD4>k;|l1f2`=83;pD4>k;|l1f=6=83;pD4>k;|l1f=7=83;pD4>k;|l1f=4=83;pD4>k;|l1f=5=83;pD4>k;|l1f=2=83;pD4>k;|l1f=3=83;pD4>k;|l1f=0=83;pD4>k;|l1f=1=83;pD4>k;|l1f=>=83;pD4>k;|l1f=?=83;pD4>k;|l1f=g=83;pD4>k;|l1f=d=83;pD4>k;|l1f=e=83;pD4>k;|l1f=b=83;pD4>k;|l1f=c=83;pD4>k;|l1f=`=83;pD4>k;|l1f<6=83;pD4>k;|l1f<7=83;pD4>k;|l1f<4=83;pD4>k;|l1f<5=83;pD4>k;|l1f<2=83;pD4>k;|l1f<3=83;pD4>k;|l1f<0=83;pD4>k;|l1f<1=83;pD4>k;|l1f<>=83;pD4>k;|l1fk;|l1fk;|l1fk;|l1fk;|l1fk;|l1fk;|l1f<`=83;pD4>k;|l1fd6=83;pD4>k;|l1fd7=83;pD4>k;|l1fd4=83;pD4>k;|l1fd5=83;pD4>k;|l1fd2=83;pD4>k;|l1fd3=83;pD4>k;|l1fd0=83;pD4>k;|~yEFDs;l8h7?8a537<={GHKq;qMN_{|BC \ No newline at end of file diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ucf b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ucf new file mode 100644 index 000000000..e1ce12a9d --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ucf @@ -0,0 +1,17 @@ +# +# Clock constraints +# +NET "CLK" TNM_NET = D_CLK ; +INST "U0/*/U_STAT/U_DIRTY_LDC" TNM = D2_CLK; +TIMESPEC TS_D2_TO_T2_b200_chipscope_ila = FROM D2_CLK TO "FFS" TIG; +TIMESPEC TS_J2_TO_D2_b200_chipscope_ila = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J3_TO_D2_b200_chipscope_ila = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J4_TO_D2_b200_chipscope_ila = FROM "FFS" TO D2_CLK TIG; + +# +# Input keep/save net constraints +# +NET "TRIG0<*" S; +NET "TRIG0<*" KEEP; +NET "DATA<*" S; +NET "DATA<*" KEEP; diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.v b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.v new file mode 100644 index 000000000..a9abb90e8 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.v @@ -0,0 +1,33 @@ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 2013 Xilinx, Inc. +// All Rights Reserved +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 14.4 +// \ \ Application: Xilinx CORE Generator +// / / Filename : b200_chipscope_ila.v +// /___/ /\ Timestamp : Tue Feb 19 16:52:47 PST 2013 +// \ \ / \ +// \___\/\___\ +// +// Design Name: Verilog Synthesis Wrapper +/////////////////////////////////////////////////////////////////////////////// +// This wrapper is used to integrate with Project Navigator and PlanAhead + +`timescale 1ns/1ps + +module b200_chipscope_ila( + CONTROL, + CLK, + DATA, + TRIG0) /* synthesis syn_black_box syn_noprune=1 */; + + +inout [35 : 0] CONTROL; +input CLK; +input [63 : 0] DATA; +input [7 : 0] TRIG0; + +endmodule diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.veo b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.veo new file mode 100644 index 000000000..f72d6853c --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.veo @@ -0,0 +1,31 @@ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 2013 Xilinx, Inc. +// All Rights Reserved +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 14.4 +// \ \ Application: Xilinx CORE Generator +// / / Filename : b200_chipscope_ila.veo +// /___/ /\ Timestamp : Tue Feb 19 16:52:47 PST 2013 +// \ \ / \ +// \___\/\___\ +// +// Design Name: ISE Instantiation template +/////////////////////////////////////////////////////////////////////////////// + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +b200_chipscope_ila YourInstanceName ( + .CONTROL(CONTROL), // INOUT BUS [35:0] + .CLK(CLK), // IN + .DATA(DATA), // IN BUS [63:0] + .TRIG0(TRIG0) // IN BUS [7:0] +); + +// INST_TAG_END ------ End INSTANTIATION Template --------- + diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.xco b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.xco new file mode 100644 index 000000000..ecae96127 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.xco @@ -0,0 +1,141 @@ +############################################################## +# +# Xilinx Core Generator version 14.4 +# Date: Wed Feb 20 00:50:54 2013 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:chipscope_ila:1.05.a +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx75 +SET devicefamily = spartan6 +SET flowvendor = Foundation_ISE +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = fgg484 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT ILA_(ChipScope_Pro_-_Integrated_Logic_Analyzer) family Xilinx,_Inc. 1.05.a +# END Select +# BEGIN Parameters +CSET check_bramcount=false +CSET component_name=b200_chipscope_ila +CSET constraint_type=external +CSET counter_width_1=Disabled +CSET counter_width_10=Disabled +CSET counter_width_11=Disabled +CSET counter_width_12=Disabled +CSET counter_width_13=Disabled +CSET counter_width_14=Disabled +CSET counter_width_15=Disabled +CSET counter_width_16=Disabled +CSET counter_width_2=Disabled +CSET counter_width_3=Disabled +CSET counter_width_4=Disabled +CSET counter_width_5=Disabled +CSET counter_width_6=Disabled +CSET counter_width_7=Disabled +CSET counter_width_8=Disabled +CSET counter_width_9=Disabled +CSET data_port_width=64 +CSET data_same_as_trigger=false +CSET disable_save_keep=false +CSET enable_storage_qualification=true +CSET enable_trigger_output_port=false +CSET example_design=false +CSET exclude_from_data_storage_1=true +CSET exclude_from_data_storage_10=true +CSET exclude_from_data_storage_11=true +CSET exclude_from_data_storage_12=true +CSET exclude_from_data_storage_13=true +CSET exclude_from_data_storage_14=true +CSET exclude_from_data_storage_15=true +CSET exclude_from_data_storage_16=true +CSET exclude_from_data_storage_2=true +CSET exclude_from_data_storage_3=true +CSET exclude_from_data_storage_4=true +CSET exclude_from_data_storage_5=true +CSET exclude_from_data_storage_6=true +CSET exclude_from_data_storage_7=true +CSET exclude_from_data_storage_8=true +CSET exclude_from_data_storage_9=true +CSET match_type_1=basic_with_edges +CSET match_type_10=basic_with_edges +CSET match_type_11=basic_with_edges +CSET match_type_12=basic_with_edges +CSET match_type_13=basic_with_edges +CSET match_type_14=basic_with_edges +CSET match_type_15=basic_with_edges +CSET match_type_16=basic_with_edges +CSET match_type_2=basic_with_edges +CSET match_type_3=basic_with_edges +CSET match_type_4=basic_with_edges +CSET match_type_5=basic_with_edges +CSET match_type_6=basic_with_edges +CSET match_type_7=basic_with_edges +CSET match_type_8=basic_with_edges +CSET match_type_9=basic_with_edges +CSET match_units_1=1 +CSET match_units_10=1 +CSET match_units_11=1 +CSET match_units_12=1 +CSET match_units_13=1 +CSET match_units_14=1 +CSET match_units_15=1 +CSET match_units_16=1 +CSET match_units_2=1 +CSET match_units_3=1 +CSET match_units_4=1 +CSET match_units_5=1 +CSET match_units_6=1 +CSET match_units_7=1 +CSET match_units_8=1 +CSET match_units_9=1 +CSET max_sequence_levels=1 +CSET number_of_trigger_ports=1 +CSET sample_data_depth=8192 +CSET sample_on=Rising +CSET trigger_port_width_1=8 +CSET trigger_port_width_10=8 +CSET trigger_port_width_11=8 +CSET trigger_port_width_12=8 +CSET trigger_port_width_13=8 +CSET trigger_port_width_14=8 +CSET trigger_port_width_15=8 +CSET trigger_port_width_16=8 +CSET trigger_port_width_2=8 +CSET trigger_port_width_3=8 +CSET trigger_port_width_4=8 +CSET trigger_port_width_5=8 +CSET trigger_port_width_6=8 +CSET trigger_port_width_7=8 +CSET trigger_port_width_8=8 +CSET trigger_port_width_9=8 +CSET use_rpms=false +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2012-12-18T02:47:40Z +# END Extra information +GENERATE +# CRC: 92d75d38 diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.xdc b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.xdc new file mode 100644 index 000000000..49e2b9e7b --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.xdc @@ -0,0 +1,6 @@ +# +# Clock constraints +# +set_false_path -from [get_cells U0/*/U_STAT/U_DIRTY_LDC] -to [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] +set_false_path -from [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC] +set_false_path -from [get_cells U0/*/U_RST/U_ARM_XFER/U_GEN_DELAY[3].U_FD] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC] diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila_flist.txt b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila_flist.txt new file mode 100644 index 000000000..4dfd1b082 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila_flist.txt @@ -0,0 +1,18 @@ +# Output products list for +_xmsgs/pn_parser.xmsgs +b200_chipscope_ila.asy +b200_chipscope_ila.cdc +b200_chipscope_ila.constraints/b200_chipscope_ila.ucf +b200_chipscope_ila.constraints/b200_chipscope_ila.xdc +b200_chipscope_ila.gise +b200_chipscope_ila.ncf +b200_chipscope_ila.ngc +b200_chipscope_ila.ucf +b200_chipscope_ila.v +b200_chipscope_ila.veo +b200_chipscope_ila.xco +b200_chipscope_ila.xdc +b200_chipscope_ila.xise +b200_chipscope_ila_flist.txt +b200_chipscope_ila_readme.txt +b200_chipscope_ila_xmdf.tcl diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila_readme.txt b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila_readme.txt new file mode 100644 index 000000000..28176abfb --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila_readme.txt @@ -0,0 +1,48 @@ +The following files were generated for 'b200_chipscope_ila' in directory +/home/bhilburn/code/ettus/b200_dev/fpgapriv.git/usrp3/top/b200/coregen/ + +XCO file generator: + Generate an XCO file for compatibility with legacy flows. + + * b200_chipscope_ila.xco + +Creates an implementation netlist: + Creates an implementation netlist for the IP. + + * b200_chipscope_ila.cdc + * b200_chipscope_ila.constraints/b200_chipscope_ila.ucf + * b200_chipscope_ila.constraints/b200_chipscope_ila.xdc + * b200_chipscope_ila.ncf + * b200_chipscope_ila.ngc + * b200_chipscope_ila.ucf + * b200_chipscope_ila.v + * b200_chipscope_ila.veo + * b200_chipscope_ila.xdc + * b200_chipscope_ila_xmdf.tcl + +IP Symbol Generator: + Generate an IP symbol based on the current project options'. + + * b200_chipscope_ila.asy + +Generate ISE subproject: + Create an ISE subproject for use when including this core in ISE designs + + * _xmsgs/pn_parser.xmsgs + * b200_chipscope_ila.gise + * b200_chipscope_ila.xise + +Deliver Readme: + Readme file for the IP. + + * b200_chipscope_ila_readme.txt + +Generate FLIST file: + Text file listing all of the output files produced when a customized core was + generated in the CORE Generator. + + * b200_chipscope_ila_flist.txt + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila_xmdf.tcl b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila_xmdf.tcl new file mode 100755 index 000000000..a0922f3ec --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila_xmdf.tcl @@ -0,0 +1,87 @@ +# The package naming convention is _xmdf +package provide b200_chipscope_ila_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::b200_chipscope_ila_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::b200_chipscope_ila_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name b200_chipscope_ila +} +# ::b200_chipscope_ila_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::b200_chipscope_ila_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_ila.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_ila.cdc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_ila.constraints/b200_chipscope_ila.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ucf +incr fcount + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_ila.ncf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ncf +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_ila.constraints/b200_chipscope_ila.xdc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Xdc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_ila.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_ila.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_ila.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_ila.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_ila_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module b200_chipscope_ila +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams + diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen.asy b/fpga/usrp3/top/b200/coregen/b200_clk_gen.asy new file mode 100644 index 000000000..aad58f8d2 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen.asy @@ -0,0 +1,33 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 b200_clk_gen +RECTANGLE Normal 32 32 576 1088 +LINE Normal 0 112 32 112 +PIN 0 112 LEFT 36 +PINATTR PinName clk_in1_p +PINATTR Polarity IN +LINE Normal 0 144 32 144 +PIN 0 144 LEFT 36 +PINATTR PinName clk_in1_n +PINATTR Polarity IN +LINE Normal 0 432 32 432 +PIN 0 432 LEFT 36 +PINATTR PinName reset +PINATTR Polarity IN +LINE Normal 608 80 576 80 +PIN 608 80 RIGHT 36 +PINATTR PinName clk_out1 +PINATTR Polarity OUT +LINE Normal 608 176 576 176 +PIN 608 176 RIGHT 36 +PINATTR PinName clk_out2 +PINATTR Polarity OUT +LINE Normal 608 272 576 272 +PIN 608 272 RIGHT 36 +PINATTR PinName clk_out3 +PINATTR Polarity OUT +LINE Normal 608 976 576 976 +PIN 608 976 RIGHT 36 +PINATTR PinName locked +PINATTR Polarity OUT + diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen.ucf b/fpga/usrp3/top/b200/coregen/b200_clk_gen.ucf new file mode 100755 index 000000000..e3776c72b --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen.ucf @@ -0,0 +1,72 @@ +# file: b200_clk_gen.ucf +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# Input clock periods. These duplicate the values entered for the +# input clocks. You can use these to time your system +#---------------------------------------------------------------- +# Differential clock only needs one constraint +NET "CLK_IN1_P" TNM_NET = "CLK_IN1_P"; +TIMESPEC "TS_CLK_IN1_P" = PERIOD "CLK_IN1_P" 25.0 ns HIGH 50% INPUT_JITTER 250.0ps; + +# Derived clock periods. These are commented out because they are +# automatically propogated by the tools +# However, if you'd like to use them for module level testing, you +# can copy them into your module level timing checks +#----------------------------------------------------------------- +# NET "clk_int[1]" TNM_NET = "CLK_OUT1"; +# TIMESPEC "TS_CLK_OUT1" = PERIOD "CLK_OUT1" 40.000 MHz; + +# NET "clk_int[2]" TNM_NET = "CLK_OUT2"; +# TIMESPEC "TS_CLK_OUT2" = PERIOD "CLK_OUT2" 100.000 MHz; +# NET "clk_int[3]" TNM_NET = "CLK_OUT3"; +# TIMESPEC "TS_CLK_OUT3" = PERIOD "CLK_OUT3" 100.000 MHz; + +# FALSE PATH constraints +PIN "RESET" TIG; + diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen.v b/fpga/usrp3/top/b200/coregen/b200_clk_gen.v new file mode 100755 index 000000000..bb9a57dc2 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen.v @@ -0,0 +1,163 @@ +// file: b200_clk_gen.v +// +// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +//---------------------------------------------------------------------------- +// User entered comments +//---------------------------------------------------------------------------- +// None +// +//---------------------------------------------------------------------------- +// "Output Output Phase Duty Pk-to-Pk Phase" +// "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" +//---------------------------------------------------------------------------- +// CLK_OUT1____40.000______0.000______50.0______200.000____150.000 +// CLK_OUT2___100.000______0.000______50.0______400.000____150.000 +// CLK_OUT3___100.000______0.000______50.0______400.000____150.000 +// +//---------------------------------------------------------------------------- +// "Input Clock Freq (MHz) Input Jitter (UI)" +//---------------------------------------------------------------------------- +// __primary__________40.000____________0.010 + +`timescale 1ps/1ps + +(* CORE_GENERATION_INFO = "b200_clk_gen,clk_wiz_v3_6,{component_name=b200_clk_gen,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=3,clkin1_period=25.0,clkin2_period=25.0,use_power_down=false,use_reset=true,use_locked=true,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}" *) +module b200_clk_gen + (// Clock in ports + input CLK_IN1_40_P, + input CLK_IN1_40_N, + // Clock out ports + output CLK_OUT1_40_int, + output CLK_OUT2_100_gpif, + output CLK_OUT3_100_bus, + // Status and control signals + input RESET, + output LOCKED + ); + + // Input buffering + //------------------------------------ + IBUFGDS clkin1_buf + (.O (clkin1), + .I (CLK_IN1_40_P), + .IB (CLK_IN1_40_N)); + + + // Clocking primitive + //------------------------------------ + + // Instantiation of the DCM primitive + // * Unused inputs are tied off + // * Unused outputs are labeled unused + wire psdone_unused; + wire locked_int; + wire [7:0] status_int; + wire clkfb; + wire clk0; + wire clkfx; + + DCM_SP + #(.CLKDV_DIVIDE (2.000), + .CLKFX_DIVIDE (2), + .CLKFX_MULTIPLY (5), + .CLKIN_DIVIDE_BY_2 ("FALSE"), + .CLKIN_PERIOD (25.0), + .CLKOUT_PHASE_SHIFT ("NONE"), + .CLK_FEEDBACK ("1X"), + .DESKEW_ADJUST ("SYSTEM_SYNCHRONOUS"), + .PHASE_SHIFT (0), + .STARTUP_WAIT ("FALSE")) + dcm_sp_inst + // Input clock + (.CLKIN (clkin1), + .CLKFB (clkfb), + // Output clocks + .CLK0 (clk0), + .CLK90 (), + .CLK180 (), + .CLK270 (), + .CLK2X (), + .CLK2X180 (), + .CLKFX (clkfx), + .CLKFX180 (), + .CLKDV (), + // Ports for dynamic phase shift + .PSCLK (1'b0), + .PSEN (1'b0), + .PSINCDEC (1'b0), + .PSDONE (), + // Other control and status signals + .LOCKED (locked_int), + .STATUS (status_int), + + .RST (RESET), + // Unused pin- tie low + .DSSEN (1'b0)); + + assign LOCKED = locked_int; + + // Output buffering + //----------------------------------- + assign clkfb = CLK_OUT1_40_int; + + BUFG clkout1_buf + (.O (CLK_OUT1_40_int), + .I (clk0)); + + + BUFG clkout2_buf + (.O (CLK_OUT2_100_gpif), + .I (clkfx)); + + BUFG clkout3_buf + (.O (CLK_OUT3_100_bus), + .I (clkfx)); + + + +endmodule diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen.veo b/fpga/usrp3/top/b200/coregen/b200_clk_gen.veo new file mode 100755 index 000000000..111c02991 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen.veo @@ -0,0 +1,83 @@ +// +// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +//---------------------------------------------------------------------------- +// User entered comments +//---------------------------------------------------------------------------- +// None +// +//---------------------------------------------------------------------------- +// "Output Output Phase Duty Pk-to-Pk Phase" +// "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" +//---------------------------------------------------------------------------- +// CLK_OUT1____40.000______0.000______50.0______200.000____150.000 +// CLK_OUT2___100.000______0.000______50.0______400.000____150.000 +// CLK_OUT3___100.000______0.000______50.0______400.000____150.000 +// +//---------------------------------------------------------------------------- +// "Input Clock Freq (MHz) Input Jitter (UI)" +//---------------------------------------------------------------------------- +// __primary__________40.000____________0.010 + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG + + b200_clk_gen instance_name + (// Clock in ports + .CLK_IN1_40_P(CLK_IN1_40_P), // IN + .CLK_IN1_40_N(CLK_IN1_40_N), // IN + // Clock out ports + .CLK_OUT1_40_int(CLK_OUT1_40_int), // OUT + .CLK_OUT2_100_gpif(CLK_OUT2_100_gpif), // OUT + .CLK_OUT3_100_bus(CLK_OUT3_100_bus), // OUT + // Status and control signals + .RESET(RESET),// IN + .LOCKED(LOCKED)); // OUT +// INST_TAG_END ------ End INSTANTIATION Template --------- diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen.xco b/fpga/usrp3/top/b200/coregen/b200_clk_gen.xco new file mode 100644 index 000000000..96c8193b3 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen.xco @@ -0,0 +1,269 @@ +############################################################## +# +# Xilinx Core Generator version 14.4 +# Date: Fri Jan 25 20:00:48 2013 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:clk_wiz:3.6 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx75 +SET devicefamily = spartan6 +SET flowvendor = Other +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = csg484 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -2 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT Clocking_Wizard xilinx.com:ip:clk_wiz:3.6 +# END Select +# BEGIN Parameters +CSET calc_done=DONE +CSET clk_in_sel_port=CLK_IN_SEL +CSET clk_out1_port=CLK_OUT1_40_int +CSET clk_out1_use_fine_ps_gui=false +CSET clk_out2_port=CLK_OUT2_100_gpif +CSET clk_out2_use_fine_ps_gui=false +CSET clk_out3_port=CLK_OUT3_100_bus +CSET clk_out3_use_fine_ps_gui=false +CSET clk_out4_port=CLK_OUT4 +CSET clk_out4_use_fine_ps_gui=false +CSET clk_out5_port=CLK_OUT5 +CSET clk_out5_use_fine_ps_gui=false +CSET clk_out6_port=CLK_OUT6 +CSET clk_out6_use_fine_ps_gui=false +CSET clk_out7_port=CLK_OUT7 +CSET clk_out7_use_fine_ps_gui=false +CSET clk_valid_port=CLK_VALID +CSET clkfb_in_n_port=CLKFB_IN_N +CSET clkfb_in_p_port=CLKFB_IN_P +CSET clkfb_in_port=CLKFB_IN +CSET clkfb_in_signaling=SINGLE +CSET clkfb_out_n_port=CLKFB_OUT_N +CSET clkfb_out_p_port=CLKFB_OUT_P +CSET clkfb_out_port=CLKFB_OUT +CSET clkfb_stopped_port=CLKFB_STOPPED +CSET clkin1_jitter_ps=250.0 +CSET clkin1_ui_jitter=0.010 +CSET clkin2_jitter_ps=100.0 +CSET clkin2_ui_jitter=0.010 +CSET clkout1_drives=BUFG +CSET clkout1_requested_duty_cycle=50.000 +CSET clkout1_requested_out_freq=40.000 +CSET clkout1_requested_phase=0.000 +CSET clkout2_drives=BUFG +CSET clkout2_requested_duty_cycle=50.000 +CSET clkout2_requested_out_freq=100.000 +CSET clkout2_requested_phase=0.000 +CSET clkout2_used=true +CSET clkout3_drives=BUFG +CSET clkout3_requested_duty_cycle=50.000 +CSET clkout3_requested_out_freq=100.000 +CSET clkout3_requested_phase=0.000 +CSET clkout3_used=true +CSET clkout4_drives=BUFG +CSET clkout4_requested_duty_cycle=50.000 +CSET clkout4_requested_out_freq=100.000 +CSET clkout4_requested_phase=0.000 +CSET clkout4_used=false +CSET clkout5_drives=BUFG +CSET clkout5_requested_duty_cycle=50.000 +CSET clkout5_requested_out_freq=100.000 +CSET clkout5_requested_phase=0.000 +CSET clkout5_used=false +CSET clkout6_drives=BUFG +CSET clkout6_requested_duty_cycle=50.000 +CSET clkout6_requested_out_freq=100.000 +CSET clkout6_requested_phase=0.000 +CSET clkout6_used=false +CSET clkout7_drives=BUFG +CSET clkout7_requested_duty_cycle=50.000 +CSET clkout7_requested_out_freq=100.000 +CSET clkout7_requested_phase=0.000 +CSET clkout7_used=false +CSET clock_mgr_type=AUTO +CSET component_name=b200_clk_gen +CSET daddr_port=DADDR +CSET dclk_port=DCLK +CSET dcm_clk_feedback=1X +CSET dcm_clk_out1_port=CLK0 +CSET dcm_clk_out2_port=CLKFX +CSET dcm_clk_out3_port=CLKFX +CSET dcm_clk_out4_port=CLK0 +CSET dcm_clk_out5_port=CLK0 +CSET dcm_clk_out6_port=CLK0 +CSET dcm_clkdv_divide=2.0 +CSET dcm_clkfx_divide=2 +CSET dcm_clkfx_multiply=5 +CSET dcm_clkgen_clk_out1_port=CLKFX +CSET dcm_clkgen_clk_out2_port=CLKFX +CSET dcm_clkgen_clk_out3_port=CLKFX +CSET dcm_clkgen_clkfx_divide=1 +CSET dcm_clkgen_clkfx_md_max=0.000 +CSET dcm_clkgen_clkfx_multiply=4 +CSET dcm_clkgen_clkfxdv_divide=2 +CSET dcm_clkgen_clkin_period=10.000 +CSET dcm_clkgen_notes=None +CSET dcm_clkgen_spread_spectrum=NONE +CSET dcm_clkgen_startup_wait=false +CSET dcm_clkin_divide_by_2=false +CSET dcm_clkin_period=25.000 +CSET dcm_clkout_phase_shift=NONE +CSET dcm_deskew_adjust=SYSTEM_SYNCHRONOUS +CSET dcm_notes=None +CSET dcm_phase_shift=0 +CSET dcm_pll_cascade=NONE +CSET dcm_startup_wait=false +CSET den_port=DEN +CSET din_port=DIN +CSET dout_port=DOUT +CSET drdy_port=DRDY +CSET dwe_port=DWE +CSET feedback_source=FDBK_AUTO +CSET in_freq_units=Units_MHz +CSET in_jitter_units=Units_UI +CSET input_clk_stopped_port=INPUT_CLK_STOPPED +CSET jitter_options=UI +CSET jitter_sel=No_Jitter +CSET locked_port=LOCKED +CSET mmcm_bandwidth=OPTIMIZED +CSET mmcm_clkfbout_mult_f=4.000 +CSET mmcm_clkfbout_phase=0.000 +CSET mmcm_clkfbout_use_fine_ps=false +CSET mmcm_clkin1_period=10.000 +CSET mmcm_clkin2_period=10.000 +CSET mmcm_clkout0_divide_f=4.000 +CSET mmcm_clkout0_duty_cycle=0.500 +CSET mmcm_clkout0_phase=0.000 +CSET mmcm_clkout0_use_fine_ps=false +CSET mmcm_clkout1_divide=1 +CSET mmcm_clkout1_duty_cycle=0.500 +CSET mmcm_clkout1_phase=0.000 +CSET mmcm_clkout1_use_fine_ps=false +CSET mmcm_clkout2_divide=1 +CSET mmcm_clkout2_duty_cycle=0.500 +CSET mmcm_clkout2_phase=0.000 +CSET mmcm_clkout2_use_fine_ps=false +CSET mmcm_clkout3_divide=1 +CSET mmcm_clkout3_duty_cycle=0.500 +CSET mmcm_clkout3_phase=0.000 +CSET mmcm_clkout3_use_fine_ps=false +CSET mmcm_clkout4_cascade=false +CSET mmcm_clkout4_divide=1 +CSET mmcm_clkout4_duty_cycle=0.500 +CSET mmcm_clkout4_phase=0.000 +CSET mmcm_clkout4_use_fine_ps=false +CSET mmcm_clkout5_divide=1 +CSET mmcm_clkout5_duty_cycle=0.500 +CSET mmcm_clkout5_phase=0.000 +CSET mmcm_clkout5_use_fine_ps=false +CSET mmcm_clkout6_divide=1 +CSET mmcm_clkout6_duty_cycle=0.500 +CSET mmcm_clkout6_phase=0.000 +CSET mmcm_clkout6_use_fine_ps=false +CSET mmcm_clock_hold=false +CSET mmcm_compensation=ZHOLD +CSET mmcm_divclk_divide=1 +CSET mmcm_notes=None +CSET mmcm_ref_jitter1=0.010 +CSET mmcm_ref_jitter2=0.010 +CSET mmcm_startup_wait=false +CSET num_out_clks=3 +CSET override_dcm=false +CSET override_dcm_clkgen=false +CSET override_mmcm=false +CSET override_pll=false +CSET platform=lin64 +CSET pll_bandwidth=OPTIMIZED +CSET pll_clk_feedback=CLKFBOUT +CSET pll_clkfbout_mult=4 +CSET pll_clkfbout_phase=0.000 +CSET pll_clkin_period=10.000 +CSET pll_clkout0_divide=1 +CSET pll_clkout0_duty_cycle=0.500 +CSET pll_clkout0_phase=0.000 +CSET pll_clkout1_divide=1 +CSET pll_clkout1_duty_cycle=0.500 +CSET pll_clkout1_phase=0.000 +CSET pll_clkout2_divide=1 +CSET pll_clkout2_duty_cycle=0.500 +CSET pll_clkout2_phase=0.000 +CSET pll_clkout3_divide=1 +CSET pll_clkout3_duty_cycle=0.500 +CSET pll_clkout3_phase=0.000 +CSET pll_clkout4_divide=1 +CSET pll_clkout4_duty_cycle=0.500 +CSET pll_clkout4_phase=0.000 +CSET pll_clkout5_divide=1 +CSET pll_clkout5_duty_cycle=0.500 +CSET pll_clkout5_phase=0.000 +CSET pll_compensation=SYSTEM_SYNCHRONOUS +CSET pll_divclk_divide=1 +CSET pll_notes=None +CSET pll_ref_jitter=0.010 +CSET power_down_port=POWER_DOWN +CSET prim_in_freq=40.000 +CSET prim_in_jitter=0.010 +CSET prim_source=Differential_clock_capable_pin +CSET primary_port=CLK_IN1_40 +CSET primitive=MMCM +CSET primtype_sel=PLL_BASE +CSET psclk_port=PSCLK +CSET psdone_port=PSDONE +CSET psen_port=PSEN +CSET psincdec_port=PSINCDEC +CSET relative_inclk=REL_PRIMARY +CSET reset_port=RESET +CSET secondary_in_freq=100.000 +CSET secondary_in_jitter=0.010 +CSET secondary_port=CLK_IN2 +CSET secondary_source=Single_ended_clock_capable_pin +CSET ss_mod_freq=250 +CSET ss_mode=CENTER_HIGH +CSET status_port=STATUS +CSET summary_strings=empty +CSET use_clk_valid=false +CSET use_clkfb_stopped=false +CSET use_dyn_phase_shift=false +CSET use_dyn_reconfig=false +CSET use_freeze=false +CSET use_freq_synth=true +CSET use_inclk_stopped=false +CSET use_inclk_switchover=false +CSET use_locked=true +CSET use_max_i_jitter=false +CSET use_min_o_jitter=false +CSET use_min_power=false +CSET use_phase_alignment=true +CSET use_power_down=false +CSET use_reset=true +CSET use_spread_spectrum=false +CSET use_spread_spectrum_1=false +CSET use_status=false +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2012-05-10T12:44:55Z +# END Extra information +GENERATE +# CRC: af7323ea diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen.xdc b/fpga/usrp3/top/b200/coregen/b200_clk_gen.xdc new file mode 100755 index 000000000..d57c7eec7 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen.xdc @@ -0,0 +1,68 @@ +# file: b200_clk_gen.xdc +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# Input clock periods. These duplicate the values entered for the +# input clocks. You can use these to time your system +#---------------------------------------------------------------- +# Differential clock only needs one constraint +create_clock -name CLK_IN1_P -period 25.0 [get_ports CLK_IN1_P] +set_propagated_clock CLK_IN1_P +set_input_jitter CLK_IN1_P 0.25 + +set_false_path -from [get_ports "RESET"] + +# Derived clock periods. These are commented out because they are +# automatically propogated by the tools +# However, if you'd like to use them for module level testing, you +# can copy them into your module level timing checks +#----------------------------------------------------------------- + +#----------------------------------------------------------------- + +#----------------------------------------------------------------- diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/clk_wiz_v3_6_readme.txt b/fpga/usrp3/top/b200/coregen/b200_clk_gen/clk_wiz_v3_6_readme.txt new file mode 100644 index 000000000..19c5b73c6 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/clk_wiz_v3_6_readme.txt @@ -0,0 +1,181 @@ +CHANGE LOG for LogiCORE Clocking Wizard V3.6 + + Release Date: July 25, 2012 +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURE HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm + +For system requirements: + + http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm + +This file contains release notes for the Xilinx LogiCORE IP Clocking Wizard v3.6 +solution. For the latest core updates, see the product page at: + + http://www.xilinx.com/products/design_resources/conn_central/solution_kits/wizards/ + +................................................................................ + +2. DEVICE SUPPORT + + + 2.1 ISE + + + The following device families are supported by the core for this release. + + All 7 Series devices + + + Zynq-7000 devices + Zynq-7000 + Defense Grade Zynq-7000Q (XQ) + + + All Virtex-6 devices + + + All Spartan-6 devices + + +................................................................................ + +3. NEW FEATURE HISTORY + + + 3.1 ISE + + - Spread Spectrum support for 7 series MMCME2 + + - ISE 14.2 software support + +................................................................................ + +4. RESOLVED ISSUES + + + 4.1 ISE + + Resolved issue with Virtex6 MMCM instantiation for VHDL project + Please refer to AR 50719 - http://www.xilinx.com/support/answers/50719.htm + +................................................................................ + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + + The most recent information, including known issues, workarounds, and + resolutions for this version is provided in the IP Release Notes Guide + located at + + www.xilinx.com/support/documentation/user_guides/xtp025.pdf + + +................................................................................ + +6. TECHNICAL SUPPORT & FEEDBACK + + +To obtain technical support, create a WebCase at www.xilinx.com/support. +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + + +................................................................................ + +7. CORE RELEASE HISTORY + + +Date By Version Description +================================================================================ +10/16/2012 Xilinx, Inc. 3.6(Rev2) ISE 14.3 support +07/25/2012 Xilinx, Inc. 3.6 ISE 14.2 support +04/24/2012 Xilinx, Inc. 3.5 ISE 14.1 support +01/18/2012 Xilinx, Inc. 3.3 ISE 13.4 support +06/22/2011 Xilinx, Inc. 3.2 ISE 13.2 support +03/01/2011 Xilinx, Inc. 3.1 ISE 13.1 support +12/14/2010 Xilinx, Inc. 1.8 ISE 12.4 support +09/21/2010 Xilinx, Inc. 1.7 ISE 12.3 support +07/23/2010 Xilinx, Inc. 1.6 ISE 12.2 support +04/19/2010 Xilinx, Inc. 1.5 ISE 12.1 support +12/02/2009 Xilinx, Inc. 1.4 ISE 11.4 support +09/16/2009 Xilinx, Inc. 1.3 ISE 11.3 support +06/24/2009 Xilinx, Inc. 1.2 ISE 11.2 support +04/24/2009 Xilinx, Inc. 1.1 Initial release; 11.1 support +================================================================================ + +................................................................................ + +8. LEGAL DISCLAIMER + +(c) Copyright 2008 - 2012 Xilinx, Inc. All rights reserved. + +This file contains confidential and proprietary information +of Xilinx, Inc. and is protected under U.S. and +international copyright and other intellectual property +laws. + +DISCLAIMER +This disclaimer is not a license and does not grant any +rights to the materials distributed herewith. Except as +otherwise provided in a valid license issued to you by +Xilinx, and to the maximum extent permitted by applicable +law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +(2) Xilinx shall not be liable (whether in contract or tort, +including negligence, or under any other theory of +liability) for any loss or damage of any kind or nature +related to, arising under or in connection with these +materials, including for any direct, or any indirect, +special, incidental, or consequential loss or damage +(including loss of data, profits, goodwill, or any type of +loss or damage suffered as a result of any action brought +by a third party) even if such damage or loss was +reasonably foreseeable or Xilinx had been advised of the +possibility of the same. + +CRITICAL APPLICATIONS +Xilinx products are not designed or intended to be fail- +safe, or for use in any application requiring fail-safe +performance, such as life-support or safety devices or +systems, Class III medical devices, nuclear facilities, +applications related to the deployment of airbags, or any +other applications that could lead to death, personal +injury, or severe property or environmental damage +(individually and collectively, "Critical +Applications"). Customer assumes the sole risk and +liability of any use of Xilinx products in Critical +Applications, subject only to applicable laws and +regulations governing limitations on product liability. + +THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +PART OF THIS FILE AT ALL TIMES. + diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/doc/clk_wiz_v3_6_readme.txt b/fpga/usrp3/top/b200/coregen/b200_clk_gen/doc/clk_wiz_v3_6_readme.txt new file mode 100644 index 000000000..19c5b73c6 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/doc/clk_wiz_v3_6_readme.txt @@ -0,0 +1,181 @@ +CHANGE LOG for LogiCORE Clocking Wizard V3.6 + + Release Date: July 25, 2012 +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURE HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm + +For system requirements: + + http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm + +This file contains release notes for the Xilinx LogiCORE IP Clocking Wizard v3.6 +solution. For the latest core updates, see the product page at: + + http://www.xilinx.com/products/design_resources/conn_central/solution_kits/wizards/ + +................................................................................ + +2. DEVICE SUPPORT + + + 2.1 ISE + + + The following device families are supported by the core for this release. + + All 7 Series devices + + + Zynq-7000 devices + Zynq-7000 + Defense Grade Zynq-7000Q (XQ) + + + All Virtex-6 devices + + + All Spartan-6 devices + + +................................................................................ + +3. NEW FEATURE HISTORY + + + 3.1 ISE + + - Spread Spectrum support for 7 series MMCME2 + + - ISE 14.2 software support + +................................................................................ + +4. RESOLVED ISSUES + + + 4.1 ISE + + Resolved issue with Virtex6 MMCM instantiation for VHDL project + Please refer to AR 50719 - http://www.xilinx.com/support/answers/50719.htm + +................................................................................ + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + + The most recent information, including known issues, workarounds, and + resolutions for this version is provided in the IP Release Notes Guide + located at + + www.xilinx.com/support/documentation/user_guides/xtp025.pdf + + +................................................................................ + +6. TECHNICAL SUPPORT & FEEDBACK + + +To obtain technical support, create a WebCase at www.xilinx.com/support. +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + + +................................................................................ + +7. CORE RELEASE HISTORY + + +Date By Version Description +================================================================================ +10/16/2012 Xilinx, Inc. 3.6(Rev2) ISE 14.3 support +07/25/2012 Xilinx, Inc. 3.6 ISE 14.2 support +04/24/2012 Xilinx, Inc. 3.5 ISE 14.1 support +01/18/2012 Xilinx, Inc. 3.3 ISE 13.4 support +06/22/2011 Xilinx, Inc. 3.2 ISE 13.2 support +03/01/2011 Xilinx, Inc. 3.1 ISE 13.1 support +12/14/2010 Xilinx, Inc. 1.8 ISE 12.4 support +09/21/2010 Xilinx, Inc. 1.7 ISE 12.3 support +07/23/2010 Xilinx, Inc. 1.6 ISE 12.2 support +04/19/2010 Xilinx, Inc. 1.5 ISE 12.1 support +12/02/2009 Xilinx, Inc. 1.4 ISE 11.4 support +09/16/2009 Xilinx, Inc. 1.3 ISE 11.3 support +06/24/2009 Xilinx, Inc. 1.2 ISE 11.2 support +04/24/2009 Xilinx, Inc. 1.1 Initial release; 11.1 support +================================================================================ + +................................................................................ + +8. LEGAL DISCLAIMER + +(c) Copyright 2008 - 2012 Xilinx, Inc. All rights reserved. + +This file contains confidential and proprietary information +of Xilinx, Inc. and is protected under U.S. and +international copyright and other intellectual property +laws. + +DISCLAIMER +This disclaimer is not a license and does not grant any +rights to the materials distributed herewith. Except as +otherwise provided in a valid license issued to you by +Xilinx, and to the maximum extent permitted by applicable +law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +(2) Xilinx shall not be liable (whether in contract or tort, +including negligence, or under any other theory of +liability) for any loss or damage of any kind or nature +related to, arising under or in connection with these +materials, including for any direct, or any indirect, +special, incidental, or consequential loss or damage +(including loss of data, profits, goodwill, or any type of +loss or damage suffered as a result of any action brought +by a third party) even if such damage or loss was +reasonably foreseeable or Xilinx had been advised of the +possibility of the same. + +CRITICAL APPLICATIONS +Xilinx products are not designed or intended to be fail- +safe, or for use in any application requiring fail-safe +performance, such as life-support or safety devices or +systems, Class III medical devices, nuclear facilities, +applications related to the deployment of airbags, or any +other applications that could lead to death, personal +injury, or severe property or environmental damage +(individually and collectively, "Critical +Applications"). Customer assumes the sole risk and +liability of any use of Xilinx products in Critical +Applications, subject only to applicable laws and +regulations governing limitations on product liability. + +THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +PART OF THIS FILE AT ALL TIMES. + diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/doc/clk_wiz_v3_6_vinfo.html b/fpga/usrp3/top/b200/coregen/b200_clk_gen/doc/clk_wiz_v3_6_vinfo.html new file mode 100644 index 000000000..7176ddb81 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/doc/clk_wiz_v3_6_vinfo.html @@ -0,0 +1,192 @@ + + +clk_wiz_v3_6_vinfo + + + +

+CHANGE LOG for LogiCORE Clocking Wizard V3.6 
+
+                    Release Date: July 25, 2012
+--------------------------------------------------------------------------------
+
+Table of Contents
+
+1. INTRODUCTION 
+2. DEVICE SUPPORT    
+3. NEW FEATURE HISTORY   
+4. RESOLVED ISSUES 
+5. KNOWN ISSUES & LIMITATIONS 
+6. TECHNICAL SUPPORT & FEEDBACK
+7. CORE RELEASE HISTORY 
+8. LEGAL DISCLAIMER 
+
+--------------------------------------------------------------------------------
+
+
+1. INTRODUCTION
+
+For installation instructions for this release, please go to:
+
+  www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm
+
+For system requirements:
+
+   www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm
+
+This file contains release notes for the Xilinx LogiCORE IP Clocking Wizard v3.6
+solution. For the latest core updates, see the product page at:
+
+   www.xilinx.com/products/design_resources/conn_central/solution_kits/wizards/
+
+................................................................................
+
+2. DEVICE SUPPORT
+
+
+  2.1 ISE 
+   
+  
+  The following device families are supported by the core for this release.
+  
+  All 7 Series devices
+
+
+  Zynq-7000 devices
+    Zynq-7000
+    Defense Grade Zynq-7000Q (XQ)
+
+
+  All Virtex-6 devices
+  
+  
+  All Spartan-6 devices
+  
+  
+................................................................................
+
+3. NEW FEATURE HISTORY 
+
+
+  3.1 ISE 
+  
+    - Spread Spectrum support for 7 series MMCME2
+
+    - ISE 14.2 software support
+
+................................................................................
+
+4. RESOLVED ISSUES
+
+
+  4.1 ISE 
+  
+      Resolved issue with Virtex6 MMCM instantiation for VHDL project
+      Please refer to AR 50719 - http://www.xilinx.com/support/answers/50719.htm
+
+................................................................................
+
+5. KNOWN ISSUES & LIMITATIONS
+
+
+  5.1 ISE 
+  
+  
+  The most recent information, including known issues, workarounds, and
+  resolutions for this version is provided in the IP Release Notes Guide
+  located at
+
+   www.xilinx.com/support/documentation/user_guides/xtp025.pdf
+  
+  
+................................................................................
+
+6. TECHNICAL SUPPORT & FEEDBACK
+
+
+To obtain technical support, create a WebCase at www.xilinx.com/support.
+Questions are routed to a team with expertise using this product.
+
+Xilinx provides technical support for use of this product when used
+according to the guidelines described in the core documentation, and
+cannot guarantee timing, functionality, or support of this product for
+designs that do not follow specified guidelines.
+
+
+................................................................................
+
+7. CORE RELEASE HISTORY
+
+
+Date        By            Version      Description
+================================================================================
+10/16/2012  Xilinx, Inc.  3.6(Rev2)    ISE 14.3 support
+07/25/2012  Xilinx, Inc.  3.6          ISE 14.2 support
+04/24/2012  Xilinx, Inc.  3.5          ISE 14.1 support
+01/18/2012  Xilinx, Inc.  3.3          ISE 13.4 support
+06/22/2011  Xilinx, Inc.  3.2          ISE 13.2 support
+03/01/2011  Xilinx, Inc.  3.1          ISE 13.1 support
+12/14/2010  Xilinx, Inc.  1.8          ISE 12.4 support
+09/21/2010  Xilinx, Inc.  1.7          ISE 12.3 support
+07/23/2010  Xilinx, Inc.  1.6          ISE 12.2 support
+04/19/2010  Xilinx, Inc.  1.5          ISE 12.1 support
+12/02/2009  Xilinx, Inc.  1.4          ISE 11.4 support
+09/16/2009  Xilinx, Inc.  1.3          ISE 11.3 support
+06/24/2009  Xilinx, Inc.  1.2          ISE 11.2 support
+04/24/2009  Xilinx, Inc.  1.1          Initial release; 11.1 support
+================================================================================
+                          
+................................................................................
+
+8. LEGAL DISCLAIMER
+
+(c) Copyright 2008 - 2012 Xilinx, Inc. All rights reserved.
+
+This file contains confidential and proprietary information
+of Xilinx, Inc. and is protected under U.S. and
+international copyright and other intellectual property
+laws.
+
+DISCLAIMER
+This disclaimer is not a license and does not grant any
+rights to the materials distributed herewith. Except as
+otherwise provided in a valid license issued to you by
+Xilinx, and to the maximum extent permitted by applicable
+law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+(2) Xilinx shall not be liable (whether in contract or tort,
+including negligence, or under any other theory of
+liability) for any loss or damage of any kind or nature
+related to, arising under or in connection with these
+materials, including for any direct, or any indirect,
+special, incidental, or consequential loss or damage
+(including loss of data, profits, goodwill, or any type of
+loss or damage suffered as a result of any action brought
+by a third party) even if such damage or loss was
+reasonably foreseeable or Xilinx had been advised of the
+possibility of the same.
+
+CRITICAL APPLICATIONS
+Xilinx products are not designed or intended to be fail-
+safe, or for use in any application requiring fail-safe
+performance, such as life-support or safety devices or
+systems, Class III medical devices, nuclear facilities,
+applications related to the deployment of airbags, or any
+other applications that could lead to death, personal
+injury, or severe property or environmental damage
+(individually and collectively, "Critical
+Applications"). Customer assumes the sole risk and
+liability of any use of Xilinx products in Critical
+Applications, subject only to applicable laws and
+regulations governing limitations on product liability.
+
+THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+PART OF THIS FILE AT ALL TIMES.
+
+
+
+ + diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/doc/pg065_clk_wiz.pdf b/fpga/usrp3/top/b200/coregen/b200_clk_gen/doc/pg065_clk_wiz.pdf new file mode 100644 index 000000000..a7daa6089 Binary files /dev/null and b/fpga/usrp3/top/b200/coregen/b200_clk_gen/doc/pg065_clk_wiz.pdf differ diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/example_design/b200_clk_gen_exdes.ucf b/fpga/usrp3/top/b200/coregen/b200_clk_gen/example_design/b200_clk_gen_exdes.ucf new file mode 100755 index 000000000..62c243978 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/example_design/b200_clk_gen_exdes.ucf @@ -0,0 +1,73 @@ +# file: b200_clk_gen_exdes.ucf +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# Input clock periods. These duplicate the values entered for the +# input clocks. You can use these to time your system +#---------------------------------------------------------------- +# Differential clock only needs one constraint +NET "CLK_IN1_P" TNM_NET = "CLK_IN1_P"; +TIMESPEC "TS_CLK_IN1_P" = PERIOD "CLK_IN1_P" 25.0 ns HIGH 50% INPUT_JITTER 250.0ps; + +# Derived clock periods. These are commented out because they are +# automatically propogated by the tools +# However, if you'd like to use them for module level testing, you +# can copy them into your module level timing checks +#----------------------------------------------------------------- +# NET "clk_int[1]" TNM_NET = "CLK_OUT1"; +# TIMESPEC "TS_CLK_OUT1" = PERIOD "CLK_OUT1" 40.000 MHz; + +# NET "clk_int[2]" TNM_NET = "CLK_OUT2"; +# TIMESPEC "TS_CLK_OUT2" = PERIOD "CLK_OUT2" 100.000 MHz; +# NET "clk_int[3]" TNM_NET = "CLK_OUT3"; +# TIMESPEC "TS_CLK_OUT3" = PERIOD "CLK_OUT3" 100.000 MHz; + +# FALSE PATH constraints +PIN "COUNTER_RESET" TIG; +PIN "RESET" TIG; + diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/example_design/b200_clk_gen_exdes.v b/fpga/usrp3/top/b200/coregen/b200_clk_gen/example_design/b200_clk_gen_exdes.v new file mode 100755 index 000000000..e22b83072 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/example_design/b200_clk_gen_exdes.v @@ -0,0 +1,180 @@ +// file: b200_clk_gen_exdes.v +// +// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// + +//---------------------------------------------------------------------------- +// Clocking wizard example design +//---------------------------------------------------------------------------- +// This example design instantiates the created clocking network, where each +// output clock drives a counter. The high bit of each counter is ported. +//---------------------------------------------------------------------------- + +`timescale 1ps/1ps + +module b200_clk_gen_exdes + #( + parameter TCQ = 100 + ) + (// Clock in ports + input CLK_IN1_P, + input CLK_IN1_N, + // Reset that only drives logic in example design + input COUNTER_RESET, + output [3:1] CLK_OUT, + // High bits of counters driven by clocks + output [3:1] COUNT, + // Status and control signals + input RESET, + output LOCKED + ); + + // Parameters for the counters + //------------------------------- + // Counter width + localparam C_W = 16; + // Number of counters + localparam NUM_C = 3; + genvar count_gen; + // When the clock goes out of lock, reset the counters + wire reset_int = !LOCKED || RESET || COUNTER_RESET; + + reg [NUM_C:1] rst_sync; + reg [NUM_C:1] rst_sync_int; + reg [NUM_C:1] rst_sync_int1; + reg [NUM_C:1] rst_sync_int2; + + + // Declare the clocks and counters + wire [NUM_C:1] clk_int; + wire [NUM_C:1] clk_n; + wire [NUM_C:1] clk; + reg [C_W-1:0] counter [NUM_C:1]; + + // Instantiation of the clocking network + //-------------------------------------- + b200_clk_gen clknetwork + (// Clock in ports + .CLK_IN1_40_P (CLK_IN1_P), + .CLK_IN1_40_N (CLK_IN1_N), + // Clock out ports + .CLK_OUT1_40_int (clk_int[1]), + .CLK_OUT2_100_gpif (clk_int[2]), + .CLK_OUT3_100_bus (clk_int[3]), + // Status and control signals + .RESET (RESET), + .LOCKED (LOCKED)); + +genvar clk_out_pins; + +generate + for (clk_out_pins = 1; clk_out_pins <= NUM_C; clk_out_pins = clk_out_pins + 1) + begin: gen_outclk_oddr + assign clk_n[clk_out_pins] = ~clk[clk_out_pins]; + + ODDR2 clkout_oddr + (.Q (CLK_OUT[clk_out_pins1]), + .C0 (clk[clk_out_pins]), + .C1 (clk_n[clk_out_pins]), + .CE (1'b1), + .D0 (1'b1), + .D1 (1'b0), + .R (1'b0), + .S (1'b0)); + end +endgenerate + + // Connect the output clocks to the design + //----------------------------------------- + assign clk[1] = clk_int[1]; + assign clk[2] = clk_int[2]; + assign clk[3] = clk_int[3]; + + + // Reset synchronizer + //----------------------------------- + generate for (count_gen = 1; count_gen <= NUM_C; count_gen = count_gen + 1) begin: counters_1 + always @(posedge reset_int or posedge clk[count_gen]) begin + if (reset_int) begin + rst_sync[count_gen] <= 1'b1; + rst_sync_int[count_gen]<= 1'b1; + rst_sync_int1[count_gen]<= 1'b1; + rst_sync_int2[count_gen]<= 1'b1; + end + else begin + rst_sync[count_gen] <= 1'b0; + rst_sync_int[count_gen] <= rst_sync[count_gen]; + rst_sync_int1[count_gen] <= rst_sync_int[count_gen]; + rst_sync_int2[count_gen] <= rst_sync_int1[count_gen]; + end + end + end + endgenerate + + + // Output clock sampling + //----------------------------------- + generate for (count_gen = 1; count_gen <= NUM_C; count_gen = count_gen + 1) begin: counters + + always @(posedge clk[count_gen] or posedge rst_sync_int2[count_gen]) begin + if (rst_sync_int2[count_gen]) begin + counter[count_gen] <= #TCQ { C_W { 1'b 0 } }; + end else begin + counter[count_gen] <= #TCQ counter[count_gen] + 1'b 1; + end + end + // alias the high bit of each counter to the corresponding + // bit in the output bus + assign COUNT[count_gen] = counter[count_gen][C_W-1]; + end + endgenerate + + + + + +endmodule diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/example_design/b200_clk_gen_exdes.xdc b/fpga/usrp3/top/b200/coregen/b200_clk_gen/example_design/b200_clk_gen_exdes.xdc new file mode 100755 index 000000000..dc0aad84c --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/example_design/b200_clk_gen_exdes.xdc @@ -0,0 +1,70 @@ +# file: b200_clk_gen_exdes.xdc +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# Input clock periods. These duplicate the values entered for the +# input clocks. You can use these to time your system +#---------------------------------------------------------------- +# Differential clock only needs one constraint +create_clock -name CLK_IN1_P -period 25.0 [get_ports CLK_IN1_P] +set_propagated_clock CLK_IN1_P +set_input_jitter CLK_IN1_P 0.25 + +# FALSE PATH constraint added on COUNTER_RESET +set_false_path -from [get_ports "COUNTER_RESET"] +set_false_path -from [get_ports "RESET"] + +# Derived clock periods. These are commented out because they are +# automatically propogated by the tools +# However, if you'd like to use them for module level testing, you +# can copy them into your module level timing checks +#----------------------------------------------------------------- + +#----------------------------------------------------------------- + +#----------------------------------------------------------------- diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/implement.bat b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/implement.bat new file mode 100755 index 000000000..32e315065 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/implement.bat @@ -0,0 +1,90 @@ +REM file: implement.bat +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM + +REM ----------------------------------------------------------------------------- +REM Script to synthesize and implement the RTL provided for the clocking wizard +REM ----------------------------------------------------------------------------- + +REM Clean up the results directory +rmdir /S /Q results +mkdir results + +REM Copy unisim_comp.v file to results directory +copy %XILINX%\verilog\src\iSE\unisim_comp.v .\results\ + +REM Synthesize the Verilog Wrapper Files +echo 'Synthesizing Clocking Wizard design with XST' +xst -ifn xst.scr +move b200_clk_gen_exdes.ngc results\ + +REM Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +copy ..\example_design\b200_clk_gen_exdes.ucf results\ + +cd results + +echo 'Running ngdbuild' +ngdbuild -uc b200_clk_gen_exdes.ucf b200_clk_gen_exdes + +echo 'Running map' +map -timing -pr b b200_clk_gen_exdes -o mapped.ncd + +echo 'Running par' +par -w mapped.ncd routed mapped.pcf + +echo 'Running trce' +trce -e 10 routed -o routed mapped.pcf + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level model for the clocking wizard example design' +netgen -ofmt verilog -sim -sdf_anno false -tm b200_clk_gen_exdes -w routed.ncd routed.v +cd .. + diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/implement.sh b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/implement.sh new file mode 100755 index 000000000..d33e6c5f5 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/implement.sh @@ -0,0 +1,91 @@ +#!/bin/sh +# file: implement.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +#----------------------------------------------------------------------------- +# Script to synthesize and implement the RTL provided for the clocking wizard +#----------------------------------------------------------------------------- + +# Clean up the results directory +rm -rf results +mkdir results + +# Copy unisim_comp.v file to results directory +cp $XILINX/verilog/src/iSE/unisim_comp.v ./results/ + +# Synthesize the Verilog Wrapper Files +echo 'Synthesizing Clocking Wizard design with XST' +xst -ifn xst.scr +mv b200_clk_gen_exdes.ngc results/ + +# Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +cp ../example_design/b200_clk_gen_exdes.ucf results/ + +cd results + +echo 'Running ngdbuild' +ngdbuild -uc b200_clk_gen_exdes.ucf b200_clk_gen_exdes + +echo 'Running map' +map -timing b200_clk_gen_exdes -o mapped.ncd + +echo 'Running par' +par -w mapped.ncd routed mapped.pcf + +echo 'Running trce' +trce -e 10 routed -o routed mapped.pcf + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level model for the clocking wizard example design' +netgen -ofmt verilog -sim -sdf_anno false -tm b200_clk_gen_exdes -w routed.ncd routed.v + +cd .. diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_ise.bat b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_ise.bat new file mode 100755 index 000000000..8ac771810 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_ise.bat @@ -0,0 +1,58 @@ +REM file: planAhead_ise.bat +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM + +REM----------------------------------------------------------------------------- +REM Script to synthesize and implement the RTL provided for the clocking wizard +REM----------------------------------------------------------------------------- + +del \f results +mkdir results +cd results + +planAhead -mode batch -source ..\planAhead_ise.tcl diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_ise.sh b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_ise.sh new file mode 100755 index 000000000..6c8c837d3 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_ise.sh @@ -0,0 +1,59 @@ +#!/bin/sh +# file: planAhead_ise.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +#----------------------------------------------------------------------------- +# Script to synthesize and implement the RTL provided for the clocking wizard +#----------------------------------------------------------------------------- + +rm -rf results +mkdir results +cd results + +planAhead -mode batch -source ../planAhead_ise.tcl diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_ise.tcl b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_ise.tcl new file mode 100755 index 000000000..b87b6e4d5 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_ise.tcl @@ -0,0 +1,78 @@ +# file: planAhead_ise.tcl +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +set projDir [file dirname [info script]] +set projName b200_clk_gen +set topName b200_clk_gen_exdes +set device xc6slx75csg484-2 + +create_project $projName $projDir/results/$projName -part $device + +set_property design_mode RTL [get_filesets sources_1] + +## Source files +#set verilogSources [glob $srcDir/*.v] +import_files -fileset [get_filesets sources_1] -force -norecurse ../../example_design/b200_clk_gen_exdes.v +import_files -fileset [get_filesets sources_1] -force -norecurse ../../../b200_clk_gen.v + + +#UCF file +import_files -fileset [get_filesets constrs_1] -force -norecurse ../../example_design/b200_clk_gen_exdes.ucf + +set_property top $topName [get_property srcset [current_run]] + +launch_runs -runs synth_1 +wait_on_run synth_1 + +set_property add_step Bitgen [get_runs impl_1] +launch_runs -runs impl_1 +wait_on_run impl_1 + + + diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_rdn.bat b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_rdn.bat new file mode 100755 index 000000000..42273f5d4 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_rdn.bat @@ -0,0 +1,58 @@ +REM file: planAhead_rdn.sh +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM + +REM----------------------------------------------------------------------------- +REM Script to synthesize and implement the RTL provided for the XADC wizard +REM----------------------------------------------------------------------------- + +del \f results +mkdir results +cd results + +planAhead -mode batch -source ..\planAhead_rdn.tcl diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_rdn.sh b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_rdn.sh new file mode 100755 index 000000000..f4c14729e --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_rdn.sh @@ -0,0 +1,57 @@ +#!/bin/sh +# file: planAhead_rdn.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +#----------------------------------------------------------------------------- +# Script to synthesize and implement the RTL provided for the XADC wizard +#----------------------------------------------------------------------------- +rm -rf results +mkdir results +cd results +planAhead -mode batch -source ../planAhead_rdn.tcl diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_rdn.tcl b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_rdn.tcl new file mode 100755 index 000000000..5449fa5fb --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_rdn.tcl @@ -0,0 +1,69 @@ +# file : planAhead_rdn.tcl +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +set device xc6slx75csg484-2 +set projName b200_clk_gen +set design b200_clk_gen +set projDir [file dirname [info script]] +create_project $projName $projDir/results/$projName -part $device -force +set_property design_mode RTL [current_fileset -srcset] +set top_module b200_clk_gen_exdes +set_property top b200_clk_gen_exdes [get_property srcset [current_run]] +add_files -norecurse {../../../b200_clk_gen.v} +add_files -norecurse {../../example_design/b200_clk_gen_exdes.v} +import_files -fileset [get_filesets constrs_1 ] -force -norecurse {../../example_design/b200_clk_gen_exdes.xdc} +synth_design +opt_design +place_design +route_design +write_sdf -rename_top_module b200_clk_gen_exdes -file routed.sdf +write_verilog -nolib -mode timesim -sdf_anno false -rename_top_module b200_clk_gen_exdes -file routed.v +report_timing -nworst 30 -path_type full -file routed.twr +report_drc -file report.drc +write_bitstream -bitgen_options {-g UnconstrainedPins:Allow} -file routed.bit diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/xst.prj b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/xst.prj new file mode 100755 index 000000000..51a950151 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/xst.prj @@ -0,0 +1,2 @@ +verilog work ../../b200_clk_gen.v +verilog work ../example_design/b200_clk_gen_exdes.v diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/xst.scr b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/xst.scr new file mode 100755 index 000000000..ae0a09163 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/xst.scr @@ -0,0 +1,9 @@ +run +-ifmt MIXED +-top b200_clk_gen_exdes +-p xc6slx75-csg484-2 +-ifn xst.prj +-ofn b200_clk_gen_exdes +-keep_hierarchy soft +-equivalent_register_removal no +-max_fanout 65535 diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/b200_clk_gen_tb.v b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/b200_clk_gen_tb.v new file mode 100755 index 000000000..f7dba4105 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/b200_clk_gen_tb.v @@ -0,0 +1,146 @@ +// file: b200_clk_gen_tb.v +// +// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// + +//---------------------------------------------------------------------------- +// Clocking wizard demonstration testbench +//---------------------------------------------------------------------------- +// This demonstration testbench instantiates the example design for the +// clocking wizard. Input clocks are toggled, which cause the clocking +// network to lock and the counters to increment. +//---------------------------------------------------------------------------- + +`timescale 1ps/1ps + +`define wait_lock @(posedge LOCKED) + +module b200_clk_gen_tb (); + + // Clock to Q delay of 100ps + localparam TCQ = 100; + + + // timescale is 1ps/1ps + localparam ONE_NS = 1000; + localparam PHASE_ERR_MARGIN = 100; // 100ps + // how many cycles to run + localparam COUNT_PHASE = 1024; + // we'll be using the period in many locations + localparam time PER1 = 25.0*ONE_NS; + localparam time PER1_1 = PER1/2; + localparam time PER1_2 = PER1 - PER1/2; + + // Declare the input clock signals + reg CLK_IN1 = 1; + wire CLK_IN1_P = CLK_IN1; + wire CLK_IN1_N = ~CLK_IN1; + + // The high bits of the sampling counters + wire [3:1] COUNT; + // Status and control signals + reg RESET = 0; + wire LOCKED; + reg COUNTER_RESET = 0; +wire [3:1] CLK_OUT; +//Freq Check using the M & D values setting and actual Frequency generated + + + // Input clock generation + //------------------------------------ + always begin + CLK_IN1 = #PER1_1 ~CLK_IN1; + CLK_IN1 = #PER1_2 ~CLK_IN1; + end + + // Test sequence + reg [15*8-1:0] test_phase = ""; + initial begin + // Set up any display statements using time to be readable + $timeformat(-12, 2, "ps", 10); + COUNTER_RESET = 0; + test_phase = "reset"; + RESET = 1; + #(PER1*6); + RESET = 0; + test_phase = "wait lock"; + `wait_lock; + #(PER1*6); + COUNTER_RESET = 1; + #(PER1*20) + COUNTER_RESET = 0; + + test_phase = "counting"; + #(PER1*COUNT_PHASE); + + $display("SIMULATION PASSED"); + $display("SYSTEM_CLOCK_COUNTER : %0d\n",$time/PER1); + $finish; + end + + // Instantiation of the example design containing the clock + // network and sampling counters + //--------------------------------------------------------- + b200_clk_gen_exdes + #( + .TCQ (TCQ) + ) dut + (// Clock in ports + .CLK_IN1_P (CLK_IN1_P), + .CLK_IN1_N (CLK_IN1_N), + // Reset for logic in example design + .COUNTER_RESET (COUNTER_RESET), + .CLK_OUT (CLK_OUT), + // High bits of the counters + .COUNT (COUNT), + // Status and control signals + .RESET (RESET), + .LOCKED (LOCKED)); + +// Freq Check + +endmodule diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simcmds.tcl b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simcmds.tcl new file mode 100755 index 000000000..f22f9e447 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simcmds.tcl @@ -0,0 +1,8 @@ +# file: simcmds.tcl + +# create the simulation script +vcd dumpfile isim.vcd +vcd dumpvars -m /b200_clk_gen_tb -l 0 +wave add / +run 50000ns +quit diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_isim.bat b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_isim.bat new file mode 100755 index 000000000..227a07e0c --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_isim.bat @@ -0,0 +1,59 @@ +REM file: simulate_isim.bat +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM + +vlogcomp -work work %XILINX%\verilog\src\glbl.v +vlogcomp -work work ..\..\..\b200_clk_gen.v +vlogcomp -work work ..\..\example_design\b200_clk_gen_exdes.v +vlogcomp -work work ..\b200_clk_gen_tb.v + +REM compile the project +fuse work.b200_clk_gen_tb work.glbl -L unisims_ver -o b200_clk_gen_isim.exe + +REM run the simulation script +.\b200_clk_gen_isim.exe -gui -tclbatch simcmds.tcl diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_isim.sh b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_isim.sh new file mode 100755 index 000000000..db1b8cc4b --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_isim.sh @@ -0,0 +1,61 @@ +# file: simulate_isim.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# lin64 +# create the project +vlogcomp -work work ${XILINX}/verilog/src/glbl.v +vlogcomp -work work ../../../b200_clk_gen.v +vlogcomp -work work ../../example_design/b200_clk_gen_exdes.v +vlogcomp -work work ../b200_clk_gen_tb.v + +# compile the project +fuse work.b200_clk_gen_tb work.glbl -L unisims_ver -o b200_clk_gen_isim.exe + +# run the simulation script +./b200_clk_gen_isim.exe -gui -tclbatch simcmds.tcl diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_mti.bat b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_mti.bat new file mode 100755 index 000000000..86e433ecb --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_mti.bat @@ -0,0 +1,61 @@ +REM file: simulate_mti.bat +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM + +REM set up the working directory +vlib work + +REM compile all of the files +vlog -work work %XILINX%\verilog\src\glbl.v +vlog -work work ..\..\..\b200_clk_gen.v +vlog -work work ..\..\example_design\b200_clk_gen_exdes.v +vlog -work work ..\b200_clk_gen_tb.v + +REM run the simulation +vsim -c -t ps -voptargs="+acc" -L secureip -L unisims_ver work.b200_clk_gen_tb work.glbl + diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_mti.do b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_mti.do new file mode 100755 index 000000000..fbb4124b9 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_mti.do @@ -0,0 +1,65 @@ +# file: simulate_mti.do +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# set up the working directory +set work work +vlib work + +# compile all of the files +vlog -work work $env(XILINX)/verilog/src/glbl.v +vlog -work work ../../../b200_clk_gen.v +vlog -work work ../../example_design/b200_clk_gen_exdes.v +vlog -work work ../b200_clk_gen_tb.v + +# run the simulation +vsim -t ps -voptargs="+acc" -L unisims_ver work.b200_clk_gen_tb work.glbl +do wave.do +log b200_clk_gen_tb/dut/counter +log -r /* +run 50000ns diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_mti.sh b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_mti.sh new file mode 100755 index 000000000..1d9455134 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_mti.sh @@ -0,0 +1,61 @@ +#/bin/sh +# file: simulate_mti.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +# set up the working directory +set work work +vlib work + +# compile all of the files +vlog -work work $XILINX/verilog/src/glbl.v +vlog -work work ../../../b200_clk_gen.v +vlog -work work ../../example_design/b200_clk_gen_exdes.v +vlog -work work ../b200_clk_gen_tb.v + +# run the simulation +vsim -c -t ps -voptargs="+acc" -L secureip -L unisims_ver work.b200_clk_gen_tb work.glbl diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_ncsim.sh b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_ncsim.sh new file mode 100755 index 000000000..eeb5b9712 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_ncsim.sh @@ -0,0 +1,62 @@ +#/bin/sh +# file: simulate_ncsim.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# set up the working directory +mkdir work + +# compile all of the files +ncvlog -work work ${XILINX}/verilog/src/glbl.v +ncvlog -work work ../../../b200_clk_gen.v +ncvlog -work work ../../example_design/b200_clk_gen_exdes.v +ncvlog -work work ../b200_clk_gen_tb.v + +# elaborate and run the simulation +ncelab -work work -access +wc work.b200_clk_gen_tb work.glbl +ncsim -input "@database -open -shm nc; probe -create -database nc -all -depth all; probe dut.counter; run 50000ns; exit" work.b200_clk_gen_tb diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_vcs.sh b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_vcs.sh new file mode 100755 index 000000000..66b2cfb09 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_vcs.sh @@ -0,0 +1,72 @@ +#!/bin/sh +# file: simulate_vcs.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# remove old files +rm -rf simv* csrc DVEfiles AN.DB + +# compile all of the files +# Note that -sverilog is not strictly required- You can +# remove the -sverilog if you change the type of the +# localparam for the periods in the testbench file to +# [63:0] from time +vlogan -sverilog \ + ${XILINX}/verilog/src/glbl.v \ + ../../../b200_clk_gen.v \ + ../../example_design/b200_clk_gen_exdes.v \ + ../b200_clk_gen_tb.v + +# prepare the simulation +vcs +vcs+lic+wait -debug b200_clk_gen_tb glbl + +# run the simulation +./simv -ucli -i ucli_commands.key + +# launch the viewer +dve -vpd vcdplus.vpd -session vcs_session.tcl diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/ucli_commands.key b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/ucli_commands.key new file mode 100755 index 000000000..d35a8a813 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/ucli_commands.key @@ -0,0 +1,5 @@ +call {$vcdpluson} +call {$vcdplusmemon(b200_clk_gen_tb.dut.counter)} +run +call {$vcdplusclose} +quit diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/vcs_session.tcl b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/vcs_session.tcl new file mode 100755 index 000000000..b751d0624 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/vcs_session.tcl @@ -0,0 +1,18 @@ +gui_open_window Wave +gui_sg_create b200_clk_gen_group +gui_list_add_group -id Wave.1 {b200_clk_gen_group} +gui_sg_addsignal -group b200_clk_gen_group {b200_clk_gen_tb.test_phase} +gui_set_radix -radix {ascii} -signals {b200_clk_gen_tb.test_phase} +gui_sg_addsignal -group b200_clk_gen_group {{Input_clocks}} -divider +gui_sg_addsignal -group b200_clk_gen_group {b200_clk_gen_tb.CLK_IN1} +gui_sg_addsignal -group b200_clk_gen_group {{Output_clocks}} -divider +gui_sg_addsignal -group b200_clk_gen_group {b200_clk_gen_tb.dut.clk} +gui_list_expand -id Wave.1 b200_clk_gen_tb.dut.clk +gui_sg_addsignal -group b200_clk_gen_group {{Status_control}} -divider +gui_sg_addsignal -group b200_clk_gen_group {b200_clk_gen_tb.RESET} +gui_sg_addsignal -group b200_clk_gen_group {b200_clk_gen_tb.LOCKED} +gui_sg_addsignal -group b200_clk_gen_group {{Counters}} -divider +gui_sg_addsignal -group b200_clk_gen_group {b200_clk_gen_tb.COUNT} +gui_sg_addsignal -group b200_clk_gen_group {b200_clk_gen_tb.dut.counter} +gui_list_expand -id Wave.1 b200_clk_gen_tb.dut.counter +gui_zoom -window Wave.1 -full diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/wave.do b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/wave.do new file mode 100755 index 000000000..f05bb5c2e --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/wave.do @@ -0,0 +1,60 @@ +# file: wave.do +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +add wave -noupdate -format Literal -radix ascii /b200_clk_gen_tb/test_phase +add wave -noupdate -divider {Input clocks} +add wave -noupdate -format Logic /b200_clk_gen_tb/CLK_IN1 +add wave -noupdate -divider {Output clocks} +add wave -noupdate -format Literal -expand /b200_clk_gen_tb/dut/clk +add wave -noupdate -divider Status/control +add wave -noupdate -format Logic /b200_clk_gen_tb/RESET +add wave -noupdate -format Logic /b200_clk_gen_tb/LOCKED +add wave -noupdate -divider Counters +add wave -noupdate -format Literal -radix hexadecimal /b200_clk_gen_tb/COUNT +add wave -noupdate -format Literal -radix hexadecimal -expand /b200_clk_gen_tb/dut/counter diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/wave.sv b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/wave.sv new file mode 100755 index 000000000..4bce3bcba --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/wave.sv @@ -0,0 +1,119 @@ +# file: wave.sv +# +# (c) Copyright 2008 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +# Get the windows set up +# +if {[catch {window new WatchList -name "Design Browser 1" -geometry 1054x819+536+322}] != ""} { + window geometry "Design Browser 1" 1054x819+536+322 +} +window target "Design Browser 1" on +browser using {Design Browser 1} +browser set \ + -scope nc::b200_clk_gen_tb +browser yview see nc::b200_clk_gen_tb +browser timecontrol set -lock 0 + +if {[catch {window new WaveWindow -name "Waveform 1" -geometry 1010x600+0+541}] != ""} { + window geometry "Waveform 1" 1010x600+0+541 +} +window target "Waveform 1" on +waveform using {Waveform 1} +waveform sidebar visibility partial +waveform set \ + -primarycursor TimeA \ + -signalnames name \ + -signalwidth 175 \ + -units ns \ + -valuewidth 75 +cursor set -using TimeA -time 0 +waveform baseline set -time 0 +waveform xview limits 0 20000n + +# +# Define signal groups +# +catch {group new -name {Output clocks} -overlay 0} +catch {group new -name {Status/control} -overlay 0} +catch {group new -name {Counters} -overlay 0} + +set id [waveform add -signals [list {nc::b200_clk_gen_tb.CLK_IN1}]] + +group using {Output clocks} +group set -overlay 0 +group set -comment {} +group clear 0 end + +group insert \ + {b200_clk_gen_tb.dut.clk[1]} \ + {b200_clk_gen_tb.dut.clk[2]} \ {b200_clk_gen_tb.dut.clk[3]} +group using {Counters} +group set -overlay 0 +group set -comment {} +group clear 0 end + +group insert \ + {b200_clk_gen_tb.dut.counter[1]} \ + {b200_clk_gen_tb.dut.counter[2]} \ {b200_clk_gen_tb.dut.counter[3]} +group using {Status/control} +group set -overlay 0 +group set -comment {} +group clear 0 end + +group insert \ + {nc::b200_clk_gen_tb.RESET} {nc::b200_clk_gen_tb.LOCKED} + + +set id [waveform add -signals [list {nc::b200_clk_gen_tb.COUNT} ]] + +set id [waveform add -signals [list {nc::b200_clk_gen_tb.test_phase} ]] +waveform format $id -radix %a + +set groupId [waveform add -groups {{Input clocks}}] +set groupId [waveform add -groups {{Output clocks}}] +set groupId [waveform add -groups {{Status/control}}] +set groupId [waveform add -groups {{Counters}}] diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/b200_clk_gen_tb.v b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/b200_clk_gen_tb.v new file mode 100755 index 000000000..4d0d01cb0 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/b200_clk_gen_tb.v @@ -0,0 +1,160 @@ +// file: b200_clk_gen_tb.v +// +// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// + +//---------------------------------------------------------------------------- +// Clocking wizard demonstration testbench +//---------------------------------------------------------------------------- +// This demonstration testbench instantiates the example design for the +// clocking wizard. Input clocks are toggled, which cause the clocking +// network to lock and the counters to increment. +//---------------------------------------------------------------------------- + +`timescale 1ps/1ps + +`define wait_lock @(posedge LOCKED) + +module b200_clk_gen_tb (); + + // Clock to Q delay of 100ps + localparam TCQ = 100; + + + // timescale is 1ps/1ps + localparam ONE_NS = 1000; + localparam PHASE_ERR_MARGIN = 100; // 100ps + // how many cycles to run + localparam COUNT_PHASE = 1024; + // we'll be using the period in many locations + localparam time PER1 = 25.0*ONE_NS; + localparam time PER1_1 = PER1/2; + localparam time PER1_2 = PER1 - PER1/2; + + // Declare the input clock signals + reg CLK_IN1 = 1; + wire CLK_IN1_P = CLK_IN1; + wire CLK_IN1_N = ~CLK_IN1; + + // The high bits of the sampling counters + wire [3:1] COUNT; + // Status and control signals + reg RESET = 0; + wire LOCKED; + reg COUNTER_RESET = 0; +wire [3:1] CLK_OUT; +//Freq Check using the M & D values setting and actual Frequency generated + + reg [13:0] timeout_counter = 14'b00000000000000; + + // Input clock generation + //------------------------------------ + always begin + CLK_IN1 = #PER1_1 ~CLK_IN1; + CLK_IN1 = #PER1_2 ~CLK_IN1; + end + + // Test sequence + reg [15*8-1:0] test_phase = ""; + initial begin + // Set up any display statements using time to be readable + $timeformat(-12, 2, "ps", 10); + $display ("Timing checks are not valid"); + COUNTER_RESET = 0; + test_phase = "reset"; + RESET = 1; + #(PER1*6); + RESET = 0; + test_phase = "wait lock"; + `wait_lock; + #(PER1*6); + COUNTER_RESET = 1; + #(PER1*19.5) + COUNTER_RESET = 0; + #(PER1*1) + $display ("Timing checks are valid"); + test_phase = "counting"; + #(PER1*COUNT_PHASE); + + $display("SIMULATION PASSED"); + $display("SYSTEM_CLOCK_COUNTER : %0d\n",$time/PER1); + $finish; + end + + + always@(posedge CLK_IN1) begin + timeout_counter <= timeout_counter + 1'b1; + if (timeout_counter == 14'b10000000000000) begin + if (LOCKED != 1'b1) begin + $display("ERROR : NO LOCK signal"); + $display("SYSTEM_CLOCK_COUNTER : %0d\n",$time/PER1); + $finish; + end + end + end + + // Instantiation of the example design containing the clock + // network and sampling counters + //--------------------------------------------------------- + b200_clk_gen_exdes + dut + (// Clock in ports + .CLK_IN1_P (CLK_IN1_P), + .CLK_IN1_N (CLK_IN1_N), + // Reset for logic in example design + .COUNTER_RESET (COUNTER_RESET), + .CLK_OUT (CLK_OUT), + // High bits of the counters + .COUNT (COUNT), + // Status and control signals + .RESET (RESET), + .LOCKED (LOCKED)); + + +// Freq Check + +endmodule diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/sdf_cmd_file b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/sdf_cmd_file new file mode 100755 index 000000000..0e8696ce6 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/sdf_cmd_file @@ -0,0 +1,2 @@ +COMPILED_SDF_FILE = "../../implement/results/routed.sdf.X", +SCOPE = b200_clk_gen_tb.dut; diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simcmds.tcl b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simcmds.tcl new file mode 100755 index 000000000..00e00dd03 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simcmds.tcl @@ -0,0 +1,9 @@ +# file: simcmds.tcl + +# create the simulation script +vcd dumpfile isim.vcd +vcd dumpvars -m /b200_clk_gen_tb -l 0 +wave add / +run 50000ns +quit + diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_isim.sh b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_isim.sh new file mode 100755 index 000000000..edf149164 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_isim.sh @@ -0,0 +1,62 @@ +# file: simulate_isim.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# create the project +vlogcomp -work work ${XILINX}/verilog/src/glbl.v +vlogcomp -work work ../../implement/results/routed.v +vlogcomp -work work b200_clk_gen_tb.v + +# compile the project +fuse work.b200_clk_gen_tb work.glbl -L secureip -L simprims_ver -o b200_clk_gen_isim.exe + +# run the simulation script +./b200_clk_gen_isim.exe -tclbatch simcmds.tcl -sdfmax /b200_clk_gen_tb/dut=../../implement/results/routed.sdf + +# run the simulation script +#./b200_clk_gen_isim.exe -gui -tclbatch simcmds.tcl diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_mti.bat b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_mti.bat new file mode 100755 index 000000000..b759f9529 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_mti.bat @@ -0,0 +1,59 @@ +REM file: simulate_mti.bat +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM +# set up the working directory +set work work +vlib work + +REM compile all of the files +vlog -work work %XILINX%\verilog\src\glbl.v +vlog -work work ..\..\implement\results\routed.v +vlog -work work b200_clk_gen_tb.v + +REM run the simulation +vsim -c -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax b200_clk_gen_tb\dut=..\..\implement\results\routed.sdf +no_notifier work.b200_clk_gen_tb work.glbl diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_mti.do b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_mti.do new file mode 100755 index 000000000..cfe22dc41 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_mti.do @@ -0,0 +1,65 @@ +# file: simulate_mti.do +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# set up the working directory +set work work +vlib work + +# compile all of the files +vlog -work work $env(XILINX)/verilog/src/glbl.v +vlog -work work ../../implement/results/routed.v +vlog -work work b200_clk_gen_tb.v + +# run the simulation +vsim -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax b200_clk_gen_tb/dut=../../implement/results/routed.sdf +no_notifier work.b200_clk_gen_tb work.glbl +#do wave.do +#log -r /* +run 50000ns + + diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_mti.sh b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_mti.sh new file mode 100755 index 000000000..497db4bbd --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_mti.sh @@ -0,0 +1,61 @@ +#/bin/sh +# file: simulate_mti.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# set up the working directory +set work work +vlib work + +# compile all of the files +vlog -work work $XILINX/verilog/src/glbl.v +vlog -work work ../../implement/results/routed.v +vlog -work work b200_clk_gen_tb.v + +# run the simulation +vsim -c -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax b200_clk_gen_tb/dut=../../implement/results/routed.sdf +no_notifier work.b200_clk_gen_tb work.glbl diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_ncsim.sh b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_ncsim.sh new file mode 100755 index 000000000..8dd610f57 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_ncsim.sh @@ -0,0 +1,64 @@ +#!/bin/sh +# file: simulate_ncsim.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# set up the working directory +mkdir work + +# compile all of the files +ncvlog -work work ${XILINX}/verilog/src/glbl.v +ncvlog -work work ../../implement/results/routed.v +ncvlog -work work b200_clk_gen_tb.v + +# elaborate and run the simulation +ncsdfc ../../implement/results/routed.sdf + +ncelab -work work -access +wc -pulse_r 10 -nonotifier work.b200_clk_gen_tb work.glbl -sdf_cmd_file sdf_cmd_file +ncsim -input "@database -open -shm nc; probe -create -database nc -all -depth all; run 50000ns; exit" work.b200_clk_gen_tb + diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_vcs.sh b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_vcs.sh new file mode 100755 index 000000000..3566700b2 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_vcs.sh @@ -0,0 +1,72 @@ +#!/bin/sh +# file: simulate_vcs.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# remove old files +rm -rf simv* csrc DVEfiles AN.DB + +# compile all of the files +# Note that -sverilog is not strictly required- You can +# remove the -sverilog if you change the type of the +# localparam for the periods in the testbench file to +# [63:0] from time + vlogan -sverilog \ + b200_clk_gen_tb.v \ + ../../implement/results/routed.v + + +# prepare the simulation +vcs -sdf max:b200_clk_gen_exdes:../../implement/results/routed.sdf +v2k -y $XILINX/verilog/src/simprims \ + +libext+.v -debug b200_clk_gen_tb.v ../../implement/results/routed.v + +# run the simulation +./simv -ucli -i ucli_commands.key + +# launch the viewer +#dve -vpd vcdplus.vpd -session vcs_session.tcl diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/ucli_commands.key b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/ucli_commands.key new file mode 100755 index 000000000..0548d1733 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/ucli_commands.key @@ -0,0 +1,5 @@ + +call {$vcdpluson} +run 50000ns +call {$vcdplusclose} +quit diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/vcs_session.tcl b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/vcs_session.tcl new file mode 100755 index 000000000..1438f6bed --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/vcs_session.tcl @@ -0,0 +1 @@ +gui_open_window Wave diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/wave.do b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/wave.do new file mode 100755 index 000000000..048ce6aa6 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/wave.do @@ -0,0 +1,72 @@ +# file: wave.do +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /b200_clk_gen_tb/CLK_IN1 +add wave -noupdate /b200_clk_gen_tb/COUNT +add wave -noupdate /b200_clk_gen_tb/LOCKED +add wave -noupdate /b200_clk_gen_tb/RESET +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {3223025 ps} 0} +configure wave -namecolwidth 238 +configure wave -valuecolwidth 107 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {0 ps} {74848022 ps} diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen_flist.txt b/fpga/usrp3/top/b200/coregen/b200_clk_gen_flist.txt new file mode 100644 index 000000000..044c06a12 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen_flist.txt @@ -0,0 +1,53 @@ +# Output products list for +b200_clk_gen/clk_wiz_v3_6_readme.txt +b200_clk_gen/doc/clk_wiz_v3_6_readme.txt +b200_clk_gen/doc/clk_wiz_v3_6_vinfo.html +b200_clk_gen/doc/pg065_clk_wiz.pdf +b200_clk_gen/example_design/b200_clk_gen_exdes.ucf +b200_clk_gen/example_design/b200_clk_gen_exdes.v +b200_clk_gen/example_design/b200_clk_gen_exdes.xdc +b200_clk_gen/implement/implement.bat +b200_clk_gen/implement/implement.sh +b200_clk_gen/implement/planAhead_ise.bat +b200_clk_gen/implement/planAhead_ise.sh +b200_clk_gen/implement/planAhead_ise.tcl +b200_clk_gen/implement/planAhead_rdn.bat +b200_clk_gen/implement/planAhead_rdn.sh +b200_clk_gen/implement/planAhead_rdn.tcl +b200_clk_gen/implement/xst.prj +b200_clk_gen/implement/xst.scr +b200_clk_gen/simulation/b200_clk_gen_tb.v +b200_clk_gen/simulation/functional/simcmds.tcl +b200_clk_gen/simulation/functional/simulate_isim.bat +b200_clk_gen/simulation/functional/simulate_isim.sh +b200_clk_gen/simulation/functional/simulate_mti.bat +b200_clk_gen/simulation/functional/simulate_mti.do +b200_clk_gen/simulation/functional/simulate_mti.sh +b200_clk_gen/simulation/functional/simulate_ncsim.sh +b200_clk_gen/simulation/functional/simulate_vcs.sh +b200_clk_gen/simulation/functional/ucli_commands.key +b200_clk_gen/simulation/functional/vcs_session.tcl +b200_clk_gen/simulation/functional/wave.do +b200_clk_gen/simulation/functional/wave.sv +b200_clk_gen/simulation/timing/b200_clk_gen_tb.v +b200_clk_gen/simulation/timing/sdf_cmd_file +b200_clk_gen/simulation/timing/simcmds.tcl +b200_clk_gen/simulation/timing/simulate_isim.sh +b200_clk_gen/simulation/timing/simulate_mti.bat +b200_clk_gen/simulation/timing/simulate_mti.do +b200_clk_gen/simulation/timing/simulate_mti.sh +b200_clk_gen/simulation/timing/simulate_ncsim.sh +b200_clk_gen/simulation/timing/simulate_vcs.sh +b200_clk_gen/simulation/timing/ucli_commands.key +b200_clk_gen/simulation/timing/vcs_session.tcl +b200_clk_gen/simulation/timing/wave.do +b200_clk_gen.asy +b200_clk_gen.gise +b200_clk_gen.ucf +b200_clk_gen.v +b200_clk_gen.veo +b200_clk_gen.xco +b200_clk_gen.xdc +b200_clk_gen.xise +b200_clk_gen_flist.txt +b200_clk_gen_xmdf.tcl diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen_xmdf.tcl b/fpga/usrp3/top/b200/coregen/b200_clk_gen_xmdf.tcl new file mode 100755 index 000000000..58f510c56 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen_xmdf.tcl @@ -0,0 +1,144 @@ +# The package naming convention is _xmdf +package provide b200_clk_gen_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::b200_clk_gen_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::b200_clk_gen_xmdf::xmdfInit { instance } { +# Variable containg name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name b200_clk_gen +} +# ::b200_clk_gen_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::b200_clk_gen_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be magically +# available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/clk_wiz_readme.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/example_design/b200_clk_gen_exdes.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ucf +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/doc/clk_wiz_ds709.pdf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/doc/clk_wiz_gsg521.pdf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/example_design/b200_clk_gen_exdes.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/implement/implement.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/implement/implement.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/implement/xst.prj +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/implement/xst.scr +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/simulation/b200_clk_gen_tb.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/simulation/functional/simcmds.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/simulation/functional/simulate_isim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/simulation/functional/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/simulation/functional/simulate_ncsim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/simulation/functional/simulate_vcs.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/simulation/functional/ucli_commands.key +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/simulation/functional/vcs_session.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/simulation/functional/wave.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/simulation/functional/wave.sv +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen.ejp +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module b200_clk_gen +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon.asy b/fpga/usrp3/top/b200/coregen/chipscope_icon.asy new file mode 100644 index 000000000..a0153d32c --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_icon.asy @@ -0,0 +1,9 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 chipscope_icon +RECTANGLE Normal 32 32 544 864 +LINE Wide 576 112 544 112 +PIN 576 112 RIGHT 36 +PINATTR PinName control0[35:0] +PINATTR Polarity BOTH + diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon.constraints/chipscope_icon.ucf b/fpga/usrp3/top/b200/coregen/chipscope_icon.constraints/chipscope_icon.ucf new file mode 100644 index 000000000..b83296f8e --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_icon.constraints/chipscope_icon.ucf @@ -0,0 +1,9 @@ +NET "U0/U_ICON/*/iDRCK_LOCAL" TNM_NET = J_CLK ; +TIMESPEC TS_J_CLK = PERIOD J_CLK 30 ns ; +#Update Constraints +NET "U0/iUPDATE_OUT" TNM_NET = U_CLK ; +NET "U0/iSHIFT_OUT" TIG ; +TIMESPEC TS_U_TO_J = FROM U_CLK TO J_CLK 15 ns ; +TIMESPEC TS_U_TO_U = FROM U_CLK TO U_CLK 15 ns ; +TIMESPEC TS_J_TO_D = FROM J_CLK TO D_CLK TIG ; +TIMESPEC TS_D_TO_J = FROM D_CLK TO J_CLK TIG ; diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon.constraints/chipscope_icon.xdc b/fpga/usrp3/top/b200/coregen/chipscope_icon.constraints/chipscope_icon.xdc new file mode 100644 index 000000000..903799425 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_icon.constraints/chipscope_icon.xdc @@ -0,0 +1,7 @@ +# icon XDC +create_clock -name J_CLK -period 30 -waveform {15 30} [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}] +create_generated_clock -name U_CLK -source [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}] -multiply_by 1 -invert [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/UPDATE}] +set_false_path -through [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/SHIFT}] +set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -setup 2 +set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -hold 1 +set_clock_groups -asynchronous -name cross_jtag_clock_domains -group {J_CLK U_CLK} diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon.ncf b/fpga/usrp3/top/b200/coregen/chipscope_icon.ncf new file mode 100644 index 000000000..e69de29bb diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon.ngc b/fpga/usrp3/top/b200/coregen/chipscope_icon.ngc new file mode 100644 index 000000000..db921aaf5 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_icon.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$0`47=*981;86>?00684565<2:;<9:4012;0>6799>0<=>01684466<2:9<=:405230>6?89;0=95>0127?40688198618:7GAOTV9GJHSZFF;0>;50?3801=389::75:4812355=?89;3<=>?0133456b3KYHMCQ\P@PWEK033K_MK55MUR]JJCI63J=0OL^[USG1?FC6:2ICINEPLHAFJVCX\PZN>6MF4:AOO5024;eEHF]XD@=2>2?a8GJHSZFF;0<=1c:ALJQTHD96:83m4CNLWVJJ748?5o6M@NUPLH5:6>7i0OB@[RNN38419k2IDBY\@L1>2<;eEHF]XD@=2>>b9@KKRUGE:7>=0l;BMMPWIK858:2n5LOOVQKI6;:;4h7NAATSMO49446j1HCCZ]OM2?618d3JEEX_AC0=06:f=DGG^YCA>327<`?FII\[EG<1<8>b9@KKRUGE:7>50l;BMMPWIK85822o5LOOVQKI6;:7i0OB@[RNN38669k2IDBY\@L1>05;eEHF]XD@=2<3?a8GJHSZFF;0>:1e:ALJQTHD96897>1c:ALJQTHD96893l4CNLWVJJ74:4i7NAATSMO4929j2IDBY\@L1>6:g=DGG^YCA>36?`8GJHSZFF;0:0m;BMMPWIK8525n6M@NUPLH5:>68>0OB\J_FGMAWGSAFDTECH@7:AQADRBL81O>6JF4:FQGN2;H08M540@XZ>4:NVP727@[WF478IP^A>;1E<:5AEUULVN0V03Y$?5iQC4:RBVQg;5Z0.W\MFII'@URI^QMSBCM*PYE[&cO^NEPLNABH7d<]9%^SDM@N.W\GHB(OV^J^JK[[02^*LYIM9$^SYO]GDV1f>S7'\UBOB@ U^AN@*AX\HXLIYU>1\,J[KC7&\U_M_IJT3`8Q5)RW@IDB"[PCLF,CZRFZNO_W<V"DQAE1,V[QGUOL^9n6[?/T]JGJH(]VIFH"IPT@PDAQ]6=T$BSH_?.T]WEWAB\;k0Y=!Z_HALJ*SXKDN$KRZNRFGW_3[)AVDN<#[PT@PDAQ4f3\:$YRGLOO-V[FKC'NU_M_IJTZ5^*LYIM9$^SYO]GDV1e>S7'\UBOB@ U^AN@*AX\HXLIYU7]/K\J@6)]V^J^JK[2`9V4*SXAJEE#XQLME-D[QGUOL^P5P F_OG3*PYSI[MNX?m4U1-V[LEHF&_TO@J U^ALIHGILVYN@"G;.GKX4X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY24X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY25X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY26X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY27X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY20X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY21X(RWE__>n5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY2Y+SXD\^9o6[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ0^*PYK]]8h7X> U^K@KK)RWJGO#XQLOLOBJAYTME%B8#HF[2_-QZJR\;i0Y=!Z_HALJ*SXKDN$YRM@MLCM@ZUBD&C?"KGT4\,V[ISS:j1^<"[PIBMM+PYDEM%^SNABM@LG[VCK'@>%JDU:]/W\HPR5k2_;#XQFCNL,QZEJL&_TOBCBAOF\W@J(A=$MEV8R.T]OQQ4d3\:$YRGLOO-V[FKC'\UHC@CNNE]PAI)N<'LBW:S!U^NVP7e<]9%^SDM@N.W\GHB(]VIDA@OAD^QFH*O3&OCP4P Z_MWW6f=R8&_TENAA/T]@IA)RWJEFAL@K_RGO+L2)N@Q2Q#[PLTV1g>S7'\UBOB@ U^AN@*SXKFXNSDJPSDN,M1(AAR:V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8:V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8;V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS88V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS89V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8>V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8?V"XQCUU0`?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8W%YRBZT3a8Q5)RW@IDB"[PCLF,QZEHZLUBHR]JL.K7*CO\:T$^SA[[2b9V4*SXAJEE#XQLME-V[FIUMVCOS^KC/H6-BL]4U'_T@XZ=c:W3+PYNKFD$YRMBD.W\GJTBW@NT_HB I5,EM^2Z&\UGYYn5Z0.W\MFII'\UHAI!Z_BMQAZOCWZOG#D:!FHY4Y+SXD\^9o6[?/T]JGJH(]VIFH"[PCNPF[LBX[LF$E9 IIZ:^*PYK]]8h7X> U^K@KK)RWJGO#XQLOSG\MAYTME%B8#HF[8_-QZJR\8=0Y=!Z_HALJ*SXKDN$YR]JL^l2f>S7'\UBOB@ U^AN@*SX\HXLIYQLE048Q5)RW@IDB"[PCLF,mVCKWg;i7X> U^K@KK)RWJGO#dZNRFGW84699k1^<"[PIBMM+PYDEM%bXL\HEU>25;7f3\:$YRGLOO-V[FKC'`^J^JK[<9<2e>S7'\UBOB@ U^AN@*oSI[MNX1711`9V4*SXAJEE#XQLME-jPDT@M]UHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX4X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY3Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ33Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ33Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ32Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ32Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ31Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ31Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ30Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ30Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ37Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ37Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ36Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ36Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ3^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[0_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT2\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU=]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_5[)NVIFHV=R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW>S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP8P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ2^*CYDEMQ?Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR?V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\<BN^T0\,E[FKCST$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]1U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W=S!F^AN@^1Z&\UCOHn5Z0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY;Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ;^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[8_-QZJDM8o0Y=!Z_HALJ*SXK]XGSB[[/T]@IAAUX9;n7X> U^K@KK)RWJ^Y@RAZT.W\GHB@ZY;9=6[?/T]JGJH(]VI_^AQ@UU-V[AGSIV\J@DJ=8:W3+PYNKFD$YRM[RM]LQQ)nKFGFMCJPGSR\W@J;87837X> U^K@KK)RWJ^Y@RAZT.k@KHKFFMUL^]Q\EM>2:76<]9%^SDM@N.W\GQTKWF__#dJNT@]UEIOC:81^<"[PIBMM+PYT\H^$JR][AUY3Y+SX[]K_><5Z0.W\MFII'\UXXLZ F^QWEQ]6U'_T_YO[209V4*SXAJEE#XQ\T@V,BZUSI]Q9Q#[PSUCW64=R8&_TENAA/T]PPDR(NVY_MYU<]/W\WQGS9h1^<"[PIBMM+PYT\H^$YRMBDFPS44b<]9%^SDM@N.W\WQGS'\UOMYOPV@NJ@4g<]9%^SDM@N.W\WQGS'\UXXLZLME3`?P6(]VCHCC!Z_RVBP*SX[]K_O@JPn338Q5)RW@IDB"[PSUCW+PYT\H^TOCZ CH>2:77<]9%^SDM@N.W\WQGS'\UXXLZPCOV,GL:56;;0Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(K@682??4U1-V[LEHF&_T_YO[/T]PPDRXKG^$OD2;>338Q5)RW@IDB"[PSUCW+PYT\H^TOCZ CH>6:76<]9%^SDM@N.W\WQGS'\UXXLZPCOV,@969:91^<"[PIBMM+PYT\H^$YR][AU]@JQ)C4849<6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&N7>3328Q5)RW@IDB"[PSUCW+PYT\H^TOCZ D=6=65=R8&_TENAA/T]PPDR(]VY_MYQLNU-G8085k2_;#XQFCNL,QZUSI]%^S^ZNT^AMP*A\8T$LbE Z_LW[G\403\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]7U'_TJI\J279V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[1_-QZJR\;20Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(OR:V"XQWOSAZ6f=R8&_TENAA/T]PPDR(]VY_MYQLNU-D_4[)OgB%YRCZXB[13>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX5X(RWONYI?84U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV?R.T]OQQ4?3\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]6U'_TTB\LY3a8Q5)RW@IDB"[PSUCW+PYT\H^TOCZ GZ0^*BhO&\UFYUMV269V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[3_-QZ@CZL8=7X> U^K@KK)RWZ^JX"[PSUCW[FHS'NQ9Q#[PLTV1<>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX6X(RWQEYOT2_;#XQFCNL,QZUSI]%^S^ZNT^AMP*A\;T$^SA[[299V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[2_-QZ^HZJS9o6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&MP8P HnI,V[HS_KP8<7X> U^K@KK)RWZ^JX"[PSUCW[FHS'NQ?Q#[PFEPF63=R8&_TENAA/T]PPDR(]VY_MYQLNU-D_1[)]VF^X?64U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV:R.T][KWE^:>1^<"[PIBMM+PYT\H^$YR][AU]@JQ)@S=5Z0.W\MFII'\UXXLZ U^QWEQYDF]%X0?0=0:W3+PYNKFD$YR][AU-V[VRF\VIEX"]33?03?P6(]VCHCC!Z_RVBP*SX[]K_SN@[/R>7:76<]9%^SDM@N.W\WQGS'\UXXLZPCOV,W9399j1^<"[PIBMM+PYT\H^$YR][AU]KMBN6j2_;#XQFCNL,QZUSI]%^S^ZNT^NLS40<]9%^SDM@N.W\WQGS'\U_HB?m;T2,QZODGG%^S^ZNT.W\PAIXflr=h5Z0.W\MFII'\UXXLZ iBOG[BTW8VYN@5=i5Z0.W\MFII'\UXXLZ iRVBPZEI\5?5=o5Z0.W\MFII'\UXXLZ iRVBPZNNOA;j7X> U^K@KK)RWZ^JX"g\T@V\HJQ6i2_;#XQFCNL,QZUSI]%bXIAPndzw6g=R8&_TENAA/T]P]KE(OVYRBNQXOSFX4X(NWGO;"XQIDS0a?P6(]VCHCC!Z_R[MG*AX[PDHSZA]DZ3^*LYIM9$^SKJ]2c9V4*SXAJEE#XQ\YOA,CZU^FJU\C_JT2\,J[KC7&\UMH_o5Z0.W\MFII'\UXUCM G^QZJFYPG[NP8P F_OG3*PYAL[8i7X> U^K@KK)RWZSEO"IPSXL@[RIULR?V"DQAE1,V[CBU:k1^<"[PIBMM+PYTQGI$KR]VNB]TKWB\>T$BSH_?.T]E@W7e3\:$YRGLOO-V[V_IK&_TKBZPSXL@5a=R8&_TENAA/T]P]KE(]VMDXR]VNB]K5a=R8&_TENAA/T]P]KE(]VMDXR]VNB]O52=R8&_TENAA/T]P]KE(]VYRBN?j;T2,QZODGG%^S^WAC.W\mAGSIVIFHR`>c:W3+PYNKFD$YR]VNB-j@DRFWJGOSc?n;T2,QZODGG%^S^WAC.kDKQYTQGI:j6[?/T]JGJH(]VYRBN!fGNV\W\HDWACLDe:W3+PYNKFD$YR]VNB-jW\HDW^EYH1?11d9V4*SXAJEE#XQ\YOA,mV_IKV]D^I2=>0g8Q5)RW@IDB"[PSXL@+lU^FJU\C_J33?3f?P6(]VCHCC!Z_R[MG*oTQGIT[B\K<5<2a>S7'\UBOB@ U^QZJF)n[PDHSZA]D=7=5`=R8&_TENAA/T]P]KE(aZSEORY@RE>5:45<]9%^SDM@N.W\PAOXzlm8<6[?/T]JGJH(]V^OCRCZX.W\GVYJ]Q%B8#[PMTZ22*Kj}qUD=S7'\UBOB@ iBMNIDHCWZOG0=0>a:W3+PYNKFD$eNABM@LG[VCK48:5=l5Z0.W\MFII'`IDA@OAD^QFH97668k0Y=!Z_HALJ*oDGDGJBIQ\EM>26;7f3\:$YRGLOO-jGJKJIGNT_HB312<2e>S7'\UBOB@ iBMNIDHCWZOG0<:11`9V4*SXAJEE#dM@MLCM@ZUBD5;>2<74U1-V[LEHF&cHC@CNNE]PAI:66830Y=!Z_HALJ*oDGDGJBIQ\EM>1:4?<]9%^SDM@N.k@KHKFFMUXIA2<>0;8Q5)RW@IDB"gLOLOBJAYTME6?2<74U1-V[LEHF&cHC@CNNE]PAI:26830Y=!Z_HALJ*oDGDGJBIQ\EM>5:4?<]9%^SDM@N.k@KHKFFMUXIA28>0;8Q5)RW@IDB"gLOLOBJAYTME632<74U1-V[LEHF&cHC@CNNE]PAI:>68?0Y=!Z_HALJ*oDG[OTEI2?>078Q5)RW@IDB"gLOSG\MA:668?0Y=!Z_HALJ*oDG[OTEI2=>078Q5)RW@IDB"gLOSG\MA:46830Y=!Z_HALJ*oDG[OTEIQ\EM>3:4g<]9%^SDM@N.k@KWCXAMUXIA2>5?30?P6(]VCHCC!fD@VB[FKC9<1^<"[PIBMM+lBF\HUHAIQaf:W3+PYNKFD$eI\LKd9V4*SXAJEE#d]JL028Q5)RW@IDB"g\EM]mb>S7'\UBOB@ iR[MG`=R8&_TENAA/hVGM`=R8&_TENAA/hVGK40<]9%^SDM@N.kW@JYQMJ6:93?<;T2,QZODGG%bXIAPndzw`>S7'`YCEKZPOTVf?P6(a\ZOMYKPOTV5?PVCI]Oh7X]JR^TJWLDKM:1]ON74VHGT[Q_WM8n0TDBFNY/[@G&7&8*XXXL/0/3#EVENA<1SC_MV6:ZPPIOE?2RXXRIAD69[WQYQKJh0TRM@RD]JJCI13QniSDj>0:ZgiZKfbfx]i}foo33?]bjWDcecXjrrklj6=_{}90Uh}m4_^][HKKXWV;;SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY26X(RWEIN?n5P_^ZOJHYXW8;TSR[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ30Y+SXDJO8o6QP_YNMIZYX9;UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[06^*PYKKL9h7RQPXMLN[ZY6;VUTY=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT14_-QZJDM:h0SRQWLOO\[Z73WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU>]/W\HFC4j2UTSUBAM^]\50YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW?S!U^N@A6dYXWQFEARQP16]\[P6(]VCHCC!Z_BVQHZIR\<BN^T0\,E[FKCS=W%YRBLE2`8[ZY_DGGTSR?7_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]2U'_T@NKl4_^][HKKXWV;TSR[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ31Y+SXD\^8n6QP_YNMIZYX:9UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[6_-QZJDM:h0SRQWLOO\[Z46WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU7]/W\HFC4j2UTSUBAM^]\67YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW4S!U^N@A7bYXWQFEARQP3^]\Q5)RW@IDB"[PCLF,QZEHEDKEHR]JL.K7*CO\0T$^SA[[3`9\[Z^KFDUTS9QP_T2,QZODGG%^SNCK/T]@KHKFFMUXIA!F4/DJ_3[)]VF^X>o4_^][HKKXWV?TSR[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ6^*PYK]]9j7RQPXMLN[ZY1WVU^<"[PIBMM+PYDEM%^SNABM@LG[VCK'@>%JDU=]/W\HPR4i2UTSUBAM^]\3ZYX]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP

R.G]@IA]69T$^SAMJd:cp}keXllidhh|6;`qzjfYj}qo0oegsbmsaZodgg8m7nffpralt`Ynkfd'oegsbmsaZodggU}=R>9_`.MKKC+FFDN?;o4cikswfiwmVchcc"lhhrpgjvbW`idbRx>_14\e)}dW|ynSckx_qcqw`t:8%iTtnaPlhqw9KsjjlxTOb`{rnn\Tjts{4;0Y~kPEyv\Fveff4lj`~k4Urgq[VeffVIcmd`2URGQ5>CiikfnSGzng^@vbb;sz|o0Y~kPUowpaaYE{jke1kocsd9Vw`YTgo~inoa=gcow`*dWqnnzdmjf`ojh|;txhxmc8#c^alv`Ysqyo6=!mPm`hlvZpbzzcdb0?;,b]nmkiuWoydaa=5.`[gsndmUyi{g|inl>4)eXkfxnS`oeos]uaw;6$jUhckPmhllvZpbz48'oRm`rd]nmkiuWhf{dlQyes?:3)eXeomTei3>,b]vw`Ye}ox60>#c^wpaZehf}xd`>3?,b]vw`Ydgg~yca:20-a\qvcXkfd~bb:=1.`[pubWjeexac6<2/gZstmVidby|`l6?3(fYr{lUhccz}om:>4)eX}zoTob`{rnn:95*dW|ynSnaatsmo55;7$jU~hQloovqki7659&hSx}j_bmmpwik9;7; nQzsd]`kkruge;81="l_tqf[fii|{eg=93?,b]vw`Ybp}Uinoa=1.`[pubW|d~hjPbrabj86+kVxiRv|t^v`oZqhzbkycx`k=0.`[`~feyfnSik|ifl>bdjtm%iTob`|tscjjqYsqyo6iuzjroco(fYr{lxTnoa_bjbmk;6$t997nffpralt`YnkfdTz2ixS`{w8:ap[hs9?k0enaa_cq`ek`;ya5wi~0=$llk96;-2CDu2712JKt4}T=j0:44475;3077edn3;h9v`>8682?k7?03<0(<69:0:3?xU4=3;3576::0106fea28i=7i?n7;295?7|[=3=9:99onh51b78 41a2><0(5851c48f4g029098767:6dxL41c3-3j64}6=3w/=5;51`c8 77=9h20(8l51`;8 <5=82.2>7?7a:)60?6=,1219?5a86814>=,=:0;6)67:408j=1=821 9<4?:%:;>04!>?2<80b5952:9(0c<72-2368<4n9597>=,04!>?2<80b5956:9(0d<72-2368<4n9593>=,<00;6)67:408j=1=021 854?:%:;>04!>?2<80b595a:9(03<72-2368<4n959f>=,<<0;6)67:408j=1=k21 894?:%:;>04!>?2<80b595e:9(07<72-2368<4n959b>=,<90;6)67:408j=1=9910'>h50;&;5<#010>>6`77;31?>-4l3:1(565539m<2<6;21 ?n4?:%:;>045$9:917=i0>0:;65$3983>!>?2<80b5951998/61=83.347;=;o:4>4?<3"?j6=4+89866>h??3;j76%:9;29 =>==;1e4:4>b:9(1=<72-2368<4n9595f=<#<=1<7*78;71?k>028n07&;9:18'<=<2:2d3;7?j;:)61?6=,1219?5a8682b>=,5<#010>>6`77;00?>d60=0;6<4?:1y'=d<6?l1C=5<4H05g?j>32900qo?73;295?6=8r.2m788;I3;6>N6?m1d:;4?::a=f<72;0;6=u+9`82g>N60;1C=:j4$9195g3>{e1k0;6o4?:1y'=d<6j2B:4?5G16f8j27=92d<>7>4o8394?=h1>0;66a7e;29?j?12900c<9l:188k=b=831d5=4?::k:26<@8297E?8d:&;7?7e=2c=47>5;n:1>5<87>54;294~">i3<87E?72:J23a=#0:0:n85+6g82?l7b2900e?>50;9j1a<722e3n7>5;|`217<72=0;6=u+9`857>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9l4=n9l0;66g<4;29?l3c2900c5l50;9~ff>=8381<7>t$8c935=O9180D<9k;%:0>4d23`<36=44o9094?=zjj31<7=50;2x 428h>7)8i:048 =?=90i0e;750;9j2d<722e3>7>5;|`b0?6==3:1o0:7d?j:188m76=831b?94?::k6`?6=3f2i6=44}cc6>5<2290;w)7n:708L4>53A;"1n3;0e5;h7g>5<0<729q/5l492:J2<7=O9>n0(5=51c78 3`=92c:i7>5;h03>5<1<75f5e83>>i?j3:17pl6e;291?6=8r.2m78=;I3;6>N6?m1/4>4>b49'2c<63`;n6=44i3294?=n;=0;66g:d;29?j>e2900qo7i:186>5<7s-3j6;<4H0:1?M70l2.3?7?m5:&5b?7>o4<3:17d;k:188k=d=831vnl>50;794?6|,0k1:?5G1908L41c3-2864=n9l0;66g=0;29?l532900e8j50;9l5}#1h0=>6F>839K52b<,191=o;4$7d95>o6m3:17d7>55;294~">i3<97E?72:J23a=#0:0:n85+6g82?l7b2900e?>50;9j71<722c>h7>5;n:a>5<"?;3;i96*9f;38m4c=831b>=4?::k00?6=3`?o6=44o9`94?=zjho1<7;50;2x 428h>7)8i:0;8 =?=91h0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:018 =?=90<0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:d9'<<<61m1b:44?::k5e?6=3`0<729q/5l489:J2<7=O9>n0(5=51c78 3`=l2.357?6d:k5=?6=3`j0;66a72;29?xde?3:197>50z&:e?1>3A;3>6F>7e9'<6<6j<1/:k4l;%::>4?b3`<26=44i7c94?=n>k0;66g9c;29?j>52900qol9:186>5<7s-3j6:74H0:1?M70l2.3?7?m5:&5b?g<,131=4k4i7;94?=n>h0;66g9b;29?l0d2900c5<50;9~fg3=83?1<7>t$8c93<=O9180D<9k;%:0>4d23-00;66g9a;29?l0e2900e;m50;9l<7<722win94?:483>5}#1h0<56F>839K52b<,191=o;4$7d9<>"?13;2j6g99;29?l0f2900e;l50;9j2f<722e3>7>5;|`a7?6==3:1o0<7)66:0c3?l0>2900e;o50;9j2g<722c=o7>5;n:1>5<30D<6=;I34`>"?;3;i96*9f;48 =?=9h:0e;750;9j2d<722c=n7>5;h4`>5<a09j2<<722c=m7>5;h4a>5<>{ej90;684?:1y'=d<012B:4?5G16f8 =5=9k?0(;h54:&;=?7f92c=57>5;h4b>5<>i?:3:17plnf;291?6=8r.2m796;I3;6>N6?m1/4>4>b49'2c<43`<26=44i7c94?=n>k0;66g9c;29?j>52900qoo7:186>5<7s-3j6:74H0:1?M70l2.3?7?m5:&5b?4>o1j3:17d8l:188k=4=831vnok50;794?6|,0k1;45G1908L41c3-2864?>o1j3:17d8l:188k=4=831vnoj50;794?6|,0k1;45G1908L41c3-28641>o1j3:17d8l:188k=4=831vnom50;794?6|,0k1;45G1908L41c3-28645>o1j3:17d8l:188k=4=831vnol50;794?6|,0k1;45G1908L41c3-28646>o1j3:17d8l:188k=4=831vnoo50;794?6|,0k1;45G1908L41c3-286`=n>00;66g9a;29?l0e2900e;m50;9l<7<722win44?:483>5}#1h0<56F>839K52b<,191=o;4$7d9`>o113:17d8n:188m3d=831b:n4?::m;6?6=3thh;7>55;294~">i3=27E?72:J23a=#0:0:n85+6g8`?l0>2900e;o50;9j2g<722c=o7>5;n:1>5<30D<6=;I34`>"?;3;i96*9f;c8m3?=831b:l4?::k5f?6=3`428h>7)8i:89j2<<722c=m7>5;h4a>5<>{ek=0;684?:1y'=d<012B:4?5G16f8 =5=9k?0(;h58:k5=?6=3`j0;66a72;29?xdd;3:197>50z&:e?1>3A;3>6F>7e9'<6<6j<1/:k48;h4:>5<>o1k3:17b6=:188yge5290>6=4?{%;b>2?<@8297E?8d:&;7?7e=2.=j784i7;94?=n>h0;66g9b;29?l0d2900c5<50;9~ff7=83?1<7>t$8c93<=O9180D<9k;%:0>4d23->o1i3:17d8m:188m3e=831d4?4?::ag5<72<0;6=u+9`84=>N60;1C=:j4$9195g3<,?l186g99;29?l0f2900e;l50;9j2f<722e3>7>5;|`ab?6==3:1o087d86:188m3g=831b:o4?::k5g?6=3f296=44}c`;>5<2290;w)7n:6;8L4>53A;"1n380e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=9h80e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=90:0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=90;0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=9080e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=9090e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=90>0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=90?0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=90=0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=9020e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=9030e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=9h90e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=9h>0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=91i0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=91n0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=91o0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=91l0e;750;9j2d<722c=n7>5;h4`>5<53;294~">i3=37E?72:J23a=#0:0:n85+6g8e?!>>283j7d86:188m3g=831d4?4?::ab7<72:0;6=u+9`84<>N60;1C=:j4$9195g3<,?l1=55+8882=g=n>00;66g9a;29?j>52900qo?=9;290?6=8r.2m79k;I3;6>N6?m1/4>4>b49j2<<722c=m7>5;h:e>5<5<3290;w)7n:6a8L4>53A;o113:17d8n:188m=`=831d4?4?::a570=83>1<7>t$8c93f=O9180D<9k;%:0>4d23`<26=44i7c94?=n0o0;66a72;29?xda>3:197>50z&:e?1>3A;3>6F>7e9'<6<6j<1/:k4m;h4:>5<>o1k3:17b6=:188yg`0290>6=4?{%;b>2?<@8297E?8d:&;7?7e=2.=j78?;h4:>5<>o1k3:17b6=:188yg`?290>6=4?{%;b>2?<@8297E?8d:&;7?7e=2.=j7?;;h4:>5<>o1k3:17b6=:188yg`>290>6=4?{%;b>2?<@8297E?8d:&;7?7e=2.=j7?>;h4:>5<>o1k3:17b6=:188yg`c29096=4?{%;b>26<@8297E?8d:&;7?7e=2c=47>5;n:1>5<20D<6=;I34`>"?;3;i96*9f;d8m3?=831b:l4?::m;6?6=3thmo7>55;294~">i3=27E?72:J23a=#0:0:n85+6g82=>o113:17d8n:188m3d=831b:n4?::m;6?6=3thmn7>53;294~">i3=37E?72:J23a=#0:0:n85+6g82<>"?13;2n6g99;29?l0f2900c5<50;9~f44?290?6=4?{%;b>35<@8297E?8d:&;7?7e=2.=j7?4i0g94?=n:90;66g:d;29?j>e2900qo??c;297?6=8r.2m7?71:J2<7=O9>n0(5=51c78m74=831b;>4?::m;6?6=3th:<44?:583>5}#1h0839K52b<,191=o;4i3094?=n=l0;66g7f;29?j132900qo?>0;297?6=8r.2m7?71:J2<7=O9>n0(5=51c78m74=831b;>4?::m;6?6=3th:5}#1h0839K52b<,191=o;4i3094?=n=l0;66g7f;29?j132900qo?>4;297?6=8r.2m7?71:J2<7=O9>n0(5=51c78m74=831b;>4?::m;6?6=3th:=<4?:583>5}#1h0839K52b<,191=o;4i3094?=n=l0;66g7f;29?j132900qo?>8;297?6=8r.2m7?71:J2<7=O9>n0(5=51c78m74=831b;>4?::m;6?6=3th:=84?:583>5}#1h0839K52b<,191=o;4i3094?=n=l0;66g7f;29?j132900qo?>c;297?6=8r.2m7?71:J2<7=O9>n0(5=51c78m74=831b;>4?::m;6?6=3th:=44?:583>5}#1h0839K52b<,191=o;4i3094?=n=l0;66g7f;29?j132900qo?>f;297?6=8r.2m7?71:J2<7=O9>n0(5=51c78m74=831b;>4?::m;6?6=3th:5}#1h0<;6F>839K52b<,191=o;4$7d957=n>00;66a72;29?xd68o0;6?4?:1y'=d<0?2B:4?5G16f8 =5=9k?0(;h5139j2<<722e3>7>5;|`256<72;0;6=u+9`843>N60;1C=:j4$9195g3<,?l1=?5f6883>>i?:3:17pl>1683>7<729q/5l487:J2<7=O9>n0(5=51c78 3`=9;1b:44?::m;6?6=3th:=o4?:383>5}#1h0<;6F>839K52b<,191=o;4$7d957=n>00;66a72;29?xd69l0;6?4?:1y'=d<0?2B:4?5G16f8 =5=9k?0(;h5139j2<<722e3>7>5;|`24d<72<0;6=u+9`851>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9j5;|`24`<72<0;6=u+9`851>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9j5;|`257<72<0;6=u+9`851>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9j5;|`253<72<0;6=u+9`851>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9j5;|`25d<72<0;6=u+9`851>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9j5;|`25a<72<0;6=u+9`851>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9j5;|`201<72<0;6=u+9`84=>N60;1C=:j4$9195g3<,?l1o6g99;29?l0f2900e;l50;9j2f<722e3>7>5;|`207<72:0;6=u+9`84<>N60;1C=:j4$9195g3<,?l1=55+8882=f=n>00;66g9a;29?j>52900qo?;6;296?6=8r.2m79?;I3;6>N6?m1/4>4>b49j2=<722e3>7>5;|`206<72<0;6=u+9`84=>N60;1C=:j4$9195g3<,?l1h6g99;29?l0f2900e;l50;9j2f<722e3>7>5;|`200<72<0;6=u+9`851>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9j5;|`27g<72=0;6=u+9`850>N60;1C=:j4$9195g3<,?l1=6g>e;29?l3c2900e5m50;9lm50;694?6|,0k1:95G1908L41c3-2864=n9l0;66g:d;29?l>d2900c5l50;9~f45c290?6=4?{%;b>32<@8297E?8d:&;7?7e=2.=j7?4i0g94?=n=m0;66g7c;29?j>e2900qo?N6?m1/4>4>b49'2c<63`;n6=44i4f94?=n0j0;66a7b;29?xd6;o0;694?:1y'=d<1<2B:4?5G16f8 =5=9k?0(;h51:k2a?6=3`?o6=44i9a94?=h0k0;66sm15294?2=83:p(4o5659K5=4<@8=o7)6<:0`6?!0a281b=h4?::k6`?6=3`2h6=44o9`94?=zj8>:6=4;:183!?f2?>0D<6=;I34`>"?;3;i96*9f;38m4c=831b9i4?::k;g?6=3f2i6=44}c367?6=?3:1o113:17d8n:188m3d=831b:n4?::k5`?6=3`1<75<5sW?:70jn:908yv372909wS;?;=4m6=4={_6e?8b12180q~:j:181[2b34n?65<4}r6g>5<5sW>o70j=:908yv2e2909wS:m;=4j6=4={_6b?8cd2180q~:6:181[2>34oj65<4}r6;>5<5sW>370k7:908yv202909wS:8;=4=6=4={_65?8c32180q~:::181[2234o965<4}r67>5<5sW>?70k?:908yv242909wS:<;=496=4={_61?8b72180q~:?:181[2734no65<4}r1e>5<5sW9m70jm:908yv5b2909wS=j;=465<4}r1a>5<5sW9i70j<:908yv5f2909wS=n;=45<5sW9<70k6:908yv3f2909wS;n;=45<5sW?<70k>:908yv312909wS;9;=46=4={_76?8b62180q~:>:186[2634;>>76m;0b<58>:68j4=060>3e7>51ey]73=:1j03>63>5382a>;6==0:i63n3;3f?8g528o01l?51d9>e5<6m272j7?j;<;f>4c<5h=1=h52a782a>;f=3;n70o;:0g8944?28o01j:0g8946f28o01<:>:0g8942728o01<=i:0g8945b28o01<=k:0g8945d28o01<=m:0g8942228o0q~9j:18g87?<32?70?:2;03?872<38;70?=8;03?876l38;70?>a;03?876>38;70?>2;03?877m38;70??a;03?877138970??c;01?873=3?o7p};c;293~;60:0=:6P;c:?25<<2m27:=84:e:?254<2m27:=g<>92wx5i4?:3y>=g<2n272o787;|q234<72;q6=8;55e9>500=0;1v<9;:181872=39?70?:7;:1?xu6?<0;6>u2f385=>;aj3<270?;5;:a?xu6?>0;6?u214693cm7>54z?b534n:6;o4=e292d=:nj0=m6s|17394?5|5hl14?52dg85e>;cm36383>6}:j903>63j1;4b?8c72?k0q~?93;297~;e932970k<:7c89`4=>h1v<8;:1808d521801h;56`9>a1<1i2wx=;;50;1x9g5=0;16i:49a:?f2?0f3ty::;4?:2y>f13g53z?a1?>534oi6;o4=dc92d=z{8<36=4<{<`5>=4<5ln1:l52eb85e>{t9?31<7=t=c59<7=:mo0=m63je;4b?xu6=k0;6>u2a88;6>;c;3h16h;49a:p50c=839p1lm5839>`<<1i27o478n;|q21c<72:q6mi472:?gf?0f34nj6;o4}r354?6=;r7ji76=;3g<5mi1:l5rs04f>5<59r7i476=;3d<5mi1:o52dc85f>;ci3=>k16h:49b:?g2?0e34n>6;l4=e692g=:l:0=n63k2;4a?8ca2?h01hk56c9>aa<1j27no78m;3d<5lk1:o52e885f>;b03k16i849b:?f0?0e34o86;l4=d092g=:m80=n63j0;4a?8ba2?h01ik56c9>`4<1j27o<78m;|q22c<72;q6nh472:?eg?0e3ty::l4?:01x9d6=0k165k4:d:?a34hm6;74=b292<=:k80=563l2;4:?8e42?301n:5689>g0<1127h:786;3?<5k31:452b`85=>;ej3<270ll:7;89gb=>016nh499:?216<1k2wx=;l50;308g621h01l>55e9>f=<1i27ij78n;3g<5j;1:l52c385e>;d;3h16o;49a:?`3?0f34h26;o4=cc92d=:jk0=m63mc;4b?8dc2?k01ok56`9>505=>k1v<8l:1827~;f:32i70o>:4f89g>=>k16nk49b:?`4?0e34i:6;l4=b092g=:k:0=n63l4;4a?8e22?h01n856c9>g2<1j27i578m;<`b>3d<5kh1:o52bb85f>;el3g5<1k27h=78l;3e<5j91:n52c585g>;d=3j16n449c:?ae?0d34hi6;m4=ca92f=:jm0=o63me;4`?872;3<27p}>5983>1}:i=03n63i0;4:?8`62?301ko5689~w43>290>w0o::9`89d2==m16j=49a:?e5?0f34lj6;o4}r344?6=502==m16=8=5839~w4142908w0?:7;4;?8?e20:01n65699~w4112909w0?:2;7g?8?e20=0q~mn:18a8g42:>01l<5359>e4<4<27j<7=;;<;e>62<50o1?952a6800>;f>39?70o::2689d2=;=16o5472:pgc<72kq6m>4=0:?b6?4734k:6?>4=`2965=:1o09<636e;03?8g02;:01l85219>e0<5827j87=42z?b2?>e34k>68j4=`:92<=:io0=563m0;4:?8d62?301o<5689>f6<1127i8786;<`6>3?<5k<1:452b685=>;f13<270on:7;89dd=>016mn499:?b`?0>34kn6;74}raf>5<6:r7j;76m;0b<5h21:l52ag85e>;e83:7c89g4=>h16n>49a:?a0?0f34h>6;o4=c492d=:j>0=m63n9;4b?8gf2?k01ll56`9>ef<1i27jh78n;3g2z?:a?>e34k<68j4=`:92g=:io0=n63m0;4a?8d62?h01o<56c9>f6<1j27i878m;<`6>3d<5k<1:o52b685f>;f13k16mn49b:?b`?0e34kn6;l4}ra`>5<6:r72j76m;<;f>0b<5h21:n52ag85g>;e83:7a89g4=>j16n>49c:?a0?0d34h>6;m4=c492f=:j>0=o63n9;4`?8gf2?i01ll56b9>ef<1k27jh78l;3e1z?e5?>534nh6;m4=ec92f=:l10=o63k6;4`?8b32?i01i<56b9>a`<1k27no78l;3e<5l21:n52e785g>;b<3j16hh49c:?g4?0d3tym?7>510y>b53e<5m31:n52d685g>;c=3j16ii49c:?ff?0d34o26;m4=d592f=:m<0=o63j3;4`?8c62?i01ih56b9>`4<1k2wxj84?:33x9c4=0;16hi499:?gg?0>34ni6;74=ec92<=:l00=563k8;4:?8b02?301i85689>`0<1127o8786;3?<5m81:452eg85=>;bm3<270kk:7;89`e=>016io499:?fe?0>34o26;74=d:92<=:m>0=563j6;4:?8c22?301h:5689>a6<1127n>786;3?<5l:1:452dg85=>;cm3<270j>:7;89a6=>01v<=n:18187503?o70?=9;:1?xu6;00;6?u21359<7=:9;31:45rs01;>5<5s4;9:76=;<31=?0f3ty:>n4?:3y>b3:499:p57b=838p1k95839>571=>h1v<v3i9;:1?875>33083>2}:nm03>63>1e8;g>;69h03o63>178;g>;69;03o63>0d8;g>;68h03o6s|13c94?4|5oi1:n52f`8;6>{t9::1<7{t9:=1<7=t=00:>=`<58;o65l4=03f>3?54z?263:47f:?25db=<1k27m;78l;3e<58;=65l4=034>3?56z?e=?0e34l36;l4=g592g=:n?0=n63>138;f>;69:0=56s|12194?0|5o31:l52f985e>;a?3i:7;8yv74:3:1:v3i9;4:?8`?2?301k95689>b3<1127:l:908yv`b2908w0??d;01?876838970??9;57?xu68=0;6?u211g91a=:98:14?5rsgd94?5|58;:6?<4=037>74<58:o6::4}r331?6=:r7:=?4:d:?25150;1x94722;801>0q~??6;296~;69?0>h63>198;6>{t99;1<7=t=03:>74<58;h6?<4=036>2252z?25d<2l27:=n472:p554=838p12>>0q~??8;296~;69m0>h63>1g8;6>{t9;:1<7=t=02a>=4<58:265h4=02`>2553z?24c:9d894732>90q~?=3;297~;69>03>63>148;b>;6910=`<58;h6:=4}r311?6=:r7:=h472:?25c<0;2wx=9o50;0x94252?301<:;:908yv7303:1>v3>44814>;6<;03>6s|15594??|58>:65m4=063>=e<589m65m4=01f>=e<589o65m4=01`>=e<589i65m4=066>=e<58>=65<4}r37f?6=:r7:?o47b:?201<112wx=9m50;1x945d21h01<=m:4f894232?k0q~?;d;297~;6;m03n63>3b86`>;6<=0=n6s|15g94?5|589n65l4=01g>0b<58>?6;m4}r37b?6=;r7:?k47b:?27`<2l27:8>499:p506=839p1<:?:9`8945a2v3>428;6>;6<;0=m6s|14394?5|58>:65l4=063>0b<58>86;l4}|l04g<728qC=:j4}o13g?6=9rB:;i5rn22g>5<6sA;4}O9>n0qc=>0;295~N6?m1vb>?>:182M70l2we?<<50;3xL41c3td8=>4?:0yK52b51zJ23a=zf:;>6=4>{I34`>{i;8<1<7?tH05g?xh49>0;67e9~j67>290:wE?8d:m74g=83;pD<9k;|l05g<728qC=:j4}o12g?6=9rB:;i5rn23g>5<6sA;4}O9>n0qc==0;295~N6?m1vb><>:182M70l2we??<50;3xL41c3td8>>4?:0yK52b51zJ23a=zf:8>6=4>{I34`>{i;;<1<7?tH05g?xh4:>0;67e9~j64>290:wE?8d:m77g=83;pD<9k;|l06g<728qC=:j4}o11g?6=9rB:;i5rn20g>5<6sA2:7E6?;I34`>{i;;o1<7?tH05g?xh4:o0;6N6?m1vb>=>:182M>63A2;7E?8d:m764=83;pD<9k;|l076<728qC=:j4}o100?6=9rB:;i5rn216>5<6sA;4}O9>n0qc=<8;295~N6?m1vb>=6:182M70l2we?>o50;3xL41c3td8?o4?:0yK52b51zJ23a=zf:9o6=4>{I34`>{i;:o1<7?tH05g?xh4;o0;67e9~j626290:wE?8d:m714=83;pD<9k;|l006<728qC=:j4}o170?6=9rB:;i5rn266>5<6sA;4}O9>n0qc=;8;295~N6?m1vb>:6:182M70l2we?9o50;3xL41c3td88o4?:0yK52b51zJ23a=zf:>o6=4>{I34`>{i;=o1<7?tH05g?xh47e9~j636290:wE?8d:m704=83;pD<9k;|l016<728qC=:j4}o160?6=9rB:;i5rn276>5<6sA;4}O9>n0qc=:8;295~N6?m1vb>;6:182M70l2we?8o50;3xL41c3td89o4?:0yK52bo7>51zJ23a=zf:?o6=4>{I34`>{i;7e9~j606290:wE?8d:m734=83;pD<9k;|l026<728qC=:j4}o150?6=9rB:;i5rn246>5<6sA;4}O9>n0qc=98;295~N6?m1vb>86:182M70l2we?;o50;3xL41c3td8:o4?:0yK52b51zJ23a=zf:{I34`>{i;?o1<7?tH05g?xh4>o0;67e9~j616290:wE?8d:m724=83;pD<9k;|l036<728qC=:j4}o140?6=9rB:;i5rn256>5<6sA;4}O9>n0qc=88;295~N6?m1vb>96:182M70l2we?:o50;3xL41c3td8;o4?:0yK52b51zJ23a=zf:=o6=4>{I34`>{i;>o1<7?tH05g?xh4?o0;67e9~j6>6290:wE?8d:m7=4=83;pD<9k;|l0<6<728qC=:j4}o1;0?6=9rB:;i5rn2:6>5<6sA;4}O9>n0qc=78;295~N6?m1vb>66:182M70l2wvqpNOCz63=?d31?=9>9sO@Cy3yEFWstJK \ No newline at end of file diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon.ucf b/fpga/usrp3/top/b200/coregen/chipscope_icon.ucf new file mode 100644 index 000000000..b83296f8e --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_icon.ucf @@ -0,0 +1,9 @@ +NET "U0/U_ICON/*/iDRCK_LOCAL" TNM_NET = J_CLK ; +TIMESPEC TS_J_CLK = PERIOD J_CLK 30 ns ; +#Update Constraints +NET "U0/iUPDATE_OUT" TNM_NET = U_CLK ; +NET "U0/iSHIFT_OUT" TIG ; +TIMESPEC TS_U_TO_J = FROM U_CLK TO J_CLK 15 ns ; +TIMESPEC TS_U_TO_U = FROM U_CLK TO U_CLK 15 ns ; +TIMESPEC TS_J_TO_D = FROM J_CLK TO D_CLK TIG ; +TIMESPEC TS_D_TO_J = FROM D_CLK TO J_CLK TIG ; diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon.v b/fpga/usrp3/top/b200/coregen/chipscope_icon.v new file mode 100644 index 000000000..fe9223442 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_icon.v @@ -0,0 +1,27 @@ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 2013 Xilinx, Inc. +// All Rights Reserved +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 14.4 +// \ \ Application: Xilinx CORE Generator +// / / Filename : chipscope_icon.v +// /___/ /\ Timestamp : Tue Oct 22 17:04:59 PDT 2013 +// \ \ / \ +// \___\/\___\ +// +// Design Name: Verilog Synthesis Wrapper +/////////////////////////////////////////////////////////////////////////////// +// This wrapper is used to integrate with Project Navigator and PlanAhead + +`timescale 1ns/1ps + +module chipscope_icon( + CONTROL0) /* synthesis syn_black_box syn_noprune=1 */; + + +inout [35 : 0] CONTROL0; + +endmodule diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon.veo b/fpga/usrp3/top/b200/coregen/chipscope_icon.veo new file mode 100644 index 000000000..cf73c9226 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_icon.veo @@ -0,0 +1,28 @@ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 2013 Xilinx, Inc. +// All Rights Reserved +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 14.4 +// \ \ Application: Xilinx CORE Generator +// / / Filename : chipscope_icon.veo +// /___/ /\ Timestamp : Tue Oct 22 17:05:00 PDT 2013 +// \ \ / \ +// \___\/\___\ +// +// Design Name: ISE Instantiation template +/////////////////////////////////////////////////////////////////////////////// + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +chipscope_icon YourInstanceName ( + .CONTROL0(CONTROL0) // INOUT BUS [35:0] +); + +// INST_TAG_END ------ End INSTANTIATION Template --------- + diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon.xco b/fpga/usrp3/top/b200/coregen/chipscope_icon.xco new file mode 100644 index 000000000..3d4beaaa0 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_icon.xco @@ -0,0 +1,56 @@ +############################################################## +# +# Xilinx Core Generator version 14.4 +# Date: Wed Oct 23 00:04:31 2013 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:chipscope_icon:1.06.a +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx75 +SET devicefamily = spartan6 +SET flowvendor = Foundation_ISE +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = fgg484 +SET removerpms = false +SET simulationfiles = Structural +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT ICON_(ChipScope_Pro_-_Integrated_Controller) family Xilinx,_Inc. 1.06.a +# END Select +# BEGIN Parameters +CSET component_name=chipscope_icon +CSET constraint_type=external +CSET enable_jtag_bufg=true +CSET example_design=false +CSET number_control_ports=1 +CSET use_ext_bscan=false +CSET use_softbscan=false +CSET use_unused_bscan=false +CSET user_scan_chain=USER1 +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2012-12-18T02:47:25Z +# END Extra information +GENERATE +# CRC: 5ccf4baf diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon.xdc b/fpga/usrp3/top/b200/coregen/chipscope_icon.xdc new file mode 100644 index 000000000..903799425 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_icon.xdc @@ -0,0 +1,7 @@ +# icon XDC +create_clock -name J_CLK -period 30 -waveform {15 30} [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}] +create_generated_clock -name U_CLK -source [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}] -multiply_by 1 -invert [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/UPDATE}] +set_false_path -through [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/SHIFT}] +set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -setup 2 +set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -hold 1 +set_clock_groups -asynchronous -name cross_jtag_clock_domains -group {J_CLK U_CLK} diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon_flist.txt b/fpga/usrp3/top/b200/coregen/chipscope_icon_flist.txt new file mode 100644 index 000000000..435dc80e2 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_icon_flist.txt @@ -0,0 +1,16 @@ +# Output products list for +_xmsgs/pn_parser.xmsgs +chipscope_icon.asy +chipscope_icon.constraints/chipscope_icon.ucf +chipscope_icon.constraints/chipscope_icon.xdc +chipscope_icon.gise +chipscope_icon.ngc +chipscope_icon.ucf +chipscope_icon.v +chipscope_icon.veo +chipscope_icon.xco +chipscope_icon.xdc +chipscope_icon.xise +chipscope_icon_flist.txt +chipscope_icon_readme.txt +chipscope_icon_xmdf.tcl diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon_readme.txt b/fpga/usrp3/top/b200/coregen/chipscope_icon_readme.txt new file mode 100644 index 000000000..88118d782 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_icon_readme.txt @@ -0,0 +1,46 @@ +The following files were generated for 'chipscope_icon' in directory +/home/ianb/fpgadev/usrp3/top/b200/coregen/ + +XCO file generator: + Generate an XCO file for compatibility with legacy flows. + + * chipscope_icon.xco + +Creates an implementation netlist: + Creates an implementation netlist for the IP. + + * chipscope_icon.constraints/chipscope_icon.ucf + * chipscope_icon.constraints/chipscope_icon.xdc + * chipscope_icon.ngc + * chipscope_icon.ucf + * chipscope_icon.v + * chipscope_icon.veo + * chipscope_icon.xdc + * chipscope_icon_xmdf.tcl + +IP Symbol Generator: + Generate an IP symbol based on the current project options'. + + * chipscope_icon.asy + +Generate ISE subproject: + Create an ISE subproject for use when including this core in ISE designs + + * _xmsgs/pn_parser.xmsgs + * chipscope_icon.gise + * chipscope_icon.xise + +Deliver Readme: + Readme file for the IP. + + * chipscope_icon_readme.txt + +Generate FLIST file: + Text file listing all of the output files produced when a customized core was + generated in the CORE Generator. + + * chipscope_icon_flist.txt + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon_xmdf.tcl b/fpga/usrp3/top/b200/coregen/chipscope_icon_xmdf.tcl new file mode 100755 index 000000000..241f4d5ae --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_icon_xmdf.tcl @@ -0,0 +1,88 @@ +# The package naming convention is _xmdf +package provide chipscope_icon_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::chipscope_icon_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::chipscope_icon_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name chipscope_icon +} +# ::chipscope_icon_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::chipscope_icon_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.constraints/chipscope_icon.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ucf +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.ncf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ncf +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.constraints/chipscope_icon.xdc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Xdc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.xcf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module chipscope_icon +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams + + diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_128.asy b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.asy new file mode 100644 index 000000000..5342fbe4f --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.asy @@ -0,0 +1,17 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 chipscope_ila_128 +RECTANGLE Normal 32 32 288 704 +LINE Wide 0 80 32 80 +PIN 0 80 LEFT 36 +PINATTR PinName control[35:0] +PINATTR Polarity IN +LINE Normal 0 112 32 112 +PIN 0 112 LEFT 36 +PINATTR PinName clk +PINATTR Polarity IN +LINE Wide 0 176 32 176 +PIN 0 176 LEFT 36 +PINATTR PinName trig0[127:0] +PINATTR Polarity IN + diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_128.cdc b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.cdc new file mode 100644 index 000000000..9b29ca86f --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.cdc @@ -0,0 +1,144 @@ +#ChipScope Core Generator Project File Version 3.0 +#Tue Oct 22 15:45:46 PDT 2013 +SignalExport.bus<0000>.channelList=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 +SignalExport.bus<0000>.name=TRIG0 +SignalExport.bus<0000>.offset=0.0 +SignalExport.bus<0000>.precision=0 +SignalExport.bus<0000>.radix=Bin +SignalExport.bus<0000>.scaleFactor=1.0 +SignalExport.clockChannel=CLK +SignalExport.dataEqualsTrigger=true +SignalExport.triggerChannel<0000><0000>=TRIG0[0] +SignalExport.triggerChannel<0000><0001>=TRIG0[1] +SignalExport.triggerChannel<0000><0002>=TRIG0[2] +SignalExport.triggerChannel<0000><0003>=TRIG0[3] +SignalExport.triggerChannel<0000><0004>=TRIG0[4] +SignalExport.triggerChannel<0000><0005>=TRIG0[5] +SignalExport.triggerChannel<0000><0006>=TRIG0[6] +SignalExport.triggerChannel<0000><0007>=TRIG0[7] +SignalExport.triggerChannel<0000><0008>=TRIG0[8] +SignalExport.triggerChannel<0000><0009>=TRIG0[9] +SignalExport.triggerChannel<0000><0010>=TRIG0[10] +SignalExport.triggerChannel<0000><0011>=TRIG0[11] +SignalExport.triggerChannel<0000><0012>=TRIG0[12] +SignalExport.triggerChannel<0000><0013>=TRIG0[13] +SignalExport.triggerChannel<0000><0014>=TRIG0[14] +SignalExport.triggerChannel<0000><0015>=TRIG0[15] +SignalExport.triggerChannel<0000><0016>=TRIG0[16] +SignalExport.triggerChannel<0000><0017>=TRIG0[17] +SignalExport.triggerChannel<0000><0018>=TRIG0[18] +SignalExport.triggerChannel<0000><0019>=TRIG0[19] +SignalExport.triggerChannel<0000><0020>=TRIG0[20] +SignalExport.triggerChannel<0000><0021>=TRIG0[21] +SignalExport.triggerChannel<0000><0022>=TRIG0[22] +SignalExport.triggerChannel<0000><0023>=TRIG0[23] +SignalExport.triggerChannel<0000><0024>=TRIG0[24] +SignalExport.triggerChannel<0000><0025>=TRIG0[25] +SignalExport.triggerChannel<0000><0026>=TRIG0[26] +SignalExport.triggerChannel<0000><0027>=TRIG0[27] +SignalExport.triggerChannel<0000><0028>=TRIG0[28] +SignalExport.triggerChannel<0000><0029>=TRIG0[29] +SignalExport.triggerChannel<0000><0030>=TRIG0[30] +SignalExport.triggerChannel<0000><0031>=TRIG0[31] +SignalExport.triggerChannel<0000><0032>=TRIG0[32] +SignalExport.triggerChannel<0000><0033>=TRIG0[33] +SignalExport.triggerChannel<0000><0034>=TRIG0[34] +SignalExport.triggerChannel<0000><0035>=TRIG0[35] +SignalExport.triggerChannel<0000><0036>=TRIG0[36] +SignalExport.triggerChannel<0000><0037>=TRIG0[37] +SignalExport.triggerChannel<0000><0038>=TRIG0[38] +SignalExport.triggerChannel<0000><0039>=TRIG0[39] +SignalExport.triggerChannel<0000><0040>=TRIG0[40] +SignalExport.triggerChannel<0000><0041>=TRIG0[41] +SignalExport.triggerChannel<0000><0042>=TRIG0[42] +SignalExport.triggerChannel<0000><0043>=TRIG0[43] +SignalExport.triggerChannel<0000><0044>=TRIG0[44] +SignalExport.triggerChannel<0000><0045>=TRIG0[45] +SignalExport.triggerChannel<0000><0046>=TRIG0[46] +SignalExport.triggerChannel<0000><0047>=TRIG0[47] +SignalExport.triggerChannel<0000><0048>=TRIG0[48] +SignalExport.triggerChannel<0000><0049>=TRIG0[49] +SignalExport.triggerChannel<0000><0050>=TRIG0[50] +SignalExport.triggerChannel<0000><0051>=TRIG0[51] +SignalExport.triggerChannel<0000><0052>=TRIG0[52] +SignalExport.triggerChannel<0000><0053>=TRIG0[53] +SignalExport.triggerChannel<0000><0054>=TRIG0[54] +SignalExport.triggerChannel<0000><0055>=TRIG0[55] +SignalExport.triggerChannel<0000><0056>=TRIG0[56] +SignalExport.triggerChannel<0000><0057>=TRIG0[57] +SignalExport.triggerChannel<0000><0058>=TRIG0[58] +SignalExport.triggerChannel<0000><0059>=TRIG0[59] +SignalExport.triggerChannel<0000><0060>=TRIG0[60] +SignalExport.triggerChannel<0000><0061>=TRIG0[61] +SignalExport.triggerChannel<0000><0062>=TRIG0[62] +SignalExport.triggerChannel<0000><0063>=TRIG0[63] +SignalExport.triggerChannel<0000><0064>=TRIG0[64] +SignalExport.triggerChannel<0000><0065>=TRIG0[65] +SignalExport.triggerChannel<0000><0066>=TRIG0[66] +SignalExport.triggerChannel<0000><0067>=TRIG0[67] +SignalExport.triggerChannel<0000><0068>=TRIG0[68] +SignalExport.triggerChannel<0000><0069>=TRIG0[69] +SignalExport.triggerChannel<0000><0070>=TRIG0[70] +SignalExport.triggerChannel<0000><0071>=TRIG0[71] +SignalExport.triggerChannel<0000><0072>=TRIG0[72] +SignalExport.triggerChannel<0000><0073>=TRIG0[73] +SignalExport.triggerChannel<0000><0074>=TRIG0[74] +SignalExport.triggerChannel<0000><0075>=TRIG0[75] +SignalExport.triggerChannel<0000><0076>=TRIG0[76] +SignalExport.triggerChannel<0000><0077>=TRIG0[77] +SignalExport.triggerChannel<0000><0078>=TRIG0[78] +SignalExport.triggerChannel<0000><0079>=TRIG0[79] +SignalExport.triggerChannel<0000><0080>=TRIG0[80] +SignalExport.triggerChannel<0000><0081>=TRIG0[81] +SignalExport.triggerChannel<0000><0082>=TRIG0[82] +SignalExport.triggerChannel<0000><0083>=TRIG0[83] +SignalExport.triggerChannel<0000><0084>=TRIG0[84] +SignalExport.triggerChannel<0000><0085>=TRIG0[85] +SignalExport.triggerChannel<0000><0086>=TRIG0[86] +SignalExport.triggerChannel<0000><0087>=TRIG0[87] +SignalExport.triggerChannel<0000><0088>=TRIG0[88] +SignalExport.triggerChannel<0000><0089>=TRIG0[89] +SignalExport.triggerChannel<0000><0090>=TRIG0[90] +SignalExport.triggerChannel<0000><0091>=TRIG0[91] +SignalExport.triggerChannel<0000><0092>=TRIG0[92] +SignalExport.triggerChannel<0000><0093>=TRIG0[93] +SignalExport.triggerChannel<0000><0094>=TRIG0[94] +SignalExport.triggerChannel<0000><0095>=TRIG0[95] +SignalExport.triggerChannel<0000><0096>=TRIG0[96] +SignalExport.triggerChannel<0000><0097>=TRIG0[97] +SignalExport.triggerChannel<0000><0098>=TRIG0[98] +SignalExport.triggerChannel<0000><0099>=TRIG0[99] +SignalExport.triggerChannel<0000><0100>=TRIG0[100] +SignalExport.triggerChannel<0000><0101>=TRIG0[101] +SignalExport.triggerChannel<0000><0102>=TRIG0[102] +SignalExport.triggerChannel<0000><0103>=TRIG0[103] +SignalExport.triggerChannel<0000><0104>=TRIG0[104] +SignalExport.triggerChannel<0000><0105>=TRIG0[105] +SignalExport.triggerChannel<0000><0106>=TRIG0[106] +SignalExport.triggerChannel<0000><0107>=TRIG0[107] +SignalExport.triggerChannel<0000><0108>=TRIG0[108] +SignalExport.triggerChannel<0000><0109>=TRIG0[109] +SignalExport.triggerChannel<0000><0110>=TRIG0[110] +SignalExport.triggerChannel<0000><0111>=TRIG0[111] +SignalExport.triggerChannel<0000><0112>=TRIG0[112] +SignalExport.triggerChannel<0000><0113>=TRIG0[113] +SignalExport.triggerChannel<0000><0114>=TRIG0[114] +SignalExport.triggerChannel<0000><0115>=TRIG0[115] +SignalExport.triggerChannel<0000><0116>=TRIG0[116] +SignalExport.triggerChannel<0000><0117>=TRIG0[117] +SignalExport.triggerChannel<0000><0118>=TRIG0[118] +SignalExport.triggerChannel<0000><0119>=TRIG0[119] +SignalExport.triggerChannel<0000><0120>=TRIG0[120] +SignalExport.triggerChannel<0000><0121>=TRIG0[121] +SignalExport.triggerChannel<0000><0122>=TRIG0[122] +SignalExport.triggerChannel<0000><0123>=TRIG0[123] +SignalExport.triggerChannel<0000><0124>=TRIG0[124] +SignalExport.triggerChannel<0000><0125>=TRIG0[125] +SignalExport.triggerChannel<0000><0126>=TRIG0[126] +SignalExport.triggerChannel<0000><0127>=TRIG0[127] +SignalExport.triggerPort<0000>.name=TRIG0 +SignalExport.triggerPortCount=1 +SignalExport.triggerPortIsData<0000>=true +SignalExport.triggerPortWidth<0000>=128 +SignalExport.type=ila + diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_128.constraints/chipscope_ila_128.ucf b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.constraints/chipscope_ila_128.ucf new file mode 100644 index 000000000..736db76a7 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.constraints/chipscope_ila_128.ucf @@ -0,0 +1,15 @@ +# +# Clock constraints +# +NET "CLK" TNM_NET = D_CLK ; +INST "U0/*/U_STAT/U_DIRTY_LDC" TNM = D2_CLK; +TIMESPEC TS_D2_TO_T2_chipscope_ila_128 = FROM D2_CLK TO "FFS" TIG; +TIMESPEC TS_J2_TO_D2_chipscope_ila_128 = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J3_TO_D2_chipscope_ila_128 = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J4_TO_D2_chipscope_ila_128 = FROM "FFS" TO D2_CLK TIG; + +# +# Input keep/save net constraints +# +NET "TRIG0<*" S; +NET "TRIG0<*" KEEP; diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_128.constraints/chipscope_ila_128.xdc b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.constraints/chipscope_ila_128.xdc new file mode 100644 index 000000000..49e2b9e7b --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.constraints/chipscope_ila_128.xdc @@ -0,0 +1,6 @@ +# +# Clock constraints +# +set_false_path -from [get_cells U0/*/U_STAT/U_DIRTY_LDC] -to [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] +set_false_path -from [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC] +set_false_path -from [get_cells U0/*/U_RST/U_ARM_XFER/U_GEN_DELAY[3].U_FD] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC] diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ncf b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ncf new file mode 100644 index 000000000..e69de29bb diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc new file mode 100644 index 000000000..278d4f046 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$d267=*981;86>?01784567811;<=>?012:?56789:;<=:?;123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0028456789:;<=>?0122<>6789::<=:401360>67;O>0<<>>1193547788;;==??0137?54?I8:0NM86>8F1684C4592;?7=AGZ^X7Z]IF2?571=87;j7<=5IORVP?GCL[K\^LJKR=31>586i2;86D@_UU8B@ATEZMKOH_2>2;2=51=6<3CE\XZ5AEFQE974294:86?;:HLSQQ0=;4FNQWW>AOEL@6:97>114922?IR\Y__6IANDN>21?699<1::7AZTQWW>AIELF6:97>11:01?766<281EC^ZT;FJTDBNX5;1<3?;;38JJUSS2MC[NIG_<083:45<:3CE\XZ5WDCTAI:6294:?6<5IORVP?QBJ^O^0<4?>0786?IR\Y__6IA_AEMS84<768?0>7AZTQWW>AIWJME[0<4?>0087701877586>29=6D@@UU8@KKRUGE6897>11:67?17788;087GAPTV9@LVF4:0;24?>0280?OIX\^1[HO33;2=55=32@D[YY4XEC>0>586:2>1CXZ_UU8GKUG;;3:5=?5;:NWWTPR=LFZI0>4?>0281177??9999??5533a>3=AGZ^X7O34;2=5>>53H:97L?=;@0;?D4A:H=M;?5N359B85833H6:295N<3<7?D:46?1J094?>59B818?3HNO^L2?>89B@ATF48:556OKDSC?548>3HNO^L2>2?`8EABUI5;86=06;@FGVD:6;720MIJ]A=3=<>GCL[K7>364AEFQE95902KOH_O34?:8EABUI5?546OKDSC?2;>GCL[K\^LJKR=2=b>GCL[K\^LJKR=33:c=FLMXJ[_OKDS>25;763HNO^LY]AEFQ844=87l0MIJ]AVPB@AT;9;4n7LJKR@UQEABU484n7LJKR@UQEABU4;4n7LJKR@UQEABU4:4n7LJKR@UQEABU4=4n7LJKR@UQEABU4<4n7LJKR@UQEABU4?4n7LJKR@UQEABU4>4n7LJKR@UQEABU414n7LJKR@UQEABU40437LJKRC>3:<=FLMXI0<>19:CG@WD;98427LJKRC>26;d720MIJ]B=5=<>GCL[H74364AEFQF9?9m2KOH_L]D@FGV969n2KOH_L]D@FGV9776o1JHI\MRECG@W:697;:7LJKRCPGEABU4881<3h4AEFQFWBFLMX7=?0j;@FGVGTCIMNY0<0j;@FGVGTCIMNY0?0j;@FGVGTCIMNY0>0j;@FGVGTCIMNY090j;@FGVGTCIMNY080j;@FGVGTCIMNY0;0j;@FGVGTCIMNY0:0j;@FGVGTCIMNY050j;@FGVGTCIMNY040>0:@EFGGBIH9>;01:8FPUXAGLD=6M=;BC1?FC6:2ICINEPLHAFJVCX\PZN>6MF3:AOO1=DDB:=7NBD1925?FJL:>:>7NBD2Y:8GIM5P82;96MCK827?FJLI<1H@FO>7:AOOD7C:>1H@FO>D558GIMF9M227NBDAVP@HN28118GIT>3JEFADZ[EEc8GJHSZFF7<3l4CNLWVJJ;994i7NAATSMO8479j2IDBY\@L=31:g=DGG^YCA2>3?`8GJHSZFF7=90m;BMMPWIK48?5n6M@NUPLH9716k1HCCZ]OM>23;d15;dEHF]XD@1<7>c9@KKRUGE6953o4CNLWVJJ;:7h0OB@[RNN?758e3JEEX_AC<23=f>EHF]XD@1==>c9@KKRUGE68?3l4CNLWVJJ;;=4o7NAATSMO863=87h0OB@[RNN?708f3JEEX_AC<2BN>2NBM1>17:FJE9776>1OEL2>1?58@LG;9;4<7IGN<01=3>BNI5;?2:5KI@>21;169GMD:617<0HDO31?58@LG;:94<7IGN<33=3>BNI5892:5KI@>17;1908;EKB8739?2NBM1<9>69GMD:5?7=0HDO329<4?AOF4;35:6JFA=0=3>BNI59;245KI@>05?69?2NBM1=>>79GMD:46?1OEL2;>79GMD:26?1OEL29>79GMD:06?1OEL27>79GMD:>611OELJF<1<:?AOFL@6:<374DHCGM976601OELJF<00==>BNIMC7=>06;EKB@L:6<7h0HDOKI=36>58>3MCJHD2>5?:8@LGCA5;546JFAEK?6;>BNIMC7:364DHCGM91902NBMIG38?:8@LGCA535:6JFB=2=3>BNJ5;;2:5KIC>25;169GMG:6=7=0HDL317<4?AOE48=5;6JFB=3;:2=CAK6:5384DH@?5;1=08;EKA8779?2NBN1<=>69GMG:5;7=0HDL325<4?AOE4;?5;6JFB=05:2=CAK69;394DH@?6=803MCI0?716:FJF949?2NBN1=?>89GMG:493:5;6JFB=12:3=CAK682;5KIC>7:3=CAK6>2;5KIC>5:3=CAK6<2;5KIC>;:3=CAK62255KICFJ858>3MCIHD2>0?;8@LDCA5;:245KICFJ844912NBNIG312<:?AOEL@6:83l4DH@GM97229427IGMDH>21;>BNJMC78364DH@GM93902NBNIG36?:8@LDCA5=546JFBEK?<;>1OE]O31?58@LVF4;427IG_A=194;10n;EKSEAOW494h7IG_AEKS84<76h1OE]OKIQ>2:2=CAYH7<394DHRA84803MC[N1<19:FJTG:4294<7IG_B=1=e>BNXKNB\1>1c:FJTGBNX5;1<3o4DHRA@LV;97<0HBO30?58@JG;994<7IAN<03=3>BHI5;92:5KO@>27;169GKD:6?7=0HBO319<4?AIF4835:6J@A=3=3>BHI58;2:5KO@>15;1?08;EMB8759?2NDM1<;>69GKD:5=7=0HBO327<4?AIF4;=5;6J@A=0;:2=CGH695384DNC?6;14=7IAN<9<5?AIF40437IANDN>3:<=CGHND0<>19:FLEAI;98427IANDN>26;?89GKDBH48>5n6J@AEM?50<7601OCLJ@<07=<>BHIME7=364DNCGK94902NDMIA33?:8@JGCG5>546J@AEM?1;>BHIME75394DNC\V@A13MEI0=08;EMA8469?2NDN1?>>69GKG:6:7=0HBL312<4?AIE48>5;6J@B=36:2=CGK6::394DN@?52803MEI0<617:FLF97>6?1OCO2>>69GKG:587=0HBL320<4?AIE4;85;6J@B=00:2=CGK698394DN@?60803MEI0?817:FLF9406>1OCO2=8?58@JD;:04=7IAM<3<4?AIE4::556J@B=12>5803MEI0>?16:FLF959>2NDN1:16:FLF939>2NDN1816:FLF919>2NDN1616:FLF9?902NDNIA30?;8@JDCG5;;245KOCFL847912NDNIA313<:?AIELF6:?374DN@GK9736k1OCOJ@<0794;?99GKGBH48437IAMDN>1:==CGKND0>07;EMA@J:3611OCOJ@<4<;?AIELF6=255KOCFL828?3MEIHB27>99GKGBH404<7IAM_SGD3>BHXH6;2:5KOQC?5;11OC]L30?58@JVE484<7IA_B=0==>BHXK686=08;EMSF959i2ND\OJ@P=2=g>BHXKND\1?50?c8@JVELFZ7=3?4E39F01=B<9897HH<;DLB3>CII^XNB>5JNC58AKDULLDi7H@PRRVQEHYFj2OES_][R@O\F1=A89=:<6H?D1G3G5D799K;<=:4F7331>@FDZO97KJ>0:DG30D>;8OH:9O72118BAE33ONHI>5IDD:8BA@?0M23?6HKP29E@W20JKH?4:DEB@>5>N29224>@ANOLJJ?HIFGD;B5773OLMJKHIF476003288:0JKHIFGDE?0123=6I<;FLG5>O53@:97D?=;H01?L553@>97D;7;HLJPUY7811BBDZ__13;?LHN\YU;>55FNHVS[55?3@DBX]Q?499JJLRWW9?37D@FTQ]32==NF@^[S=96;HLJPVRF\L=0ECG[_124?LHN\V::;6GAIU]362=NF@^T<>94IOKW[5203@DBXR>:7:KMMQY7>>1BBDZP0658MKOSW92<7D@FT^2:3>OIA]U;M:5FNHV\4G194IOKW[4203@DBXR?:7:KMMQY6>>1BBDZP1658MKOSW82<7D@FT^3:3>OIA]U:M:5FNHV\5G1E69JJLRX9O=0ECG[_324?LHN\V8:;6GAIU]162=NF@^T>>94IOKW[7203@DBXR<:7:KMMQY5>>1BBDZP2658MKOSW;2<7D@FT^0:3>OIA]U9M:5FNHV\6G194IOKW[6203@DBXR=:7:KMMQY4>>1BBDZP3658MKOSW:2<7D@FT^1:3>OIA]U8M:5FNHV\7G1M8;HLJPZ5C?2CEEYQJR\:>0@XZ;4:NVP02929M645HHFL;0C<94OQVJIL\BWYXBADZFVDQ\JBE63Y=0\#:6d^N7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD;8TNYOD\^EA>5_RD48TVBHFL;0]>5^1418U6763[k0^LCM17@TAW?TT\KXXX:5]SUVZT@c2YY@<8J7:QQHF71M>1X^AM<2D58WWPFDVK<7^\YAM]A2>USI]_X86]VNB18PAV?3]XBK=2?>`9WVLA748:;2l5[RHE384666h1_^DI?<021:d=SZ@M;0<><>`9WVLA748:?2l5[RHE384626h1_^DI?<025:d=SZ@M;0<>8>`9WVLA748:32l5[RHE3846>601_^DI?<02=e>RUAN:7=<>1a:VQMB6;98;5m6Z]IF2?5449i2^YEJ>3101=e>RUAN:7=<:1a:VQMB6;98?5m6Z]IF2?5409i2^YEJ>3105=e>RUAN:7=<61a:VQMB6;983556Z]IF2?548f3]XBK=2>21<0n;UPJC5:6:;4j7Y\FG1>2668f3]XBK=2>2580n;UPJC5:6:?4h7Y\FG1>262<76h1_^DI?<004:<=SZ@M;0<<19:VQMB6;9:427Y\FG1>20;?<\[CL<1?:>89WVLA748<556Z]IF2?528>3]XBK=2>8?;8PWO@85;2255[RHE3848>3]XBK=2=0?;8PWO@858:245[RHE3874912^YEJ>322<:?QTNO9698374TSKD4942601_^DI?<34==>RUAN:7>:06;UPJC5:50730X_GH0=0::==SZ@M;0?06;UPJC5:48730X_GH0=12:<=SZ@M;0><19:VQMB6;;:427Y\FG1>00;?<\[CL<1=:>89WVLA74:<556Z]IF2?728>3]XBK=2<8?;8PWO@8592255[RHE3868>3]XBK=2;0?;8PWO@85>:245[RHE3814912^YEJ>342<:?QTNO96?8374TSKD4922601_^DI?<54==>RUAN:78:06;UPJC5:30730X_GH0=6::==SZ@M;0906;UPJC5:28730X_GH0=72:<=SZ@M;08<19:VQMB6;=:427Y\FG1>60;?<\[CL<1;:>89WVLA74<<556Z]IF2?128>3]XBK=2:8?;8PWO@85?2255[RHE3808>3]XBK=290?;8PWO@85<:245[RHE3834912^YEJ>362<:?QTNO96=8374TSKD4902601_^DI?<74==>RUAN:7::06;UPJC5:10730X_GH0=4::==SZ@M;0;06;UPJC5:08730X_GH0=52:<=SZ@M;0:<19:VQMB6;?:427Y\FG1>40;?<\[CL<19:>89WVLA74><556Z]IF2?328>3]XBK=288?;8PWO@85=2255[RHE3828>3]XBK=270?;8PWO@852:245[RHE38=4912^YEJ>382<:?QTNO9638374TSKD49>2601_^DI?<94==>RUAN:74:06;UPJC5:?0730X_GH0=:::==SZ@M;0506;UPJC5:>8730X_GH0=;2:<=SZ@M;04<19:VQMB6;1:427Y\FG1>:0;?<\[CL<17:>89WVLA740<556Z]IF2?=28>3]XBK=268?;8PWO@8532255[RHE38<833]S[I>k4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[00_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ335X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY247[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^77:T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]68:W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;;?P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[04_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ331X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY243[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^77>T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]68>W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;;;P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[08_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ33=X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY24X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_46Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_477U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\98:V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8;:Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=WNOEWS7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[030Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX545Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_473U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\98>V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8;>Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=<;R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEWS7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[034Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX541Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_47?U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\982V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8;2Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=<7R.H]PVIRXFLU;"KHWNOEW1\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>21_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ314X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY264[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^759T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6:;W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;9>P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[>S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?=3\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>25_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ310X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY260[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^75=T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6:?W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;9:P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[:S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?=7\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>2\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[00^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[01^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY27X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY20X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_42Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_43Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6=T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6>T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[WNOEW<6R.H]PVIRXFLU;"KHWNOEW<7R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>9\,J[VTK\VDNS= IF2g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>]/K\WWJSWGOT<#JCY81`?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T1\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=0\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[32^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[33^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY15X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY16X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_74Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_75Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]5;T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]5V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;?V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[WNOEW?8R.H]PVIRXFLU;"KHWNOEW?9R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=7\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=8\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[3:^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[3;^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY1=X(NWZXGXR@J_1,EB6c<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY1Y+OX[[F_SCKP0/FO]<5d3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX6X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY04X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_66Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_67Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]49T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]4:T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS:8V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS:9V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[WNOEW>:R.H]PVIRXFLU;"KHWNOEW>;R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU<5\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU<6\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[24^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[25^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY03X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY0Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_6?Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]41T$BS^\CT^LF[5(AN:o0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]4U'CT__B[_OG\4+BKQ09h7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\;T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]38T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS=:V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS=;V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[P F_RPOPZHBW9$O@T7WNOEW9WNOEW9=R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU;3\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU;4\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[56^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[57^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY71X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY72X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_10Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_11Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]3?T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]30T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS=2V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS=3V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[k4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[

WNOEW8>R.H]PVIRXFLU;"KHWNOEW8?R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU:1\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU:2\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[40^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[41^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY67X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY60X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_02Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_03Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]2=T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]2>T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS<j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[;P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[4P F_RPOPZHBW9$O@T7WNOEW86R.H]PVIRXFLU;"KHWNOEW87R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU:9\,J[VTK\VDNS= IF2g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU:]/K\WWJSWGOT<#JCY81`?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T5\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU90\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[72^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[73^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY55X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY56X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_34Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_35Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]1;T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]1V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS??V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[WNOEW;8R.H]PVIRXFLU;"KHWNOEW;9R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU97\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU98\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[7:^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[7;^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY5=X(NWZXGXR@J_1,EB6c<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY5Y+OX[[F_SCKP0/FO]<5d3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX2X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY44X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_26Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_27Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]09T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]0:T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS>8V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS>9V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[WNOEW::R.H]PVIRXFLU;"KHWNOEW:;R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU85\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU86\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[64^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[65^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY43X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY4Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_2?Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]01T$BS^\CT^LF[5(AN:o0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]0U'CT__B[_OG\4+BKQ09h7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\?T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]?8T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS1:V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS1;V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[P F_RPOPZHBW9$O@T7WNOEW5WNOEW5=R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU73\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU74\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[96^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[97^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY;1X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY;2X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_=0Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_=1Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]??T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]?0T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS12V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS13V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[k4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[WNOEW4>R.H]PVIRXFLU;"KHWNOEW4?R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU61\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU62\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[80^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[81^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY:7X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY:0X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_<2Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_<3Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]>=T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]>>T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS0j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[WNOEW46R.H]PVIRXFLU;"KHWNOEW47R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU69\,J[VTK\VDNS= IF2g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU6]/K\WWJSWGOT<#JCY81`?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T9\,J[VTK\VDNS= IF308Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?4;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw48:;2?:4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~3113=61=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:68;4986[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1??3?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos84636;>0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7==;1259V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>24385<2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5;;;3<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<02;:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;9935>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>0?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos84776;>0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7=25785<2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5;:?3<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<037:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;98?5>95Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>17<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt976?78?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?54?9::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6:=3<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<003:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;9;;5>95Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>23<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt975;78?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0<<;>368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?5739:=1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6:>;0=4:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=313;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4885>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>3?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8429::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6:93<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<04=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:6?7887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0<61229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>2=;453\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4849?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?6485;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5892?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~322<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9436;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7>80=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=05:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;:>49?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1<7>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?6<85:2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx585>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2<0?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8679::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey68>3<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<21=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:4<7887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0>;1229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>02;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4:=5>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2<8?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos86?9:;1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey682?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~341<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9266;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz78?0=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=60:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;<=49?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1::>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?0385;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5><2?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~349<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt92>6;80Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz783<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<42=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:297887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{08<1229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>67;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4<>5>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2:5?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8009::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6>;3<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<4:=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:217897X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{080=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=43:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;>849?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|18=>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?2685;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9016;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7::0=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=4;:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;>049>6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|181229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>44;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4>;5>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}282?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8259::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6<83<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<67=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:0>7887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0:91229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>4<;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4>35>?5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}28>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?<585;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx52:2?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~383<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9>46;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7490=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=:6:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;0?49?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|168>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?<=85;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5222?<4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~38?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8<69::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey62=3<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<80=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:>;7887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{04:1229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>:1;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw40<5>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}267?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8<>9::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6253<=;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<8<57>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%BSZGKTI];*pYsk>?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/H]TMAROW1$~SymP0.[FWZJR\?$^SA[[6778Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'@U\EIZG_9,v[qeX9<20Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/sqw1`=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$xnQyeb>3:0c<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#ymPvda?5;073\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,GL:66?:0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)DA585:=5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&IB0>090:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#NG34?43?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz CH>6:36<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-@M909>91^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*EN4>4>j6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'M6;28h4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%O0<0:f:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#I2=>4d8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!K<2<6b>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/E>7:0`<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-G8082n2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+A:164=n6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ;Q#IaH/W\IP^DQ?<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)@S9W%YRHKRD46?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz GZ2^*PYK]]<<7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(OR:V"XQWOSAZ2g=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX5X(@fA$^S@[WCX45?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz GZ3^*PYAL[O=96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ:Q#[PLTV53>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/FY2Y+SXPFXHU;l4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%LW?S!GoJ-QZKRPJS=:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ9Q#[PFEPF20=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX6X(RWE__::5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&MP>P Z_YMQG\0e3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,C^5Z&NdC"XQBUYAZ23=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX7X(RWONYI;;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%LW>S!U^NVP31<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-D_6[)]VRD^NW9b:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#JU;]/EmL+SXE\RHU;84U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%LW9S!U^DGV@023\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,C^2Z&\UGYY88;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$KV:R.T][KWE^>k1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*A\=T$LbE Z_LW[G\013\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,C^3Z&\UMH_K95:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#JU:]/W\HPR1?2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+B]2U'_TTB\LY7`8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!H[7_-CkN)]VG^TNW96:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#JU9]/W\BATB><1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*A\>T$^SA[[669V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT6\,V[]IUKP<=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(OR=V"XQIDSG51>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/FY4Y+SXD\^=;6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQj6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'Z6828h4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%X090:f:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#^2:>4d8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!\<7<6b>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/R>4:0g<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQ}su4`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZVNGU"IPRDE\FLR\8T$^SKJ]E7a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABUWMFR#JQ]EF]AMQ]6U'_TJI\J6b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@ATXLES$KR\JG^@JP^4Z&\UMH_K9c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@WYCDP%LS_KH_CKW_6[)]VLO^H8l;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGVZBKQ&MT^HIPBHVX0X(RWONYI;m4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ[AJ^'NUYIJQMIUY6Y+SXNMXN:n5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP\@I_(OVXNKRLFTZ4^*PYAL[O=o6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS]GH\)@W[OLSOG[[6_-QZ@CZL3h7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQ\6/W\GVYEZHGTOL]LAEG\W3)NWMO[XEQCTDS\53M)]VYI^LCP0.K\F4>LO]:%KR\NMC3;_5[)}Vxjao?7/T]QEHD60h<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ER]9.T]@WZDUIDUHM^MNDD]P2*OXLLZ_DRB[EP]22N(RWZHYM@Q?/H]A5=M@\9$LS_OBB0:X4X(rW{kfn<6 U^PBIG7?W`dxyao{e0c5?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SCN+LYT>'_TO^QMR@O\GDUDIMOT_;!F_EGSPMYK\L[T=;E!U^QAVDKX8&CTN<6DGU2-CZTFEK;3W=S!u^pbig7?'\UYM@L>8^kmwpjf|l<2o6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPS7,V[FUXJ[KFSNO\C@FF[V0(AVNN\YFPLUGR[40L&\UXN_OB_1-J[G7?CN^;"JQ]AL@2<^7Z&|Uym`l>8.W\VDKE91k=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQ\6/W\GVYEZHGTOL]LAEG\W3)NWMO[XEQCTDS\53M)]VYI^LCP0.K\F4>LO]:%KR\NMC3;_4[)}Vxjao?7/T]QEHD60Vcexbntd0b2>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PBI*OX[?$^SN]PBSCN[FGTKHNNS^8 I^FFTQNXD]OZS<8D.T]PFWGJW9%BSO?7KFV3*BYUIDH:4V?R.t]qehd60&_T^LCM19]jjvski}o>5n5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYM@!F_R4-QZETWKXJARMNSBCGAZU1'@UOI]ZG_MVFUZ71C'_T_O\NM^2,MZD60BM_<#IPR@OA5=]5U'T~lcm19-V[WGJJ82j:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPS7,V[FUXJ[KFSNO\C@FF[V0(AVNN\YFPLUGR[40L&\UXN_OB_1-J[G7?CN^;"JQ]AL@2<^4Z&|Uym`l>8.W\VDKE91Ubb~{caug0e3=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWZ<%YRM\_CPBIZEF[JKOIR]9/H]GAUROWE^N]R?9K/W\WGTFEV:$ERL>8JEW4+AXZHGI=5U=]/w\vdke91%^S_OBB0:\mkurdh~n84m4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^Q5*PYD[VHYM@QLARAB@@YT>&CTHH^[H^NWATY6>B$^S^L]AL]3+LYE91ALX= H_SCNF4>\;T$~Sobb0:,QZTFEK;3m;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYM@!F_R4-QZETWKXJARMNSBCGAZU1'@UOI]ZG_MVFUZ71C'_T_O\NM^2,MZD60BM_<#IPR@OA5=]4U'T~lcm19-V[WGJJ82Tec}zl`vf7d0<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVY="XQLS^@QEHYDIZIJHHQ\6.K\@@VS@VF_I\Q>6J,V[VDUIDU;#DQM19IDP5(@W[KFN<6T3\,v[wgjj82$YR\NMC3;[lht}eki97l;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]P2+SXKZUI^LCPC@Q@EACX[?%BSIK_TI]OP@WX9?A%YR]MR@O\4*OXJ82@KY>!G^PBIG7?S=W%yR|nmc3;+PYUIDH:4l84U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^Q5*PYD[VHYM@QLARAB@@YT>&CTHH^[H^NWATY6>B$^S^L]AL]3+LYE91ALX= H_SCNF4>\!G^PBIG7?S2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTFE&CT_; Z_BQ\FWGJWJKXOLJJ_R4,MZBBX]BT@YK^_04H*PYTJ[KFS=!F_C3;OBR7&NUYM@L>8Z7^*pYuidh:4"[PR@OA5=Ynfzgmyk99b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q=Q#{Pr`oa5=)RW[KFN<6n6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\W3(RWJYTN_OB_BCPGDBBWZ<$ERJJPUJ\HQCVW8<@"XQ\BSCN[5)NWK;3GJZ?.F]QEHD60R I^@2Xagy~`lzj79f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI5FI[0/w\vdke1&_T^LCM9858Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI5FI[0/w\vdke1&_T^LCM9^kmwpjf|l:2;6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPS7,V[FUXJ[KFSNO\C@FF[V0(AVNN\YFPLUGR[40L&\UXN_OB_1-J[G?LO]:%yR|nmc;,QZTFEK3Tec}zl`vf30`<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^33[c>2n2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H:-QZKRP;?=#@czx^M\54Ya?)]VG^T?;9/Lov|ZIX98Um4<8?;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A1$^S@[W244,IhsWFU:=Rh72738Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B4#[PMTZ113)Je|rTCR?>_g:\437<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^32[c>X9:"Cbuy]L[44Xn><;7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6:Vl<=;>4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N0'_TAXV=57-Nip~XGV;9Sk9=619V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP13]e3Z6192_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H:-QZKRP;?=#@czx^M\57Ya?V;==6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9;Um;R<:f:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@2%YRCZX375+HkrpVET=?Qi8728Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B4#[PMTZ113)Je|rTCR?=_g:225=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G7.T]NQ]42>&GfyuQ@_00\b=4192_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H:-QZKRP;?=#@czx^M\57Ya0V:==6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9;Um4R?:c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@2%YRCZX375+HkrpVET=>;k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A1$^S@[W244,IhsWFU:?<;k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A1$^S@[W244,IhsWFU:??;k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A1$^S@[W244,IhsWFU:?>;k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A1$^S@[W244,IhsWFU:?9;k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A1$^S@[W244,IhsWFU:?8;i;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A1$^S@[W244,IhsWFU:?Rh8619V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<=Pf6354>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP12]e37073\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXKFFTAXV I9,V[HS_:<<$A`{w_N]27Z`0;?:0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J<+SXE\R99;!Bmtz\KZ74Wo=?:=5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW89Tj:;91:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@2%YRCZX375+HkrpVET=>Qi7^255>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP12]e3Z7192_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H:-QZKRP;?=#@czx^M\56Ya?V8==6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9:Um;R=91:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@2%YRCZX375+HkrpVET=>Qi7^66b>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP12]e<0e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^371a=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G7.T]NQ]42>&GfyuQ@_0621`=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G7.T]NQ]42>&GfyuQ@_06240b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^3760b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^3770b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^3700b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^3710b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^3720b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^3730b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^37<0b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^37=0`<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^37[c1182_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H:-QZKRP;?=#@czx^M\51Ya?8<;7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6;>4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N0'_TAXV=57-Nip~XGV;?Sk9<609V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<:Pf6]324=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G7.T]NQ]42>&GfyuQ@_06\b2Y6>81^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K;*PYJ]Q8>:"Cbuy]L[42Xn>U99n5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW8?>h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9<;>h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9<8>h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9<9>h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9<>>h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9<<>h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9<=>h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9<2>h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9<3>j6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW8<:9i5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW8<99i5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW8<89i5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW8.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW8=?h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*EN484?h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*EN4;4?h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*EN4:4?h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*EN4=4?h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*EN4<4?h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*EN4?4?h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*EN4>4?h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*EN414?h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*EN404?o6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*B;87>h7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+A:66=i0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,@9496:1e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ D=4=0f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!K<6<7g>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"J38?6`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#I26>4:8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV>R.FlK*PYJ]QIR9>5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S9W%YRHKRD71?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#JU?]/W\HPR2<2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX4X(RWQEYOT;7;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'NQ:Q#IaH/W\IP^DQ<90Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,C^7Z&\UMH_K:2:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&MP=P Z_MWW11=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[0_-QZ^HZJS>46[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\:T$LbE Z_LW[G\343\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/FY1Y+SXNMXN9?5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S;W%YRBZT468Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KVS7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"IT3\,V[CBUM<80Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,C^5Z&\UGYY;;;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'NQ8Q#[PXNP@]0><]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ GZ6^*BhO&\UFYUMV529V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW9S!U^DGV@353\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/FY7Y+SXD\^>86[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"IT5\,V[ISS==1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-D_0[)]VRD^NW:8:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&MP:P HnI,V[HS_KP?87X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]1U'_TJI\J539V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW;S!U^NVP02<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ GZ4^*PY_G[IR955Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S>W%KcF!U^OV\F_2;2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX3X(RWONYI8<4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(OR=V"XQCUU77?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#JU8]/W\\JTDQ<20Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,C^>Z&NdC"XQBUYAZ16=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[9_-QZ@CZL?97X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]?U'_T@XZ:4:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&MP4P Z_YMQG\343\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/FY:Y+SXNMXN9?5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S0W%YRBZT468Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV7R.T][KWE^1:1e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ S=1=0f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!\<5<7g>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"]35?6`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#^29>5a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$_1914b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%X050;c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&Y753:7;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRm`l^cg`w:76=20Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWjegSljkr=3=0==R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\gjjXimny0?0;8:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQlom]b`at;;7>37X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVid`Rokds>7:1><]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[fikWhno~1;1499V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPcnn\eabu4?4?46[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUhcaQndep?3;2d3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`ZehdVkohQklx>3:1e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[fikWhno~Rjcy=3=0f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\gjjXimnySibv<3<7g>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]`kiYflmxThaw33?6`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^alhZgcl{Uo`t2;>5a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_bmo[dbczVngu1;14b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPcnn\eabuWmfr0;0;c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQlom]b`atXles7;3:k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRm`l^cg`wYcdp6<2<:k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRm`l^cg`wYcdp6<2?:k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRm`l^cg`wYcdp6<2>:k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRm`l^cg`wYcdp6<29:k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRm`l^cg`wYcdp6<28:;;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>3:10<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;;<3:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>24483>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7==<1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84646=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1??4?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:68<4?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3114=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48:<2984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=33<;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:<40;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5583>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=<>1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84766=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?>2?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:69:4?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3106=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48;>2984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=322;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:=:0;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?54>9578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9766=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?=0?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6:84?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3130=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48882984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=310;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:>80;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5709548Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe97507>=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2>28<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;9;4?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3122=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf489:2984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=306;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:?>0;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5629<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0<=1449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8429<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0<;1449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8409<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0<91449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84>9<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0<71459V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8483=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7>=0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?6483=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7>?0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?6683=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7>90;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?6083=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7>;0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?6283=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7>50;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?6<83<2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7>3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>04;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h68=3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>06;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h68?3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>00;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6893::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>02;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h68;3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>0<;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6853:;;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>0:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5>;29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=62:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5>929;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=60:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5>?29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=66:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5>=29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=64:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5>329;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=6::12<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5>5885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<42=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4<;5885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<40=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4<95885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<46=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<44=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4<=5885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<4:=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4<35895Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<4<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;>94?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo360<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;>;4?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo362<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;>=4?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo364<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;>?4?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo366<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;>14?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo368<70>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;>7>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl280?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:097>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl282?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:0;7>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl284?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:0=7>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl286?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:0?7>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl288?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:017>?7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl28>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9>76=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm16>>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9>56=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm16<>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9>36=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm16:>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9>16=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm168>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9>?6=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm166>568Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9>9<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j04>1449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8<79<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j04<1449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8<59<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j04:1449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8<39<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0481449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8<19<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0461449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb837X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVxd{Rokds>2:1><]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[wipWhno~1<1499V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPrnu\eabu4:4?46[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUyczQndep?0;2?3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`ZthVkoh2:>5:8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_smt[dbcz5<5855Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nT~byPaefq828302_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYug~Ujhi|38?6;?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^plsZgcl{6229=4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSax_dl22>S7'@UECRJ!U^KOE*SXLF__>o5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/BOS[WCTM]9>7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_HLWGDVXN'_TOL^XE116?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)NW@D_OL^PF/W\GDVPM8?i7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SNJ@ND-J[\CTD\^="DQAO^PSI+OXQLYTC_KH.NWW[WC@=91^<"GPNN]G*PYNDH%^SJ>6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B I^QQHQYIMV;%YRMKOOG,MZ_B[E__:#GPNN]QTH(RWZXG??QN539V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]@@JHB'@URI^BZT7,J[KIXZYG%YR]]L20\F11<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXKMEEI"GPYDQOQQ0)[[FTAXV;7:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^AGKKC(AVSN_A[[6/QQHZW49=20Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_TOIAAE.K\]@UK]]<%__BPQ=2=0==R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYDLFDN#DQVERNVP3(TZEUZ0<0:3:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^ANTWCTM]%BSTK\LTV5*LYIGVX[A#[PMTZE303<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXKDZYI^K[/H]ZAVJR\?$BSCAPRQO-QZUUD:8TM8;4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PCLRQAVCS'@URI^BZT7,J[KIXZYG%YR]]L20\F1g<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXKDZYI^K[/H]ZAVJR\?$X^AQ^306a?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[FKWZLYNX"GPYDQOQQ0)[[FT]1>14c9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]@IUTB[L^$ERWJSMWW2+UUDV[7=3;6;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_OQ3+LY^MZF^X; F_OM\VUK)AVSN_RA]EF,LQQYUMN?:7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SC]?/H]ZAVJR\?$BSCAPRQO-QZKRPO=TMO;>;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_OQ3+LY^MZF^X; F_OM\VUK)]VG^TK9PCE6f?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[KU7'@URI^BZT7,J[KIXZYG%YRCZXG:64>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZHT8&CTUH]CUU4-MZHHW[ZF"XQ\RM11[D373\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWGY;#DQVERNVP3(NWGET^]C!U^QQH64XJ<:0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_TB^> I^[FWISS>'CTBBQ]PL,V[VTK;;UH9=5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQAS1-J[\CTD\^="DQAO^PSI+SX[[F8>RJ;9:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^LP4*OXQLYGYY8!SSN\IP^0494?56[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B I^QQHQYIMV;%YR@\0.K\]@UK]]<%__BPMTZ48483>2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$ER]]LU]MAZ7)]VDX<"GPYDQOQQ0)[[FTAXV7499V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]MW5)NWPOX@XZ9.RPO[T56494?46[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B I^QQHQYIMV;%YR@\0.K\]@UK]]<%__BPQ23?5;2?3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWGY;#DQVERNVP3(TZEUZ?<2=>548Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\JV6(AVSN_A[[6/QQHZW;87>=7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SC]?/H]ZAVJR\?$X^AQ^<0<72>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZHT8&CTUH]CUU4-WWJXY5858;5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQAS1-J[\CTD\^="^\C_P>0:0?<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXFZ;$ERWJSMWW2+OXFFUY\@ F_XGP[JTBO'E^XR\JG438Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\JV7(AVSN_A[[6/K\JJYUXD$^S@[WF6]BF07<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXFZ;$ERWJSMWW2+OXFFUY\@ Z_LW[B2YDL=o0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_TB^? I^[FWISS>'CTBBQ]PL,V[HS_N1?;7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SC]>/H]ZAVJR\?$BSCAPRQO-QZUUD:8TM8>4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PNR3,MZ_B[E__:#GPNN]QTH(RWZXG??QM519V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]MW4)NWPOX@XZ9.H]MKZTWE'_T__B<2^A64>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZHT9&CTUH]CUU4-MZHHW[ZF"XQ\RM11[A2>3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWGY:#DQVERNVP3(TZEUFYU930?6:?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[KU6'@URI^BZT7,PVIYJ]Q=7=3:9;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_OQ2+LY^MZF^X; \RM]NQ]>302_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$ER]]LU]MAZ7)]VDX="GPYDQOQQ0)[[FT]>?30?6;?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[KU6'@URI^BZT7,PVIYV;86:2964U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PNR3,MZ_B[E__:#]]L^S05949548Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\JV7(AVSN_A[[6/QQHZW;97>=7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SC]>/H]ZAVJR\?$X^AQ^<3<72>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZHT9&CTUH]CUU4-WWJXY5958:5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQ\CD-J[\CTD\^="XQ\RMA22@2?3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWZIF\NK I^[FWISS>'_T__B<2428Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\WFTT\&CTUH]CUU4-MZHHW[ZF"XQBUYD417=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYTK[Y_#DQVERNVP3(NWGET^]C!U^QQH64XI<80Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_T_N\\T.K\]@UK]]<%ER@@_SRN*PYTZE99SO:8;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_RAQWQ)NWPOX@XZ9.RPO[T56<11^<"GPNN]G*PYNDH%^SJS7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZUDZZ^$ERWJSMWW2+UUDV[7=3:8;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_VAF+LY^MZF^X; Z_RPOG40B<01^<"GPNN]G*PYNDH%^SJl5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/RAMPZEJX;n0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"]LNU]@IUYDM;i0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"]LNU]@IUYV:j1^<"GPNN]G*PYNDH%^SJ;7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#NO__@FGVZhbp}6:29>4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ C@R\EABUWgosx1<1419V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-@EUYFLMXTbhv{<2<74>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*EFXVKOH_Qaeyv?0;273\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'JK[SLJKR^lf|q:26=:0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$OL^PAEFQ[kc|5<58=5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!LAQ]B@ATXflr0:0;0:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.ABTZGCL[Ueiuz38?63?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+FGWWHNO^R`jxu>::1?<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AV:TXBQ94J,E[VCKS9W%YR]JL5c8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZ6X\FU=8F I_RGO_46Z&\UXIA:n;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]3[QIX>=A%JR]JLZ32Y+SX[LF?m6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GP0^VL[32L&OUXIAU>2\,V[VCKS!U^QFH1g<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AV:TXBQ94J,E[VCKS8>V"XQ\EM6b?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LY7W]ET:9E!F^QFH^72U'_T_HB;9:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\4ZRHW?>@"KQ\EMY2Y+SX[LF?56[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GP0^VL[32L&OUXIAU=]/W\W@J312_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTS7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX8V^DS;:D.G]PAI]3U'_T_HB;9:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\4ZRHW?>@"KQ\EMY6Y+SX[LF?56[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GP0^VL[32L&OUXIAU9]/W\W@J312_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTS7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX8V^DS;:D.G]PAI]?U'_T_HB;9:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\4ZRHW?>@"KQ\EMY:Y+SX[LF?;6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GP0^VL[32L&@UX^A Z_RGO\07<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVCEXNO_.G]@EUYFLMXP

R]^.T]@EUESZE%^SNO__@FGVBCI'@UBBYMNP/D\GDVXIMNYW7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQFNUABT+@XKHZTMIJ][0_-QZEFXVKOH_QBUY71?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYNF]IJ\#HPC@R\EABUS8W%YRgLAQ]B@AT292_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTECZLAQ,E[FGWWHNO^V96[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPIOV@EU(AWJK[SLJKRZ0^*PYDIYUJHI\PMTZ66>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXAG^HM] I_BCS[DBCZR8V"XQfC@R\EABU=81^<"GPNN]G*PYNDH%^SJ I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQFNUABT+@XKHZTMIJ][4_-QZEFXVKOH_;:;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_0[)]VIJ\ROKDS]NQ]353\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UBBYMNP/D\GDVXIMNYW8S!U^k@EUYFLMX>=6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPIOV@EU(AWJK[SLJKRZ4^*PYDIYUJHI\:5:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^0Z&\UHM]QNDEP\IP^2:2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTECZLAQ,E[FGWWHNO^V8R.T]jGDVXIMNY9<5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_HLWGDV)NVIJ\ROKDSY4Y+SXKHZTMIJ]549V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[LHSKHZ%JRMNP^CG@W]0U'_TOL^PAEFQ[HS_=;1^<"GPNN]G*PYNDH%^SJ;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_<[)]VIJ\ROKDS76?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYNF]IJ\#HPC@R\EABUS0W%YRMNP^CG@WYJ]Q?97X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQFNUABT+@XKHZTMIJ][8_-QZoDIYUJHI\:2:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!LI=3=17=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,GL:56<80Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'JC7?3;=;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"MF<5<66>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-@M939=;1^<"GPNN]G*PYNDH%^SJ.T]PGKR(K@6=28<4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#NG37?71?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.AJ8=82:2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)DA5359<5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$H1>1509V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ D=3=14=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,@949=81^<"GPNN]G*PYNDH%^SJ.T]PGKR(L5959<5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$H1:1509V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ D=7=14=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,@909=81^<"GPNN]G*PYNDH%^SJ.T]PGKR(L5=59<5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$H161509V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ D=;=1a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,C^6Z&NdC"XQBUYAZ1==R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,C^6Z&\UMH_K:7:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[1_-QZJR\<30Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'NQ;Q#[PXNP@]0b<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+B]6U'MeD#[PMTZ@]0><]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+B]6U'_TJI\J569V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ3^*PYK]]?27X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP=P Z_YMQG\3c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*A\:T$LbE Z_LW[G\3?3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*A\:T$^SKJ]E458Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/FY1Y+SXD\^>56[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW?S!U^ZLVF_2l2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)@S:W%KcF!U^OV\F_202_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)@S:W%YRHKRD74?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.EX7X(RWE__945Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$KV=R.T][KWE^=m1^<"GPNN]G*PYNDH%^SJ.T]PGKR(OR>V"J`G.T]NQ]E^=11^<"GPNN]G*PYNDH%^SJ.T]PGKR(OR>V"XQIDSG63>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-D_1[)]VF^X874U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU;]/W\\JTDQQ#IaH/W\IP^DQ<20Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'NQ>Q#[PFEPF12=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,C^3Z&\UGYY;6;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT5\,V[]IUKP?o7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP:P HnI,V[HS_KP?37X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP:P Z_GFQA01<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+B]1U'_T@XZ:9:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[7_-QZ^HZJS>h6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW:S!GoJ-QZKRPJS>46[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW:S!U^DGV@303\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*A\?T$^SA[[589V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ5^*PY_G[IR9i5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$KV6R.FlK*PYJ]QIR955Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$KV6R.T]E@WC2?2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)@S1W%YRBZT4;8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/FY;Y+SXPFXHU864U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU6]/W\BATB=>1^<"GPNN]G*PYNDH%^SJ.T]PGKR(OR3V"XQCUU7:?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.EX=X(RWQEYOT;>;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"]30?72?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.Q?5;363\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*U;:7?:7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&Y7?3;>;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"]34?72?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.Q?1;363\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*U;>7?:7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&Y7;3;>;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"]38?72?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.Q?=;2?3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$OD2>>5:8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)DA585855Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.AJ868302_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#NG34?6;?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(K@6>2964U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-@M909<11^<"GPNN]G*PYNDH%^SJS7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'JC743:7;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,GL:>6==0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER]]LU]MAZ7)]V]HBY!K<1<73>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'M6:2994U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-G8783?2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#I2<>558Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)C4=4?;6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/E>6:11<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVYY@YQAE^3-QZQDF]%O0;0;7:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+A:06==0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER]]LU]MAZ7)]V]HBY!K<9<73>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'M6228=4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_5[)OgB%YRCZXB[7a>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ;Q#[PFEPF0a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP

R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV?R.FlK*PYJ]QIR8h5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.EX5X(RWONYI9j4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_4[)]VF^X9h4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_4[)]VRD^NW:3:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+B]5U'MeD#[PMTZ@]1c<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVYY@YQAE^3-QZQDF]%LW?S!U^DGV@2c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KVR]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ7^*BhO&\UFYUMV4d9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*A\=T$^SKJ]E5f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@SS7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ=Q#IaH/W\IP^DQ=o0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER]]LU]MAZ7)]V]HBY!H[7_-QZ@CZL>o7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ4^*PYK]]>m7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ4^*PY_G[IR9>5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.EX3X(@fA$^S@[WCX6f?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(OR=V"XQIDSG7`>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQS7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQU'_T@XZ;f:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+B]>U'_TTB\LY558Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)T494?;6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/R>2:11<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVYY@YQAE^3-QZQDF]%X0?0;7:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+V:46==0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER]]LU]MAZ7)]V]HBY!\<5<73>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'Z6>2994U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-P8383?2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#^28>558Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)T414?;6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/R>::60<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]VHYG==9;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]AVN7dn2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T_N@[_BOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(AVCXSYK]MHLBPLIIWZFBOHQAE1,V[HS_Nk>0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YR]LNU]@IU)NWJYTKL@K.T]@WZAFFMUX^A!F_R4-QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\TDTSAHFT_AGLE/W\CDHCWZXGS^BFCD]MKZTWE&_TAXVNb59V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[VEI\VIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]De<2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T_N@[_BOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TNl;;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]PGKRXKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[@g2<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]VYHBYQLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FJn95Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_RAMPZEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RM@a0>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX[JD_SNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,QZUUDJh?7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQ\COV\GHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%^S^\CDcc8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,QZUDF]UHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7<3ln;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]PGKRXKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv484im6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PSBLW[FKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$`nbPssn\u949j01^<"GPNN]G*PYNDH%^SJ3:gg<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]VYHBYQLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb31?`b?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYTKG^TO@^ I^AP[BGIL'_TO^QHAOF\WWJ(AVY="XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BS]O]THCO[VJNKL$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0?0ma:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\WFHSWJG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd595h=5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZNDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"GPIR]WAWKNFH^BCCQ\LHAF[KC7&\UFYUHm5:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWAIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Ge=2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_IANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYULm5:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWAIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Ee=2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_IANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUJm5:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWAIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/T]PVIGe=2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_IANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^ALm5:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWAIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/T]PVIEe=2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_IANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AJmb:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWAIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/mao[vtkWx6;2ol4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYOKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv484in6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[MEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:56kk0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YRYLNU]KGHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?4;df3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\U\OCZPHBOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<0S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX_JD_SEMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1<1b`9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VBHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf682ol4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYOKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck494in6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[MEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:66kh0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YRYLNU]KGHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo878ej2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_IANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>0:a6<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]V]HBYQCCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)NW@YTXH\BIOCWMJHX[ECHIR@J0/W\IP^Aj<1^<"GPNN]G*PYNDH%^SJR]^.T]@EUESZE%^SNO__@FGVBCI'\U\OCZPLBOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=3=fg=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRBLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R32?`b?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYPKG^T@NC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0=0ma:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWEIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ykg5;5nl5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZJDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:56kk0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YRYLNU]OGHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?7;de3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\U\OCZPLBOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=2=fg=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRBLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb31?`a?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYPKG^T@NC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1<1bc9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VFHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7?3=m;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/bdd[agsi5:5?o5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!lff]geqg;979i7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#nhh_ecwe949;k1^<"GPNN]G*PYNDH%^SJR]^.T]@EUESZE%^SNO__@FGVBCI'jllSio{a=6=05=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)dnnUomyoPvda?558382_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&imkRjnt`]uaf:697>;7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#nhh_ecweZpbk5;929>4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ cge\`drfWoh0<=1419V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-`bbYci}kTzhm315<74>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*eaoVnjxlQyeb>21;273\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'jllSio{a^tfg9716:l0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$okiPd`vb[scd4848j6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"mig^fbpdYqmj692>h4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ cge\`drfWoh0>02d8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,gcaXlh~jS{kl<4<0b>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*eaoVnjxlQyeb>5:6`<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(komThlzn_wg`8284n2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&imkRjnt`]uaf:?6:l0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$okiPd`vb[scd4048o6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"gLAQ]B@AT;879h7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#dMNP^CG@W:66:i0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$eNO__@FGV949;j1^<"GPNN]G*PYNDH%^SJR]^.T]@EUESZE%^SNO__@FGVBCI'`IJ\ROKDS>6:6e<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(aJK[SLJKR=4=7f=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)nKHZTMIJ]<6<0g>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*oDIYUJHI\38?1`?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+lEFXVKOH_26>2:8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,mVEI\5:5?55Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!fSBLW848402_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&cXOCZ32?1;?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+lUDF]682>64U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ iRAMP929;11^<"GPNN]G*PYNDH%^SJS7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*oTKG^7:3=7;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/hQ@JQ:06:20Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$e^MAT=:=7==R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)n[JD_040=e:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZUDF]UHA]Q^299V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYSZ@M956[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^VQMB6512_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRZ]IF31b>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]V]HBYQGCLR\U7`<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_T[N@[_MANTZW512_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$[N@[_BG1f>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(_JD_SEMBP3g8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*QDF]UCO@^PCD0g?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)PKG^TDNC__P0a?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)PKG^T@NC_2d9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+REI\VFHA]QLE3f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*QDF]UGO@^PQ3f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*eaoVnjxl2?>3f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*eaoVnjxl2>>3f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*eaoVnjxl2=>3f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*eaoVnjxl2<>3f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*eaoVnjxl2;>3f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*eaoVnjxl2:>3f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*eaoVnjxl29>3f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*eaoVnjxl28>3f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*eaoVnjxl27>3f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*eaoVnjxl26>3a8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*oDIYU\^RKA2e9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+lRUANMN^RGA2d9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+lRUANMN^RAZT368Q5)NWGETH#[PIMC,QZTT\&MT^^ZT0\,V[WUS:=1^<"GPNN]G*PYNDH%^S_][/F]QWQ]6U'_T^^Z=4:W3+LYIGVN%YRGCA.W\VVR(OVXXXVS7'@UECRJ!U^KOE*SXZZ^$YRO]M^ZEAW)RWME^X=<7;T2,MZHHWM$^SDBN/T]QWQ)RWHXFSUHJR.W\@JSS9:>0Y=!F_OM\@+SXAEK$YR\\T.W\EWKXPOOY#XQHEO]GAIG^S8W%YRHK359V4*OXFFUO"XQFL@-V[WUS'\UJ^@QWFDP,QZABFVNN@LWT2\,V[CB4<2_;#DQAO^F-QZOKI&_T^^Z U^CQIZ^AM[%^SJKA_EGOE\]4U'_TJI=;;T2,MZHHWM$^SDBN/T]QWQ)RWHXFSUHJR.W\C@HXLLFJUV:R.T]E@7><]9%BSCAPD/W\MIG(]VXXX"[PASO\\CCU'\UYJI\J299V4*OXFFUO"XQFL@-V[WUS'\UJ^@QWFDP,QZRAL[O9o6[?/H]MKZB)]VCGM"[PRRV,QZGUEVRMI_!kio]oeqeomm8>7X> I^LL[A(RW@FJ#XQ]SU-V[DTJWQLN^"gLLS0;?P6(AVDDSI Z_HNB+PYU[]%^SL\B_YDFV*oCAG6;2?64U1-J[KIXL'_TEAO U^PPP*SXI[GTTKK]/hFJJ979:?1^<"GPNN]G*PYNDH%^S_][/T]BVHY_NLX$eIAZT3f8Q5)NWGETH#[PIMC,QZTT\&_TM_CPXGGQ+lBH]]Uo`t2?>3f8Q5)NWGETH#[PIMC,QZTT\&_TM_CPXGGQ+lBH]]Uo`t2>>3f8Q5)NWGETH#[PIMC,QZTT\&_TM_CPXGGQ+lBH]]Uo`t2=>3f8Q5)NWGETH#[PIMC,QZTT\&_TM_CPXGGQ+lBH]]Uo`t2;>3;8Q5)NWGETH#[PIMC,QZTT\&_TDLB[_YDFV*SXKEOJ^?64U1-J[KIXL'_TEAO U^PPP*SX@HF_SUHJR.W\@JSS:01^<"GPNN]G*PYNDH%^S_][/T]KEIRXPOOY#XQKOTV36<=R8&CTBBQK.T]JHD)RW[Y_#XQGAMV\\CCU'\UOCXZ>349V4*OXFFUO"XQFL@-V[WUS'\UCMAZPXGGQ+PY@MGUOIAOV[0_-QZ@C;<1^<"GPNN]G*PYNDH%^S_][/T]KEIRXPOOY#XQHEO]GAIG^S;W%YRHK289V4*OXFFUO"XQFL@-V[WUS'\UCMAZPXGGQ+PYUNMXN>45Z0.K\JJYC&\UB@L!Z_SQW+PYOIE^TTKK]/T]WBATB:m1^<"GPNN]G*PYNDH%^S_][/T]KEIRXPOOY#iga_mcwgmcc:?1^<"GPNN]G*PYNDH%^S_][/T]KEIRXPOOY#dMCR3;8Q5)NWGETH#[PIMC,QZTT\&_TDLB[_YDFV*oCAG6;2?74U1-J[KIXL'_TEAO U^PPP*SX@HF_SUHJR.kGMK:66;=0Y=!F_OM\@+SXAEK$YR\\T.W\LDJSWQLN^"gKOTV1a>S7'@UECRJ!U^KOE*SXZZ^$YRFNLU][B@T(aME^XRjcy=2=6`=R8&CTBBQK.T]JHD)RW[Y_#XQGAMV\\CCU'`NDYYQklx>1:4d<]9%BSCAPD/W\MIG(]VXXX"[PPNP2`>S7'@UECRJ!U^KOE*SXZZ^$YR^]SU22`>S7'@UECRJ!U^KOE*SXZZ^$YR^]SU32g>S7'@UECRJ!U^KOE*SXZZ^$YR\\T13e?P6(AVDDSI Z_HNB+PYU[]%b^H]JT=2=60=R8&CTBBQK.T]JHD)RWZ^JX"OLTSGPAQYw}eyn>=5Z0.K\JJYC&\UB@L!Z_RVBP*GD\Vnxxlz=0:W3+LYIGVN%YRGCA.W\WQGS'HXFSi}{au06?P6(AVDDSI Z_HNB+PYT\H^$OL^PRDQFPZbkq8;m7X> I^LL[A(RW@FJ#XQ\T@V,@LTSQVN;=k5Z0.K\JJYC&\UB@L!Z_RVBP*BNZ]STH< I^LL[A(RW@FJ#XQ\T@V,BZUT\H^P8P F_RVBP+SX[]K_>k5Z0.K\JJYC&\UB@L!Z_RVBP*@X[Z^JXV;R.H]PPDR)]VY_MY0Y=!F_OM\@+SXAEK$YR][AU-D[KU\=T$^SC]^259V4*OXFFUO"XQFL@-V[VRF\&MTB^U9]/W\JVW5<2_;#DQAO^F-QZOKI&_T_YO[/F]MW^1Z&\UE_\<;;T2,MZHHWM$^SDBN/T]PPDR(OVDXW5S!U^LPU72<]9%BSCAPD/W\MIG(]VY_MY!H_OQX=X(RWGYZ>45Z0.K\JJYC&\UB@L!Z_RVBP*Kj}qUOE_ZV_eqweq76:;1^<"GPNN]G*PYNDH%^S^ZNT.LP[ausi}6;2?<4U1-J[KIXL'_TEAO U^QWEQ)I[Vnxxlz31?01?P6(AVDDSI Z_HNB+PYT\H^$B^Qksucw8785:2_;#DQAO^F-QZOKI&_T_YO[/OQ\`vrf|595>?5Z0.K\JJYC&\UB@L!Z_RVBP*HTWmymy2;>308Q5)NWGETH#[PIMC,QZUSI]%E_Rj|t`v?1;453\:$ER@@_E,V[LJF'\UXXLZ NR]gwqgs4?49>6[?/H]MKZB)]VCGM"[PSUCW+KUXlz~jx191239V4*OXFFUO"XQFL@-V[VRF\&DXSi}{au>;:74<]9%BSCAPD/W\MIG(]VY_MY!AS^fppdr;17;n7X> I^LL[A(RW@FJ#XQ\T@V,JVYkghn996[?/H]MKZB)]VCGM"[PSUCW+VRF\LUoyo{<1<11>S7'@UECRJ!U^KOE*SX[]K_#^ZNTD]gwqgs484:j6[?/H]MKZB)]VCGM"[PSUCW+QBHWgosx?:4U1-J[KIXL'_TEAO U^QWEQ)SZ@MLI_Qksucw5f=R8&CTBBQK.T]JHD)RWZ^JX"[PASO11>S7'@UECRJ!U^KOE*SX[]K_#XQNRL]dhpcX{l~:n6[?/H]MKZB)]VCGM"[PSUCW+PYDZ;90Y=!F_OM\@+SXAEK$YR][AU-V[AOU\PUMHNK=3:W3+LYIGVN%YRGCA.W\WQGS'\UOE_ZV_GFSA74<]9%BSCAPD/W\MIG(]VY_MY!Z_EKQP\YKLJ9j7X> I^LL[A(RW@FJ#XQ\T@V,QZBJ]Q>$YRM\_LW[+L5)]VG^T5!Bmtz\KZ54i2_;#DQAO^F-QZOKI&_T_YO[/T]GIP^3'\UH_RCZX.K0*PYJ]Q2$A`{w_N]75f=R8&CTBBQK.T]JHD)RWZ^JX"[PDRN11>S7'@UECRJ!U^KOE*SX[]K_#XQKSM3,QZEKMHX986[?/H]MKZB)]VCGM"[PSUCW+PYC[E;$YRJ@UU06?P6(AVDDSI Z_HNB+PYT\H^$YRJ\L0-V[AIR\98>7X> I^LL[A(RW@FJ#XQ\T@V,QZBTD8%^SIAZT012?P6(AVDDSI Z_HNB+PYT\H^$YRJ\L0-V[BCIWMOGMTU>]/W\BA423\:$ER@@_E,V[LJF'\UXXLZ U^FPH4)RW[LO^H<:;T2,MZHHWM$^SDBN/T]PPDR(]VNX@3\:$ER@@_E,V[LJF'\UXXLZ U^FPH4)cagUgmymgee01?P6(AVDDSI Z_HNB+PYT\H^$YRJ\L0-jGIT5=2_;#DQAO^F-QZOKI&_T_YO[/T]GWI7(aMCE0=0=5:W3+LYIGVN%YRGCA.W\WQGS'\UO_A? iEKM8485i2_;#DQAO^F-QZOKI&_T_YO[/T]GWI7(aME^XRjcy=2=6d=R8&CTBBQK.T]JHD)RWZ^JX"[PDRN2+lBH]]Uo`t2>>0f8Q5)NWGETH#[PIMC,QZUSI]%^SI]C20f8Q5)NWGETH#[PIMC,QZUSI]%^SI]C30a8Q5)NWGETH#[PIMC,QZUSI]%^SI]]1b9V4*OXFFUO"XQFL@-V[VRF\&_TIN\=5:W3+LYIGVN%YRGCA.W\WQGS'\UNO_Qhltg\w`r6l2_;#DQAO^F-QZOKI&_T_YO[/T]EQIJ5>2_;#DQAO^F-QZOKI&_T_YO[/T]EQIJXoenS~k{369V4*OXFFUO"XQFL@-V[VRF\&_TAXV U^AP[HS_'@;%YRCZX3-Nip~XG8937X> I^LL[A(RW@FJ#XQ\T@V,QZKRP&_TO^QBUY-J5+SXE\R9#@czx^M256?<]9%BSCAPD/W\MIG(]VY_MY!Z_LW[+PYD[VG^T"G>.T]NQ]4(EdsSB?>02;8Q5)NWGETH#[PIMC,QZUSI]%^S@[W/T]@WZKRP&C:"XQBUY0,IhsWF;:=>l4U1-J[KIXL'_TEAO U^QWEQ)RWD_S#XQLS^OV\*O6&\UFYU< Mlw{[J769VL8n6[?/H]MKZB)]VCGM"[PSUCW+PYJ]Q%^SN]PMTZ,M4(RWD_S>"Cbuy]L547XO:30Y=!F_OM\@+SXAEK$YR][AU-V[HS_'\UH_RCZX.K2*PYJ]Q8$A`{w_N3266?<]9%BSCAPD/W\MIG(]VY_MY!Z_LW[+PYD[VG^T"G>.T]NQ]4(EdsSB?>32;8Q5)NWGETH#[PIMC,QZUSI]%^S@[W/T]@WZKRP&C:"XQBUY0,IhsWF;:8>74U1-J[KIXL'_TEAO U^QWEQ)RWD_S#XQLS^OV\*O6&\UFYU< Mlw{[J76=:20Y=!F_OM\@+SXAEK$YR][AU-V[HS_'\UH_RCZX.K2*PYJ]Q8$A`{w_N317==R8&CTBBQK.T]JHD)RWZ^JX"[PMTZ,QZETWD_S#D?!U^OV\7)Je|rTC<=<8:W3+LYIGVN%YRGCA.W\WQGS'\UFYU!Z_BQ\IP^(A8$^S@[W2.Onq}YH9<937X> I^LL[A(RW@FJ#XQ\T@V,QZKRP&_TO^QBUY-J5+SXE\R9#@czx^M226e<]9%BSCAPD/W\MIG(]VY_MY!Z_LW[+PYD[VG^T"G>.T]NQ]4(EdsSB?9_RU37f=R8&CTBBQK.T]JHD)RWZ^JX"[PMTZ,QZETWD_S#D?!U^OV\7)Je|rTC<8PSV30<>S7'@UECRJ!U^KOE*SX[]K_#XQBUY-V[FUXE\R$E< Z_LW[6*Kj}qUD=:=7;T2,MZHHWM$^SDBN/T]PPDR(]VG^T"[PCR]NQ])N9'_TAXV=/Lov|ZI60:20Y=!F_OM\@+SXAEK$YR][AU-V[HS_'\UH_RCZX.K2*PYJ]Q8$A`{w_N3:5f=R8&CTBBQK.T]JHD)RWZ^JX"[PNRN04>S7'@UECRJ!U^KOE*SX[]K_#XQ]ERGW[@B@M&CTD?B!U^FLQQ4e3\:$ER@@_E,V[LJF'\UXXLZ U^PFW@RXMMMN#XQKOTV36g=R8&CTBBQK.T]JHD)RWZ^JX"[PRDQFPZCCOL%^SIAZT00`?P6(AVDDSI Z_HNB+PYT\H^$YR\JSDV\AAAB'`NDYY2?>3a8Q5)NWGETH#[PIMC,QZUSI]%^S_K\EU]F@BC(aME^X1?11g9V4*OXFFUO"XQFL@-V[VRF\&_T^D]FNF03?P6(AVDDSI Z_HNB+PYT\H^$YR][AUAN@74<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPFKCWg;m7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]O;=k5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_I<<8;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYQLNU-@M979:>1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSWJD_#NG32?04?P6(AVDDSI Z_HNB+PYT\H^$YR][AU]@JQ)DA595>:5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/BK?0;403\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%HE1;1269V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+FO;>78<7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!LI=5=62=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'JC743<8;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYQLNU-@M9?9:?1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSWJD_#I2?>348Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*B;978=7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!K<3<12>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR(L595>;5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/E>7:70<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&N793<9;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYQLNU-G8385>2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$H191279V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+A:?6;<0Y=!F_OM\@+SXAEK$YR][AU-V[VRF\VIEX"J39?11?P6(AVDDSI Z_HNB+PYT\H^$YR][AU]@JQ)@S9W%KcF!U^OV\F_5l2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$KV>R.T]E@WC5k2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$KV>R.T]OQQ4b3\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW=S!U^ZLVF_4:2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$KV?R.FlK*PYJ]QIR>i5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY2Y+SXNMXN>n5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY2Y+SXD\^9i6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ3^*PY_G[IR??5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY1Y+Ai@'_TAXVLY3f8Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\:T$^SKJ]E3a8Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\:T$^SA[[2d9V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]5U'_TTB\LY208Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\;T$LbE Z_LW[G\4c3\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW>S!U^DGV@4d3\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW>S!U^NVP7c<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP?P Z_YMQG\553\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW9S!GoJ-QZKRPJS9h6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ6^*PYAL[O9o6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ6^*PYK]]8n7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[5_-QZ^HZJS8>6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ7^*BhO&\UFYUMV2e9V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]2U'_TJI\J2b9V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]2U'_T@XZ=e:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,C^3Z&\USC_MV339V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]1U'MeD#[PMTZ@]7b<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP:P Z_GFQA7e<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP:P Z_MWW6`=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ=Q#[PXNP@]64<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP;P HnI,V[HS_KP8o7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[6_-QZ@CZL8h7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[6_-QZJR\;o0Y=!F_OM\@+SXAEK$YR][AU-V[VRF\VIEX"IT7\,V[]IUKP997X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[9_-CkN)]VG^TNW=d:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,C^>Z&\UMH_K=c:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,C^>Z&\UGYY>348Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*U;:78=7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!\<2<12>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR([5>5>;5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/R>6:70<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&Y7:3<9;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYQLNU-P8285>2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$_161279V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+V:>68i0Y=!F_OM\@+SXAEK$YR][AU-V[QBH:91^<"GPNN]G*PYNDH%^S^ZNT.W\PWO@OLX956[?/H]MKZB)]VCGM"[PSUCW+PYSZ@MLI_Qhltg\w`r6n2_;#DQAO^F-QZOKI&_T_YO[/hQWEQ:76;:0Y=!F_OM\@+SXAEK$YR][AU-jWQGS48:5=k5Z0.K\JJYC&\UB@L!Z_RVBP*oT\H^7=3?i;T2,MZHHWM$^SDBN/T]PPDR(aZ^JX1<11g9V4*OXFFUO"XQFL@-V[VRF\&cXXLZ33?3e?P6(AVDDSI Z_HNB+PYT\H^$e^ZNT=6=5c=R8&CTBBQK.T]JHD)RWZ^JX"g\T@V?1;7a3\:$ER@@_E,V[LJF'\UXXLZ iRVBP9099o1^<"GPNN]G*PYNDH%^S^ZNT.kPPDR;?7;m7X> I^LL[A(RW@FJ#XQ\T@V,mVRF\525=k5Z0.K\JJYC&\UB@L!Z_RVBP*oT\H^753<=;T2,MZHHWM$^SDBN/T]PPDR(aZ^JXNCK_BG10>S7'@UECRJ!U^KOE*SX[]K_#d][AUAN@ZEBWg887X> I^LL[A(RW@FJ#XQ\T@V,mVRF\VIEX1>1229V4*OXFFUO"XQFL@-V[VRF\&cXXLZPCOV?5;443\:$ER@@_E,V[LJF'\UXXLZ iRVBPZEI\585>>5Z0.K\JJYC&\UB@L!Z_RVBP*oT\H^TOCZ33?00?P6(AVDDSI Z_HNB+PYT\H^$e^ZNT^AMP929::1^<"GPNN]G*PYNDH%^S^ZNT.kPPDRXKG^793<<;T2,MZHHWM$^SDBN/T]PPDR(aZ^JXRMAT=4=66=R8&CTBBQK.T]JHD)RWZ^JX"g\T@V\GKR;?7887X> I^LL[A(RW@FJ#XQ\T@V,mVRF\VIEX161229V4*OXFFUO"XQFL@-V[VRF\&cXXLZPCOV?=;413\:$ER@@_E,V[LJF'\U_^DI F^LL[QEJK'_TJI\<2:W3+LYIGVN%YRGCA.W\PWO@'\U_O"GPSUMQEBCXY\KG"XQLAQ]A71=R8&CTBBQK.T]JHD)RW]XBK"[PTB-J[VRHZHMNS\[NL/W\GDVXLES.T]j@JSS'@URI^BZT7,V[VTKK8.H]MIPY6W]ET8#[PTSKDU14<]9%BSCAPD/W\MIG(]V^YEJ!Z_UA,MZUSG[KLIR_ZAM,V[VRHZHMNS\[NL.kWVLA@M[9n7X> I^LL[A(RW@FJ#XQ[RHE,QZRD'@U__H_PEP3-MZRTMXUXE@^CE.DJJDJXNMX?j6[?/H]MKZB)]VCGM"[PTSKD+PYSK&CTX^K^_DS2*LYS[L[T_DC_LD-P4ZEAO&CTUH]CUU4-QZUUDJ;=I>j4U1-J[KIXL'_TEAO U^VQMB)RW]I$ERZ\EP]FU4(NW]YN]R]FMQNF+PYUMZO_<>j4U1-J[KIXL'_TEAO U^VQMB)RW]I$ERZ\EP]FU4(NW]YN]R]FMQNF+PYUMZO_=9?4U1-J[KIXL'_TEAO U^VQMB)RW]I$ERZ\EP]FU4(NW]YN]R]FMQNF+PYTZE$^SKJ]04`8Q5)NWGETH#[PIMC,QZRUAN%^SYM I^VPATYBY8$BSY]JQ^QJIUJB'\UXXLZJSZ3^*VOXKOM$ERWJSMWW2+SX[[FH=;K;d:W3+LYIGVN%YRGCA.W\PWO@'\U_O"GPTRGR[@W6&@U__H_PSHOSH@)RWZ^JXH]T1\,V[VTK&\UMH_>2d8Q5)NWGETH#[PIMC,QZRUAN%^SYM I^VPATYBY8$BSY]JQ^QJIUJB'hdoSb{{<0<0f>S7'@UECRJ!U^KOE*SX\[CL#XQ[C.K\PVCVWL[:"DQ[SDS\WLKWDL%hjj2?>2g8Q5)NWGETH#[PIMC,QZRUAN%^SYM I^VPATYBY8$BSY]JQ^QJIUJB'`XN_HZ30?1f?P6(AVDDSI Z_HNB+PYSZ@M$YRZL/H]WW@WXMX;%ERZ\EP]PMHVKM&cYI^K[<0<0`>S7'@UECRJ!U^KOE*SX\[CL#XQ[C.K\PVCVWL[:"DQ[SDS\WLKWDL%xxlzj<1<0`>S7'@UECRJ!U^KOE*SX\[CL#XQ[C.K\PVCVWL[:"DQ[SDS\WLKWDL%xxlzj<0<1f>S7'@UECRJ!U^KOE*SX\[CL#XQ[C.K\PVCVWL[:"XQ[16c8Q5)NWGETH#[PIMC,QZRUAN%^SYM I^VPATYIMX8%YRZL_DSVEQOHF&CT__B[_OG\5+OXFD_T=RZ@_5,V[QEK'@UEAXQJQ0,V[lBH]]%BSTK\LTV5*PYTZEI::H8;;T2,MZHHWM$^SDBN/T]WVLA(]V^H#DQ[SDS\J@W5&\U_ORK^U@VJKK)NWZXGXR@J_0,J[KKRW8U_CR:!U^V@H*OXG\^YIJ Z_EMVP0g<]9%BSCAPD/W\MIG(]V^YEJ!Z_UA,MZRTMXUEI\95Z0.K\JJYC&\UB@L!Z_UPJC*SX\J%bOL^[USG1<>S7'@UECRJ!U^KOE*SX\[CL#XQ[C.k@BBYCI]K7<3<7;T2,MZHHWM$^SDBN/T]WVLA(]V^H#dZ]IFEFVZIR\;i0Y=!F_OM\@+SXAEK$YRZ]IF-V[QE(a]XBKJK]_RGR[JSS;j1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,MZKDWGE%YR@@_LA\V@A4>2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

[023Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ad9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z335X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV1`g8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY0Y247[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cf?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X555Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_463U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^77=T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]68?W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\99=V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q:

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q:==S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=!U^EBJAYCDP;ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W3\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU15_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU[035Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ad9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z323X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV1`g8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY0Y25=[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cf?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X54?Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_47Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_447U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^759T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]6:;W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\9;9V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q:>;S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=?9R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W<!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W<=R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W<:R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W<;R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W<8R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W<9R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W<6R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W<7R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P>=S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P>?S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P>>S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P>9S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P>8S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P>;S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P>:S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P>5S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P>4S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P>P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q8

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q8=P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q8>P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q8?P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q88P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q89P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q8:P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q8;P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q84P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q85P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q8Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R>;Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R>:Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R>9Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R>8Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R>?Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R>>Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R>=Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R>3Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R>2Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6ij1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R>V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\>9W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\>8W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\>;W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\>:W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\>=W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\>?W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\>>W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\>1W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\>0W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gd3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\>T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]08T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]09T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]0:T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]0;T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]0T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]0?T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]00T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]01T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5de<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]0U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^>7U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^>6U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^>5U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^>4U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^>3U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^>2U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^>1U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^>0U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^>?U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^>>U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ef=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^>Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_<6Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_<7Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_<4Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_<5Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_<2Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_<3Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_<0Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_<1Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_<>Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_<[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0;;?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X4X(NW@DT^L@HE/W\CDHCWMFR>4o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>01_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P==?R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

&MTKL@K_ENZ6^77;T$BSD@PR@LDA+SXOHDOSIBV28c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y241[)AVCES_OAGD,V[BGILVNGU?7n;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T9]/K\MKYUIGMN"XQHAOF\@I_51h1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R;;;P F_HL\VDH@M'_TKL@K_ENZ6V?>5\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:=;S!I^KM[WGIOL$^SJOAD^FO]7?f3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\98=V"DQFN^PBJBC)]VMJBIQKLX0:e>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_47?U'CTECQ]AOEF*PY@IGNTHAW=9`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z32=X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>1\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:>=S!I^KM[WGIOL$^SJOAD^FO]7?f3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\9;;V"DQFN^PBJBC)]VMJBIQKLX0:e>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_445U'CTECQ]AOEF*PY@IGNTHAW=9`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z317X(NW@DT^L@HE/W\CDHCWMFR>4o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>25_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P=?;R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

&MTKL@K_ENZ6^75?T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y26X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>3\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:8P F_HL\VDH@M'_TKL@K_ENZ6474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>7\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:4P F_HL\VDH@M'_TKL@K_ENZ6V&MTKL@K_ENZ6^45U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z00Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V<;]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R8>Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^41U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z04Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V<7]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R82Q#GPIO]QEKAB&\ULMCJPDM[1===R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^4Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[22^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W>?R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_65Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[26^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W>;R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_61Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[2:^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W>7R.H]JJZTFFNO%YRINNE]GH\4>02_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P8?S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\<:W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X01[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P8;S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\<>W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X0=[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P8P F_HL\VDH@M'_TKL@K_ENZ6474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U:2\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q>?P F_HL\VDH@M'_TKL@K_ENZ6474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U:6\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q>;P F_HL\VDH@M'_TKL@K_ENZ6464U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U:]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R<;Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^06U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z41Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V8<]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R&MTKL@K_ENZ6^02U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z45Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V88]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R<3Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^0>U'CTECQ]AOEF*PY@IGNTHAW=999V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z4^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W:>R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

;V"DQFN^PBJBC)]VMJBIQKLX0:=>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_24Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[61^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W::R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

?V"DQFN^PBJBC)]VMJBIQKLX0:=>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_20Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[65^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W:6R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

3V"DQFN^PBJBC)]VMJBIQKLX0:<>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_2[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\0;W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X<6[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P48S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\0?W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X<2[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P44S!I^KM[WGIOL$^SJOAD^FO]7??3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\0T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y:4X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U61\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q2>P F_HL\VDH@M'_TKL@K_ENZ6;T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y:0X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U65\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q2:P F_HL\VDH@M'_TKL@K_ENZ6?T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y:474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U69\,J[LHXZHDLI#[PG@LG[AJ^:020Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q2Q#GPIO]QEKAB&\ULMCJPDM[1`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ2^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYCgg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[1_-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZXCf`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT0\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WCea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU?]/W\CDHCWZXGS^BFCD]MKZTWE&_TAXVKdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AOkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW=S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Ojl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP

d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU?]/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?5;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX4X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv4;4n=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S9W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0=0j1:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW=S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<0S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[1_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl878b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU?]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?6;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX4X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck4:4oh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8:V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QKoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8:V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QHoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8:V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QIoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8:V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QNoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8:V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZEKoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8:V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZEHoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8:V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZEIoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8:V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZENn?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8:V"XQHAOF\WWJX[ECHIR@@_SRN+iekWzxgS|2?>d18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>0\,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r848b;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;;Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb30?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT11_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh979m:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^77U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7>3k<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP==S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=1=`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ32Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZB`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ32Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZA`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ32Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZ@`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ32Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZG`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ32Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNB`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ32Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNA`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ32Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSN@`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ32Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNGa6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ32Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;87o87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\98W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1?1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?>]/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?6;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX54[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh494n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8;V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1?1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?>]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPln>1:`5<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY25X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck494n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8;V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2>>d18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>1\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo878b;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SMij4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:>P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SNij4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:>P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SOij4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:>P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SHij4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:>P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGMij4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:>P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGNij4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:>P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGOij4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:>P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGHh=4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:>P Z_FCM@ZUUDVYGENKPNN]QTH)kkeUx~aQ~<1S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[00^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:66l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6:T$^SJOAD^QQHZUKAJOTBBQ]PL-ogiYtzeUz0?0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ31Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:66l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6:T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wee7>3k<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=?S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=2=a6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ31Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;97o87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9;W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1<1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?=]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?7;bc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX56[)]VMJBIQ\RM]PHLEBWGET^]C U^OV\Dbc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX56[)]VMJBIQ\RM]PHLEBWGET^]C U^OV\Gbc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX56[)]VMJBIQ\RM]PHLEBWGET^]C U^OV\Fbc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX56[)]VMJBIQ\RM]PHLEBWGET^]C U^OV\Abc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX56[)]VMJBIQ\RM]PHLEBWGET^]C U^QQHDbc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX56[)]VMJBIQ\RM]PHLEBWGET^]C U^QQHGbc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX56[)]VMJBIQ\RM]PHLEBWGET^]C U^QQHFbc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX56[)]VMJBIQ\RM]PHLEBWGET^]C U^QQHAc43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX56[)]VMJBIQ\RM]PHLEBWGET^]C lbn\wwjXy5:5i>5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;8Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R31?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT12_-QZAFFMUX^AQ\LHAF[KIXZYG$`nbPssn\u949m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^74U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6;2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:?P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa31?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT12_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl878b;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[01^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:56l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6;T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0>0kd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<:R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUOkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<:R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYULkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<:R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUMkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<:R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUJkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<:R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AOkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<:R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^ALkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<:R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AMkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<:R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AJj3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<:R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>3:`5<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY20X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv484n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8>V"XQHAOF\WWJX[ECHIR@@_SRN+iekWzxgS|2=>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>4\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?4;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX51[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh484n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8>V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1<1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?;]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?4;c43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX51[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd5;5i>5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;?Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb32?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT15_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh95999n0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6=T$^SJOAD^QQHZUKAJOTBBQ]PL-J[LUX\LXFECO[INL\WIODMVDN<#GPURG\KPRW]]UYIJQAE1,V[JTBOo20Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6=T$^SJOAD^QQHZUKAJOTBBQ]PL-J[LUX\LXFECO[INL\WIODMVDN<#[PMTZE`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ36Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZB`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ36Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZA`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ36Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZ@`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ36Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZG`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ36Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNB`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ36Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNA`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ36Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSN@`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ36Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNGa6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ36Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;87o87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX50[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh494n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8?V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1?1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?:]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPln>1:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY21X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;;7o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\93:`5<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY21X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck484n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8?V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2=>d18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>5\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo868ck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX5X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Fae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY2Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZ@`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ3^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYFgg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[0_-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]L@f`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT1\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CBea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>]/W\CDHCWZXGS^BFCD]MKZTWE&_T__BLdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AJj2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ3^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:66l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7>3k>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa30?g2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT1\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?5;c63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX5X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;:7o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0=0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ3^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:56l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7?3jl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP>P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SMim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RIhn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR8V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QIoo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;W%YRINNE]PVIYTD@INSCAPRQO,QZKRPMnh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:T$^SJOAD^QQHZUKAJOTBBQ]PL-V[VTKImi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]5U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJElj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^4Z&\ULMCJPSSN\WIODMVDDS_^B/T]PVIEck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX6X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv494n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1?1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV1:`7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY1Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:76l;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]5U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6:2h?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2=>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?4;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX6X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck484n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1<1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV0:ae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY0Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZB`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ1^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUY@gg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[2_-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZXBf`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT3\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WDea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<]/W\CDHCWZXGS^BFCD]MKZTWE&_T__BNdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV=R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^ALkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW>S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Njl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP?P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGHh<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ8Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R30?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT3\,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r848b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

1e09V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV=R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=3=a4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ1^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok949m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^5Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme6;2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ8Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb31?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT3\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo878b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QKoo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S=W%YRINNE]PVIYTD@INSCAPRQO,QZKRPKnh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]3U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Clj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^2Z&\ULMCJPSSN\WIODMVDDS_^B/T]PVIGck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX0X(RWNKEHR]]L^QOMFCXFFUY\@!Z_RPOGae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY7Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNGa7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ6^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:76l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]3U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7=3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP8P Z_FCM@ZUUDVYGENKPNN]QTH)kkeUx~aQ~<3S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[5_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl858b92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S=W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0?0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW9S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=2=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ6^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:66l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]3U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7>3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP8P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<2S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[4_-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZX@f`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT5\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WBea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU:]/W\CDHCWZXGS^BFCD]MKZTWE&_TAXVLdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV;R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUJkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW8S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Ljl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP9P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGNim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ>Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FHhn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR?V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZENn>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV;R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>2:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY6Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;:7o:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\=T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wee7<3k>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP9P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa31?g2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT5\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?6;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX1X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck494n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S1:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY6Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;;7nh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\>T$^SJOAD^QQHZUKAJOTBBQ]PL-V[HS_Imi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]1U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Elj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^0Z&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Eck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX2X(RWNKEHR]]L^QOMFCXFFUY\@!Z_RPOEae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY5Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNA`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ4^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMAgg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[7_-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]LEg1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT6\,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r858b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

d38Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU9]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPln>3:`7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY5Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:66l;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]1U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf692h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ=Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb30?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT6\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo848b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

ea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU8]/W\CDHCWZXGS^BFCD]MKZTWE&_TAXVNdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV9R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYULkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW:S!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TNjl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP;P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SHim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S>W%YRINNE]PVIYTD@INSCAPRQO,QZUUDJnh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\?T$^SJOAD^QQHZUKAJOTBBQ]PL-V[VTKLl80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]0U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7<3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP;P Z_FCM@ZUUDVYGENKPNN]QTH)kkeUx~aQ~<0S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[6_-QZAFFMUX^AQ\LHAF[KIXZYG$`nbPssn\u949m81^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^1Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ykg5:5i<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR=V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1?1e09V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV9R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=0=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ5^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:76l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]0U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7=3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP;P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<3S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[6_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh959lj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^>Z&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Gck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ:^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMCgg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[9_-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]LCf`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT8\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CCea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU7]/W\CDHCWZXGS^BFCD]MKZTWE&_T__BKe39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV6R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>3:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY;Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;97o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\0T$^SJOAD^QQHZUKAJOTBBQ]PL-ogiYtzeUz0?0j1:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW5S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<1S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[9_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl848b92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S1W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1>1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV6R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>2:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY;Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;:7o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\0T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0>0kc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW4S!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TLjl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP5P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SNim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ2Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RHhn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR3V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QNoo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S0W%YRINNE]PVIYTD@INSCAPRQO,QZUUDHnh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\1T$^SJOAD^QQHZUKAJOTBBQ]PL-V[VTKJmi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]>U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJDlj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^?Z&\ULMCJPSSN\WIODMVDDS_^B/T]PVIBb:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU6]/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?6;c63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX=X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;87o:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\1T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wee7=3k>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP5P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa32?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT9\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo858b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU6]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?7;ga3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&mjbiQlaspz8469io1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$kl`k_bcqv|:697km7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"inne]`ewt~4885mk5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ g`lg[fguzp6:?3oi;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.ebjaYdi{xr0<:1ag9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,cdhcWjky~t2>5?cf?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*affmUhm|v<0S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)`ignTol|}y=0=e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(ohdoSno}rx>0:dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'nkehRmnrs{?0;gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&mjbiQlaspz808fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#joad^abvw;07kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"inne]`ewt~404jm6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!|rm]r8469ih1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$bPq=32:dg<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'zxgS|2>2?cb?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*uudV{7=>0na:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-pviYv48>5ml5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ ssn\u9726h30Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#~|c_p>2:d?<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'zxgS|2=>`;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+vtkWx682l74U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/rpo[t:36h30Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#~|c_p>6:d?<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'zxgS|29>`;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+vtkWx6<2l74U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/rpo[t:?6h30Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#~|c_p>::2`<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2858?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

03<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>2468?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

07<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>2428?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

0?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=324;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5976972:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;:>36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1?>3?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=320;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5976=72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;::36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1?>7?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=32<;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5976172;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;:25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><002:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2844561;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i6488825?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<<;>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><006:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2844161;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i6488<25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<<1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?312<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>20;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz597261:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i648<54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7=:070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2>8?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=3::2`<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2848?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~958:25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0?<1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?322<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>10;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz594261:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64;<54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7>:070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2=8?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=0::2`<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2878?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~959:25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0><1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?332<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>00;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz595261:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64:<54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7?:070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2<8?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=1::2`<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2868?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95>:25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:09<1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?342<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>70;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz592261:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64=<54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;78:070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2;8?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=6::2`<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2818?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95?:25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:08<1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?352<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>60;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz593261:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64<<54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;79:070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2:8?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=7::2`<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2808?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95<:25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0;<1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?362<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>50;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz590261:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64?<54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7::070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<298?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=4::2`<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2838?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95=:25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0:<1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?372<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>40;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz591261:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64><54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7;:070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<288?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=5::2`<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2828?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~952:25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:05<1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?382<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>;0;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz59>261:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i641<54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;74:070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<278?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=:::2`<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28=8?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

872;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~953:25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:04<1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?392<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>:0;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz59?261:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i640<54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;75:070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<268?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=;::2`<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28<80n2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i548:;25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90<>>>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<021:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{1846461;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i548:?25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90<>:>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<025:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{1846061;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i548:325?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90<>6>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<02=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?5469081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;98;54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87=<<1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<3101=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?5429081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;98?54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87=<81809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<3105=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?54>9081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;98354=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87=<071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2>21<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>2648?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

>071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2>25<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>2608?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

:070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2>2?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=30:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{18429091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;9<43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6::36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1?8>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<0:=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?5<80n2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54;:54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87><070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2=2?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=00:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{18729091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;:<43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;69:36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1<8>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<3:=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?6<80n2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54::54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87?<070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2<2?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=10:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{18629091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;;<43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;68:36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1=8>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<2:=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?7<80n2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54=:54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp878<070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2;2?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=60:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{18129091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;<<43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6?:36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1:8>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<5:=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?0<80n2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54<:54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp879<070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2:2?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=70:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{18029091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;=<43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6>:36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1;8>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<4:=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?1<80n2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54?:54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87:<070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?292?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=40:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{18329091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;><43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6=:36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>188>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<7:=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?2<80n2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54>:54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87;<070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?282?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=50:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{18229091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;?<43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6<:36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>198>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<6:=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?3<80n2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i541:54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp874<070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?272?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=:0:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{18=29091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;0<43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;63:36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>168>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<9:=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?<<80n2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i540:54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp875<070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?262?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=;0:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{18<29091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;1<43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;62:36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>178>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<8:=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?=<80n2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

6=<0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTC_KH.H]ZAVYHZLM%YRA]EF12?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"j`uu]wiu463\:$ER@@_E,V[LJF'\U_^DI tskdGjhcAg897X> I^LL[A(RW@FJ#XQ[RHE,pwo`KfdoCxz>4:W3+LYIGVN%YRGCA.kBVH7?3\:$ER@@_E,V[LJF'`IJ\Y[]E0;8Q5)NWGETH#[PIMC,mFGWWMEEI?=4U1-J[KIXL'_TEAO iBCS[KSJWZKF\AK\<1<17>S7'@UECRJ!U^KOE*oDIYUEY@Q\ALROAV:66;90Y=!F_OM\@+SXAEK$eNO__OWN[VGJXEOX0?0=3:W3+LYIGVN%YRGCA.k@EUYI]DUXM@^CER>0:75<]9%BSCAPD/W\MIG(aJK[SC[B_RCNTICT4=49?6[?/H]MKZB)]VCGM"gLAQ]MQHYTIDZGI^2:>318Q5)NWGETH#[PIMC,mFGWWG_FS^OBPMGP8385;2_;#DQAO^F-QZOKI&cHM]QAUL]PEHVKMZ6<2?=4U1-J[KIXL'_TEAO iBCS[KSJWZKF\AK\<9<17>S7'@UECRJ!U^KOE*oDIYUEY@Q\ALROAV:>68n0Y=!F_OM\@+SXAEK$eNO__RVBP@:768n0Y=!F_OM\@+SXAEK$eNO__RVBP@:66;:0Y=!F_OM\@+SXAEK$eNO__UPJCBCUWF__=k5Z0.K\JJYC&\UB@L!fC@R\SWYFLMX7<3?i;T2,MZHHWM$^SDBN/hABTZQUWHNO^1?11g9V4*OXFFUO"XQFL@-jGDVX_[UJHI\32?3e?P6(AVDDSI Z_HNB+lEFXV]YSLJKR=1=5c=R8&CTBBQK.T]JHD)nKHZT[_QNDEP?0;7a3\:$ER@@_E,V[LJF'`IJ\RY]_@FGV9399o1^<"GPNN]G*PYNDH%bOL^PWS]B@AT;>7;m7X> I^LL[A(RW@FJ#dMNP^UQ[DBCZ5=5=k5Z0.K\JJYC&\UB@L!fC@R\SWYFLMX743?i;T2,MZHHWM$^SDBN/hABTZQUWHNO^1711`9V4*OXFFUO"XQFL@-jGDVX_[UNB<64U1-J[KIXL'_TEAO iECWE9699h1^<"GPNN]G*PYNDH%bHLZN<023:4g<]9%BSCAPD/W\MIG(aMK_M1??1?3b?P6(AVDDSI Z_HNB+lBF\H6:a:W3+LYIGVN%YRGCA.kGEQG;9995=l5Z0.K\JJYC&\UB@L!fD@VB846368k0Y=!F_OM\@+SXAEK$eIO[A=331;7f3\:$ER@@_E,V[LJF'`NJXL2>07<2e>S7'@UECRJ!U^KOE*oCI]K7==911`9V4*OXFFUO"XQFL@-j@DRF48:32 I^LL[A(RW@FJ#dJNT@>24;7f3\:$ER@@_E,V[LJF'`NJXL2>11<2e>S7'@UECRJ!U^KOE*oCI]K7= I^LL[A(RW@FJ#dJNT@>25186i2_;#DQAO^F-QZOKI&cOMYO3107=5d=R8&CTBBQK.T]JHD)nLH^J00c8Q5)NWGETH#[PIMC,mAGSI5;:;3?n;T2,MZHHWM$^SDBN/hFBPD:6914:m6[?/H]MKZB)]VCGM"gKAUC?54?9901^<"GPNN]G*PYNDH%bHLZN<03=5d=R8&CTBBQK.T]JHD)nLH^J0<0c8Q5)NWGETH#[PIMC,mAGSI5;9=3?n;T2,MZHHWM$^SDBN/hFBPD:6:;4:m6[?/H]MKZB)]VCGM"gKAUC?57599h1^<"GPNN]G*PYNDH%bHLZN<007:4g<]9%BSCAPD/W\MIG(aMK_M1?=5?3b?P6(AVDDSI Z_HNB+lBF\H6:>;0>a:W3+LYIGVN%YRGCA.kGEQG;9;=5=45Z0.K\JJYC&\UB@L!fD@VB8449901^<"GPNN]G*PYNDH%bHLZN<01=5<=R8&CTBBQK.T]JHD)nLH^J0<:1189V4*OXFFUO"XQFL@-j@DRF48?5=45Z0.K\JJYC&\UB@L!fD@VB8409901^<"GPNN]G*PYNDH%bHLZN<05=5<=R8&CTBBQK.T]JHD)nLH^J0<61189V4*OXFFUO"XQFL@-j@DRF4835=55Z0.K\JJYC&\UB@L!fD@VB848612_;#DQAO^F-QZOKI&cOMYO321<2=>S7'@UECRJ!U^KOE*oCI]K7><0>9:W3+LYIGVN%YRGCA.kGEQG;:;4:56[?/H]MKZB)]VCGM"gKAUC?668612_;#DQAO^F-QZOKI&cOMYO325<2=>S7'@UECRJ!U^KOE*oCI]K7>80>9:W3+LYIGVN%YRGCA.kGEQG;:?4:56[?/H]MKZB)]VCGM"gKAUC?628612_;#DQAO^F-QZOKI&cOMYO329<2=>S7'@UECRJ!U^KOE*oCI]K7>40>8:W3+LYIGVN%YRGCA.kGEQG;:7;27X> I^LL[A(RW@FJ#dJNT@>04;7>3\:$ER@@_E,V[LJF'`NJXL2<1?3:?P6(AVDDSI Z_HNB+lBF\H68>3?6;T2,MZHHWM$^SDBN/hFBPD:4;7;27X> I^LL[A(RW@FJ#dJNT@>00;7>3\:$ER@@_E,V[LJF'`NJXL2<5?3:?P6(AVDDSI Z_HNB+lBF\H68:3?6;T2,MZHHWM$^SDBN/hFBPD:4?7;27X> I^LL[A(RW@FJ#dJNT@>0<;7>3\:$ER@@_E,V[LJF'`NJXL2<9?3;?P6(AVDDSI Z_HNB+lBF\H682<74U1-J[KIXL'_TEAO iECWE9276830Y=!F_OM\@+SXAEK$eIO[A=62:4?<]9%BSCAPD/W\MIG(aMK_M1:=>0;8Q5)NWGETH#[PIMC,mAGSI5>82<74U1-J[KIXL'_TEAO iECWE9236830Y=!F_OM\@+SXAEK$eIO[A=66:4?<]9%BSCAPD/W\MIG(aMK_M1:9>0;8Q5)NWGETH#[PIMC,mAGSI5><2<74U1-J[KIXL'_TEAO iECWE92?6830Y=!F_OM\@+SXAEK$eIO[A=6::4><]9%BSCAPD/W\MIG(aMK_M1:1189V4*OXFFUO"XQFL@-j@DRF4<:5=45Z0.K\JJYC&\UB@L!fD@VB8079901^<"GPNN]G*PYNDH%bHLZN<40=5<=R8&CTBBQK.T]JHD)nLH^J08=1189V4*OXFFUO"XQFL@-j@DRF4<>5=45Z0.K\JJYC&\UB@L!fD@VB8039901^<"GPNN]G*PYNDH%bHLZN<44=5<=R8&CTBBQK.T]JHD)nLH^J0891189V4*OXFFUO"XQFL@-j@DRF4<25=45Z0.K\JJYC&\UB@L!fD@VB80?9911^<"GPNN]G*PYNDH%bHLZN<4<2=>S7'@UECRJ!U^KOE*oCI]K7:=0>9:W3+LYIGVN%YRGCA.kGEQG;>84:56[?/H]MKZB)]VCGM"gKAUC?278612_;#DQAO^F-QZOKI&cOMYO362<2=>S7'@UECRJ!U^KOE*oCI]K7:90>9:W3+LYIGVN%YRGCA.kGEQG;><4:56[?/H]MKZB)]VCGM"gKAUC?238612_;#DQAO^F-QZOKI&cOMYO366<2=>S7'@UECRJ!U^KOE*oCI]K7:50>9:W3+LYIGVN%YRGCA.kGEQG;>04:46[?/H]MKZB)]VCGM"gKAUC?2;7>3\:$ER@@_E,V[LJF'`NJXL280?3:?P6(AVDDSI Z_HNB+lBF\H6<=3?6;T2,MZHHWM$^SDBN/hFBPD:0:7;27X> I^LL[A(RW@FJ#dJNT@>47;7>3\:$ER@@_E,V[LJF'`NJXL284?3:?P6(AVDDSI Z_HNB+lBF\H6<93?6;T2,MZHHWM$^SDBN/hFBPD:0>7;27X> I^LL[A(RW@FJ#dJNT@>43;7>3\:$ER@@_E,V[LJF'`NJXL288?3:?P6(AVDDSI Z_HNB+lBF\H6<53?7;T2,MZHHWM$^SDBN/hFBPD:06830Y=!F_OM\@+SXAEK$eIO[A=:3:4?<]9%BSCAPD/W\MIG(aMK_M16>>0;8Q5)NWGETH#[PIMC,mAGSI5292<74U1-J[KIXL'_TEAO iECWE9>46830Y=!F_OM\@+SXAEK$eIO[A=:7:4?<]9%BSCAPD/W\MIG(aMK_M16:>0;8Q5)NWGETH#[PIMC,mAGSI52=2<74U1-J[KIXL'_TEAO iECWE9>06830Y=!F_OM\@+SXAEK$eIO[A=:;:4?<]9%BSCAPD/W\MIG(aMK_M166>0:8Q5)NWGETH#[PIMC,mAGSI525=45Z0.K\JJYC&\UB@L!fD@VB8<69901^<"GPNN]G*PYNDH%bHLZN<83=5<=R8&CTBBQK.T]JHD)nLH^J04<1189V4*OXFFUO"XQFL@-j@DRF4095=45Z0.K\JJYC&\UB@L!fD@VB8<29901^<"GPNN]G*PYNDH%bHLZN<87=5<=R8&CTBBQK.T]JHD)nLH^J0481189V4*OXFFUO"XQFL@-j@DRF40=5=45Z0.K\JJYC&\UB@L!fD@VB8<>9901^<"GPNN]G*PYNDH%bHLZN<8;=5==R8&CTBBQK.T]JHD)nLH^J040>a:W3+LYIGVN%YRGCA.kGEQGXLF__=45Z0.K\JJYC&\UB@L!fRDQFP969901^<"GPNN]G*PYNDH%b^H]JT=3=5<=R8&CTBBQK.T]JHD)nZLYNX1<1189V4*OXFFUO"XQFL@-jV@UB\595=45Z0.K\JJYC&\UB@L!fRDQFP929901^<"GPNN]G*PYNDH%b^H]JT=7=5<=R8&CTBBQK.T]JHD)nZLYNX181189V4*OXFFUO"XQFL@-jV@UB\5=5=l5Z0.K\JJYC&\UB@L!fSUCW[AIR\820Y=!F_OM\@+SXAEK$eY\FGFGQ50=R8&CTX\>!G^VT_5[)]V^Z=:5Z0.K\PT6)OV^\W<>?]/W\PT703\:$ERZ^0/E\PR]688W%YRZ^169V4*OX\X:%KRZX[021Y+SX\X;<7X> I^VR4+AX\^Q:<>S!U^VR52=R8&CTX\>!G^VT_463U'_TX\?8;T2,MZRV8'MTXZU>04_-QZRV9>1^<"GPTP2-CZRPS8:=Q#[PTP34?P6(AV^Z<#IPTVY242[)]V^Z=:5Z0.K\PT6)OV^\W<>7]/W\PT703\:$ERZ^0/E\PR]680W%YRZ^179V4*OX\X:%KRZX[02^*PYSY8=0Y=!F_US3*BYS_R;:

S7'@U_]= H_UUX547Z&\U_]<94U1-J[QW7&NU_[V?>2\,V[QW6?2_;#DQ[Q1,D[QQ\989V"XQ[Q058Q5)NW][;"JQ[WZ320X(RW][:;6[?/H]WU5(@W]]P=<;R.T]WU41<]9%BSY_?.F]WS^76>T$^SY_>7:W3+LYSY9$LSYYT105^*PYSY8=0Y=!F_US3*BYS_R;:4P Z_US23>S7'@U_]= H_UUX54?Z&\U_]<84U1-J[QW7&NU_[V?>]/W\PT703\:$ERZ^0/E\PR]6:9W%YRZ^169V4*OX\X:%KRZX[002Y+SX\X;<7X> I^VR4+AX\^Q:>?S!U^VR52=R8&CTX\>!G^VT_444U'_TX\?8;T2,MZRV8'MTXZU>25_-QZRV9>1^<"GPTP2-CZRPS88>Q#[PTP34?P6(AV^Z<#IPTVY263[)]V^Z=:5Z0.K\PT6)OV^\W<<8]/W\PT713\:$ERZ^0/E\PR]6:T$^SY_>6:W3+LYSY9$LSYYT12_-QZRV9?1^<"GPTP2-CZRPS8>V"XQ[Q048Q5)NW][;"JQ[WZ36Y+SX\X;=7X> I^VR4+AX\^Q::P Z_US22>S7'@U_]= H_UUX52[)]V^Z=;5Z0.K\PT6)OV^\W<6R.T]WU40<]9%BSY_?.F]WS^7>U'_TX\?:;T2,MZRV8'MTXZU>]/W\PT713\:$ERZ^0/E\PR]58T$^SY_>6:W3+LYSY9$LSYYT20_-QZRV9?1^<"GPTP2-CZRPS;8V"XQ[Q048Q5)NW][;"JQ[WZ00Y+SX\X;=7X> I^VR4+AX\^Q98P Z_US22>S7'@U_]= H_UUX60[)]V^Z=;5Z0.K\PT6)OV^\W?8R.T]WU40<]9%BSY_?.F]WS^40U'_TX\?9;T2,MZRV8'MTXZU=8\,V[QW6>2_;#DQ[Q1,D[QQ\:0W%YRZ^149V4*OX\X:%KRZX[3_-QZRV9?1^<"GPTP2-CZRPS::V"XQ[Q048Q5)NW][;"JQ[WZ12Y+SX\X;=7X> I^VR4+AX\^Q8>P Z_US22>S7'@U_]= H_UUX76[)]V^Z=;5Z0.K\PT6)OV^\W>:R.T]WU40<]9%BSY_?.F]WS^52U'_TX\?9;T2,MZRV8'MTXZU<6\,V[QW6>2_;#DQ[Q1,D[QQ\;>W%YRZ^179V4*OX\X:%KRZX[2:^*PYSY8<0Y=!F_US3*BYS_R92Q#[PTP36?P6(AV^Z<#IPTVY0Y+SX\X;=7X> I^VR4+AX\^Q?

S7'@U_]= H_UUX04[)]V^Z=;5Z0.K\PT6)OV^\W92_;#DQ[Q1,D[QQ\<!G^VT_1[)]V^Z=;5Z0.K\PT6)OV^\W8>R.T]WU40<]9%BSY_?.F]WS^36U'_TX\?9;T2,MZRV8'MTXZU:2\,V[QW6>2_;#DQ[Q1,D[QQ\=:W%YRZ^179V4*OX\X:%KRZX[46^*PYSY8<0Y=!F_US3*BYS_R?>Q#[PTP35?P6(AV^Z<#IPTVY62X(RW][::6[?/H]WU5(@W]]P9:S!U^VR53=R8&CTX\>!G^VT_0>Z&\U_]<84U1-J[QW7&NU_[V;6]/W\PT723\:$ERZ^0/E\PR]2U'_TX\?9;T2,MZRV8'MTXZU90\,V[QW6>2_;#DQ[Q1,D[QQ\>8W%YRZ^179V4*OX\X:%KRZX[70^*PYSY8<0Y=!F_US3*BYS_R<8Q#[PTP35?P6(AV^Z<#IPTVY50X(RW][::6[?/H]WU5(@W]]P:8S!U^VR53=R8&CTX\>!G^VT_30Z&\U_]<84U1-J[QW7&NU_[V88]/W\PT713\:$ERZ^0/E\PR]10T$^SY_>6:W3+LYSY9$LSYYT68_-QZRV9<1^<"GPTP2-CZRPS?W%YRZ^179V4*OX\X:%KRZX[62^*PYSY8<0Y=!F_US3*BYS_R=:Q#[PTP35?P6(AV^Z<#IPTVY46X(RW][::6[?/H]WU5(@W]]P;>S!U^VR53=R8&CTX\>!G^VT_22Z&\U_]<84U1-J[QW7&NU_[V9:]/W\PT713\:$ERZ^0/E\PR]0>T$^SY_>6:W3+LYSY9$LSYYT76_-QZRV9?1^<"GPTP2-CZRPS>2V"XQ[Q048Q5)NW][;"JQ[WZ5:Y+SX\X;>7X> I^VR4+AX\^Q!G^VT_=4Z&\U_]<84U1-J[QW7&NU_[V6<]/W\PT713\:$ERZ^0/E\PR]?6:W3+LYSY9$LSYYT84_-QZRV9?1^<"GPTP2-CZRPS1 I^VR4+AX\^Q34P Z_US22>S7'@U_]= H_UUX<<[)]V^Z=85Z0.K\PT6)OV^\W5S!U^VR53=R8&CTX\>!G^VT_<6Z&\U_]<84U1-J[QW7&NU_[V7>]/W\PT713\:$ERZ^0/E\PR]>:T$^SY_>6:W3+LYSY9$LSYYT92_-QZRV9?1^<"GPTP2-CZRPS0>V"XQ[Q048Q5)NW][;"JQ[WZ;6Y+SX\X;=7X> I^VR4+AX\^Q2:P Z_US22>S7'@U_]= H_UUX=2[)]V^Z=;5Z0.K\PT6)OV^\W46R.T]WU40<]9%BSY_?.F]WS^?>U'_TX\?:;T2,MZRV8'MTXZU6]/W\PTc<]9%bX_GH_HL?4;773\:$eY\FG^KM846768:0Y=!fTSKD[LH;99;5==5Z0.kWVLAXAG6:0:W3+lRUANUBB1??3?33?P6(a]XBKRGA<027:46<]9%bX_GH_HL?5539991^<"g[RHE\MK:68?4:<6[?/hVQMBYNF5;;;3??;T2,mQTNOVCE0<>7>028Q5)n\[CLSD@311;=b>S7'`^YEJQFN=33:46<]9%bX_GH_HL?5469991^<"g[RHE\MK:6984:<6[?/hVQMBYNF5;:>3??;T2,mQTNOVCE0028Q5)n\[CLSD@3106=55=R8&c_^DIPIO>2508682_;#dZ]IF]JJ976>7;;7X> iUPJCZOI48;<2<>4U1-jPWO@W@D7=<61119V4*oSZ@MTEC2>182658682_;#dZ]IF]JJ97597;;7X> iUPJCZOI48892<>4U1-jPWO@W@D7=?=1119V4*oSZ@MTEC2>25<24>S7'`^YEJQFN=311;773\:$eY\FG^KM844168:0Y=!fTSKD[LH;9;=5j6[?/hVQMBYNF5;92k5Z0.kWVLAXAG6:?3h4U1-jPWO@W@D7=90i;T2,mQTNOVCE0<;1f:W3+lRUANUBB1?9>g9V4*oSZ@MTEC2>7?d8Q5)n\[CLSD@319S7'`^YEJQFN=3=b>S7'`^YEJQFN=03:c=R8&c_^DIPIO>15;`<]9%bX_GH_HL?678a3\:$eY\FG^KM8759n2_;#dZ]IF]JJ9436o1^<"g[RHE\MK:5=7l0Y=!fTSKD[LH;:?4m7X> iUPJCZOI4;=5j6[?/hVQMBYNF5832k5Z0.kWVLAXAG6953k4U1-jPWO@W@D7>3h4U1-jPWO@W@D7?=0i;T2,mQTNOVCE0>?1f:W3+lRUANUBB1==>g9V4*oSZ@MTEC2<3?d8Q5)n\[CLSD@335S7'`^YEJQFN=15:c=R8&c_^DIPIO>03;`<]9%bX_GH_HL?7=8a3\:$eY\FG^KM86?9m2_;#dZ]IF]JJ959n2_;#dZ]IF]JJ9276o1^<"g[RHE\MK:397l0Y=!fTSKD[LH;<;4m7X> iUPJCZOI4=95j6[?/hVQMBYNF5>?2k5Z0.kWVLAXAG6?93h4U1-jPWO@W@D78;0i;T2,mQTNOVCE0991f:W3+lRUANUBB1:7>g9V4*oSZ@MTEC2;9?g8Q5)n\[CLSD@34?d8Q5)n\[CLSD@351S7'`^YEJQFN=71:c=R8&c_^DIPIO>67;`<]9%bX_GH_HL?118a3\:$eY\FG^KM8039n2_;#dZ]IF]JJ9316o1^<"g[RHE\MK:2?7l0Y=!fTSKD[LH;=14m7X> iUPJCZOI4<35i6[?/hVQMBYNF5?5j6[?/hVQMBYNF5<;2k5Z0.kWVLAXAG6==3h4U1-jPWO@W@D7:?0i;T2,mQTNOVCE0;=1f:W3+lRUANUBB18;>g9V4*oSZ@MTEC295?d8Q5)n\[CLSD@367S7'`^YEJQFN=4;:c=R8&c_^DIPIO>5=;c<]9%bX_GH_HL?2;`<]9%bX_GH_HL?358a3\:$eY\FG^KM8279n2_;#dZ]IF]JJ9156o1^<"g[RHE\MK:0;7l0Y=!fTSKD[LH;?=4m7X> iUPJCZOI4>?5j6[?/hVQMBYNF5==2k5Z0.kWVLAXAG6<;3h4U1-jPWO@W@D7;50i;T2,mQTNOVCE0:71e:W3+lRUANUBB191f:W3+lRUANUBB16?>g9V4*oSZ@MTEC271?d8Q5)n\[CLSD@383S7'`^YEJQFN=:7:c=R8&c_^DIPIO>;1;`<]9%bX_GH_HL?<38a3\:$eY\FG^KM8=19n2_;#dZ]IF]JJ9>?6o1^<"g[RHE\MK:?17o0Y=!fTSKD[LH;07l0Y=!fTSKD[LH;194m7X> iUPJCZOI40;5j6[?/hVQMBYNF5392k5Z0.kWVLAXAG62?3h4U1-jPWO@W@D7590i;T2,mQTNOVCE04;1f:W3+lRUANUBB179>g9V4*oSZ@MTEC267?d8Q5)n\[CLSD@399S7'`^YEJQFN=;=g>STM[U]E^GMLD18RFE>3_CN[RZVPDa8SDTIAGMTCCBV6:UFE969>2]NM1?16:UFE94902]NM1=50?48S@G;;730[HOXEM>3:g=PMH]N@1?50?;8S@GPME6:2;5XEC>3:3=PMK6:2;5XEC>1:==PMK686=09;VGA868>3^OI[H[30?`8S@DPM\6:6=06;VGAS@S;97h0[_G[E^DJVVRd3^XBXHQBOEG\Ef=PZ@^NS@AKE^@2`>^ND@DS!UJM 1,2$VRRJ):%=-O\CHK6?]IUKP<0T^ZCIC58\VRXOGN<7U][_WA@f>^XKFXNSD@IO79[`gYNl8:0TicPM`hlvScu{`ee==5Wdl]Nmkiu^lxxeb`<;Yqw7>_b{;k0SRQWLOO\[Z6XWV_;#DQAO^F-QZOKI&_T_YO[/T]MWI2e3VUTTA@B_^]2[ZYR8&CTBBQK.T]JHD)RW]XBK"[PTB-J[QUBYVOZ=#GPTRGR[VOJXEO$YR\JSDV201=XWVRGB@QP_3]\[P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYEZB:9i6QP_YNMIZYX;VUTY=!F_OM\@+SXAEK$YR][AU-V[VRF\JGO>o5P_^ZOJHYXW=UTSX> I^LL[A(RW@FJ#XQ]SU-V[UTT\98?7RQPXMLN[ZY2WVU^<"GPNN]G*PYNDH%^SIAZTc9bw|hdWdsSSl"AOOG/JJHB9;2h7nffpralt`YndhU:>5"lhhrpgjvbW`fjS{?P04]b(~eXpjeT`d}{=Bmntjhbf}UEm`k2cikswfiwmVcgmR?=8:LvigcuWFlTXghgdp\Tjts{4;0AlvPSdsvakebWEo}ia}22:WpaZTWEz7mma}j;DlbficX\{clkh|POtvsqqYWg{~6jlb|e:QbiujbWFd6^d}fnf9PehvkmVNjxlQKeqvk9465<2Oemobj_RvlvdabWXj`dhfc`vjkk;sz|o0Hlzn_RcnaZGtW]xbkjk}=upva>Bf|hU[czPWhfwl86<\{clkh|PPnpw[Roc|aU:1<<7;LcwgmYRf`~xS<3>;BmvjqcuW^coxeQ>=Ekpegjbl2GjxnfPTxrf[4;eizchSzg{h^ggc`ubdjtm2^yejijr^RlvqYPam~cS?37;LcwgmYRf`~xS?3>;BmvjqcuW^coxeQ==Ekpegjbl2GjxnfPTxrf[7;eizchSzg{h^ggc`ubdjtm2^yejijr^RlvqYPam~cS>37;LcwgmYRf`~xS>3>;BmvjqcuW^coxeQ<=Ekpegjbl2GjxnfPTxrf[6;eizchSzg{h^ggc`ubdjtm2^yejijr^RlvqYPam~cS937;LcwgmYRf`~xS93>;BmvjqcuW^coxeQ;=Ekpegjbl2GjxnfPTxrf[1;eizchSzg{h^ggc`ubdjtm2^yejijr^RlvqYPam~cS837;LcwgmYRf`~xS83>;BmvjqcuW^coxeQ:=Ekpegjbl2GjxnfPTxrf[0;eizchSzg{h^ggc`ubdjtm2^yejijr^RlvqYPam~cS;37;LcwgmYRf`~xS;3>;BmvjqcuW^coxeQ9=Ekpegjbl2GjxnfPTxrf[3;eizchSzg{h^ggc`ubdjtm2^yejijr^RlvqYPam~cS:37;LcwgmYRf`~xS:3>;BmvjqcuW^coxeQ8=Ekpegjbl2GjxnfPTxrf[2;eizchSzg{h^ggc`ubdjtm2^yejijr^RlvqYPam~cS537;LcwgmYRf`~xS53>;BmvjqcuW^coxeQ7=Ekpegjbl2GjxnfPTxrf[=;eizchSzg{h^ggc`ubdjtm2^yejijr^RlvqYPam~cS437;LcwgmYRf`~xS43>;BmvjqcuW^coxeQ6=Ekpegjbl2GjxnfPTxrf[<;eizchSzg{h^ggc`ubdjtm2^yejijr^RlvqYPam~cS<>28:ObpfnX]gcR??=09@kphsm{U\eizg_02>@lufjeoo7@o{ci]W}ucX997im~gl_vkwlZccoly0Iumcueg\BwijWMkmR]{oscdaZ775okgh5[rhedawYWg{~T[dj{h^329==Ji}icSX`ftr]25870Jfs``oaa=Ji}icSYwe^319ggtajU|eyfPeeefw>CkeoiRH}ol]GeqgX[}eymjkP13?eeiub3]xbkjk}_QmqpZQnl}bT=>37;LcwgmYRf`~xS<=21:AlqkrbzV]bhyfP12?Gmvgedln0Alzlh^Vzt`Y6;4hjdmPwhvk[`b`mz1Ntnbzdd]EvjkXLh~jS^z`r`ef[45:nhfxi6Z}ifefvZVhz}U\eizg_06><>Kf|jbTYcg{s^3794=Dg|diQXievk[42:L`yjnakk;LcwgmYSqyoT=93mark`[ros`Vookh}4EyaoqacXN{efSIo{a^Qwkwg`mV;?1kocsd9Wvla`m{U[czPWhfwlZ72511Fmymg_TljpvY6=4;0Ob{atdp\Slbs`V;>1Ig|acnf`>Kf|jbTXt~j_07>fdunkV}bxeQjdfgp?@~dd|nnSK|`m^FbpdYT|fxjkhQ>5;BmvjqcuW^coxeQ>64-a\ilhhzV|n~~g`n<6/gZdraenT~hxfshmm95*dWjeyiRcnjnp\r`t:9%iTob|j_lkmkwYqm{7? nQlosg\ilhhzVkg|eoPvdp>=2*dW{kfSywe<3/gZuud8#c^wpaZgskViggd`20-a\qvcXlh~j1="l_tqf[qtno97: nQzsd]wvla659&hSx}j_upjc7;7$jU~hQ{rhe095*dW|ynSy|fg5?3(fYr{lU~di:=1.`[pubW}xbk;3?,b]vw`Ysz`m<1="l_tqf[qtno17; nQzsd]wvla>59&hSx}j_upjc46:8%iTy~kPtskd54;7$jU~hQ{rhe2686+kVxiRz}if3095*dW|ynSy|fg06>4)eX}zoTxgh14?3(fYr{lU~dikauc394*dW|ynSy|fgecwe4;7$jU~hQ{rhegeqg559&hSx}j_upjcagsi:7; nQzsd]wvlaci}k?1="l_tqf[qtnomkm83?,b]vw`Ysz`momyo9=1.`[pubW}xbkio{a6?3(fYr{lU~dikauc;95*dW|ynSy|fgecwe<;7$jU~hQ{rhegeqg684:'oR{|e^vqmbbf|h;:1="l_tqf[qtnomkm<<20-a\qvcX|{clhlzn12?3(fYr{lU~dikauc2086+kVxiRz}iffbpd7259&hSio{a^uj`qn:9%iThlzn_egspm;68;>'oR{|e^ebt86+kV~bah}{alr\p|vb59&hSygbervbiuYpam~c1><#c^vji`usidzThh~{h<726)eX}zoTya}afg\upgk58&hSy}jq^vzt`;6$jUey`Q{sds\gkr:8%iTx~k~_blw5Zqnl}b6=!mPtrgr[fhs8V}bhyf21-a\jpkX|zozS~zntdq>6)eX}zoTxnQbcov>4)eX|jUfoczPwhfwl87+kVosxRmnp^pbp`Yjgmo6+kVosxRmnp^wpaZtbo4;'oR`zm^obpfnX}gc0?#c^vqmb6X`nd0?=8-a\pwo`9V}bhyf21-a\pwo`:V}bhyf21-a\pwo`;V}bhyf21-a\pwo`V}bhyf21-a\pwo`?V}bhyf21-a\pwo`0V}bhyf21-a\pwo`1V}bhyf21-a\pwo`99U|eizg=0.`[qtno8;T{dj{h<3/gZruan;9Szgkti?2(fYsz`m:?Ryfduj>5)eX|{cl=9Qxievk94*dW}xbk<;Pwhfwl87+kVg;Sy~fd<2/gZk6W}zbh0?#c^o1[qvnl48'oRc<_urj`85+kVg?Sy~fd<6/gZk2W}zbh0;#c^o5[qvnl4<'oRc8_urj`81+kVg3Sy~fd<:/gZk>W}zbh07#c^o24Zrwam7:2^vsma;6:%iTa<=Ptqkg945+kVg:8Rzie?20)eXe8?Tx}gk=07/gZk7W}s{i0?#c^o2[qwm4:'oRc=_u{sa86+kVg8Sywe<2/gZk3W}s{i0>#c^o6[qwm4:'oRc9_u{sa86+kVg#c^o:[qwm4:'oRc>0^vzt`;7$jUf=4)eXe88Txt~j=1.`[h74W}s{i0>#c^o20Zr~xl7; nQb14]w}uc:8%iTy~kPmblw486+kVxiRclnu3>4)eX}zoTan`{2<2/gZstmVghby=20-a\qvcXejd80>#c^wpaZkdf}?64:'oR{|e^o`jq1:8%iTy~kPmblw<86+kVxiRclnu;>4)eX}zoTan`{11?3(fYr{lUfocz>1<2/gZstmVghby?==1.`[pubWdiex<=20-a\qvcXejd=93?,b]vw`Yjkg~:90>#c^o`jq6X`nd0?#c^o`jq7X`nd0?#c^o`jq4X`nd0?#c^o`jq5X`nd0?#c^o`jq2X`nd0?#c^o`jq3X`nd0?#c^o`jq0X`nd0?#c^o`jq1X`nd0?#c^o`jq>X`nd0?#c^o`jq?X`nd0?#c^o`jq77W~coxe3>,b]ngkr69V}bhyf21-a\ifhs9;U|eizg=0.`[hei|89T{dj{h<3/gZkdf};?Szgkti?2(fYjkg~:9Ryfduj>5)eXkfdxxofnu]w}uc:mq~n~coc,b]f|dkwdlUoi~ghn%845lotlwawY612idyczjr^0e?fuXj{kfSno|c`ff57=d{Vhym`Qlarab``Yt>8;0o~Qmr`o\wlkwdlUx:<64cr]avdkX{`g{`hQ|6^pbig7?9>1hRl}al]pmhvkmVy=Sobb8c8gvYdnnf~x9o4cr]`bbjr|etWnkehR}}l^q5[vjnklUecR|m^325`=d{VmjbiQ|rm]p2ZukajoTbbQ}pl]264cVygenkPnn]qthY6>8o0o~Qhaof\wwjX{?Ux`dmj_om\vukX9>;o7n}Pg`lg[vtkWz;o7n}Pg`lg[vtkWz>1hRbzt758gvYj}q827n}Pmtz113>55ls^ov|Z5?3jyTaxvP4c9`wZtbo`yi=4deog?`b`mVnnxhm{osd8migXkhzTmij}gdl26>okiVij|Rm{rm]d6Zuvn2cgmRmnp^qwkwg`m11b`lQlosg:?ljfWdkoe?>;hnb[hgskaUhc`lfndd8migXeh~hdRm`mcmf?ljfWdkoeQhaofe?ljfWdkoeQhaof{a>okiVxnhzPcupoe>okiVymy{|119jhdYszhinSo{ifdpf?ljfW}xbkRcntbj`?ljfW}xbknaad028migX|{clob`k_mwwf>okiV~yejijr008migX|{clhPshosh`41:z`2vj?<'mkj:74,1~DEv5mlo0LMvkbc8E>7<6sZhn6iol:31g>454:jin6>8k10ym`dg=92domo49;%fb=?bf;2wX5:4kab817a<6;:8hoh4<6e37?abej3:1=7?tScg9`de=::n1=>==cbg973b692|_>8750;395?010rYii7jnc;00`?74;;ihi7=9d038 a>b2;827)<dcjk0;6l;54gd923>|@m2h7)\0i38p=>4=8;'`d>=lko0(:k5dca8 gb=lkn0(?;9:19'603=lhn0e?6<:18'616=:180b?=i:198m7>6290/>9>52908j75a2810e?6?:18'616=:180b?=i:398m71a290/>9>52908j75a2:10e?9j:18'616=:180b?=i:598m71c290/>9>52908j75a2<10e?9l:18'616=:180b?=i:798m71e290/>9>52908j75a2>10e?96:18'616=:180b?=i:998m71?290/>9>52908j75a2010e?98:18'616=:180b?=i:`98m711290/>9>52908j75a2k10e?9::18'616=:180b?=i:b98m713290/>9>52908j75a2m10e?9<:18'616=:180b?=i:d98m715290/>9>52908j75a2o10e?9>:18'616=:180b?=i:028?l4083:1(?:?:3:1?k44n3;:76g=6d83>!438383>6`=3g826>=n:?n1<7*=4181<7=i::l1=>54i34`>5<#:=:1>5<4n31e>42<3`8=n7>5$363>7>53g88j7?:;:k12d<72-8?<7<72:l17c<6>21b>;750;&105<50;1e>>h51698m70?290/>9>52908j75a28207d<97;29 7272;297c<o5>?0;6)<;0;0;6>h5;o0:m65f27794?"5<9094?5a22d95g=;6?6=;o00b?7c32c9i<4?:%074?4?:2d9?k4>e:9j6`6=83.98=4=839m66`=9o10e?ji:18'616=:180b?=i:328?l4cm3:1(?:?:3:1?k44n38:76g=de83>!438383>6`=3g816>=n:mi1<7*=4181<7=i::l1>>54i3fa>5<#:=:1>5<4n31e>72<3`8om7>5$363>7>53g88j7<:;:k1`=<72-8?<7<72:l17c<5>21b>i950;&105<50;1e>>h52698m7b1290/>9>52908j75a2;207do5l=0;6)<;0;0;6>h5;o09m65f2e194?"5<9094?5a22d96g=;6?6=;o00b?4c32c9h=4?:%074?4?:2d9?k4=e:9j6f`=83.98=4=839m66`=:o10e?mk:18'616=:180b?=i:228?l4dk3:1(?:?:3:1?k44n39:76g=cc83>!438383>6`=3g806>=n:jk1<7*=4181<7=i::l1?>54i3a:>5<#:=:1>5<4n31e>62<3`8h47>5$363>7>53g88j7=:;:k1g2<72-8?<7<72:l17c<4>21b>n850;&105<50;1e>>h53698m7e2290/>9>52908j75a2:207do5k;0;6)<;0;0;6>h5;o08m65f2b394?"5<9094?5a22d97g=m4;h0ab?6=,;>;6?6=;o00b?5c32c9nh4?:%074?4?:2d9?k4:76g=b`83>!438383>6`=3g876>=n:k31<7*=4181<7=i::l18>54i3`4>5<#:=:1>5<4n31e>12<3`8i:7>5$363>7>53g88j7::;:k1f0<72-8?<7<72:l17c<3>21b>o:50;&105<50;1e>>h54698m7d4290/>9>52908j75a2=207do5j80;6)<;0;0;6>h5;o0?m65f2c294?"5<9094?5a22d90g=;6?6=;o00b?2c32c9mn4?:%074?4?:2d9?k4;e:9j6dd=83.98=4=839m66`=!438383>6`=3g866>=n:h=1<7*=4181<7=i::l19>54i3c5>5<#:=:1>5<4n31e>02<3`8j97>5$363>7>53g88j7;:;:k1e1<72-8?<7<72:l17c<2>21b>l=50;&105<50;1e>>h55698m7g6290/>9>52908j75a2<207do51o0;6)<;0;0;6>h5;o0>m65f28g94?"5<9094?5a22d91g=;6?6=;o00b?3c32c95o4?:%074?4?:2d9?k4:e:9j603:1(?:?:3:1?k44n3<:76g=9783>!438383>6`=3g856>=n:0?1<7*=4181<7=i::l1:>54i3;7>5<#:=:1>5<4n31e>32<3`82?7>5$363>7>53g88j78:;:k1=7<72-8?<7<72:l17c<1>21b>4?50;&105<50;1e>>h56698m7?7290/>9>52908j75a2?207d<7f;29 7272;297c<o50l0;6)<;0;0;6>h5;o0=m65f29f94?"5<9094?5a22d92g=;6?6=;o00b?0c32c9444?:%074?4?:2d9?k49e:9j6=>=83.98=4=839m66`=>o10e?68:18'616=:180b?=i:628?l4?>3:1(?:?:3:1?k44n3=:76g=8483>!438383>6`=3g846>=n:1>1<7*=4181<7=i::l1;>54i35b>5<#:=:1>5<4n31e>22<3`8=j7>5$363>7>53g88j79:;:k1a1<72-8?<7<72:l17c<0>21b>i750;&105<50;1e>>h57698m7eb290/>9>52908j75a2>207do5j10;6)<;0;0;6>h5;o0;6?6=;o00b?1c32c94n4?:%074?4?:2d9?k48e:9j632=83.98=4=839m66`=?o10'o;50;&105;6o:4n31e>4=<#k81<7*=418a0>h5;o0976%m1;29 7272k>0b?=i:298/g6=83.98=4m4:l17c<332!jj7>5$363>g2-fk3:1(?:?:c68j75a2>10'll50;&105;6o:4n31e><=<#h31<7*=418a0>h5;o0j76%n8;29 7272k>0b?=i:c98/d1=83.98=4m4:l17c5$363>g2-f<3:1(?:?:c68j75a2o10'l<50;&1050:9(e4<72-8?<7l;;o00b?7632!j<7>5$363>g25<#:=:1n95a22d956=<#0o1<7*=418a0>h5;o0:865$9e83>!4383h?7c<->k3:1(?:?:c68j75a28<07&7m:18'616=j=1e>>h51698/;6o:4n31e>4g<3"hi6=4+2529f1=i::l1=o54+cc94?"5<90i86`=3g82g>=,j00;6)<;0;`7?k44n3;o76%m8;29 7272k>0b?=i:0g8?.d0290/>9>5b59m66`=9o10'lk50;&1055$363>g25<<#k<1<7*=418a0>h5;o09<65md`594?7=83:p(?k::e:g?Mbf=2Bo4n5`22a94?=zjmk=6=4>:183!4b=3;o<6Fka49K`=e5;h3g0?6=3`;o97>5;h3g2?6=3`;o;7>5;n00e?6=3th?m?4?:283>5}#:l?1>?l4Hec6?Mb?k2.9?o4j039'5`6=9ho0(?:>:e`0?l7c:3:17d?k3;29?j44i3:17pl;6983>6<729q/>h;523`8Lag23An3o6*=3c8f47=#9l:1>45f1e094?=n9m91<75`22c94?=zj=<=6=4<:183!4b=389n6Fka49K`=e<,;9i6h>=;%3f4?543`;o>7>5;h3g7?6=3f88m7>5;|`;<=<72:0;6=u+2d7967d<@mk>7Ej7c:&17g51`g8 7262mkm7d?k2;29?l7c;3:17b<4?:1y'6`3=:;h0Dio:;If;g>"5;k0n:6ioi;h3g6?6=3`;o?7>5;n00e?6=3th34o4?:883>5}#:l?1>8j4Hec6?Mb?k2.9?o4j039'5`6=:2c887>5;h16>5<>o0l3:17d6?:188mg`=831d>9:50;9l613=831vn568:18:>5<7s-8n97<:d:Jge0=Ol1i0(?=m:d21?!7b8380e>:50;9j70<722c8:7>5;h1;>5<n1<75f8183>>oen3:17b<;4;29?j43=3:17pl78083><<729q/>h;524f8Lag23An3o6*=3c8f47=#9l:1>6g<4;29?l522900e>850;9j7=<722c5;h:3>5<5<>290;w)Nci<1Ch5m4$31a>`653-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e:j50;9j<5<722cij7>5;n070?6=3f8?97>5;|`;3c<72;0;6=u+2d7967g<@mk>7Ej7c:&17g5109j5a4=831d>>o50;9~f=?329086=4?{%0f1?45j2Bom85Gd9a8 75e2l:97)?j0;3ba>o6l;0;66g>d283>>i5;h0;66sm5g594?2=83:p(?k::30`?Mbf=2Bo4n5+22`9a54<,8o;6:m4$362>ad43`;o>7>5;h3g7?6=3`;o87>5;n00e?6=3th?ol4?:683>5}#:l?1>?h4Hec6?Mb?k2.:i=4j;h3g6?6=3`;o?7>5;h3g0?6=3`;o97>5;h3g2?6=3`;o;7>5;n00e?6=3th?h:4?:683>5}#:l?1>?h4Hec6?Mb?k2.:i=4j;h3g6?6=3`;o?7>5;h3g0?6=3`;o97>5;h3g2?6=3`;o;7>5;n00e?6=3th?o94?:583>5}#:l?1>>?4Hec6?Mb?k2c:h?4?::k2`6<722c9994?::m17d<722wi8i650;594?6|,;o>6?Nc0j1/=h>5e:k2`7<722c:h>4?::k2`1<722c:h84?::k2`3<722c:h:4?::m17d<722wi8h750;594?6|,;o>6?Nc0j1/=h>5e:k2`7<722c:h>4?::k2`1<722c:h84?::k2`3<722c:h:4?::m17d<722wi8nl50;694?6|,;o>6?=>;Ifb1>Nc0j1b=i<50;9j5a5=831b>8:50;9l66g=831vn9m<:187>5<7s-8n97<<2:Jge0=Ol1i0e2<729q/>h;523d8Lag23An3o6*>e18f?l7c:3:17d?k3;29?l7c<3:17d?k5;29?l7c>3:17d?k7;29?j44i3:17pl;fc83>2<729q/>h;523d8Lag23An3o6*>e18f?l7c:3:17d?k3;29?l7c<3:17d?k5;29?l7c>3:17d?k7;29?j44i3:17pl;de83>1<729q/>h;52238Lag23An3o6g>d383>>o6l:0;66g=5583>>i5;h0;66sm4b794?2=83:p(?k::311?Mbf=2Bo4n5f1e094?=n9m91<75f24694?=h::k1<75rb5fb>5<0290;w)Nci<1Ch5m4$0g3>`=n9m81<75f1e194?=n9m>1<75f1e794?=n9m<1<75f1e594?=h::k1<75rb5gg>5<0290;w)Nci<1Ch5m4$0g3>`=n9m81<75f1e194?=n9m>1<75f1e794?=n9m<1<75f1e594?=h::k1<75rb5f3>5<3290;w)Nci<1Ch5m4i0f1>5<5<5<5<5<5<5<5<5;h060?6=3f88m7>5;|`7g=<72=0;6=u+2d79664<@mk>7Ej7c:k2`7<722c:h>4?::k111<722e9?l4?::a0``=83=1<7>t$3g6>74a3Anj96Fk8b9'5`6=m2c:h?4?::k2`6<722c:h94?::k2`0<722c:h;4?::k2`2<722e9?l4?::a0cb=83=1<7>t$3g6>74a3Anj96Fk8b9'5`6=m2c:h?4?::k2`6<722c:h94?::k2`0<722c:h;4?::k2`2<722e9?l4?::a0`2=83>1<7>t$3g6>7563Anj96Fk8b9j5a4=831b=i=50;9j602=831d>>o50;9~f1`b290<6=4?{%0f1?45n2Bom85Gd9a8 4c72l1b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9j5a1=831d>>o50;9~f061290<6=4?{%0f1?45n2Bom85Gd9a8 4c72l1b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9j5a1=831d>>o50;9~f1`3290?6=4?{%0f1?4492Bom85Gd9a8m4b52900e50z&1a0<5;;1Chl;4He:`?l7c:3:17d?k3;29?l42<3:17b<"6m90n7d?k2;29?l7c;3:17d?k4;29?l7c=3:17d?k6;29?l7c?3:17b<"6m90n7d?k2;29?l7c;3:17d?k4;29?l7c=3:17d?k6;29?l7c?3:17b<o6l;0;66g>d283>>o5==0;66a=3`83>>{ed383>>o6l:0;66g>d583>>o6l<0;66g>d783>>o6l>0;66a=3`83>>{ed383>>o6l:0;66g>d583>>o6l<0;66g>d783>>o6l>0;66a=3`83>>{e1<75`22c94?=zj=i26=4;:183!4b=388>6Fka49K`=e5<5<m>7>57;294~"5m<09>k5Gd`78La>d3-;n<7k4i0f1>5<5<6=44i0f5>5<5<57;294~"5m<09>k5Gd`78La>d3-;n<7k4i0f1>5<5<6=44i0f5>5<5<n:7>54;294~"5m<09?<5Gd`78La>d3`;o>7>5;h3g7?6=3`8>87>5;n00e?6=3th><<4?:683>5}#:l?1>?h4Hec6?Mb?k2.:i=4j;h3g6?6=3`;o?7>5;h3g0?6=3`;o97>5;h3g2?6=3`;o;7>5;n00e?6=3th><:4?:683>5}#:l?1>?h4Hec6?Mb?k2.:i=4j;h3g6?6=3`;o?7>5;h3g0?6=3`;o97>5;h3g2?6=3`;o;7>5;n00e?6=3th?j54?:583>5}#:l?1>>?4Hec6?Mb?k2c:h?4?::k2`6<722c9994?::m17d<722wi8i;50;694?6|,;o>6?==;Ifb1>Nc0j1b=i<50;9j5a5=831b>8:50;9l66g=831vn9h<:184>5<7s-8n97<=f:Jge0=Ol1i0(=:184>5<7s-8n97<=f:Jge0=Ol1i0(5<7s-8n97<<1:Jge0=Ol1i0e1<729q/>h;52208Lag23An3o6g>d383>>o6l:0;66g=5583>>i5;h0;66sm51694?1=83:p(?k::30e?Mbf=2Bo4n5+1d29a>o6l;0;66g>d283>>o6l=0;66g>d483>>o6l?0;66g>d683>>i5;h0;66sm51;94?1=83:p(?k::30e?Mbf=2Bo4n5+1d29a>o6l;0;66g>d283>>o6l=0;66g>d483>>o6l?0;66g>d683>>i5;h0;66sm4gc94?2=83:p(?k::312?Mbf=2Bo4n5f1e094?=n9m91<75f24694?=h::k1<75rb42b>5<0290;w)Nci<1Ch5m4$0g3>`=n9m81<75f1e194?=n9m>1<75f1e794?=n9m<1<75f1e594?=h::k1<75rb42a>5<0290;w)Nci<1Ch5m4$0g3>`=n9m81<75f1e194?=n9m>1<75f1e794?=n9m<1<75f1e594?=h::k1<75rb426>5<3290;w)Nci<1Ch5m4i0f1>5<5<5;h060?6=3f88m7>5;|`7`f<72>0;6=u+2d7967`<@mk>7Ej7c:&2a57>5;h3g7?6=3`;o87>5;h3g1?6=3`;o:7>5;h3g3?6=3f88m7>5;|`7ag<72?0;6=u+2d7967c<@mk>7Ej7c:&2a5<4?2c:h?4?::k2`6<722c:h94?::k2`0<722c:h;4?::m17d<722wi45o50;694?6|,;o>6Nc0j1/>>l5e108 4c7281b;o4?::kab?6=3`8?;7>5;n070?6=3th34n4?:583>5}#:l?1=n=4Hec6?Mb?k2.9?o4j039'5`6=92c5;h`e>5<<6=44o367>5<54;294~"5m<0:o>5Gd`78La>d3-88n7k?2:&2a5<63`=i6=44icd94?=n:==1<75`25694?=zj13=6=4;:183!4b=3;h?6Fka49K`=e<,;9i6h>=;%3f4?7h1<75fbg83>>o5<>0;66a=4583>>{e0181<7:50;2x 7c228i87Ejn5:Jg4=n?k0;66gmf;29?l43?3:17b<;4;29?xd?0=0;694?:1y'6`3=9j90Dio:;If;g>"5;k0no0j3:17dli:188m7202900c?:;:188yg>>03:187>50z&1a0<6k:1Chl;4He:`?!44j3o;>6*>e182?l1e2900eoh50;9j611=831d>9:50;9~f=>7290?6=4?{%0f1?7d;2Bom85Gd9a8 75e2l:97)?j0;38m2d=831bnk4?::k102<722e9894?::a<1<7>t$3g6>4e23Anj96Fk8b9'66d=m980(1<7>t$3g6>4e43Anj96Fk8b9'66d=m980(5;h073?6=3f8?87>5;|`067<72>0;6=u+2d7960d<@mk>7Ej7c:&17g52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg5583:1;7>50z&1a0<5=k1Chl;4He:`?!44j3o;>6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<n6Fka49K`=e<,;9i6h>=;%3f4?41<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?6?;m;Ifb1>Nc0j1/>>l5e108 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl<1`83>2<729q/>h;524`8Lag23An3o6*=3c8f47=#9l:1>6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<?6=44}c125<>o403:17d6?:188mg`=831d>9:50;9~f671290<6=4?{%0f1?42j2Bom85Gd9a8 75e2l:97)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;8>1<7950;2x 7c22;?i7Ejn5:Jg7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th8==4?:683>5}#:l?1>8l4Hec6?Mb?k2.9?o4j039'5`6=:2c887>5;h16>5<>o?83:17dli:188k7232900qo=?e;293?6=8r.9i84=5c9K`d3<@m2h7)<"6m9097d=;:188m63=831b?;4?::k01<75rb22`>5<0290;w)Nci<1Ch5m4$31a>`653-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a75g=83=1<7>t$3g6>73e3Anj96Fk8b9'66d=m980(5;h15>5<>oen3:17b<;4;29?xd4810;6:4?:1y'6`3=:"5;k0no4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<57;294~"5m<099o5Gd`78La>d3-88n7k?2:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>>;:184>5<7s-8n97<:b:Jge0=Ol1i0(?=m:d21?!7b8380e>:50;9j70<722c8:7>5;h1;>5<>i5<=0;66sm31094?1=83:p(?k::37a?Mbf=2Bo4n5+22`9a54<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`045<72>0;6=u+2d7960d<@mk>7Ej7c:&17g52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg4am3:1;7>50z&1a0<5=k1Chl;4He:`?!44j3o;>6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<n6Fka49K`=e<,;9i6h>=;%3f4?41<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi>k650;594?6|,;o>6?;m;Ifb1>Nc0j1/>>l5e108 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl=f783>2<729q/>h;524`8Lag23An3o6*=3c8f47=#9l:1>6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<?6=44}c0e0?6=?3:15<>o403:17d6?:188mg`=831d>9:50;9~f7`5290<6=4?{%0f1?42j2Bom85Gd9a8 75e2l:97)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e:o:1<7950;2x 7c22;?i7Ejn5:Jg7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th9ih4?:683>5}#:l?1>8l4Hec6?Mb?k2.9?o4j039'5`6=:2c887>5;h16>5<>o?83:17dli:188k7232900qo"6m9097d=;:188m63=831b?;4?::k01<75rb3gb>5<0290;w)Nci<1Ch5m4$31a>`653-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a6`>=83=1<7>t$3g6>73e3Anj96Fk8b9'66d=m980(5;h15>5<>oen3:17b<;4;29?xd4m;0;6:4?:1y'6`3=:"5;k0no4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<57;294~"5m<099o5Gd`78La>d3-88n7k?2:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>jj:184>5<7s-8n97<:b:Jge0=Ol1i0(?=m:d21?!7b8380e>:50;9j70<722c8:7>5;h1;>5<>i5<=0;66sm3ea94?1=83:p(?k::37a?Mbf=2Bo4n5+22`9a54<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`0`d<72>0;6=u+2d7960d<@mk>7Ej7c:&17g52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg5c03:1;7>50z&1a0<5=k1Chl;4He:`?!44j3o;>6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<n6Fka49K`=e<,;9i6h>=;%3f4?41<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?i:50;594?6|,;o>6?;m;Ifb1>Nc0j1/>>l5e108 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl2<729q/>h;524`8Lag23An3o6*=3c8f47=#9l:1>6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<?6=44}c1g4?6=?3:15<>o403:17d6?:188mg`=831d>9:50;9~f6ed290<6=4?{%0f1?42j2Bom85Gd9a8 75e2l:97)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;jk1<7950;2x 7c22;?i7Ejn5:Jg7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th8o54?:683>5}#:l?1>8l4Hec6?Mb?k2.9?o4j039'5`6=:2c887>5;h16>5<>o?83:17dli:188k7232900qo=l6;293?6=8r.9i84=5c9K`d3<@m2h7)<"6m9097d=;:188m63=831b?;4?::k01<75rb2a7>5<0290;w)Nci<1Ch5m4$31a>`653-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a7f4=83=1<7>t$3g6>73e3Anj96Fk8b9'66d=m980(5;h15>5<>oen3:17b<;4;29?xd4k90;6:4?:1y'6`3=:"5;k0no4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<57;294~"5m<099o5Gd`78La>d3-88n7k?2:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>ll:184>5<7s-8n97<:b:Jge0=Ol1i0(?=m:d21?!7b8380e>:50;9j70<722c8:7>5;h1;>5<>i5<=0;66sm3cc94?1=83:p(?k::37a?Mbf=2Bo4n5+22`9a54<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`0f3<72>0;6=u+2d7960d<@mk>7Ej7c:&17g52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg5e<3:1;7>50z&1a0<5=k1Chl;4He:`?!44j3o;>6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<n6Fka49K`=e<,;9i6h>=;%3f4?41<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?o>50;594?6|,;o>6?;m;Ifb1>Nc0j1/>>l5e108 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl2<729q/>h;524`8Lag23An3o6*=3c8f47=#9l:1>6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<?6=44}c1bg?6=?3:15<>o403:17d6?:188mg`=831d>9:50;9~f6gf290<6=4?{%0f1?42j2Bom85Gd9a8 75e2l:97)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;h21<7950;2x 7c22;?i7Ejn5:Jg7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th8m;4?:683>5}#:l?1>8l4Hec6?Mb?k2.9?o4j039'5`6=:2c887>5;h16>5<>o?83:17dli:188k7232900qo=n4;293?6=8r.9i84=5c9K`d3<@m2h7)<"6m9097d=;:188m63=831b?;4?::k01<75rb2c3>5<0290;w)Nci<1Ch5m4$31a>`653-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a7t$3g6>73e3Anj96Fk8b9'66d=m980(5;h15>5<>oen3:17b<;4;29?xd41j0;6:4?:1y'6`3=:"5;k0no4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<57;294~"5m<099o5Gd`78La>d3-88n7k?2:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>77:184>5<7s-8n97<:b:Jge0=Ol1i0(?=m:d21?!7b8380e>:50;9j70<722c8:7>5;h1;>5<>i5<=0;66sm38494?1=83:p(?k::37a?Mbf=2Bo4n5+22`9a54<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`0=1<72>0;6=u+2d7960d<@mk>7Ej7c:&17g52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg5>:3:1;7>50z&1a0<5=k1Chl;4He:`?!44j3o;>6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<n6Fka49K`=e<,;9i6h>=;%3f4?41<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?5k50;594?6|,;o>6?;m;Ifb1>Nc0j1/>>l5e108 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl<8`83>2<729q/>h;524`8Lag23An3o6*=3c8f47=#9l:1>6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<?6=44}c1;5<>o403:17d6?:188mg`=831d>9:50;9~f6>1290<6=4?{%0f1?42j2Bom85Gd9a8 75e2l:97)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;1>1<7950;2x 7c22;?i7Ejn5:Jg7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th84?4?:683>5}#:l?1>8l4Hec6?Mb?k2.9?o4j039'5`6=:2c887>5;h16>5<>o?83:17dli:188k7232900qo=70;293?6=8r.9i84=5c9K`d3<@m2h7)<"6m9097d=;:188m63=831b?;4?::k01<75rb25f>5<0290;w)Nci<1Ch5m4$31a>`653-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a72e=83=1<7>t$3g6>73e3Anj96Fk8b9'66d=m980(5;h15>5<>oen3:17b<;4;29?xd4?h0;6:4?:1y'6`3=:"5;k0no4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<57;294~"5m<099o5Gd`78La>d3-88n7k?2:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>9;:184>5<7s-8n97<:b:Jge0=Ol1i0(?=m:d21?!7b8380e>:50;9j70<722c8:7>5;h1;>5<>i5<=0;66sm36094?1=83:p(?k::37a?Mbf=2Bo4n5+22`9a54<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`035<72>0;6=u+2d7960d<@mk>7Ej7c:&17g52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg51m3:1;7>50z&1a0<5=k1Chl;4He:`?!44j3o;>6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<n6Fka49K`=e<,;9i6h>=;%3f4?41<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?;o50;594?6|,;o>6?;m;Ifb1>Nc0j1/>>l5e108 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl<6983>2<729q/>h;524`8Lag23An3o6*=3c8f47=#9l:1>6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<?6=44}c152?6=?3:15<>o403:17d6?:188mg`=831d>9:50;9~f603290<6=4?{%0f1?42j2Bom85Gd9a8 75e2l:97)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;?81<7950;2x 7c22;?i7Ejn5:Jg7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th89h4?:683>5}#:l?1>8l4Hec6?Mb?k2.9?o4j039'5`6=:2c887>5;h16>5<>o?83:17dli:188k7232900qo=:c;293?6=8r.9i84=5c9K`d3<@m2h7)<"6m9097d=;:188m63=831b?;4?::k01<75rb27b>5<0290;w)Nci<1Ch5m4$31a>`653-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a70>=83=1<7>t$3g6>73e3Anj96Fk8b9'66d=m980(5;h15>5<>oen3:17b<;4;29?xd4=?0;6:4?:1y'6`3=:"5;k0no4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<87>57;294~"5m<099o5Gd`78La>d3-88n7k?2:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>;=:184>5<7s-8n97<:b:Jge0=Ol1i0(?=m:d21?!7b8380e>:50;9j70<722c8:7>5;h1;>5<>i5<=0;66sm34294?1=83:p(?k::37a?Mbf=2Bo4n5+22`9a54<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`00`<72>0;6=u+2d7960d<@mk>7Ej7c:&17g52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg53k3:1;7>50z&1a0<5=k1Chl;4He:`?!44j3o;>6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<36=48:183!4b=38>n6Fka49K`=e<,;9i6h>=;%3f4?41<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?9850;594?6|,;o>6?;m;Ifb1>Nc0j1/>>l5e108 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl<4583>2<729q/>h;524`8Lag23An3o6*=3c8f47=#9l:1>6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<?6=44}c176?6=?3:15<>o403:17d6?:188mg`=831d>9:50;9~f627290<6=4?{%0f1?42j2Bom85Gd9a8 75e2l:97)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;:o1<7950;2x 7c22;?i7Ejn5:Jg7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th8?n4?:683>5}#:l?1>8l4Hec6?Mb?k2.9?o4j039'5`6=:2c887>5;h16>5<>o?83:17dli:188k7232900qo="6m9097d=;:188m63=831b?;4?::k01<75rb21;>5<0290;w)Nci<1Ch5m4$31a>`653-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a760=83=1<7>t$3g6>73e3Anj96Fk8b9'66d=m980(5;h15>5<>oen3:17b<;4;29?xd4;;0;6:4?:1y'6`3=:"5;k0no4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<57;294~"5m<099o5Gd`78La>d3-88n7k?2:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>5<7s-8n97<:b:Jge0=Ol1i0(?=m:d21?!7b8380e>:50;9j70<722c8:7>5;h1;>5<>i5<=0;66sm33a94?1=83:p(?k::37a?Mbf=2Bo4n5+22`9a54<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`06d<72>0;6=u+2d7960d<@mk>7Ej7c:&17g52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg5503:1;7>50z&1a0<5=k1Chl;4He:`?!44j3o;>6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<n6Fka49K`=e<,;9i6h>=;%3f4?41<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi??:50;594?6|,;o>6?;m;Ifb1>Nc0j1/>>l5e108 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl<1383>2<729q/>h;524`8Lag23An3o6*=3c8f47=#9l:1>6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<?6=44}c0eg?6=?3:15<>o403:17d6?:188mg`=831d>9:50;9~f6c3290<6=4?{%0f1?42j2Bom85Gd9a8 75e2l:97)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;jo1<7950;2x 7c22;?i7Ejn5:Jg7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th8n54?:683>5}#:l?1>8l4Hec6?Mb?k2.9?o4j039'5`6=:2c887>5;h16>5<>o?83:17dli:188k7232900qo=n2;293?6=8r.9i84=5c9K`d3<@m2h7)<"6m9097d=;:188m63=831b?;4?::k01<75rb2:`>5<0290;w)Nci<1Ch5m4$31a>`653-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a720=83=1<7>t$3g6>73e3Anj96Fk8b9'66d=m980(5;h15>5<>oen3:17b<;4;29?xd4>90;6:4?:1y'6`3=:"5;k0no4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<57;294~"5m<099o5Gd`78La>d3-88n7k?2:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>=;:184>5<7s-8n97<:b:Jge0=Ol1i0(?=m:d21?!7b8380e>:50;9j70<722c8:7>5;h1;>5<>i5<=0;66sm2d494?1=83:p(?k::37a?Mbf=2Bo4n5+22`9a54<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`066<72:0;6=u+2d795ge<@mk>7Ej7c:&17g51:k4f?6=3`hm6=44o367>5<53;294~"5m<0:nn5Gd`78La>d3-88n7k?2:&2a5<63`=i6=44icd94?=h:=>1<75rb23e>5<4290;w)Nci<1Ch5m4$31a>`653-;n<7?4i6`94?=njo0;66a=4583>>{e;8n1<7=50;2x 7c228hh7Ejn5:Jg4=n?k0;66gmf;29?j43<3:17pl<1c83>6<729q/>h;51ca8Lag23An3o6*=3c8f47=#9l:1=6g8b;29?lda2900c?:;:188yg5613:1?7>50z&1a0<6jj1Chl;4He:`?!44j3o;>6*>e182?l1e2900eoh50;9l612=831vn>?8:180>5<7s-8n97?mc:Jge0=Ol1i0(?=m:d21?!7b83;0e:l50;9jfc<722e9894?::a743=8391<7>t$3g6>4dd3Anj96Fk8b9'66d=m980(5;n070?6=3th8=<4?:283>5}#:l?1=om4Hec6?Mb?k2.9?o4j039'5`6=92c5;h`e>5<?6=44}c13b?6=;3:1;h5a>5<=;%3f4?7h1<75fbg83>>i5<=0;66sm31`94?5=83:p(?k::0``?Mbf=2Bo4n5+22`9a54<,8o;6<5f7c83>>oen3:17b<;4;29?xd4800;6>4?:1y'6`3=9ki0Dio:;If;g>"5;k0no0j3:17dli:188k7232900qo=?7;297?6=8r.9i84>bb9K`d3<@m2h7)<"6m90:7d9m:188mg`=831d>9:50;9~f66229086=4?{%0f1?7ek2Bom85Gd9a8 75e2l:97)?j0;38m2d=831bnk4?::m101<722wi?==50;194?6|,;o>6Nc0j1/>>l5e108 4c7281b;o4?::kab?6=3f8?87>5;|`044<72:0;6=u+2d795ge<@mk>7Ej7c:&17g51:k4f?6=3`hm6=44o367>5<53;294~"5m<0:nn5Gd`78La>d3-88n7k?2:&2a5<63`=i6=44icd94?=h:=>1<75rb3da>5<4290;w)Nci<1Ch5m4$31a>`653-;n<7?4i6`94?=njo0;66a=4583>>{e:o31<7=50;2x 7c228hh7Ejn5:Jg4=n?k0;66gmf;29?j43<3:17pl=f683>6<729q/>h;51ca8Lag23An3o6*=3c8f47=#9l:1=6g8b;29?lda2900c?:;:188yg4a=3:1?7>50z&1a0<6jj1Chl;4He:`?!44j3o;>6*>e182?l1e2900eoh50;9l612=831vn?h<:180>5<7s-8n97?mc:Jge0=Ol1i0(?=m:d21?!7b83;0e:l50;9jfc<722e9894?::a6c7=8391<7>t$3g6>4dd3Anj96Fk8b9'66d=m980(5;n070?6=3th9ik4?:283>5}#:l?1=om4Hec6?Mb?k2.9?o4j039'5`6=92c5;h`e>5<?6=44}c0f`?6=;3:1;h5a>5<=;%3f4?7h1<75fbg83>>i5<=0;66sm2d;94?5=83:p(?k::0``?Mbf=2Bo4n5+22`9a54<,8o;6<5f7c83>>oen3:17b<;4;29?xd4m:0;6>4?:1y'6`3=9ki0Dio:;If;g>"5;k0no0j3:17dli:188k7232900qo=j1;297?6=8r.9i84>bb9K`d3<@m2h7)<"6m90:7d9m:188mg`=831d>9:50;9~f6ba29086=4?{%0f1?7ek2Bom85Gd9a8 75e2l:97)?j0;38m2d=831bnk4?::m101<722wi?ij50;194?6|,;o>6Nc0j1/>>l5e108 4c7281b;o4?::kab?6=3f8?87>5;|`0`g<72:0;6=u+2d795ge<@mk>7Ej7c:&17g51:k4f?6=3`hm6=44o367>5<53;294~"5m<0:nn5Gd`78La>d3-88n7k?2:&2a5<63`=i6=44icd94?=h:=>1<75rb2f4>5<4290;w)Nci<1Ch5m4$31a>`653-;n<7?4i6`94?=njo0;66a=4583>>{e;m?1<7=50;2x 7c228hh7Ejn5:Jg4=n?k0;66gmf;29?j43<3:17pl6<729q/>h;51ca8Lag23An3o6*=3c8f47=#9l:1=6g8b;29?lda2900c?:;:188yg5c93:1?7>50z&1a0<6jj1Chl;4He:`?!44j3o;>6*>e182?l1e2900eoh50;9l612=831vn>mk:180>5<7s-8n97?mc:Jge0=Ol1i0(?=m:d21?!7b83;0e:l50;9jfc<722e9894?::a7fd=8391<7>t$3g6>4dd3Anj96Fk8b9'66d=m980(5;n070?6=3th8o44?:283>5}#:l?1=om4Hec6?Mb?k2.9?o4j039'5`6=92c5;h`e>5<?6=44}c1`3?6=;3:1;h5a>5<6=4<:183!4b=3;io6Fka49K`=e<,;9i6h>=;%3f4?7h1<75fbg83>>i5<=0;66sm3b194?5=83:p(?k::0``?Mbf=2Bo4n5+22`9a54<,8o;6<5f7c83>>oen3:17b<;4;29?xd4k80;6>4?:1y'6`3=9ki0Dio:;If;g>"5;k0no0j3:17dli:188k7232900qo=mf;297?6=8r.9i84>bb9K`d3<@m2h7)<"6m90:7d9m:188mg`=831d>9:50;9~f6dc29086=4?{%0f1?7ek2Bom85Gd9a8 75e2l:97)?j0;38m2d=831bnk4?::m101<722wi?ol50;194?6|,;o>6Nc0j1/>>l5e108 4c7281b;o4?::kab?6=3f8?87>5;|`0f2<72:0;6=u+2d795ge<@mk>7Ej7c:&17g51:k4f?6=3`hm6=44o367>5<53;294~"5m<0:nn5Gd`78La>d3-88n7k?2:&2a5<63`=i6=44icd94?=h:=>1<75rb2`0>5<4290;w)Nci<1Ch5m4$31a>`653-;n<7?4i6`94?=njo0;66a=4583>>{e;k;1<7=50;2x 7c228hh7Ejn5:Jg4=n?k0;66gmf;29?j43<3:17pl6<729q/>h;51ca8Lag23An3o6*=3c8f47=#9l:1=6g8b;29?lda2900c?:;:188yg5fl3:1?7>50z&1a0<6jj1Chl;4He:`?!44j3o;>6*>e182?l1e2900eoh50;9l612=831vn>om:180>5<7s-8n97?mc:Jge0=Ol1i0(?=m:d21?!7b83;0e:l50;9jfc<722e9894?::a7d?=8391<7>t$3g6>4dd3Anj96Fk8b9'66d=m980(5;n070?6=3th8m:4?:283>5}#:l?1=om4Hec6?Mb?k2.9?o4j039'5`6=92c5;h`e>5<?6=44}c1b1?6=;3:1;h5a>5<=;%3f4?7h1<75fbg83>>i5<=0;66sm38d94?5=83:p(?k::0``?Mbf=2Bo4n5+22`9a54<,8o;6<5f7c83>>oen3:17b<;4;29?xd41m0;6>4?:1y'6`3=9ki0Dio:;If;g>"5;k0no0j3:17dli:188k7232900qo=6b;297?6=8r.9i84>bb9K`d3<@m2h7)<"6m90:7d9m:188mg`=831d>9:50;9~f6?>29086=4?{%0f1?7ek2Bom85Gd9a8 75e2l:97)?j0;38m2d=831bnk4?::m101<722wi?4950;194?6|,;o>6Nc0j1/>>l5e108 4c7281b;o4?::kab?6=3f8?87>5;|`0=0<72:0;6=u+2d795ge<@mk>7Ej7c:&17g51:k4f?6=3`hm6=44o367>5<53;294~"5m<0:nn5Gd`78La>d3-88n7k?2:&2a5<63`=i6=44icd94?=h:=>1<75rb2;2>5<4290;w)Nci<1Ch5m4$31a>`653-;n<7?4i6`94?=njo0;66a=4583>>{e;1l1<7=50;2x 7c228hh7Ejn5:Jg4=n?k0;66gmf;29?j43<3:17pl<8c83>6<729q/>h;51ca8Lag23An3o6*=3c8f47=#9l:1=6g8b;29?lda2900c?:;:188yg5?13:1?7>50z&1a0<6jj1Chl;4He:`?!44j3o;>6*>e182?l1e2900eoh50;9l612=831vn>68:180>5<7s-8n97?mc:Jge0=Ol1i0(?=m:d21?!7b83;0e:l50;9jfc<722e9894?::a7=3=8391<7>t$3g6>4dd3Anj96Fk8b9'66d=m980(5;n070?6=3th84>4?:283>5}#:l?1=om4Hec6?Mb?k2.9?o4j039'5`6=92c5;h`e>5<?6=44}c1;5?6=;3:1;h5a>5<=;%3f4?7h1<75fbg83>>i5<=0;66sm36f94?5=83:p(?k::0``?Mbf=2Bo4n5+22`9a54<,8o;6<5f7c83>>oen3:17b<;4;29?xd4?k0;6>4?:1y'6`3=9ki0Dio:;If;g>"5;k0no0j3:17dli:188k7232900qo=89;297?6=8r.9i84>bb9K`d3<@m2h7)<"6m90:7d9m:188mg`=831d>9:50;9~f61229086=4?{%0f1?7ek2Bom85Gd9a8 75e2l:97)?j0;38m2d=831bnk4?::m101<722wi?:=50;194?6|,;o>6Nc0j1/>>l5e108 4c7281b;o4?::kab?6=3f8?87>5;|`034<72:0;6=u+2d795ge<@mk>7Ej7c:&17g51:k4f?6=3`hm6=44o367>5<53;294~"5m<0:nn5Gd`78La>d3-88n7k?2:&2a5<63`=i6=44icd94?=h:=>1<75rb24g>5<4290;w)Nci<1Ch5m4$31a>`653-;n<7?4i6`94?=njo0;66a=4583>>{e;?h1<7=50;2x 7c228hh7Ejn5:Jg4=n?k0;66gmf;29?j43<3:17pl<6883>6<729q/>h;51ca8Lag23An3o6*=3c8f47=#9l:1=6g8b;29?lda2900c?:;:188yg51?3:1?7>50z&1a0<6jj1Chl;4He:`?!44j3o;>6*>e182?l1e2900eoh50;9l612=831vn>8::180>5<7s-8n97?mc:Jge0=Ol1i0(?=m:d21?!7b83;0e:l50;9jfc<722e9894?::a735=8391<7>t$3g6>4dd3Anj96Fk8b9'66d=m980(5;n070?6=3th89k4?:283>5}#:l?1=om4Hec6?Mb?k2.9?o4j039'5`6=92c5;h`e>5<?6=44}c16`?6=;3:1;h5a>5<=;%3f4?7h1<75fbg83>>i5<=0;66sm34;94?5=83:p(?k::0``?Mbf=2Bo4n5+22`9a54<,8o;6<5f7c83>>oen3:17b<;4;29?xd4=>0;6>4?:1y'6`3=9ki0Dio:;If;g>"5;k0no0j3:17dli:188k7232900qo=:5;297?6=8r.9i84>bb9K`d3<@m2h7)<"6m90:7d9m:188mg`=831d>9:50;9~f63429086=4?{%0f1?7ek2Bom85Gd9a8 75e2l:97)?j0;38m2d=831bnk4?::m101<722wi?8?50;194?6|,;o>6Nc0j1/>>l5e108 4c7281b;o4?::kab?6=3f8?87>5;|`00c<72:0;6=u+2d795ge<@mk>7Ej7c:&17g51:k4f?6=3`hm6=44o367>5<53;294~"5m<0:nn5Gd`78La>d3-88n7k?2:&2a5<63`=i6=44icd94?=h:=>1<75rb26:>5<4290;w)Nci<1Ch5m4$31a>`653-;n<7?4i6`94?=njo0;66a=4583>>{e;==1<7=50;2x 7c228hh7Ejn5:Jg4=n?k0;66gmf;29?j43<3:17pl<4483>6<729q/>h;51ca8Lag23An3o6*=3c8f47=#9l:1=6g8b;29?lda2900c?:;:188yg53;3:1?7>50z&1a0<6jj1Chl;4He:`?!44j3o;>6*>e182?l1e2900eoh50;9l612=831vn>:>:180>5<7s-8n97?mc:Jge0=Ol1i0(?=m:d21?!7b83;0e:l50;9jfc<722e9894?::a76`=8391<7>t$3g6>4dd3Anj96Fk8b9'66d=m980(5;n070?6=3th8?i4?:283>5}#:l?1=om4Hec6?Mb?k2.9?o4j039'5`6=92c5;h`e>5<?6=44}c10f?6=;3:1;h5a>5<=;%3f4?7h1<75fbg83>>i5<=0;66sm32594?5=83:p(?k::0``?Mbf=2Bo4n5+22`9a54<,8o;6<5f7c83>>oen3:17b<;4;29?xd4;:0;6>4?:1y'6`3=9ki0Dio:;If;g>"5;k0no0j3:17dli:188k7232900qo=<1;297?6=8r.9i84>bb9K`d3<@m2h7)<"6m90:7d9m:188mg`=831d>9:50;9~f64a29086=4?{%0f1?7ek2Bom85Gd9a8 75e2l:97)?j0;38m2d=831bnk4?::m101<722wi??j50;194?6|,;o>6Nc0j1/>>l5e108 4c7281b;o4?::kab?6=3f8?87>5;|`06g<72:0;6=u+2d795ge<@mk>7Ej7c:&17g51:k4f?6=3`hm6=44o367>5<53;294~"5m<0:nn5Gd`78La>d3-88n7k?2:&2a5<63`=i6=44icd94?=h:=>1<75rb204>5<4290;w)Nci<1Ch5m4$31a>`653-;n<7?4i6`94?=njo0;66a=4583>>{e;;?1<7=50;2x 7c228hh7Ejn5:Jg4=n?k0;66gmf;29?j43<3:17pl<1283>6<729q/>h;51ca8Lag23An3o6*=3c8f47=#9l:1=6g8b;29?lda2900c?:;:188yg4al3:1?7>50z&1a0<6jj1Chl;4He:`?!44j3o;>6*>e182?l1e2900eoh50;9l612=831vn>k::180>5<7s-8n97?mc:Jge0=Ol1i0(?=m:d21?!7b83;0e:l50;9jfc<722e9894?::a7f`=8391<7>t$3g6>4dd3Anj96Fk8b9'66d=m980(5;n070?6=3th8n44?:283>5}#:l?1=om4Hec6?Mb?k2.9?o4j039'5`6=92c5;h`e>5<?6=44}c1b7?6=;3:1;h5a>5<=;%3f4?7h1<75fbg83>>i5<=0;66sm36594?5=83:p(?k::0``?Mbf=2Bo4n5+22`9a54<,8o;6<5f7c83>>oen3:17b<;4;29?xd4>80;6>4?:1y'6`3=9ki0Dio:;If;g>"5;k0no0j3:17dli:188k7232900qo=;b;297?6=8r.9i84>bb9K`d3<@m2h7)<"6m90:7d9m:188mg`=831d>9:50;9~f65229086=4?{%0f1?7ek2Bom85Gd9a8 75e2l:97)?j0;38m2d=831bnk4?::m101<722wi>h950;194?6|,;o>6Nc0j1/>>l5e108 4c7281b;o4?::kab?6=3f8?87>5;|`:e5<72=0;6=u+2d79666<@mk>7Ej7c:&17g87>5;n013?6=3th2m<4?:583>5}#:l?1>>>4Hec6?Mb?k2.9?o4j039j3c<722ch?7>5;h060?6=3f89;7>5;|`:e7<72=0;6=u+2d79666<@mk>7Ej7c:&17g87>5;n013?6=3th2m>4?:583>5}#:l?1>>>4Hec6?Mb?k2.9?o4j039j3c<722ch?7>5;h060?6=3f89;7>5;|`:e1<72h0;6=u+2d7960c<@mk>7Ej7c:X4e?7|;;0v(?=m:d21?!7b83>0e5>50;9jfc<722c5;n070?6=3f8?:7>5;h1f>5<#:=:1?i5a22d94>=n;j0;6)<;0;1g?k44n3;07d=m:18'616=;m1e>>h52:9j7d<72-8?<7=k;o00b?5<3`926=4+25297a=i::l1865rb8c6>5Nci<1Ch5m4Z6c95~552t.9?o4j039'5`6=<2c3<7>5;h`e>5<n1<75`25694?=h:=<1<75f3d83>!43839o7c<9>53e9m66`=921b?o4?:%074?5c3g88j7<4;h1b>5<#:=:1?i5a22d97>=n;00;6)<;0;1g?k44n3>07pl6a783>d<729q/>h;524g8Lag23An3o6T8a;3x77=;%3f4?2>o0l3:17b<;4;29?j43>3:17d=j:18'616=;m1e>>h50:9j7f<72-8?<7=k;o00b?7<3`9i6=4+25297a=i::l1>65f3`83>!43839o7c<290/>9>53e9m66`=<21vn4o8:18:>5<7s-8n97<:d:Jge0=Ol1i0(?=m:d21?!7b8380e>:50;9j70<722c8:7>5;h1;>5<n1<75f8183>>oen3:17b<;4;29?j43=3:17pln2583>1<729q/>h;52228Lag23An3o6*=3c8f47=n?o0;66gl3;29?l42<3:17b<=7;29?xdf:<0;694?:1y'6`3=:::0Dio:;If;g>"5;k0n>od;3:17d<:4;29?j45?3:17pln2283>1<729q/>h;52228Lag23An3o6*=3c8f47=n?o0;66gl3;29?l42<3:17b<=7;29?xdf:?0;6l4?:1y'6`3=:\0i3;p??4r$31a>`653-;n<7:4i9294?=njo0;66g8d;29?j43<3:17b<;6;29?l5b290/>9>53e9m66`=821b?n4?:%074?5c3g88j7?4;h1a>5<#:=:1?i5a22d96>=n;h0;6)<;0;1g?k44n3907d=6:18'616=;m1e>>h54:9~fd40290j6=4?{%0f1?42m2Bom85Gd9a8^2g=9r996p*=3c8f47=#9l:186g70;29?lda2900e:j50;9l612=831d>9850;9j7`<72-8?<7=k;o00b?6<3`9h6=4+25297a=i::l1=65f3c83>!43839o7c<9>53e9m66`=;21b?44?:%074?5c3g88j7:4;|`b6=<72h0;6=u+2d7960c<@mk>7Ej7c:X4e?7|;;0v(?=m:d21?!7b83>0e5>50;9jfc<722c5;n070?6=3f8?:7>5;h1f>5<#:=:1?i5a22d94>=n;j0;6)<;0;1g?k44n3;07d=m:18'616=;m1e>>h52:9j7d<72-8?<7=k;o00b?5<3`926=4+25297a=i::l1865rb`0:>5<>290;w)Nci<1Ch5m4$31a>`653-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e:j50;9j<5<722cij7>5;n070?6=3f8?97>5;|`b67<72=0;6=u+2d79666<@mk>7Ej7c:&17g87>5;n013?6=3thj?;4?:583>5}#:l?1>>>4Hec6?Mb?k2.9?o4j039j3c<722ch?7>5;h060?6=3f89;7>5;|`b72<72=0;6=u+2d79666<@mk>7Ej7c:&17g87>5;n013?6=3thj?84?:583>5}#:l?1>>>4Hec6?Mb?k2.9?o4j039j3c<722ch?7>5;h060?6=3f89;7>5;|`b7=<72h0;6=u+2d7960c<@mk>7Ej7c:X4e?7|;;0v(?=m:d21?!7b83>0e5>50;9jfc<722c5;n070?6=3f8?:7>5;h1f>5<#:=:1?i5a22d94>=n;j0;6)<;0;1g?k44n3;07d=m:18'616=;m1e>>h52:9j7d<72-8?<7=k;o00b?5<3`926=4+25297a=i::l1865rb`1:>5Nci<1Ch5m4Z6c95~552t.9?o4j039'5`6=<2c3<7>5;h`e>5<n1<75`25694?=h:=<1<75f3d83>!43839o7c<9>53e9m66`=921b?o4?:%074?5c3g88j7<4;h1b>5<#:=:1?i5a22d97>=n;00;6)<;0;1g?k44n3>07pln3`83>d<729q/>h;524g8Lag23An3o6T8a;3x77=;%3f4?2>o0l3:17b<;4;29?j43>3:17d=j:18'616=;m1e>>h50:9j7f<72-8?<7=k;o00b?7<3`9i6=4+25297a=i::l1>65f3`83>!43839o7c<290/>9>53e9m66`=<21vnl=m:18:>5<7s-8n97<:d:Jge0=Ol1i0(?=m:d21?!7b8380e>:50;9j70<722c8:7>5;h1;>5<n1<75f8183>>oen3:17b<;4;29?j43=3:17pln3583>1<729q/>h;52228Lag23An3o6*=3c8f47=n?o0;66gl3;29?l42<3:17b<=7;29?xdf<10;694?:1y'6`3=:::0Dio:;If;g>"5;k0n>od;3:17d<:4;29?j45?3:17pln4883>1<729q/>h;52228Lag23An3o6*=3c8f47=n?o0;66gl3;29?l42<3:17b<=7;29?xdf<>0;694?:1y'6`3=:::0Dio:;If;g>"5;k0n>od;3:17d<:4;29?j45?3:17pln4`83>d<729q/>h;524g8Lag23An3o6T8a;3x77=;%3f4?2>o0l3:17b<;4;29?j43>3:17d=j:18'616=;m1e>>h50:9j7f<72-8?<7=k;o00b?7<3`9i6=4+25297a=i::l1>65f3`83>!43839o7c<290/>9>53e9m66`=<21vnl:m:18b>5<7s-8n97<:e:Jge0=Ol1i0V:o51z11>x"5;k0no?83:17dli:188m2b=831d>9:50;9l610=831b?h4?:%074?5c3g88j7>4;h1`>5<#:=:1?i5a22d95>=n;k0;6)<;0;1g?k44n3807d=n:18'616=;m1e>>h53:9j7<<72-8?<7=k;o00b?2<3thj8n4?:`83>5}#:l?1>8k4Hec6?Mb?k2P5;n072?6=3`9n6=4+25297a=i::l1<65f3b83>!43839o7c<9>53e9m66`=:21b?l4?:%074?5c3g88j7=4;h1:>5<#:=:1?i5a22d90>=zjh>o6=46:183!4b=38>h6Fka49K`=e<,;9i6h>=;%3f4?41<75f3483>>o4>3:17d=7:188m2b=831b4=4?::kab?6=3f8?87>5;n071?6=3thj8;4?:583>5}#:l?1>>>4Hec6?Mb?k2.9?o4j039j3c<722ch?7>5;h060?6=3f89;7>5;|`b1d<72=0;6=u+2d79666<@mk>7Ej7c:&17g87>5;n013?6=3thj9o4?:583>5}#:l?1>>>4Hec6?Mb?k2.9?o4j039j3c<722ch?7>5;h060?6=3f89;7>5;|`b1<<72=0;6=u+2d79666<@mk>7Ej7c:&17g87>5;n013?6=3thj9n4?:`83>5}#:l?1>8k4Hec6?Mb?k2P5;n072?6=3`9n6=4+25297a=i::l1<65f3b83>!43839o7c<9>53e9m66`=:21b?l4?:%074?5c3g88j7=4;h1:>5<#:=:1?i5a22d90>=zjh?o6=4n:183!4b=38>i6Fka49K`=ek1=v==:|&17g54:k;4?6=3`hm6=44i6f94?=h:=>1<75`25494?=n;l0;6)<;0;1g?k44n3:07d=l:18'616=;m1e>>h51:9j7g<72-8?<7=k;o00b?4<3`9j6=4+25297a=i::l1?65f3883>!43839o7c<\0i3;p??4r$31a>`653-;n<7:4i9294?=njo0;66g8d;29?j43<3:17b<;6;29?l5b290/>9>53e9m66`=821b?n4?:%074?5c3g88j7?4;h1a>5<#:=:1?i5a22d96>=n;h0;6)<;0;1g?k44n3907d=6:18'616=;m1e>>h54:9~fd3a29026=4?{%0f1?42l2Bom85Gd9a8 75e2l:97)?j0;08m62=831b?84?::k02?6=3`936=44i6f94?=n090;66gmf;29?j43<3:17b<;5;29?xdf=10;694?:1y'6`3=:::0Dio:;If;g>"5;k0n>od;3:17d<:4;29?j45?3:17pln6b83>1<729q/>h;52228Lag23An3o6*=3c8f47=n?o0;66gl3;29?l42<3:17b<=7;29?xdf>m0;694?:1y'6`3=:::0Dio:;If;g>"5;k0n>od;3:17d<:4;29?j45?3:17pln6c83>1<729q/>h;52228Lag23An3o6*=3c8f47=n?o0;66gl3;29?l42<3:17b<=7;29?xdf>l0;6l4?:1y'6`3=:\0i3;p??4r$31a>`653-;n<7:4i9294?=njo0;66g8d;29?j43<3:17b<;6;29?l5b290/>9>53e9m66`=821b?n4?:%074?5c3g88j7?4;h1a>5<#:=:1?i5a22d96>=n;h0;6)<;0;1g?k44n3907d=6:18'616=;m1e>>h54:9~fd0a290j6=4?{%0f1?42m2Bom85Gd9a8^2g=9r996p*=3c8f47=#9l:186g70;29?lda2900e:j50;9l612=831d>9850;9j7`<72-8?<7=k;o00b?6<3`9h6=4+25297a=i::l1=65f3c83>!43839o7c<9>53e9m66`=;21b?44?:%074?5c3g88j7:4;|`b35<72h0;6=u+2d7960c<@mk>7Ej7c:X4e?7|;;0v(?=m:d21?!7b83>0e5>50;9jfc<722c5;n070?6=3f8?:7>5;h1f>5<#:=:1?i5a22d94>=n;j0;6)<;0;1g?k44n3;07d=m:18'616=;m1e>>h52:9j7d<72-8?<7=k;o00b?5<3`926=4+25297a=i::l1865rb`52>5<>290;w)Nci<1Ch5m4$31a>`653-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e:j50;9j<5<722cij7>5;n070?6=3f8?97>5;|`b2d<72=0;6=u+2d79666<@mk>7Ej7c:&17g87>5;n013?6=3thj;h4?:583>5}#:l?1>>>4Hec6?Mb?k2.9?o4j039j3c<722ch?7>5;h060?6=3f89;7>5;|`b3c<72=0;6=u+2d79666<@mk>7Ej7c:&17g87>5;n013?6=3thj;i4?:583>5}#:l?1>>>4Hec6?Mb?k2.9?o4j039j3c<722ch?7>5;h060?6=3f89;7>5;|`b<5<72h0;6=u+2d7960c<@mk>7Ej7c:X4e?7|;;0v(?=m:d21?!7b83>0e5>50;9jfc<722c5;n070?6=3f8?:7>5;h1f>5<#:=:1?i5a22d94>=n;j0;6)<;0;1g?k44n3;07d=m:18'616=;m1e>>h52:9j7d<72-8?<7=k;o00b?5<3`926=4+25297a=i::l1865rb`:2>5Nci<1Ch5m4Z6c95~552t.9?o4j039'5`6=<2c3<7>5;h`e>5<n1<75`25694?=h:=<1<75f3d83>!43839o7c<9>53e9m66`=921b?o4?:%074?5c3g88j7<4;h1b>5<#:=:1?i5a22d97>=n;00;6)<;0;1g?k44n3>07pln8383>d<729q/>h;524g8Lag23An3o6T8a;3x77=;%3f4?2>o0l3:17b<;4;29?j43>3:17d=j:18'616=;m1e>>h50:9j7f<72-8?<7=k;o00b?7<3`9i6=4+25297a=i::l1>65f3`83>!43839o7c<290/>9>53e9m66`=<21vnl6<:18:>5<7s-8n97<:d:Jge0=Ol1i0(?=m:d21?!7b8380e>:50;9j70<722c8:7>5;h1;>5<n1<75f8183>>oen3:17b<;4;29?j43=3:17pln7b83>1<729q/>h;52228Lag23An3o6*=3c8f47=n?o0;66gl3;29?l42<3:17b<=7;29?xdf190;694?:1y'6`3=:::0Dio:;If;g>"5;k0n>od;3:17d<:4;29?j45?3:17pln9083>1<729q/>h;52228Lag23An3o6*=3c8f47=n?o0;66gl3;29?l42<3:17b<=7;29?xdf0o0;694?:1y'6`3=:::0Dio:;If;g>"5;k0n>od;3:17d<:4;29?j45?3:17pln9383>d<729q/>h;524g8Lag23An3o6T8a;3x77=;%3f4?2>o0l3:17b<;4;29?j43>3:17d=j:18'616=;m1e>>h50:9j7f<72-8?<7=k;o00b?7<3`9i6=4+25297a=i::l1>65f3`83>!43839o7c<290/>9>53e9m66`=<21vnl7<:18b>5<7s-8n97<:e:Jge0=Ol1i0V:o51z11>x"5;k0no?83:17dli:188m2b=831d>9:50;9l610=831b?h4?:%074?5c3g88j7>4;h1`>5<#:=:1?i5a22d95>=n;k0;6)<;0;1g?k44n3807d=n:18'616=;m1e>>h53:9j7<<72-8?<7=k;o00b?2<3thj594?:`83>5}#:l?1>8k4Hec6?Mb?k2P5;n072?6=3`9n6=4+25297a=i::l1<65f3b83>!43839o7c<9>53e9m66`=:21b?l4?:%074?5c3g88j7=4;h1:>5<#:=:1?i5a22d90>=zjh3>6=46:183!4b=38>h6Fka49K`=e<,;9i6h>=;%3f4?41<75f3483>>o4>3:17d=7:188m2b=831b4=4?::kab?6=3f8?87>5;n071?6=3thj4h4?:583>5}#:l?1>>>4Hec6?Mb?k2.9?o4j039j3c<722ch?7>5;h060?6=3f89;7>5;|`be7<72=0;6=u+2d79666<@mk>7Ej7c:&17g87>5;n013?6=3thjm>4?:583>5}#:l?1>>>4Hec6?Mb?k2.9?o4j039j3c<722ch?7>5;h060?6=3f89;7>5;|`be4<72=0;6=u+2d79666<@mk>7Ej7c:&17g87>5;n013?6=3thjm94?:`83>5}#:l?1>8k4Hec6?Mb?k2P5;n072?6=3`9n6=4+25297a=i::l1<65f3b83>!43839o7c<9>53e9m66`=:21b?l4?:%074?5c3g88j7=4;h1:>5<#:=:1?i5a22d90>=zjhk>6=4n:183!4b=38>i6Fka49K`=ek1=v==:|&17g54:k;4?6=3`hm6=44i6f94?=h:=>1<75`25494?=n;l0;6)<;0;1g?k44n3:07d=l:18'616=;m1e>>h51:9j7g<72-8?<7=k;o00b?4<3`9j6=4+25297a=i::l1?65f3883>!43839o7c<\0i3;p??4r$31a>`653-;n<7:4i9294?=njo0;66g8d;29?j43<3:17b<;6;29?l5b290/>9>53e9m66`=821b?n4?:%074?5c3g88j7?4;h1a>5<#:=:1?i5a22d96>=n;h0;6)<;0;1g?k44n3907d=6:18'616=;m1e>>h54:9~fdg029026=4?{%0f1?42l2Bom85Gd9a8 75e2l:97)?j0;08m62=831b?84?::k02?6=3`936=44i6f94?=n090;66gmf;29?j43<3:17b<;5;29?xdfi90;694?:1y'6`3=:::0Dio:;If;g>"5;k0n>od;3:17d<:4;29?j45?3:17plnb583>1<729q/>h;52228Lag23An3o6*=3c8f47=n?o0;66gl3;29?l42<3:17b<=7;29?xdfj<0;694?:1y'6`3=:::0Dio:;If;g>"5;k0n>od;3:17d<:4;29?j45?3:17plnb283>1<729q/>h;52228Lag23An3o6*=3c8f47=n?o0;66gl3;29?l42<3:17b<=7;29?xdfj?0;6l4?:1y'6`3=:\0i3;p??4r$31a>`653-;n<7:4i9294?=njo0;66g8d;29?j43<3:17b<;6;29?l5b290/>9>53e9m66`=821b?n4?:%074?5c3g88j7?4;h1a>5<#:=:1?i5a22d96>=n;h0;6)<;0;1g?k44n3907d=6:18'616=;m1e>>h54:9~fdd0290j6=4?{%0f1?42m2Bom85Gd9a8^2g=9r996p*=3c8f47=#9l:186g70;29?lda2900e:j50;9l612=831d>9850;9j7`<72-8?<7=k;o00b?6<3`9h6=4+25297a=i::l1=65f3c83>!43839o7c<9>53e9m66`=;21b?44?:%074?5c3g88j7:4;|`bf=<72h0;6=u+2d7960c<@mk>7Ej7c:X4e?7|;;0v(?=m:d21?!7b83>0e5>50;9jfc<722c5;n070?6=3f8?:7>5;h1f>5<#:=:1?i5a22d94>=n;j0;6)<;0;1g?k44n3;07d=m:18'616=;m1e>>h52:9j7d<72-8?<7=k;o00b?5<3`926=4+25297a=i::l1865rb``:>5<>290;w)Nci<1Ch5m4$31a>`653-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e:j50;9j<5<722cij7>5;n070?6=3f8?97>5;|`bf7<72=0;6=u+2d79666<@mk>7Ej7c:&17g87>5;n013?6=3th2n94?:583>5}#:l?1>>>4Hec6?Mb?k2.9?o4j039j3c<722ch?7>5;h060?6=3f89;7>5;|`:f0<72=0;6=u+2d79666<@mk>7Ej7c:&17g87>5;n013?6=3th2n>4?:583>5}#:l?1>>>4Hec6?Mb?k2.9?o4j039j3c<722ch?7>5;h060?6=3f89;7>5;|`:f3<72h0;6=u+2d7960c<@mk>7Ej7c:X4e?7|;;0v(?=m:d21?!7b83>0e5>50;9jfc<722c5;n070?6=3f8?:7>5;h1f>5<#:=:1?i5a22d94>=n;j0;6)<;0;1g?k44n3;07d=m:18'616=;m1e>>h52:9j7d<72-8?<7=k;o00b?5<3`926=4+25297a=i::l1865rb8`4>5Nci<1Ch5m4Z6c95~552t.9?o4j039'5`6=<2c3<7>5;h`e>5<n1<75`25694?=h:=<1<75f3d83>!43839o7c<9>53e9m66`=921b?o4?:%074?5c3g88j7<4;h1b>5<#:=:1?i5a22d97>=n;00;6)<;0;1g?k44n3>07pl6b983>d<729q/>h;524g8Lag23An3o6T8a;3x77=;%3f4?2>o0l3:17b<;4;29?j43>3:17d=j:18'616=;m1e>>h50:9j7f<72-8?<7=k;o00b?7<3`9i6=4+25297a=i::l1>65f3`83>!43839o7c<290/>9>53e9m66`=<21vn4l6:18:>5<7s-8n97<:d:Jge0=Ol1i0(?=m:d21?!7b8380e>:50;9j70<722c8:7>5;h1;>5<n1<75f8183>>oen3:17b<;4;29?j43=3:17pl6b383>1<729q/>h;52228Lag23An3o6*=3c8f47=n?o0;66gl3;29?l42<3:17b<=7;29?xd>k?0;694?:1y'6`3=:::0Dio:;If;g>"5;k0n>od;3:17d<:4;29?j45?3:17pl6c683>1<729q/>h;52228Lag23An3o6*=3c8f47=n?o0;66gl3;29?l42<3:17b<=7;29?xd>k<0;694?:1y'6`3=:::0Dio:;If;g>"5;k0n>od;3:17d<:4;29?j45?3:17pl6c983>d<729q/>h;524g8Lag23An3o6T8a;3x77=;%3f4?2>o0l3:17b<;4;29?j43>3:17d=j:18'616=;m1e>>h50:9j7f<72-8?<7=k;o00b?7<3`9i6=4+25297a=i::l1>65f3`83>!43839o7c<290/>9>53e9m66`=<21vn4m6:18b>5<7s-8n97<:e:Jge0=Ol1i0V:o51z11>x"5;k0no?83:17dli:188m2b=831d>9:50;9l610=831b?h4?:%074?5c3g88j7>4;h1`>5<#:=:1?i5a22d95>=n;k0;6)<;0;1g?k44n3807d=n:18'616=;m1e>>h53:9j7<<72-8?<7=k;o00b?2<3th2ol4?:`83>5}#:l?1>8k4Hec6?Mb?k2P5;n072?6=3`9n6=4+25297a=i::l1<65f3b83>!43839o7c<9>53e9m66`=:21b?l4?:%074?5c3g88j7=4;h1:>5<#:=:1?i5a22d90>=zj0ii6=46:183!4b=38>h6Fka49K`=e<,;9i6h>=;%3f4?41<75f3483>>o4>3:17d=7:188m2b=831b4=4?::kab?6=3f8?87>5;n071?6=3th2o94?:583>5}#:l?1>>>4Hec6?Mb?k2.9?o4j039j3c<722ch?7>5;h060?6=3f89;7>5;|`:`=<72=0;6=u+2d79666<@mk>7Ej7c:&17g87>5;n013?6=3th2h44?:583>5}#:l?1>>>4Hec6?Mb?k2.9?o4j039j3c<722ch?7>5;h060?6=3f89;7>5;|`:`2<72=0;6=u+2d79666<@mk>7Ej7c:&17g87>5;n013?6=3th2hl4?:`83>5}#:l?1>8k4Hec6?Mb?k2P5;n072?6=3`9n6=4+25297a=i::l1<65f3b83>!43839o7c<9>53e9m66`=:21b?l4?:%074?5c3g88j7=4;h1:>5<#:=:1?i5a22d90>=zj0ni6=4n:183!4b=38>i6Fka49K`=ek1=v==:|&17g54:k;4?6=3`hm6=44i6f94?=h:=>1<75`25494?=n;l0;6)<;0;1g?k44n3:07d=l:18'616=;m1e>>h51:9j7g<72-8?<7=k;o00b?4<3`9j6=4+25297a=i::l1?65f3883>!43839o7c<lj0;6l4?:1y'6`3=:\0i3;p??4r$31a>`653-;n<7:4i9294?=njo0;66g8d;29?j43<3:17b<;6;29?l5b290/>9>53e9m66`=821b?n4?:%074?5c3g88j7?4;h1a>5<#:=:1?i5a22d96>=n;h0;6)<;0;1g?k44n3907d=6:18'616=;m1e>>h54:9~fl?0;694?:1y'6`3=:::0Dio:;If;g>"5;k0n>od;3:17d<:4;29?j45?3:17pl6e`83>1<729q/>h;52228Lag23An3o6*=3c8f47=n?o0;66gl3;29?l42<3:17b<=7;29?xd>mk0;694?:1y'6`3=:::0Dio:;If;g>"5;k0n>od;3:17d<:4;29?j45?3:17pl6e883>1<729q/>h;52228Lag23An3o6*=3c8f47=n?o0;66gl3;29?l42<3:17b<=7;29?xd>mj0;6l4?:1y'6`3=:\0i3;p??4r$31a>`653-;n<7:4i9294?=njo0;66g8d;29?j43<3:17b<;6;29?l5b290/>9>53e9m66`=821b?n4?:%074?5c3g88j7?4;h1a>5<#:=:1?i5a22d96>=n;h0;6)<;0;1g?k44n3907d=6:18'616=;m1e>>h54:9~f9850;9j7`<72-8?<7=k;o00b?6<3`9h6=4+25297a=i::l1=65f3c83>!43839o7c<9>53e9m66`=;21b?44?:%074?5c3g88j7:4;|`:a`<72h0;6=u+2d7960c<@mk>7Ej7c:X4e?7|;;0v(?=m:d21?!7b83>0e5>50;9jfc<722c5;n070?6=3f8?:7>5;h1f>5<#:=:1?i5a22d94>=n;j0;6)<;0;1g?k44n3;07d=m:18'616=;m1e>>h52:9j7d<72-8?<7=k;o00b?5<3`926=4+25297a=i::l1865rb8ge>5<>290;w)Nci<1Ch5m4$31a>`653-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e:j50;9j<5<722cij7>5;n070?6=3f8?97>5;|`:a=<72=0;6=u+2d79666<@mk>7Ej7c:&17g87>5;n013?6=3th2jn4?:583>5}#:l?1>>>4Hec6?Mb?k2.9?o4j039j3c<722ch?7>5;h060?6=3f89;7>5;|`:ba<72=0;6=u+2d79666<@mk>7Ej7c:&17g87>5;n013?6=3th2jo4?:583>5}#:l?1>>>4Hec6?Mb?k2.9?o4j039j3c<722ch?7>5;h060?6=3f89;7>5;|`:b`<72h0;6=u+2d7960c<@mk>7Ej7c:X4e?7|;;0v(?=m:d21?!7b83>0e5>50;9jfc<722c5;n070?6=3f8?:7>5;h1f>5<#:=:1?i5a22d94>=n;j0;6)<;0;1g?k44n3;07d=m:18'616=;m1e>>h52:9j7d<72-8?<7=k;o00b?5<3`926=4+25297a=i::l1865rb8de>5Nci<1Ch5m4Z6c95~552t.9?o4j039'5`6=<2c3<7>5;h`e>5<n1<75`25694?=h:=<1<75f3d83>!43839o7c<9>53e9m66`=921b?o4?:%074?5c3g88j7<4;h1b>5<#:=:1?i5a22d97>=n;00;6)<;0;1g?k44n3>07pln0183>d<729q/>h;524g8Lag23An3o6T8a;3x77=;%3f4?2>o0l3:17b<;4;29?j43>3:17d=j:18'616=;m1e>>h50:9j7f<72-8?<7=k;o00b?7<3`9i6=4+25297a=i::l1>65f3`83>!43839o7c<290/>9>53e9m66`=<21vnl>>:18:>5<7s-8n97<:d:Jge0=Ol1i0(?=m:d21?!7b8380e>:50;9j70<722c8:7>5;h1;>5<n1<75f8183>>oen3:17b<;4;29?j43=3:17pl6f`83>1<729q/>h;52228Lag23An3o6*=3c8f47=n?o0;66gl3;29?l42<3:17b<=7;29?xdf8m0;694?:1y'6`3=:::0Dio:;If;g>"5;k0n>od;3:17d<:4;29?j45?3:17pln1183>1<729q/>h;52228Lag23An3o6*=3c8f47=n?o0;66gl3;29?l42<3:17b<=7;29?xdf980;694?:1y'6`3=:::0Dio:;If;g>"5;k0n>od;3:17d<:4;29?j45?3:17pln0g83>1<729q/>h;52228Lag23An3o6*=3c8f47=n?o0;66gl3;29?l42<3:17b<=7;29?xdf9;0;6l4?:1y'6`3=:\0i3;p??4r$31a>`653-;n<7:4i9294?=njo0;66g8d;29?j43<3:17b<;6;29?l5b290/>9>53e9m66`=821b?n4?:%074?5c3g88j7?4;h1a>5<#:=:1?i5a22d96>=n;h0;6)<;0;1g?k44n3907d=6:18'616=;m1e>>h54:9~fd74290j6=4?{%0f1?42m2Bom85Gd9a8^2g=9r996p*=3c8f47=#9l:186g70;29?lda2900e:j50;9l612=831d>9850;9j7`<72-8?<7=k;o00b?6<3`9h6=4+25297a=i::l1=65f3c83>!43839o7c<9>53e9m66`=;21b?44?:%074?5c3g88j7:4;|`b51<72h0;6=u+2d7960c<@mk>7Ej7c:X4e?7|;;0v(?=m:d21?!7b83>0e5>50;9jfc<722c5;n070?6=3f8?:7>5;h1f>5<#:=:1?i5a22d94>=n;j0;6)<;0;1g?k44n3;07d=m:18'616=;m1e>>h52:9j7d<72-8?<7=k;o00b?5<3`926=4+25297a=i::l1865rb`36>5<>290;w)Nci<1Ch5m4$31a>`653-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e:j50;9j<5<722cij7>5;n070?6=3f8?97>5;|`b4`<72=0;6=u+2d79666<@mk>7Ej7c:&17g87>5;n013?6=3thj5}#:l?1=n;4Hec6?Mb?k2.9?o4j039'5`6=981b;o4?::kab?6=3`8>87>5;n070?6=3th5}#:l?1>?l4Hec6?Mb?k2.9?o4j039'5`6=9j1/>9?5dc38m4b52900e"6m90i7d?k2;29?l7c;3:17d?k4;29?l7c=3:17b<"5;k0n5<5<4?::k2`1<722c:h84?::m17d<722wi;n:50;794?6|,;o>6?Nc0j1/>>l5e108 4c728hj7d?k2;29?l7c;3:17d?k4;29?l7c=3:17b<"5;k0n5<5<5;h3g0?6=3`;o97>5;n00e?6=3th5}#:l?1>?j4Hec6?Mb?k2.9?o4j039'5`6=:2c:h?4?::k2`6<722c:h94?::k2`0<722e9?l4?::a3f>=83?1<7>t$3g6>74c3Anj96Fk8b9'66d=m980(5<7s-8n97<=d:Jge0=Ol1i0(?=m:d21?!7b83;h46g>d383>>o6l:0;66g>d583>>o6l<0;66a=3`83>>{e?jk1<7;50;2x 7c22;8o7Ejn5:Jg445<5<5;h3g0?6=3`;o97>5;n00e?6=3th3=i4?:383>5}#:l?1>?:4Hec6?Mb?k2.9?o4j039j5a7=831d>>o50;9~f2`c29086=4?{%0f1?45j2Bom85Gd9a8 75e2l:97)?j0;3`?l7c:3:17d?k3;29?j44i3:17pl8ee83>6<729q/>h;523`8Lag23An3o6*=3c8f47=#9l:1>45+2539`dc5<ad9'617=lk;0e6=3:197>50z&1a0<5:m1Chl;4He:`?!44j3o;>6*>e1824>"5<80omh5f1e094?=n9m91<75f1e694?=n9m?1<75`22c94?=zj>oi6=48:183!4b=389j6Fka49K`=e<,8o;65;h3g0?6=3`;o97>5;h3g2?6=3`;o;7>5;n00e?6=3th5}#:l?1>?h4Hec6?Mb?k2.:i=4>be9j5a4=831b=i=50;9j5a2=831b=i;50;9j5a0=831b=i950;9l66g=831vn5?8:187>5<7s-8n97?l0:Jge0=Ol1i0(?=m:d21?!7b83;0e:l50;9j3a<722cij7>5;n070?6=3th3=54?:583>5}#:l?1=n>4Hec6?Mb?k2.9?o4j039'5`6=92c5;h5g>5<=;%3f4?7h1<75fbg83>>o5<>0;66a=4583>>{e?o>1<7;50;2x 7c228hm7Ejn5:Jg4=n?k0;66g8d;29?l?12900eoh50;9l612=831vn:ki:187>5<7s-8n97?l3:Jge0=Ol1i0(?=m:d21?!7b83;0e:l50;9jfc<722c98:4?::m101<722wi;k=50;794?6|,;o>6Nc0j1/>>l5e108 4c7281b;o4?::k4`?6=3`3=6=44icd94?=h:=>1<75rb6d1>5<3290;w)Nci<1Ch5m4$31a>`653-;n<7?4i6`94?=n?m0;66gmf;29?j43<3:17pl76583>1<729q/>h;51b28Lag23An3o6*=3c8f47=#9l:1=6g8b;29?l1c2900eoh50;9l612=831vn:kn:187>5<7s-8n97<=5:Jge0=Ol1i0(?=m:d21?!7b83;0e4850;9jfc<722c:ok4?::m101<722wi46Nc0j1/>>l5e108 4c7281b;o4?::k4`?6=3`hm6=44i364>5<?6=44}c:2b?6==3:1;h5a>5<n1<75fbg83>>o5<>0;66a=4583>>{e?jh1<7;50;2x 7c228i?7Ejn5:Jg4=n?k0;66g8d;29?lda2900e?:8:188k7232900qo9lc;291?6=8r.9i84>c59K`d3<@m2h7)<"6m90:7d9m:188m2b=831bnk4?::k102<722e9894?::a3fb=83?1<7>t$3g6>4e33Anj96Fk8b9'66d=m980(5;h`e>5<<6=44o367>5<55;294~"5m<0:o95Gd`78La>d3-88n7k?2:&2a5<63`=i6=44i6f94?=njo0;66g=4683>>i5<=0;66sm7bd94?3=83:p(?k::0a7?Mbf=2Bo4n5+22`9a54<,8o;6<5f7c83>>o0l3:17dli:188m7202900c?:;:188yg1c83:197>50z&1a0<6k=1Chl;4He:`?!44j3o;>6*>e182?l1e2900e:j50;9jfc<722c98:4?::m101<722wi;i?50;794?6|,;o>6Nc0j1/>>l5e108 4c7281b;o4?::k4`?6=3`hm6=44i364>5<?6=44}c5g6?6==3:1;h5a>5<n1<75fbg83>>o5<>0;66a=4583>>{e?m91<7;50;2x 7c228i?7Ejn5:Jg4=n?k0;66g8d;29?lda2900e?:8:188k7232900qo9k4;291?6=8r.9i84>c59K`d3<@m2h7)<"6m90:7d9m:188m2b=831bnk4?::k102<722e9894?::a3`1=83>1<7>t$3g6>4e73Anj96Fk8b9'66d=m980(5;h`e>5<?6=44}c5eg?6=<3:1;h5a>5<n1<75fbg83>>i5<=0;66sm7g`94?2=83:p(?k::0a3?Mbf=2Bo4n5+22`9a54<,8o;6<5f7c83>>o0l3:17dli:188k7232900qo6<6;297?6=8r.9i84ka59K`d3<@m2h7)<o0n3:17d<=6;29?j44i3:17pl73283>1<729q/>h;52228Lag23An3o6*=3c8f47=n?o0;66gl3;29?l42<3:17b<=7;29?xd?;h0;6>4?:1y'6`3=lh>0Dio:;If;g>"5;k0n>o5:?0;66a=3`83>>{e0:=1<7:50;2x 7c22;9;7Ejn5:Jg>i5:>0;66sm82g94?5=83:p(?k::ec7?Mbf=2Bo4n5+22`9a54l1<75f23494?=h::k1<75rb91a>5<3290;w)Nci<1Ch5m4$31a>`653`=m6=44ib194?=n:<>1<75`23594?=zj1>96=4<:183!4b=3nj86Fka49K`=e<,;9i6h>=;h5e>5<5<54;294~"5m<09?=5Gd`78La>d3-88n7k?2:k4b?6=3`i86=44i377>5<5;h012?6=3f88m7>5;|`;06<72=0;6=u+2d79666<@mk>7Ej7c:&17g87>5;n013?6=3th38l4?:283>5}#:l?1hl:4Hec6?Mb?k2.9?o4j039j3c<722c9>;4?::m17d<722wi49950;694?6|,;o>6?=?;Ifb1>Nc0j1/>>l5e108m2`=831bo>4?::k111<722e9>:4?::a<1c=8391<7>t$3g6>ag33Anj96Fk8b9'66d=m980e:h50;9j670=831d>>o50;9~f=2e290?6=4?{%0f1?4482Bom85Gd9a8 75e2l:97d9i:188mf5=831b>8:50;9l671=831vn5;=:180>5<7s-8n97jn4:Jge0=Ol1i0(?=m:d21?l1a2900e?<9:188k75f2900qo6;f;290?6=8r.9i84=319K`d3<@m2h7)<o0n3:17dm<:188m7332900c?<8:188yg>2>3:1?7>50z&1a06g8f;29?l45>3:17b<"5;k0n>od;3:17d<:4;29?j45?3:17pl75883>6<729q/>h;5d`68Lag23An3o6*=3c8f47=n?o0;66g=2783>>i5;h0;66sm82794?4=83:p(?k::30b?Mbf=2Bo4n5+22`9a54<,8o;65<c:k2`7<722e9?l4?::a<6b=8381<7>t$3g6>74f3Anj96Fk8b9'66d=m980(393:1>7>50z&1a0<5:h1Chl;4He:`?!44j3o;>6*>e182g>o6l;0;66a=3`83>>{e0=?1<7<50;2x 7c22;8j7Ejn5:Jg4e5<52;294~"5m<09>l5Gd`78La>d3-88n7k?2:&2a5<6k2c:h?4?::m17d<722wi49j50;094?6|,;o>6?Nc0j1/>>l5e108 4c728i0e"6m90:o6g>d383>>i5;h0;66sm84794?4=83:p(?k::30b?Mbf=2Bo4n5+22`9a54<,8o;65<c:k2`7<722e9?l4?::a<62=83?1<7>t$3g6>4e33Anj96Fk8b9'66d=m980(5;h`e>5<<6=44o367>5<55;294~"5m<0:o95Gd`78La>d3-88n7k?2:&2a5<63`=i6=44i6f94?=njo0;66g=4683>>i5<=0;66sm82a94?3=83:p(?k::0a7?Mbf=2Bo4n5+22`9a54<,8o;6<5f7c83>>o0l3:17dli:188m7202900c?:;:188yg>383:197>50z&1a0<6k=1Chl;4He:`?!44j3o;>6*>e182?l1e2900e:j50;9jfc<722c98:4?::m101<722wi49:50;794?6|,;o>6Nc0j1/>>l5e108 4c7281b;o4?::k4`?6=3`hm6=44i364>5<?6=44}c:7;h5a>5<n1<75fbg83>>o5<>0;66a=4583>>{e0=i1<7;50;2x 7c228i?7Ejn5:Jg4=n?k0;66g8d;29?lda2900e?:8:188k7232900qo6:0;291?6=8r.9i84>c59K`d3<@m2h7)<"6m90:7d9m:188m2b=831bnk4?::k102<722e9894?::a<02=83?1<7>t$3g6>4e33Anj96Fk8b9'66d=m980(5;h`e>5<<6=44o367>5<;7>55;294~"5m<0:o95Gd`78La>d3-88n7k?2:&2a5<63`=i6=44i6f94?=njo0;66g=4683>>i5<=0;66sm53094?5=83:p(?k::ec7?Mbf=2Bo4n5+22`9a54l1<75f23494?=h::k1<75rb43e>5<3290;w)Nci<1Ch5m4$31a>`653`=m6=44ib194?=n:<>1<75`23594?=zj<8=6=4<:183!4b=3nj86Fka49K`=e<,;9i6h>=;h5e>5<5<54;294~"5m<09?=5Gd`78La>d3-88n7k?2:k4b?6=3`i86=44i377>5<5;h012?6=3f88m7>5;|`662<72=0;6=u+2d79666<@mk>7Ej7c:&17g87>5;n013?6=3th>>h4?:283>5}#:l?1hl:4Hec6?Mb?k2.9?o4j039j3c<722c9>;4?::m17d<722wi9?l50;694?6|,;o>6?=?;Ifb1>Nc0j1/>>l5e108m2`=831bo>4?::k111<722e9>:4?::a164=8391<7>t$3g6>ag33Anj96Fk8b9'66d=m980e:h50;9j670=831d>>o50;9~f04a290?6=4?{%0f1?4482Bom85Gd9a8 75e2l:97d9i:188mf5=831b>8:50;9l671=831vn8=9:180>5<7s-8n97jn4:Jge0=Ol1i0(?=m:d21?l1a2900e?<9:188k75f2900qo;<3;290?6=8r.9i84=319K`d3<@m2h7)<o0n3:17dm<:188m7332900c?<8:188yg34i3:1?7>50z&1a06g8f;29?l45>3:17b<0;694?:1y'6`3=:::0Dio:;If;g>"5;k0n>od;3:17d<:4;29?j45?3:17pl:3d83>6<729q/>h;5d`68Lag23An3o6*=3c8f47=n?o0;66g=2783>>i5;h0;66sm52`94?2=83:p(?k::313?Mbf=2Bo4n5+22`9a54l1<75fc283>>o5==0;66a=2683>>{e==81<7=50;2x 7c22mk?7Ejn5:Jg=;h5e>5<5<4290;w)Nci<1Ch5m4$31a>`653`=m6=44i305>5<c:k2`7<722e9?l4?::a173=8381<7>t$3g6>74f3Anj96Fk8b9'66d=m980(7>50z&1a0<5:h1Chl;4He:`?!44j3o;>6*>e182g>o6l;0;66a=3`83>>{e=;n1<7<50;2x 7c22;8j7Ejn5:Jg4e5<52;294~"5m<09>l5Gd`78La>d3-88n7k?2:&2a5<6k2c:h?4?::m17d<722wi9>;50;094?6|,;o>6?Nc0j1/>>l5e108 4c728i0e"6m90:o6g>d383>>i5;h0;66sm52f94?4=83:p(?k::30b?Mbf=2Bo4n5+22`9a54<,8o;65<c:k2`7<722e9?l4?::a112=8381<7>t$3g6>74f3Anj96Fk8b9'66d=m980(50z&1a0<6k=1Chl;4He:`?!44j3o;>6*>e182?l1e2900e:j50;9jfc<722c98:4?::m101<722wi9?:50;794?6|,;o>6Nc0j1/>>l5e108 4c7281b;o4?::k4`?6=3`hm6=44i364>5<?6=44}c71;h5a>5<n1<75fbg83>>o5<>0;66a=4583>>{e=;i1<7;50;2x 7c228i?7Ejn5:Jg4=n?k0;66g8d;29?lda2900e?:8:188k7232900qo;<0;291?6=8r.9i84>c59K`d3<@m2h7)<"6m90:7d9m:188m2b=831bnk4?::k102<722e9894?::a162=83?1<7>t$3g6>4e33Anj96Fk8b9'66d=m980(5;h`e>5<<6=44o367>5<55;294~"5m<0:o95Gd`78La>d3-88n7k?2:&2a5<63`=i6=44i6f94?=njo0;66g=4683>>i5<=0;66sm52a94?3=83:p(?k::0a7?Mbf=2Bo4n5+22`9a54<,8o;6<5f7c83>>o0l3:17dli:188m7202900c?:;:188yg3383:197>50z&1a0<6k=1Chl;4He:`?!44j3o;>6*>e182?l1e2900e:j50;9jfc<722c98:4?::m101<722wi99=50;794?6|,;o>6Nc0j1/>>l5e108 4c7281b;o4?::k4`?6=3`hm6=44i364>5<?6=44}c5a4?6=;3:14?::m17d<722wi;lh50;694?6|,;o>6?Nc0j1/>>l5e108 4c728h:7d?k2;29?l7c;3:17d?k4;29?j44i3:17pl8ad83>0<729q/>h;523f8Lag23An3o6*=3c8f47=#9l:1=n74$362>ad53`;o>7>5;h3g7?6=3`;o87>5;h3g1?6=3f88m7>5;|`4<3<72=0;6=u+2d795f3<@mk>7Ej7c:&17g5109j3g<722cij7>5;h060?6=3f8?87>5;|`4<0<72=0;6=u+2d795f3<@mk>7Ej7c:&17g5109j3g<722cij7>5;h060?6=3f8?87>5;|`4<1<72=0;6=u+2d795f3<@mk>7Ej7c:&17g5109j3g<722cij7>5;h060?6=3f8?87>5;|`4<6<72=0;6=u+2d795f3<@mk>7Ej7c:&17g5109j3g<722cij7>5;h060?6=3f8?87>5;|`4<7<72=0;6=u+2d795f3<@mk>7Ej7c:&17g5109j3g<722cij7>5;h060?6=3f8?87>5;|`4<4<72=0;6=u+2d795f3<@mk>7Ej7c:&17g5109j3g<722cij7>5;h060?6=3f8?87>5;|`4<5<72=0;6=u+2d795f3<@mk>7Ej7c:&17g5109j3g<722cij7>5;h060?6=3f8?87>5;|`43c<72=0;6=u+2d795f3<@mk>7Ej7c:&17g5109j3g<722cij7>5;h060?6=3f8?87>5;|`4=`<72:0;6=u+2d7967d<@mk>7Ej7c:&17g51b9j5a4=831b=i=50;9l66g=831vn:o<:187>5<7s-8n97?l0:Jge0=Ol1i0(?=m:d21?!7b83;0e:l50;9j3a<722cij7>5;n070?6=3th5}#:l?1=n>4Hec6?Mb?k2.9?o4j039'5`6=92c5;h5g>5<k?6=4::183!4b=3;ij6Fka49K`=e<,;9i6h>=;%3f4?7h1<75f7e83>>o>>3:17dli:188k7232900qo96f;290?6=8r.9i84>c29K`d3<@m2h7)<"6m90:7d9m:188mg`=831b>9950;9l612=831vn:o::186>5<7s-8n97?mf:Jge0=Ol1i0(?=m:d21?!7b83;0e:l50;9j3a<722c2:7>5;h`e>5<?6=44}c5;f?6=;3:1c:k2`7<722c:h>4?::m17d<722wi;4<50;694?6|,;o>6Nc0j1/>>l5e108 4c7281b;o4?::k4`?6=3`hm6=44o367>5<54;294~"5m<0:o=5Gd`78La>d3-88n7k?2:&2a5<63`=i6=44i6f94?=njo0;66a=4583>>{e?0:1<7:50;2x 7c228i;7Ejn5:Jg4=n?k0;66g8d;29?lda2900c?:;:188yg1?n3:187>50z&1a0<6k91Chl;4He:`?!44j3o;>6*>e182?l1e2900e:j50;9jfc<722e9894?::a3<5=83?1<7>t$3g6>4da3Anj96Fk8b9'66d=m980(5;h;5>5<2h6=4;:183!4b=3;h?6Fka49K`=e<,;9i6h>=;%3f4?7h1<75fbg83>>o5<>0;66a=4583>>{e?0>1<7;50;2x 7c228hm7Ejn5:Jg4=n?k0;66g8d;29?l?12900eoh50;9l612=831vn;5<7s-8n97<:e:Jge0=Ol1i0V:o51z11>x"5;k0no?83:17dli:188m2b=831d>9:50;9l610=831b?h4?:%074?5c3g88j7>4;h1`>5<#:=:1?i5a22d95>=n;k0;6)<;0;1g?k44n3807d=n:18'616=;m1e>>h53:9j7<<72-8?<7=k;o00b?2<3th=?:4?:`83>5}#:l?1>8k4Hec6?Mb?k2P5;n072?6=3`9n6=4+25297a=i::l1<65f3b83>!43839o7c<9>53e9m66`=:21b?l4?:%074?5c3g88j7=4;h1:>5<#:=:1?i5a22d90>=zj?9=6=4n:183!4b=38>i6Fka49K`=ek1=v==:|&17g54:k;4?6=3`hm6=44i6f94?=h:=>1<75`25494?=n;l0;6)<;0;1g?k44n3:07d=l:18'616=;m1e>>h51:9j7g<72-8?<7=k;o00b?4<3`9j6=4+25297a=i::l1?65f3883>!43839o7c<\0i3;p??4r$31a>`653-;n<7:4i9294?=njo0;66g8d;29?j43<3:17b<;6;29?l5b290/>9>53e9m66`=821b?n4?:%074?5c3g88j7?4;h1a>5<#:=:1?i5a22d96>=n;h0;6)<;0;1g?k44n3907d=6:18'616=;m1e>>h54:9~f0`c290j6=4?{%0f1?42m2Bom85Gd9a8^2g=9r996p*=3c8f47=#9l:186g70;29?lda2900e:j50;9l612=831d>9850;9j7`<72-8?<7=k;o00b?6<3`9h6=4+25297a=i::l1=65f3c83>!43839o7c<9>53e9m66`=;21b?44?:%074?5c3g88j7:4;|`6bf<72=0;6=u+2d79667<@mk>7Ej7c:&17g8:50;9l66g=831vn;=>:18b>5<7s-8n97<:e:Jge0=Ol1i0V:o51z11>x"5;k0no?83:17dli:188m2b=831d>9:50;9l610=831b?h4?:%074?5c3g88j7>4;h1`>5<#:=:1?i5a22d95>=n;k0;6)<;0;1g?k44n3807d=n:18'616=;m1e>>h53:9j7<<72-8?<7=k;o00b?2<3th=?=4?:`83>5}#:l?1>8k4Hec6?Mb?k2P5;n072?6=3`9n6=4+25297a=i::l1<65f3b83>!43839o7c<9>53e9m66`=:21b?l4?:%074?5c3g88j7=4;h1:>5<#:=:1?i5a22d90>=zj?8m6=4;:183!4b=388=6Fka49K`=e<,;9i6h>=;h3g6?6=3`;o?7>5;h060?6=3f88m7>5;|`540<72h0;6=u+2d7960c<@mk>7Ej7c:X4e?7|;;0v(?=m:d21?!7b83>0e5>50;9jfc<722c5;n070?6=3f8?:7>5;h1f>5<#:=:1?i5a22d94>=n;j0;6)<;0;1g?k44n3;07d=m:18'616=;m1e>>h52:9j7d<72-8?<7=k;o00b?5<3`926=4+25297a=i::l1865rb727>5Nci<1Ch5m4Z6c95~552t.9?o4j039'5`6=<2c3<7>5;h`e>5<n1<75`25694?=h:=<1<75f3d83>!43839o7c<9>53e9m66`=921b?o4?:%074?5c3g88j7<4;h1b>5<#:=:1?i5a22d97>=n;00;6)<;0;1g?k44n3>07pl90283>1<729q/>h;52238Lag23An3o6*=3c8f47=n9m81<75f1e194?=n:<>1<75`22c94?=zj?896=4n:183!4b=38>i6Fka49K`=ek1=v==:|&17g54:k;4?6=3`hm6=44i6f94?=h:=>1<75`25494?=n;l0;6)<;0;1g?k44n3:07d=l:18'616=;m1e>>h51:9j7g<72-8?<7=k;o00b?4<3`9j6=4+25297a=i::l1?65f3883>!43839o7c<\0i3;p??4r$31a>`653-;n<7:4i9294?=njo0;66g8d;29?j43<3:17b<;6;29?l5b290/>9>53e9m66`=821b?n4?:%074?5c3g88j7?4;h1a>5<#:=:1?i5a22d96>=n;h0;6)<;0;1g?k44n3907d=6:18'616=;m1e>>h54:9~f347290j6=4?{%0f1?42m2Bom85Gd9a8^2g=9r996p*=3c8f47=#9l:186g70;29?lda2900e:j50;9l612=831d>9850;9j7`<72-8?<7=k;o00b?6<3`9h6=4+25297a=i::l1=65f3c83>!43839o7c<9>53e9m66`=;21b?44?:%074?5c3g88j7:4;|`55c<72h0;6=u+2d7960c<@mk>7Ej7c:X4e?7|;;0v(?=m:d21?!7b83>0e5>50;9jfc<722c5;n070?6=3f8?:7>5;h1f>5<#:=:1?i5a22d94>=n;j0;6)<;0;1g?k44n3;07d=m:18'616=;m1e>>h52:9j7d<72-8?<7=k;o00b?5<3`926=4+25297a=i::l1865rb73`>5<3290;w)Nci<1Ch5m4$31a>`653`;o>7>5;h3g7?6=3`8>87>5;n00e?6=3th==i4?:583>5}#:l?1>>?4Hec6?Mb?k2.9?o4j039j5a4=831b=i=50;9j602=831d>>o50;9~f37b290?6=4?{%0f1?44:2Bom85Gd9a8 75e2l:97d?k2;29?l7c;3:17d<:4;29?j44i3:17pl91183>d<729q/>h;524g8Lag23An3o6T8a;3x77=;%3f4?2>o0l3:17b<;4;29?j43>3:17d=j:18'616=;m1e>>h50:9j7f<72-8?<7=k;o00b?7<3`9i6=4+25297a=i::l1>65f3`83>!43839o7c<290/>9>53e9m66`=<21vn;>i:18b>5<7s-8n97<:e:Jge0=Ol1i0V:o51z11>x"5;k0no?83:17dli:188m2b=831d>9:50;9l610=831b?h4?:%074?5c3g88j7>4;h1`>5<#:=:1?i5a22d95>=n;k0;6)<;0;1g?k44n3807d=n:18'616=;m1e>>h53:9j7<<72-8?<7=k;o00b?2<3th=5}#:l?1>8k4Hec6?Mb?k2P5;n072?6=3`9n6=4+25297a=i::l1<65f3b83>!43839o7c<9>53e9m66`=:21b?l4?:%074?5c3g88j7=4;h1:>5<#:=:1?i5a22d90>=zj?:o6=4n:183!4b=38>i6Fka49K`=ek1=v==:|&17g54:k;4?6=3`hm6=44i6f94?=h:=>1<75`25494?=n;l0;6)<;0;1g?k44n3:07d=l:18'616=;m1e>>h51:9j7g<72-8?<7=k;o00b?4<3`9j6=4+25297a=i::l1?65f3883>!43839o7c<"5;k0n5<3290;w)Nci<1Ch5m4$31a>`653`;o>7>5;h3g7?6=3`8>87>5;n00e?6=3th=5}#:l?1>><4Hec6?Mb?k2.9?o4j039j5a4=831b=i=50;9j602=831d>>o50;9~f35229026=4?{%0f1?42l2Bom85Gd9a8 75e2l:97)?j0;08m62=831b?84?::k02?6=3`936=44i6f94?=n090;66gmf;29?j43<3:17b<;5;29?xd1:m0;644?:1y'6`3=:"5;k0no4<3:17d=::188m60=831b?54?::k4`?6=3`2;6=44icd94?=h:=>1<75`25794?=zj><=6=4;:183!4b=389o6Fka49K`=e<,;9i6h>=;%3f4?7c3`;o>7>5;h3g7?6=3`;o87>5;n00e?6=3th>jo4?:583>5}#:l?1=n=4Hec6?Mb?k2.9?o4j039'5`6=92c5;h`e>5<<6=44o367>5<54;294~"5m<0:o>5Gd`78La>d3-88n7k?2:&2a5<63`=i6=44icd94?=n:==1<75`25694?=zj?:26=4;:183!4b=3;h?6Fka49K`=e<,;9i6h>=;%3f4?7h1<75fbg83>>o5<>0;66a=4583>>{e??21<7:50;2x 7c228i87Ejn5:Jg4=n?k0;66gmf;29?l43?3:17b<;4;29?xd0>>0;694?:1y'6`3=9j90Dio:;If;g>"5;k0no0j3:17dli:188m7202900c?:;:188yg3ai3:187>50z&1a0<6k:1Chl;4He:`?!44j3o;>6*>e182?l1e2900eoh50;9j611=831d>9:50;9~f0`>290?6=4?{%0f1?7d;2Bom85Gd9a8 75e2l:97)?j0;38m2d=831bnk4?::k102<722e9894?::a333=83?1<7>t$3g6>4e33Anj96Fk8b9'66d=m980(5;h`e>5<<6=44o367>5<55;294~"5m<0:o95Gd`78La>d3-88n7k?2:&2a5<63`=i6=44i6f94?=njo0;66g=4683>>i5<=0;66sm77;94?3=83:p(?k::0a7?Mbf=2Bo4n5+22`9a54<,8o;6<5f7c83>>o0l3:17dli:188m7202900c?:;:188yg0fl3:197>50z&1a0<6k=1Chl;4He:`?!44j3o;>6*>e182?l1e2900e:j50;9jfc<722c98:4?::m101<722wi:lo50;794?6|,;o>6Nc0j1/>>l5e108 4c7281b;o4?::k4`?6=3`hm6=44i364>5<?6=44}c4b2?6==3:1;h5a>5<n1<75fbg83>>o5<>0;66a=4583>>{e>h81<7;50;2x 7c228i?7Ejn5:Jg4=n?k0;66g8d;29?lda2900e?:8:188k7232900qo86e;291?6=8r.9i84>c59K`d3<@m2h7)<"6m90:7d9m:188m2b=831bnk4?::k102<722e9894?::a2t$3g6>4e33Anj96Fk8b9'66d=m980(5;h`e>5<<6=44o367>5<55;294~"5m<0:o95Gd`78La>d3-88n7k?2:&2a5<63`=i6=44i6f94?=njo0;66g=4683>>i5<=0;66sm68094?3=83:p(?k::0a7?Mbf=2Bo4n5+22`9a54<,8o;6<5f7c83>>o0l3:17dli:188m7202900c?:;:188yg0?m3:197>50z&1a0<6k=1Chl;4He:`?!44j3o;>6*>e182?l1e2900e:j50;9jfc<722c98:4?::m101<722wi:5o50;794?6|,;o>6Nc0j1/>>l5e108 4c7281b;o4?::k4`?6=3`hm6=44i364>5<?6=44}c4ba?6=:3:1c:k2`7<722e9?l4?::a2dd=8381<7>t$3g6>74f3Anj96Fk8b9'66d=m980(7>50z&1a0<5:h1Chl;4He:`?!44j3o;>6*>e182g>o6l;0;66a=3`83>>{e>h91<7<50;2x 7c22;8j7Ejn5:Jg4e5<52;294~"5m<09>l5Gd`78La>d3-88n7k?2:&2a5<6k2c:h?4?::m17d<722wi:4l50;094?6|,;o>6?Nc0j1/>>l5e108 4c728i0e"6m90:o6g>d383>>i5;h0;66sm68194?4=83:p(?k::30b?Mbf=2Bo4n5+22`9a54<,8o;65<c:k2`7<722e9?l4?::a2=d=8381<7>t$3g6>74f3Anj96Fk8b9'66d=m980(50z&1a06g8f;29?l45>3:17b<"5;k0n>od;3:17d<:4;29?j45?3:17pl9ab83>6<729q/>h;5d`68Lag23An3o6*=3c8f47=n?o0;66g=2783>>i5;h0;66sm6`794?2=83:p(?k::313?Mbf=2Bo4n5+22`9a54l1<75fc283>>o5==0;66a=2683>>{e>h21<7=50;2x 7c22mk?7Ejn5:Jg=;h5e>5<5<4290;w)Nci<1Ch5m4$31a>`653`=m6=44i305>5<5;ha0>5<5<53;294~"5m<0om95Gd`78La>d3-88n7k?2:k4b?6=3`89:7>5;n00e?6=3th=544?:583>5}#:l?1>>>4Hec6?Mb?k2.9?o4j039j3c<722ch?7>5;h060?6=3f89;7>5;|`5=f<72:0;6=u+2d79`d2<@mk>7Ej7c:&17g1<7>t$3g6>7573Anj96Fk8b9'66d=m980e:h50;9jg6<722c9994?::m162<722wi:4650;194?6|,;o>6io;;Ifb1>Nc0j1/>>l5e108m2`=831b>?850;9l66g=831vn;7>:187>5<7s-8n97<<0:Jge0=Ol1i0(?=m:d21?l1a2900en=50;9j602=831d>?950;9~f3?329086=4?{%0f1?bf<2Bom85Gd9a8 75e2l:97d9i:188m7412900c?=n:188yg0?l3:187>50z&1a0<5;91Chl;4He:`?!44j3o;>6g8f;29?le42900e?;;:188k7402900qo860;297?6=8r.9i84ka59K`d3<@m2h7)<o0n3:17d<=6;29?j44i3:17pl98883>1<729q/>h;52228Lag23An3o6*=3c8f47=n?o0;66gl3;29?l42<3:17b<=7;29?xd10j0;6>4?:1y'6`3=lh>0Dio:;If;g>"5;k0n>o5:?0;66a=3`83>>{e>o;1<7;50;2x 7c228i?7Ejn5:Jg4=n?k0;66g8d;29?lda2900e?:8:188k7232900qo8je;291?6=8r.9i84>c59K`d3<@m2h7)<"6m90:7d9m:188m2b=831bnk4?::k102<722e9894?::a2`g=83?1<7>t$3g6>4e33Anj96Fk8b9'66d=m980(5;h`e>5<<6=44o367>5<55;294~"5m<0:o95Gd`78La>d3-88n7k?2:&2a5<63`=i6=44i6f94?=njo0;66g=4683>>i5<=0;66sm6d094?3=83:p(?k::0a7?Mbf=2Bo4n5+22`9a54<,8o;6<5f7c83>>o0l3:17dli:188m7202900c?:;:188yg0cm3:197>50z&1a0<6k=1Chl;4He:`?!44j3o;>6*>e182?l1e2900e:j50;9jfc<722c98:4?::m101<722wi:io50;794?6|,;o>6Nc0j1/>>l5e108 4c7281b;o4?::k4`?6=3`hm6=44i364>5<?6=44}c4g2?6==3:1;h5a>5<n1<75fbg83>>o5<>0;66a=4583>>{e>m81<7;50;2x 7c228i?7Ejn5:Jg4=n?k0;66g8d;29?lda2900e?:8:188k7232900qo8le;291?6=8r.9i84>c59K`d3<@m2h7)<"6m90:7d9m:188m2b=831bnk4?::k102<722e9894?::a2c4=8381<7>t$3g6>74f3Anj96Fk8b9'66d=m980(7>50z&1a0<5:h1Chl;4He:`?!44j3o;>6*>e182g>o6l;0;66a=3`83>>{e>lh1<7<50;2x 7c22;8j7Ejn5:Jg4e5<52;294~"5m<09>l5Gd`78La>d3-88n7k?2:&2a5<6k2c:h?4?::m17d<722wi:h=50;094?6|,;o>6?Nc0j1/>>l5e108 4c728i0e"6m90:o6g>d383>>i5;h0;66sm6e`94?4=83:p(?k::30b?Mbf=2Bo4n5+22`9a54<,8o;65<c:k2`7<722e9?l4?::a2a5=8381<7>t$3g6>74f3Anj96Fk8b9'66d=m980(7>50z&1a0<5:h1Chl;4He:`?!44j3o;>6*>e182g>o6l;0;66a=3`83>>{e>o91<7=50;2x 7c22mk?7Ejn5:Jg=;h5e>5<5<4290;w)Nci<1Ch5m4$31a>`653`=m6=44i305>5<5;ha0>5<5<53;294~"5m<0om95Gd`78La>d3-88n7k?2:k4b?6=3`89:7>5;n00e?6=3th=i84?:583>5}#:l?1>>>4Hec6?Mb?k2.9?o4j039j3c<722ch?7>5;h060?6=3f89;7>5;|`5a=<72:0;6=u+2d79`d2<@mk>7Ej7c:&17g1<7>t$3g6>7573Anj96Fk8b9'66d=m980e:h50;9jg6<722c9994?::m162<722wi:h:50;194?6|,;o>6io;;Ifb1>Nc0j1/>>l5e108m2`=831b>?850;9l66g=831vn;jk:187>5<7s-8n97<<0:Jge0=Ol1i0(?=m:d21?l1a2900en=50;9j602=831d>?950;9~f3c729086=4?{%0f1?bf<2Bom85Gd9a8 75e2l:97d9i:188m7412900c?=n:188yg0c13:187>50z&1a0<5;91Chl;4He:`?!44j3o;>6g8f;29?le42900e?;;:188k7402900qo8kc;297?6=8r.9i84ka59K`d3<@m2h7)<o0n3:17d<=6;29?j44i3:17pl9d483>1<729q/>h;52228Lag23An3o6*=3c8f47=n?o0;66gl3;29?l42<3:17b<=7;29?xd1l10;6>4?:1y'6`3=lh>0Dio:;If;g>"5;k0n>o5:?0;66a=3`83>>{e>m;1<7:50;2x 7c22;9;7Ejn5:Jg>i5:>0;66sm6e694?5=83:p(?k::ec7?Mbf=2Bo4n5+22`9a54l1<75f23494?=h::k1<75rb7ag>5<3290;w)Nci<1Ch5m4$31a>`653`=m6=44ib194?=n:<>1<75`23594?=zj?n;6=4<:183!4b=3nj86Fka49K`=e<,;9i6h>=;h5e>5<5<54;294~"5m<09>n5Gd`78La>d3-88n7k?2:&2a5<0k2c:h?4?::k2`6<722c:h94?::m17d<722wi::?50;694?6|,;o>6?Nc0j1/>>l5e108 4c72>i0e"6m90d383>>o6l:0;66g>d583>>i5;h0;66sm67`94?2=83:p(?k::30`?Mbf=2Bo4n5+22`9a54<,8o;6:m4i0f1>5<5<4?::k2`1<722e9?l4?::a233=83>1<7>t$3g6>74d3Anj96Fk8b9'66d=m980(50z&1a0<5:j1Chl;4He:`?!44j3o;>6*>e184g>o6l;0;66g>d283>>o6l=0;66a=3`83>>{e>2e5<5<o7>54;294~"5m<09>n5Gd`78La>d3-88n7k?2:&2a5<0k2c:h?4?::k2`6<722c:h94?::m17d<722wi:8750;694?6|,;o>6?Nc0j1/>>l5e108 4c72>i0e"6m908?6*=408gf5=n9m81<75f1e194?=h::k1<75rb7df>5<4290;w)Nci<1Ch5m4$31a>`653-;n<7=<;%075?be82c:h?4?::k2`6<722e9?l4?::a201=8321<7>t$3g6>73d3Anj96Fk8b9'66d=m980(5;h15>5<>o?83:17dli:188k7232900qo8;d;290?6=8r.9i84>c19K`d3<@m2h7)<"6m90:7d9m:188m2b=831bnk4?::m101<722wi:9m50;694?6|,;o>6Nc0j1/>>l5e108 4c7281b;o4?::k4`?6=3`hm6=44o367>5<54;294~"5m<0:o=5Gd`78La>d3-88n7k?2:&2a5<63`=i6=44i6f94?=njo0;66a=4583>>{e>=k1<7:50;2x 7c228i;7Ejn5:Jg4=n?k0;66g8d;29?lda2900c?:;:188yg0313:187>50z&1a0<6k91Chl;4He:`?!44j3o;>6*>e182?l1e2900e:j50;9jfc<722e9894?::a21>=83>1<7>t$3g6>4e73Anj96Fk8b9'66d=m980(5;h`e>5<?6=44}c462?6=<3:1;h5a>5<n1<75fbg83>>i5<=0;66sm64794?2=83:p(?k::0a3?Mbf=2Bo4n5+22`9a54<,8o;6<5f7c83>>o0l3:17dli:188k7232900qo8:4;290?6=8r.9i84>c19K`d3<@m2h7)<"6m90:7d9m:188m2b=831bnk4?::m101<722wi:8=50;694?6|,;o>6Nc0j1/>>l5e108 4c7281b;o4?::k4`?6=3`hm6=44o367>5<>7>54;294~"5m<0:o=5Gd`78La>d3-88n7k?2:&2a5<63`=i6=44i6f94?=njo0;66a=4583>>{e><;1<7:50;2x 7c228i;7Ejn5:Jg4=n?k0;66g8d;29?lda2900c?:;:188yg0283:187>50z&1a0<6k91Chl;4He:`?!44j3o;>6*>e182?l1e2900e:j50;9jfc<722e9894?::a21`=83>1<7>t$3g6>4e73Anj96Fk8b9'66d=m980(5;h`e>5<?6=44}c47a?6=<3:1;h5a>5<n1<75fbg83>>i5<=0;66sm65594?2=83:p(?k::0a3?Mbf=2Bo4n5+22`9a54<,8o;6<5f7c83>>o0l3:17dli:188k7232900qo883;290?6=8r.9i84>c29K`d3<@m2h7)<"6m90:7d9m:188mg`=831b>9950;9l612=831vn;9::187>5<7s-8n97?l3:Jge0=Ol1i0(?=m:d21?!7b83;0e:l50;9jfc<722c98:4?::m101<722wi::>50;694?6|,;o>6Nc0j1/>>l5e108 4c7281b;o4?::kab?6=3`8?;7>5;n070?6=3th=;?4?:583>5}#:l?1=n=4Hec6?Mb?k2.9?o4j039'5`6=92c5;h`e>5<<6=44o367>5<54;294~"5m<0:o>5Gd`78La>d3-88n7k?2:&2a5<63`=i6=44icd94?=n:==1<75`25694?=zj?=;%3f4?7h1<75fbg83>>o5<>0;66a=4583>>{e>?k1<7:50;2x 7c228i87Ejn5:Jg4=n?k0;66gmf;29?l43?3:17b<;4;29?xd1>j0;694?:1y'6`3=9j90Dio:;If;g>"5;k0no0j3:17dli:188m7202900c?:;:188yg01?3:187>50z&1a0<6k:1Chl;4He:`?!44j3o;>6*>e182?l1e2900eoh50;9j611=831d>9:50;9~f30>290?6=4?{%0f1?7d;2Bom85Gd9a8 75e2l:97)?j0;38m2d=831bnk4?::k102<722e9894?::a232=83>1<7>t$3g6>4e43Anj96Fk8b9'66d=m980(5;h073?6=3f8?87>5;|`523<72=0;6=u+2d795f5<@mk>7Ej7c:&17g51:k4f?6=3`hm6=44i364>5<?6=44}c455?6=<3:1;h5a>5<1<75rb740>5<3290;w)Nci<1Ch5m4$31a>`653-;n<7?4i6`94?=njo0;66g=4683>>i5<=0;66sm64g94?2=83:p(?k::0a0?Mbf=2Bo4n5+22`9a54<,8o;6<5f7c83>>oen3:17d<;7;29?j43<3:17pl96183>1<729q/>h;51b18Lag23An3o6*=3c8f47=#9l:1=6g8b;29?lda2900e?:8:188k7232900qo8:b;290?6=8r.9i84>c29K`d3<@m2h7)<"6m90:7d9m:188mg`=831b>9950;9l612=831vn;;k:187>5<7s-8n97?l3:Jge0=Ol1i0(?=m:d21?!7b83;0e:l50;9jfc<722c98:4?::m101<722wi:8650;694?6|,;o>6Nc0j1/>>l5e108 4c7281b;o4?::kab?6=3`8?;7>5;n070?6=3th=9l4?:583>5}#:l?1=n=4Hec6?Mb?k2.9?o4j039'5`6=92c5;h`e>5<<6=44o367>5<54;294~"5m<09?=5Gd`78La>d3-88n7k?2:k4b?6=3`i86=44i377>5<5;ha0>5<5<54;294~"5m<09?=5Gd`78La>d3-88n7k?2:k4b?6=3`i86=44i377>5<5;ha0>5<5<54;294~"5m<09?=5Gd`78La>d3-88n7k?2:k4b?6=3`i86=44i377>5<4}4:3w/>>l5e108 4c72=1b4=4?::kab?6=3`=o6=44o367>5<=6=44i2g94?"5<908h6`=3g83?>o4k3:1(?:?:2f8j75a2810e>l50;&105<4l2d9?k4=;:k0e?6=,;>;6>j4n31e>6=h5;o0?76sm73g94?g=83:p(?k::37f?Mbf=2Bo4n5U7`8264=u-88n7k?2:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i55$363>6bo413:1(?:?:2f8j75a2=10qo9=f;29e?6=8r.9i84=5d9K`d3<@m2h7W9n:0y06?{#::h1i=<4$0g3>1=n090;66gmf;29?l1c2900c?:;:188k7212900e>k50;&105<4l2d9?k4?;:k0g?6=,;>;6>j4n31e>4=h5;o0976g>50;;94?6|,;o>6?;k;Ifb1>Nc0j1/>>l5e108 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n?m0;66g70;29?lda2900c?:;:188k7222900qo9>4;290?6=8r.9i84=319K`d3<@m2h7)<o0n3:17dm<:188m7332900c?<8:188yg16=3:187>50z&1a0<5;91Chl;4He:`?!44j3o;>6g8f;29?le42900e?;;:188k7402900qo9>6;290?6=8r.9i84=319K`d3<@m2h7)<o0n3:17dm<:188m7332900c?<8:188yg16?3:187>50z&1a0<5;91Chl;4He:`?!44j3o;>6g8f;29?le42900e?;;:188k7402900qo9>8;290?6=8r.9i84=319K`d3<@m2h7)<o0n3:17dm<:188m7332900c?<8:188yg1613:1m7>50z&1a0<5=l1Chl;4He:`?_1f28q8>7s+22`9a54<,8o;695f8183>>oen3:17d9k:188k7232900c?:9:188m6c=83.98=45$363>6bo4i3:1(?:?:2f8j75a2:10e>750;&105<4l2d9?k4;;:a34g=83k1<7>t$3g6>73b3Anj96Fk8b9Y3d<6s:81q)<"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=h5;o0:76g5$363>6b4}4:3w/>>l5e108 4c72=1b4=4?::kab?6=3`=o6=44o367>5<=6=44i2g94?"5<908h6`=3g83?>o4k3:1(?:?:2f8j75a2810e>l50;&105<4l2d9?k4=;:k0e?6=,;>;6>j4n31e>6=h5;o0?76sm70a94??=83:p(?k::37g?Mbf=2Bo4n5+22`9a54<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b;i4?::k;4?6=3`hm6=44o367>5<>6=44}c534?6=<3:15;ha0>5<5<54;294~"5m<09?=5Gd`78La>d3-88n7k?2:k4b?6=3`i86=44i377>5<5;ha0>5<5<54;294~"5m<09?=5Gd`78La>d3-88n7k?2:k4b?6=3`i86=44i377>5<5;ha0>5<5<5a;294~"5m<099h5Gd`78La>d3S=j65;h5g>5<?6=44o365>5<h5;o0;76g5$363>6b54i2;94?"5<908h6`=3g87?>{e?9<1<7o50;2x 7c22;?n7Ejn5:Jg<5}%00f?c7:2.:i=4;;h:3>5<>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=h5;o0876g<9;29 7272:n0b?=i:598yg17?3:1m7>50z&1a0<5=l1Chl;4He:`?_1f28q8>7s+22`9a54<,8o;695f8183>>oen3:17d9k:188k7232900c?:9:188m6c=83.98=45$363>6bo4i3:1(?:?:2f8j75a2:10e>750;&105<4l2d9?k4;;:a35>=8331<7>t$3g6>73c3Anj96Fk8b9'66d=m980(5;h15>5<>o?83:17dli:188k7232900c?:::188yg20m3:1?7>50z&1a06g8f;29?l45>3:17b<"5;k0n>od;3:17d<:4;29?j45?3:17pl;8383>6<729q/>h;5d`68Lag23An3o6*=3c8f47=n?o0;66g=2783>>i5;h0;66sm46d94?2=83:p(?k::313?Mbf=2Bo4n5+22`9a54l1<75fc283>>o5==0;66a=2683>>{e<1<1<7=50;2x 7c22mk?7Ejn5:Jg=;h5e>5<5<4290;w)Nci<1Ch5m4$31a>`653`=m6=44i305>5<5;ha0>5<5<3i7>53;294~"5m<0om95Gd`78La>d3-88n7k?2:k4b?6=3`89:7>5;n00e?6=3th?4o4?:583>5}#:l?1>>>4Hec6?Mb?k2.9?o4j039j3c<722ch?7>5;h060?6=3f89;7>5;|`7=7<72:0;6=u+2d79`d2<@mk>7Ej7c:&17g1<7>t$3g6>7573Anj96Fk8b9'66d=m980e:h50;9jg6<722c9994?::m162<722wi84850;194?6|,;o>6io;;Ifb1>Nc0j1/>>l5e108m2`=831b>?850;9l66g=831vn97<:187>5<7s-8n97<<0:Jge0=Ol1i0(?=m:d21?l1a2900en=50;9j602=831d>?950;9~f1?>29086=4?{%0f1?bf<2Bom85Gd9a8 75e2l:97d9i:188m7412900c?=n:188yg20l3:1>7>50z&1a0<5:h1Chl;4He:`?!44j3o;>6*>e182g>o6l;0;66a=3`83>>{e<1;1<7<50;2x 7c22;8j7Ejn5:Jg4e5<397>52;294~"5m<09>l5Gd`78La>d3-88n7k?2:&2a5<6k2c:h?4?::m17d<722wi85750;094?6|,;o>6?Nc0j1/>>l5e108 4c728i0e"6m90:o6g>d383>>i5;h0;66sm48394?4=83:p(?k::30b?Mbf=2Bo4n5+22`9a54<,8o;65<c:k2`7<722e9?l4?::a0<>=8381<7>t$3g6>74f3Anj96Fk8b9'66d=m980(50z&1a0<6k=1Chl;4He:`?!44j3o;>6*>e182?l1e2900e:j50;9jfc<722c98:4?::m101<722wi85>50;794?6|,;o>6Nc0j1/>>l5e108 4c7281b;o4?::k4`?6=3`hm6=44i364>5<?6=44}c6;0?6==3:1;h5a>5<n1<75fbg83>>o5<>0;66a=4583>>{e<121<7;50;2x 7c228i?7Ejn5:Jg4=n?k0;66g8d;29?lda2900e?:8:188k7232900qo:7c;291?6=8r.9i84>c59K`d3<@m2h7)<"6m90:7d9m:188m2b=831bnk4?::k102<722e9894?::a0<6=83?1<7>t$3g6>4e33Anj96Fk8b9'66d=m980(5;h`e>5<<6=44o367>5<287>55;294~"5m<0:o95Gd`78La>d3-88n7k?2:&2a5<63`=i6=44i6f94?=njo0;66g=4683>>i5<=0;66sm48594?3=83:p(?k::0a7?Mbf=2Bo4n5+22`9a54<,8o;6<5f7c83>>o0l3:17dli:188m7202900c?:;:188yg2f;3:197>50z&1a0<6k=1Chl;4He:`?!44j3o;>6*>e182?l1e2900e:j50;9jfc<722c98:4?::m101<722wi8l:50;794?6|,;o>6Nc0j1/>>l5e108 4c7281b;o4?::k4`?6=3`hm6=44i364>5<?6=44}c6b1?6==3:1;h5a>5<n1<75fbg83>>o5<>0;66a=4583>>{e4=n?k0;66g8d;29?lda2900e?:8:188k7232900qo:n7;291?6=8r.9i84>c59K`d3<@m2h7)<"6m90:7d9m:188m2b=831bnk4?::k102<722e9894?::a0d>=83?1<7>t$3g6>4e33Anj96Fk8b9'66d=m980(5;h`e>5<<6=44o367>5<j57>55;294~"5m<0:o95Gd`78La>d3-88n7k?2:&2a5<63`=i6=44i6f94?=njo0;66g=4683>>i5<=0;66sm4`c94?3=83:p(?k::0a7?Mbf=2Bo4n5+22`9a54<,8o;6<5f7c83>>o0l3:17dli:188m7202900c?:;:188yg1c=3:187>50z&1a0<5:j1Chl;4He:`?!7b83;jj6g>d383>>o6l:0;66g>d583>>i5;h0;66sm57294?0=83:p(?k::30f?Mbf=2Bo4n5+1d29<>o6l;0;66g>d283>>o6l=0;66g>d483>>o6l?0;66a=3`83>>{e=?;1<7;50;2x 7c22;8o7Ejn5:Jgd383>>o6l:0;66g>d583>>o6l<0;66a=3`83>>{e=?81<7950;2x 7c22;8m7Ejn5:Jgd383>>o6l:0;66g>d583>>o6l<0;66g>d783>>o6l>0;66a=3`83>>{e=?91<7950;2x 7c22;8m7Ejn5:Jgd383>>o6l:0;66g>d583>>o6l<0;66g>d783>>o6l>0;66a=3`83>>{e=?>1<7850;2x 7c22;8n7Ejn5:Jg5<5<6=44i0f5>5<t$3g6>74e3Anj96Fk8b9'5`6=981b=i<50;9j5a5=831d>>o50;9~f=6c290<6=4?{%0f1?45n2Bom85Gd9a8 4c728h27d?k2;29?l7c;3:17d?k4;29?l7c=3:17d?k6;29?l7c?3:17b<"6m90h<6g>d383>>o6l:0;66g>d583>>o6l<0;66g>d783>>i5;h0;66sm80194?1=83:p(?k::30e?Mbf=2Bo4n5+1d295fc5<5<5<5<5<5<1<7>t$3g6>74d3Anj96Fk8b9'5`6=9hl0e3:17d?k7;29?j44i3:17pl8fd83>1<729q/>h;51b18Lag23An3o6*=3c8f47=#9l:1=6g8b;29?lda2900e?:8:188k7232900qo6?0;290?6=8r.9i84>c29K`d3<@m2h7)<"6m90:7d9m:188mg`=831b>9950;9l612=831vn5>>:180>5<7s-8n97<=b:Jge0=Ol1i0(6g>d383>>o6l:0;66a=3`83>>{e0??1<7:50;2x 7c228i87Ejn5:Jg4=n?k0;66gmf;29?l43?3:17b<;4;29?xd?>?0;6>4?:1y'6`3=:;h0Dio:;If;g>"6m90:mh5f1e094?=n9m91<75`22c94?=zj>o>6=4;:183!4b=3;h?6Fka49K`=e<,;9i6h>=;%3f4?7h1<75fbg83>>o5<>0;66a=4583>>{e?l<1<7=50;2x 7c22;8i7Ejn5:Jg5<5<56;294~"5m<09>h5Gd`78La>d3-;n<7?l1:k2`7<722c:h>4?::k2`1<722c:h84?::k2`3<722e9?l4?::a<47=83?1<7>t$3g6>74c3Anj96Fk8b9'5`6=9j<0e7n3:1;7>50z&1a0<5:o1Chl;4He:`?!7b83;ho6g>d383>>o6l:0;66g>d583>>o6l<0;66g>d783>>o6l>0;66a=3`83>>{ejl81<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{ekhk1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smc`;94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekh21<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smc`594?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekh<1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smc`794?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekh>1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smc`194?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekh;1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smc`294?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ek0l1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smc8g94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ek0n1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smc8a94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ek0h1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smc8c94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ek031<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smc8:94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ek0<1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smc8794?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ek0>1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smc8194?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ek081<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smc8394?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ek0:1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smc9d94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ek1o1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smc9f94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{el9k1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smd1;94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{el921<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smd1594?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{el9<1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smd1794?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{el9>1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smd1194?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{el981<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smd1394?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekol1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcgg94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekon1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcga94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekoh1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcgc94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{eko31<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcg:94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{eko=1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcg494?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{eko>1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcg194?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{eko81<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcg394?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{eko:1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcdd94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{eklo1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcdf94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekli1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcd`94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekl31<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcd:94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekl=1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcd494?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekl?1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcd694?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekl91<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcd094?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekl;1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcd294?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekmo1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcef94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekmi1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smce`94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekmk1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smce;94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekm21<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smce594?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekm<1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smce794?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekm91<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smce094?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekm;1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smce294?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekjl1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcbg94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekjn1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcba94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekjh1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcbc94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekj21<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcb594?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekj<1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcb794?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekj>1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcb194?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekj81<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcb394?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekj:1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smccd94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekkn1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcca94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekkh1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smccc94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekk31<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcc:94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekk=1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcc494?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekk?1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcc694?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekk81<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcc394?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekk:1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smc`d94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekho1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smc`f94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekhi1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smc``94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekh81<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smc8594?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{el9h1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smd1294?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{eko?1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smcdc94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekml1<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smce694?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekj31<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smccg94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{ekk91<7=50;2x 7c228hh7Ejn5:Jgh1<75fbg83>>i5<=0;66smc9a94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{e1;:1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1==1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1<81<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1h1<75fbg83>>o5<;0;66a=4583>>{e1?21<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1>91<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1>o1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1131<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e10>1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e10l1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1;h1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1:<1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1:l1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1=:1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1=;1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1=81<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1=91<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1=>1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1=?1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1=<1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1=21<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1=31<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1=k1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1=h1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1=i1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1=n1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1=o1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1=l1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1<:1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1<;1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1<91<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1<>1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1h1<75fbg83>>o5<;0;66a=4583>>{e1<<1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1<=1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1<21<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1<31<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1h1<75fbg83>>o5<;0;66a=4583>>{e1h1<75fbg83>>o5<;0;66a=4583>>{e1h1<75fbg83>>o5<;0;66a=4583>>{e1h1<75fbg83>>o5<;0;66a=4583>>{e1h1<75fbg83>>o5<;0;66a=4583>>{e1?:1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1?;1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1?81<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1?91<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1?>1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1??1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1?<1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1?=1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1?31<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1?k1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1?h1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1?i1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1?n1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1?o1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1?l1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1>:1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1>;1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1>81<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1>>1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1>?1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1><1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1>=1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1>21<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1>31<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1>k1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1>h1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1>i1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1>n1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1>l1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e11:1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e11;1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1181<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1191<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e11>1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e11?1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e11<1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e11=1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1121<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e11k1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e11h1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e11i1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e11n1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e11o1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e11l1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e10:1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e10;1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1081<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1091<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e10?1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e10<1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e10=1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1021<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1031<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e10k1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e10h1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e10i1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e10n1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e10o1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1;;1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1;81<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1;91<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1;>1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1;?1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1;<1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1;=1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1;21<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1;31<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1;k1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1;i1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1;n1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1;o1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1;l1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1::1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1:;1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1:81<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1:91<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1:>1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1:?1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1:=1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1:21<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1:31<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1:k1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1:h1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1:i1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1:n1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1:o1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0h:1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0j=1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0m81<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0mn1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0l21<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0o91<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0oo1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1931<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e18>1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e18l1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0hh1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0k<1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0kl1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0j:1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0j;1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0j81<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0j91<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0j>1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0j?1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0j<1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0j21<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0j31<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0jk1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0jh1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0ji1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0jn1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0jo1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0jl1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0m:1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0m;1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0m91<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0m>1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0m?1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0m<1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0m=1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0m21<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0m31<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0mk1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0mh1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0mi1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0mo1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0ml1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0l:1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0l;1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0l81<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0l91<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0l>1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0l?1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0l<1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0l=1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0l31<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0lk1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0lh1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0li1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0ln1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0lo1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0ll1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0o:1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0o;1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0o81<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0o>1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0o?1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0o<1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0o=1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0o21<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0o31<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0ok1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0oh1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0oi1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0on1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0ol1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e19:1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e19;1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1981<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1991<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e19>1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e19?1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e19<1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e19=1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1921<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e19k1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e19h1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e19i1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e19n1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e19o1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e19l1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e18:1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e18;1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1881<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1891<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e18?1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e18<1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e18=1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1821<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e1831<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e18k1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e18h1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e18i1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e18n1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e18o1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0h;1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0h81<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0h91<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0h>1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0h?1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0h<1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0h=1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0h21<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0h31<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0hk1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0hi1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0hn1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0ho1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0hl1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0k:1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0k;1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0k81<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0k91<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0k>1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0k?1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0k=1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0k21<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0k31<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0kk1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0kh1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0ki1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0kn1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e0ko1<7:50;2x 7c228i97Ejn5:Jgh1<75fbg83>>o5<;0;66a=4583>>{e?o:1<7:50;2x 7c228hn7Ejn5:Jg50z&1a0<6jl1Chl;4He:`?!7b83;0(?=m:d21?l1e2900e4850;9jfc<722e9894?::a3`?=83>1<7>t$3g6>4e53Anj96Fk8b9'5`6=981/>>l5e108m2d=831bnk4?::k107<722e9894?::a3`>=83>1<7>t$3g6>4db3Anj96Fk8b9'5`6=92.9?o4j039j3g<722c2:7>5;h`e>5<?6=44}c:2f?6=<3:1=;h5a>5<>i5<=0;66sm7`f94?5=83:p(?k::0``?Mbf=2Bo4n5+1d2954=#::h1i=<4i6`94?=njo0;66a=4583>>{e?h;1<7:50;2x 7c228hn7Ejn5:Jg50z&1a0<6jl1Chl;4He:`?!7b83;0(?=m:d21?l1e2900e4850;9jfc<722e9894?::a3=c=83>1<7>t$3g6>4db3Anj96Fk8b9'5`6=92.9?o4j039j3g<722c2:7>5;h`e>5<?6=44}c5;`?6=<3:1=;h5a>5<>i5<=0;66sm81c94?1=83:p(?k::30e?Mbf=2Bo4n5+1d2974=n9m81<75f1e194?=n9m>1<75f1e794?=n9m<1<75f1e594?=h::k1<75rb926>5<3290;w)Nci<1Ch5m4i0f1>5<5<t$3g6>74b3Anj96Fk8b9'5`6=9j;0eh;525;8Lag23An3o6T8a;ax51<6=3896?=51g82a?472;;1=l4>9;3;>4d=ugi:645ac38:?k71i3:0b<7k:19'5g0=9kh0(2.:ho49;%3f5?0<,8o96;5+1d192>"6m=0=7)?j5;48 4c12?1/=h956:&2a=<13-;n5784$0gb>3=#9lh1:6*>eb85?!7bl3<0(2.:j=49;%3e5?0<,8l96;5+1g192>"6n=0=7)?i5;48 4`12?1/=k956:&2b=<13-;m5784$0db>3=#9oh1:6*>fb85?!7al3<0(2.9<=49;%015?5<,;896>5+231966?<,;>j6?8<;%065?41:2.99?48d:&116<0l2.99:4k8g9'60`=;2.9:=4<;%fb5?bf82.om?4ka19j606=831b=o;50;9j61d=831b=o=50;9j5;h07`?6=3`8?i7>5;hf:3?6=,;>;6i79;o00b?6<3`n297>5$363>a?13g88j7?4;n354?6=,;>;6<;i;o00b?6<3f;>i7>5$363>43a3g88j7?4;n36`?6=,;>;6<;i;o00b?4<3f;>o7>5$363>43a3g88j7=4;n36f?6=,;>;6<;i;o00b?2<3f;>m7>5$363>43a3g88j7;4;n35=?6=,;>;6<;i;o00b?0<3f;=47>5$363>43a3g88j794;n353?6=,;>;6<;i;o00b?><3f;=:7>5$363>43a3g88j774;n351?6=,;>;6<;i;o00b?g<3f;=87>5$363>43a3g88j7l4;n357?6=,;>;6<;i;o00b?e<3f;=>7>5$363>43a3g88j7j4;n355?6=,;>;6<;i;o00b?c<3f;>57>5$363>43a3g88j7h4;n3b1?6=,;>;65$363>4g33g88j7?4;n3b`?6=,;>;65$363>4gd3g88j7?4;hf:b?6=,;>;6i7j;o00b?6<3`n2h7>5$363>a?b3g88j7?4;h71>5<#:=:19<5a22d94>=n=90;6)<;0;72?k44n3;07d:i:18'616==81e>>h52:9j1g<72-8?<7;>;o00b?5<3`?j6=4+252914=i::l1865f5883>!4383?:7c<9>5509m66`=>21b9:4?:%074?363g88j794;h75>5<#:=:19<5a22d9<>=n=<0;6)<;0;72?k44n3307d;;:18'616==81e>>h5a:9j16<72-8?<7;>;o00b?d<3`>n6=4+252914=i::l1o65f12594?"5<90:?;5a22d94>=n9:?1<7*=418273=i::l1=65f10g94?"5<90:=i5a22d94>=n98i1<7*=41825a=i::l1=65f10`94?"5<90:=i5a22d96>=n98k1<7*=41825a=i::l1?65f10;94?"5<90:=i5a22d90>=n9821<7*=41825a=i::l1965f13594?"5<90:=i5a22d92>=n9;<1<7*=41825a=i::l1;65f13794?"5<90:=i5a22d9<>=n9;>1<7*=41825a=i::l1565f13194?"5<90:=i5a22d9e>=n9;81<7*=41825a=i::l1n65f13394?"5<90:=i5a22d9g>=n9;:1<7*=41825a=i::l1h65f10d94?"5<90:=i5a22d9a>=n98=1<7*=41825a=i::l1j65feb83>!4383oi7c<9>5ec9m66`=921bi44?:%074?ce3g88j7<4;hg;>5<#:=:1io5a22d97>=nm>0;6)<;0;ga?k44n3>07dk9:18'616=mk1e>>h55:9jb0<72-8?<7km;o00b?0<3`l?6=4+2529ag=i::l1;65ff283>!4383oi7c<9>5ec9m66`=121bj<4?:%074?ce3g88j7o4;hd3>5<#:=:1io5a22d9f>=nmo0;6)<;0;ga?k44n3i07dkj:18'616=mk1e>>h5d:9jaa<72-8?<7km;o00b?c<3`o>6=4+2529ag=i::l1j65f6g83>!43839>56d9m66`=921b:n4?:%074?0b3g88j7<4;h5;>5<#:=:1:h5a22d97>=n?>0;6)<;0;4f?k44n3>07d99:18'616=>l1e>>h55:9j30<72-8?<78j;o00b?0<3`=?6=4+25292`=i::l1;65f7283>!43839>56d9m66`=121b;<4?:%074?0b3g88j7o4;h53>5<#:=:1:h5a22d9f>=n>k0;6)<;0;4f?k44n3i07b?63;29 72728397c<93:1(?:?:0;1?k44n3;07b?60;29 72728397c<j3:1(?:?:0;1?k44n3=07b?6a;29 72728397c<13:1(?:?:0;1?k44n3307b?68;29 72728397c<?3:1(?:?:0;1?k44n3h07b?66;29 72728397c<=3:1(?:?:0;1?k44n3n07b?64;29 72728397c<ge=83:p(?k::36;?Mbf=2Bo4n5U7`8`40=9>09n77?=;o35e?6"6lk0=7)?kc;48 4bc2?1/=ik56:&2`c<13-;n=784$0g1>3=#9l91:6*>e585?!7b=3<0(2.:i549;%3f=?0<,8oj6;5+1d`92>"6mj0=7)?jd;48 4cb2?1/=hh56:&2b5<13-;m=784$0d1>3=#9o91:6*>f585?!7a=3<0(2.:j549;%3e=?0<,8lj6;5+1g`92>"6nj0=7)?id;48 4`b2?1/=kh56:&145<13-8;=784$321>3=#:991:6*=0585?!47=3<0(?>9:79'651=>2.9<549;%03=?0<,;:j6;5+21`92>"58j0=7)=h56:&155<13-8:=784$331>3=#:891:6*=1585?!46=3<0(??9:79'641=>2.9=549;%02=?0<,;;j6;5+20`92>"59j0=7)<>d;48 77b2?1/>0=#:;91>>74$372>7053-8>>79k;%067?1c3-8>;7j7f:&11=<5=h1/>8h55:&125<23-nj=7jn0:&ge79l50;9j<2<722c:n94?::k10c<722c3h7>5;h07g?6=3`8?i7>5;h3a6?6=3`;9o7>5$363>44e3g88j7>4;h31e?6=,;>;6<5$363>44e3g88j7<4;h31;6<5$363>a?43g88j7>4;hf:6?6=,;>;6i7<;o00b?7<3`n2=7>5$363>a?43g88j7<4;hf:4?6=,;>;6i7<;o00b?5<3f;>=7>5$363>4373g88j7>4;n37b?6=,;>;6<;?;o00b?7<3f;?h7>5$363>4373g88j7<4;n37g?6=,;>;6<;?;o00b?5<3f;?n7>5$363>4373g88j7:4;n37e?6=,;>;6<;?;o00b?3<3f;?57>5$363>4373g88j784;n37;6<;?;o00b?1<3f;?;7>5$363>4373g88j764;n372?6=,;>;6<;?;o00b??<3f;?97>5$363>4373g88j7o4;n370?6=,;>;6<;?;o00b?d<3f;?>7>5$363>4373g88j7m4;n375?6=,;>;6<;?;o00b?b<3f;?<7>5$363>4373g88j7k4;n30b?6=,;>;6<;?;o00b?`<3f;8i7>5$363>4373g88j7??;:m27a<72-8?<7?:0:l17c<6921d=>m50;&105<6=91e>>h51398k45e290/>9>51428j75a28907b?i6;00;6)<;0;364>h5;o0:965`14:94?"5<90:9=5a22d953=;6<;?;o00b?7?32e:984?:%074?7282d9?k4>9:9l502=83.98=4>519m66`=9h10c<;<:18'616=9<:0b?=i:0`8?j72:3:1(?:?:073?k44n3;h76a>4d83>!4383;><6`=3g82`>=h9=91<7*=418215=i::l1=h54o01;>5<#:=:1=8>4n31e>4`<3`>?6=4+252906=i::l1<65f4383>!4383>87c<9>5429m66`=:21b8=4?:%074?243g88j7=4;h6g>5<#:=:18>5a22d90>=n>h56:9j0d<72-8?<7:<;o00b?1<3`>26=4+252906=i::l1465f4983>!4383>87c<9>5429m66`=i21b8;4?:%074?243g88j7l4;h66>5<#:=:18>5a22d9g>=n;o0;6)<;0;60?k44n3n07d8>:18'616=>91e>>h50:9j1c<72-8?<78?;o00b?7<3`?n6=4+252925=i::l1>65f5e83>!4383<;7c<9>5619m66`=<21b:44?:%074?073g88j7;4;h4;>5<#:=:1:=5a22d92>=n>>0;6)<;0;43?k44n3=07d89:18'616=>91e>>h58:9j20<72-8?<78?;o00b??<3`!4383<;7c<9>5619m66`=k21b9n4?:%074?073g88j7j4;h33b?6=,;>;6<>j;o00b?6<3`;;h7>5$363>46b3g88j7?4;h33f?6=,;>;6<>j;o00b?4<3`;;m7>5$363>46b3g88j7=4;h33=?6=,;>;6<>j;o00b?2<3`;;47>5$363>46b3g88j7;4;h333?6=,;>;6<>j;o00b?0<3`;;:7>5$363>46b3g88j794;h331?6=,;>;6<>j;o00b?><3`;;87>5$363>46b3g88j774;h337?6=,;>;6<>j;o00b?g<3`;;>7>5$363>46b3g88j7l4;h334?6=,;>;6<>j;o00b?e<3`lm6=4+252955c=nnm0;6)<;0;33a>h5;o0m76gic;29 72728:n7c<oaj3:1(?:?:02f?k44n3;:76gia;29 72728:n7c<oa13:1(?:?:02f?k44n3;876gi8;29 72728:n7c<oa?3:1(?:?:02f?k44n3;>76g>1783>!4383;;i6`=3g822>=n98?1<7*=41824`=i::l1=:54i037>5<#:=:1==k4n31e>4><3`;:?7>5$363>46b3g88j7?6;:k257<72-8?<7??e:l17c<6i21b=>h51c98m477290/>9>511g8j75a28i07d??c;29 72728:n7c<o6880;6)<;0;33a>h5;o0:i65ff783>!4383;;i6`=3g82b>=h9h81<7*=4182e4=i::l1<65`1`294?"5<90:m<5a22d95>=h90l1<7*=4182e4=i::l1>65`18g94?"5<90:m<5a22d97>=n9:>1<7*=418276=i::l1<65f12094?"5<90:?>5a22d95>=n9:;1<7*=418276=i::l1>65f12294?"5<90:?>5a22d97>=h9hk1<7*=4182e<=i::l1<65`1`:94?"5<90:m45a22d95>=h9h=1<7*=4182e<=i::l1>65`1`494?"5<90:m45a22d97>=h91>1<7*=4182<6=i::l1<65`19094?"5<90:4>5a22d95>=h91:1<7*=4182<6=i::l1>65`16d94?"5<90:4>5a22d97>=h9>o1<7*=4182<6=i::l1865`16f94?"5<90:4>5a22d91>=h9>i1<7*=4182<6=i::l1:65`16`94?"5<90:4>5a22d93>=h9>k1<7*=4182<6=i::l1465`16;94?"5<90:4>5a22d9=>=h9>21<7*=4182<6=i::l1m65`16594?"5<90:4>5a22d9f>=h9>?1<7*=4182<6=i::l1o65`16694?"5<90:4>5a22d9`>=h9>91<7*=4182<6=i::l1i65`16094?"5<90:4>5a22d9b>=h9>;1<7*=4182<6=i::l1==54o053>5<#:=:1=5=4n31e>47<3f;=j7>5$363>4>43g88j7?=;:m22`<72-8?<7?73:l17c<6;21d=;j50;&105<60:1e>>h51598k40d290/>9>51918j75a28?07b?7b;29 72728287c<i60h0;6)<;0;3;7>h5;o0:;65`19;94?"5<90:4>5a22d95==;6<6<;o00b?7f32e:4;4?:%074?7?;2d9?k4>b:9l5=3=83.98=4>829m66`=9j10c<6>:18'616=9190b?=i:0f8?j70>3:1(?:?:0:0?k44n3;n76a>6c83>!4383;3?6`=3g82b>=nl0i1<7*=418g=g=i::l1<65fd8c94?"5<90o5o5a22d95>=nl031<7*=418g=g=i::l1>65fd8:94?"5<90o5o5a22d97>=nlm0;6)<;0;f`?k44n3:07djm:18'616=lj1e>>h51:9j`<<72-8?<7jl;o00b?4<3`n36=4+2529`f=i::l1?65fd683>!4383nh7c<9>5db9m66`==21bh84?:%074?bd3g88j784;hf7>5<#:=:1hn5a22d93>=nl:0;6)<;0;f`?k44n3207dj=:18'616=lj1e>>h59:9j`4<72-8?<7jl;o00b?g<3`n;6=4+2529`f=i::l1n65fcd83>!4383nh7c<9>5db9m66`=l21bon4?:%074?bd3g88j7k4;haa>5<#:=:1hn5a22d9b>=nkh0;6)<;0;f`?k44n3;;76gl9;29 7272mi0b?=i:038?le?290/>9>5db9m66`=9;10en950;&1053:9jg3<72-8?<7jl;o00b?7332ch97>5$363>ae5<#:=:1hn5a22d953=h5;o0:;65fe383>!4383nh7c<ob93:1(?:?:ea8j75a28307dk?:18'616=lj1e>>h51`98ma`=83.98=4kc:l17c<6j21bhh4?:%074?bd3g88j7?l;:kge?6=,;>;6im4n31e>4b<3`im6=4+2529`f=i::l1=h54ib694?"5<90oo6`=3g82b>=zj=kn6=4mc;294~"5m<09855Gd`78La>d3S=j6nu>6;34>7d=:o09o7=?:3f963<5=38<6?k5258~ 75e2l:97cm>:008jf4=9;1e=;o50:l2=a<73-;i:7?mb:&2f2<6jk1/=i656:&2`<<13-;om784$0fa>3=#9mi1:6*>de85?!7cm3<0(2.:i?49;%3f7?0<,8o?6;5+1d792>"6m?0=7)?j7;48 4c?2?1/=h756:&2ad<13-;nn784$0g`>3=#9ln1:6*>ed85?!7bn3<0(2.:j?49;%3e7?0<,8l?6;5+1g792>"6n?0=7)?i7;48 4`?2?1/=k756:&2bd<13-;mn784$0d`>3=#9on1:6*>fd85?!7an3<0(?>?:79'657=>2.9"58?0=7)=756:&14d<13-8;n784$32`>3=#:9n1:6*=0d85?!47n3<0(???:79'647=>2.9=?49;%027?0<,;;?6;5+20792>"59?0=7)<>7;48 77?2?1/><756:&15d<13-8:n784$33`>3=#:8n1:6*=1d85?!46n3<0(??4:;%017?4412.99<4=639'604=?m1/>8=57e9'601=l1l0(?;7:37b?!42n3?0(?8?:49'`d7=lh:0(io=:ec3?l43j3:17d68:188m4d32900e?:i:188m=b=831b>9m50;9j61c=831b=o<50;9j57e=83.98=4>2c9m66`=821b=?o50;&105<6:k1e>>h51:9j57?=83.98=4>2c9m66`=:21b=?650;&105<6:k1e>>h53:9j`<2=83.98=4k929m66`=821bh4<50;&105>h51:9j`<7=83.98=4k929m66`=:21bh4>50;&105>h53:9l507=83.98=4>519m66`=821d=9h50;&105<6=91e>>h51:9l51b=83.98=4>519m66`=:21d=9m50;&105<6=91e>>h53:9l51d=83.98=4>519m66`=<21d=9o50;&105<6=91e>>h55:9l51?=83.98=4>519m66`=>21d=9650;&105<6=91e>>h57:9l511=83.98=4>519m66`=021d=9850;&105<6=91e>>h59:9l513=83.98=4>519m66`=i21d=9:50;&105<6=91e>>h5b:9l514=83.98=4>519m66`=k21d=9?50;&105<6=91e>>h5d:9l516=83.98=4>519m66`=m21d=>h50;&105<6=91e>>h5f:9l56c=83.98=4>519m66`=9910c<=k:18'616=9<:0b?=i:038?j74k3:1(?:?:073?k44n3;976a>3c83>!4383;><6`=3g827>=h9:k1<7*=418215=i::l1=954o01:>5<#:=:1=8>4n31e>43<3f;>47>5$363>4373g88j7?9;:m212<72-8?<7?:0:l17c<6?21d=8850;&105<6=91e>>h51998k432290/>9>51428j75a28307b?:4;29 72728?;7c<i6=:0;6)<;0;364>h5;o0:n65`14094?"5<90:9=5a22d95f=n6=4+2529506;6<;?;o00b?7b32e:?54?:%074?7282d9?k4>f:9j01<72-8?<7:<;o00b?6<3`>96=4+252906=i::l1=65f4083>!4383>87c<9>5429m66`=;21b8i4?:%074?243g88j7:4;h6`>5<#:=:18>5a22d91>=n>h57:9j0<<72-8?<7:<;o00b?><3`>36=4+252906=i::l1565f4683>!4383>87c<9>5429m66`=j21b884?:%074?243g88j7m4;h1e>5<#:=:18>5a22d9`>=n>80;6)<;0;43?k44n3:07d;i:18'616=>91e>>h51:9j1`<72-8?<78?;o00b?4<3`?o6=4+252925=i::l1?65f6`83>!4383<;7c<290/>9>5619m66`==21b:54?:%074?073g88j784;h44>5<#:=:1:=5a22d93>=n>?0;6)<;0;43?k44n3207d8::18'616=>91e>>h59:9j21<72-8?<78?;o00b?g<3`<86=4+252925=i::l1n65f6383>!4383<;7c<9>5619m66`=l21b==h50;&105<68l1e>>h50:9j55b=83.98=4>0d9m66`=921b==l50;&105<68l1e>>h52:9j55g=83.98=4>0d9m66`=;21b==750;&105<68l1e>>h54:9j55>=83.98=4>0d9m66`==21b==950;&105<68l1e>>h56:9j550=83.98=4>0d9m66`=?21b==;50;&105<68l1e>>h58:9j552=83.98=4>0d9m66`=121b===50;&105<68l1e>>h5a:9j554=83.98=4>0d9m66`=j21b==>50;&105<68l1e>>h5c:9jbc<72-8?<7??e:l17c5$363>46b3g88j7k4;hdg>5<#:=:1==k4n31e>c=;6<>j;o00b?7032c:=94?:%074?77m2d9?k4>8:9j545=83.98=4>0d9m66`=9010e1183>!4383;;i6`=3g82g>=n99i1<7*=41824`=i::l1=i54i022>5<#:=:1==k4n31e>4c<3`l=6=4+252955c;6;o00b?6<3f;j<7>5$363>4g63g88j7?4;n3:b?6=,;>;6;o00b?4<3f;2i7>5$363>4g63g88j7=4;h300?6=,;>;6<=<;o00b?6<3`;8>7>5$363>4543g88j7?4;h305?6=,;>;6<=<;o00b?4<3`;8<7>5$363>4543g88j7=4;n3be?6=,;>;65$363>4g>3g88j7?4;n3b3?6=,;>;65$363>4g>3g88j7=4;n3;0?6=,;>;6<6<;o00b?6<3f;3>7>5$363>4>43g88j7?4;n3;4?6=,;>;6<6<;o00b?4<3f;5$363>4>43g88j7=4;n34a?6=,;>;6<6<;o00b?2<3f;5$363>4>43g88j7;4;n34g?6=,;>;6<6<;o00b?0<3f;5$363>4>43g88j794;n34e?6=,;>;6<6<;o00b?><3f;<57>5$363>4>43g88j774;n34;6<6<;o00b?g<3f;<;7>5$363>4>43g88j7l4;n341?6=,;>;6<6<;o00b?e<3f;<87>5$363>4>43g88j7j4;n347?6=,;>;6<6<;o00b?c<3f;<>7>5$363>4>43g88j7h4;n345?6=,;>;6<6<;o00b?7732e:;=4?:%074?7?;2d9?k4>1:9l53`=83.98=4>829m66`=9;10c<8j:18'616=9190b?=i:018?j71l3:1(?:?:0:0?k44n3;?76a>6b83>!4383;3?6`=3g821>=h91h1<7*=4182<6=i::l1=;54o0:b>5<#:=:1=5=4n31e>41<3f;357>5$363>4>43g88j7?7;:m2<=<72-8?<7?73:l17c<6121d=5950;&105<60:1e>>h51`98k4>1290/>9>51918j75a28h07b?75;29 72728287c<i6080;6)<;0;3;7>h5;o0:h65`16494?"5<90:4>5a22d95`=;6i7m;o00b?6<3`n2m7>5$363>a?e3g88j7?4;hf:=?6=,;>;6i7m;o00b?4<3`n247>5$363>a?e3g88j7=4;hfg>5<#:=:1hn5a22d94>=nlk0;6)<;0;f`?k44n3;07dj6:18'616=lj1e>>h52:9j`=<72-8?<7jl;o00b?5<3`n<6=4+2529`f=i::l1865fd783>!4383nh7c<9>5db9m66`=>21bh94?:%074?bd3g88j794;hf0>5<#:=:1hn5a22d9<>=nl;0;6)<;0;f`?k44n3307dj>:18'616=lj1e>>h5a:9j`5<72-8?<7jl;o00b?d<3`in6=4+2529`f=i::l1o65fce83>!4383nh7c<9>5db9m66`=m21boo4?:%074?bd3g88j7h4;hab>5<#:=:1hn5a22d955=h5;o0:=65fc983>!4383nh7c<od?3:1(?:?:ea8j75a28907dm9:18'616=lj1e>>h51598mf3=83.98=4kc:l17c<6=21bi94?:%074?bd3g88j7?9;:kf7?6=,;>;6im4n31e>41<3`o96=4+2529`f=i::l1=554id394?"5<90oo6`=3g82=>=nm90;6)<;0;f`?k44n3;j76gkf;29 7272mi0b?=i:0`8?lbb290/>9>5db9m66`=9j10eio50;&105d:9jgc<72-8?<7jl;o00b?7b32ch87>5$363>aet$3g6>72?3Anj96Fk8b9Y3d7e=;909h7<9:37962<5m38?6p*=3c8f47=ik80:>6`l2;31?k71i3:0b<7k:19'5g0=9kh0(2.:ho49;%3gg?0<,8no6;5+1eg92>"6lo0=7)?j1;48 4c52?1/=h=56:&2a1<13-;n9784$0g5>3=#9l=1:6*>e985?!7b13<0(2.:in49;%3f`?0<,8on6;5+1dd92>"6n90=7)?i1;48 4`52?1/=k=56:&2b1<13-;m9784$0d5>3=#9o=1:6*>f985?!7a13<0(2.:jn49;%3e`?0<,8ln6;5+1gd92>"5890=7)==56:&141<13-8;9784$325>3=#:9=1:6*=0985?!4713<0(?>n:79'65d=>2.9"5990=7)<>1;48 7752?1/><=56:&151<13-8:9784$335>3=#:8=1:6*=1985?!4613<0(??n:79'64d=>2.9=n49;%02`?0<,;;n6;5+20d92>"5:90=7)<=1;78 7452<1/>?=522;8 7362;<97)<:2;5g?!42;3=o7)<:7;f;b>"5=1099l5+24d91>"5>90>7)jn1;fb4>"ci;0om=5f25`94?=n0>0;66g>b583>>o5:3:1(?:?:e;0?k44n3;07dj61;29 7272m387c<83:1(?:?:e;0?k44n3907b?:1;29 72728?;7c<3:1(?:?:073?k44n3307b?;5;29 72728?;7c<i6;m0;6)<;0;364>h5;o0:=65`12a94?"5<90:9=5a22d957=;6<;?;o00b?7332e:?44?:%074?7282d9?k4>5:9l50>=83.98=4>519m66`=9?10c<;8:18'616=9<:0b?=i:058?j72>3:1(?:?:073?k44n3;376a>5483>!4383;><6`=3g82=>=h9<>1<7*=418215=i::l1=l54o070>5<#:=:1=8>4n31e>4d<3f;>>7>5$363>4373g88j7?l;:m20`<72-8?<7?:0:l17c<6l21d=9=50;&105<6=91e>>h51d98k45?290/>9>51428j75a28l07d:;:18'616=<:1e>>h50:9j07<72-8?<7:<;o00b?7<3`>:6=4+252906=i::l1>65f4183>!4383>87c<9>5429m66`=<21b8n4?:%074?243g88j7;4;h6a>5<#:=:18>5a22d92>=n>h58:9j0=<72-8?<7:<;o00b??<3`><6=4+252906=i::l1m65f4783>!4383>87c<9>5429m66`=k21b?k4?:%074?243g88j7j4;h42>5<#:=:1:=5a22d94>=n=o0;6)<;0;43?k44n3;07d;j:18'616=>91e>>h52:9j1a<72-8?<78?;o00b?5<3`!4383<;7c<9>5619m66`=>21b::4?:%074?073g88j794;h45>5<#:=:1:=5a22d9<>=n><0;6)<;0;43?k44n3307d8;:18'616=>91e>>h5a:9j26<72-8?<78?;o00b?d<3`<96=4+252925=i::l1o65f5b83>!4383<;7c<07d??8;29 72728:n7c<0d9m66`=m21bji4?:%074?77m2d9?k4i;:keg?6=,;>;6<>j;o00b?7732cmn7>5$363>46b3g88j7?>;:kee?6=,;>;6<>j;o00b?7532cm57>5$363>46b3g88j7?<;:ke;6<>j;o00b?7332cm;7>5$363>46b3g88j7?:;:k253<72-8?<7??e:l17c<6>21b=<;50;&105<68l1e>>h51698m473290/>9>511g8j75a28207d?>3;29 72728:n7c<o69;0;6)<;0;33a>h5;o0:m65f10394?"5<90:;6<>j;o00b?7c32c:<<4?:%074?77m2d9?k4>e:9jb3<72-8?<7??e:l17c<6n21d=l<50;&105<6i81e>>h50:9l5d6=83.98=4>a09m66`=921d=4h50;&105<6i81e>>h52:9l5a09m66`=;21b=>:50;&105<6;:1e>>h50:9j564=83.98=4>329m66`=921b=>?50;&105<6;:1e>>h52:9j566=83.98=4>329m66`=;21d=lo50;&105<6i01e>>h50:9l5d>=83.98=4>a89m66`=921d=l950;&105<6i01e>>h52:9l5d0=83.98=4>a89m66`=;21d=5:50;&105<60:1e>>h50:9l5=4=83.98=4>829m66`=921d=5>50;&105<60:1e>>h52:9l52`=83.98=4>829m66`=;21d=:k50;&105<60:1e>>h54:9l52b=83.98=4>829m66`==21d=:m50;&105<60:1e>>h56:9l52d=83.98=4>829m66`=?21d=:o50;&105<60:1e>>h58:9l52?=83.98=4>829m66`=121d=:650;&105<60:1e>>h5a:9l521=83.98=4>829m66`=j21d=:;50;&105<60:1e>>h5c:9l522=83.98=4>829m66`=l21d=:=50;&105<60:1e>>h5e:9l524=83.98=4>829m66`=n21d=:?50;&105<60:1e>>h51198k417290/>9>51918j75a28;07b?9f;29 72728287c<i6>l0;6)<;0;3;7>h5;o0:?65`17f94?"5<90:4>5a22d951=;6<6<;o00b?7132e:4l4?:%074?7?;2d9?k4>7:9l5=?=83.98=4>829m66`=9110c<67:18'616=9190b?=i:0;8?j7??3:1(?:?:0:0?k44n3;j76a>8783>!4383;3?6`=3g82f>=h91?1<7*=4182<6=i::l1=n54o0:2>5<#:=:1=5=4n31e>4b<3f;<:7>5$363>4>43g88j7?j;:m22g<72-8?<7?73:l17c<6n21bh4m50;&105>h50:9j`>h52:9j`<>=83.98=4k9c9m66`=;21bhi4?:%074?bd3g88j7>4;hfa>5<#:=:1hn5a22d95>=nl00;6)<;0;f`?k44n3807dj7:18'616=lj1e>>h53:9j`2<72-8?<7jl;o00b?2<3`n=6=4+2529`f=i::l1965fd483>!4383nh7c<9>5db9m66`=?21bh>4?:%074?bd3g88j764;hf1>5<#:=:1hn5a22d9=>=nl80;6)<;0;f`?k44n3k07dj?:18'616=lj1e>>h5b:9jg`<72-8?<7jl;o00b?e<3`io6=4+2529`f=i::l1h65fcb83>!4383nh7c<9>5db9m66`=n21bol4?:%074?bd3g88j7??;:k`=?6=,;>;6im4n31e>47<3`i36=4+2529`f=i::l1=?54ib594?"5<90oo6`=3g827>=nk?0;6)<;0;f`?k44n3;?76gl5;29 7272mi0b?=i:078?lc3290/>9>5db9m66`=9?10eh=50;&1057:9ja7<72-8?<7jl;o00b?7?32cn=7>5$363>ae5<#:=:1hn5a22d95d=h5;o0:n65fdd83>!4383nh7c<oci3:1(?:?:ea8j75a28n07dmi:18'616=lj1e>>h51d98mf2=83.98=4kc:l17c<6n21vn9l;:18ag?6=8r.9i84=499K`d3<@m2h7W9n:by22?702;h1>k4=c;13>7b=:?0997<8:3g961=;oa2>44h61m0;7)?m6;3af>"6j>0:no5+1e:92>"6l00=7)?ka;48 4be2?1/=im56:&2`a<13-;oi784$0fe>3=#9l;1:6*>e385?!7b;3<0(2.:i;49;%3f3?0<,8o36;5+1d;92>"6mh0=7)?jb;48 4cd2?1/=hj56:&2a`<13-;nj784$0d3>3=#9o;1:6*>f385?!7a;3<0(2.:j;49;%3e3?0<,8l36;5+1g;92>"6nh0=7)?ib;48 4`d2?1/=kj56:&2b`<13-;mj784$323>3=#:9;1:6*=0385?!47;3<0(?>;:79'653=>2.9<;49;%033?0<,;:36;5+21;92>"58h0=7)=j56:&14`<13-8;j784$333>3=#:8;1:6*=1385?!46;3<0(??;:79'643=>2.9=;49;%023?0<,;;36;5+20;92>"59h0=7)<>b;48 77d2?1/>3=#:;;196*=2386?!45;38856*=508127=#:<81;i5+24193a=#:<=1h5h4$37;>73f3-8>j7;4$343>0=#lh;1hl>4$ec1>ag73`8?n7>5;h:4>5<5<o5a22d95>=n9;31<7*=41826g=i::l1>65f13:94?"5<90:>o5a22d97>=nl0>1<7*=418g=6=i::l1<65fd8094?"5<90o5>5a22d95>=nl0;1<7*=418g=6=i::l1>65fd8294?"5<90o5>5a22d97>=h9<;1<7*=418215=i::l1<65`15d94?"5<90:9=5a22d95>=h9=n1<7*=418215=i::l1>65`15a94?"5<90:9=5a22d97>=h9=h1<7*=418215=i::l1865`15c94?"5<90:9=5a22d91>=h9=31<7*=418215=i::l1:65`15:94?"5<90:9=5a22d93>=h9==1<7*=418215=i::l1465`15494?"5<90:9=5a22d9=>=h9=?1<7*=418215=i::l1m65`15694?"5<90:9=5a22d9f>=h9=81<7*=418215=i::l1o65`15394?"5<90:9=5a22d9`>=h9=:1<7*=418215=i::l1i65`12d94?"5<90:9=5a22d9b>=h9:o1<7*=418215=i::l1==54o01g>5<#:=:1=8>4n31e>47<3f;8o7>5$363>4373g88j7?=;:m27g<72-8?<7?:0:l17c<6;21d=>o50;&105<6=91e>>h51598k45>290/>9>51428j75a28?07b?:8;29 72728?;7c<i6=>0;6)<;0;364>h5;o0:;65`14494?"5<90:9=5a22d95==6=4+2529506;6<;?;o00b?7f32e:9>4?:%074?7282d9?k4>b:9l504=83.98=4>519m66`=9j10c<:j:18'616=9<:0b?=i:0f8?j73;3:1(?:?:073?k44n3;n76a>3983>!4383;><6`=3g82b>=n<=0;6)<;0;60?k44n3:07d:=:18'616=<:1e>>h51:9j04<72-8?<7:<;o00b?4<3`>;6=4+252906=i::l1?65f4e83>!4383>87c<9>5429m66`==21b8o4?:%074?243g88j784;h6b>5<#:=:18>5a22d93>=n<00;6)<;0;60?k44n3207d:7:18'616=<:1e>>h59:9j02<72-8?<7:<;o00b?g<3`>=6=4+252906=i::l1n65f4483>!4383>87c<9>5429m66`=l21b:<4?:%074?073g88j7>4;h7e>5<#:=:1:=5a22d95>=n=l0;6)<;0;43?k44n3807d;k:18'616=>91e>>h53:9j2d<72-8?<78?;o00b?2<3`<26=4+252925=i::l1965f6983>!4383<;7c<9>5619m66`=?21b:;4?:%074?073g88j764;h46>5<#:=:1:=5a22d9=>=n>=0;6)<;0;43?k44n3k07d8<:18'616=>91e>>h5b:9j27<72-8?<78?;o00b?e<3`?h6=4+252925=i::l1h65f11d94?"5<90:=n99n1<7*=41824`=i::l1=65f11`94?"5<90:=n99k1<7*=41824`=i::l1?65f11;94?"5<90:=n9921<7*=41824`=i::l1965f11594?"5<90:=n99<1<7*=41824`=i::l1;65f11794?"5<90:=n99>1<7*=41824`=i::l1565f11194?"5<90:=n9981<7*=41824`=i::l1n65f11294?"5<90:=nno0;6)<;0;33a>h5;o0o76gie;29 72728:n7c<9>511g8j75a2o10ekm50;&105<68l1e>>h51198mcd=83.98=4>0d9m66`=9810eko50;&105<68l1e>>h51398mc?=83.98=4>0d9m66`=9:10ek650;&105<68l1e>>h51598mc1=83.98=4>0d9m66`=9<10e1583>!4383;;i6`=3g82<>=n9891<7*=41824`=i::l1=454i031>5<#:=:1==k4n31e>4g<3`;:=7>5$363>46b3g88j7?m;:k255<72-8?<7??e:l17c<6k21b==m50;&105<68l1e>>h51e98m466290/>9>511g8j75a28o07dh9:18'616=99o0b?=i:0d8?j7f:3:1(?:?:0c2?k44n3:07b?n0;29 72728k:7c<n3:1(?:?:0c2?k44n3807b?6e;29 72728k:7c<07b?8d;29 72728287c<7183>!4383;3?6`=3g825>=h9?l1<7*=4182<6=i::l1=?54o04f>5<#:=:1=5=4n31e>45<3f;=h7>5$363>4>43g88j7?;;:m22f<72-8?<7?73:l17c<6=21d=5l50;&105<60:1e>>h51798k4>f290/>9>51918j75a28=07b?79;29 72728287c<i6010;6)<;0;3;7>h5;o0:565`19594?"5<90:4>5a22d95d=;6<6<;o00b?7d32e:4<4?:%074?7?;2d9?k4>d:9l520=83.98=4>829m66`=9l10c<8m:18'616=9190b?=i:0d8?lb>k3:1(?:?:e;a?k44n3:07dj6a;29 7272m3i7c<13:1(?:?:e;a?k44n3807dj68;29 7272m3i7c<9>5db9m66`=821bho4?:%074?bd3g88j7?4;hf:>5<#:=:1hn5a22d96>=nl10;6)<;0;f`?k44n3907dj8:18'616=lj1e>>h54:9j`3<72-8?<7jl;o00b?3<3`n>6=4+2529`f=i::l1:65fd583>!4383nh7c<9>5db9m66`=021bh?4?:%074?bd3g88j774;hf2>5<#:=:1hn5a22d9e>=nl90;6)<;0;f`?k44n3h07dmj:18'616=lj1e>>h5c:9jga<72-8?<7jl;o00b?b<3`ih6=4+2529`f=i::l1i65fcc83>!4383nh7c<9>5db9m66`=9910en750;&1051:9jg=<72-8?<7jl;o00b?7532ch;7>5$363>ae5<#:=:1hn5a22d951=h5;o0:965fe583>!4383nh7c<ob;3:1(?:?:ea8j75a28=07dk=:18'616=lj1e>>h51998m`7=83.98=4kc:l17c<6121bi=4?:%074?bd3g88j7?n;:kgb?6=,;>;6im4n31e>4d<3`nn6=4+2529`f=i::l1=n54iec94?"5<90oo6`=3g82`>=nko0;6)<;0;f`?k44n3;n76gl4;29 7272mi0b?=i:0d8?xd3j>0;6om50;2x 7c22;>37Ejn5:Jg73=:>09i7<;:|&17g2:l`6?753g;=m7>4n0;g>5=#9k<1=ol4$0`4>4de3-;o4784$0f:>3=#9mk1:6*>dc85?!7ck3<0(2.:hk49;%3f5?0<,8o96;5+1d192>"6m=0=7)?j5;48 4c12?1/=h956:&2a=<13-;n5784$0gb>3=#9lh1:6*>eb85?!7bl3<0(2.:j=49;%3e5?0<,8l96;5+1g192>"6n=0=7)?i5;48 4`12?1/=k956:&2b=<13-;m5784$0db>3=#9oh1:6*>fb85?!7al3<0(2.9<=49;%035?0<,;:96;5+21192>"58=0=7)=956:&14=<13-8;5784$32b>3=#:9h1:6*=0b85?!47l3<0(?>j:79'65`=>2.9==49;%025?0<,;;96;5+20192>"59=0=7)<>5;48 7712?1/><956:&15=<13-8:5784$33b>3=#:8h1:6*=1b85?!46l3<0(??j:79'64`=>2.9>=49;%015?3<,;89685+231966?<,;?:6?8=;%066?1c3-8>?79k;%063?b?n2.9954=5`9'60`==2.9:=4:;%fb5?bf82.om?4ka19j61d=831b4:4?::k2f1<722c98k4?::k;`?6=3`8?o7>5;h07a?6=3`;i>7>5;h31g?6=,;>;6<5$363>44e3g88j7?4;h31=?6=,;>;6<5$363>44e3g88j7=4;hf:0?6=,;>;6i7<;o00b?6<3`n2>7>5$363>a?43g88j7?4;hf:5?6=,;>;6i7<;o00b?4<3`n2<7>5$363>a?43g88j7=4;n365?6=,;>;6<;?;o00b?6<3f;?j7>5$363>4373g88j7?4;n37`?6=,;>;6<;?;o00b?4<3f;?o7>5$363>4373g88j7=4;n37f?6=,;>;6<;?;o00b?2<3f;?m7>5$363>4373g88j7;4;n37=?6=,;>;6<;?;o00b?0<3f;?47>5$363>4373g88j794;n373?6=,;>;6<;?;o00b?><3f;?:7>5$363>4373g88j774;n371?6=,;>;6<;?;o00b?g<3f;?87>5$363>4373g88j7l4;n376?6=,;>;6<;?;o00b?e<3f;?=7>5$363>4373g88j7j4;n374?6=,;>;6<;?;o00b?c<3f;8j7>5$363>4373g88j7h4;n30a?6=,;>;6<;?;o00b?7732e:?i4?:%074?7282d9?k4>1:9l56e=83.98=4>519m66`=9;10c<=m:18'616=9<:0b?=i:018?j74i3:1(?:?:073?k44n3;?76a>3883>!4383;><6`=3g821>=h9<21<7*=418215=i::l1=;54o074>5<#:=:1=8>4n31e>41<3f;>:7>5$363>4373g88j7?7;:m210<72-8?<7?:0:l17c<6121d=8:50;&105<6=91e>>h51`98k434290/>9>51428j75a28h07b?:2;29 72728?;7c<i6h5;o0:h65`15194?"5<90:9=5a22d95`=5<#:=:18>5a22d94>=n<;0;6)<;0;60?k44n3;07d:>:18'616=<:1e>>h52:9j05<72-8?<7:<;o00b?5<3`>o6=4+252906=i::l1865f4b83>!4383>87c<9>5429m66`=>21b8l4?:%074?243g88j794;h6:>5<#:=:18>5a22d9<>=n<10;6)<;0;60?k44n3307d:8:18'616=<:1e>>h5a:9j03<72-8?<7:<;o00b?d<3`>>6=4+252906=i::l1o65f3g83>!4383>87c<9>5619m66`=821b9k4?:%074?073g88j7?4;h7f>5<#:=:1:=5a22d96>=n=m0;6)<;0;43?k44n3907d8n:18'616=>91e>>h54:9j2<<72-8?<78?;o00b?3<3`<36=4+252925=i::l1:65f6683>!4383<;7c<9>5619m66`=021b:84?:%074?073g88j774;h47>5<#:=:1:=5a22d9e>=n>:0;6)<;0;43?k44n3h07d8=:18'616=>91e>>h5c:9j1f<72-8?<78?;o00b?b<3`;;j7>5$363>46b3g88j7>4;h33`?6=,;>;6<>j;o00b?7<3`;;n7>5$363>46b3g88j7<4;h33e?6=,;>;6<>j;o00b?5<3`;;57>5$363>46b3g88j7:4;h33;6<>j;o00b?3<3`;;;7>5$363>46b3g88j784;h332?6=,;>;6<>j;o00b?1<3`;;97>5$363>46b3g88j764;h330?6=,;>;6<>j;o00b??<3`;;?7>5$363>46b3g88j7o4;h336?6=,;>;6<>j;o00b?d<3`;;<7>5$363>46b3g88j7m4;hde>5<#:=:1==k4n31e>a=!4383;;i6`=3g8e?>oak3:1(?:?:02f?k44n3;;76gib;29 72728:n7c<oai3:1(?:?:02f?k44n3;976gi9;29 72728:n7c<oa03:1(?:?:02f?k44n3;?76gi7;29 72728:n7c<o69?0;6)<;0;33a>h5;o0::65f10794?"5<90:;6<>j;o00b?7>32c:=?4?:%074?77m2d9?k4>a:9j547=83.98=4>0d9m66`=9k10e0083>!4383;;i6`=3g82a>=nn?0;6)<;0;33a>h5;o0:j65`1`094?"5<90:m<5a22d94>=h9h:1<7*=4182e4=i::l1=65`18d94?"5<90:m<5a22d96>=h90o1<7*=4182e4=i::l1?65f12694?"5<90:?>5a22d94>=n9:81<7*=418276=i::l1=65f12394?"5<90:?>5a22d96>=n9::1<7*=418276=i::l1?65`1`c94?"5<90:m45a22d94>=h9h21<7*=4182e<=i::l1=65`1`594?"5<90:m45a22d96>=h9h<1<7*=4182e<=i::l1?65`19694?"5<90:4>5a22d94>=h9181<7*=4182<6=i::l1=65`19294?"5<90:4>5a22d96>=h9>l1<7*=4182<6=i::l1?65`16g94?"5<90:4>5a22d90>=h9>n1<7*=4182<6=i::l1965`16a94?"5<90:4>5a22d92>=h9>h1<7*=4182<6=i::l1;65`16c94?"5<90:4>5a22d9<>=h9>31<7*=4182<6=i::l1565`16:94?"5<90:4>5a22d9e>=h9>=1<7*=4182<6=i::l1n65`16794?"5<90:4>5a22d9g>=h9>>1<7*=4182<6=i::l1h65`16194?"5<90:4>5a22d9a>=h9>81<7*=4182<6=i::l1j65`16394?"5<90:4>5a22d955=;6<6<;o00b?7532e::h4?:%074?7?;2d9?k4>3:9l53b=83.98=4>829m66`=9=10c<8l:18'616=9190b?=i:078?j7?j3:1(?:?:0:0?k44n3;=76a>8`83>!4383;3?6`=3g823>=h9131<7*=4182<6=i::l1=554o0:;>5<#:=:1=5=4n31e>4?<3f;3;7>5$363>4>43g88j7?n;:m2<3<72-8?<7?73:l17c<6j21d=5;50;&105<60:1e>>h51b98k4>6290/>9>51918j75a28n07b?86;29 72728287c<i6>k0;6)<;0;3;7>h5;o0:j65fd8a94?"5<90o5o5a22d94>=nl0k1<7*=418g=g=i::l1=65fd8;94?"5<90o5o5a22d96>=nl021<7*=418g=g=i::l1?65fde83>!4383nh7c<9>5db9m66`=921bh44?:%074?bd3g88j7<4;hf;>5<#:=:1hn5a22d97>=nl>0;6)<;0;f`?k44n3>07dj9:18'616=lj1e>>h55:9j`0<72-8?<7jl;o00b?0<3`n?6=4+2529`f=i::l1;65fd283>!4383nh7c<9>5db9m66`=121bh<4?:%074?bd3g88j7o4;hf3>5<#:=:1hn5a22d9f>=nkl0;6)<;0;f`?k44n3i07dmk:18'616=lj1e>>h5d:9jgf<72-8?<7jl;o00b?c<3`ii6=4+2529`f=i::l1j65fc`83>!4383nh7c<od13:1(?:?:ea8j75a28;07dm7:18'616=lj1e>>h51398mf1=83.98=4kc:l17c<6;21bo;4?:%074?bd3g88j7?;;:k`1?6=,;>;6im4n31e>43<3`o?6=4+2529`f=i::l1=;54id194?"5<90oo6`=3g823>=nm;0;6)<;0;f`?k44n3;376gj1;29 7272mi0b?=i:0;8?lc7290/>9>5db9m66`=9h10eih50;&105b:9j``<72-8?<7jl;o00b?7d32com7>5$363>ae5<#:=:1hn5a22d95`=1<7*=418gg>h5;o0:j65rb5`b>5f}6>3;<6?l52g81g?572;n1>;4=5;04>7c=:=0v(?=m:d21?ke62880bn<5139m53g=82d:5i4?;%3a2?7ej2.:n:4>bc9'5a>=>2.:h449;%3ge?0<,8ni6;5+1ea92>"6lm0=7)?ke;48 4ba2?1/=h?56:&2a7<13-;n?784$0g7>3=#9l?1:6*>e785?!7b?3<0(2.:il49;%3ff?0<,8oh6;5+1df92>"6ml0=7)?jf;48 4`72?1/=k?56:&2b7<13-;m?784$0d7>3=#9o?1:6*>f785?!7a?3<0(2.:jl49;%3ef?0<,8lh6;5+1gf92>"6nl0=7)?if;48 7672?1/>=?56:&147<13-8;?784$327>3=#:9?1:6*=0785?!47?3<0(?>7:79'65?=>2.9"58l0=7)3=#:8?1:6*=1785?!46?3<0(??7:79'64?=>2.9=l49;%02f?0<,;;h6;5+20f92>"59l0=7)<>f;48 7472?1/>??55:&167<23-89?7<<9:&114<5>;1/>8<57e9'605=?m1/>895d9d8 73?2;?j7)<:f;78 7072<1/hl?5d`28 ag52mk;7d<;b;29?l>02900e9k50;9j5g4=831b=?m50;&105<6:k1e>>h50:9j57g=83.98=4>2c9m66`=921b=?750;&105<6:k1e>>h52:9j57>=83.98=4>2c9m66`=;21bh4:50;&105>h50:9j`<4=83.98=4k929m66`=921bh4?50;&105>h52:9j`<6=83.98=4k929m66`=;21d=8?50;&105<6=91e>>h50:9l51`=83.98=4>519m66`=921d=9j50;&105<6=91e>>h52:9l51e=83.98=4>519m66`=;21d=9l50;&105<6=91e>>h54:9l51g=83.98=4>519m66`==21d=9750;&105<6=91e>>h56:9l51>=83.98=4>519m66`=?21d=9950;&105<6=91e>>h58:9l510=83.98=4>519m66`=121d=9;50;&105<6=91e>>h5a:9l512=83.98=4>519m66`=j21d=9<50;&105<6=91e>>h5c:9l517=83.98=4>519m66`=l21d=9>50;&105<6=91e>>h5e:9l56`=83.98=4>519m66`=n21d=>k50;&105<6=91e>>h51198k45c290/>9>51428j75a28;07b?i6;k0;6)<;0;364>h5;o0:?65`12c94?"5<90:9=5a22d951=;6<;?;o00b?7132e:9:4?:%074?7282d9?k4>7:9l500=83.98=4>519m66`=9110c<;::18'616=9<:0b?=i:0;8?j72<3:1(?:?:073?k44n3;j76a>5283>!4383;><6`=3g82f>=h9<81<7*=418215=i::l1=n54o06f>5<#:=:1=8>4n31e>4b<3f;??7>5$363>4373g88j7?j;:m27=<72-8?<7?:0:l17c<6n21b894?:%074?243g88j7>4;h61>5<#:=:18>5a22d95>=n<80;6)<;0;60?k44n3807d:?:18'616=<:1e>>h53:9j0a<72-8?<7:<;o00b?2<3`>h6=4+252906=i::l1965f4c83>!4383>87c<9>5429m66`=?21b844?:%074?243g88j764;h6;>5<#:=:18>5a22d9=>=n<>0;6)<;0;60?k44n3k07d:9:18'616=<:1e>>h5b:9j00<72-8?<7:<;o00b?e<3`9m6=4+252906=i::l1h65f6083>!4383<;7c<9>5619m66`=921b9h4?:%074?073g88j7<4;h7g>5<#:=:1:=5a22d97>=n>h0;6)<;0;43?k44n3>07d86:18'616=>91e>>h55:9j2=<72-8?<78?;o00b?0<3`<<6=4+252925=i::l1;65f6783>!4383<;7c<9>5619m66`=121b:94?:%074?073g88j7o4;h40>5<#:=:1:=5a22d9f>=n>;0;6)<;0;43?k44n3i07d;l:18'616=>91e>>h5d:9j55`=83.98=4>0d9m66`=821b==j50;&105<68l1e>>h51:9j55d=83.98=4>0d9m66`=:21b==o50;&105<68l1e>>h53:9j55?=83.98=4>0d9m66`=<21b==650;&105<68l1e>>h55:9j551=83.98=4>0d9m66`=>21b==850;&105<68l1e>>h57:9j553=83.98=4>0d9m66`=021b==:50;&105<68l1e>>h59:9j555=83.98=4>0d9m66`=i21b==<50;&105<68l1e>>h5b:9j556=83.98=4>0d9m66`=k21bjk4?:%074?77m2d9?k4k;:kea?6=,;>;6<>j;o00b?c<3`lo6=4+252955c54ig:94?"5<90:5<#:=:1==k4n31e>40<3`;:97>5$363>46b3g88j7?8;:k251<72-8?<7??e:l17c<6021b=<=50;&105<68l1e>>h51898m475290/>9>511g8j75a28k07d?>1;29 72728:n7c<o6990;6)<;0;33a>h5;o0:o65f11a94?"5<90:5<#:=:1==k4n31e>4`<3f;j>7>5$363>4g63g88j7>4;n3b4?6=,;>;6;o00b?7<3f;2j7>5$363>4g63g88j7<4;n3:a?6=,;>;6;o00b?5<3`;887>5$363>4543g88j7>4;h306?6=,;>;6<=<;o00b?7<3`;8=7>5$363>4543g88j7<4;h304?6=,;>;6<=<;o00b?5<3f;jm7>5$363>4g>3g88j7>4;n3b;65$363>4g>3g88j7<4;n3b2?6=,;>;65$363>4>43g88j7>4;n3;6?6=,;>;6<6<;o00b?7<3f;3<7>5$363>4>43g88j7<4;n34b?6=,;>;6<6<;o00b?5<3f;5$363>4>43g88j7:4;n34`?6=,;>;6<6<;o00b?3<3f;5$363>4>43g88j784;n34f?6=,;>;6<6<;o00b?1<3f;5$363>4>43g88j764;n34=?6=,;>;6<6<;o00b??<3f;<47>5$363>4>43g88j7o4;n343?6=,;>;6<6<;o00b?d<3f;<97>5$363>4>43g88j7m4;n340?6=,;>;6<6<;o00b?b<3f;5$363>4>43g88j7k4;n346?6=,;>;6<6<;o00b?`<3f;<=7>5$363>4>43g88j7??;:m235<72-8?<7?73:l17c<6921d=;h50;&105<60:1e>>h51398k40b290/>9>51918j75a28907b?9d;29 72728287c<i6>j0;6)<;0;3;7>h5;o0:965`19`94?"5<90:4>5a22d953=;6<6<;o00b?7?32e:454?:%074?7?;2d9?k4>9:9l5=1=83.98=4>829m66`=9h10c<69:18'616=9190b?=i:0`8?j7?=3:1(?:?:0:0?k44n3;h76a>8083>!4383;3?6`=3g82`>=h9><1<7*=4182<6=i::l1=h54o04a>5<#:=:1=5=4n31e>4`<3`n2o7>5$363>a?e3g88j7>4;hf:e?6=,;>;6i7m;o00b?7<3`n257>5$363>a?e3g88j7<4;hf:;6i7m;o00b?5<3`no6=4+2529`f=i::l1<65fdc83>!4383nh7c<290/>9>5db9m66`=:21bh54?:%074?bd3g88j7=4;hf4>5<#:=:1hn5a22d90>=nl?0;6)<;0;f`?k44n3?07dj::18'616=lj1e>>h56:9j`1<72-8?<7jl;o00b?1<3`n86=4+2529`f=i::l1465fd383>!4383nh7c<9>5db9m66`=i21bh=4?:%074?bd3g88j7l4;haf>5<#:=:1hn5a22d9g>=nkm0;6)<;0;f`?k44n3n07dml:18'616=lj1e>>h5e:9jgg<72-8?<7jl;o00b?`<3`ij6=4+2529`f=i::l1==54ib;94?"5<90oo6`=3g825>=nk10;6)<;0;f`?k44n3;976gl7;29 7272mi0b?=i:018?le1290/>9>5db9m66`=9=10en;50;&1055:9ja1<72-8?<7jl;o00b?7132cn?7>5$363>ae5<#:=:1hn5a22d95==h5;o0:565fe183>!4383nh7c<ocn3:1(?:?:ea8j75a28h07djj:18'616=lj1e>>h51b98mag=83.98=4kc:l17c<6l21bok4?:%074?bd3g88j7?j;:k`0?6=,;>;6im4n31e>4`<3th?ni4?:ca94?6|,;o>6?:7;Ifb1>Nc0j1Q;l4l{04952<5j38m6?m53181`?412;?1>:4=e;07>x"5;k0nhd:3;97c?9a;28j4?c291/=o851c`8 4d028hi7)?k8;48 4b>2?1/=io56:&2`g<13-;oo784$0fg>3=#9mo1:6*>dg85?!7b93<0(2.:i949;%3f1?0<,8o=6;5+1d592>"6m10=7)?j9;48 4cf2?1/=hl56:&2af<13-;nh784$0gf>3=#9ll1:6*>f185?!7a93<0(2.:j949;%3e1?0<,8l=6;5+1g592>"6n10=7)?i9;48 4`f2?1/=kl56:&2bf<13-;mh784$0df>3=#9ol1:6*=0185?!4793<0(?>=:79'655=>2.9<949;%031?0<,;:=6;5+21592>"5810=7)=l56:&14f<13-8;h784$32f>3=#:9l1:6*=1185?!4693<0(??=:79'645=>2.9=949;%021?0<,;;=6;5+20592>"5910=7)<>9;48 77f2?1/>3=#:8l1:6*=2185?!4593?0(?<=:49'675=::30(?;>:341?!42:3=o7)<:3;5g?!42?3n3j6*=59811d=#:>o6j=0;66g=4g83>>o?l3:17d<;c;29?l43m3:17d?m2;29?l75k3:1(?:?:00a?k44n3:07d?=a;29 727288i7c<<3:1(?:?:e;0?k44n3:07dj62;29 7272m387c<93:1(?:?:e;0?k44n3807dj60;29 7272m387c<07b?;a;29 72728?;7c<3e83>!4383;><6`=3g825>=h9:i1<7*=418215=i::l1=?54o01a>5<#:=:1=8>4n31e>45<3f;8m7>5$363>4373g88j7?;;:m27<<72-8?<7?:0:l17c<6=21d=8650;&105<6=91e>>h51798k430290/>9>51428j75a28=07b?:6;29 72728?;7c<i6=<0;6)<;0;364>h5;o0:565`14694?"5<90:9=5a22d95d=;6<;?;o00b?7d32e:8h4?:%074?7282d9?k4>d:9l515=83.98=4>519m66`=9l10c<=7:18'616=9<:0b?=i:0d8?l23290/>9>5429m66`=821b8?4?:%074?243g88j7?4;h62>5<#:=:18>5a22d96>=n<90;6)<;0;60?k44n3907d:k:18'616=<:1e>>h54:9j0f<72-8?<7:<;o00b?3<3`>i6=4+252906=i::l1:65f4`83>!4383>87c<290/>9>5429m66`=021b854?:%074?243g88j774;h64>5<#:=:18>5a22d9e>=n>h5c:9j7c<72-8?<7:<;o00b?b<3`<:6=4+252925=i::l1<65f5g83>!4383<;7c<9>5619m66`=:21b9i4?:%074?073g88j7=4;h4b>5<#:=:1:=5a22d90>=n>00;6)<;0;43?k44n3?07d87:18'616=>91e>>h56:9j22<72-8?<78?;o00b?1<3`<=6=4+252925=i::l1465f6483>!4383<;7c<9>5619m66`=i21b:>4?:%074?073g88j7l4;h41>5<#:=:1:=5a22d9g>=n=j0;6)<;0;43?k44n3n07d??f;29 72728:n7c<3:1(?:?:02f?k44n3=07d??5;29 72728:n7c<9>511g8j75a2m10ekk50;&105<68l1e>>h5e:9jba<72-8?<7??e:l17c5$363>46b3g88j7??;:kef?6=,;>;6<>j;o00b?7632cmm7>5$363>46b3g88j7?=;:ke=?6=,;>;6<>j;o00b?7432cm47>5$363>46b3g88j7?;;:ke3?6=,;>;6<>j;o00b?7232c:=;4?:%074?77m2d9?k4>6:9j543=83.98=4>0d9m66`=9>10e1383>!4383;;i6`=3g82e>=n98;1<7*=41824`=i::l1=o54i033>5<#:=:1==k4n31e>4e<3`;;o7>5$363>46b3g88j7?k;:k244<72-8?<7??e:l17c<6m21bj;4?:%074?77m2d9?k4>f:9l5d4=83.98=4>a09m66`=821d=l>50;&105<6i81e>>h51:9l5<`=83.98=4>a09m66`=:21d=4k50;&105<6i81e>>h53:9j562=83.98=4>329m66`=821b=><50;&105<6;:1e>>h51:9j567=83.98=4>329m66`=:21b=>>50;&105<6;:1e>>h53:9l5dg=83.98=4>a89m66`=821d=l650;&105<6i01e>>h51:9l5d1=83.98=4>a89m66`=:21d=l850;&105<6i01e>>h53:9l5=2=83.98=4>829m66`=821d=5<50;&105<60:1e>>h51:9l5=6=83.98=4>829m66`=:21d=:h50;&105<60:1e>>h53:9l52c=83.98=4>829m66`=<21d=:j50;&105<60:1e>>h55:9l52e=83.98=4>829m66`=>21d=:l50;&105<60:1e>>h57:9l52g=83.98=4>829m66`=021d=:750;&105<60:1e>>h59:9l52>=83.98=4>829m66`=i21d=:950;&105<60:1e>>h5b:9l523=83.98=4>829m66`=k21d=::50;&105<60:1e>>h5d:9l525=83.98=4>829m66`=m21d=:<50;&105<60:1e>>h5f:9l527=83.98=4>829m66`=9910c<9?:18'616=9190b?=i:038?j71n3:1(?:?:0:0?k44n3;976a>6d83>!4383;3?6`=3g827>=h9?n1<7*=4182<6=i::l1=954o04`>5<#:=:1=5=4n31e>43<3f;3n7>5$363>4>43g88j7?9;:m2>h51998k4>?290/>9>51918j75a28307b?77;29 72728287c<i60?0;6)<;0;3;7>h5;o0:n65`19794?"5<90:4>5a22d95f=;6<6<;o00b?7b32e::o4?:%074?7?;2d9?k4>f:9j`>h51:9j`>h53:9j`a<72-8?<7jl;o00b?6<3`ni6=4+2529`f=i::l1=65fd883>!4383nh7c<9>5db9m66`=;21bh:4?:%074?bd3g88j7:4;hf5>5<#:=:1hn5a22d91>=nl<0;6)<;0;f`?k44n3<07dj;:18'616=lj1e>>h57:9j`6<72-8?<7jl;o00b?><3`n96=4+2529`f=i::l1565fd083>!4383nh7c<9>5db9m66`=j21boh4?:%074?bd3g88j7m4;hag>5<#:=:1hn5a22d9`>=nkj0;6)<;0;f`?k44n3o07dmm:18'616=lj1e>>h5f:9jgd<72-8?<7jl;o00b?7732ch57>5$363>ae5<#:=:1hn5a22d957=h5;o0:?65fc783>!4383nh7c<od=3:1(?:?:ea8j75a28?07dk;:18'616=lj1e>>h51798m`5=83.98=4kc:l17c<6?21bi?4?:%074?bd3g88j7?7;:kf5?6=,;>;6im4n31e>4?<3`o;6=4+2529`f=i::l1=l54ied94?"5<90oo6`=3g82f>=nll0;6)<;0;f`?k44n3;h76gka;29 7272mi0b?=i:0f8?lea290/>9>5db9m66`=9l10en:50;&105f:9~w7>42909wS<73:?`ed5?50;0xZ7>634ij57li;|q1<5<72;qU>5>4=bc;>g`52z\13c=:kh=1nk5rs35f>5<5sW8{t:>n1<77}Y:>i01no;:cd8yv40j3:1>vP=7c9>gd5=jo1v?96:181[40127hm<4mf:p62>=838pR?97;6=4={_041>;d1m0ij6s|26694?4|V;=?70m6c;`e?xu5?:0;6?uQ26189f?e2kl0q~<82;296~X5?;16o4o5bg9~w7162909wS<81:?`=<:>50;0xZ71734i247li;|q12`<72;qU>;k4=b;5>g`52z\12a=:k0?1nk5rs34`>5<5sW8=o63l958ab>{t:?h1<7;3hm7p}=6`83>7}Y:?k01n7=:cd8yv4113:1>vP=689>g<7=jo1v?87:181[41027h5=4mf:p631=838pR?88;;c8h0ij6s|2d094?4|V;o970j?9;`e?xu5m80;6?uQ2d389a6?2kl0q~ik50;0xZ7bb34n;97li;|q1`a<72;qU>ij4=e27>g`52z\1`f=:l991nk5rs3fa>5<5sW8on63k038ab>{t:mk1<77}Y:m201nhi:cd8yv4c?3:1>vP=d69>gcc=jo1v?j9:181[4c>27hji4mf:p6a3=838pR?j:;52cgc9fc=z{;n96=4={_0g6>;dn00ij6s|2e394?4|V;n:70mi8;`e?xu5l90;6?uQ2e289f`02kl0q~nm50;0xZ7ed34im?7li;|q1gg<72;qU>nl4=bd1>g`52z\1gd=:ko;1nk5rs3a:>5<5sW8h563lf18ab>{t:j21<77}Y:j=01nkj:cd8yv4d>3:1>vP=c79>g`b=jo1v?m::181[4d=27hin4mf:p6f2=838pR?m;;;dm>0ij6s|2cd94?4|V;hm70mj6;`e?xu5jl0;6?uQ2cg89fc22kl0q~ol50;0xZ7de34in>7li;|q1fd<72;qU>oo4=bg2>g`52z\1f<=:kl:1nk5rs3`4>5<5sW8i;63ldd8ab>{t:k<1<77}Y:k?01njl:cd8yv4e<3:1>vP=b59>gad=jo1v?l<:181[4e;27hhl4mf:p6g4=838pR?l=;;dl?0ij6s|2`g94?4|V;kn70mk5;`e?xu5ij0;6?uQ2`a89fb42kl0q~l750;0xZ7g>34io<7li;|q1e=<72;qU>l64=bae>g`52z\1e2=:kjo1nk5rs3c5>5<5sW8j:63lce8ab>{t:h?1<77}Y:h>01nmm:cd8yv4f;3:1>vP=a29>gfg=jo1v?o>:181[4f927ho54mf:p6d6=838pR?o?;;dk=0ij6s|28a94?4|V;3h70ml3;`e?xu51k0;6?uQ28`89fe52kl0q~<6a;296~X51h16on?5bg9~w7?>2909wS<69:?`g54650;0xZ7??34iij7li;|q1=3<72;qU>484=b`g>g`52z\1=0=:kki1nk5rs3;7>5<5sW82863lbc8ab>{t:091<77}Y:0801nl6:cd8yv4>93:1>vP=909>gg>=jo1v?7?:181[4>827hn:4mf:p6=`=838pR?6i;6oh4}r0;`?6=:rT94i52cc69fc=z{;2i6=4={_0;f>;dj;0ij6s|29c94?4|V;2j70mm1;`e?xu5000;6?uQ29;89fd72kl0q~<78;296~X50116olh5bg9~w7>02909wS<77:?`e`5850;0xZ7>134ijh7li;|q1<0<72;qU>5;4=bc`>g`52z\1<1=:khh1nk5rs35b>5<5sW8{t:?l1<7?3hm7p}=e583>7}Y:l>01i>m:cd8yv4c13:1>vP=d89>`56=jo1v?mj:181[4dm27hj84mf:p6f5=838pR?m<;<5jnm6oh4}r0b`?6=:rT9mi52ce69fc=z{;k96=4={_0b6>;dk00ij6s|28594?4|V;3<70mme;`e?xu50j0;6?uQ29a89fd42kl0q~<94;296~X5>=16o5m5bg9~wd2=83;?=vPn4:?:e2<0l272m;48d:?:e0<0l272m948d:?b6<<0l27j>548d:?b62<0l27j>;48d:?b7g<0l27j?l48d:?b7<<0l27j?548d:?b0a<0l27j8n48d:?b0g<0l27j8l48d:?b1c<0l27j9h48d:?b1a<0l27j9n48d:?b34<0l27j;=48d:?b2c<0l27j:h48d:?b<6<0l27j4?48d:?b<4<0l27j4=48d:?b=0<0l27j5948d:?b=6<0l27j5?48d:?be2<0l27jm;48d:?be0<0l27jm948d:?bf<<0l27jn548d:?bf2<0l27jn;48d:?:f<<0l272n548d:?:f2<0l272n;48d:?:gg<0l272ol48d:?:g<<0l272o548d:?:`a<0l272hn48d:?:`g<0l272hl48d:?:ac<0l272ih48d:?:aa<0l272in48d:?b44<0l27j<=48d:?:bc<0l272jh48d:?b50<0l27j=948d:?b56<0l27j=?48d:?:65<5<;16599525089<352;>9707:d;076>;>>1098?529619614<50=n6?:=;<;;=?43:272594=439>=<`=:=801438?>6363g8107=:1=:1>9<4=862>725343?>7<;2:?:06<5<;1659:525089<222;>9707;6;076>;><1098?5295;9614<50>j6?:=;<;7f?43:2728n4=439>=1b=:=8014:j:361?8?3n38?>636518107=:1<;1>9<4=870>725343>87<;2:?:10<5<;16588525089<302;>9707:8;076>;>=0098?5294c9614<50?i6?:=;<;6g?43:2729h4=439>=0`=:=80148?:361?8?1938?>636638107=:1?91>9<4=847>725343=97<;2:?:23<5<;165;9525089<0>2;>97079a;076>;>>k098?5297a9614<50=26=:=80149>:361?8?0:38?>636758107=:1>?1>9<4=855>725343<;7<;2:?:3=<5<;165:7525089<1f2;>97078b;076>;>?j098?5296f9614<50=m6?:=;<;;4?43:2724<4=439>==4=:=80146<:361?8??<38?>636848107=:11<1>9<4=8:4>725343347<;2:?:d2;>97077d;076>;>0l098?5299d9614<503;6?:=;<;:5?43:2725?4=439>=<5=:=80147::361?8?>>38?>636968107=:1021>9<4=8;:>7253432m7<;2:?:=g<5<;1654m52508997076e;076>;>:8098?529309614<50886?:=;<;10?43:272>84=439>=70=:=8014<8:361?8?5038?>636288107=:1;k1>9<4=80`>7253439h7<;2:?:6`<5<;165?h525089<572;>9707<1;076>;>;;098?529219614<509?6?:=;<;01?43:272?:4=439>=6>=:=8014=6:361?8?4i38?>6363c8107=:1:i1>9<4=81g>7253438i7<;2:?;e5<5<;164n9525089=b52;>9706kd;076>;?m1098?528g19614<51ln6?:=;<;3=?43:272=94=439>=4`=:=8015om:361?8>e>38?>637bg8107=:0j:1>9<4=9a2>725342h>7<;2:?;g6<5<;164n:525089=e22;>9706l6;076>;?k1098?528b;9614<51ij6?:=;<:`f?43:273on4=439>dn38?>637d18107=:0m;1>9<4=9f0>725342o87<;2:?;`0<5<;164i8525089=b02;>9706k8;076>;?l0098?528ec9614<51ni6?:=;<:gg?43:273hh4=439>b938?>637e38107=:0l91>9<4=9g7>725342n97<;2:?;a3<5<;164h9525089=c>2;>9706ja;076>;?mk098?528da9614<51oo6?:=;<:fa?43:273ik4=439>:361?8>a:38?>637f58107=:0o?1>9<4=9d5>725342m;7<;2:?;b=<5<;164k7525089=`f2;>9706ib;076>;?nj098?528gf9614<51lm6?:=;<;34?43:272<<4=439>=54=:=8014><:361?8?7<38?>636048107=:19<1>9<4=824>725343;47<;2:?:4d<5<;165=l525089<6d2;>9707?d;076>;>8l098?5291d9614<50;;6?:=;<;25?43:272=?4=439>=45=:=8014?::361?8?6>38?>636168107=:1821>9<4=83:>725343:m7<;2:?:5g<5<;1659707>e;076>;?i8098?528`09614<51k86?:=;<:b0?43:273m84=439>f038?>637a88107=:0hk1>9<4=9c`>725342jh7<;2:?;e`<5<;164lh525089=d72;>9706m1;076>;?j;098?528c19614<51h?6?:=;<:a1?43:273n:4=439>=:=8015l6:361?8>ei38?>637bc8107=:0ki1>9<4=9`g>725342ii7<;2:pe7<72:qUm?5289593a=:01h1;i5rs8f94?73sW3o70:n2;3g7>;2<:098:525529611<5<9h6?:8;<70?94=469>166=:==018994=5cb>72034>j57<;7:?7e=<5<>168l95255891g12;><70:n5;073>;3i=098:524`19611;0i<0ij6389d82`6=:?l31>9<4}r;a>5<3sW3i70964;5g?81><3hm7097b;3g7>{tjj0;6>=t^ca8934c2>n01;=::6f8936c2>n01;>j:6f8936a2>n01;??:6f8937a2>n01;n01;<=:6f893632>n01;>::6f893572>n01;=>:6f890`c2>n018hj:6f893512>n01;=8:6f8934b2>n01;:8:6f8932b2>n01;:i:6f893372>n01;;>:6f893352>n01;;<:6f893332>n01;;::6f893312>n01;:7:6f8932>2>n01;:n:6f8932e2>n01;:l:6f8932c2>n01;;8:6f893`b28n8708if;3g7>;0;90;0:l0;09j0;09h0;0810;08?0{tjk0;6>uQbc9><<3=?m1645?57e9~wg?=83;jwSl6;<7e3?7c<27?5:48d:?7=1<0l27?5=48d:?7b29>0dd=9k8019oj:0`1?82e93;i>63;b582f7=:4d534>ih7?m2:pf=<72?qUn5527g693a=:?o>1nk528059fc=:08i1=i=4=6gf>4b43tyi;7>54z\a3>;?9j0:h?5281:95a4<51:i6;?0>0ij63n148ab>;1<>0ij6s|9983>dg|V020157::9289=>621:01568:9289=>e21:014o8:9289:9289d1721:01l8i:9289d0b21:01l6<:9289d>521:01l6>:9289d>721:01l7::9289d?321:01l7<:9289d?521:01lo8:9289dg121:01lo::9289dg321:01ll6:9289dd?21:01ll8:9289dd121:014l6:9289>:9289d6721:014hi:9289<`b21:01l?::9289d7321:01l?<:9289d7521:0158;:6`892`32>h015?8:6`89=7?2>h015?9:6`89=302>h015;;:6`89=372>h015:l:6`89=2?2>h015:;:6`89=272>h015=l:6`89=5?2>h015=;:6`890242>h018:?:6`8905d2>h018=7:6`890532>h018=?:6`8904d2>h018<7:6`890432>h018h01:7;:6`8934c21:01;=::928936c21:01;>j:928936a21:01;??:928937a21:01;::928935721:01;=>:92890`c21:018hj:928935121:01;=8:928934b21:01;:8:6`8932b2>h01;:i:6`893372>h01;;>:6`893352>h01;;<:6`893332>h01;;::6`893312>h01;:7:6`8932>2>h01;:n:6`8932e2>h01;:l:6`8932c2>h01;;8:928925721:01:8:928926121:01:>::92891?02>h0197;:6`891?72>h0196l:6`891>?2>h0196;:6`891>72>h0199l:6`891gf2>h019o6:6`891g?2>h019o8:6`891g12>h019o::6`891g32>h019o<:6`892c>2>h01:k7:6`891e721i019om:95891gb21=019l>:95891d321=019l8:95891df21=019lk:958yv>72909j:uQ819><2c=?k1644k57c9><=6=?k1644657c9><=2=?k1645<57c9><<0=?k1645o57c9><=e=?k1645857c9>6`1=?k16?>;57c9>71d=?k16?;?57c9>721=?k16?5j57c9>7d5=?k16?o757c9>7f`=?k16?h;57c9>6cb=?k16?<=57c9>773=?k16??957c9>77?=?k16??l57c9>77b=?k16??h57c9>767=?k16?>=57c9>761=?k16?>757c9>76d=?k16?>j57c9>76`=?k16?9?57c9>715=?k16?9;57c9>711=?k16?9757c9>71b=?k16?9h57c9>707=?k16?8=57c9>703=?k16?8957c9>70?=?k16?8l57c9>70b=?k16?8h57c9>735=?k16?;;57c9>731=?k16?;757c9>73d=?k16?;j57c9>73`=?k16?:?57c9>725=?k16?:;57c9>72?=?k16?:l57c9>72b=?k16?:h57c9>7=7=?k16?5=57c9>7=3=?k16?5957c9>7=?=?k16?5l57c9>7=`=?k16?4?57c9>7<5=?k16?4;57c9>7<1=?k16?4757c9>77<`=?k16?l?57c9>7d3=?k16?l957c9>7d?=?k16?ll57c9>7db=?k16?lh57c9>7g7=?k16?o=57c9>7g3=?k16?o957c9>7gd=?k16?oj57c9>7g`=?k16?n?57c9>7f5=?k16?n;57c9>7f1=?k16?n757c9>7fd=?k16?nj57c9>7a7=?k16?i=57c9>7a3=?k16?i957c9>7a?=?k16?il57c9>7ab=?k16?ih57c9>7`7=?k16?h=57c9>6`?=?k16>hl57c9>6`b=?k16>hh57c9>6c7=?k16>k=57c9>6c3=?k16>k957c9>6c?=?k16>kl57c9>6c`=?k16?=?57c9>755=?k16?=;57c9>751=?k16?=757c9>75d=?k16?=j57c9>75`=?k16?743=?k16?<957c9>74?=?k16?74b=?k16?777=?k16??=57c9>6`0=0916?>:5819>71g=0916?;>5819>720=0916?5m5819>7d4=0916?o65819>7fc=0916?h:5819>6ce=0916?<<5819>772=0916??85819>77>=0916??o5819>77e=0916??k5819>766=0916?><5819>760=0916?>65819>76g=0916?>m5819>76c=0916?9>5819>714=0916?9:5819>710=0916?965819>71e=0916?9k5819>706=0916?8<5819>702=0916?885819>70>=0916?8o5819>70e=0916?8k5819>734=0916?;:5819>730=0916?;65819>73g=0916?;m5819>73c=0916?:>5819>724=0916?::5819>72>=0916?:o5819>72e=0916?:k5819>7=6=0916?5<5819>7=2=0916?585819>7=>=0916?5o5819>7=c=0916?4>5819>7<4=0916?4:5819>7<0=0916?465819>775819>7d2=0916?l85819>7d>=0916?lo5819>7de=0916?lk5819>7g6=0916?o<5819>7g2=0916?o85819>7gg=0916?om5819>7gc=0916?n>5819>7f4=0916?n:5819>7f0=0916?n65819>7fg=0916?nm5819>7a6=0916?i<5819>7a2=0916?i85819>7a>=0916?io5819>7ae=0916?ik5819>7`6=0916?h<5819>6`>=0916>ho5819>6`e=0916>hk5819>6c6=0916>k<5819>6c2=0916>k85819>6c>=0916>ko5819>6cc=0916?=>5819>754=0916?=:5819>750=0916?=65819>75g=0916?=m5819>75c=0916?<>5819>742=0916?<85819>74>=0916?74e=0916?776=0916??<5819>e5e=?k16;kl57c9>3ce=?k16;h957c9>3a2=?k16;i=57c9>3a4=?k16;i?57c9>3a6=?k16;nh57c9>3fc=?k16;nj57c9>3fe=?k16;nl57c9><4`=?k1643``=?k16;k=57c9>3c4=?k16;:h57c9>3=6=?k16;5?57c9>3=4=?k16;5=57c9>3=2=?k16;5;57c9>3=0=?k16;4h57c9>3d2=?k16;l<57c9>3d5=?k16;5m57c9>3<5=?k16;5h57c9>3<6=?k16;4?57c9>3<4=?k16;;757c9>33g=?k16;;;57c9>1c?=?k169ko57c9>331=?k16;;657c9>25?=?k16:1cd=?k16:8o57c9>20>=?k16:8j57c9>20d=?k16:;>57c9>20c=?k16:;=57c9>237=?k16:;857c9>232=?k16:;757c9>231=?k16:;m57c9>23g=?k16:;h57c9>23b=?k16::<57c9>226=?k16::;57c9>225=?k16:k?57c9>2`c=?k16:ho57c9>2`0=?k16:h<57c9>2ac=?k16:io57c9>2a0=?k16:i<57c9>2fc=?k16:lj57c9>2dg=?k16:l857c9>2d4=?k16:4k57c9>22<4=?k16:5k57c9>2=g=?k16;kk57c9><56=?k164;;57c9>3`3=?k16nh<57c9>gdg=?k16ol757c9>gd>=?k16ol957c9>gd0=?k16ol;57c9>gd2=?k16ol=57c9>gd7=?k16ol>57c9>g<`=?k16o4k57c9>gggg<0=?k16o4;57c9>g<2=?k16o4=57c9>g<4=?k16o4?57c9>g<6=?k16o5h57c9>g=c=?k16o5j57c9>`5g=?k16h=757c9>`5>=?k16h=957c9>`50=?k16h=;57c9>`52=?k16h==57c9>`54=?k16h=?57c9>gc`=?k16okk57c9>gcb=?k16okm57c9>gcd=?k16oko57c9>gc?=?k16ok657c9>gc1=?k16ok857c9>gc2=?k16ok=57c9>gc4=?k16ok?57c9>gc6=?k16ohh57c9>g`c=?k16ohj57c9>g`e=?k16ohl57c9>g`?=?k16oh657c9>g`1=?k16oh857c9>g`3=?k16oh:57c9>g`5=?k16oh<57c9>g`7=?k16oh>57c9>gac=?k16oij57c9>gae=?k16oil57c9>gag=?k16oi757c9>ga>=?k16oi957c9>ga0=?k16oi;57c9>ga5=?k16oi<57c9>ga7=?k16oi>57c9>gf`=?k16onk57c9>gfb=?k16onm57c9>gfd=?k16ono57c9>gf>=?k16on957c9>gf0=?k16on;57c9>gf2=?k16on=57c9>gf4=?k16on?57c9>gf6=?k16ooh57c9>ggb=?k16oom57c9>ggd=?k16ooo57c9>gg?=?k16oo657c9>gg1=?k16oo857c9>gg3=?k16oo:57c9>gg4=?k16oo?57c9>gg6=?k16olh57c9>gdc=?k16olj57c9>gde=?k16oll57c9>gd4=?k16o4957c9>`5d=?k16h=>57c9>gc3=?k16oho57c9>ga`=?k16oi:57c9>gf?=?k16ook57c9>gg5=?k16o5m57c9>=76=?k1659957c9>=04=?k1658j57c9>=3>=?k165:=57c9>=2c=?k1655757c9>=<2=?k1654h57c9>=7d=?k165>857c9>=6`=?k1659>57c9>=17=?k1659<57c9>=15=?k1659:57c9>=13=?k1659857c9>=1>=?k1659757c9>=1g=?k1659l57c9>=1e=?k1659j57c9>=1c=?k1659h57c9>=06=?k1658?57c9>=05=?k1658:57c9>=03=?k1658857c9>=01=?k1658657c9>=0?=?k1658o57c9>=0d=?k1658m57c9>=0c=?k1658h57c9>=36=?k165;?57c9>=34=?k165;=57c9>=32=?k165;;57c9>=30=?k165;957c9>=3?=?k165;o57c9>=3d=?k165;m57c9>=3b=?k165;k57c9>=3`=?k165:>57c9>=27=?k165:<57c9>=22=?k165:;57c9>=20=?k165:957c9>=2>=?k165:757c9>=2g=?k165:l57c9>=2e=?k165:j57c9>=2`=?k1655>57c9>==7=?k1655<57c9>==5=?k1655:57c9>==3=?k1655857c9>==1=?k1655657c9>==g=?k1655l57c9>==e=?k1655j57c9>==c=?k1655h57c9>=<6=?k1654?57c9>=<4=?k1654=57c9>=<3=?k1654857c9>=<1=?k1654657c9>====77=?k165?<57c9>=75=?k165?:57c9>=73=?k165?857c9>=71=?k165?657c9>=7?=?k165?o57c9>=7e=?k165?j57c9>=7c=?k165?h57c9>=66=?k165>?57c9>=64=?k165>=57c9>=62=?k165>;57c9>=61=?k165>657c9>=6?=?k165>o57c9>=6d=?k165>m57c9>=6b=?k165>k57c9><`>=?k164k=57c9>=42=?k16557c9>=?k164n757c9><`6=?k164h?57c9><`4=?k164h=57c9><`2=?k164h;57c9><`0=?k164h957c9><`?=?k164ho57c9><`d=?k164hm57c9><`b=?k164hk57c9><``=?k164k>57c9>=?k164k757c9>57c9>=57=?k165=<57c9>=55=?k165=:57c9>=53=?k165=857c9>=51=?k165=657c9>=5g=?k165=l57c9>=5e=?k165=j57c9>=5c=?k165=h57c9>=46=?k165=44=?k165<=57c9>=43=?k165<857c9>=41=?k165<657c9>=4?=?k165=4d=?k165=4b=?k1653c6=?k16;k?57c9><4d=?k16;lj57c9>3d7=?k16;l>57c9>3=c=?k16;5j57c9>0f6=0o168ll58e9>0dc=0m168o?58e9>0g2=0m168o958e9>0gg=0m168oj58e9~wg0=838pRo84=4d4>75f3ty9?>4?:0178bf>3;hj63=e780<>;4;=08463<4`80<>;4>908463<7780<>;40j08463;4j108463;4m=08463=fb80<>;49;08463<2580<>;4:?08463<2980<>;4:h08463<2b80<>;4:l08463<3180<>;4;;08463<3780<>;4;108463<3`80<>;4;j08463<3d80<>;4<908463<4380<>;4<=08463<4780<>;4<108463<4b80<>;4;4=;08463<5580<>;4=?08463<5980<>;4=h08463<5b80<>;4=l08463<6380<>;4>=08463<6780<>;4>108463<6`80<>;4>j08463<6d80<>;4?908463<7380<>;4?=08463<7980<>;4?h08463<7b80<>;4?l08463<8180<>;40;08463<8580<>;40?08463<8980<>;40h08463<8d80<>;41908463<9380<>;41=08463<9780<>;41108463<9`80<>;41j08463<9d80<>;4i908463;4i?08463;4ih08463;4il08463;4j;08463;4j?08463;4jj08463;4k908463;4k=08463;4k108463;4kj08463;4l;08463;4l?08463;4lh08463;4ll08463;4m;08463=e980<>;5mh08463=eb80<>;5ml08463=f180<>;5n;08463=f580<>;5n?08463=f980<>;5nh08463=fd80<>;48908463<0380<>;48=08463<0780<>;48108463<0`80<>;48j08463<0d80<>;49908463<1580<>;49?08463<1980<>;49h08463<1b80<>;49l08463<2180<>;4:;084636a28`7>;>i;0h?636a08`7>;>i90h?63n238`7>;f::0h?63n248`7>;f:=0h?63n358`7>;f;<0h?63n368`7>;f;?0h?63n478`7>;f<>0h?63n488`7>;f<10h?63n598`7>;f=00h?63n5c8`7>;f=h0h?63n6`8`7>;f>k0h?63n6e8`7>;f>j0h?63n7b8`7>;f?m0h?63n7g8`7>;f?l0h?63n8d8`7>;f0o0h?63n908`7>;f190h?63na18`7>;fi80h?63na28`7>;fi;0h?63nb38`7>;fj:0h?63nb48`7>;fj=0h?636b38`7>;>j:0h?636b48`7>;>j=0h?636c58`7>;>k<0h?636c68`7>;>k?0h?636d78`7>;>l>0h?636d88`7>;>l10h?636e98`7>;>m00h?636ec8`7>;>mh0h?636f`8`7>;>nk0h?636fe8`7>;>nj0h?63n0d8`7>;f8o0h?63n108`7>;f990h?63n0e8`7>;?=:0h?6374g8`7>;?;?<:0h?6373g8`7>;?;k0h?637368`7>;?;:0h?63:3g8`7>;2;k0h?63:368`7>;2;:0h?63:2g8`7>;2:k0h?63:268`7>;2::0h?63:1g8`7>;0il0:h?527`g95a2<52?7m<;<6;b?e434>3n7m<;<6;3?e434>3?7m<;<64b?e434>h<7?=5:?7g5<6:=168n>5131891e7288970:l0;315>;3k90:?8524b29`<1<5=i;6i7:;<6`4?43m27?o=4=519>0dd=l0>019om:e;1?82fj3n2=63;ac8g=5=:9k4=5ca>72a34>ji7j64:?7e`;3il098k524c39`<2<5=h:6i7=;<6a5?b>927?n<4k919>0g7=:=o019l>:36e?82e<3n2863;b58g=7=:1h4?4=5`7>a?734>i87<;e:?7f1<5;3j>0o5=524c5961c<5=h<6?:i;<6ae?b><27?nl4k939>0gg=l0;019ln:e;3?82ei38?i63;b`810c=:a?534>ih7j61:?7fam7p};c`83>7}:>o4=5a7>4b43ty?h:4?:3y>0a1=::k019m;:0f1?xu3k=0;6?u24b6966g<5=i867}:>o4=5aa>4b53ty?oo4?:3y>0fd=::k019m<:0f1?xu3k:0;6?u24b1966g<5<<867}:>o4=5ae>4b53ty?on4?:3y>0f`=::k019m::0f0?xu3mj0;6?u24da966g<5=no67}:>o4=5a6>4b53ty?o84?:3y>0f3=::k0188=:0f4?xu3lh0;6?u24ec966g<5=n;67}:>o4=5a;>4b43ty?ih4?:3y>0`c=::k019k<:0f0?xu3nj0;6?u24ga966g<5=o867}:>o4=440>4b33ty?ik4?:3y>0``=::k019k;:0f0?xu3nm0;6?u24gf966g<5=o?67}:>o4=5d7>4b43ty><;4?:3y>150=::k019h;:0f1?xu3n=0;6?u24g6966g<5=n967}:>o4=5f2>4b43ty?j=4?:3y>0c6=::k019j>:0f1?xu3kl0;6?u24e3966g<5=i267}:>o4=5g6>4b53ty?i=4?:3y>0`3=::k019m6:0f1?xu3k>0;6?u24b;966g<5<<867}:=9:1>>o4=5g5>4b53ty?i<4?:3y>0`0=::k019j::0f0?xu2880;6?u2513966g<5=l367}:>o4=5f6>4b53ty?h>4?:3y>0a3=::k0188=:0f5?xu3n:0;6?u24g1966g<5=o<67}:>o4=5f5>4b43ty><>4?:3y>155=::k019h6:0f0?xu2810;6?u251:966g<5=l267}:>o4=440>4b23ty><94?:3y>152=::k019hn:0f0?xu2800;6?u251;966g<5=lj67}:=9k1>>o4=426>4b43ty>15d=::k018>::0f1?xu28<0;6?u2517966g<5=o367p};db83>7}:>o4=442>4b33ty?io4?:3y>0`d=::k0188>:0f6?xu3>00;64u24`0966g<5=3<6?:8;<6:0?43?27?5=4=469>0=e=:==01967:364?82?<38?;63;818102=:<>i1>994}r65e?6=:r7?:;4>d39>031=::k0q~:9b;296~;3>?0:h>5247:966g52z?7ag<6l<168n>51`18yv3?i3:1>v3;ec82`6=:d79>0f6=9?<0q~;78;296~;3lj0:h8524b2953352z?7`f<6l?168n>51768yv3?=3:1>v3;db82`1=:d69>0f6=9?80q~;73;296~;28=0:h8524b295377>52z?641<6l?168n>514;8yv3?93:1>v3:0582`1=:<94>d69>0gb=9:o0q~;8f;296~;2800:h8524cf956b52z?64<<6l?168oj512a8yv30l3:1>v3:0882`1=:l4}r74g?6=:r7><44>d69>0gb=9:k0q~;8a;296~;28h0:h8524cf956?52z?64d<6l?168oj514:8yv3003:1>v3:0`82`1=:d69>0gb=90o0q~;86;296~;28k0:h8524cf950052z?64g<6l?168oj51478yv30<3:1>v3:0c82`1=:d69>0gb=9<90q~;82;296~;3n:0:h8524cf950452z?7b6<6l?168oj515g8yv31n3:1>v3;f282`1=:4>d69>0gb=9:20q~;9d;296~;28;0:h8524cc95<`52z?647<6l?168oo512g8yv31j3:1>v3:0382`1=:j4}r75e?6=:r7>d69>0gg=9:i0q~;99;296~;28:0:h8524cc956d52z?646<6l?168oo512c8yv31?3:1>v3:0282`1=:74}r752?6=:r7><>4>d69>0gg=9<20q~;ja;296~;2810:h8524cc950152z?64=<6l?168oo518g8yv3b03:1>v3:0982`1=:<54>d69>0gg=952z?7b7<6l?168oo51418yv3b<3:1>v3;f382`1=:d69>0gg=9=o0q~;j2;296~;2890:h8524cc951552z?645<6l?168oo512:8yv3cn3:1>v3:0182`1=:<=4>d69>0g1=9:o0q~;kd;296~;2880:h8524c5956b52z?644<6l?168o9512a8yv3cj3:1>v3:0082`1=:l4}r7ge?6=:r7><<4>d69>0g1=9:k0q~;k9;296~;28>0:h8524c5956?52z?642<6l?168o9514:8yv3c?3:1>v3:0682`1=:<:4>d69>0g1=90o0q~;k4;296~;3lk0:h8524c5950052z?7`g<6l?168o951478yv3c:3:1>v3;dc82`1=:d69>0g1=9<90q~;k0;296~;3n90:h8524c5950452z?7b5<6l?168o9515g8yv3dm3:1>v3;f182`1=:d69>0g1=9:20q~;lc;296~;3n80:h8524c695<`52z?7b4<6l?168o:512g8yv3d13:1>v3;f082`1=:1=>j4}r7`d69>0g2=9:i0q~;l7;296~;3no0:h8524c6956d52z?7bc<6l?168o:512c8yv3d=3:1>v3;fg82`1=:1=>74}r7`0?6=:r7?jk4>d69>0g2=9<20q~;l3;296~;3mo0:h8524c695017>52z?7ac<6l?168o:518g8yv3d93:1>v3;eg82`1=:1=884}r7`4?6=:r7?ik4>d69>0g2=952z?7ba<6l?168o:51418yv3ek3:1>v3;fe82`1=:1=8<4}r7af?6=:r7?ji4>d69>0g2=9=o0q~;ma;296~;3nl0:h8524c6951552z?7b`<6l?168o:512:8yv3e03:1>v3;fd82`1=:d69>0g7=9:o0q~;m6;296~;28?0:h8524c3956b52z?643<6l?168o?512a8yv3e;3:1>v3:0782`1=:l4}r7a6?6=:r7><;4>d69>0g7=9:k0q~;m1;296~;3lh0:h8524c3956?52z?7`d<6l?168o?514:8yv3fn3:1>v3;d`82`1=:d69>0g7=90o0q~;nd;296~;3mm0:h8524c3950052z?7aa<6l?168o?51478yv3fj3:1>v3;ee82`1=:d69>0g7=9<90q~;n8;296~;3ml0:h8524c3950452z?7a`<6l?168o?515g8yv3f>3:1>v3;ed82`1=:d69>0g7=9:20q~;n4;296~;3nj0:h8524`g95<`52z?7bf<6l?168lk512g8yv3f:3:1>v3;fb82`1=:j4}r7b5?6=:r7?jn4>d69>0dc=9:i0q~;n0;296~;3l00:h8524`g956d52z?7`<<6l?168lk512c8yv3>l3:1>v3;d882`1=:74}r7:g?6=:r7?h44>d69>0dc=9<20q~;6b;296~;3mh0:h8524`g950152z?7ad<6l?168lk518g8yv3>13:1>v3;e`82`1=:d69>0dc=952z?7af<6l?168lk51418yv3>=3:1>v3;eb82`1=:d69>0dc=9=o0q~;62;296~;3nk0:h8524`g951552z?7bg<6l?168lk512:8yv3>83:1>v3;fc82`1=:d69>0dd=9:o0q~;7e;296~;3kh0:h8524``956b52z?7gd<6l?168ll512a8yv3?k3:1>v3;c`82`1=:l4}r7;2?6=:r7?ol4>d69>0dd=9:k0q~;8b;296~;3l>0:h8524``956?52z?7`2<6l?168ll514:8yv3bj3:1>v3;d682`1=:d69>0dd=90o0q~;k5;296~;3l10:h8524``950052z?7`=<6l?168ll51478yv3en3:1>v3;d982`1=:d69>0dd=9<90q~;n9;296~;3m00:h8524``950452z?7a<<6l?168ll515g8yv3>;3:1>v3;e882`1=:d69>0dd=9:20q~;i5;29e~;2<:09895255695a4<5=i;68<4=5ca>12<5=kn69:4=5`2>12<5=h?69:4=5`4>12<5=hj69:4=5`g>125az?605<5<=1699?51e0891e72<:019om:50891gb2=8019l>:50891d32=8019l8:50891df2=8019lk:508yv3a;3:1mv3:3b8101=:=:n1=i<4=5a3>1`<5=ki69?4=5cf>17<5=h:69?4=5`7>17<5=h<69?4=5`b>17<5=ho69?4}r7e6?6=ir7>?54=459>16?=9m8019m?:4`891ge2=:019oj:52891d62=:019l;:52891d02=:019ln:52891dc2=:0q~;i1;29e~;2;=09895252795a4<5=i;68o4=5ca>1b<5=kn69j4=5`2>1b<5=h?69j4=5`4>1b<5=hj69j4=5`g>1b5az?675<5<=169>?51e0891e72<3019om:5a891gb2=i019l>:5a891d32=i019l8:5a891df2=i019lk:5a8yv3bn3:1mv3:2b8101=:=;n1=i<4=5a3>0><5=ki69l4=5cf>1d<5=h:69l4=5`7>1d<5=h<69l4=5`b>1d<5=ho69l4}r7fa?6=ir7>>54=459>17?=9m8019m?:45891ge2=k019oj:5c891d62=k019l;:5c891d02=k019ln:5c891dc2=k0q~;jd;29e~;2:=09895253795a4<5=i;6884=5ca>1?<5=kn6974=5`2>1?<5=h?6974=5`4>1?<5=hj6974=5`g>1?5az?665<5<=169??51e0891e72:5:891d32=2019l8:5:891df2=2019lk:5:8yv32?3:18v3;6982`6=:<0=1>9:4=5;;>4b534>jm7li;|q613<72=q68;651e0891?32;>?70:65;3g6>;3i00ij6s|54794?2|5=<<6d39>0d>=jo1v8;;:187821?3;o:63;8b8101=:<1n1=i<4=5c4>g`?7>54z?722<6l<168565256891>>28n970:n6;`e?xu2=;0;69u247595a2<5=2?6?:;;<6;1?7c:27?m84mf:p107=83>p1988:0f0?82?838?863;8082`7=:1nk5rs473>5<3s4>=;7?k2:?73f<5<=168:j51e0891g42kl0q~;i6;29g~;3>?09?l524`095a4<5<>86:j4=463>2b<5<9h6:j4=41;>2b<5<9?6:j4=413>2b<5<8h6:j4=40;>2b<5<8?6:j4=403>2bj7>53z?7ed<5<=169;>51e78900328n97p}:5d83>1}:9:4=443>4b534?=>7?k3:?626<6l:1v8;k:18782f038?863:6182`6=:=?81=i<4=440>4b53ty>9n4?:2y>0d1=:=>0188?:0f7?831<3;o?6s|54`94?g|5=i86?;;;<6`1?42<27?o54=559>0a4=:<>019m6:377?82c=38>863;d78111=:8:4=5c5>72334?=<7?k6:p10g=83;9w0:l4;060>;3kk0999524bd9602<5=no6?;;;<6g4?42<27?i>4=559>0`2=:<>019h;:377?82c938>863;e48111=:8:4=5d;>73334>n;7<:4:?7b<<5==168ko5246890622;??70:n5;070>;2>80:h>5rs47:>5<5;r7?ol4>d29>0a1=9m9019j7:0f0?82b13;o?63;d882`6=:4b434>mn7?k3:?7`d<6l:168hj51e1891cb28n870:ic;3g7>;3mo0:h>524gf95a5<5=ln6d29>0c6=9m9019h>:0f0?82an3;o?63;f382`6=:=9:1=i=4=422>4b434?;;7?k3:?7b6<6l:169=<51e18906428n870;?8;3g7>;28=0:h>5251;95a5<5<:j6d29>0`d=9m>019o;:367?xu2=10;6?=t=5ab>4b534>o;7?k2:?7`=<6l;168h751e0891b>28n970:ja;3g6>;3mj0:h?524g`95a4<5=nj6d39>0ce=9m8019ki:0f1?82al3;o>63;fd82`7=:=9<1=i<4=5fa>4b534>m<7?k2:?7b4<6l;168kh51e0891`528n970;?0;3g6>;2880:h?5251595a4<5=l86<>4>d39>15>=9m8018>;:0f1?83713;o>63:0`82`7=:=9h1=i<4=5f`>4b534>nn7?k2:?7e6<5<=1v56i:1818>??38?96378c8ab>{t01n1<7g`<512<6?:;;|q;<`<72;q645m5bg9><=d=:=>0q~662;297~;?0h09895289597==:01h1?85rs9;0>5<4s423o7<;4:?;<3?k38?;63789817d=z{13:6=4={<:;2?43?273444=3`9~w=?02909w0666;`e?8>>=38?86s|89194?4|51296oh4=9:2>7233ty3484?:3y><=2=jo1645<52568yv>>13:1>v37998ab>;?1?09895rs9;b>5<4s423<7li;<:;0?43<273;k4>d39~w=?e2909w0665;071>;?080ij6s|88a94?4|51336?:;;<::0?7c:2wx44j50;6x9=>12;>?70664;3g7>;?000:h?5289:95a452z?b4f<5<=16nh<5bg9~wgc42909w066e;`e?8db:38?86s|bd694?3|513n6?:;;<::1?533423=7=;;<:;3?513423n7=9;|qaa0<72;q64:k5bg9><<2=::k0q~m>f;296~;4::0989524b2957652z?064<5<=168n>510d8yve6l3:1>v3<1g8101=:0gb=9:;0q~m>b;296~;49k0989524cf9bf=z{j;j6=4={<12=?43<27?ni4ib:pg4?=838p1>?8:367?82el3lj7p}l1983>7}:;8?1>9:4=5`g>c?52z?054<5<=168oj5f99~wf722909w0=?f;070>;3jm0m;6s|c0694?4|5::o6?:;;<6a`?76>2wxo<=50;0x966e2;>?70:md;321>{tk881<772334>ih7?<0:pg47=838p1>>8:367?82el3;:86s|c0294?4|5::>6?:;;<6a`?76;2wxo=h50;0x96642;>?70:md;326>{tk9o1<772334>ih7?>1:pg5b=838p1?hi:367?82el3;:<6s|c1`94?4|5;li6?:;;<6a`?77k2wxo=o50;0x97`>2;>?70:md;335>{tk931<772334>ih7h9;|q`4=<72;q6>k;5256891df289:7p}l0683>7}::o91>9:4=5`b>ce52z?1b4<5<=168oo5fc9~wf622909w0;3jh0mm6s|c1694?4|5;oo6?:;;<6ae?`>3tyh<>4?:3y>6`d=:=>019ln:g:8yve7:3:1>v3=e88101=:5<5s49n?7<;4:?7fd<69?1vn9j:18185b938?863;b`8250=z{j=o6=4={<1gb?43<27?nl4>319~wf1d2909w0=kd;070>;3jh0:=95rsb5a>5<5s49on7<;4:?7fd<69:1vn9n:18185c138?863;b`8257=z{j=26=4={<1g3?43<27?nl4>109~wf1?2909w0=k5;070>;3jh0:==5rsb54>5<5s49o?7<;4:?7fd<68j1vn99:18185c938?863;b`8244=z{j=?6=4={<1``?43<27?nl4i6:pg25=838p1>mm:367?82e?3;8=6s|c6094?4|5:i26?:;;<6a3?`d3tyh;<4?:3y>7f1=:=>019l8:g`8yve083:1>v35<5s49h?7<;4:?7f2?70:m7;d;?xud>m0;6?u23cd9612<5=h<6k94}ra5g?6=:r78ni4=459>0g1=98<0q~m9b;296~;4jk0989524c5954352z?0f2<5<=168o951228yve103:1>v34=459>0g1=9890q~m96;296~;4j80989524c5954452z?0ec<5<=168o951038yve1<3:1>v34}ra57?6=:r78mo4=459>0g1=99i0q~m92;296~;4i00989524c5955752z?0e2<5<=168o95f79~wf072909w0=n5;070>;3j=0:?<5rsb7f>5<5s49j=7<;4:?7f1?70:m4;da?xud=j0;6?u238f9612<5=h?6ko4}ra6f?6=:r785o4=459>0g2=n01vn;n:18185>138?863;b58e<>{tk<31<772334>i87h8;|q`1=<72;q6?4;5256891d328;=7p}l5683>7}:;091>9:4=5`7>4723tyh9;4?:3y>7<7=:=>019l;:013?xud=<0;6?u239d9612<5=h?67}:;131>9:4=5`7>4753tyh9<4?:3y>7=1=:=>019l;:032?xud=90;6?u23979612<5=h?67}:;1;1>9:4=5`7>4663tyh8i4?:3y>72`=:=>019l;:g48yve3k3:1>v3<7e8101=:?4}ra7f?6=:r78;o4=459>0g7=nj1vn:n:181850138?863;b08ef>{tk=21<772334>i=7hn;|q`02<72;q6?:=5256891d62o30q~m;6;296~;4?80989524c39b==z{j>>6=4={<15b?43<27?n<4i7:pg12=838p1>8k:367?82e93;::6s|c5194?4|5:2;>?70:m1;304>{tk=;1<772334>i=7?>4:pg16=838p1>8::367?82e93;:?6s|c2d94?4|5:<86?:;;<6a5?76:2wxo>j50;0x963a2;>?70:m1;325>{tk:i1<772334>i=7?>0:pg6d=838p1>;m:367?82e93;;o6s|c2c94?4|5:?26?:;;<6a5?7792wxo>750;0x96302;>?70:m1;d5?xud;10;6?u23479612<5=kn6<=>;|q`72<72;q6?8=5256891gb2oi0q~m<6;296~;4=80989524`g9bg=z{j9>6=4={<17b?43<27?mh4ia:pg62=838p1>:k:367?82fm3l27p}l3383>7}:;=31>9:4=5cf>c>52z?002<5<=168lk5f69~wf572909w0=;5;070>;3il0:=;5rsb0e>5<5s49??7<;4:?7e`<69<1vn159~wf4d2909w0=;3il0:=>5rsb0a>5<5s498n7<;4:?7e`<69;1vn119~wf402909w0=<3;070>;3il0:5<5s498=7<;4:?7e`<6881vn<::181855n38?863;ad8e2>{tk;>1<772334>jn7?<1:pg75=838p1>7}:;;31>9:4=5ca>cd52z?062<5<=168ll5f`9~wf472909w0==5;070>;3ik0m56s|c0594?4|5:;86?:;;<6bf?`?3tyh6cb=:=>019om:g58yve?83:1>v30dd=98?0q~m9a;296~;4j00989524``9566j7>52z?0e6<5<=168ll51068yve2<3:1>v3<8e8101=:0dd=9880q~m80989524``954752z?00g<5<=168ll51028yve503:1>v3<348101=:0dd=99;0q~m7b;2950}:0>o1>9:4=645>4b534<9h7=9;<401?5134<;h7=m;<43a?5e34<;j7=m;<424?5e34<:j7=m;<414?5e34<9=7=m;<416?5e34<;87=m;<431?5e34<8<7=m;<405?5e34?mh7=m;<7ea?5e34<8:7=m;<403?5e34<9i7=m;|qaa2<728>p156?:367?805l393708<5;1;?807l39h708?e;1`?807n39h708>0;1`?806n39h708=0;1`?805939h708=2;1`?807<39h708?5;1`?804839h708<1;1`?83al39h70;ie;1`?804>39h708<7;1`?805m39h7p}l8`83>7}:=o=1=i<4=947>7233tyh4:4?:2y><2c=:==01:6;:367?81?=3hm7p}l8783>0}:0021>994=9:7>720342357?k3:?4<6<5<=16;5:5bg9~wf>2290=w0670;073>;?0;098:528849611<512364mf:pg=2=839p157j:377?81?938?8638838ab>{tk191<7=t=6:3>72334=3=7li;<`f6?43:2wxo5<50;:x9d6d2;??709j7;`e?8>6=3;o96387g8101=:?1:1nk527c295a5<51<>6?:8;<5f1?43?2wxo5750;1x92>12;>?70;ia;073>;0>1098:5rsb:;>5<53=0=jo169k75255892002;><708?9;073>;19k098:5264c9611<5??36?:8;<46`?43?27=9o4=469>236=:==01;;j:364?801;38?;639608102=:>?<1>994=747>72034<=57<;7:?522<5<>16:;m52558930f2;><7089f;073>;1>m098:526609611<5?=;6?:8;<441?43?27=;>4=469>2c7=:==01;kj:364?80bi38?;639e78102=:>l81>994=7ff>7203416:i<5255893eb2;><7p}me783>42|5>n?6?:8;<5g7?43?273a7=:==01:j?:364?81dn38?;638cd8102=:?jn1>994=6a`>72034=hn7<;7:?;5c<5<>164938?8638938ab>;0nl098:528129611<5>o=6225=:=>019m?:7d891ge2?;019oj:73891d62?;019l;:73891d02?;019ln:73891dc2?;0q~lie;29=~;1?90989524b292a=:5<>s4<=h7<;4:?7g5<1k27?mo4:e:?7e`<2m27?n<4:e:?7f1<2m27?n:4:e:?7fd<2m27?ni4:e:pfce=833p1;8n:367?82d83=370:nb;7g?82fm3?o70:m1;7g?82e<3?o70:m7;7g?82ei3?o70:md;7g?xuenk0;64u26759612<5=i;6:94=5ca>3g<5=kn6;o4=5`2>3g<5=h?6;o4=5`4>3g<5=hj6;o4=5`g>3g59z?521<5<=168n>5779>0dd=>0168lk5689>0g7=>0168o:5689>0g1=>0168oo5689>0gb=>01voh6:18:801938?863;c1841>;3ik0=463;ad85<>;3j80=463;b585<>;3j>0=463;b`85<>;3jm0=46s|bg:94??|5??n6?:;;<6`4?1334>jn788;<6ba?0034>i=788;<6a0?0034>i;788;<6ae?0034>ih788;|qab2<720q6:8l5256891e72>9019om:74891gb2?<019l>:74891d32?<019l8:74891df2?<019lk:748yvda>3:15v39598101=:1:8524c5920=:6z?;5c330=9m>01;k:2c8936b2:k01;>i:2c893772:k01;?i:2c893472:k01;<>:2c893452:k01;>;:2c893622:k01;=?:2c893562:k018hk:2c890`b2:k01;=9:2c893502:k01;931>9:4=645>4b434<9h7=;;<401?5334<;h7=6;<43a?5>34<;j7=6;<424?5>34<:j7=6;<414?5>34<9=7=6;<416?5>34<;87=6;<431?5>34<8<7=6;<405?5>34?mh7=6;<7ea?5>34<8:7=6;<403?5>34<9i7=6;|qab7<72?q6;i:5bg9>222=9m801;h>:367?80a:3;o>6382d80a>;09h08i6s|bg394?0|5>n86oh4=752>4b53434g=;j1voh?:18581c:3hm7089e;3g6>;1mh0989526d`95a4<5>8n6>l4=63b>6d56z?4`4d39>2`0=:=>01;k8:0f1?815m39j709>a;1b?xueml0;6;u27e29fc=:>?21=i<4=7g1>72334;1lo0:h?5273f97`=:?831?h5rscg`>5<1s4=hi7li;<456?7c:27=hl4=459>2ad=9m801:2:i0q~ljb;292~;0km0ij6395g82`7=:>m<1>9:4=7f4>4b534=9h7=m;<52=?5e3tyiil4?:7y>3fe=jo16:8m51e0893b52;>?708k3;3g6>;0:m08m6381880e>{tjl31<78t=6aa>g`<5??26d39>37b=;016;<75389~wf67290:jv3:f`8101=:a?c34>jn7j6c:?7eg;3il0o5l524`g9`k27?n<4k9`9>0g7=l03019l>:e;;?82e<3n2o63;b58g=d=:1h474=5`7>a??34>i;7j6c:?7f2;3jh0o5l524cc9`k27?ni4k9`9>0gb=l03019lk:e;;?xuen<0;6>u277:9612<51<=63dc=9m9018hm:367?8>793;o?6s|c9394?4|5em3hm7p}k2883>6}:;;:1nk52c`;9612<51ho6oh4}rf1c;`e?8ef?38?8637bc8ab>{tl;<1<7=t=23b>g`<5jk=6?:;;<:ae?da3tyo>84?:2y>74>=jo16ol;525689=d>2kl0q~j=4;297~;49?0ij63la58101=:0k21nk5rse00>5<4s49:87li;??:cd89fg62;>?706m5;`e?xuc:90;6>u231g9fc=:kh:1>9:4=9`7>g`53z?04f;?j;0ij6s|d0f94?5|5::36oh4=b;g>723342i=7li;|qg5f<72:q6?=85bg9>g015l?:cd8yvb6j3:1?v3<058ab>;d1k0989528`d9fc=z{m;j6=4<{<136?da34i2m7<;4:?;e`fl3hm7p}k1983>6}::oo1nk52c8:9612<51kh6oh4}rf22?6=;r79jl4mf:?`=3<5<=164lo5bg9~wa722908w0=38?8637a88ab>{tl8>1<7=t=3d5>g`<5j3?6?:;;<:b4?:2y>6c2=jo16o4=525689=g02kl0q~j>2;297~;5n;0ij63l938101=:0h<1nk5rse32>5<4s48m<7li;?706n4;`e?xuc8o0;6>u22da9fc=:k1l1>9:4=9c0>g`53z?1adk:18084b03hm70m7d;070>;?i80ij6s|d9c94?5|5:o96oh4=e2b>723343:i7li;|qg<<<72:q6?h>5bg9>`5?=:=>014?k:cd8yvb?03:1?v3;c8109895290a9fc=z{m2<6=4<{<1gg?da34n;;7<;4:?:5g9:367?8?6i3hm7p}k8483>6}:;m21nk52d179612<50;26oh4}rf;0?6=;r78h;4mf:?g41<5<=165<65bg9~wa>42908w0=k4;`e?8b7;38?8636168ab>{tl181<7=t=2f1>g`<5m:96?:;;<;22?da3tyo4<4?:2y>7a6=jo16h=?525689<722kl0q~j8f;297~;4kj0ij63lfg8101=:1891nk5rse5f>5<4s49hm7li;m7:cd89f`c2;>?707>1;`e?xuc?j0;6>u23b49fc=:koi1>9:4=833>g`53z?0g1=5`=jo1vi9n:18085d:3hm70mia;070>;>8l0ij6s|d6;94?5|5:i;6oh4=bd:>723343;h7li;|qg3=<72:q6?ok5bg9>gc>=:=>014>l:cd8yvb0?3:1?v3;dn>09895291`9fc=z{m==6=4<{<1ae?da34im:7<;4:?:4d6}:;k>1nk52cg19612<50:<6oh4}rf46?6=;r78n?4mf:?`b7<5<=165=85bg9~wa162908w0=m0;`e?8ea938?8636048ab>{tl>:1<7=t=2cf>g`<5jl;6?:;;<;30?da3tyo:k4?:2y>7de=jo16ohh525689<642kl0q~j9e;297~;4ih0ij63led8101=:1981nk5rse4g>5<4s49j47li;o9:cd89fcd2;>?707?0;`e?xuc>k0;6>u23`69fc=:klh1>9:4=9de>g`53z?0e5m3hm70mj8;070>;?nj0ij6s|d7594?5|5:3h6oh4=bg4>723342mn7li;|qg23<72:q6?4o5bg9>g`0=:=>015hn:cd8yvb1=3:1?v3<998ab>;dm<0989528g;9fc=z{ma?3hm7p}k6383>6}:;081nk52cd09612<51l=6oh4}rf55?6=;r785=4mf:?`a4<5<=164k;5bg9~wa072908w0=7e;`e?8eb838?8637f58ab>{tlg`<5jnn6?:;;<:e6?da3tyo9i4?:2y>7=>=jo16oij525689=`62kl0q~j:c;297~;40?0ij63ldb8101=:0o:1nk5rse7a>5<4s49387li;6=:cd89fbf2;>?706je;`e?xuc=00;6>u23929fc=:km31>9:4=9gg>g`47>53z?03`<`e=jo1vi;8:180850k3hm70mk7;070>;?mk0ij6s|d4494?5|5:=j6oh4=bf5>723342nm7li;|qg10<72:q6?:65bg9>ga3=:=>015k6:cd8yvb2;3:1?v3<758ab>;dl:0989528d59fc=z{m?96=4<{<146?da34io>7<;4:?;a3:367?8>b=3hm7p}k5183>6}:;?o1nk52ce29612<51o?6oh4}rf7b?6=;r78:n4mf:?`gc<5<=164h=5bg9~wa2b2908w0=9a;`e?8edm38?8637e38ab>{tl=n1<7=t=24;>g`<5jio6?:;;<:f5?da3tyo8n4?:2y>730=jo16onm525689=c72kl0q~j;b;297~;4>=0ij63lcc8101=:0ml1nk5rse6b>5<4s49=>7li;=839p1>;j:cd89fe?2;>?706kc;`e?xuc<>0;6>u234a9fc=:kj=1>9:4=9fa>g`53z?01d;?l00ij6s|d5694?5|5:?=6oh4=ba7>723342o47li;|qg06<72:q6?8:5bg9>gf5=:=>015j8:cd8yvb3:3:1?v3<538ab>;dk;0989528e49fc=z{m>:6=4<{<164?da34ih=7<;4:?;`050;1x962b2kl01nm?:367?8>c<3hm7p}k3g83>6}:;=i1nk52ccd9612<51n86oh4}rf0`?6=;r78854mf:?`fa<5<=164i?5bg9~wa5d2908w0=;6;`e?8eek38?8637d18ab>{tl:h1<7=t=267>g`<5jhi6?:;;<:`b?da3tyo?l4?:2y>714=jo16ooo525689=eb2kl0q~j<9;297~;4<90ij63lb88101=:0jn1nk5rse1;>5<4s498i7li;=l:cd89fd02;>?706lb;`e?xuc;?0;6>u232c9fc=:kk<1>9:4=9ab>g`53z?07=3hm70mm4;070>;?k10ij6s|d2094?5|5:996oh4=b`1>723342h:7li;|qg74<72:q6?>>5bg9>gg7=:=>015m::cd8yvb483:1?v3<2d8ab>;dj90989528b69fc=z{m8m6=4<{<11g?da34ijj7<;4:?;g6d:3hm7p}k2e83>6}:;;21nk52c`f9612<51i:6oh4}rf1g?6=;r78>;4mf:?`ef<5<=164n>5bg9~wa4e2908w0==4;`e?8efj38?8637bg8ab>{tl;81<7=t=231>g`<5jk96?:;;<:a2?da3tyo=:4?:2y>6ce=jo16o49525689=ge2kl0q~j7b;297~;4m=0ij63k0c8101=:18l1nk5rse:3>5<4s49hi7li;l7:cd89f`22;>?707?9;`e?xuc>h0;6>u23`09fc=:klk1>9:4=9df>g`j7>53z?03hm70mk4;070>;?m10ij6s|d5;94?5|5:<;6oh4=ba:>723342oh7li;|qg7`<72:q6?9o5bg9>ggc=:=>015j=:cd8yvb4;3:1?v3<358ab>;dj:0989528b59fc=z{m:h6=4<{<0f2?da34i3o7<;4:?;e5<=:367?xu38:0;6?u23339fc=:;;:1>9:4}r636?6=:r78=k4mf:?05`<5<=1v9>>:181856l3hm70=>c;070>{t<9:1<7g`<5:;j6?:;;|q0bc<72;q6?<75bg9>74>=:=>0q~=ie;296~;49>0ij63<178101=z{:lo6=4={<121?da349:87<;4:p7cd=838p1>?>:cd896772;>?7p}7}:;9l1nk5231g961252z?04a7233ty8j;4?:3y>751=jo16?=852568yv5a=3:1>v3<048ab>;48=09895rs2d7>5<5s49;?7li;<136?43<2wx?k=50;0x96662kl01>>?:367?xu4n;0;6?u22gd9fc=::oo1>9:4}r1e4?6=:r79jo4mf:?1bd<5<=1v>ki:18184a13hm70{t;lo1<7g`<5;l=6?:;;|q0aa<72;q6>k;5bg9>6c2=:=>0q~=jc;296~;5n:0ij63=f38101=z{:oi6=4={<0e5?da348m<7<;4:p7`g=838p1?ki:cd897cb2;>?7p}7}::ln1nk522da961252z?1ag7233ty?:>4?:3y>7`7=jo16?h>52568yv21:3:1>v3;4ll09895rs542>5<5s49oh7li;<1gg?43<2wx8;>50;0x96be2kl01>jn:367?xu3=o0;6?u23e;9fc=:;m21>9:4}r66a?6=:r78h:4mf:?0`3<5<=1v9;k:18185c=3hm70=k4;070>{t<g`<5:n96?:;;|q71g<72;q6?i?5bg9>7a6=:=>0q~::9;296~;4km0ij63m6:cd896e?2;>?7p};5783>7}:;j=1nk523b49612>97>52z?0g07233ty?9?4?:3y>7g`=jo16?ok52568yv2293:1>v3;4jj09895rs573>5<5s49in7li;<1ae?43<2wx89k50;0x96d02kl01>l9:367?xu31>9:4}r67g?6=:r78n>4mf:?0f7<5<=1v9:m:18185e93hm70=m0;070>{t<=k1<7g`<5:kn6?:;;|q70<<72;q6?lj5bg9>7de=:=>0q~:;8;296~;4ik0ij63<6=4={<1b=?da349j47<;4:p010=838p1>o8:cd896g12;>?7p};4483>7}:;h?1nk523`69612??7>52z?0e4m38?86s|45394?4|5:3o6oh4=2;`>7233ty?8=4?:3y>7v3<988ab>;41109895rs51f>5<5s492;7li;<1:2?43<2wx8>j50;0x96?22kl01>7;:367?xu3;j0;6?u23819fc=:;081>9:4}r60f?6=:r785<4mf:?0=5<5<=1v9=n:18185?n3hm70=7e;070>{t<:21<7g`<5:2j6?:;;|q772<72;q6?575bg9>7=>=:=>0q~:<6;296~;40>0ij63<878101=z{=9>6=4={<1;1?da349387<;4:p062=838p1>6<:cd896>52;>?7p};3283>7}:;1;1nk5239296128>7>52z?03c7233ty?>k4?:3y>72?=jo16?:652568yv25l3:1>v3<748ab>;4?=09895rs50`>5<5s499?:367?xu3:h0;6?u237d9fc=:;?o1>9:4}r61=?6=:r78:i4mf:?02f<5<=1v9<7:181851j3hm70=9a;070>{t<;=1<7g`<5:<36?:;;|q763<72;q6?;95bg9>730=:=>0q~:=5;296~;4><0ij63<658101=z{=8?6=4={<157?da349=>7<;4:p074=838p1>;i:cd8963b2;>?7p};2083>7}:;9<7>52z?01g7233ty?=i4?:3y>703=jo16?8:52568yv26k3:1>v3<528ab>;4=;09895rs53a>5<5s49>=7li;<164?43<2wx8:j:367?xu3900;6?u235f9fc=:;=i1>9:4}r623?6=:r78844mf:?00=<5<=1v9?9:181853?3hm70=;6;070>{t<8?1<7g`<5:>?6?:;;|q751<72;q6?9=5bg9>714=:=>0q~:>3;296~;4<80ij63<418101=z{=;96=4={<10b?da3498i7<;4:p047=838p1>=k:cd8965d2;>?7p};1183>7}:;:h1nk5232c9612;j7>52z?07<38?86s|41a94?4|5:986oh4=211>7233ty?767=jo16?>>52568yv27i3:1>v3<2g8ab>;4:l09895rs52:>5<5s499h7li;<11g?43<2wx8=650;0x964e2kl01>0;6?u233;9fc=:;;21>9:4}r632?6=:r78>:4mf:?063<5<=1v9>::181855=3hm70==4;070>{t;oi1<7g`<5:;96?:;;|q0b4<72;q6>kj5bg9>6ce=:=>0q~:95;296~;4m<0ij63l6:cd896d?2;>?7p};4583>7}:;h91nk523`09612857>52z?038?86s|43194?4|5:<:6oh4=243>7233ty?=54?:3y>71d=jo16?9o52568yv27l3:1>v3<348ab>;4;=09895rs2g5>5<5s48n;7li;<0f2?43<2wxn;<50;0x99:4}r`:0?6=:r72m84{tjhk1<76g<50<36?:;;|qaf0<72;q65l853b9>=25=:=>0q~ll0;296~;>i>0896367d8101=z{kii6=4={<;b3?5?343357<;4:pfa0=838p1l<9:2c89?7p}me083>7}:i;<1?n5298d961252z?b62<4i272>o4=459~wg1?2909w0o=7;1`?8?4>38?86s|b9394?4|5h836>o4=81e>7233tyi4?4?:3y>e7>=;j1659>52568yvd?;3:1>v3n28801>;><809895rsc:7>5<5s4k957=7;<;76?43<2wxn5;50;0x9d5?2:k014:<:367?xue0?0;6?u2a2:97f=:1=>1>9:4}r`;3?6=:r7j?44{tj1k1<76g<50>36?:;;|qao53b9>=1?=:=>0q~l7c;296~;f;k0896364`8101=z{k2o6=4={?7p}m8g83>7}:i=k1?n5295f961252z?b0g<4i2728h4=459~wg?62909w0o;b;1`?8?3n38?86s|b8094?4|5h>h6>o4=873>7233tyi5>4?:3y>e1e=;j1658?52568yvd>=3:1>v3n4e801>;>=:09895rsc;5>5<5s4k?h7=7;<;60?43<2wxn4950;0x9d3d2:k014;::367?xue110;6?u2a4a97f=:1<<1>9:4}r`:=?6=:r7j9i4{tj0h1<76g<50?26?:;;|qa=f<72;q6m8k53b9>=0g=:=>0q~l6d;296~;f=o0896365c8101=z{k3n6=4={o7<;4:pfd6=838p1l8j:2c89<3b2;>?7p}ma083>7}:i?o1?n5294d96127>52z?b2c<4i272:=4=459~wgg42909w0o9f;1`?8?1938?86s|b`694?4|5h=;6>o4=841>7233tyim84?:3y>e26=;j165;=52568yvdf>3:1>v3n70801>;>>=09895rscc4>5<5s4k<=7=7;<;51?43<2wxnl650;0x9d>72:k01489:367?xuei00;6?u2a9297f=:1?=1>9:4}r`bf?6=:r7j4<4{tjhn1<76g<50=3e=:=>0q~lnf;296~;f0:0896366e8101=z{kh;6=4={?7p}mb383>7}:i081?n52962961252z?b=6<4i272;<4=459~wgd32909w0o63;1`?8?0:38?86s|bc494?4|5h3?6>o4=857>7233tyin:4?:3y>e<2=;j165:;52568yvde03:1>v3n94801>;>??09895rsc`:>5<5s4k297=7;<;43?43<2wxnoo50;0x9dg32:k01497:367?xuejk0;6?u2a`697f=:1>31>9:4}r`ag?6=:r7jm84{tjko1<76g<50=h6?:;;|qafc<72;q6ml853b9>=2b=:=>0q~ll1;296~;fi>0896367g8101=z{ki96=4={62;>?7p}mc583>7}:ik<1?n52990961252z?bf2<4i2724>4=459~wge12909w0om7;1`?8??<38?86s|bb594?4|5hh36>o4=8:6>7233tyio54?:3y>eg>=;j1655852568yvdd13:1>v3nb8801>;>0>09895rscab>5<5s4ki57=7;<;;9:4}r``a?6=:r72n:4{tjm:1<76g<502n6?:;;|qa`4<72;q65o653b9>==`=:=>0q~lk2;296~;>j0089636918101=z{kn86=4={<;a=?5?3432=7<;4:pfa2=838p14m7:2c89?7p}md483>7}:1j21?n52981961252z?:g<<4i272584=459~wgb?2909w07l9;1`?8?>>38?86s|be;94?4|50ij6>o4=8;4>7233tyihl4?:3y>=fg=;j1654652568yvdcj3:1>v36cc801>;>1009895rscf`>5<5s43hn7=7;<;:e?43<2wxnij50;0x99:4}r`gb?6=:r72ho4{tj?91<76g<508:6?:;;|qa21<72;q65im53b9>=74=:=>0q~l95;296~;>lm089636228101=z{k<=6=4={<;g`?5?343987<;4:pf31=838p14kl:2c89<422;>?7p}m6983>7}:1li1?n52934961252z?:aa<4i272>:4=459~wg0f2909w07jd;1`?8?5038?86s|b7`94?4|50on6>o4=80:>7233tyi:n4?:3y>=`c=;j165?o52568yvd1m3:1>v36eg801>;>:j09895rsc4e>5<5s43nj7=7;<;1`?43<2wxn:>50;0x9<`b2:k0149:4}r`46?6=:r72jk4{tj>>1<76g<50996?:;;|qa30<72;q6m=>53b9>=65=:=>0q~l86;296~;f88089636358101=z{k=<6=4={?7p}m7`83>7}:i881?n5292:961252z?b56<4i272?44=459~wg1d2909w0o>3;1`?8?4i38?86s|b6f94?4|5h;?6>o4=81a>7233tyi;h4?:3y>e42=;j165>m52568yvd0n3:1>v3n14801>;>;m09895rsc:3>5<5s4k:97=7;<;0a?43<2wxmh<50;1x9?7p}m0883>6}:1h>1?o529559fc=:0j=1>9:4}r`20?6=;r72m84<9:?:17{tj;k1<7=t=8c5>6?<50<36oh4=9g;>7233tyi?84?:2y>=d0=;k165:=5bg9>0q~l;0;297~;>i>0886367d8ab>;?nl09895rsc6a>5<4s43j;7=9;<;;=?da343;57<;4:pf00=839p1l<9:2;8980;6>u2a3497g=:10l1nk5290d961253z?b62<41272>o4mf:?;eg<5<=1vlh7:1808g5?39i707<6;`e?8>e>38?86s|b1394?5|5h836>74=81e>g`<51hm6?:;;|qa47<72:q6m?653c9>=16=jo164n>52568yvd7;3:1?v3n28800>;><80ij637c08101=z{k:?6=4<{7li;<:`6?43<2wxn=;50;1x9d5?2:3014:<:cd89=e42;>?7p}m0783>6}:i:21?o529569fc=:0j>1>9:4}r`33?6=;r7j?44<9:?:003hm706l6;070>{tj9k1<7=t=`1b>6?<50>36oh4=9a;>7233tyie6g=;k165975bg9>0q~l?c;297~;f;k0886364`8ab>;?kh09895rsc2g>5<4s4k8n7=9;<;7f?da342hn7<;4:pf5c=839p1l:n:2;89<2d2kl015ml:367?xue8o0;6>u2a5c97g=:1=n1nk528bf961253z?b0g<412728h4mf:?;g`<5<=1vo?>:1808g3j39i707;f;`e?8>dn38?86s|b0094?5|5h>h6>74=873>g`<51n;6?:;;|qa56<72:q6m9m53c9>=07=jo164i?52568yvd6=3:1?v3n4e800>;>=:0ij637d28101=z{k;=6=4<{87li;<:g0?43<2wxn<950;1x9d3d2:3014;::cd89=b22;>?7p}m1983>6}:i9:4}r`2=?6=;r7j9i4<9:?:12{tj8h1<7=t=`7f>6?<50?26oh4=9f:>7233tyi=n4?:2y>e0c=;k1658o5bg9>0q~l>d;297~;f=o0886365c8ab>;?lk09895rsc3f>5<4s4k>j7=9;<;6g?da342oo7<;4:pf76=839p1l8j:2;89<3b2kl015jj:367?xue:80;6>u2a7g97g=:17>53z?b2c<41272:=4mf:?;a5<5<=1vo<<:1808g1n39i70791;`e?8>b938?86s|b3694?5|5h=;6>74=841>g`<51o96?:;;|qa60<72:q6m:>53c9>=35=jo164h=52568yvd5>3:1?v3n70800>;>>=0ij637e58101=z{k8<6=4<{72:301489:cd89=c12;>?7p}m2883>6}:i1:1?o529759fc=:0l=1>9:4}r`1f?6=;r7j4<4<9:?:2<{tj;n1<7=t=`:1>6?<507233tyi>h4?:2y>e=4=;k165;m5bg9><`e=:=>0q~l=f;297~;f0:0886366e8ab>;?mm09895rsc13>5<4s4k3?7=9;<;5a?da342ni7<;4:pf67=839p1l7=:2;89<0a2kl015ki:367?xue;;0;6>u2a8097g=:1>:1nk528g2961253z?b=6<41272;<4mf:?;b4<5<=1vo=;:1808g>;39i70782;`e?8>a:38?86s|b2494?5|5h3?6>74=857>g`<51l?6?:;;|qa72<72:q6m4:53c9>=23=jo164k;52568yvd403:1?v3n94800>;>??0ij637f78101=z{k926=4<{o50;1x9dg32:301497:cd89=`?2;>?7p}m3c83>6}:ih>1?o5296;9fc=:0o31>9:4}r`0g?6=;r7jm84<9:?:3d{tj:o1<7=t=`c5>6?<50=h6oh4=9d`>7233tyi?k4?:2y>ed0=;k165:j5bg9>0q~l;1;297~;fi>0886367g8ab>;?no09895rsc61>5<4s4kj;7=9;<;;4?da343;<7<;4:pf15=839p1ll9:2;89<>62kl014>>:367?xue<=0;6>u2ac497g=:1181nk52910961253z?bf2<412724>4mf:?:46<5<=1vo:9:1808ge?39i70774;`e?8?7<38?86s|b5594?5|5hh36>74=8:6>g`<50:>6?:;;|qa0=<72:q6mo653c9>==0=jo165=852568yvd313:1?v3nb8800>;>0>0ij636068101=z{k>j6=4<{?7p}m4e83>6}:1k<1?o5299`9fc=:19h1>9:4}r`7a?6=;r72n:4<9:?:{tj<:1<7=t=8`;>6?<502n6oh4=82f>7233tyi9<4?:2y>=g>=;k1655h5bg9>=5`=:=>0q~l:2;297~;>j0088636918ab>;>9909895rsc70>5<4s43i57=9;<;:5?da343:=7<;4:pf02=839p14m7:2;89u29b:97g=:1091nk529019612;7>53z?:g<<41272584mf:?:50<5<=1vo;7:1808?d139i70766;`e?8?6>38?86s|b4;94?5|50ij6>74=8;4>g`<50;<6?:;;|qa1d<72:q65no53c9>=<>=jo165<652568yvd2j3:1?v36cc800>;>100ij636188101=z{k?h6=4<{<;`f?513432m7li;<;2e?43<2wxn8j50;1x9?7p}m5d83>6}:1mk1?o5298a9fc=:18i1>9:4}r`6b?6=;r72ho4<9:?:=am3hm707>e;070>{til91<7=t=8f`>6?<508:6oh4=9c2>7233tyji94?:2y>=ae=;k165?<5bg9>0q~oj5;297~;>lm088636228ab>;?i:09895rs`g5>5<4s43oh7=9;<;10?da342j87<;4:pe`1=839p14kl:2;89<422kl015o::367?xufm10;6>u29da97g=:1;<1nk528`4961253z?:aa<41272>:4mf:?;e2<5<=1vlkn:1808?bl39i707=8;`e?8>f038?86s|ad`94?5|50on6>74=80:>g`<51k26?:;;|qbaf<72:q65hk53c9>=7g=jo164lo52568yvgbm3:1?v36eg800>;>:j0ij637ab8101=z{hom6=4<{<;fb?513439h7li;<:b`?43<2wxmk>50;1x9<`b2:3014?7p}nf083>6}:1oo1?o5293d9fc=:0hl1>9:4}rce6?6=;r72jk4<9:?:75{tio>1<7=t=`23>6?<50996oh4=9`1>7233tyjj84?:2y>e56=;k165>=5bg9>0q~oi6;297~;f88088636358ab>;?j=09895rs`d4>5<4s4k;=7=9;<;01?da342i97<;4:pec?=839p1l?=:2;89<502kl015l8:367?xufnh0;6>u2a0097g=:1:21nk528c:961253z?b56<41272?44mf:?;f<<5<=1vlhl:1808g6;39i707ei38?86s|agf94?5|5h;?6>74=81a>g`<51hi6?:;;|qbb`<72:q6m<:53c9>=6e=jo164om52568yvgan3:1?v3n14800>;>;m0ij637be8101=z{k:;6=4<{{tim<1<7g`<50oh6?:9;|qbg2<72;q65i7523589l0q~ok5;296~;>kk0ij636d`8103=z{hi=6=4={<;`3?45?272h;48f:pea2=838p14l6:cd89=7p}nc483>7}:1k?1>?94=8a7>2`52z?bf<;>j;07213tyjh?4?:3y>ed5=:;=01ll=:6d8yvgb83:1>v3n948ab>;fi=098;5rs`f2>5<5s4k2=7<=7:?be5<0n2wxmih50;0x9d>42kl01l7=:365?xufl90;6?u2a6d9671<5h2n6:h4}rcga?6=:r7j;<4mf:?b<5<5{timn1<7g`<5hl0q~okc;296~;f=7p}ncb83>7}:i:=1>?94=`65>2`52z?b6<;f;=07213tyjol4?:3y>=d5=:;=01l<=:6d8yv?fj3:1>v36a084b>;>i909>:5rs8c`>5<5s43j>79i;<;b5?45?2wx5lj50;0x9l014o=:304?xu>il0;6?u29`69612<50k;6?;;;|q:e=<72;q65l;525489i<0989529`3960252z?:e3<5;>i;09995rs8cb>5<5s43j;7<;5:?:e3?707n3;060>{ti;l1<72`<5h8?6?<8;|qb6`<72;q6m?=523589d432>l0q~o<0;296~;f:;099952a34961252z?b62<5;f::09995rs`0a>5<5s4k947<;6:?b62<50;0x9d4?2;>?70o=4;060>{ti;i1<772234k947li;|qb76<72;q6m?7525689d422;??7p}n2e83>7}:i;81>?94=`00>2`52z?b72<0n27j?;4=269~wd272909w0o<5;013>;f;?0m50;0x9d5>2;>=70o<8;`e?xuf<:0;6?u2a2;9612<5h9>6?;;;|qb7a<72;q6m>o525489d5>2kl0q~o;4;296~;f;h098952a24960252z?b7g<5<<16m>o5bg9~wd222909w0o;f;>09995rs`1e>5<5s4k887<=7:?b70<0n2wxm8=50;0x9d2>2>l01l:7:304?xuf=;0;6?u2a559671<5h>36:h4}rc60?6=:r7j8;4=559>e1g=:=>0q~o;e;296~;f6=4={;fh6?:;;50;0x9d2c2;>>70o;c;`e?xuf=>0;6?u2a5f9612<5h>26?;;;|qb14<72;q6m98523589d202>l0q~o95;296~;f=k0e0b=:=>01l;6:377?xuf>80;6?u2a4g9610<5h?o6oh4}rc5e0g=:<>0q~o92;296~;f=o098852a4g9fc=z{h<26=4={;f=007403tyj;;4?:3y>e3d=:;=01l8l:6d8yvg003:1>v3n6`8111=:i?o1>9:4}rc46?6=:r7j:k4=479>e3c=jo1vl96:1818g1n38?863n6c8111=z{h=86=4={27j:k4mf:pe2g=838p1l9?:367?8g1k38>86s|a6694?4|5h=:6?::;e27=:=>01l8k:377?xuf?<0;6?u2a7c9671<5h1vl67:1818g0l389;63n7d84b>{ti1k1<773334k3<7<;4:pe=2=838p1l6>:365?8g?83hm7p}n8c83>7}:i1;1>9:4=`5g>7333tyj484?:3y>e=4=:=<01l6>:cd8yvg?k3:1>v3n838101=:i>o1>8:4}rc;2?6=:r7j4>4=449>e=4=jo1vl6k:1818g?;38?863n7g8111=z{h2<6=4={:6d89d?72;8<7p}n9`83>7}:i1l1>?94=`;3>2`52z?b<`<5==16m4<52568yvg>>3:1>v3n928103=:i081nk5rs`;g>5<5s4k2?7<;4:?b<38?:63n928ab>{ti0o1<772334k2<7<:4:pe<>=838p1l7::366?8g><3hm7p}n9g83>7}:i0?1>9:4=`;2>7333tyj544?:3y>e=c=:;=01l6i:6d8yvgfl3:1>v3na284b>;fi;09>:5rs`c`>5<5s4kj=7<=7:?be7<0n2wxmlk50;0x9dg72;??70on4;070>{tih21<772134kj87li;|qbec<72;q6ml;525689dg62;??7p}na883>7}:ih<1>984=`c6>g`52z?be3<5<=16ml<52468yvgfi3:1>v3na68100=:ih<1nk5rs``2>5<5s4kj;7<;4:?be6<5==1vlom:1818gf8389;63na084b>{tikl1<72`<5hh?6?<8;|qbf`<72;q6mo=523589dd32>l0q~ol0;296~;fj;099952ac4961252z?bf2<5;fj:09995rs``a>5<5s4ki47<;6:?bf2?70om4;060>{tiki1<772234ki47li;|qbg6<72;q6mo7525689dd22;??7p}nbe83>7}:ik81>?94=``0>2`52z?:f0<0n272n94=269~w;>j=0=707m6;`e?xu>k80;6?u29c59612<50h86?;;;|q:fg<72;q65o6525489j10989529c6960252z?:f<<5<<165o65bg9~w;>j<09995rs8`g>5<5s43i>7<=7:?:f6<0n2wx5i?50;0x9l014m9:304?xu>l90;6?u29b79671<50i=6:h4}r;g6?6=:r72o94=559>=f>=:=>0q~7lc;296~;>k0098;529b:9fc=z{0n86=4={<;`=?43<272o84=559~w;>k00ij6s|9e694?4|50ij6?:;;<;`2?42<2wx5nk50;0x9>707la;`e?xu>l<0;6?u29b`9612<50i<6?;;;|q:gc<72;q65n:523589l0q~7j3;296~;>l00=ad=:=>014j8:377?xu>lo0;6?u29ea9610<50ni6oh4}r;f2?6=:r72hn4=459>=a>=:<>0q~7j0;296~;>lm0988529ea9fc=z{0o<6=4={<;g`?43<272h44=559~w;>l>07403ty2j94?:3y>=`?=:;=014kn:6d8yv?a>3:1>v36e98111=:1li1>9:4}r;e4?6=:r72ii4=479>=`e=jo1v4h8:1818?bl38?8636e88111=z{0l:6=4={<;fa?43>272ii4mf:p=c>=838p14kj:367?8?bi38>86s|9g094?4|50om6?::;<;fa?da3ty2j44?:3y>=``=:=>014km:377?xu>n:0;6?u29d:9671<50o26:h4}rc33?6=:r72ji48f:?:bf<5:>1vl>9:1818?aj389;636fb84b>{ti921<7733343mi7<;4:pe54=838p14hi:365?8?am3hm7p}n0883>7}:1ol1>9:4=8da>7333tyj<>4?:3y>e56=:=<014hi:cd8yvg7i3:1>v3n018101=:1oi1>8:4}rc30?6=:r7j<<4=449>e56=jo1vl>m:1818g7938?8636fe8111=z{h:>6=4={<;ee?45?272jo48f:pe4b=838p1l>l:cd89d6c2;8<7p}n1c83>7}:i8;1;k52a02967152z?b54<5:>16m=j57g9~wd7f2909w0o?f;013>;f990=70o>2;`e?xuf9o0;6?u2a019612<5h:m6?;;;|qb52<72;q6m<:525489d742kl0q~o=0;296~;f9=098952a02960252z?b50<5<<16m<:5bg9~wd462909w0o>5;070>;f9809995rs`3:>5<5s4k;i7<=7:?b4c<0n2wx;hl50;0x92ce2;9j706?a;3g1>{t?li1<775f342;m7?k4:p<4?=839p15?8:367?8>603hm706>6;073>{t08k1<7723342::7li;|q4b0<72;q6;k:5256892`72kl0q~9i7;297~;0mo0ij638f18101=:?o;1nk5rs6d;>5<5s4=nj7<;7:?4b4<5<=1v:h6:18181a;38?8638f38ab>{t?ok1<772334=ni7?k2:p3c0=83?p1:h;:84892`420<01:kj:31b?81a833=709i1;;5?xu?>>0;6?u27b2966g<51:o67}:?j91>>o4=933>4b23ty3:o4?:3y>3f2=::k015?>:0f7?xu?>j0;6?u27b7966g<51:o67p}76d83>7}:?j=1>>o4=933>4b43ty3:k4?:3y>3f>=::k015?>:0f6?xu??90;6?u27b;966g<51:o67}:?j;1>>o4=933>4b13ty3;>4?:cy><4b=::k015;8:364?8>2<38?;637518102=:0=i1>994=96;>720342?87<;7:?;05<5<>164>m525589=5?2;><706<4;073>{t?k31<7=t=6f6>75f34=n57li;<5f<4`=?m1643`b=::k0q~9j3;296~;?>=0ij6370c817d=z{1=96=4={<:2g?44i273=i4>d09~w2d52909w06>6;070>;?9k02:6s|86c94?1|51>h6?:;;<:7`?7c:273=?4>d29><46=9m8015><:0f7?8>7l3;o>6371082`7=z{1=i6=48{<:64?43<2739<4>d39><46=9m>015><:0f6?8>7l3;o?6371382`7=:08;1=i=4}r:4g?6=;r73994=459><03=9m8015><:0f0?xu??m0;6>u28459612<51?364b234=h;7?k5:?4g3<6l<16;n;51e7892e328n>709l3;3g1>;0k;0:h8527b295a3<51>;6?:;;<:75?7c:273=>4>d39><42=9m8015>n:0f1?8>7>3;o>6370682`1=z{1=36=47{<:70?43<273884>d39><45=9m=015>i:0f0?8>7;3;o:6370b82`6=:0881=i:4=92a>4b33ty3;44?:4y><1>=:=>015:6:0f1?8>7j3;o?6370g82`7=:09i1=i<4}r:40?6=9:q6;hl51e1892cd28n8709l1;3g6>;0kh0:h?527b;95a4<5>i36d39>3f3=9m801:m;:0f1?81d;3;o>638c382`7=:?j:1=i<4=917>723342897?k2:?;56<6l?164<:51e189=6>28n9706?5;060>{t0>?1<7?<{<5ff?7c:27d39>3f7=9m901:mn:0f0?81d13;o?638c982`6=:?j=1=i=4=6a5>4b434=h97?k3:?4g1<6l:16;n=51e1892e528n8709l0;3g7>;?;109895282;95a4<51;86d79><5>=9m90q~686;2957}:?j;1=i:4=6ab>4b334=h57?k4:?4g=<6l=16;n951e6892e128n?709l5;3g0>;0k=0:h9527b195a2<5>i96<6b=9m8015?<:0f0?8>6<3;o96370`82`6=:09<1=i84=924>4b53ty3a3=9m>01:k6:367?8>7>3;o86s|7c:94?5|5>oj6?:;;<5g1?7c:273<;4>d29~w2d12908w09k5;3g7>;0m109895281495a35bz?4`1<0l2748d:?4`7<0l276j38?86370682`3=z{>hn6=4={<:27?7c=274;3g2>;?8909895281395a453z?;20<5<=164;851e089=6028n>7p}8b583>6}:08>1=i94=6g6>72334=n:7?k2:p3`7=838p15?j:367?8>7>3;o;6s|7d094?4|51;m6?:;;<:33?7c;2wx;i850;0x92ee2;>?709jc;3g3>{t?m=1<772334=no7?k6:p3a>=838p1:mk:367?81bk3;o86s|7e;94?4|5>in6?:;;<5fg?7c=2wx;io50;0x92ea2;>?709jb;3g3>{t?mh1<772334=nn7?k6:p3ae=838p1:j>:367?81bj3;o86s|7ef94?4|5>n96?:;;<5ff?7c=2wx;ik50;0x92b42;>?706?9;3g7>{t?ml1<7723342;57?k4:p3g3=838p1:k8:367?8>6=3;o86s|7ca94?2|5>lh6?:;;<:21?7c:27d39>3cb=9m80q~9mb;291~;0nk0989527ga9fc=:08?1=i=4=6gg>4b434=mh7?k3:p3gg=83>p1:hm:cd892ca2;>?709i3;5g?81a;3hm7p}72883>7}:0:>1nk52824966g53z?;72<0n273?l48f:?;76<5:>1v5403hm706{t0;;1<7=t=91a>2`<519n6:h4=914>7403ty3>o4?:3y><6e=jo164>k522c8yv>5:3:1?v373g84b>;?<;07<l015=i:304?xu?:m0;6?u28569fc=:0=<1>>o4}r:10?6=;r738:48f:?;0d<0n2738>4=269~w=4b2909w06;8;`e?8>3i388m6s|83794?5|51>i6:h4=96f>2`<51><6?<8;|q;6c<72;q649m5bg9><1c=::k0q~6=6;297~;?;?:5rs913>5<5s42><7li;<:66?44i2wx4?950;1x9=342>l015;9:6d89=2a2;8<7p}73083>7}:0<>1nk52844966g52z?;1<<0n2739>4=269~w=552909w06:7;`e?8>21388m6s|84c94?5|519>6?=n;<:07?42<273?;4=279~w=3e2908w06<9;00e>;?;>09995282c9670o7>53z?;7a<5;h164>l524689=5b2;8=7p}75e83>6}:0=;1>>o4=91e>733342?>7<=6:p<0c=839p15:::31b?8>3;38>8637478163=z{1?m6=4<{<:7=?44i2738:4=559><1g=:;<0q~690;297~;?n6?<9;|q;24<72:q648?522c89=2a2;??706:2;012>{t0?81<7=t=976>75f342>?7<:4:?;13<5:?1v58<:1818>20388m637588163=z{<;>6=4={<714?da34?9>7<l018?i:304?xu29?0;6?u25369fc=:=;<1>>o4}r73`?6=;r7>>:48f:?66d<0n27>>>4=269~w0702909w0;=8;`e?835i388m6s|51g94?5|5<8i6:h4=40f>2`<5<8<6?<8;|q65=<72;q69?m5bg9>17c=::k0q~;?f;297~;2:o0;2:k09>:5rs43:>5<5s4?8<7li;<706?44i2wx9<>50;1x90542>l018=9:6d8904a2;8<7p}:1`83>7}:=:>1nk52524966g53z?672<0n27>?l48f:?676<5:>1v8?m:18183403hm70;{t=881<7=t=41a>2`<5<9n6:h4=414>7403ty>=n4?:3y>16e=jo169>k522c8yv36;3:1?v3:3g84b>;2<;07<7}:==91nk52557966g53z?664<5;h1696}:=;?1>>o4=400>73334?9:7<=6:p11>=839p18<6:31b?835?38>863:2`8163=z{<>26=4<{<71`?44i27>>o4=559>17c=:;<0q~;;a;297~;2;809?l5253d9602<5<996?<9;|q60g<72:q69>;522c890542;??70;<6;012>{t==i1<7=t=41:>75f34?8;7<:4:?67d<5:?1v8:k:180834l388m63:3c8111=:=:o1>?84}r77a?6=;r7>8<4=3`9>16`=:<>018:=:305?xu2>6?<9;|q4f4<72;q6;:h5bg9>3g6=::k0q~97a;296~;0il0:h8527`d966g59z?43c<5==16;5>5246892>62;??70972;060>;00:0999527969602<5>2>6?;;;<5;2?42<2702908w09nf;3g6>;0i;0989527`19fc=z{>236=4={<5bb?7c;27w09n5;;5?81f<33=7096e;00e>;0i802:638a18:2>{t?hi1<772334=2i7?k2:p3dd=838p1:o;:367?81f:3hm7p}8a`83>6}:?0l1>9:4=6c7>2b<5>k?6oh4}r5b=?6=:r7<5k4=469>3d7=:=>0q~9n8;297~;01o0ij638a08ab>;0i909895rs6c5>5<5s4=j97<;4:?4e5e2;9j7097e;;5?81?l33=7p}89e83>7}:?081>9:4=6:a>4b53ty<5n4?:3y>3<6=:=>01:7>:cd8yv1>j3:1>v388g8101=:?0:1nk5rs6;b>5<5s4=2?7<;4:?4d2;>?70963;5g?81>;3hm7p}89983>7}:?1i1>994=6:f>7233ty<5:4?:2y>3=e=jo16;5k5bg9>3=b=:=>0q~965;296~;01=09895279f9fc=z{?::6=4={<7eg?7c:27>jh4=459~w3672909w0;id;`e?83am38?:6s|61094?4|5>5bg9>267=:=<0q~8<4;296~;1:o0:h>52622961252z?546<6l;16:=;52568yv07>3:1>v39058ab>;18<098;5rs72;>5<5s4<;?7?k3:?541<5<=1v;<6:181806k3;o>639238101=z{?8=6=4={<415?da34<9>7<;6:p27g=838p1;?l:0f0?805938?86s|63594?4|5?8;6oh4=702>7213ty=>o4?:3y>24b=9m801;;:1>984}r41g?6=:r7==i4>d29>24`=:=>0q~8=3;296~;19l0:h?5260a966g52z?55`<6l:16:v391c8ab>;19l09?l5rs734>5<5s4<;m7?k2:?555<5<=1v;?;:181807n3hm708>0;072>{t>821<74b434<;j7<;4:p243=838p1;>j:cd8936a2;>=7p}91883>7}:>9h1=i<4=72f>7233ty==;4?:3y>25b=jo16:=k52548yv06i3:1>v390c82`6=:>9n1>9:4}r425?6=:r7=d39>25g=::k0q~8>2;296~;18j0:h>5261`966g52z?54<;1ih0;1i;0;11h0;11;0;10h0263=:=>01;h>:6f893cb2>n01;kn:6f893c12>n01;k=:6f893bb2>n01;jn:6f893b12>n01;j=:6f893eb2>n0q~986;296~;1:m0ij639348100=z{>=o6=4={<553?da34==:7<7}:=o31>9:4=4db>g`52z?42<<0l27=?;4=459~w2172909w099a;5g?804?38?86s|76`94?4|5?9=6oh4=714>7213ty=?l4?:3y>333=?m16:?k52568yv10i3:1>v39368ab>;1:l098;5rs65:>5<5s4?mh7<;6:?56`2;><7099a;073>;0><098:52611966g52z?541<5;1im098:526`c9611<5?k=6?:8;<4b6?43?27=5h4=469>2:38?;6398d8102=:>1k1>994}r541?6=:r7=?84mf:?575<5{t?>91<7g`<5?;m6?:9;|q57g<72jq6;;;52568936c2:o01;>j:2g8936a2:o01;??:2g8937a2:o01;;1:l08i6s|76394?e|5>24b=:<>01;?l:377?807<39n708?5;1f?804839n708<1;1f?83al39n70;ie;1f?804?39n7p}86d83>0}:??31>9:4=72`>73334<:i7<:4:?6bf<5==16:>853d9~w2152909w08=2;`e?815l38?:6s|77d94?4|5>7403ty<:n4?:3y>33?=jo16;<:52358yv0413:1>v38648ab>;08909>:5rs7`:>5<5s4hi1>?84}r4a3?6=;r7=m:4=3`9>2d3=:<>01;o7:305?xu1j?0;6>u26`1966g<5?k:6?;;;<4b0?45>2wx:o;50;1x93?a2;9j7086d;060>;1i909>;5rs7`7>5<4s4<2n7<0?1>8:4=7;;>7413ty=n?4?:2y>2<5=::k01;7>:377?80><389:6s|6c394?5|5?2m6?=n;<4;`?42<27=5=4=279~w3d72908w087b;00e>;10009995269a967052z?5ea75f3ty=;i4?:2y>2d?=?o16:lm57g9>2d3=:;=0q~876;296~;1i?0ij639a9817d=z{?=h6=4<{<4b1?1a34u26`393c=:>h>1;k5268f967152z?5=`{t>191<7g`<5?3h6?=n;|q53<<72:q6:4757g9>2v39978ab>;11109?l5rs75;>5<4s4<2979i;<4:6}:>0;1;k5268693c=:>1n1>?94}r4;4?6=:r7=4h4mf:?5=5<5;h1v;99:18080?l3=m70860;5e?80?1389;6s|66d94?4|5?2j6oh4=7:`>75f3ty=ji4?:3y>2c4=::k01;h<:305?xu1nj0;6>u26dd966g<5?oo6?;;;<4e4?45>2wx:kl50;1x93ce2;9j708j9;060>;1mj09>;5rs7db>5<4s4l;1>8:4=7g7>7413ty=j54?:2y>2a`=::k01;jk:377?80b8389:6s|6g594?5|5?ni6?=n;<4g=?42<27=hn4=279~w3`12908w08k7;00e>;1l<0999526e:967053z?5`6<5;h16:i?5246893b32;8=7p}9f583>6}:>jl1>>o4=7ag>73334:cd893`42;9j7p}9c383>7}:>o91;k526df967152z?5a`{t>jk1<7g`<5?oh6?=n;|q5g5<72:q6:h757g9>2`e=?o16:h;52358yv0d13:1>v39e78ab>;1m109?l5rs7`e>5<4s4=838p1;k=:cd893c32;9j7p}9bd83>6}:>l;1;k526d693c=:>mn1>?94}r4`3?6=:r7=hh4mf:?5a5<5;h1v;lk:18080cl3=m708j0;5e?80c1389;6s|6b494?4|5?nj6oh4=7f`>75f3ty=nn4?:2y>2a?=?o16:im57g9>2a3=:;=0q~8l5;296~;1l?0ij639d9817d=z{?hi6=4<{<4g1?1a34u26e393c=:>m>1;k526bf967152z?5g`75f3ty=894?:3y>23`=jo16:;k522c8yv03;3:1>v396b8ab>;1>k09?l5rs761>5<5s4<=57li;<45?81>>o4}r40b?6=:r7=:=4mf:?51c<5;h1v;=j:181802l3hm708:c;00e>{t>:n1<7g`<5??26?=n;|q405<72;q6:kh522c892572kl0q~99h6=4={<463?43<27<<54mf:p311=838p1;:k:367?802?3hm7p}84783>7}:>=i1>9:4=76g>g`52z?50g<5<=16:9m5bg9~w2232909w08;a;070>;126?:;;<47e?da3ty<8?4?:3y>21>=:=>01;:6:cd8yv1393:1?v39578101=:>=21nk5266695a2<7>53z?510<5<=16:885bg9>227=9m>0q~9;f;297~;1==0989526479fc=:>?o1=i:4}r57a?6=;r7=9>4=459>202=jo16:;l51e68yv13l3:1?v39538101=:><91nk5267:95a253z?514<5<=16:8<5bg9>233=9m>0q~9;b;297~;1=90989526439fc=:>?81=i:4}r57e?6=;r7=8k4=459>206=jo16:8h51e68yv1313:1?v394d8101=:>=l1nk5264a95a253z?502<5<=16:9k5bg9>20?=9m>0q~952z?5b`<6l;16;=;52548yv11<3:18v397582`6=:>hn1>9:4=7cf>4b534=;:7=j;|q426<72=q6::?51e1893gf2;>?708nb;3g6>;08?08o6s|77094?2|5?d39>350=;k1v:8>:187801j3;o?639a38101=:>h91=i<4=625>6g54z?52=<6l:16:4k5256893?a28n9709?6;1:?xu0=o0;69u267795a5<5?3j6?:;;<4:f?7c:27<<84p1;8=:0f0?80>>38?86399682`7=:?9?1?n5rs67g>5<3s4<>j7?k3:?5=7<5<=16:4=51e0892622:h0q~9:c;290~;1=j0:h>5269g9612<5?2m620?=9m901;6n:367?80?j3;o>6380480=>{t?72334<o09895267f9fc=z{>?<6=4={<45g?43<27=:l4mf:p300=838p1;86:367?801?3hm7p}85483>7}:>?<1>9:4=747>g`87>52z?526<5<=16:;?5bg9~w2342909w0890;070>;1=l0ij6s|74094?4|5??o6?:;;<46f?da3ty<9<4?:3y>20g=:=>01;;7:cd8yv14<3:1>v382`84b>;0:009>:5rs616>5<5s4=9n79i;<51e?45?2wx;>850;0x924d2>l01:0;6?u273a9671<5>836:h4}r50i4=459>37?=:<>0q~9<1;296~;0:l098;5273f9fc=z{>926=4={<51a?43<27<>l4=559~w2552909w09=f;072>;0:l0ij6s|72c94?4|5>8m6?:;;<51f?42<2wx;>=50;0x92572;>>709=f;`e?xu0;k0;6?u27229612<5>8h6?;;;|q465<72;q6;<857g9>343=:;=0q~9=1;296~;09>0896=4={<527}:?831>9:4=636>7333ty<=i4?:3y>34g=:=<01:?6:cd8yv15=3:1>v381`8101=:?8<1>8:4}r52a?6=:r7<=o4=479>34g=jo1v:<9:181816j38?8638168111=z{>;m6=4={<52g?43=27<=o4mf:p371=838p1:?l:367?816038>86s|71a94?4|5>:96:h4=622>7403ty<355=?o16;=<52358yv17m3:1>v380584b>;08:09>:5rs62e>5<5s4=;87<=7:?445<0n2wx;<>50;0x92622;>?709?1;060>{t?931<772134=;97li;|q454<72;q6;=85256892652;??7p}80`83>7}:?9=1>984=625>g`7>52z?442<5<=16;==52468yv17j3:1>v38098100=:?9=1nk5rs630>5<5s4=;47<;4:?441<5==1v99<:181820k3hm70:8e;00e>{t2`<5=296:h4=55a>7403ty?;94?:3y>0=6=jo1685<522c8yv21l3:1?v3;8284b>;30?06=4={<6;0?da34>3:7<f2>l0196<:304?xu3??0;6?u249:9fc=:<1k1>>o4}r65b?6=;r7?4o48f:?7<`<0n27?4:4=269~w1102909w0:7c;`e?82?m388m6s|46294?5|5=2m6:h4=5;1>2`<5=2i6?<8;|q73=<72;q684>5bg9>0<4=::k0q~:81;297~;31:0;30o09>:5rs55:>5<5s4>287li;<6:2?44i2wx8:<50;0x91?>2>l0197<:304?xu3?h0;6?u24859fc=:<031>>o4}r6:e?6=;r7?;i4=3`9>02d=:<>0199j:305?xu31k0;6>u2493966g<5==m6?;;;<6;6?45>2wx84m50;1x91>22;9j70:73;060>;30?09>;5rs5;g>5<4s4>357<m3:1?v3;8e817d=:<1h1>8:4=5:f>7413ty?5k4?:2y>0<7=::k0196i:377?82>:389:6s|4`294?5|5=3>6?=n;<6:7?42<27?5;4=279~w1g62909w0:68;00e>;31009>;5rs443>5<5s4?=<7<:1818319388m63:6582`3=z{<<96=4={<756?44i27>:94>d49~w0042909w0;93;00e>;2>=0:h95rs921>5<5s42;?7<<:1818>7k388m6370e82`1=z{1:h6=4={<:3`?44i273d79~w=6b2909w06?b;3g3>;?8o09?l5rs92e>5<5s42:>7<1v5?=:1818>6;388m6370982`1=z{1;86=4={<:20?44i273<54>d49~w=632909w06?5;00e>;?810:h;5rs926>5<5s42;47<7:1818>71388m6370`82`2=z{1:26=4={<:3f?7c=273>m4=9;6>63<513>6>84=9;6>6><512:6>;4=9:2>60<512:6>64=9:4>62<512<6>;4=9:a>62<5;o=6>:4=3g5>63<5;o=6>84=217>62<5:9?6>;4=217>60<5:>j6>:4=26b>63<5:>j6>84=243>62<5:<;6>;4=243>60<5:==6>:4=255>63<5:==6>84=2:`>62<5:2h6>;4=2:`>60<5:k96>:4=2c1>63<5:k96>84=2`;>62<5:h36>;4=2`;>60<5:in6>:4=2af>63<5:in6>84=2g7>62<5:o?6>;4=2g7>60<5;lh6>:4=3d`>63<5;lh6>84=231>62<5:;96>;4=231>60<5:8?6>:4=207>63<5:8?6>84=205>62<5:8=6>;4=205>60<5:836>:4=20;>63<5:836>84=20b>62<5:8j6>;4=20b>60<5:8h6>:4=20`>63<5:8h6>84=20f>62<5:8n6>;4=20f>60<5:9;6>:4=213>63<5:9;6>84=211>62<5:996>;4=211>60<5:9=6>:4=215>63<5:9=6>84=21;>62<5:936>;4=21;>60<5:9j6>:4=21b>63<5:9j6>84=21`>62<5:9h6>;4=21`>60<5:9n6>:4=21f>63<5:9n6>84=263>62<5:>;6>;4=263>60<5:>96>:4=261>63<5:>96>84=267>62<5:>?6>;4=267>60<5:>=6>:4=265>63<5:>=6>84=26;>62<5:>36>;4=26;>60<5:>h6>:4=26`>63<5:>h6>84=26f>62<5:>n6>;4=26f>60<5:?;6>:4=273>63<5:?;6>84=271>62<5:?96>;4=271>60<5:??6>:4=277>63<5:??6>84=275>62<5:?=6>;4=275>60<5:?36>:4=27;>63<5:?36>84=27b>62<5:?j6>;4=27b>60<5:?h6>:4=27`>63<5:?h6>84=27f>62<5:?n6>;4=27f>60<5:<96>:4=241>63<5:<96>84=247>62<5:;4=247>60<5:<=6>:4=245>63<5:<=6>84=24;>62<5:<36>;4=24;>60<5::4=24b>63<5:84=24`>62<5:

;4=24`>60<5::4=24f>63<5:84=253>62<5:=;6>;4=253>60<5:=96>:4=251>63<5:=96>84=257>62<5:=?6>;4=257>60<5:=36>:4=25;>63<5:=36>84=25b>62<5:=j6>;4=25b>60<5:=h6>:4=25`>63<5:=h6>84=25f>62<5:=n6>;4=25f>60<5:2;6>:4=2:3>63<5:2;6>84=2:1>62<5:296>;4=2:1>60<5:2?6>:4=2:7>63<5:2?6>84=2:5>62<5:2=6>;4=2:5>60<5:236>:4=2:;>63<5:236>84=2:b>62<5:2j6>;4=2:b>60<5:2n6>:4=2:f>63<5:2n6>84=2;3>62<5:3;6>;4=2;3>60<5:396>:4=2;1>63<5:396>84=2;7>62<5:3?6>;4=2;7>60<5:3=6>:4=2;5>63<5:3=6>84=2;;>62<5:336>;4=2;;>60<5:3j6>:4=2;b>63<5:3j6>84=2;`>62<5:3h6>;4=2;`>60<5:3n6>:4=2;f>63<5:3n6>84=2c3>62<5:k;6>;4=2c3>60<5:k?6>:4=2c7>63<5:k?6>84=2c5>62<5:k=6>;4=2c5>60<5:k36>:4=2c;>63<5:k36>84=2cb>62<5:kj6>;4=2cb>60<5:kh6>:4=2c`>63<5:kh6>84=2cf>62<5:kn6>;4=2cf>60<5:h;6>:4=2`3>63<5:h;6>84=2`1>62<5:h96>;4=2`1>60<5:h?6>:4=2`7>63<5:h?6>84=2`5>62<5:h=6>;4=2`5>60<5:hj6>:4=2`b>63<5:hj6>84=2``>62<5:hh6>;4=2``>60<5:hn6>:4=2`f>63<5:hn6>84=2a3>62<5:i;6>;4=2a3>60<5:i96>:4=2a1>63<5:i96>84=2a7>62<5:i?6>;4=2a7>60<5:i=6>:4=2a5>63<5:i=6>84=2a;>62<5:i36>;4=2a;>60<5:ij6>:4=2ab>63<5:ij6>84=2a`>62<5:ih6>;4=2a`>60<5:n;6>:4=2f3>63<5:n;6>84=2f1>62<5:n96>;4=2f1>60<5:n?6>:4=2f7>63<5:n?6>84=2f5>62<5:n=6>;4=2f5>60<5:n36>:4=2f;>63<5:n36>84=2fb>62<5:nj6>;4=2fb>60<5:nh6>:4=2f`>63<5:nh6>84=2ff>62<5:nn6>;4=2ff>60<5:o;6>:4=2g3>63<5:o;6>84=2g1>62<5:o96>;4=2g1>60<5;o36>:4=3g;>63<5;o36>84=3gb>62<5;oj6>;4=3gb>60<5;oh6>:4=3g`>63<5;oh6>84=3gf>62<5;on6>;4=3gf>60<5;l;6>:4=3d3>63<5;l;6>84=3d1>62<5;l96>;4=3d1>60<5;l?6>:4=3d7>63<5;l?6>84=3d5>62<5;l=6>;4=3d5>60<5;l36>:4=3d;>63<5;l36>84=3db>62<5;lj6>;4=3db>60<5;ln6>:4=3df>63<5;ln6>84=223>62<5::;6>;4=223>60<5::96>:4=221>63<5::96>84=227>62<5::?6>;4=227>60<5::=6>:4=225>63<5::=6>84=22;>62<5::36>;4=22;>60<5::j6>:4=22b>63<5::j6>84=22`>62<5::h6>;4=22`>60<5::n6>:4=22f>63<5::n6>84=233>62<5:;;6>;4=233>60<5:;?6>:4=237>63<5:;?6>84=235>62<5:;=6>;4=235>60<5:;36>:4=23;>63<5:;36>84=23b>62<5:;j6>;4=23b>60<5:;h6>:4=23`>63<5:;h6>84=23f>62<5:;n6>;4=23f>60<5:8;6>:4=203>63<5:8;6>84=201>62<5:896>;4=201>60<50k=6>k4=8c6>6c<50k?6>k4=8c3>2`<5h836>k4=`04>6c<5h8=6>k4=`1b>6c<5h926>k4=`1;>6c<5h>h6>k4=`6a>6c<5h>j6>k4=`7f>6c<5h?o6>k4=`7`>6c<5h=;6>k4=`4e>6c<5hk4=`:1>6c<5h2:6>k4=`:3>6c<5h3?6>k4=`;0>6c<5h396>k4=`c5>6c<5hk>6>k4=`c7>6c<5hh36>k4=``4>6c<5hh=6>k4=8`;>6c<50h<6>k4=8`5>6c<50ij6>k4=8a:>6c<50i36>k4=8f`>6c<50ni6>k4=8fb>6c<50on6>k4=8gg>6c<50oh6>k4=`23>6c<50lm6>k4=8df>6c<5h;?6>k4=`30>6c<5h;96>k4=`2g>73334=mn79k;<5eg?1c34=n;79k;<5fe?da342=879k;<5e6?1c342:;79k;<:2;79k;<:60?1c342><79k;<:7g?1c342?479k;<:70?1c342?<79k;<:0g?1c3428479k;<:00?1c3428?79i;<:02?1a34?:j79i;<716?1a34=jj7?k4:?4e7<0l2748d:?427=9:4<8:?5ga<0n27=h=48f:?5<<<0n27=4n48f:?46c<4127<>k4k4448f:?46=<5==16;34d=;h16;34d=;j16;34e=;=16;34e=;?16;343=?o16;<:5246892602:301:>8:2c892602:h01:>8:2a892602:o01:>7:268926?2:?01:>7:248926?2:201:>>:6d892672;??70:8b;5e?820m3=m706>b;`e?82d83??70:l0;70?82d83>n70:l0;52?82d83=;70:l0;4a?82d83oh70:l0;gb?82d83o270:l0;g;?82d83o<70:l0;g5?82d83l>70:l0;d7?82d83l870:l0;d1?82d83l:70:l0;d3?82d83om70:l0;gf?82d83oo70:l0;g6?82d83;:i63;c1825f=:47f34>h<7?>9:?7g5<691168n>5135891e7288=70:l0;31b>;3k90:>i524b29561<5=i;60dd=<>168ll5479>0dd=<<168ll53g9>0dd=>=168ll5629>0dd=>;168ll55b9>0dd=lm168ll5dc9>0dd=l0168ll5d99>0dd=l>168ll5d79>0dd=l<168ll5d59>0dd=l:168ll5d39>0dd=l8168ll5d19>0dd=kl168ll5ce9>0dd=kj168ll5cc9>0dd=kh168ll5c89>0dd=k1168ll5c69>0dd=k?168ll5c49>0dd=m=168ll5e29>0dd=m;168ll5e09>0dd=m9168ll5dg9>0dd=ll168ll5d`9>0dd=ko168ll5c59>0dd=99l019om:02g?82fj3;;n63;ac824d=:46?34>jn7??7:?7eg<68?168ll5117891ge28:?70:nb;337>;3ik0:cc<5=ki6kj4=5ca>44d34>jn7?=a:?7eg<6:0168ll513:891ge289?70:nb;306>;3ik0:n9524``961d<5=ki6?:l;<6ba?2034>ji7:9;<6ba?2234>ji7=i;<6ba?0334>ji78<;<6ba?0534>ji7;l;<6ba?bc34>ji7jm;<6ba?b>34>ji7j7;<6ba?b034>ji7j9;<6ba?b234>ji7j;;<6ba?b434>ji7j=;<6ba?b634>ji7j?;<6ba?eb34>ji7mk;<6ba?ed34>ji7mm;<6ba?ef34>ji7m6;<6ba?e?34>ji7m8;<6ba?e134>ji7m:;<6ba?c334>ji7k<;<6ba?c534>ji7k>;<6ba?c734>ji7ji;<6ba?bb34>ji7jn;<6ba?ea34>ji7m;;<6ba?77n27?mh4>0e9>0dc=99h019oj:02b?82fm3;;563;ad824==:46134>ji7??5:?7e`<68=168lk5111891gb28:970:ne;334>;3il0mj63;ad8ea>;3il0mh63;ad826f=:44>34>ji7?=8:?7e`<6;=168lk5120891gb28h?70:ne;07f>;3il098n524c3902=:524c3927=:524c39a7=:k;<6a5?77j27?n<4>0`9>0g7=993019l>:02;?82e93;;;63;b08243=:46334>i=7??3:?7f4<68;168o?5112891d62ol019l>:gg891d62on019l>:00`?82e93;9m63;b0826<=:45334>i=7?<2:?7f4<6j=168o?525`891d62;>h70:m4;64?82e<3>=70:m4;66?82e<39m70:m4;47?82e<3<870:m4;41?82e<3?h70:m4;fg?82e<3ni70:m4;f:?82e<3n370:m4;f4?82e<3n=70:m4;f6?82e<3n?70:m4;f0?82e<3n970:m4;f2?82e<3n;70:m4;af?82e<3io70:m4;a`?82e<3ii70:m4;ab?82e<3i270:m4;a;?82e<3i<70:m4;a5?82e<3i>70:m4;g7?82e<3o870:m4;g1?82e<3o:70:m4;g3?82e<3nm70:m4;ff?82e<3nj70:m4;ae?82e<3i?70:m4;33b>;3j=0:n;<6a0?77127?n94>099>0g2=99=019l;:025?82e<3;;963;b58241=:1===4=5`7>46534>i87??0:?7f12b9>0g2=9;k019l;:00:?82e<3;9463;b58271=:1=><4=5`7>4d334>i87<;b:?7f1<50g1=0g1=;o168o95659>0g1=>:168o95639>0g1==j168o95de9>0g1=lk168o95d89>0g1=l1168o95d69>0g1=l?168o95d49>0g1=l=168o95d29>0g1=l;168o95d09>0g1=l9168o95cd9>0g1=km168o95cb9>0g1=kk168o95c`9>0g1=k0168o95c99>0g1=k>168o95c79>0g1=k<168o95e59>0g1=m:168o95e39>0g1=m8168o95e19>0g1=lo168o95dd9>0g1=lh168o95cg9>0g1=k=168o9511d891d028:o70:m7;33f>;3j>0:7;<6a3?77?27?n:4>079>0g1=99?019l8:027?82e?3;;?63;b68247=:4=5`4>c`<5=h<6kk4=5`4>cb<5=h<6<289>0g1=9;2019l8:017?82e?3;8>63;b682f1=:9l4=5`4>72d34>im7:8;<6ae?2134>im7::;<6ae?5a34>im78;;<6ae?0434>im78=;<6ae?3d34>im7jk;<6ae?be34>im7j6;<6ae?b?34>im7j8;<6ae?b134>im7j:;<6ae?b334>im7j<;<6ae?b534>im7j>;<6ae?b734>im7mj;<6ae?ec34>im7ml;<6ae?ee34>im7mn;<6ae?e>34>im7m7;<6ae?e034>im7m9;<6ae?e234>im7k;;<6ae?c434>im7k=;<6ae?c634>im7k?;<6ae?ba34>im7jj;<6ae?bf34>im7mi;<6ae?e334>im7??f:?7fd<68m168oo511`891df28:j70:ma;33=>;3jh0:<5524cc9551<5=hj6<>9;<6ae?77=27?nl4>059>0gg=999019ln:021?82ei3;;<63;b`8eb>;3jh0mi63;b`8e`>;3jh0:>n524cc957g<5=hj6<<6;<6ae?75027?nl4>359>0gg=9:8019ln:0`7?82ei38?n63;b`810f=:524cf9`7=:46c34>ih7??b:?7fa<68h168oj511;891dc28:370:md;333>;3jm0:<;524cf9553<5=ho6<>;;<6a`?77;27?ni4>039>0gb=99:019lk:gd891dc2oo019lk:gf891dc288h70:md;31e>;3jm0:>4524cf957><5=ho6<=;;<6a`?74:27?ni4>b59>0gb=:=h019lk:36`?xu?880;6?u2813966g<51:;6oh4}r:52?6=:r73:;4=3`9><33=jo1v:k9:18181b>388m638e48ab>{t::>1<775f342;j7?k7:p663=838p15?>:31b?8>7n3;o96s|22494?4|51:=6?=n;<:31?7c:2wx>>950;0x9=602;9j706?5;3g7>{zf;8:i7>51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg<7>51zJg=7>51zJg>7>51zJg?7>51zJg87>51zJg97>51zJg:7>51zJg;7>51zJg47>51zJg57>51zJgm7>51zJgn7>51zJgo7>51zJgh7>51zJgi7>51zJgj7>51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg<7>51zJg=7>51zJg>7>51zJg?7>51zJg87>51zJg97>51zJg:7>51zJg;7>51zJg47>51zJg57>51zJgm7>51zJgn7>51zJgo7>51zJgh7>51zJgi7>51zJgj7>51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg;<7>51zJg;=7>51zJg;>7>51zJg;?7>51zJg;87>51zJg;97>51zJg;:7>51zJg;;7>51zJg;47>51zJg;57>51zJg;m7>51zJg;n7>51zJg;o7>51zJg;h7>51zJg;i7>51zJg;j7>51zJg:<7>51zJg:=7>51zJg:>7>51zJg:?7>51zJg:87>51zJg:97>51zJg::7>51zJg:;7>51zJg:47>51zJg:57>51zJg:m7>51zJg:n7>51zJg:o7>51zJg:h7>51zJg:i7>51zJg:j7>51zJg9<7>51zJg9=7>51zJg9>7>51zJg9?7>51zJg987>51zJg997>51zJg9:7>51zJg9;7>51zJg947>51zJg957>51zJg9m7>51zJg9n7>51zJg9o7>51zJg9h7>51zJg9i7>51zJg9j7>51zJg8<7>51zJg8=7>51zJg8>7>51zJg8?7>51zJg887>51zJg897>51zJg8:7>51zJg8;7>51zJg847>51zJg857>51zJg8m7>51zJg8n7>51zJg8o7>51zJg8h7>51zJg8i7>51zJg8j7>51zJg?<7>51zJg?=7>51zJg?>7>51zJg??7>51zJg?87>51zJg?97>51zJg?:7>51zJg?;7>51zJg?47>51zJg?57>51zJg?m7>51zJg?n7>51zJg?o7>51zJg?h7>51zJg?i7>51zJg?j7>51zJg><7>51zJg>=7>51zJg>>7>51zJg>?7>51zJg>87>51zJg>97>51zJg>:7>51zJg>;7>51zJg>47>51zJg>57>51zJg>m7>51zJg>n7>51zJg>o7>51zJg>h7>51zJg>i7>51zJg>j7>51zJg=<7>51zJg==7>51zJg=>7>51zJg=?7>51zJg=87>51zJg=97>51zJg=:7>51zJg=;7>51zJg=47>51zJg=57>51zJg=m7>51zJg=n7>51zJg=o7>51zJg=h7>51zJg=i7>51zJg=j7>51zJg<<7>51zJg<=7>51zJg<>7>51zJg51zJg<87>51zJg<97>51zJg<:7>51zJg<;7>51zJg<47>51zJg<57>51zJg51zJg51zJg51zJg51zJg51zJg51zJg3<7>51zJg3=7>51zJg3>7>51zJg3?7>51zJg387>51zJg397>51zJg3:7>51zJg3;7>51zJg347>51zJg357>51zJg3m7>51zJg3n7>51zJg3o7>51zJg3h7>51zJg3i7>51zJg3j7>51zJg2<7>51zJg2=7>51zJg2>7>51zJg2?7>51zJg287>51zJg297>51zJg2:7>51zJg2;7>51zJg247>51zJg257>51zJg2m7>51zJg2n7>51zJg2o7>51zJg2h7>51zJg2i7>51zJg2j7>51zJgj<7>51zJgj=7>51zJgj>7>51zJgj?7>51zJgj87>51zJgj97>51zJgj:7>51zJgj;7>51zJgj47>51zJgj57>51zJgjm7>51zJgjn7>51zJgjo7>51zJgjh7>51zJgji7>51zJgjj7>51zJgi<7>51zJgi=7>51zJgi>7>51zJgi?7>51zJgi87>51zJgi97>51zJgi:7>51zJgi;7>51zJgi47>51zJgi57>51zJgim7>51zJgin7>51zJgio7>51zJgih7>51zJgii7>51zJgij7>51zJgh<7>51zJgh=7>51zJgh>7>51zJgh?7>51zJgh87>51zJgh97>51zJgh:7>51zJgh;7>51zJgh47>51zJgh57>51zJghm7>51zJghn7>51zJgho7>51zJghh7>51zJghi7>51zJghj7>51zJgo<7>51zJgo=7>51zJgo>7>51zJgo?7>51zJgo87>51zJgo97>51zJgo:7>51zJgo;7>51zJgo47>51zJgo57>51zJgom7>51zJgon7>51zJgoo7>51zJgoh7>51zJgoi7>51zJgoj7>51zJgn<7>51zJgn=7>51zJgn>7>51zJgn?7>51zJgn87>51zJgn97>51zJgn:7>51zJgn;7>51zJgn47>51zJgn57>51zJgnm7>51zJgnn7>51zJgno7>51zJgnh7>51zJgni7>51zJgnj7>51zJgm<7>51zJgm=7>51zJgm>7>51zJgm?7>51zJgm87>51zJgm97>51zJgm:7>51zJgm;7>51zJgm47>51zJgm57>51zJgmm7>51zJgmn7>51zJgmo7>51zJgmh7>51zJgmi7>51zJgmj7>51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg<7>51zJg=7>51zJg>7>51zJg?7>51zJg87>51zJg97>51zJg:7>51zJg;7>51zJg47>51zJg57>51zJgm7>51zJgn7>51zJgo7>51zJgh7>51zJgi7>51zJgj7>51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg<7>51zJg=7>51zJg>7>51zJg?7>51zJg87>51zJg97>51zJg:7>51zJg;7>51zJg47>51zJg57>51zJgm7>51zJgn7>51zJgo7>51zJgh7>51zJgi7>51zJgj7>51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg<7>51zJg=7>51zJg>7>51zJg?7>51zJg87>51zJg97>51zJg:7>51zJg;7>51zJg47>51zJg57>51zJgm7>51zJgn7>51zJgo7>51zJgh7>51zJgi7>51zJgj7>51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg<7>51zJg=7>51zJg>7>51zJg?7>51zJg87>51zJg97>51zJg:7>51zJg;7>51zJg47>51zJg57>51zJgm7>51zJgn7>51zJgo7>51zJgh7>51zJgi7>51zJgj7>51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg51zJg7>51zJg51zJg51zJg51zJg +_xmsgs/pn_parser.xmsgs +chipscope_ila_128.asy +chipscope_ila_128.cdc +chipscope_ila_128.constraints/chipscope_ila_128.ucf +chipscope_ila_128.constraints/chipscope_ila_128.xdc +chipscope_ila_128.gise +chipscope_ila_128.ncf +chipscope_ila_128.ngc +chipscope_ila_128.ucf +chipscope_ila_128.v +chipscope_ila_128.veo +chipscope_ila_128.xco +chipscope_ila_128.xdc +chipscope_ila_128.xise +chipscope_ila_128_flist.txt +chipscope_ila_128_readme.txt +chipscope_ila_128_xmdf.tcl diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_128_readme.txt b/fpga/usrp3/top/b200/coregen/chipscope_ila_128_readme.txt new file mode 100644 index 000000000..fbcd6cbfb --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_128_readme.txt @@ -0,0 +1,48 @@ +The following files were generated for 'chipscope_ila_128' in directory +/home/ianb/fpgadev/usrp3/top/b200/coregen/ + +XCO file generator: + Generate an XCO file for compatibility with legacy flows. + + * chipscope_ila_128.xco + +Creates an implementation netlist: + Creates an implementation netlist for the IP. + + * chipscope_ila_128.cdc + * chipscope_ila_128.constraints/chipscope_ila_128.ucf + * chipscope_ila_128.constraints/chipscope_ila_128.xdc + * chipscope_ila_128.ncf + * chipscope_ila_128.ngc + * chipscope_ila_128.ucf + * chipscope_ila_128.v + * chipscope_ila_128.veo + * chipscope_ila_128.xdc + * chipscope_ila_128_xmdf.tcl + +IP Symbol Generator: + Generate an IP symbol based on the current project options'. + + * chipscope_ila_128.asy + +Generate ISE subproject: + Create an ISE subproject for use when including this core in ISE designs + + * _xmsgs/pn_parser.xmsgs + * chipscope_ila_128.gise + * chipscope_ila_128.xise + +Deliver Readme: + Readme file for the IP. + + * chipscope_ila_128_readme.txt + +Generate FLIST file: + Text file listing all of the output files produced when a customized core was + generated in the CORE Generator. + + * chipscope_ila_128_flist.txt + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_128_xmdf.tcl b/fpga/usrp3/top/b200/coregen/chipscope_ila_128_xmdf.tcl new file mode 100755 index 000000000..e4af2b327 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_128_xmdf.tcl @@ -0,0 +1,87 @@ +# The package naming convention is _xmdf +package provide chipscope_ila_128_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::chipscope_ila_128_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::chipscope_ila_128_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name chipscope_ila_128 +} +# ::chipscope_ila_128_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::chipscope_ila_128_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_128.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_128.cdc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_128.constraints/chipscope_ila_128.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ucf +incr fcount + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_128.ncf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ncf +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_128.constraints/chipscope_ila_128.xdc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Xdc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_128.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_128.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_128.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_128.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_128_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module chipscope_ila_128 +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams + diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256.asy b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.asy new file mode 100644 index 000000000..3eef48558 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.asy @@ -0,0 +1,17 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 chipscope_ila_256 +RECTANGLE Normal 32 32 288 704 +LINE Wide 0 80 32 80 +PIN 0 80 LEFT 36 +PINATTR PinName control[35:0] +PINATTR Polarity IN +LINE Normal 0 112 32 112 +PIN 0 112 LEFT 36 +PINATTR PinName clk +PINATTR Polarity IN +LINE Wide 0 176 32 176 +PIN 0 176 LEFT 36 +PINATTR PinName trig0[255:0] +PINATTR Polarity IN + diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256.cdc b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.cdc new file mode 100644 index 000000000..562f53ff9 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.cdc @@ -0,0 +1,272 @@ +#ChipScope Core Generator Project File Version 3.0 +#Fri Mar 08 16:13:02 PST 2013 +SignalExport.bus<0000>.channelList=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 +SignalExport.bus<0000>.name=TRIG0 +SignalExport.bus<0000>.offset=0.0 +SignalExport.bus<0000>.precision=0 +SignalExport.bus<0000>.radix=Bin +SignalExport.bus<0000>.scaleFactor=1.0 +SignalExport.clockChannel=CLK +SignalExport.dataEqualsTrigger=true +SignalExport.triggerChannel<0000><0000>=TRIG0[0] +SignalExport.triggerChannel<0000><0001>=TRIG0[1] +SignalExport.triggerChannel<0000><0002>=TRIG0[2] +SignalExport.triggerChannel<0000><0003>=TRIG0[3] +SignalExport.triggerChannel<0000><0004>=TRIG0[4] +SignalExport.triggerChannel<0000><0005>=TRIG0[5] +SignalExport.triggerChannel<0000><0006>=TRIG0[6] +SignalExport.triggerChannel<0000><0007>=TRIG0[7] +SignalExport.triggerChannel<0000><0008>=TRIG0[8] +SignalExport.triggerChannel<0000><0009>=TRIG0[9] +SignalExport.triggerChannel<0000><0010>=TRIG0[10] +SignalExport.triggerChannel<0000><0011>=TRIG0[11] +SignalExport.triggerChannel<0000><0012>=TRIG0[12] +SignalExport.triggerChannel<0000><0013>=TRIG0[13] +SignalExport.triggerChannel<0000><0014>=TRIG0[14] +SignalExport.triggerChannel<0000><0015>=TRIG0[15] +SignalExport.triggerChannel<0000><0016>=TRIG0[16] +SignalExport.triggerChannel<0000><0017>=TRIG0[17] +SignalExport.triggerChannel<0000><0018>=TRIG0[18] +SignalExport.triggerChannel<0000><0019>=TRIG0[19] +SignalExport.triggerChannel<0000><0020>=TRIG0[20] +SignalExport.triggerChannel<0000><0021>=TRIG0[21] +SignalExport.triggerChannel<0000><0022>=TRIG0[22] +SignalExport.triggerChannel<0000><0023>=TRIG0[23] +SignalExport.triggerChannel<0000><0024>=TRIG0[24] +SignalExport.triggerChannel<0000><0025>=TRIG0[25] +SignalExport.triggerChannel<0000><0026>=TRIG0[26] +SignalExport.triggerChannel<0000><0027>=TRIG0[27] +SignalExport.triggerChannel<0000><0028>=TRIG0[28] +SignalExport.triggerChannel<0000><0029>=TRIG0[29] +SignalExport.triggerChannel<0000><0030>=TRIG0[30] +SignalExport.triggerChannel<0000><0031>=TRIG0[31] +SignalExport.triggerChannel<0000><0032>=TRIG0[32] +SignalExport.triggerChannel<0000><0033>=TRIG0[33] +SignalExport.triggerChannel<0000><0034>=TRIG0[34] +SignalExport.triggerChannel<0000><0035>=TRIG0[35] +SignalExport.triggerChannel<0000><0036>=TRIG0[36] +SignalExport.triggerChannel<0000><0037>=TRIG0[37] +SignalExport.triggerChannel<0000><0038>=TRIG0[38] +SignalExport.triggerChannel<0000><0039>=TRIG0[39] +SignalExport.triggerChannel<0000><0040>=TRIG0[40] +SignalExport.triggerChannel<0000><0041>=TRIG0[41] +SignalExport.triggerChannel<0000><0042>=TRIG0[42] +SignalExport.triggerChannel<0000><0043>=TRIG0[43] +SignalExport.triggerChannel<0000><0044>=TRIG0[44] +SignalExport.triggerChannel<0000><0045>=TRIG0[45] +SignalExport.triggerChannel<0000><0046>=TRIG0[46] +SignalExport.triggerChannel<0000><0047>=TRIG0[47] +SignalExport.triggerChannel<0000><0048>=TRIG0[48] +SignalExport.triggerChannel<0000><0049>=TRIG0[49] +SignalExport.triggerChannel<0000><0050>=TRIG0[50] +SignalExport.triggerChannel<0000><0051>=TRIG0[51] +SignalExport.triggerChannel<0000><0052>=TRIG0[52] +SignalExport.triggerChannel<0000><0053>=TRIG0[53] +SignalExport.triggerChannel<0000><0054>=TRIG0[54] +SignalExport.triggerChannel<0000><0055>=TRIG0[55] +SignalExport.triggerChannel<0000><0056>=TRIG0[56] +SignalExport.triggerChannel<0000><0057>=TRIG0[57] +SignalExport.triggerChannel<0000><0058>=TRIG0[58] +SignalExport.triggerChannel<0000><0059>=TRIG0[59] +SignalExport.triggerChannel<0000><0060>=TRIG0[60] +SignalExport.triggerChannel<0000><0061>=TRIG0[61] +SignalExport.triggerChannel<0000><0062>=TRIG0[62] +SignalExport.triggerChannel<0000><0063>=TRIG0[63] +SignalExport.triggerChannel<0000><0064>=TRIG0[64] +SignalExport.triggerChannel<0000><0065>=TRIG0[65] +SignalExport.triggerChannel<0000><0066>=TRIG0[66] +SignalExport.triggerChannel<0000><0067>=TRIG0[67] +SignalExport.triggerChannel<0000><0068>=TRIG0[68] +SignalExport.triggerChannel<0000><0069>=TRIG0[69] +SignalExport.triggerChannel<0000><0070>=TRIG0[70] +SignalExport.triggerChannel<0000><0071>=TRIG0[71] +SignalExport.triggerChannel<0000><0072>=TRIG0[72] +SignalExport.triggerChannel<0000><0073>=TRIG0[73] +SignalExport.triggerChannel<0000><0074>=TRIG0[74] +SignalExport.triggerChannel<0000><0075>=TRIG0[75] +SignalExport.triggerChannel<0000><0076>=TRIG0[76] +SignalExport.triggerChannel<0000><0077>=TRIG0[77] +SignalExport.triggerChannel<0000><0078>=TRIG0[78] +SignalExport.triggerChannel<0000><0079>=TRIG0[79] +SignalExport.triggerChannel<0000><0080>=TRIG0[80] +SignalExport.triggerChannel<0000><0081>=TRIG0[81] +SignalExport.triggerChannel<0000><0082>=TRIG0[82] +SignalExport.triggerChannel<0000><0083>=TRIG0[83] +SignalExport.triggerChannel<0000><0084>=TRIG0[84] +SignalExport.triggerChannel<0000><0085>=TRIG0[85] +SignalExport.triggerChannel<0000><0086>=TRIG0[86] +SignalExport.triggerChannel<0000><0087>=TRIG0[87] +SignalExport.triggerChannel<0000><0088>=TRIG0[88] +SignalExport.triggerChannel<0000><0089>=TRIG0[89] +SignalExport.triggerChannel<0000><0090>=TRIG0[90] +SignalExport.triggerChannel<0000><0091>=TRIG0[91] +SignalExport.triggerChannel<0000><0092>=TRIG0[92] +SignalExport.triggerChannel<0000><0093>=TRIG0[93] +SignalExport.triggerChannel<0000><0094>=TRIG0[94] +SignalExport.triggerChannel<0000><0095>=TRIG0[95] +SignalExport.triggerChannel<0000><0096>=TRIG0[96] +SignalExport.triggerChannel<0000><0097>=TRIG0[97] +SignalExport.triggerChannel<0000><0098>=TRIG0[98] +SignalExport.triggerChannel<0000><0099>=TRIG0[99] +SignalExport.triggerChannel<0000><0100>=TRIG0[100] +SignalExport.triggerChannel<0000><0101>=TRIG0[101] +SignalExport.triggerChannel<0000><0102>=TRIG0[102] +SignalExport.triggerChannel<0000><0103>=TRIG0[103] +SignalExport.triggerChannel<0000><0104>=TRIG0[104] +SignalExport.triggerChannel<0000><0105>=TRIG0[105] +SignalExport.triggerChannel<0000><0106>=TRIG0[106] +SignalExport.triggerChannel<0000><0107>=TRIG0[107] +SignalExport.triggerChannel<0000><0108>=TRIG0[108] +SignalExport.triggerChannel<0000><0109>=TRIG0[109] +SignalExport.triggerChannel<0000><0110>=TRIG0[110] +SignalExport.triggerChannel<0000><0111>=TRIG0[111] +SignalExport.triggerChannel<0000><0112>=TRIG0[112] +SignalExport.triggerChannel<0000><0113>=TRIG0[113] +SignalExport.triggerChannel<0000><0114>=TRIG0[114] +SignalExport.triggerChannel<0000><0115>=TRIG0[115] +SignalExport.triggerChannel<0000><0116>=TRIG0[116] +SignalExport.triggerChannel<0000><0117>=TRIG0[117] +SignalExport.triggerChannel<0000><0118>=TRIG0[118] +SignalExport.triggerChannel<0000><0119>=TRIG0[119] +SignalExport.triggerChannel<0000><0120>=TRIG0[120] +SignalExport.triggerChannel<0000><0121>=TRIG0[121] +SignalExport.triggerChannel<0000><0122>=TRIG0[122] +SignalExport.triggerChannel<0000><0123>=TRIG0[123] +SignalExport.triggerChannel<0000><0124>=TRIG0[124] +SignalExport.triggerChannel<0000><0125>=TRIG0[125] +SignalExport.triggerChannel<0000><0126>=TRIG0[126] +SignalExport.triggerChannel<0000><0127>=TRIG0[127] +SignalExport.triggerChannel<0000><0128>=TRIG0[128] +SignalExport.triggerChannel<0000><0129>=TRIG0[129] +SignalExport.triggerChannel<0000><0130>=TRIG0[130] +SignalExport.triggerChannel<0000><0131>=TRIG0[131] +SignalExport.triggerChannel<0000><0132>=TRIG0[132] +SignalExport.triggerChannel<0000><0133>=TRIG0[133] +SignalExport.triggerChannel<0000><0134>=TRIG0[134] +SignalExport.triggerChannel<0000><0135>=TRIG0[135] +SignalExport.triggerChannel<0000><0136>=TRIG0[136] +SignalExport.triggerChannel<0000><0137>=TRIG0[137] +SignalExport.triggerChannel<0000><0138>=TRIG0[138] +SignalExport.triggerChannel<0000><0139>=TRIG0[139] +SignalExport.triggerChannel<0000><0140>=TRIG0[140] +SignalExport.triggerChannel<0000><0141>=TRIG0[141] +SignalExport.triggerChannel<0000><0142>=TRIG0[142] +SignalExport.triggerChannel<0000><0143>=TRIG0[143] +SignalExport.triggerChannel<0000><0144>=TRIG0[144] +SignalExport.triggerChannel<0000><0145>=TRIG0[145] +SignalExport.triggerChannel<0000><0146>=TRIG0[146] +SignalExport.triggerChannel<0000><0147>=TRIG0[147] +SignalExport.triggerChannel<0000><0148>=TRIG0[148] +SignalExport.triggerChannel<0000><0149>=TRIG0[149] +SignalExport.triggerChannel<0000><0150>=TRIG0[150] +SignalExport.triggerChannel<0000><0151>=TRIG0[151] +SignalExport.triggerChannel<0000><0152>=TRIG0[152] +SignalExport.triggerChannel<0000><0153>=TRIG0[153] +SignalExport.triggerChannel<0000><0154>=TRIG0[154] +SignalExport.triggerChannel<0000><0155>=TRIG0[155] +SignalExport.triggerChannel<0000><0156>=TRIG0[156] +SignalExport.triggerChannel<0000><0157>=TRIG0[157] +SignalExport.triggerChannel<0000><0158>=TRIG0[158] +SignalExport.triggerChannel<0000><0159>=TRIG0[159] +SignalExport.triggerChannel<0000><0160>=TRIG0[160] +SignalExport.triggerChannel<0000><0161>=TRIG0[161] +SignalExport.triggerChannel<0000><0162>=TRIG0[162] +SignalExport.triggerChannel<0000><0163>=TRIG0[163] +SignalExport.triggerChannel<0000><0164>=TRIG0[164] +SignalExport.triggerChannel<0000><0165>=TRIG0[165] +SignalExport.triggerChannel<0000><0166>=TRIG0[166] +SignalExport.triggerChannel<0000><0167>=TRIG0[167] +SignalExport.triggerChannel<0000><0168>=TRIG0[168] +SignalExport.triggerChannel<0000><0169>=TRIG0[169] +SignalExport.triggerChannel<0000><0170>=TRIG0[170] +SignalExport.triggerChannel<0000><0171>=TRIG0[171] +SignalExport.triggerChannel<0000><0172>=TRIG0[172] +SignalExport.triggerChannel<0000><0173>=TRIG0[173] +SignalExport.triggerChannel<0000><0174>=TRIG0[174] +SignalExport.triggerChannel<0000><0175>=TRIG0[175] +SignalExport.triggerChannel<0000><0176>=TRIG0[176] +SignalExport.triggerChannel<0000><0177>=TRIG0[177] +SignalExport.triggerChannel<0000><0178>=TRIG0[178] +SignalExport.triggerChannel<0000><0179>=TRIG0[179] +SignalExport.triggerChannel<0000><0180>=TRIG0[180] +SignalExport.triggerChannel<0000><0181>=TRIG0[181] +SignalExport.triggerChannel<0000><0182>=TRIG0[182] +SignalExport.triggerChannel<0000><0183>=TRIG0[183] +SignalExport.triggerChannel<0000><0184>=TRIG0[184] +SignalExport.triggerChannel<0000><0185>=TRIG0[185] +SignalExport.triggerChannel<0000><0186>=TRIG0[186] +SignalExport.triggerChannel<0000><0187>=TRIG0[187] +SignalExport.triggerChannel<0000><0188>=TRIG0[188] +SignalExport.triggerChannel<0000><0189>=TRIG0[189] +SignalExport.triggerChannel<0000><0190>=TRIG0[190] +SignalExport.triggerChannel<0000><0191>=TRIG0[191] +SignalExport.triggerChannel<0000><0192>=TRIG0[192] +SignalExport.triggerChannel<0000><0193>=TRIG0[193] +SignalExport.triggerChannel<0000><0194>=TRIG0[194] +SignalExport.triggerChannel<0000><0195>=TRIG0[195] +SignalExport.triggerChannel<0000><0196>=TRIG0[196] +SignalExport.triggerChannel<0000><0197>=TRIG0[197] +SignalExport.triggerChannel<0000><0198>=TRIG0[198] +SignalExport.triggerChannel<0000><0199>=TRIG0[199] +SignalExport.triggerChannel<0000><0200>=TRIG0[200] +SignalExport.triggerChannel<0000><0201>=TRIG0[201] +SignalExport.triggerChannel<0000><0202>=TRIG0[202] +SignalExport.triggerChannel<0000><0203>=TRIG0[203] +SignalExport.triggerChannel<0000><0204>=TRIG0[204] +SignalExport.triggerChannel<0000><0205>=TRIG0[205] +SignalExport.triggerChannel<0000><0206>=TRIG0[206] +SignalExport.triggerChannel<0000><0207>=TRIG0[207] +SignalExport.triggerChannel<0000><0208>=TRIG0[208] +SignalExport.triggerChannel<0000><0209>=TRIG0[209] +SignalExport.triggerChannel<0000><0210>=TRIG0[210] +SignalExport.triggerChannel<0000><0211>=TRIG0[211] +SignalExport.triggerChannel<0000><0212>=TRIG0[212] +SignalExport.triggerChannel<0000><0213>=TRIG0[213] +SignalExport.triggerChannel<0000><0214>=TRIG0[214] +SignalExport.triggerChannel<0000><0215>=TRIG0[215] +SignalExport.triggerChannel<0000><0216>=TRIG0[216] +SignalExport.triggerChannel<0000><0217>=TRIG0[217] +SignalExport.triggerChannel<0000><0218>=TRIG0[218] +SignalExport.triggerChannel<0000><0219>=TRIG0[219] +SignalExport.triggerChannel<0000><0220>=TRIG0[220] +SignalExport.triggerChannel<0000><0221>=TRIG0[221] +SignalExport.triggerChannel<0000><0222>=TRIG0[222] +SignalExport.triggerChannel<0000><0223>=TRIG0[223] +SignalExport.triggerChannel<0000><0224>=TRIG0[224] +SignalExport.triggerChannel<0000><0225>=TRIG0[225] +SignalExport.triggerChannel<0000><0226>=TRIG0[226] +SignalExport.triggerChannel<0000><0227>=TRIG0[227] +SignalExport.triggerChannel<0000><0228>=TRIG0[228] +SignalExport.triggerChannel<0000><0229>=TRIG0[229] +SignalExport.triggerChannel<0000><0230>=TRIG0[230] +SignalExport.triggerChannel<0000><0231>=TRIG0[231] +SignalExport.triggerChannel<0000><0232>=TRIG0[232] +SignalExport.triggerChannel<0000><0233>=TRIG0[233] +SignalExport.triggerChannel<0000><0234>=TRIG0[234] +SignalExport.triggerChannel<0000><0235>=TRIG0[235] +SignalExport.triggerChannel<0000><0236>=TRIG0[236] +SignalExport.triggerChannel<0000><0237>=TRIG0[237] +SignalExport.triggerChannel<0000><0238>=TRIG0[238] +SignalExport.triggerChannel<0000><0239>=TRIG0[239] +SignalExport.triggerChannel<0000><0240>=TRIG0[240] +SignalExport.triggerChannel<0000><0241>=TRIG0[241] +SignalExport.triggerChannel<0000><0242>=TRIG0[242] +SignalExport.triggerChannel<0000><0243>=TRIG0[243] +SignalExport.triggerChannel<0000><0244>=TRIG0[244] +SignalExport.triggerChannel<0000><0245>=TRIG0[245] +SignalExport.triggerChannel<0000><0246>=TRIG0[246] +SignalExport.triggerChannel<0000><0247>=TRIG0[247] +SignalExport.triggerChannel<0000><0248>=TRIG0[248] +SignalExport.triggerChannel<0000><0249>=TRIG0[249] +SignalExport.triggerChannel<0000><0250>=TRIG0[250] +SignalExport.triggerChannel<0000><0251>=TRIG0[251] +SignalExport.triggerChannel<0000><0252>=TRIG0[252] +SignalExport.triggerChannel<0000><0253>=TRIG0[253] +SignalExport.triggerChannel<0000><0254>=TRIG0[254] +SignalExport.triggerChannel<0000><0255>=TRIG0[255] +SignalExport.triggerPort<0000>.name=TRIG0 +SignalExport.triggerPortCount=1 +SignalExport.triggerPortIsData<0000>=true +SignalExport.triggerPortWidth<0000>=256 +SignalExport.type=ila + diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256.constraints/chipscope_ila_256.ucf b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.constraints/chipscope_ila_256.ucf new file mode 100644 index 000000000..b458eed9c --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.constraints/chipscope_ila_256.ucf @@ -0,0 +1,15 @@ +# +# Clock constraints +# +NET "CLK" TNM_NET = D_CLK ; +INST "U0/*/U_STAT/U_DIRTY_LDC" TNM = D2_CLK; +TIMESPEC TS_D2_TO_T2_chipscope_ila_256 = FROM D2_CLK TO "FFS" TIG; +TIMESPEC TS_J2_TO_D2_chipscope_ila_256 = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J3_TO_D2_chipscope_ila_256 = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J4_TO_D2_chipscope_ila_256 = FROM "FFS" TO D2_CLK TIG; + +# +# Input keep/save net constraints +# +NET "TRIG0<*" S; +NET "TRIG0<*" KEEP; diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256.constraints/chipscope_ila_256.xdc b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.constraints/chipscope_ila_256.xdc new file mode 100644 index 000000000..49e2b9e7b --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.constraints/chipscope_ila_256.xdc @@ -0,0 +1,6 @@ +# +# Clock constraints +# +set_false_path -from [get_cells U0/*/U_STAT/U_DIRTY_LDC] -to [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] +set_false_path -from [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC] +set_false_path -from [get_cells U0/*/U_RST/U_ARM_XFER/U_GEN_DELAY[3].U_FD] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC] diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ncf b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ncf new file mode 100644 index 000000000..e69de29bb diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc new file mode 100644 index 000000000..e6dfef4e8 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$0746~4<%8;0<95?0126?5678920<=>?0123=>6789:;<=>;0:23456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0133?56789:;<=>?012351=789>?7=>>1023546688:;<2:330>768O;j7<=5IORVP?GCL[K\^LJKR=31>586i2;86D@_UU8B@ATEZMKOH_2>2;2=51=6<3CE\XZ5AEFQE974294:86?;:HLSQQ0=;4FNQWW>AOEL@6:97>114922?IR\Y__6IANDN>21?699<1::7AZTQWW>AIELF6:97>11:01?766>28>:7GAPTV9PWO@858>97>11591>LHW]]0OE]OKIQ>2>586<281EC^ZT;FJTGBNX5;1<3?<;38JJUSS2^OJ[HB31;2=56=52@D[YY4XECUFQ97=87;>7?4@UURVP?BHXHND\1?50?36?7<5IORVP?BNI59:6=0>2:11>LHW]]0OEO2<1;2=56=4:3E^X][[:EMB867=87;87><5OTVSQQ117902?OIG\^1OB@[RNN?70<7681?86:>0132?1RCF4:0;2<>44;KMTPR=_LH7?7>11397>JSSX\^1HB^N<283:44<<3E^X][[:EMSF95=87209:;:0323a>3=AGZ^X7O34;2=5>>53H:97L?=;@01?D533H6;295N<0<7?D:56=1J0>09;@>7>5833H6?255NDEPB858>3HNO^L2>0?;8EABUI5;:245NDEPB8449j2KOH_O31283:<=FLMXJ0<=18:CG@WG;9720MIJ]A=0=<>GCL[K7?364AEFQE92902KOH_O35?:8EABUI5<546OKDSC?3;>7>1f:CG@WGPZHNO^1?=>d9B@ATF_[KOH_2>>d9B@ATF_[KOH_2=>d9B@ATF_[KOH_2<>d9B@ATF_[KOH_2;>d9B@ATF_[KOH_2:>d9B@ATF_[KOH_29>d9B@ATF_[KOH_28>d9B@ATF_[KOH_27>d9B@ATF_[KOH_26>99B@ATE49427LJKRC>24;?>89B@ATE4885n6OKDS@?56<7601JHI\M<01=<>GCL[H7=364AEFQF94902KOH_L33?:8EABUJ5>546OKDS@?1;>GCL[H753k4AEFQFWBFLMX7<3h4AEFQFWBFLMX7==0i;@FGVGTCIMNY02;2=b>GCL[HYHLJKR=31:`=FLMXI^IOKDS>2:`=FLMXI^IOKDS>1:`=FLMXI^IOKDS>0:`=FLMXI^IOKDS>7:`=FLMXI^IOKDS>6:`=FLMXI^IOKDS>5:`=FLMXI^IOKDS>4:`=FLMXI^IOKDS>;:`=FLMXI^IOKDS>::468178GIM>8=1H@FO:;BNHE41EKCJ;>7NBDD078GIMC^j1H@FJY_EKUMAC23JF@JU64CMIE\4>7;2IG^45LOLOJPQCCi2IDBY\@L=2=f>EHF]XD@1??>c9@KKRUGE6:=3l4CNLWVJJ;9;4i7NAATSMO8459j2IDBY\@L=37:g=DGG^YCA2>5?`8GJHSZFF7=;0m;BMMPWIK48=5n6M@NUPLH97?6k1HCCZ]OM>2=;g=0m;BMMPWIK4;;5n6M@NUPLH9456k1HCCZ]OM>17;dEHF]XD@1<1b:ALJQTHD59;2o5LOOVQKI:497h0OB@[RNN?778e3JEEX_AC<21=f>EHF]XD@1=;>e9@KKRUGE6897>1b:ALJQTHD59>2l5LOOVQKI:46h1HCCZ]OM>7:d=DGG^YCA2:>`9@KKRUGE6=2l5LOOVQKI:06h1HCCZ]OM>;:d=DGG^YCA26>068GJTBWNOEI_O[INL\MK@H?2IYILZJD09G<>B799I;<=:4D0A3g>BF\HU\EIZG_@a8@DRFW^COXEQM2:FJ2>BNI5:5;6JFA=33:2=CAH6:=394DHC?57803MCJ0<=17:FJE9736>1OEL2>5?58@LG;9?4<7IGN<05=3>BNI5;32:5KI@>2=;01OEL2=3?58@LG;:=4<7IGN<37=3>BNI58=2:5KI@>13;1508;EKB87?9>2NBM1<17:FJE957601OEL2<1;2=3>BNI59:2;5KI@>0:3=CAH6?2;5KI@>6:3=CAH6=2;5KI@>4:3=CAH632;5KI@>::==CAHNB0=06;EKB@L:68730HDOKI=32:<=CAHNB0<<19:FJEAO;9:427IGNDH>20;d720HDOKI=5=<>BNIMC74364DHCGM9?9>2NBN1>17:FJF9776>1OEO2>1?58@LD;9;4<7IGM<01=3>BNJ5;?2:5KIC>21;169GMG:617<0HDL31?58@LD;:94<7IGM<33=3>BNJ5892:5KIC>17;1908;EKA8739?2NBN1<9>69GMG:5?7=0HDL329<4?AOE4;35:6JFB=0=3>BNJ59;245KIC>05?69?2NBN1=>>79GMG:46?1OEO2;>79GMG:26?1OEO29>79GMG:06?1OEO27>79GMG:>611OEOJF<1<:?AOEL@6:<374DH@GM976601OEOJF<00==>BNJMC7=>06;EKA@L:6<7h0HDLKI=36>58>3MCIHD2>5?:8@LDCA5;546JFBEK?6;>BNJMC7:364DH@GM91902NBNIG38?:8@LDCA535;6JFP@>3:2=CAYK7=394DHRB878>3MC[M1=50?58@LVF4:4j7IG_AEKS858d3MC[MIG_<083:d=CAYKOE]2>>69GMUD;87=0HD^M<0<4?AOWJ58556JFPC>0>5803MC[N1=1a:FJTGBNX5:5o6JFPCFJT97=87k0HD^MDHR?5;01OCL2>3?58@JG;9=4<7IAN<07=3>BHI5;=2:5KO@>23;12NDM1?17:FLE9476>1OCL2=1?58@JG;:;4<7IAN<31=3>BHI58?2:5KO@>11;1;08;EMB8719?2NDM1<7>69GKD:517<0HBO32?58@JG;;9427IAN<2394;189GKDBH48:556J@AEM?548>3MEJHB2>2?;8@JGCG5;8245KO@FL8429j2NDMIA31483:<=CGHND0<;18:FLEAI;9720HBOKO=0=<>BHIME7?364DNCGK92902NDMIA35?:8@JGCG5<546J@AEM?3;>BHJ5;:2:5KOC>26;108;EMA8429?2NDN1?:>69GKG:6>7=0HBL316<4?AIE4825;6J@B=3::3=CGK6:2:5KOC>14;1<08;EMA8749?2NDN1<<>69GKG:5<7=0HBL324<4?AIE4;<5;6J@B=04:2=CGK694394DN@?6<813MEI0?08;EMA866912NDN1=>:1<4?AIE4:;5:6J@B=1=2>BHJ5>5:6J@B=7=2>BHJ5<5:6J@B=5=2>BHJ525:6J@B=;=<>BHJME7<374DN@GK977601OCOJ@<03==>BHJME7=?06;EMA@J:6;730HBLKO=37:g=CGKND0<;50?;8@JDCG5;>255KOCFL848?3MEIHB2=>99GKGBH4:437IAMDN>7:==CGKND0807;EMA@J:1611OCOJ@<6<;?AIELF63255KOCFL8<803MEIS_KH7:FLTD:76>1OC]O31?58@JVF4;427IA_A=194;10n;EMSEAIW494h7IA_AEMS84<76h1OC]OKOQ>2:2=CGYH7<394DNRA84803ME[N1<19:FLTG:4294<7IA_B=1=e>BHXKND\1>1c:FLTGBHX5;1<3o4DNRA@JV;97;0I?5J459F05453LL87H@N7:GMERTBF:1NBO94EO@Q@@He3LDT^^Z]AL]Bf>CIW[Y_^LCPB59E45@682L;H=K?C1@355G789>0J;??119EEC6EIK:NMH>NA@26?CGK[L80JO<4FE33?CB0=K38=HM94@:146=ALJ>0JIMJ3:DGA==ALO23H56<;GFS7>@CZ=1MH_K<;GFP55=ANLOMIHKIEDGEA@C?3OLNJIHLF59EBC633OLMI<>4FGDEE7>7I;2;M?6?119EBC@BMJOMJKHJCBA24>@ANOLNJKHIFDDFB@773OLMJKHIF476103388:0JKHIFGDE?0123=6I<;FLG5>O53@:97D?=;H01?L553@>97D;7;HLJPUY7811BBDZ__13;?LHN\YU;>55FNHVS[55?3@DBX]Q?499JJLRWW9?37D@FTQ]32==NF@^[S=96;HLJPVRF\L=0ECG[_124?LHN\V::;6GAIU]362=NF@^T<>94IOKW[5203@DBXR>:7:KMMQY7>>1BBDZP0658MKOSW92<7D@FT^2:3>OIA]U;M:5FNHV\4G194IOKW[4203@DBXR?:7:KMMQY6>>1BBDZP1658MKOSW82<7D@FT^3:3>OIA]U:M:5FNHV\5G1E69JJLRX9O=0ECG[_324?LHN\V8:;6GAIU]162=NF@^T>>94IOKW[7203@DBXR<:7:KMMQY5>>1BBDZP2658MKOSW;2<7D@FT^0:3>OIA]U9M:5FNHV\6G194IOKW[6203@DBXR=:7:KMMQY4>>1BBDZP3658MKOSW:2<7D@FT^1:3>OIA]U8M:5FNHV\7G1M8;HLJPZ5C?2CEEYQJR\:>0@XZ;4:NVP02529M525HHFL;0C<94OQVJIL\BWYXBADZFVDQ\JBE63Y=0\#:6d^N7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD;8TNYOD\^EA>5_RD48TVBHFL;0]>5^1418U6763[k0^LCM17@TAW?TT\KXXX:5]SUVZT@c2YY@<8J7:QQHF71M>1X^AM<2D58WWPFDVK<7^\YAM]A2>USI]_X86]VNB18PAV?3]XBK=2?>`9WVLA748:;2l5[RHE384666h1_^DI?<021:d=SZ@M;0<><>`9WVLA748:?2l5[RHE384626h1_^DI?<025:d=SZ@M;0<>8>`9WVLA748:32l5[RHE3846>601_^DI?<02=e>RUAN:7=<>1a:VQMB6;98;5m6Z]IF2?5449i2^YEJ>3101=e>RUAN:7=<:1a:VQMB6;98?5m6Z]IF2?5409i2^YEJ>3105=e>RUAN:7=<61a:VQMB6;983556Z]IF2?548f3]XBK=2>21<0n;UPJC5:6:;4j7Y\FG1>2668f3]XBK=2>2580n;UPJC5:6:?4j7Y\FG1>2628f3]XBK=2>29406;UPJC5:6:7k0X_GH0=304;g<\[CL<1?<1?c8PWO@85;8>3o4TSKD4974;7k0X_GH0=300;g<\[CL<1?<5?c8PWO@85;8:3o4TSKD4974?7k0X_GH0=30<;g<\[CL<1?<9?;8PWO@85;82l5[RHE384276h1_^DI?<062:d=SZ@M;0<:=>`9WVLA748>82l5[RHE384236h1_^DI?<066:d=SZ@M;0<:9>`9WVLA748><2l5[RHE3842?6h1_^DI?<06::<=SZ@M;0<:1a:VQMB6;9<:5m6Z]IF2?5079i2^YEJ>3140=e>RUAN:7=8=1a:VQMB6;9<>5m6Z]IF2?5039i2^YEJ>3144=e>RUAN:7=891a:VQMB6;9<25m6Z]IF2?50?912^YEJ>31484j7Y\FG1>2278f3]XBK=2>62<4j7Y\FG1>2238f3]XBK=2>660427Y\FG1>22;g<\[CL<1?80?c8PWO@85;<=3o4TSKD4970:7k0X_GH0=347;g<\[CL<1?84?c8PWO@85;<93o4TSKD4970>7k0X_GH0=343;g<\[CL<1?88?c8PWO@85;<5374TSKD49706h1_^DI?<0:3:d=SZ@M;0<6>>`9WVLA748292l5[RHE384>46h1_^DI?<0:7:d=SZ@M;0<6:>`9WVLA7482=2l5[RHE384>06h1_^DI?<0:;:d=SZ@M;0<66>89WVLA74825m6Z]IF2?5<69i2^YEJ>3183=e>RUAN:7=4<1a:VQMB6;9095m6Z]IF2?5<29i2^YEJ>3187=e>RUAN:7=481a:VQMB6;90=5m6Z]IF2?5<>9i2^YEJ>318;==>RUAN:7=407;UPJC5:66h1_^DI?<323:d=SZ@M;0?>>>`9WVLA74;:92l5[RHE387646h1_^DI?<327:d=SZ@M;0?>:>`9WVLA74;:=2l5[RHE387606h1_^DI?<32;:d=SZ@M;0?>6>89WVLA74;:5m6Z]IF2?6469i2^YEJ>3203=e>RUAN:7><<1a:VQMB6;:895m6Z]IF2?6429i2^YEJ>3207=e>RUAN:7><81a:VQMB6;:8=5m6Z]IF2?64>9i2^YEJ>320;==>RUAN:7><0n;UPJC5:5:94j7Y\FG1>1648f3]XBK=2=23>0n;UPJC5:5:=4j7Y\FG1>1608f3]XBK=2=27:0n;UPJC5:5:14j7Y\FG1>16<8>3]XBK=2=2?c8PWO@8588<3o4TSKD494497k0X_GH0=006;g<\[CL<1<<3?c8PWO@858883o4TSKD4944=7k0X_GH0=002;g<\[CL<1<<7?c8PWO@858843o4TSKD49441730X_GH0=00:d=SZ@M;0?:?>`9WVLA74;>:2l5[RHE387256h1_^DI?<360:d=SZ@M;0?:;>`9WVLA74;>>2l5[RHE387216h1_^DI?<364:d=SZ@M;0?:7>`9WVLA74;>2245[RHE38729i2^YEJ>3242=e>RUAN:7>8?1a:VQMB6;:<85m6Z]IF2?6059i2^YEJ>3246=g>RUAN:7>8;50?c8PWO@858>9374TSKD4942601_^DI?<34==>RUAN:7>:06;UPJC5:50730X_GH0=0::==SZ@M;0?06;UPJC5:48730X_GH0=12:<=SZ@M;0><19:VQMB6;;:427Y\FG1>00;?<\[CL<1=:>89WVLA74:<556Z]IF2?728>3]XBK=2<8?;8PWO@8592255[RHE3868>3]XBK=2;0?;8PWO@85>:245[RHE3814912^YEJ>342<:?QTNO96?8374TSKD4922601_^DI?<54==>RUAN:78:06;UPJC5:30730X_GH0=6::==SZ@M;0906;UPJC5:28730X_GH0=72:<=SZ@M;08<19:VQMB6;=:427Y\FG1>60;?<\[CL<1;:>89WVLA74<<556Z]IF2?128>3]XBK=2:8?;8PWO@85?2255[RHE3808>3]XBK=290?;8PWO@85<:245[RHE3834912^YEJ>362<:?QTNO96=8374TSKD4902601_^DI?<74==>RUAN:7::06;UPJC5:10730X_GH0=4::==SZ@M;0;06;UPJC5:08730X_GH0=52:<=SZ@M;0:<19:VQMB6;?:427Y\FG1>40;?<\[CL<19:>89WVLA74><556Z]IF2?328>3]XBK=288?;8PWO@85=2255[RHE3828>3]XBK=270?;8PWO@852:245[RHE38=4912^YEJ>382<:?QTNO9638374TSKD49>2601_^DI?<94==>RUAN:74:06;UPJC5:?0730X_GH0=:::==SZ@M;0506;UPJC5:>8730X_GH0=;2:<=SZ@M;04<19:VQMB6;1:427Y\FG1>:0;?<\[CL<17:>89WVLA740<556Z]IF2?=28>3]XBK=268?;8PWO@8532255[RHE38<833]S[I>k4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[00_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ335X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY247[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^77:T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]68:W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;;?P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[04_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ331X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY243[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^77>T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]68>W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;;;P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[08_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ33=X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY24X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_46Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_477U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\98:V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8;:Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=WNOEWS7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[030Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX545Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_473U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\98>V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8;>Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=<;R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEWS7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[034Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX541Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_47?U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\982V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8;2Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=<7R.H]PVIRXFLU;"KHWNOEW1\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>21_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ314X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY264[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^759T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6:;W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;9>P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[>S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?=3\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>25_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ310X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY260[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^75=T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6:?W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;9:P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[:S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?=7\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>29_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ31&MFRS4QHEOY26<[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^751T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6:T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS88V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS89;Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=>>R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<=>]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T123^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[011Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX564Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_454U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9:9V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS89?Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=>:R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<=:]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T127^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[015Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX560Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_450U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9:=V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS893Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=>6R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<=6]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T12;^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[01^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY27X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY205[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^738T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6<8W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;?=P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[42_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ377X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY201[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^734U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[46_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ373X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY20=[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^730T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6<0W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;?5P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[WNOEW<:R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<;?]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T142^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[072Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX507Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_435U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9<8V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8?8Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=8=R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<;;]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T146^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[076Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX503Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_431U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9<WNOEW<;7]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T14:^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[07:Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX50?Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_43Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6=T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6>9W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;=

4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[63_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ356X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY226[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^71;T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6>=W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;=8P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[67_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ352X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY222[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^71?T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6>1W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;=4P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[6\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[04^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[053Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX526Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_416U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9>;V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8=9Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=:WNOEW<9<]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T161^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[057Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX522Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_412U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9>?V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8==Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=:8R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<98]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T165^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[05;Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX52>Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_41>U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9>3V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8=V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[80_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ3;5X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY2<7[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^7?:T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]60:W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;3?P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[84_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ3;1X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY2<3[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^7?>T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]60>W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;3;P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[88_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ3;=X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY2Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_4?7U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\90:V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS83:Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=4?R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<7=]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T180^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[0;0Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX5<5Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_4?3U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\90>V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS83>Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=4;R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<79]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T184^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[0;4Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX5<1Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_4??U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\902V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS832Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=47R.H]PVIRXFLU;"KHWNOEW<7R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>9\,J[VTK\VDNS= IF2g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>]/K\WWJSWGOT<#JCY81`?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T1\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=01_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ034X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY144[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^479T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]58;W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR8;>P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ030X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY140[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^47=T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]58?W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR8;:P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ03&MFRS4QHEOY14<[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^471T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]58T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;:V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;;;Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP><>R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW??>]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T203^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[331Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX644Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_774U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:89V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;;?Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP><:R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW??:]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T207^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[335Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX640Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_770U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:8=V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;;3Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP><6R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW??6]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T20;^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[33^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY15X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY165[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^458T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]5:8W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR89=P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[?S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV<=2\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=22_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ017X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY161[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^454U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[;S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV<=6\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=26_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ013X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY16=[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^450T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]5:0W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR895P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[P F_RPOPZHBW9$O@T7WNOEW?WNOEW?=?]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T222^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[312Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX667Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_755U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\::8V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;98Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>>=R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW?=;]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T226^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[316Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX663Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_751U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\::>9R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW?=7]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T22:^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[31:Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX66?Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_75Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]5;T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]5<9W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR8?

4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ076X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY106[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^43;T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]5<=W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR8?8P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ072X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY102[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^43?T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]5<1W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR8?4P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[36^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[373Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX606Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_736U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:<;V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;?9Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>8WNOEW?;<]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T241^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[377Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX602Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_732U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[WNOEW?8R.H]PVIRXFLU;"KHWNOEW?9R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=7\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=8\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[3:^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[3;^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY1=X(NWZXGXR@J_1,EB6c<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY1Y+OX[[F_SCKP0/FO]<5d3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX6X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY04X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_66Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_67Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]49T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]4:T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS:8V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS:9V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[WNOEW>:R.H]PVIRXFLU;"KHWNOEW>;R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU<5\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU<6\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[24^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[25^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY03X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY0Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_6?Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]41T$BS^\CT^LF[5(AN:o0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]4U'CT__B[_OG\4+BKQ09h7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\;T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]38T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS=:V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS=;V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[P F_RPOPZHBW9$O@T7WNOEW9WNOEW9=R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU;3\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU;4\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[56^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[57^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY71X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY72X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_10Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_11Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]3?T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]30T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS=2V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS=3V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[k4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[

WNOEW8>R.H]PVIRXFLU;"KHWNOEW8?R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU:1\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU:2\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[40^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[41^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY67X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY60X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_02Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_03Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]2=T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]2>T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS<j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[;P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[4P F_RPOPZHBW9$O@T7WNOEW86R.H]PVIRXFLU;"KHWNOEW87R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU:9\,J[VTK\VDNS= IF2g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU:]/K\WWJSWGOT<#JCY81`?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T5\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU90\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[72^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[73^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY55X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY56X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_34Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_35Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]1;T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]1V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS??V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[WNOEW;8R.H]PVIRXFLU;"KHWNOEW;9R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU97\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU98\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[7:^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[7;^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY5=X(NWZXGXR@J_1,EB6c<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY5Y+OX[[F_SCKP0/FO]<5d3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX2X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY44X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_26Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_27Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]09T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]0:T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS>8V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS>9V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[WNOEW::R.H]PVIRXFLU;"KHWNOEW:;R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU85\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU86\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[64^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[65^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY43X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY4Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_2?Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]01T$BS^\CT^LF[5(AN:o0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]0U'CT__B[_OG\4+BKQ09h7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\?T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]?8T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS1:V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS1;V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[P F_RPOPZHBW9$O@T7WNOEW5WNOEW5=R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU73\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU74\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[96^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[97^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY;1X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY;2X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_=0Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_=1Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]??T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]?0T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS12V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS13V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[k4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[WNOEW4>R.H]PVIRXFLU;"KHWNOEW4?R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU61\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU62\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[80^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[81^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY:7X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY:0X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_<2Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_<3Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]>=T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]>>T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS0j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[WNOEW46R.H]PVIRXFLU;"KHWNOEW47R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU69\,J[VTK\VDNS= IF2g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU6]/K\WWJSWGOT<#JCY81`?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T9\,J[VTK\VDNS= IF308Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?4;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw48:;2?:4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~3113=61=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:68;4986[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1??3?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos84636;>0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7==;1259V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>24385<2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5;;;3<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<02;:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;9935>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>0?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos84776;>0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7=25785<2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5;:?3<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<037:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;98?5>95Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>17<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt976?78?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?54?9::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6:=3<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<003:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;9;;5>95Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>23<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt975;78?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0<<;>368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?5739:=1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6:>;0=4:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=313;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw48832?:4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~313;=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:6:78?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0<=?>368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?5679:=1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6:??0=4:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=307;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw489?2?:4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~3127=61=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:6;?4986[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1?<7?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos845?6;>0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7=>71229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>27;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw48>;2?:4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~3153=61=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:6<;4986[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1?;3?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos84236;>0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7=9;1259V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>20385<2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5;?;3<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<06;:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;9=35>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>4?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos84376;>0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7=8?1259V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>21785<2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5;>?3<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<077:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;995Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>57<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt972?78?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0<;7>368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?50?9::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6:93<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<043:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;9?;5>95Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>63<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt971;78?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0<8;>368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?5339:=1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6::;0=4:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=353;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw48<32?:4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~317;=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:6>78?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0<9?>368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?5279:=1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6:;?0=4:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=347;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw48=?2?:4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~3167=61=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:6??4986[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1?87?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos841?6;>0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7=:71229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>23;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw482;2?:4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~3193=61=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:60;4986[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1?73?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos84>36;>0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7=5;1259V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>2<385<2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5;3;3<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<0:;:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;9135>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>8?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos84?76;>0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7=4?1259V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>2=785<2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5;2?3<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<0;7:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;90?5>95Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>97<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt97>?78?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0<77>368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?5S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt947878?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0?>>>368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?6549:=1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey69<>0=4:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=030;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4;:>2?:4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~3214=61=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:58>4986[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|16;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7>=0=4:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=024;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4;;:2?:4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~3200=61=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:59:4986[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1<>4?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos87726;>0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7><81259V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>15285<2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx58:43<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<33::75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;:84986[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1<=0?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos87466;>0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7>?<1259V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>16685<2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx58983<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<306:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;:;<5>95Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2=26<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt945078?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0?<6>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?6785<2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx588<3<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<312:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;::85>95Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2=32<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt944<78?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0?=:>368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?6609:=1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey69?:0=4:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=00<;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4;922?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~322<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt943878?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0?:>>368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?6149:=1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey698>0=4:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=070;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4;>>2?:4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~3254=61=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:5<>4986[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1<;8?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos872>6;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7>90=4:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=064;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4;?:2?:4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~3240=61=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:5=:4986[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1<:4?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos87326;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7>80=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=05:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;:>49?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1<7>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?6<85:2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx585>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2<0?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8679::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey68>3<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<21=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:4<7887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0>;1229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>02;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4:=5>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2<8?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos86?9:;1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey682?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~341<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9266;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz78?0=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=60:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;<=49?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1::>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?0385;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5><2?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~349<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt92>6;80Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz783<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<42=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:297887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{08<1229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>67;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4<>5>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2:5?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8009::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6>;3<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<4:=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:217897X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{080=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=43:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;>849?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|18=>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?2685;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9016;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7::0=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=4;:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;>049>6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|181229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>44;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4>;5>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}282?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8259::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6<83<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<67=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:0>7887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0:91229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>4<;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4>35>?5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}28>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?<585;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx52:2?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~383<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9>46;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7490=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=:6:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;0?49?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|168>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?<=85;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5222?<4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~38?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8<69::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey62=3<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<80=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:>;7887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{04:1229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>:1;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw40<5>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}267?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8<>9::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6253<=;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<8<57>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%BSZGKTI]:*pYsk>?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/H]TMAROW0$~SymP0.[FWZJR\?$^SA[[6778Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'@U\EIZG_8,v[qeX9<20Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/sqw1`=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$xnQyeb>3:0c<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#ymPvda?5;073\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,GL:66?:0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)DA585:=5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&IB0>090:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#NG34?43?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz CH>6:36<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-@M909>91^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*EN4>4=<6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'JC743;i;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$H1>15g9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"J31?7e?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz D=0=1c=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.F?7;3a3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,@929=o1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*B;=7?m7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(L5<59k5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&N7;3;i;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$H1616c9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT0\,DjM(RWD_SOT89;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$KV>R.T]E@WC1=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+B]7U'_T@XZ97:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#JU?]/W\\JTDQ?h0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)@S8W%KcF!U^OV\F_1>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+B]6U'_TJI\J649V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT1\,V[ISS>>1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*A\9T$^SUA]CX4a?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz GZ0^*BhO&\UFYUMV679V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT2\,V[CBUM??0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)@S;W%YRBZT758Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!H[3_-QZ^HZJS=n6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ8Q#IaH/W\IP^DQ?<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)@S:W%YRHKRD46?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz GZ1^*PYK]]<<7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(OR9V"XQWOSAZ2g=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX0X(@fA$^S@[WCX45?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz GZ6^*PYAL[O=96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ?Q#[PLTV53>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/FY7Y+SXPFXHU;l4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%LW8S!GoJ-QZKRPJS=:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ>Q#[PFEPF20=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX1X(RWE__::5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&MP9P Z_YMQG\0e3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,C^0Z&NdC"XQBUYAZ23=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX2X(RWONYI;;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%LW;S!U^NVP31<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-D_3[)]VRD^NW9b:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#JU8]/EmL+SXE\RHU;84U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%LW:S!U^DGV@023\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,C^1Z&\UGYY88;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$KV9R.T][KWE^>?1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*A\0T$^SKJ]E778Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!H[9_-QZJR\?=0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)@S1W%YRV@RB[6b>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/R>3:0`<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-P8482n2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+V:56j6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'Z6?28h4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%X080:f:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#^29>4d8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!\<6<6b>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/R>;:0g<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQ}su4`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZVNGU"IPRDE\FLR\8T$^SKJ]E7a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABUWMFR#JQ]EF]AMQ]6U'_TJI\J6b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@ATXLES$KR\JG^@JP^4Z&\UMH_K9c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@WYCDP%LS_KH_CKW_6[)]VLO^H8l;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGVZBKQ&MT^HIPBHVX0X(RWONYI;m4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ[AJ^'NUYIJQMIUY6Y+SXNMXN:n5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP\@I_(OVXNKRLFTZ4^*PYAL[O=o6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS]GH\)@W[OLSOG[[6_-QZ@CZL I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR^FO]*AXZLMTNDZT8\,V[CBUM0i0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ER]9.T]@WZDUIDUHM^MNDD]P2*OXLLZ_DRB[EP]22N(RWZHYM@Q?/H]A5=M@\9$LS_OBB0:X4X(rW{kfn<6 U^PBIG7?i?1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BS^8!U^AP[GTFEVIJ_NOKE^Q5+LYCMY^CSAZJQ^35O+SX[KXJAR> I^@2Xagy~`lzj1`58Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI=5EHT1,D[WGJJ82P

(]VXJAO?7_hlpqigsm892h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPS7,V[FUXJ[KFSNO\C@FF[V0(AVNN\YFPLUGR[40L&\UXN_OB_1-J[G7?CN^;"JQ]AL@2<^77U'T~lcm19-V[WGJJ82j46[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPS7,V[FUXJ[KFSNO\C@FF[V0(AVNN\YFPLUGR[40L&\UXN_OB_1-J[G7?CN^;"JQ]AL@2<^77U'T~lcm19-V[WGJJ82Tec}zl`vf54g03\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WGJ'@UX:#[PCR]AVDKXKHYHMIKPS7-J[ACW\AUGXH_P17I-QZUEZHGT<"GPB0:HCQ6)OVXJAO?7[02^*pYuidh:4"[PR@OA5=Ynfzgmyk<9e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q:=P z_scnf4>(]VXJAO?7a99V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q:=P z_scnf4>(]VXJAO?7_hlpqigsm88j;6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPS7,V[FUXJ[KFSNO\C@FF[V0(AVNN\YFPLUGR[40L&\UXN_OB_1-J[G7?CN^;"JQ]AL@2<^76U'T~lcm19-V[WGJJ82Tec}zl`vf66J,V[VDUIDU;#DQM19IDP5(@W[KFN<6T13_-qZtfek;3#XQ]AL@2 I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQ\6/W\GVYEZHGTOL]LAEG\W3)NWMO[XEQCTDS\53M)]VYI^LCP0.K\F4>LO]:%KR\NMC3;_45Z&|Uym`l>8.W\VDKE91k<7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQ\6/W\GVYEZHGTOL]LAEG\W3)NWMO[XEQCTDS\53M)]VYI^LCP0.K\F4>LO]:%KR\NMC3;_45Z&|Uym`l>8.W\VDKE91Ubb~{caug3e==R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWZ<%YRM\_CPBIZEF[JKOIR]9/H]GAUROWE^N]R?9K/W\WGTFEV:$ERL>8JEW4+AXZHGI=5U>3\,v[wgjj82$YR\NMC3;[lht}eki<:6c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\W3(RWJYTN_OB_BCPGDBBWZ<$ERJJPUJ\HQCVW8<@"XQ\BSCN[5)NWK;3GJZ?.F]QEHD60R;V"xQ}al`2<*SXZHGI=5o8;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]P2+SXKZUI^LCPC@Q@EACX[?%BSIK_TI]OP@WX9?A%YR]MR@O\4*OXJ82@KY>!G^PBIG7?S8W%yR|nmc3;+PYUIDH:4Rgastnbp`75i?1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BS^8!U^AP[GTFEVIJ_NOKE^Q5+LYCMY^CSAZJQ^35O+SX[KXJAR> I^@2Xagy~`lzj28a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI=5EHT1,D[WGJJ82P>P z_scnf4>(]VXJAO?7a69V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q9Q#{Pr`oa5=)RW[KFN<6Pioqvhdrb98k=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQ\6/W\GVYEZHGTOL]LAEG\W3)NWMO[XEQCTDS\53M)]VYI^LCP0.K\F4>LO]:%KR\NMC3;_7[)}Vxjao?7/T]QEHD60Vcexbntd1:g>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PBI*OX[?$^SN]PBSCN[FGTKHNNS^8 I^FFTQNXD]OZS<8D.T]PFWGJW9%BSO?7KFV3*BYUIDH:4V=R.t]qehd60&_T^LCM19c4?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SCN+LYT>'_TO^QMR@O\GDUDIMOT_;!F_EGSPMYK\L[T=;E!U^QAVDKX8&CTN<6DGU2-CZTFEK;3W>S!u^pbig7?'\UYM@L>8^kmwpjf|l;;m;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYM@!F_R4-QZETWKXJARMNSBCGAZU1'@UOI]ZG_MVFUZ71C'_T_O\NM^2,MZD60BM_<#IPR@OA5=]4U'T~lcm19-V[WGJJ82Tec}zl`vf0!G^PBIG7?S>W%yR|nmc3;+PYUIDH:4Rgastnbp`0f>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTFE&CT_; Z_BQ\FWGJWJKXOLJJ_R4,MZBBX]BT@YK^_04H*PYTJ[KFS=!F_C3;OBR7&NUYM@L>8Z5^*pYuidh:4"[PR@OA5=Ynfzgmyk79b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q3Q#{Pr`oa5=)RW[KFN<6n6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\W3(RWJYTN_OB_BCPGDBBWZ<$ERJJPUJ\HQCVW8<@"XQ\BSCN[5)NWK;3GJZ?.F]QEHD60R2V"xQ}al`2<*SXZHGI=5Qfnrwoeqc2i?1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BS^8!U^AP[GTFEVIJ_NOKE^Q5+LYCMY^CSAZJQ^35O+SX[KXJAR> I^@2Xagy~`lzj98a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI=5EHT1,D[WGJJ82P5P z_scnf4>(]VXJAO?7a69V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q2Q#{Pr`oa5=)RW[KFN<6Pioqvhdrb99k=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQ\6/W\GVYEZHGTOL]LAEG\W3)NWMO[XEQCTDS\53M)]VYI^LCP0.K\F4>LO]:%KR\NMC3;_<[)}Vxjao?7/T]QEHD60Vcexbntd6;`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PBI*OX[?$^SN]PBSCN[FGTKHNNS^8 I^FFTQNXD]OZS<8D.T]PFWGJW9%BSO7DGU2-qZtfek3$YR\NMC;:3>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PBI*OX[?$^SN]PBSCN[FGTKHNNS^8 I^FFTQNXD]OZS<8D.T]PFWGJW9%BSO7DGU2-qZtfek3$YR\NMC;\mkurdh~n<464U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^Q5*PYD[VHYM@QLARAB@@YT>&CTHH^[H^NWATY6>B$^S^L]AL]3+LYE1BM_<#{Pr`oa=*SXZHGI5Rgastnbp`73=j1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K:*PYJ]Q?:>"Cbuy]L[462k2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H;-QZKRP<;9#@czx^M\573d3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXKFFTAXV I8,V[HS_=88$A`{w_N]270b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^3050b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^3060b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^3070b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^3000e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^371a=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@_0621a=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@_0611a=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@_0601a=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@_0671a=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@_0661a=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@_0651f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@_076`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D7!U^OV\075'Dg~tRAP1436`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D7!U^OV\075'Dg~tRAP1406`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D7!U^OV\075'Dg~tRAP1416g>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D7!U^OV\075'Dg~tRAP177g?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E4 Z_LW[144(EdsSBQ>607`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E4 Z_LW[144(EdsSBQ>74f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR?814f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR?824f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR?834f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR?844f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR?854a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR?75e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C2"XQBUY726*Kj}qUDS<6>5d9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C2"XQBUY726*Kj}qUDS<6>04g8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR?7107f?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E4 Z_LW[144(EdsSBQ>8006a>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D7!U^OV\075'Dg~tRAP19301`=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@_0:200b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^3;60b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^3;70b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^3;00b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^3;10b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^3;20b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^3;30b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^3;<0b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^3;=0e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^3:1a=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@_0;21`=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@_0;240c<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^3:543b3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXKFFTAXV I8,V[HS_=88$A`{w_N]2=442m2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H;-QZKRP<;9#@czx^M\5<74=l1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K:*PYJ]Q?:>"Cbuy]L[4?6<=?!Bmtz\KZ7>9 I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M<(RWD_S9<< Mlw{[JY618<>i6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L?)]VG^T8?=/Lov|ZIX90;<9h5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O>&\UFYU;>2.Onq}YHW83:48k4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N1'_TAXV:13-Nip~XGV;2=4;k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWFU:5?;k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWFU:5>;k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWFU:59;k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWFU:58;k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWFU:5;;k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWFU:5:;k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWFU:55;k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWFU:54;l;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWFU9<8j4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N1'_TAXV:13-Nip~XGV8;=8k4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N1'_TAXV:13-Nip~XGV8;==;j;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWFU9<=?=5d9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C2"XQBUY726*Kj}qUDS?>>34g8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR14f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR<>24f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR<>34f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR<>44f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR<>54a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR<=5d9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C2"XQBUY726*Kj}qUDS?Qi74`8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR=:c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@3%YRCZX431+HkrpVET?<;m;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWFU?9n5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O>&\UFYU;>2.Onq}YHW=;>n6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L?)]VG^T8?=/Lov|ZIX0=?!Bmtz\KZ?2k2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H;-QZKRP<;9#@czx^M\=42c3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/BK?5;2c3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/BK?6;2c3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/BK?7;2c3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/BK?0;2c3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/BK?1;2c3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/BK?2;2c3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/BK?3;2c3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/BK?<;2c3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/BK?=;2d3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/E>3:1e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ D=3=0f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!K<3<7g>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"J33?6`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#I2;>5a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$H1;14b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%O0;0;c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&N7;3:l;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'M6329m4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(L535955Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S9W%KcF!U^OV\F_2;2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX4X(RWONYI8<4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(OR:V"XQCUU77?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#JU?]/W\\JTDQ<20Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,C^7Z&NdC"XQBUYAZ16=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[0_-QZ@CZL?97X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]6U'_T@XZ:4:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&MP=P Z_YMQG\3?3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/FY1Y+Ai@'_TAXVLY418Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S;W%YRV@RB[6<>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"IT3\,DjM(RWD_SOT;<;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'NQ8Q#[PFEPF17=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[2_-QZJR\<>0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,C^5Z&\USC_MV599V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW9S!GoJ-QZKRPJS>?6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\Q#[PLTV60>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"IT5\,V[]IUKP?37X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]1U'MeD#[PMTZ@]05<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ GZ4^*PYAL[O>>6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\>T$^SA[[559V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW;S!U^ZLVF_202_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX3X(@fA$^S@[WCX70?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#JU8]/W\BATB=;1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-D_2[)]VF^X8:4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(OR=V"XQWOSAZ1==R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[9_-CkN)]VG^TNW:3:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&MP4P Z_GFQA04<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ GZ:^*PYK]]??7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]?U'_TTB\LY418Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV7R.T]E@WC2:2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX=X(RWE__995Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S0W%YRV@RB[7g>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"]30?6`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#^2>>5a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$_1<14b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%X0>0;c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&Y783:l;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'Z6>29m4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT([5<58n5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)T4>4?o6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*U;07>h7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+V:>6=20Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWjegSljkr=2=0==R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\gjjXimny0<0;8:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQlom]b`at;:7>37X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVid`Rokds>0:1><]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[fikWhno~1:1499V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPcnn\eabu4<4?46[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUhcaQndep?2;2?3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`ZehdVkoh28>5:8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_bmo[dbcz5258n5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nTobbPaefq[aj~494?o6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUhcaQndep\`i;97>h7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVid`Rokds]gh|:56=i0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWjegSljkr^fo}9596:42d3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`ZehdVkohQklx>5:1e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[fikWhno~Rjcy=5=0f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\gjjXimnySibv<9<70>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;87>=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2>01<72>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;99;58;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<021:10<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;;?3:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>24183>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7==;1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84616=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1??7?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6814?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo311;=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48:58;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<033:10<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;:=3:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>25783>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=<=1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84736=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?>5?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:69?4?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3105=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48;32984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=32=;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:=3:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>26583>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=??1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84456=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?=3?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6:=4?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3137=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf488=2984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=313;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:>50;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?57?9<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0<<1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84576=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?<1?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6;;4?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3121=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf489?2984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=301;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:?;0;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5619548Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe97417>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2>3?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6<94?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3153=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48>92984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=377;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:890;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5139548Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe973?7>=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2>49<72>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;9=35885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<06=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48?;2984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=365;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:9?0;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5059548Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe972=7>=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2>57<72>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;9<=58;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<07;:10<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;>53::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>21;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6::=0;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5379548Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe971;7>=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2>65<72>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;9??58;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<045:10<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;=;3:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>22=83>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=;71449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8409548Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe97097>=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2>73<72>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;9>958;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<057:10<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;<93:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>23383>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=:91479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb841?6=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?89?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6?7>=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2>81<72>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;91;58;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<0:1:10<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;3?3:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>2<183>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=5;1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84>16=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?77?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6014?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo319;=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48258;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<0;3:10<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;2=3:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>2=783>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=4=1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84?36=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?65?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:61?4?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3185=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48332984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=3:=;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:53:;;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>2:10<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi58;<3:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>14483>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7>=<1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb87646=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm12_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7><>1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb87766=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1<>2?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:59:4?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3206=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;;>2984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=022;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h69=:0;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?64>9578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9466=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1<=0?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:5:84?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3230=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;882984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=010;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h69>80;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?6709548Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe94507>=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2=28<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;:;4?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3222=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;9:2984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=006;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h69?>0;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?6629548Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe944>7>=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2=36<72>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;::258;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<31::13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5882984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=074;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h698<0;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?6149548Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe943<7>=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2=44<72>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;:=<58;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<364:10<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi58?43:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>10<83=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7>90;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?6069>548Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe942:7>=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2=52<72>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;:<>58;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<376:10<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi58>:3:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>11283>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7>861479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb873>6=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1<:>548Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe94187>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2=6?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:5?7>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2=8?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:517>?7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2=>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9576=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1=>>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9556=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1=<>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9536=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1=:>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9516=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1=8>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe95?6=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1=6>568Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe959<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j09>1449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8179<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j09<1449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8159<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j09:1449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8139<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0981449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8119<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0961449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb81?9<=1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j090;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?1583=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k79<0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?1783=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k79>0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?1183=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7980;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?1383=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k79:0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?1=83=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7940;4:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?1;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6=<3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>55;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6=>3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>57;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6=83::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>51;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6=:3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>53;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6=43::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>5=;233\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6=29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=53:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5=:29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=51:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5=829;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=57:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5=>29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=55:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5=<29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=5;:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5=229:4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=5=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf41:5885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<93=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4185885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<91=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf41>5885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<97=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf41<5885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<95=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4125885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<9;=01=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf414?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo391<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;184?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo393<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;1:4?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo395<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;1<4?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo397<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;1>4?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo399<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;104?86[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo39?6;?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^plsZgcl{6;2964U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSax_`fgv979<11^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXzf}Tmij}<3<7<>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]qkrYflmx7?3:7;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhR|`w^cg`w:36=20Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcW{e|Sljkr=7=0==R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\vjqXimny0;0;8:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQ}ov]b`at;?7>37X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVxd{Rokds>;:1><]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[wipWhno~171429V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPrnu\ak713\:$ER@@_E,V[LJF'\UOCXZ=b:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,GHVXZLYNX>;4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\MKRDIYUM"XQLAQUF463<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CTECZLAQ]E*PYDIY]N=8l4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PCEMMA*OXQLYGYY8!I^LL[WVJ&@URI^Q@RDE-KPRXZLM><6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B I^QQHQYIMV;%YRMKOOG,MZ_B[E__:#GPNN]QTH(RWD_SJ:;=;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_BFLJ@)NWPOX@XZ9.H]MKZTWE'_T__B<2^C66>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZECGGO$ERWJSMWW2+OXFFUY\@ Z_RPO77YE<>1^<"GPNN]G*PYNDH%^SJ'YY@R_31?70?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[FKWZLYNX"GPYDQOQQ0)AVDDS_^B.T]NQ]@0=<1^<"GPNN]G*PYNDH%^SJ.T]@IUTB[L^$ERWJSMWW2+OXFFUY\@ Z_RPO77YES7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZEJX[OXIY!F_XGPHPR1&ZXGS\2>>4;8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\JV6(AVSN_A[[6/K\JJYUXD$BSTK\_NPFC+IR\VXNK8?4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PNR2,MZ_B[E__:#GPNN]QTH(RWD_SJ:QNB438Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\JV6(AVSN_A[[6/K\JJYUXD$^S@[WF6]@@1c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a:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,WFHSWJG[>i5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/RAMPZEJXVIN>n5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/RAMPZEJXV[9o6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B SBLW[WCTM]>;7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#NO__@FGVZhbp}6;29>4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ C@R\EABUWgosx1?1419V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-@EUYFLMXTbhv{<3<74>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*EFXVKOH_Qaeyv?7;273\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'JK[SLJKR^lf|q:36=:0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$OL^PAEFQ[kc|5?58=5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!LAQ]B@ATXflr0;0;0:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.ABTZGCL[Ueiuz37?63?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+FGWWHNO^R`jxu>;:16<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(KHZTMIJ]_og{p9?9<01^<"GPNN]G*PYNDH%^SJ=A%JR]JLZ31Y+SX[LF?m6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GP0^VL[32L&OUXIAU>3\,V[VCK=A%JR]JLZ0^*PYTME>27X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ?_UM\21M)NVYN@V=R.T]PAI2>3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@U;SYAP65I-BZUBDR>V"XQ\EM6:?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LY7W]ET:9E!F^QFH^3Z&\UXIA:6;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]3[QIX>=A%JR]JLZ4^*PYTME>27X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ?_UM\21M)NVYN@V9R.T]PAI2>3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@U;SYAP65I-BZUBDR2V"XQ\EM6:?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LY7W]ET:9E!F^QFH^?Z&\UXIA:8;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]3[QIX>=A%ER]]L/W\W@J_=81^<"GPNN]G*PYNDH%^SJ I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQFNUABT+@XKHZTMIJ][3_-QZEFXVKOH_;:;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_7[)]VIJ\ROKDS]NQ]353\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UBBYMNP/D\GDVXIMNYW?S!U^k@EUYFLMX>=6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPIOV@EU(AWJK[SLJKRZ1^*PYDIYUJHI\:5:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^5Z&\UHM]QNDEP\IP^2:2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTECZLAQ,E[FGWWHNO^V=R.T]jGDVXIMNY9<5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_HLWGDV)NVIJ\ROKDSY7Y+SXKHZTMIJ]549V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[LHSKHZ%JRMNP^CG@W]3U'_TOL^PAEFQ[HS_=;1^<"GPNN]G*PYNDH%^SJ;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_3[)]VIJ\ROKDS76?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYNF]IJ\#HPC@R\EABUS?W%YRMNP^CG@WYJ]Q?97X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQFNUABT+@XKHZTMIJ][7_-QZoDIYUJHI\:1:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^1Z&\UHM]QNDEP61>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXAG^HM] I_BCS[DBCZR=V"XQLAQ]B@ATXE\R>>6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPIOV@EU(AWJK[SLJKRZ5^*PYnKHZTMIJ]509V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[LHSKHZ%JRMNP^CG@W]?U'_TOL^PAEFQ10=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NW@D_OL^!F^ABTZGCL[Q3Q#[PC@R\EABUWD_S9?5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_HLWGDV)NVIJ\ROKDSY;Y+SXaJK[SLJKR438Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZOI\JK["KQLAQ]B@AT\1T$^SNO__@FGV03<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVCEXNO_.G]@EUYFLMXP5P Z_BCS[DBCZVG^T8<4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^KMPFGW&OUHM]QNDEPX=X(RW`IJ\ROKDS71?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.AJ8482:2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)DA5859?5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$OD2<>408Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/BK?0;353\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*EN4<4>>6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%HE181539V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ CH>4:04<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+FO;07?97X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&IB040:1:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!K<1<65>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-G848292_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)C4;4>=6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%O0>0:1:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!K<5<65>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-G808292_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)C4?4>=6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%O0:0:1:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!K<9<65>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-G8<82l2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)@S9W%KcF!U^OV\F_202_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)@S9W%YRHKRD74?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.EX4X(RWE__945Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$KV>R.T][KWE^=m1^<"GPNN]G*PYNDH%^SJ.T]PGKR(OR;V"J`G.T]NQ]E^=11^<"GPNN]G*PYNDH%^SJ.T]PGKR(OR;V"XQIDSG63>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-D_4[)]VF^X874U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU>]/W\\JTDQ I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP?P HnI,V[HS_KP?37X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP?P Z_GFQA01<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+B]4U'_T@XZ:9:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[2_-QZ^HZJS>h6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW9S!GoJ-QZKRPJS>46[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW9S!U^DGV@303\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*A\1^<"GPNN]G*PYNDH%^SJ.T]PGKR(ORS7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-D_2[)]VRD^NW:d:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[9_-Ck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bE Z_LW[G\2b3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV>R.T]E@WC3l2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#JU?]/W\HPR3n2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#JU?]/W\\JTDQ<90Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER]]LU]MAZ7)]V]HBY!H[0_-CkN)]VG^TNW;e:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+B]6U'_TJI\J4e9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*A\9T$^SA[[4g9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*A\9T$^SUA]CX70?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(OR8V"J`G.T]NQ]E^?6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/FY0Y+Ai@'_TAXVLY5g8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S:W%YRHKRD6g?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(OR9V"XQCUU6e?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(OR9V"XQWOSAZ16=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP8P HnI,V[HS_KP>n7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ6^*PYAL[O?h6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/FY7Y+SXD\^?j6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/FY7Y+SXPFXHU8=4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_0[)OgB%YRCZXB[7a>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ>Q#[PFEPF0a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP9P Z_MWW0c=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP9P Z_YMQG\343\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV8R.FlK*PYJ]QIR8h5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.EX2X(RWONYI9j4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_3[)]VF^X9h4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_3[)]VRD^NW:3:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+B]0U'MeD#[PMTZ@]1c<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVYY@YQAE^3-QZQDF]%LW:S!U^DGV@2c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV9R.T]OQQ2a3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV9R.T][KWE^=:1^<"GPNN]G*PYNDH%^SJZ&\UMH_K;d:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+B]?U'_T@XZ;f:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+B]?U'_TTB\LY5g8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S0W%YRHKRD6g?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(OR3V"XQCUU6e?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(OR3V"XQWOSAZ02=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&Y7<3:8;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,W979<>1^<"GPNN]G*PYNDH%^SJ.T]TGKR([5958:5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.Q?0;203\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$_1;1469V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*U;>7><7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ S=5=02=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&Y743:8;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,W9?9;?1^<"GPNN]G*PYNDH%^SJ I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQ\COV\GHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%BSD]PTDPNMKGSAFDT_AGLE^LF4+SXE\RMn95Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_RAMPZEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYCa0>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX[JD_SNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,QZKRPKh?7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQ\COV\GHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%^S@[WCc68Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,QZUDF]UHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Cj=1^<"GPNN]G*PYNDH%^SJ'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C U^QQHGd33\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\UXOCZPCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGOo:4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^Q@JQYDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNGfd=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RWZIEXRMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+iekWzxgS|2?>cc8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,QZUDF]UHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7=3ln;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]PGKRXKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv4;4i56[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PSBLW[FKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl858e12_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T_N@[_BOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<0S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX[JD_SNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0?0m9:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\WFHSWJG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh4:4im6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PSBLW[FKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh969jh1^<"GPNN]G*PYNDH%^SJ2:gg<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]VYHBYQLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb32?`b?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYTKG^TO@^ I^AP[BGIL'_TO^QHAOF\WWJ(AVY="XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BS]O]THCO[VJNKL$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0>0k0:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWAIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/H]JWZRBZDCEMYG@N^QOMFCXFL:%YRCZXG`6?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYPKG^TDNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,QZKRPHh>7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQXCOV\LFKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZXC`6?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYPKG^TDNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,QZKRPJh>7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQXCOV\LFKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZXE`6?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYPKG^TDNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,QZUUDHh>7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQXCOV\LFKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]LC`6?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYPKG^TDNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,QZUUDJh>7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQXCOV\LFKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]LE`a?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYPKG^TDNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1>1bc9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VBHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7=3lm;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRX@JG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C lbn\wwjXy585nl5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZNDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:76kk0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YRYLNU]KGHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?5;df3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\U\OCZPHBOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<3S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX_JD_SEMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1=1bc9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VBHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7<3lm;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRX@JG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd5;5no5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZNDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;:7hi7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQXCOV\LFKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh959l91^<"GPNN]G*PYNDH%^SJS7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX_JD_SAMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QHi96[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[IEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYAa1>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX_JD_SAMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QNi96[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[IEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMCa1>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX_JD_SAMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+PYTZEHi96[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[IEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMAa1>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX_JD_SAMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+PYTZENin6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[IEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:76kh0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YRYLNU]OGHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r848ej2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_MANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>1:gg<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]V]HBYQCCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa30?`b?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYPKG^T@NC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0<0ma:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWEIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ykg585nl5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZJDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:46kh0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YRYLNU]OGHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo858ej2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_MANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>2:gd<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]V]HBYQCCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<3S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX_JD_SAMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2<>2`8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,gcaXlh~j0=0l4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ cge\`drf4;48n6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"mig^fbpd:46:h0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$okiPd`vb818382_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&imkRjnt`]uaf:687>;7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#nhh_ecweZpbk5;:29>4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ cge\`drfWoh0<<1419V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-`bbYci}kTzhm312<74>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*eaoVnjxlQyeb>20;273\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'jllSio{a^tfg9726=:0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$okiPd`vb[scd48<5?k5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!lff]geqgX~li7=3=i;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/bdd[agsiV|no1<13g9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-`bbYci}kTzhm33?1e?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+f``WmkmRxjc=6=7c=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)dnnUomyoPvda?1;5a3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'jllSio{a^tfg909;o1^<"GPNN]G*PYNDH%^SJ I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#nhh_ecweZpbk525?k5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!lff]geqgX~li753=l;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/hABTZGCL[6;2>m4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ iBCS[DBCZ5;5?n5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!fC@R\EABU4;48o6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"gLAQ]B@AT;;79h7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#dMNP^CG@W:36:i0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$eNO__@FGV939;j1^<"GPNN]G*PYNDH%^SJR]^.T]@EUESZE%^SNO__@FGVBCI'`IJ\ROKDS>;:6e<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(aJK[SLJKR=;=7==R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)n[JD_0=0<8:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.kPGKR;97937X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#d]LNU>1:6><]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(aZIEX1=1399V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-jWFHS4=4846[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"g\COV?1;5?3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'`YHBY29>2:8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,mVEI\5=5?55Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!fSBLW8=8402_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&cXOCZ39?0f?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWZIEXRMBP^S1<>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]V^YEJ<6;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[QTNO9827X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_UPJC44a3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SZMAT^J@IUYV:o1^<"GPNN]G*PYNDH%^SJ I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!XCOV\G@4e3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%\OCZPHBOS6`=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'^IEXRFLMQ]@A7b<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&]HBYQGCLR\U7d<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&]HBYQCCLR1a>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(_JD_SAMBP^AF6a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'^IEXRBLMQ]R6a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'jllSio{a=2=6a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'jllSio{a=3=6a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'jllSio{a=0=6a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'jllSio{a=1=6a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'jllSio{a=6=6a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'jllSio{a=7=6a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'jllSio{a=4=6a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'jllSio{a=5=6a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'jllSio{a=:=6a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'jllSio{a=;=6f=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'`IJ\RY]_DL1`>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(a]XBKJK]_HL1a>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(a]XBKJK]_NWW61=R8&CTBBQK.T]JHD)RW[Y_#JQ]SUY3Y+SXZZ^986[?/H]MKZB)]VCGM"[PRRV,CZTT\R;V"XQ]SU07?P6(AVDDSI Z_HNB+PYU[]%LS_][[3_-QZTT\;>0Y=!F_OM\@+SXAEK$YR\\T.E\VVR\;T$^S_][259V4*OXFFUO"XQFL@-V[WUS'NUY_YU;]/W\VVR5<2_;#DQAO^F-QZOKI&_T^^Z G^PPP^3Z&\UY_Y<;;T2,MZHHWM$^SDBN/T]QWQ)@W[Y_W;S!U^PPP72<]9%BSCAPD/W\MIG(]VXXX"IPRRVX3X(RW[Y_>=5Z0.K\JJYC&\UB@L!Z_SQW+MGK\Vz~`~k>9:W3+LYIGVN%YRGCA.W\VVR(XFX9<6[?/H]MKZB)]VCGM"[PRRV,TWCXZLYNX=:5Z0.K\JJYC&\UB@L!Z_SQW+PYFZDUSJH\ U^FLQQ4?3\:$ER@@_E,V[LJF'\UY_Y!Z_@PN[]@BZ&_THB[[03:8Q5)NWGETH#[PIMC,QZTT\&_TM_CPXGGQ+PYCG\^:?95Z0.K\JJYC&\UB@L!Z_SQW+PYFZDUSJH\ U^EFJZBBDHSP=P Z_GF00>S7'@UECRJ!U^KOE*SXZZ^$YRO]M^ZEAW)RWNOESIKCAXY1Y+SXNM9?7X> I^LL[A(RW@FJ#XQ]SU-V[DTJWQLN^"[PGDL\@@JFQR9V"XQID268Q5)NWGETH#[PIMC,QZTT\&_TM_CPXGGQ+PY@MGUOIAOV[5_-QZ@C:11^<"GPNN]G*PYNDH%^S_][/T]BVHY_NLX$YR\IDSG1<>S7'@UECRJ!U^KOE*SXZZ^$YRO]M^ZEAW)RW]LO^H<]9%BSCAPD/W\MIG(]VXXX"[PASO\\CCU'`NBB1>1299V4*OXFFUO"XQFL@-V[WUS'\UJ^@QWFDP,mAOI4849:6[?/H]MKZB)]VCGM"[PRRV,QZGUEVRMI_!fDNWW6a=R8&CTBBQK.T]JHD)RW[Y_#XQNRL][B@T(aME^XRjcy=2=6a=R8&CTBBQK.T]JHD)RW[Y_#XQNRL][B@T(aME^XRjcy=3=6a=R8&CTBBQK.T]JHD)RW[Y_#XQNRL][B@T(aME^XRjcy=0=6a=R8&CTBBQK.T]JHD)RW[Y_#XQNRL][B@T(aME^XRjcy=6=6<=R8&CTBBQK.T]JHD)RW[Y_#XQGAMV\\CCU'\UH@HO]299V4*OXFFUO"XQFL@-V[WUS'\UCMAZPXGGQ+PYCG\^956[?/H]MKZB)]VCGM"[PRRV,QZNFD]USJH\ U^FLQQ6512_;#DQAO^F-QZOKI&_T^^Z U^JBHQY_NLX$YRJ@UU301>S7'@UECRJ!U^KOE*SXZZ^$YRFNLU][B@T(]VMNBRJJL@[X5X(RWON896[?/H]MKZB)]VCGM"[PRRV,QZNFD]USJH\ U^EFJZBBDHSP>P Z_GF1=>S7'@UECRJ!U^KOE*SXZZ^$YRFNLU][B@T(]VXMH_K=9:W3+LYIGVN%YRGCA.W\VVR(]VBJ@YQWFDP,QZRAL[O9h6[?/H]MKZB)]VCGM"[PRRV,QZNFD]USJH\ dhl\hdrd`ln9:6[?/H]MKZB)]VCGM"[PRRV,QZNFD]USJH\ iBNQ6<=R8&CTBBQK.T]JHD)RW[Y_#XQGAMV\\CCU'`NBB1>1289V4*OXFFUO"XQFL@-V[WUS'\UCMAZPXGGQ+lBNF5;5>:5Z0.K\JJYC&\UB@L!Z_SQW+PYOIE^TTKK]/hFLQQ4b3\:$ER@@_E,V[LJF'\UY_Y!Z_ICOPZ^AM[%bHB[[_enz8585m2_;#DQAO^F-QZOKI&_T^^Z U^JBHQY_NLX$eIAZT^fo}9499k1^<"GPNN]G*PYNDH%^S_][/T]SKW7c3\:$ER@@_E,V[LJF'\UY_Y!Z_QPPP57c3\:$ER@@_E,V[LJF'\UY_Y!Z_QPPP47d3\:$ER@@_E,V[LJF'\UY_Y!Z_SQW44`<]9%BSCAPD/W\MIG(]VXXX"g]ERGW8585=2_;#DQAO^F-QZOKI&_T_YO[/@AWV@UB\Vz~`~k=0:W3+LYIGVN%YRGCA.W\WQGS'HI_Si}{au03?P6(AVDDSI Z_HNB+PYT\H^$M_CPdrvbp73<]9%BSCAPD/W\MIG(]VY_MY!LAQ]QAVCSWmfr=>f:W3+LYIGVN%YRGCA.W\WQGS'MCYXTQK1328Q5)NWGETH#[PIMC,QZUSI]%OE_ZV_RGO67=R8&CTBBQK.T]JHD)RWZ^JX"JFRU[\`vrf|8l0Y=!F_OM\@+SXAEK$YR][AU-GWQGS4=4986[?/H]MKZB)]VCGM"[PSUCW+AUSI]UnbRjcy007?P6(AVDDSI Z_HNB+PYT\H^$H^ZNT^gm[aj~:;>0Y=!F_OM\@+SXAEK$YR][AU-GWQGSWldThaw<209V4*OXFFUO"XQFL@-V[VRF\&NXXLZPlncg6<=R8&CTBBQK.T]JHD)RWZ^JX"KWTBCS[WCFLPUoyo{209V4*OXFFUO"XQFL@-V[VRF\&L^@AQksucw6c=R8&CTBBQK.T]JHD)RWZ^JX"HPSRVBP^6Z&@UXXLZ!U^QWEQ573\:$ER@@_E,V[LJF'\UXXLZ F^QPPDR\99W%ER][AU,V[VRF\;l0Y=!F_OM\@+SXAEK$YR][AU-E[VUSI]Q:Q#GPSUCW*PYT\H^9j6[?/H]MKZB)]VCGM"[PSUCW+CYT[]K_W?S!I^QWEQ(RWZ^JX?h4U1-J[KIXL'_TEAO U^QWEQ)AWZY_MYU<]/K\WQGS&\UXXLZ=f:W3+LYIGVN%YRGCA.W\WQGS'OUX_YO[[5_-MZUSI]$^S^ZNT3d8Q5)NWGETH#[PIMC,QZUSI]%MS^][AUY6Y+OX[]K_"XQ\T@V1b>S7'@UECRJ!U^KOE*SX[]K_#KQ\SUCW_3[)AVY_MY Z_RVBP7`<]9%BSCAPD/W\MIG(]VY_MY!I_RQWEQ]0U'CT_YO[.T]PPDR5n2_;#DQAO^F-QZOKI&_T_YO[/G]PWQGSS1W%ER][AU,V[VRF\;l0Y=!F_OM\@+SXAEK$YR][AU-E[VUSI]Q2Q#GPSUCW*PYT\H^986[?/H]MKZB)]VCGM"[PSUCW+BYI[R:V"XQASP07?P6(AVDDSI Z_HNB+PYT\H^$KR@\[0_-QZHTY;>0Y=!F_OM\@+SXAEK$YR][AU-D[KU\:T$^SC]^259V4*OXFFUO"XQFL@-V[VRF\&MTB^U<]/W\JVW5<2_;#DQAO^F-QZOKI&_T_YO[/F]MW^2Z&\UE_\<;;T2,MZHHWM$^SDBN/T]PPDR(OVDXW8S!U^LPU72<]9%BSCAPD/W\MIG(]VY_MY!H_OQX2X(RWGYZ>95Z0.K\JJYC&\UB@L!Z_RVBP*AXFZQS7'@UECRJ!U^KOE*SX[]K_#JQASZ:^*PYI[X8?7X> I^LL[A(RW@FJ#XQ\T@V,CZHTS0W%YR@\Q3;8Q5)NWGETH#[PIMC,QZUSI]%FaxvPDHPW]Zbt|h~:=?<4U1-J[KIXL'_TEAO U^QWEQ)I[Vnxxlz30?01?P6(AVDDSI Z_HNB+PYT\H^$B^Qksucw8485:2_;#DQAO^F-QZOKI&_T_YO[/OQ\`vrf|585>?5Z0.K\JJYC&\UB@L!Z_RVBP*HTWmymy2<>308Q5)NWGETH#[PIMC,QZUSI]%E_Rj|t`v?0;453\:$ER@@_E,V[LJF'\UXXLZ NR]gwqgs4<49>6[?/H]MKZB)]VCGM"[PSUCW+KUXlz~jx181239V4*OXFFUO"XQFL@-V[VRF\&DXSi}{au>4:74<]9%BSCAPD/W\MIG(]VY_MY!AS^fppdr;07897X> I^LL[A(RW@FJ#XQ\T@V,JVYc{}k040>e:W3+LYIGVN%YRGCA.W\WQGS'GYT`bok249V4*OXFFUO"XQFL@-V[VRF\&Y_MYKPdrvbp969:<1^<"GPNN]G*PYNDH%^S^ZNT.QWEQCXlz~jx1?11g9V4*OXFFUO"XQFL@-V[VRF\&^OCR`jxu07?P6(AVDDSI Z_HNB+PYT\H^$X_GHGDP\`vrf|8i0Y=!F_OM\@+SXAEK$YR][AU-V[DTJ:<1^<"GPNN]G*PYNDH%^S^ZNT.W\EWKXoenS~k{1c9V4*OXFFUO"XQFL@-V[VRF\&_TO_<<;T2,MZHHWM$^SDBN/T]PPDR(]VNB^YWPFEAF66=R8&CTBBQK.T]JHD)RWZ^JX"[PDHPW]Z@CXL897X> I^LL[A(RW@FJ#XQ\T@V,QZBNZ]ST@IM/T]GKPR5=2_;#DQAO^F-QZOKI&_T_YO[/T]GWI7(]VNDYY>=5:W3+LYIGVN%YRGCA.W\WQGS'\UO_A? U^FLQQ7492_;#DQAO^F-QZOKI&_T_YO[/T]GWI7(]VMNBRJJL@[X5X(RWON996[?/H]MKZB)]VCGM"[PSUCW+PYC[E;$YR\IDSG11>S7'@UECRJ!U^KOE*SX[]K_#XQKSM3,QZRAL[O956[?/H]MKZB)]VCGM"[PSUCW+PYC[E;$hd`Pl`v`l`b5:2_;#DQAO^F-QZOKI&_T_YO[/T]GWI7(aJFY>85Z0.K\JJYC&\UB@L!Z_RVBP*SXLZF:#dJFN=2=60=R8&CTBBQK.T]JHD)RWZ^JX"[PDRN2+lBNF5;5>l5Z0.K\JJYC&\UB@L!Z_RVBP*SXLZF:#dJ@UU]gh|:76;k0Y=!F_OM\@+SXAEK$YR][AU-V[AUK9&cOCXZPdm{?5;7c3\:$ER@@_E,V[LJF'\UXXLZ U^FPH77c3\:$ER@@_E,V[LJF'\UXXLZ U^FPH67d3\:$ER@@_E,V[LJF'\UXXLZ U^FPV4e<]9%BSCAPD/W\MIG(]VY_MY!Z_DAQ60=R8&CTBBQK.T]JHD)RWZ^JX"[PEBP\cisbWzo=i5Z0.K\JJYC&\UB@L!Z_RVBP*SXN\FG>;5Z0.K\JJYC&\UB@L!Z_RVBP*SXN\FGSjbze^qfp61<]9%BSCAPD/W\MIG(]VY_MY!Z_LW[+PYD[VG^T"G>.T]NQ]4(EdsSB?<8:W3+LYIGVN%YRGCA.W\WQGS'\UFYU!Z_BQ\IP^(A8$^S@[W2.Onq}YH98927X> I^LL[A(RW@FJ#XQ\T@V,QZKRP&_TO^QBUY-J5+SXE\R9#@czx^M2555>3\:$ER@@_E,V[LJF'\UXXLZ U^OV\*SXKZUFYU!F1/W\IP^5'Dg~tRA>101a?P6(AVDDSI Z_HNB+PYT\H^$YRCZX.W\GVYJ]Q%B=#[PMTZ1+HkrpVE:= I^LL[A(RW@FJ#XQ\T@V,QZKRP&_TO^QBUY-J5+SXE\R9#@czx^M2565>3\:$ER@@_E,V[LJF'\UXXLZ U^OV\*SXKZUFYU!F1/W\IP^5'Dg~tRA>151:?P6(AVDDSI Z_HNB+PYT\H^$YRCZX.W\GVYJ]Q%B=#[PMTZ1+HkrpVE:=8=7;T2,MZHHWM$^SDBN/T]PPDR(]VG^T"[PCR]NQ])N9'_TAXV=/Lov|ZI6::20Y=!F_OM\@+SXAEK$YR][AU-V[HS_'\UH_RCZX.K2*PYJ]Q8$A`{w_N307==R8&CTBBQK.T]JHD)RWZ^JX"[PMTZ,QZETWD_S#D?!U^OV\7)Je|rTC<:<8:W3+LYIGVN%YRGCA.W\WQGS'\UFYU!Z_BQ\IP^(A8$^S@[W2.Onq}YH9<9h7X> I^LL[A(RW@FJ#XQ\T@V,QZKRP&_TO^QBUY-J5+SXE\R9#@czx^M21ZUP8:i0Y=!F_OM\@+SXAEK$YR][AU-V[HS_'\UH_RCZX.K2*PYJ]Q8$A`{w_N36[VQ6;11^<"GPNN]G*PYNDH%^S^ZNT.W\IP^(]VIXS@[W/H3-QZKRP;%FaxvPO040<>S7'@UECRJ!U^KOE*SX[]K_#XQBUY-V[FUXE\R$E< Z_LW[6*Kj}qUD=:=7;T2,MZHHWM$^SDBN/T]PPDR(]VG^T"[PCR]NQ])N9'_TAXV=/Lov|ZI60:20Y=!F_OM\@+SXAEK$YR][AU-V[HS_'\UH_RCZX.K2*PYJ]Q8$A`{w_N3:5f=R8&CTBBQK.T]JHD)RWZ^JX"[PNRN04>S7'@UECRJ!U^KOE*SX[]K_#XQ]ERGW[@B@M&CTD?B!U^FLQQ4e3\:$ER@@_E,V[LJF'\UXXLZ U^PFW@RXMMMN#XQKOTV36g=R8&CTBBQK.T]JHD)RWZ^JX"[PRDQFPZCCOL%^SIAZT00`?P6(AVDDSI Z_HNB+PYT\H^$YR\JSDV\AAAB'`NDYY2?>3a8Q5)NWGETH#[PIMC,QZUSI]%^S_K\EU]F@BC(aME^X1?11g9V4*OXFFUO"XQFL@-V[VRF\&_T^D]FNF03?P6(AVDDSI Z_HNB+PYT\H^$YR][AUAN@74<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPFKCWg;m7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]O;=k5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_I<<8;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYQLNU-@M979:>1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSWJD_#NG32?04?P6(AVDDSI Z_HNB+PYT\H^$YR][AU]@JQ)DA595>:5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/BK?0;403\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%HE1;1269V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+FO;>78<7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!LI=5=62=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'JC743<8;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYQLNU-@M9?9:?1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSWJD_#I2?>348Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*B;978=7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!K<3<12>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR(L595>;5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/E>7:70<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&N793<9;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYQLNU-G8385>2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$H191279V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+A:?6;<0Y=!F_OM\@+SXAEK$YR][AU-V[VRF\VIEX"J39?11?P6(AVDDSI Z_HNB+PYT\H^$YR][AU]@JQ)@S9W%KcF!U^OV\F_5l2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$KV>R.T]E@WC5k2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$KV>R.T]OQQ4b3\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW=S!U^ZLVF_4:2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$KV?R.FlK*PYJ]QIR>i5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY2Y+SXNMXN>n5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY2Y+SXD\^9i6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ3^*PY_G[IR??5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY1Y+Ai@'_TAXVLY3f8Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\:T$^SKJ]E3a8Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\:T$^SA[[2d9V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]5U'_TTB\LY208Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\;T$LbE Z_LW[G\4c3\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW>S!U^DGV@4d3\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW>S!U^NVP7c<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP?P Z_YMQG\553\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW9S!GoJ-QZKRPJS9h6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ6^*PYAL[O9o6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ6^*PYK]]8n7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[5_-QZ^HZJS8>6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ7^*BhO&\UFYUMV2e9V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]2U'_TJI\J2b9V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]2U'_T@XZ=e:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,C^3Z&\USC_MV339V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]1U'MeD#[PMTZ@]7b<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP:P Z_GFQA7e<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP:P Z_MWW6`=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ=Q#[PXNP@]64<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP;P HnI,V[HS_KP8o7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[6_-QZ@CZL8h7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[6_-QZJR\;o0Y=!F_OM\@+SXAEK$YR][AU-V[VRF\VIEX"IT7\,V[]IUKP997X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[9_-CkN)]VG^TNW=d:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,C^>Z&\UMH_K=c:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,C^>Z&\UGYY>348Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*U;:78=7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!\<2<12>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR([5>5>;5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/R>6:70<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&Y7:3<9;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYQLNU-P8285>2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$_161279V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+V:>68i0Y=!F_OM\@+SXAEK$YR][AU-V[QBH:91^<"GPNN]G*PYNDH%^S^ZNT.W\PWO@OLX956[?/H]MKZB)]VCGM"[PSUCW+PYSZ@MLI_Qhltg\w`r6n2_;#DQAO^F-QZOKI&_T_YO[/hQWEQ:76;:0Y=!F_OM\@+SXAEK$YR][AU-jWQGS48:5=k5Z0.K\JJYC&\UB@L!Z_RVBP*oT\H^7=3?i;T2,MZHHWM$^SDBN/T]PPDR(aZ^JX1<11g9V4*OXFFUO"XQFL@-V[VRF\&cXXLZ33?3e?P6(AVDDSI Z_HNB+PYT\H^$e^ZNT=6=5c=R8&CTBBQK.T]JHD)RWZ^JX"g\T@V?1;7a3\:$ER@@_E,V[LJF'\UXXLZ iRVBP9099o1^<"GPNN]G*PYNDH%^S^ZNT.kPPDR;?7;m7X> I^LL[A(RW@FJ#XQ\T@V,mVRF\525=k5Z0.K\JJYC&\UB@L!Z_RVBP*oT\H^753<=;T2,MZHHWM$^SDBN/T]PPDR(aZ^JXNCK_BG10>S7'@UECRJ!U^KOE*SX[]K_#d][AUAN@ZEBWg887X> I^LL[A(RW@FJ#XQ\T@V,mVRF\VIEX1>1229V4*OXFFUO"XQFL@-V[VRF\&cXXLZPCOV?5;443\:$ER@@_E,V[LJF'\UXXLZ iRVBPZEI\585>>5Z0.K\JJYC&\UB@L!Z_RVBP*oT\H^TOCZ33?00?P6(AVDDSI Z_HNB+PYT\H^$e^ZNT^AMP929::1^<"GPNN]G*PYNDH%^S^ZNT.kPPDRXKG^793<<;T2,MZHHWM$^SDBN/T]PPDR(aZ^JXRMAT=4=66=R8&CTBBQK.T]JHD)RWZ^JX"g\T@V\GKR;?7887X> I^LL[A(RW@FJ#XQ\T@V,mVRF\VIEX161229V4*OXFFUO"XQFL@-V[VRF\&cXXLZPCOV?=;413\:$ER@@_E,V[LJF'\U_^DI F^LL[QEJK'_TJI\<2:W3+LYIGVN%YRGCA.W\PWO@'\U_O"GPSUMQEBCXY\KG"XQLAQ]A71=R8&CTBBQK.T]JHD)RW]XBK"[PTB-J[VRHZHMNS\[NL/W\GDVXLES.T]j@JSS'@URI^BZT7,V[VTKK8.H]MIPY6W]ET8#[PTSKDU14<]9%BSCAPD/W\MIG(]V^YEJ!Z_UA,MZUSG[KLIR_ZAM,V[VRHZHMNS\[NL.kWVLA@M[=j7X> I^LL[A(RW@FJ#XQ[RHE,QZRD'@U__H_PNDS1*PYSKVOZYLZFOO-J[VTK\VDNS< F_OOV[4YSGV>%YRZLL.K\JHSXMX;%YRgKOTV,MZ_B[E__:#[PSSN@53C1<2_;#DQAO^F-QZOKI&_TX_GH/T]WG*OX\ZOZSCK^2/W\PFYBY\K_EB@ I^QQHQYIMV;%ER@BU^3\PJY3&\U_OA!F_NWWV@A)]VNDYY;n;T2,MZHHWM$^SDBN/T]WVLA(]V^H#DQ[SDS\J@W5&\U_ORK^U@VJKK)NWZXGXR@J_0,J[KKRW8U_CR:!U^V@H*oCG\^>:6[?/H]MKZB)]VCGM"[PTSKD+PYSK&CTX^K^_OGR6+SX\JUN]XO[INL,MZUUD]UEIR?!I^LNQZ7X\FU?"XQ[RHER7`=R8&CTBBQK.T]JHD)RW]XBK"[PTB-J[QUBYVDN]? Z_UA\ATSF\@EE#dZ]IFEFV72<]9%BSCAPD/W\MIG(]V^YEJ!Z_UA,mFGW\\XN>55Z0.K\JJYC&\UB@L!Z_UPJC*SX\J%bOKIPD@VB8584k2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

[1_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU[022Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ad9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z336X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV1`g8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY0Y246[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cf?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X552Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_462U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^77>T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]68>W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\992V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q:= I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=<!U^EBJAYCDP;ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W4\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU14_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU[034Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ad9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z32S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_446U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^75:T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]6::W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\9;>V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6il1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R;9:P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9ho0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q:>:S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=?6R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W<<6]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V?=]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mh5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V?<0\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU30_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU[010Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ad9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z300X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV1`g8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY0Y270[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cf?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X560Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_450U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^740T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]6;0W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\9:W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\9=:V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

:Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6il1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R;?>P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9ho0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q:8>S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=9:R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W<::]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mh5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V?;6\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU46_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU[06:Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ae9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z37Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ad9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z364X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV1`g8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY0Y214[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cf?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X504Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_434U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^72'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\9<4P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9ho0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q:94S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=8S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=;>R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W<8>]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mh5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V?92\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU62_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU[046Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ad9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z352X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV1`g8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY0Y222[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cf?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X53>Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_40>U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^71U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^708T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]6?8W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\9>8V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q:;8S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=:8R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W<98]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mh5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V?88\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU78_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU[0:2Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ad9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z3;6X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV1`g8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY0Y2<6[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cf?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X5=2Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_4>2U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^7?>T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]60>W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\912V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q:5 I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=4!U^EBJAYCDP;ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W<7<]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mh5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V?64\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU94_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU[0;4Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ad9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z3:S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_765U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^47;T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]58=W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\:9?V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9<5S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P>=7R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W?>R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W???]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mh5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V<>1\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU[337Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ad9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z021X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV1`g8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY0Y153[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cf?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X641Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_77?U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^461T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]59T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]5:9W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\:;;V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9>9S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P>?;R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W?<9]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mh5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V<=7\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU[30^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?ne:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[313Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ad9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z005X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV1`g8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY0Y177[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cf?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X665Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_753U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^44=T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]5;?W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\::=V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9?P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9ho0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q98=S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P>9?R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W?:=]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mh5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V<;3\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU[365Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ad9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z073X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV1`g8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY0Y10=[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cf?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X61?Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_72Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_737U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^429T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]5=;W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\:<9V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

9P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q99P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9:P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9;P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q94P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q95P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R9;Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R9:Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R99Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R98Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R9?Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R9>Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R9=Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R9V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=9W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=8W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=;W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=:W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\==W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\='_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=?W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=>W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=1W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=0W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gd3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]18T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]19T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]1:T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]1;T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]1T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]1?T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]10T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]11T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5de<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]1U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^17U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^16U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^15U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^14U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^13U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^12U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^11U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^10U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^1?U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^1>U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ef=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^1Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=6Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=7Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=4Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=5Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=2Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=3Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=0Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=1Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=>Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=?Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3bg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=5[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=4[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=7[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=6[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=1[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=0[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=3[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=2[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X==[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=<[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0c`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV18:8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y3Y+OXAGUYMCIJ.T]DEKBXLES95l5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V??0\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:<'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\998V"DQFN^PBJBC)]VMJBIQKLX0:e>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_464U'CTECQ]AOEF*PY@IGNTHAW=9`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z330X(NW@DT^L@HE/W\CDHCWMFR>4o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>04_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P==8R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

&MTKL@K_ENZ6^770T$BSD@PR@LDA+SXOHDOSIBV28c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y24<[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P=<>R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

&MTKL@K_ENZ6^76:T$BSD@PR@LDA+SXOHDOSIBV28c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y256[)AVCES_OAGD,V[BGILVNGU?7n;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@TW%ERGA_SCMC@(RWNKEHRJCY3;b?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X54>Z&@UBBR\NNFG-QZAFFMUO@T<6a:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[03:Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V?>]/K\MKYUIGMN"XQHAOF\@I_51h1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R;9

V?=4\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:>8S!I^KM[WGIOL$^SJOAD^FO]7?f3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\9;S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_440U'CTECQ]AOEF*PY@IGNTHAW=9`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z314o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>28_-MZOIW[KEKH Z_FCM@ZBKQ;327X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P=?S!I^KM[WGIOL$^SJOAD^FO]7?f3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\9::V"DQFN^PBJBC)]VMJBIQKLX0:e>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_456U'CTECQ]AOEF*PY@IGNTHAW=9`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z306X(NW@DT^L@HE/W\CDHCWMFR>4o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>32_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P=>:R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

Q#GPIO]QEKAB&\ULMCJPDM[1=d=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^74>T$BSD@PR@LDA+SXOHDOSIBV28c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y272[)AVCES_OAGD,V[BGILVNGU?7n;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T&MTKL@K_ENZ6^738T$BSD@PR@LDA+SXOHDOSIBV28c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y204[)AVCES_OAGD,V[BGILVNGU?7n;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@TV?;8\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:84S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\9=W%ERGA_SCMC@(RWNKEHRJCY3;b?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X506Z&@UBBR\NNFG-QZAFFMUO@T<6a:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[072Y+OXAGUYMCIJ.T]DEKBXLES95l5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V?:2\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:9>S!I^KM[WGIOL$^SJOAD^FO]7?f3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\9<>V"DQFN^PBJBC)]VMJBIQKLX0:e>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_432U'CTECQ]AOEF*PY@IGNTHAW=9`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z362X(NW@DT^L@HE/W\CDHCWMFR>4o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>56_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P=86R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

&MTKL@K_ENZ6^72U'CTECQ]AOEF*PY@IGNTHAW=9`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z354X(NW@DT^L@HE/W\CDHCWMFR>4o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>60_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P=;i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

&MTKL@K_ENZ6^710W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X53[)AVCES_OAGD,V[BGILVNGU?7n;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T]/K\MKYUIGMN"XQHAOF\@I_51h1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R;<>P F_HL\VDH@M'_TKL@K_ENZ6V?86\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:;:S!I^KM[WGIOL$^SJOAD^FO]7?f3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\9>2V"DQFN^PBJBC)]VMJBIQKLX0:e>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_41>U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z34Y+OXAGUYMCIJ.T]DEKBXLES95l5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V?70\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:4'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\918V"DQFN^PBJBC)]VMJBIQKLX0:e>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_4>4U'CTECQ]AOEF*PY@IGNTHAW=9`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z3;0X(NW@DT^L@HE/W\CDHCWMFR>4o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>84_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P=58R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

&MTKL@K_ENZ6^7?0T$BSD@PR@LDA+SXOHDOSIBV28c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y2<<[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P=4>R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

&MTKL@K_ENZ6^7>:T$BSD@PR@LDA+SXOHDOSIBV28c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y2=6[)AVCES_OAGD,V[BGILVNGU?7n;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@TW%ERGA_SCMC@(RWNKEHRJCY3;b?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X5<>Z&@UBBR\NNFG-QZAFFMUO@T<6a:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[0;:Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V?6]/K\MKYUIGMN"XQHAOF\@I_5111^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R;V"DQFN^PBJBC)]VMJBIQKLX0:e>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_767U'CTECQ]AOEF*PY@IGNTHAW=9`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z035X(NW@DT^L@HE/W\CDHCWMFR>4o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U=03_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P>==R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

&MTKL@K_ENZ6^47=T$BSD@PR@LDA+SXOHDOSIBV28c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y143[)AVCES_OAGD,V[BGILVNGU?7n;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T7]/K\MKYUIGMN"XQHAOF\@I_51h1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R8;5P F_HL\VDH@M'_TKL@K_ENZ6V<>7\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q9=5S!I^KM[WGIOL$^SJOAD^FO]7?f3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\:83V"DQFN^PBJBC)]VMJBIQKLX0:=>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_77Z&@UBBR\NNFG-QZAFFMUO@T<6a:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[303Y+OXAGUYMCIJ.T]DEKBXLES95l5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V<=1\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q9>?S!I^KM[WGIOL$^SJOAD^FO]7?f3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\:;9V"DQFN^PBJBC)]VMJBIQKLX0:e>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_743U'CTECQ]AOEF*PY@IGNTHAW=9`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z011X(NW@DT^L@HE/W\CDHCWMFR>4o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U=27_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P>?9R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

&MTKL@K_ENZ6^451T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y16X(NW@DT^L@HE/W\CDHCWMFR>4o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U=31_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P>>?R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

&MTKL@K_ENZ6^44;T$BSD@PR@LDA+SXOHDOSIBV28c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y171[)AVCES_OAGD,V[BGILVNGU?7n;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@TV<;5\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q98;S!I^KM[WGIOL$^SJOAD^FO]7?f3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\:==V"DQFN^PBJBC)]VMJBIQKLX0:e>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_72?U'CTECQ]AOEF*PY@IGNTHAW=9`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z07=X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U=4\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q99=S!I^KM[WGIOL$^SJOAD^FO]7?f3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\:<;V"DQFN^PBJBC)]VMJBIQKLX0:e>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_735U'CTECQ]AOEF*PY@IGNTHAW=9`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z067X(NW@DT^L@HE/W\CDHCWMFR>4o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U=55_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P>8;R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_70Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[35^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W?6R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_7[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P?3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\;;W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X76[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P?8S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\;?W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X72[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P?4S!I^KM[WGIOL$^SJOAD^FO]7??3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\;T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y74X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U;1\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q?>P F_HL\VDH@M'_TKL@K_ENZ6474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U;5\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q?:P F_HL\VDH@M'_TKL@K_ENZ6474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U;9\,J[LHXZHDLI#[PG@LG[AJ^:020Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q?Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^37U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z72Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V;=]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R?8Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^33U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z76Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V;9]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R?&MTKL@K_ENZ6^3?U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z7:Y+OXAGUYMCIJ.T]DEKBXLES9555Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V;R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_37Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[70^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W;=R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

V"DQFN^PBJBC)]VMJBIQKLX0:=>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_33Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[74^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W;9R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_3?Z&@UBBR\NNFG-QZAFFMUO@T<68:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[7_-MZOIW[KEKH Z_FCM@ZBKQ;327X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P;=S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\?8W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X37[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P;9S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\? I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P;5S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\?0W%ERGA_SCMC@(RWNKEHRJCY3;;?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X3X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U70\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q3=P F_HL\VDH@M'_TKL@K_ENZ6474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U74\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q39P F_HL\VDH@M'_TKL@K_ENZ6T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y;3X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U78\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q35P F_HL\VDH@M'_TKL@K_ENZ6<><]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]?U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z;3Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V7>]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R39Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^?4U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z;7Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V7:]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R3=Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^?0U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z;;Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V76]/K\MKYUIGMN"XQHAOF\@I_5111^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R3V"DQFN^PBJBC)]VMJBIQKLX0gg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[1_-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZX@f`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT0\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WBea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU?]/W\CDHCWZXGS^BFCD]MKZTWE&_TAXVLdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUJkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW=S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Ljl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP

6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S9W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1>1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV>R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>2:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;:7o:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\8T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wee7<3k>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP

'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX4X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck494n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S9W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1?1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV>R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>1:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;;7no7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\99W%YRINNE]PVIYTD@INSCAPRQO,QZKRPHno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\99W%YRINNE]PVIYTD@INSCAPRQO,QZKRPKno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\99W%YRINNE]PVIYTD@INSCAPRQO,QZKRPJno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\99W%YRINNE]PVIYTD@INSCAPRQO,QZKRPMno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\99W%YRINNE]PVIYTD@INSCAPRQO,QZUUDHno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\99W%YRINNE]PVIYTD@INSCAPRQO,QZUUDKno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\99W%YRINNE]PVIYTD@INSCAPRQO,QZUUDJno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\99W%YRINNE]PVIYTD@INSCAPRQO,QZUUDMo87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\99W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1>1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV??]/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?5;c43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX55[)]VMJBIQ\RM]PHLEBWGET^]C lbn\wwjXy585i?5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;;Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2?>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>0\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?5;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX55[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh4;4n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8:V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2?>d18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>0\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo848b;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[03^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYCg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[03^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUY@g`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[03^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYAg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[03^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYFg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[03^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMCg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[03^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RM@g`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[03^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMAg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[03^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMFf7>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[03^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:76l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]69T$^SJOAD^QQHZUKAJOTBBQ]PL-ogiYtzeUz0<0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW1:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY25X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;87o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\98W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0<0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ32Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;87o87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\98W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1?1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?>]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?6;c43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX54[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd595hi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;9Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RJhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;9Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RIhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;9Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RHhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;9Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\ROhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;9Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FJhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;9Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FIhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;9Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FHhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;9Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FOi>5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;9Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R30?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT13_-QZAFFMUX^AQ\LHAF[KIXZYG$`nbPssn\u979m:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^75U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7>3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=?S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<1S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[00^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok979m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^75U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf692h=4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:>P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<1S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[00^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:66l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6:T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0?0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<0:ab<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY27X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Eab<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY27X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Fab<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY27X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Gab<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY27X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[@ab<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY27X(RWNKEHR]]L^QOMFCXFFUY\@!Z_RPOEab<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY27X(RWNKEHR]]L^QOMFCXFFUY\@!Z_RPOFab<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY27X(RWNKEHR]]L^QOMFCXFFUY\@!Z_RPOGab<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY27X(RWNKEHR]]L^QOMFCXFFUY\@!Z_RPO@`5<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY27X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv494n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S89V"XQHAOF\WWJX[ECHIR@@_SRN+iekWzxgS|2>>d18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>3\,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r878b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>3\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?6;c43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX56[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd5:5i>5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;8Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb31?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT12_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh949m:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^74U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7?3jk;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=9S!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TLjk;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=9S!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TOjk;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=9S!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TNjk;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=9S!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TIjk;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=9S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Ljk;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=9S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Ojk;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=9S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Njk;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=9S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Ik<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=9S!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=2=a6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ37Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;97o87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9=W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1<1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?;]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPln>3:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY20X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;97o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9=W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0?0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<:R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>3:`5<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY20X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck484n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8>V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2=>d18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>4\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo868cl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[07^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:56l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6=T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wee7<3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=8S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<0S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[07^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok949m:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7<3k<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=8S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=3=a6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ36Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;:7o87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX53[)]VMJBIQ\RM]PHLEBWGET^]C lbn\wwjXy5;5i>5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;=Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R32?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT17_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl858b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

d18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>6\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo858b;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[04^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:46mn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6?T$^SJOAD^QQHZUKAJOTBBQ]PL-V[HS_Imn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6?T$^SJOAD^QQHZUKAJOTBBQ]PL-V[HS_Jmn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6?T$^SJOAD^QQHZUKAJOTBBQ]PL-V[HS_Kmn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6?T$^SJOAD^QQHZUKAJOTBBQ]PL-V[HS_Lmn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6?T$^SJOAD^QQHZUKAJOTBBQ]PL-V[VTKImn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6?T$^SJOAD^QQHZUKAJOTBBQ]PL-V[VTKJmn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6?T$^SJOAD^QQHZUKAJOTBBQ]PL-V[VTKKmn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6?T$^SJOAD^QQHZUKAJOTBBQ]PL-V[VTKLl90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6?T$^SJOAD^QQHZUKAJOTBBQ]PL-ogiYtzeUz0=0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<9R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>2:`5<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY23X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv4;4n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8=V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1>1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?8]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPln>2:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY23X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;:7o87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9>W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1>1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?8]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?5;c43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX52[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd585i>5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ3;Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:76l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]60T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wee7=3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=5S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<3S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[0:^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:76l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]60T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0<0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<6R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>1:`5<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY2!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S83V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QKoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S83V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QHoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S83V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QIoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S83V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QNoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S83V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZEKoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S83V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZEHoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S83V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZEIoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S83V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZENn?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S83V"XQHAOF\WWJX[ECHIR@@_SRN+iekWzxgS|2?>d18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>9\,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r848b;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;2Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb30?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT18_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh979m:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^7>U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7>3k<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=4S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=1=`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ3^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYCgg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[0_-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZXCf`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT1\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WCea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>]/W\CDHCWZXGS^BFCD]MKZTWE&_TAXVKdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AOkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSWd08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>]/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?5;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX5X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv4;4n=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0=0j1:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSWS7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[0_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl878b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?6;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX5X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck4:4oh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;:V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QKoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;:V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QHoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;:V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QIoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;:V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QNoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;:V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZEKoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;:V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZEHoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;:V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZEIoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;:V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZENn?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;:V"XQHAOF\WWJX[ECHIR@@_SRN+iekWzxgS|2?>d18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=0\,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r848b;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR8;Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb30?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT21_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh979m:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^47U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7>3k<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP>=S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=1=`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ02Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZB`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ02Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZA`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ02Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZ@`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ02Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZG`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ02Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNB`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ02Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNA`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ02Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSN@`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ02Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNGa6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ02Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;87o87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:8W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1?1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV<>]/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?6;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX64[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh494n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;;V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1?1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV<>]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPln>1:`5<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY15X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck494n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;;V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2>>d18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=1\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo878b;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SMij4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9>P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SNij4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9>P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SOij4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9>P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SHij4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9>P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGMij4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9>P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGNij4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9>P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGOij4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9>P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGHh=4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9>P Z_FCM@ZUUDVYGENKPNN]QTH)kkeUx~aQ~<1S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[30^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:66l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]5:T$^SJOAD^QQHZUKAJOTBBQ]PL-ogiYtzeUz0?0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ01Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:66l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]5:T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wee7>3k<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP>?S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=2=a6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ01Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;97o87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:;W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1<1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV<=]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?7;bc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX66[)]VMJBIQ\RM]PHLEBWGET^]C U^OV\Dbc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX66[)]VMJBIQ\RM]PHLEBWGET^]C U^OV\Gbc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX66[)]VMJBIQ\RM]PHLEBWGET^]C U^OV\Fbc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX66[)]VMJBIQ\RM]PHLEBWGET^]C U^OV\Abc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX66[)]VMJBIQ\RM]PHLEBWGET^]C U^QQHDbc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX66[)]VMJBIQ\RM]PHLEBWGET^]C U^QQHGbc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX66[)]VMJBIQ\RM]PHLEBWGET^]C U^QQHFbc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX66[)]VMJBIQ\RM]PHLEBWGET^]C U^QQHAc43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX66[)]VMJBIQ\RM]PHLEBWGET^]C lbn\wwjXy5:5i>5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR88Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R31?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT22_-QZAFFMUX^AQ\LHAF[KIXZYG$`nbPssn\u949m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^44U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6;2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9?P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa31?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT22_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl878b;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[31^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:56l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]5;T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0>0kd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?:R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUOkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?:R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYULkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?:R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUMkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?:R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUJkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?:R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AOkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?:R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^ALkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?:R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AMkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?:R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AJj3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?:R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>3:`5<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY10X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv484n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;>V"XQHAOF\WWJX[ECHIR@@_SRN+iekWzxgS|2=>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=4\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?4;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX61[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh484n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;>V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1<1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV<;]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?4;c43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX61[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd5;5i>5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR8?Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb32?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT25_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh959lm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^42U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Flm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^42U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Elm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^42U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Dlm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^42U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Clm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^42U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJFlm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^42U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJElm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^42U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJDlm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^42U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJCm:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^42U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7<3k<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP>8S!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=3=a6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ06Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;:7o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ06Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:56l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]5=T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0=0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?;R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>2:`5<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY11X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck4;4n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;?V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2<>ef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=6\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WAef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=6\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WBef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=6\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WCef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=6\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WDef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=6\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CAef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=6\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CBef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=6\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CCef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=6\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CDd18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=6\,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r858b;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[34^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok969m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^41U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6:2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9:P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa32?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT27_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh969m:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^41U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7=3k<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP>;S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=0=a6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ05Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;;7no7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:>W%YRINNE]PVIYTD@INSCAPRQO,QZKRPHno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:>W%YRINNE]PVIYTD@INSCAPRQO,QZKRPKno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:>W%YRINNE]PVIYTD@INSCAPRQO,QZKRPJno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:>W%YRINNE]PVIYTD@INSCAPRQO,QZKRPMno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:>W%YRINNE]PVIYTD@INSCAPRQO,QZUUDHno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:>W%YRINNE]PVIYTD@INSCAPRQO,QZUUDKno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:>W%YRINNE]PVIYTD@INSCAPRQO,QZUUDJno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:>W%YRINNE]PVIYTD@INSCAPRQO,QZUUDMo87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:>W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1>1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV<8]/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?5;c43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX62[)]VMJBIQ\RM]PHLEBWGET^]C lbn\wwjXy585i?5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR8d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=7\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?5;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX62[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh4;4n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;=V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2?>d18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=7\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo848b;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[3:^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYCg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[3:^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUY@g`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[3:^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYAg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[3:^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYFg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[3:^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMCg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[3:^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RM@g`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[3:^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMAg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[3:^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMFf7>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[3:^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:76l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]50T$^SJOAD^QQHZUKAJOTBBQ]PL-ogiYtzeUz0<0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?6R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>1:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY1 I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:1W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0<0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?6R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=0=a6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ0;Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;87o87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:1W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1?1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV<7]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?6;c43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX6=[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd595hi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR82Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RJhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR82Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RIhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR82Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RHhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR82Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\ROhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR82Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FJhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR82Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FIhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR82Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FHhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR82Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FOi>5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR82Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R30?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT28_-QZAFFMUX^AQ\LHAF[KIXZYG$`nbPssn\u979m:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^4>U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7>3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP>4S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<1S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[3;^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok979m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^4>U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf692h=4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ95P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<1S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[3;^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:66l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]51T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0?0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?7R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>0:ae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY1Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZB`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ0^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUY@gg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[3_-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZXBf`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT2\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WDea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=]/W\CDHCWZXGS^BFCD]MKZTWE&_T__BNdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTVP Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGHh<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R30?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT2\,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r848b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

1e09V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ0^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok949m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^4Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme6;2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb31?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT2\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo878b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR9;Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R30?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT31_-QZAFFMUX^AQ\LHAF[KIXZYG$`nbPssn\u979m:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^57U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7>3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP?=S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<1S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[22^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok979m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^57U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf692h=4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ8

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[22^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:66l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]48T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0?0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW>>R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>0:46c3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX74[)]VMJBIQ\RM]PHLEBWGET^]C I^KP[QCUE@DJXDAA_RNJG@YIM9$BSX]J_NWWTPRXZLMTBH>!U^MQAB`?3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX74[)]VMJBIQ\RM]PHLEBWGET^]C I^KP[QCUE@DJXDAA_RNJG@YIM9$^S@[WFef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<1\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WAef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<1\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WBef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<1\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WCef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<1\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WDef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<1\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CAef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<1\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CBef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<1\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CCef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<1\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CDd18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<1\,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r858b;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[23^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok969m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^56U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6:2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ8=P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa32?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT30_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl868b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

5i>5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR9:Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb30?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT30_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh979m:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^56U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7>3k<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP?&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ1^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYCgg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[2_-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZXCf`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT3\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WCea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<]/W\CDHCWZXGS^BFCD]MKZTWE&_TAXVKdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV=R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AOkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW>S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Ojl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP?P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGOim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ8Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FOi?5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR9V"XQHAOF\WWJX[ECHIR@@_SRN+iekWzxgS|2?>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<]/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?5;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX7X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv4;4n=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S:W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0=0j1:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW>S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<0S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[2_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl878b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?6;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX7X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck4:4oo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S=W%YRINNE]PVIYTD@INSCAPRQO,QZKRPHnh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]3U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Dlj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^2Z&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Bck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX0X(RWNKEHR]]L^QOMFCXFFUY\@!Z_RPOFae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY7Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSN@`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ6^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMFf6>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[5_-QZAFFMUX^AQ\LHAF[KIXZYG$`nbPssn\u969m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^2Z&\ULMCJPSSN\WIODMVDDS_^B/mao[vtkWx6:2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ?Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R32?g2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT4\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?4;c63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX0X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;97o:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP8P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<1S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[5_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh979m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^2Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme692h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ?Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb33?f`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT5\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WAea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU:]/W\CDHCWZXGS^BFCD]MKZTWE&_TAXVMdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV;R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUMkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW8S!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TIjl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP9P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGMim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ>Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FIhn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR?V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZEIoo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\=T$^SJOAD^QQHZUKAJOTBBQ]PL-ogiYtzeUz0=0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW8S!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=3=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ7^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:56l;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]2U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6;2h?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ>Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2>>d38Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU:]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPln>1:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY6Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;87o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\=T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0<0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW8S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=0=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ7^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:46mi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]1U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Flj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^0Z&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Dck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX2X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[@ae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY5Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNB`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ4^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RM@gg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[7_-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]LBf`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT6\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CDd08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU9]/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?4;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX2X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv484n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S?W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1<1e09V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV8R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=2=a4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ4^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok979m81^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^0Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ykg585i?5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPRd08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU9]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?5;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX2X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck4;4n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S?W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1=1db9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV9R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUOkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW:S!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TOjl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP;P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SOim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S>W%YRINNE]PVIYTD@INSCAPRQO,QZUUDKnh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\?T$^SJOAD^QQHZUKAJOTBBQ]PL-V[VTKKmi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]0U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJCm;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^1Z&\ULMCJPSSN\WIODMVDDS_^B/mao[vtkWx6;2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S>W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0<0j1:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW:S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<3S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[6_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh969m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^1Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme6:2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ:^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYFgg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[9_-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]L@f`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT8\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CBea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU7]/W\CDHCWZXGS^BFCD]MKZTWE&_T__BLdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV6R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AJj2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW5S!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=2=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ:^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:66l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]?U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7>3k>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP4P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa30?g2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT8\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?5;c63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\0T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0=0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW5S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=3=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ:^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:56l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]?U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7?3jl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP5P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SMim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ2Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RIhn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR3V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QIoo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S0W%YRINNE]PVIYTD@INSCAPRQO,QZKRPMnh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\1T$^SJOAD^QQHZUKAJOTBBQ]PL-V[VTKImi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]>U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJElj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^?Z&\ULMCJPSSN\WIODMVDDS_^B/T]PVIEck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX=X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv494n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S0W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1?1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV7R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>1:`7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY:Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:76l;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]>U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6:2h?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ2Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2=>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU6]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?4;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX=X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck484n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S0W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1<1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV7R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>0:d`<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'nkehRmnrs{?558fn2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#joad^abvw;9;4jj6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!haof\gdtuq5;82lh4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/fcm`Zefz{s7=90nf:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-dekbXkhxyu1?:>`d8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+bgilVij~w317S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)`ignTol|}y=34:d`<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'nkehRmnrs{?5=8fn2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

6ho0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#joad^abvw;97km7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"inne]`ewt~4;:5mk5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ g`lg[fguzp69=3oi;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.ebjaYdi{xr0?<1ag9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,cdhcWjky~t2=3?ce?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*affmUhm|v<36=ec=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(ohdoSno}rx>11;ga3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&mjbiQlaspz8709io1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$kl`k_bcqv|:5?7km7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"inne]`ewt~4;25mk5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ g`lg[fguzp6953oj;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.ebjaYdi{xr0?0nf:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-dekbXkhxyu1=?>`d8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+bgilVij~w330S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)`ignTol|}y=1=e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(ohdoSno}rx>7:dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'nkehRmnrs{?1;gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&mjbiQlaspz838fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#joad^abvw;17kj7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"}}l^s?558fi2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R({{fT}1?=>`c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+vtkWx6:?3on;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.qqhZw;9=4jm6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!|rm]r8439ih1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$bPq=35:dg<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'zxgS|2>7?cb?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*uudV{7=50na:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-pviYv4835m45Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ ssn\u979ih1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$bPq=03:dg<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'zxgS|2=1?cb?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*uudV{7>?0na:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-pviYv4;95ml5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ ssn\u9436hk0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#~|c_p>11;gf3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&yy`R327S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)tzeUz0?91a`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,wwjXy5832lo4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/rpo[t:517k27X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"}}l^s?6;gf3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&yy`R331S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)tzeUz0>?1a89V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,wwjXy595m45Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ ssn\u929i01^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$bPq=7=e<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R({{fT}181a89V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,wwjXy5=5m45Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ ssn\u9>9i01^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$bPq=;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?4;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5977872:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;;=36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1??2?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=337;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5977<72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;;936>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1??6?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=333;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5977072:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;;536?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1??>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><033:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2847661;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i648;925?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><037:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2847261;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i648;=25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><03;:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2847>61:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i648;54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7=?>1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?3133=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?5749081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;9;954<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7=?:1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?3137=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?5709081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;9;=54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7=?61809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?313;=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?578?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

33<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>2768?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

37<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>2728?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

3?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=374;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5973972:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;?>36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1?;3?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=370;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5973=72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;?:36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1?;7?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=37<;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5973172;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;?25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<;?>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><072:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2843561;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i648?825?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<;;>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><076:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2843161;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i648?<25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<;7>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><07::=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28439081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;9?:54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7=;?1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?3170=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?5359081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;9?>54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7=;;1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?3174=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?5319081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;9?254<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7=;71819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?317<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>2358?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

72<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>2318?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

76<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>23=8?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1?70?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=3;5;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz597?:72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;3?36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1?74?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=3;1;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz597?>72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;3;36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1?78?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=3;=;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz597?61;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i6483;25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<7>>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><0;1:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{284?461;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i6483?25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<7:>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><0;5:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{284?061;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i6483325?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<76>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><0;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?5;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5947872:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~958;=36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=163\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5947<72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~958;936>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=163\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5947072:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~958;536?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><333:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2877661;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64;;925?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0??<>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><337:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2877261;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64;;=25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0??8>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><33;:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2877>61:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64;;54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7>?>1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?3233=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?6749081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;:;954<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7>?:1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?3237=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?6709081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;:;=54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7>?61809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?323;=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?678?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>1768?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>1728?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5943972:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~958?>36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1<;3?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=070;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5943=72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~958?:36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1<;7?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=07<;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5943172;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~958?25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0?;?>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><372:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2873561;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64;?825?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0?;;>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><376:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28739091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;:?43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq869;36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1<7>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><3;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?6;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz595761:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64:;54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7??070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2<3?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=17:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28639091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;;?43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq868;36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1=7>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><2;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?7;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz592761:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64=;54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;78?070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2;3?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=67:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28139091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><5;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?0;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz593761:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64<;54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;79?070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2:3?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=77:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28039091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;=?43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86>;36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1;7>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><4;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?1;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz590761:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64?;54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7:?070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<293?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=47:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28339091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;>?43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86=;36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=187>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><7;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?2;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz591761:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64>;54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7;?070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<283?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=57:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28239091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;??43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86<;36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=197>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><6;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?3;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz59>761:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i641;54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;74?070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<273?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=:7:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28=39091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;0?43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq863;36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=167>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><9;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz59?761:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i640;54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;75?070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<263?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=;7:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28<39091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;1?43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq862;36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=177>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><8;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6969081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;99:54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87==?1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<3110=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?5559081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;99>54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87==;1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<3114=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?5519081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;99254<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87==71819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<311<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>2558?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

12<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>2518?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

16<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>25=8?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1?=0?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=315;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6975:72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:5;9?36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1?=4?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=311;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6975>72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:5;9;36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1?=8?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=31=;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz697561;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i5489;25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90<=>>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<011:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{1845461;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i5489?25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90<=:>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<015:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{1845061;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i5489325?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90<=6>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<01=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?5169081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;9=;54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87=9<1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<3151=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?5129081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;9=?54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87=981809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<3155=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?51>9081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;9=354=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87=9071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2>51<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>2148?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2>55<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>2108?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

59<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>21<8?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:5;=<36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1?91?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=356;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6971;72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:5;=836>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1?95?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=352;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6971?72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:5;=436>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1?99?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=35:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{1841761;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i548=:25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90<9=>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<050:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{1841361;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i548=>25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90<99>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<054:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{1841?61;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i548=225>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90<91809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<3192=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?5=79081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;91854<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87=5=1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<3196=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?5=39081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;91<54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87=591809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<319:=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?5=?9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;9143=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6:5=071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2>90<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>2=78?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

94<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>2=38?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

43=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6:55071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2>98<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>2=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6979081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;:9:54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87>=?1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<3210=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?6559081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;:9>54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87>=;1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<3214=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?6519081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;:9254<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87>=71819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<321<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>1558?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>1518?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>15=8?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1<=0?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=015;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6945:72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:589?36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1<=4?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=011;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6945>72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:589;36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1<=8?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=01=;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz694561;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54;9;25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90?=>>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<311:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{1875461;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54;9?25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90?=:>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<315:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{1875061;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54;9325?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90?=6>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<31=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?6169081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;:=;54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87>9<1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<3251=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?6129081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;:=?54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87>981809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<3255=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?61>9081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;:=354=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87>9071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2=51<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>1148?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2=55<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>1108?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:58=25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90?91819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<329<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>1=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6949091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;;943<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;68=36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1==>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<21=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?718?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:59=25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90>91819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<339<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>0=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6959091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;<943<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6?=36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1:=>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<51=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?018?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:5>=25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90991819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<349<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>7=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6929091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;=943<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6>=36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1;=>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<41=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?118?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:5?=25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90891819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<359<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>6=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6939091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;>943<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6==36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>18=>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<71=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?218?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:5<=25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90;91819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<369<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>5=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6909091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;?943<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6<=36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>19=>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<61=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?318?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:5==25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90:91819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<379<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>4=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6919091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;0943<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;63=36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>16=>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<91=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?<18?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:52=25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90591819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<389<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>;=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz69>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;1943<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;62=36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>17=>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<81=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?=18?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

=72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:53=25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90491819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<399<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>:=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz69?9<]9%BSCAPD/W\MIG(aJK[XX\J189V4*OXFFUO"XQFL@-jGDVXLFDN>>5Z0.K\JJYC&\UB@L!fC@R\JPKX[HG[@H]30?00?P6(AVDDSI Z_HNB+lEFXVD^AR]NMQNFW979::1^<"GPNN]G*PYNDH%bOL^PNTO\WDKWDLY7>3<<;T2,MZHHWM$^SDBN/hABTZHREVYJA]BJS=1=66=R8&CTBBQK.T]JHD)nKHZTBXCPS@OSH@U;<7887X> I^LL[A(RW@FJ#dMNP^LVIZUFEYFN_1;1229V4*OXFFUO"XQFL@-jGDVXF\GT_LC_LDQ?2;443\:$ER@@_E,V[LJF'`IJ\R@ZM^QBIUJB[5=5>>5Z0.K\JJYC&\UB@L!fC@R\JPKX[HG[@H]38?00?P6(AVDDSI Z_HNB+lEFXVD^AR]NMQNFW9?99m1^<"GPNN]G*PYNDH%bOL^PSUCWA9699m1^<"GPNN]G*PYNDH%bOL^PSUCWA979:91^<"GPNN]G*PYNDH%bOL^PTSKDC@TXG\^:j6[?/H]MKZB)]VCGM"gLAQ]TVZGCL[6;2f:W3+LYIGVN%YRGCA.k@EUYPZVKOH_2=>0d8Q5)NWGETH#[PIMC,mFGWW^XTMIJ]<2<2b>S7'@UECRJ!U^KOE*oDIYU\^ROKDS>7:4`<]9%BSCAPD/W\MIG(aJK[SZ\PAEFQ8086n2_;#DQAO^F-QZOKI&cHM]QXR^CG@W:168l0Y=!F_OM\@+SXAEK$eNO__VP\EABU4>4:j6[?/H]MKZB)]VCGM"gLAQ]TVZGCL[632a:W3+LYIGVN%YRGCA.k@EUYPZVOE=55Z0.K\JJYC&\UB@L!fD@VB8586i2_;#DQAO^F-QZOKI&cOMYO3112=5d=R8&CTBBQK.T]JHD)nLH^J0<>>>0c8Q5)NWGETH#[PIMC,mAGSI5;;>3?n;T2,MZHHWM$^SDBN/hFBPD:68:4:m6[?/H]MKZB)]VCGM"gKAUC?55299h1^<"GPNN]G*PYNDH%bHLZN<026:4g<]9%BSCAPD/W\MIG(aMK_M1??6?3b?P6(AVDDSI Z_HNB+lBF\H6:<:0>a:W3+LYIGVN%YRGCA.kGEQG;9925=l5Z0.K\JJYC&\UB@L!fD@VB846>6830Y=!F_OM\@+SXAEK$eIO[A=33:4g<]9%BSCAPD/W\MIG(aMK_M1?>0?3b?P6(AVDDSI Z_HNB+lBF\H6:=<0>a:W3+LYIGVN%YRGCA.kGEQG;9885=l5Z0.K\JJYC&\UB@L!fD@VB847468k0Y=!F_OM\@+SXAEK$eIO[A=320;7f3\:$ER@@_E,V[LJF'`NJXL2>14<2e>S7'@UECRJ!U^KOE*oCI]K7=<811`9V4*OXFFUO"XQFL@-j@DRF48;<2 I^LL[A(RW@FJ#dJNT@>25<8612_;#DQAO^F-QZOKI&cOMYO310<2e>S7'@UECRJ!U^KOE*oCI]K7=?>11`9V4*OXFFUO"XQFL@-j@DRF488:2 I^LL[A(RW@FJ#dJNT@>26686i2_;#DQAO^F-QZOKI&cOMYO3136=5d=R8&CTBBQK.T]JHD)nLH^J0<<:>0c8Q5)NWGETH#[PIMC,mAGSI5;9:3?n;T2,MZHHWM$^SDBN/hFBPD:6:>4:m6[?/H]MKZB)]VCGM"gKAUC?57>99h1^<"GPNN]G*PYNDH%bHLZN<00::4?<]9%BSCAPD/W\MIG(aMK_M1?=>0c8Q5)NWGETH#[PIMC,mAGSI5;8<3?n;T2,MZHHWM$^SDBN/hFBPD:6;84:m6[?/H]MKZB)]VCGM"gKAUC?56499h1^<"GPNN]G*PYNDH%bHLZN<010:4g<]9%BSCAPD/W\MIG(aMK_M1?<4?3b?P6(AVDDSI Z_HNB+lBF\H6:?80>a:W3+LYIGVN%YRGCA.kGEQG;9:<5=l5Z0.K\JJYC&\UB@L!fD@VB845068k0Y=!F_OM\@+SXAEK$eIO[A=30<;7f3\:$ER@@_E,V[LJF'`NJXL2>38<2=>S7'@UECRJ!U^KOE*oCI]K7=>0>a:W3+LYIGVN%YRGCA.kGEQG;9=:5=l5Z0.K\JJYC&\UB@L!fD@VB842668k0Y=!F_OM\@+SXAEK$eIO[A=376;7f3\:$ER@@_E,V[LJF'`NJXL2>42<2e>S7'@UECRJ!U^KOE*oCI]K7=9:11`9V4*OXFFUO"XQFL@-j@DRF48>>27;j7X> I^LL[A(RW@FJ#dJNT@>20286i2_;#DQAO^F-QZOKI&cOMYO315:=5d=R8&CTBBQK.T]JHD)nLH^J0<:6>0;8Q5)NWGETH#[PIMC,mAGSI5;?2 I^LL[A(RW@FJ#dJNT@>21486i2_;#DQAO^F-QZOKI&cOMYO3140=5d=R8&CTBBQK.T]JHD)nLH^J0<;<>0c8Q5)NWGETH#[PIMC,mAGSI5;>83?n;T2,MZHHWM$^SDBN/hFBPD:6=<4:m6[?/H]MKZB)]VCGM"gKAUC?50099h1^<"GPNN]G*PYNDH%bHLZN<074:4g<]9%BSCAPD/W\MIG(aMK_M1?:8?3b?P6(AVDDSI Z_HNB+lBF\H6:940>9:W3+LYIGVN%YRGCA.kGEQG;9<4:m6[?/H]MKZB)]VCGM"gKAUC?53699h1^<"GPNN]G*PYNDH%bHLZN<042:4g<]9%BSCAPD/W\MIG(aMK_M1?92?3b?P6(AVDDSI Z_HNB+lBF\H6::>0>a:W3+LYIGVN%YRGCA.kGEQG;9?>5=l5Z0.K\JJYC&\UB@L!fD@VB840268k0Y=!F_OM\@+SXAEK$eIO[A=352;7f3\:$ER@@_E,V[LJF'`NJXL2>66<2e>S7'@UECRJ!U^KOE*oCI]K7=;611`9V4*OXFFUO"XQFL@-j@DRF48<22<74U1-J[KIXL'_TEAO iECWE97168k0Y=!F_OM\@+SXAEK$eIO[A=344;7f3\:$ER@@_E,V[LJF'`NJXL2>70<2e>S7'@UECRJ!U^KOE*oCI]K7=:<11`9V4*OXFFUO"XQFL@-j@DRF48=82 I^LL[A(RW@FJ#dJNT@>23086i2_;#DQAO^F-QZOKI&cOMYO3164=5d=R8&CTBBQK.T]JHD)nLH^J0<98>0c8Q5)NWGETH#[PIMC,mAGSI5;<43?n;T2,MZHHWM$^SDBN/hFBPD:6?04:56[?/H]MKZB)]VCGM"gKAUC?5286i2_;#DQAO^F-QZOKI&cOMYO3192=5d=R8&CTBBQK.T]JHD)nLH^J0<6>>0c8Q5)NWGETH#[PIMC,mAGSI5;3>3?n;T2,MZHHWM$^SDBN/hFBPD:60:4:m6[?/H]MKZB)]VCGM"gKAUC?5=299h1^<"GPNN]G*PYNDH%bHLZN<0:6:4g<]9%BSCAPD/W\MIG(aMK_M1?76?3b?P6(AVDDSI Z_HNB+lBF\H6:4:0>a:W3+LYIGVN%YRGCA.kGEQG;9125=l5Z0.K\JJYC&\UB@L!fD@VB84>>6830Y=!F_OM\@+SXAEK$eIO[A=3;:4g<]9%BSCAPD/W\MIG(aMK_M1?60?3b?P6(AVDDSI Z_HNB+lBF\H6:5<0>a:W3+LYIGVN%YRGCA.kGEQG;9085=l5Z0.K\JJYC&\UB@L!fD@VB84?468k0Y=!F_OM\@+SXAEK$eIO[A=3:0;7f3\:$ER@@_E,V[LJF'`NJXL2>94<2e>S7'@UECRJ!U^KOE*oCI]K7=4811`9V4*OXFFUO"XQFL@-j@DRF483<207;j7X> I^LL[A(RW@FJ#dJNT@>2=<8612_;#DQAO^F-QZOKI&cOMYO318<2<>S7'@UECRJ!U^KOE*oCI]K7=3?n;T2,MZHHWM$^SDBN/hFBPD:5894:m6[?/H]MKZB)]VCGM"gKAUC?65799h1^<"GPNN]G*PYNDH%bHLZN<321:4g<]9%BSCAPD/W\MIG(aMK_M1a:W3+LYIGVN%YRGCA.kGEQG;:9?5=l5Z0.K\JJYC&\UB@L!fD@VB876168k0Y=!F_OM\@+SXAEK$eIO[A=033;7f3\:$ER@@_E,V[LJF'`NJXL2=09<2e>S7'@UECRJ!U^KOE*oCI]K7>=71189V4*OXFFUO"XQFL@-j@DRF4;:5=l5Z0.K\JJYC&\UB@L!fD@VB877768k0Y=!F_OM\@+SXAEK$eIO[A=025;7f3\:$ER@@_E,V[LJF'`NJXL2=13<2e>S7'@UECRJ!U^KOE*oCI]K7><=11`9V4*OXFFUO"XQFL@-j@DRF4;;?2 I^LL[A(RW@FJ#dJNT@>15386i2_;#DQAO^F-QZOKI&cOMYO3205=5d=R8&CTBBQK.T]JHD)nLH^J0??7>0c8Q5)NWGETH#[PIMC,mAGSI58:53?6;T2,MZHHWM$^SDBN/hFBPD:597;j7X> I^LL[A(RW@FJ#dJNT@>16586i2_;#DQAO^F-QZOKI&cOMYO3233=5d=R8&CTBBQK.T]JHD)nLH^J0?<=>0c8Q5)NWGETH#[PIMC,mAGSI589?3?n;T2,MZHHWM$^SDBN/hFBPD:5:=4:m6[?/H]MKZB)]VCGM"gKAUC?67399h1^<"GPNN]G*PYNDH%bHLZN<305:4g<]9%BSCAPD/W\MIG(aMK_M1<=7?3b?P6(AVDDSI Z_HNB+lBF\H69>50>a:W3+LYIGVN%YRGCA.kGEQG;:;35=45Z0.K\JJYC&\UB@L!fD@VB87499h1^<"GPNN]G*PYNDH%bHLZN<313:4g<]9%BSCAPD/W\MIG(aMK_M1<<1?3b?P6(AVDDSI Z_HNB+lBF\H69??0>a:W3+LYIGVN%YRGCA.kGEQG;::95=l5Z0.K\JJYC&\UB@L!fD@VB875368k0Y=!F_OM\@+SXAEK$eIO[A=001;7f3\:$ER@@_E,V[LJF'`NJXL2=37<2e>S7'@UECRJ!U^KOE*oCI]K7>>911`9V4*OXFFUO"XQFL@-j@DRF4;932 I^LL[A(RW@FJ#dJNT@>17;7f3\:$ER@@_E,V[LJF'`NJXL2=41<2e>S7'@UECRJ!U^KOE*oCI]K7>9?11`9V4*OXFFUO"XQFL@-j@DRF4;>92 I^LL[A(RW@FJ#dJNT@>10186i2_;#DQAO^F-QZOKI&cOMYO3257=5d=R8&CTBBQK.T]JHD)nLH^J0?:9>0c8Q5)NWGETH#[PIMC,mAGSI58?;3?n;T2,MZHHWM$^SDBN/hFBPD:5<14:m6[?/H]MKZB)]VCGM"gKAUC?61?9901^<"GPNN]G*PYNDH%bHLZN<36=5d=R8&CTBBQK.T]JHD)nLH^J0?;?>0c8Q5)NWGETH#[PIMC,mAGSI58>=3?n;T2,MZHHWM$^SDBN/hFBPD:5=;4:m6[?/H]MKZB)]VCGM"gKAUC?60599h1^<"GPNN]G*PYNDH%bHLZN<377:4g<]9%BSCAPD/W\MIG(aMK_M1<:5?3:?P6(AVDDSI Z_HNB+lBF\H6993?6;T2,MZHHWM$^SDBN/hFBPD:5>7;27X> I^LL[A(RW@FJ#dJNT@>13;7>3\:$ER@@_E,V[LJF'`NJXL2=8?3:?P6(AVDDSI Z_HNB+lBF\H6953?7;T2,MZHHWM$^SDBN/hFBPD:56830Y=!F_OM\@+SXAEK$eIO[A=13:4?<]9%BSCAPD/W\MIG(aMK_M1=>>0;8Q5)NWGETH#[PIMC,mAGSI5992<74U1-J[KIXL'_TEAO iECWE9546830Y=!F_OM\@+SXAEK$eIO[A=17:4?<]9%BSCAPD/W\MIG(aMK_M1=:>0;8Q5)NWGETH#[PIMC,mAGSI59=2<74U1-J[KIXL'_TEAO iECWE9506830Y=!F_OM\@+SXAEK$eIO[A=1;:4?<]9%BSCAPD/W\MIG(aMK_M1=6>0:8Q5)NWGETH#[PIMC,mAGSI595=45Z0.K\JJYC&\UB@L!fD@VB8169901^<"GPNN]G*PYNDH%bHLZN<53=5<=R8&CTBBQK.T]JHD)nLH^J09<1189V4*OXFFUO"XQFL@-j@DRF4=95=45Z0.K\JJYC&\UB@L!fD@VB8129901^<"GPNN]G*PYNDH%bHLZN<57=5<=R8&CTBBQK.T]JHD)nLH^J0981189V4*OXFFUO"XQFL@-j@DRF4==5=45Z0.K\JJYC&\UB@L!fD@VB81>9901^<"GPNN]G*PYNDH%bHLZN<5;=5==R8&CTBBQK.T]JHD)nLH^J090>9:W3+LYIGVN%YRGCA.kGEQG;=94:56[?/H]MKZB)]VCGM"gKAUC?148612_;#DQAO^F-QZOKI&cOMYO353<2=>S7'@UECRJ!U^KOE*oCI]K79>0>9:W3+LYIGVN%YRGCA.kGEQG;==4:56[?/H]MKZB)]VCGM"gKAUC?108612_;#DQAO^F-QZOKI&cOMYO357<2=>S7'@UECRJ!U^KOE*oCI]K79:0>9:W3+LYIGVN%YRGCA.kGEQG;=14:56[?/H]MKZB)]VCGM"gKAUC?1<8602_;#DQAO^F-QZOKI&cOMYO35?3:?P6(AVDDSI Z_HNB+lBF\H6=<3?6;T2,MZHHWM$^SDBN/hFBPD:197;27X> I^LL[A(RW@FJ#dJNT@>56;7>3\:$ER@@_E,V[LJF'`NJXL293?3:?P6(AVDDSI Z_HNB+lBF\H6=83?6;T2,MZHHWM$^SDBN/hFBPD:1=7;27X> I^LL[A(RW@FJ#dJNT@>52;7>3\:$ER@@_E,V[LJF'`NJXL297?3:?P6(AVDDSI Z_HNB+lBF\H6=43?6;T2,MZHHWM$^SDBN/hFBPD:117;37X> I^LL[A(RW@FJ#dJNT@>5:4?<]9%BSCAPD/W\MIG(aMK_M19?>0;8Q5)NWGETH#[PIMC,mAGSI5=:2<74U1-J[KIXL'_TEAO iECWE9156830Y=!F_OM\@+SXAEK$eIO[A=50:4?<]9%BSCAPD/W\MIG(aMK_M19;>0;8Q5)NWGETH#[PIMC,mAGSI5=>2<74U1-J[KIXL'_TEAO iECWE9116830Y=!F_OM\@+SXAEK$eIO[A=54:4?<]9%BSCAPD/W\MIG(aMK_M197>0;8Q5)NWGETH#[PIMC,mAGSI5=22<64U1-J[KIXL'_TEAO iECWE919901^<"GPNN]G*PYNDH%bHLZN<92=5<=R8&CTBBQK.T]JHD)nLH^J05?1189V4*OXFFUO"XQFL@-j@DRF4185=45Z0.K\JJYC&\UB@L!fD@VB8=59901^<"GPNN]G*PYNDH%bHLZN<96=5<=R8&CTBBQK.T]JHD)nLH^J05;1189V4*OXFFUO"XQFL@-j@DRF41<5=45Z0.K\JJYC&\UB@L!fD@VB8=19901^<"GPNN]G*PYNDH%bHLZN<9:=5<=R8&CTBBQK.T]JHD)nLH^J0571199V4*OXFFUO"XQFL@-j@DRF414:56[?/H]MKZB)]VCGM"gKAUC?=58612_;#DQAO^F-QZOKI&cOMYO390<2=>S7'@UECRJ!U^KOE*oCI]K75?0>9:W3+LYIGVN%YRGCA.kGEQG;1:4:56[?/H]MKZB)]VCGM"gKAUC?=18612_;#DQAO^F-QZOKI&cOMYO394<2=>S7'@UECRJ!U^KOE*oCI]K75;0>9:W3+LYIGVN%YRGCA.kGEQG;1>4:56[?/H]MKZB)]VCGM"gKAUC?==8612_;#DQAO^F-QZOKI&cOMYO398<2<>S7'@UECRJ!U^KOE*oCI]K753?n;T2,MZHHWM$^SDBN/hFBPDYCG\^:56[?/H]MKZB)]VCGM"g]ERGW858612_;#DQAO^F-QZOKI&cYI^K[<0<2=>S7'@UECRJ!U^KOE*oUMZO_0?0>9:W3+LYIGVN%YRGCA.kQAVCS4:4:56[?/H]MKZB)]VCGM"g]ERGW818612_;#DQAO^F-QZOKI&cYI^K[<4<2=>S7'@UECRJ!U^KOE*oUMZO_0;0>9:W3+LYIGVN%YRGCA.kQAVCS4>4:m6[?/H]MKZB)]VCGM"g\T@V\@JSS911^<"GPNN]G*PYNDH%bX_GHGDP21>S7'@U_]= H_UUX4X(RW][:;6[?/H]WU5(@W]]P==>R.T]WU41<]9%BSY_?.F]WS^779T$^SY_>7:W3+LYSY9$LSYYT110^*PYSY8=0Y=!F_US3*BYS_R;;?P Z_US23>S7'@U_]= H_UUX552Z&\U_]<94U1-J[QW7&NU_[V??5\,V[QW6?2_;#DQ[Q1,D[QQ\996:W3+LYSY9$LSYYT11_-QZRV9>1^<"GPTP2-CZRPS8;;Q#[PTP34?P6(AV^Z<#IPTVY254[)]V^Z=:5Z0.K\PT6)OV^\W I^VR4+AX\^Q:=8S!U^VR52=R8&CTX\>!G^VT_471U'_TX\?8;T2,MZRV8'MTXZU>16_-QZRV9>1^<"GPTP2-CZRPS8;3Q#[PTP34?P6(AV^Z<#IPTVY25<[)]V^Z=;5Z0.K\PT6)OV^\W7:W3+LYSY9$LSYYT133^*PYSY8=0Y=!F_US3*BYS_R;9>P Z_US23>S7'@U_]= H_UUX575Z&\U_]<94U1-J[QW7&NU_[V?=4\,V[QW6?2_;#DQ[Q1,D[QQ\9;?V"XQ[Q058Q5)NW][;"JQ[WZ312X(RW][:;6[?/H]WU5(@W]]P=?9R.T]WU41<]9%BSY_?.F]WS^750T$^SY_>7:W3+LYSY9$LSYYT13;^*PYSY8<0Y=!F_US3*BYS_R;9Q#[PTP34?P6(AV^Z<#IPTVY275[)]V^Z=:5Z0.K\PT6)OV^\W<=>]/W\PT703\:$ERZ^0/E\PR]6;;W%YRZ^169V4*OX\X:%KRZX[010Y+SX\X;<7X> I^VR4+AX\^Q:?9S!U^VR52=R8&CTX\>!G^VT_452U'_TX\?8;T2,MZRV8'MTXZU>37_-QZRV9>1^<"GPTP2-CZRPS897:W3+LYSY9$LSYYT152^*PYSY8=0Y=!F_US3*BYS_R;?=P Z_US23>S7'@U_]= H_UUX514Z&\U_]<94U1-J[QW7&NU_[V?;3\,V[QW6?2_;#DQ[Q1,D[QQ\9=>V"XQ[Q058Q5)NW][;"JQ[WZ371X(RW][:;6[?/H]WU5(@W]]P=98R.T]WU41<]9%BSY_?.F]WS^73?T$^SY_>7:W3+LYSY9$LSYYT15:^*PYSY8=0Y=!F_US3*BYS_R;?5P Z_US22>S7'@U_]= H_UUX51[)]V^Z=:5Z0.K\PT6)OV^\W<;?]/W\PT703\:$ERZ^0/E\PR]6=8W%YRZ^169V4*OX\X:%KRZX[071Y+SX\X;<7X> I^VR4+AX\^Q:9>S!U^VR52=R8&CTX\>!G^VT_433U'_TX\?8;T2,MZRV8'MTXZU>54_-QZRV9>1^<"GPTP2-CZRPS8?=Q#[PTP34?P6(AV^Z<#IPTVY212[)]V^Z=:5Z0.K\PT6)OV^\W<;7]/W\PT703\:$ERZ^0/E\PR]6=0W%YRZ^179V4*OX\X:%KRZX[07^*PYSY8=0Y=!F_US3*BYS_R;=

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bX_GH_HL?5569991^<"g[RHE\MK:6884:<6[?/hVQMBYNF5;;>3??;T2,mQTNOVCE0<><>028Q5)n\[CLSD@3116=55=R8&c_^DIPIO>2408682_;#dZ]IF]JJ977>7;;7X> iUPJCZOI48:<2<>4U1-jPWO@W@D7==61119V4*oSZ@MTEC2>082558682_;#dZ]IF]JJ97697;;7X> iUPJCZOI48;92<>4U1-jPWO@W@D7=<=1119V4*oSZ@MTEC2>15<24>S7'`^YEJQFN=321;773\:$eY\FG^KM847168:0Y=!fTSKD[LH;98=5==5Z0.kWVLAXAG6:=50>0:W3+lRUANUBB1?>9?d8Q5)n\[CLSD@310<24>S7'`^YEJQFN=314;773\:$eY\FG^KM844668:0Y=!fTSKD[LH;9;85==5Z0.kWVLAXAG6:>>0>0:W3+lRUANUBB1?=4?33?P6(a]XBKRGA<006:46<]9%bX_GH_HL?5709991^<"g[RHE\MK:6:>4:<6[?/hVQMBYNF5;943??;T2,mQTNOVCE0<<6>g9V4*oSZ@MTEC2>2?33?P6(a]XBKRGA<013:46<]9%bX_GH_HL?5679991^<"g[RHE\MK:6;;4:<6[?/hVQMBYNF5;8?3??;T2,mQTNOVCE0<=;>028Q5)n\[CLSD@3127=55=R8&c_^DIPIO>2738682_;#dZ]IF]JJ974?7;;7X> iUPJCZOI48932<>4U1-jPWO@W@D7=>71f:W3+lRUANUBB1?<>028Q5)n\[CLSD@3152=55=R8&c_^DIPIO>2048682_;#dZ]IF]JJ973:7;;7X> iUPJCZOI48>82<>4U1-jPWO@W@D7=9:1119V4*oSZ@MTEC2>44<24>S7'`^YEJQFN=372;773\:$eY\FG^KM842068:0Y=!fTSKD[LH;9=25==5Z0.kWVLAXAG6:840i;T2,mQTNOVCE0<:1119V4*oSZ@MTEC2>51<24>S7'`^YEJQFN=365;773\:$eY\FG^KM843568:0Y=!fTSKD[LH;9<95==5Z0.kWVLAXAG6:990>0:W3+lRUANUBB1?:5?33?P6(a]XBKRGA<075:46<]9%bX_GH_HL?5019991^<"g[RHE\MK:6=14:<6[?/hVQMBYNF5;>53h4U1-jPWO@W@D7=80>0:W3+lRUANUBB1?90?33?P6(a]XBKRGA<042:46<]9%bX_GH_HL?5349991^<"g[RHE\MK:6>:4:<6[?/hVQMBYNF5;=83??;T2,mQTNOVCE0<8:>028Q5)n\[CLSD@3174=55=R8&c_^DIPIO>2228682_;#dZ]IF]JJ97107;;7X> iUPJCZOI48<22k5Z0.kWVLAXAG6::3??;T2,mQTNOVCE0<9?>028Q5)n\[CLSD@3163=55=R8&c_^DIPIO>2378682_;#dZ]IF]JJ970;7;;7X> iUPJCZOI48=?2<>4U1-jPWO@W@D7=:;1119V4*oSZ@MTEC2>77<24>S7'`^YEJQFN=343;773\:$eY\FG^KM841?68:0Y=!fTSKD[LH;9>35j6[?/hVQMBYNF5;<2<>4U1-jPWO@W@D7=5>1119V4*oSZ@MTEC2>80<24>S7'`^YEJQFN=3;6;773\:$eY\FG^KM84>468:0Y=!fTSKD[LH;91>5==5Z0.kWVLAXAG6:480>0:W3+lRUANUBB1?76?33?P6(a]XBKRGA<0:4:46<]9%bX_GH_HL?5=>9991^<"g[RHE\MK:6004m7X> iUPJCZOI4825==5Z0.kWVLAXAG6:5=0>0:W3+lRUANUBB1?61?33?P6(a]XBKRGA<0;1:46<]9%bX_GH_HL?5<59991^<"g[RHE\MK:61=4:<6[?/hVQMBYNF5;293??;T2,mQTNOVCE0<79>028Q5)n\[CLSD@3185=55=R8&c_^DIPIO>2==8682_;#dZ]IF]JJ97>17l0Y=!fTSKD[LH;904n7X> iUPJCZOI484:<6[?/hVQMBYNF58;<3??;T2,mQTNOVCE0?>>>028Q5)n\[CLSD@3210=55=R8&c_^DIPIO>1468682_;#dZ]IF]JJ947<7;;7X> iUPJCZOI4;:>2<>4U1-jPWO@W@D7>=81119V4*oSZ@MTEC2=06<24>S7'`^YEJQFN=03<;773\:$eY\FG^KM876>6o1^<"g[RHE\MK:587;;7X> iUPJCZOI4;;;2<>4U1-jPWO@W@D7>S7'`^YEJQFN=027;773\:$eY\FG^KM877368:0Y=!fTSKD[LH;:8?5==5Z0.kWVLAXAG69=;0>0:W3+lRUANUBB1<>7?33?P6(a]XBKRGA<33;:46<]9%bX_GH_HL?64?9n2_;#dZ]IF]JJ94668:0Y=!fTSKD[LH;:;:5==5Z0.kWVLAXAG69><0>0:W3+lRUANUBB1<=2?33?P6(a]XBKRGA<300:46<]9%bX_GH_HL?6729991^<"g[RHE\MK:5:<4:<6[?/hVQMBYNF589:3??;T2,mQTNOVCE0?<8>028Q5)n\[CLSD@323:=55=R8&c_^DIPIO>16<8a3\:$eY\FG^KM8749991^<"g[RHE\MK:5;94:<6[?/hVQMBYNF588=3??;T2,mQTNOVCE0?==>028Q5)n\[CLSD@3221=55=R8&c_^DIPIO>1718682_;#dZ]IF]JJ944=7;;7X> iUPJCZOI4;9=2<>4U1-jPWO@W@D7>>91119V4*oSZ@MTEC2=39<24>S7'`^YEJQFN=00=;`<]9%bX_GH_HL?668682_;#dZ]IF]JJ94387;;7X> iUPJCZOI4;>:2<>4U1-jPWO@W@D7>9<1119V4*oSZ@MTEC2=42<24>S7'`^YEJQFN=070;773\:$eY\FG^KM872268:0Y=!fTSKD[LH;:=<5==5Z0.kWVLAXAG698:0>0:W3+lRUANUBB1<;8?33?P6(a]XBKRGA<36::c=R8&c_^DIPIO>10;773\:$eY\FG^KM873768:0Y=!fTSKD[LH;:<;5==5Z0.kWVLAXAG699?0>0:W3+lRUANUBB1<:3?33?P6(a]XBKRGA<377:46<]9%bX_GH_HL?6039n2_;#dZ]IF]JJ9426o1^<"g[RHE\MK:5>7l0Y=!fTSKD[LH;:>4m7X> iUPJCZOI4;25j6[?/hVQMBYNF5822h5Z0.kWVLAXAG692k5Z0.kWVLAXAG68<3h4U1-jPWO@W@D7?<0i;T2,mQTNOVCE0><1f:W3+lRUANUBB1=<>g9V4*oSZ@MTEC2<4?d8Q5)n\[CLSD@334S7'`^YEJQFN=14:c=R8&c_^DIPIO>0<;`<]9%bX_GH_HL?7<8b3\:$eY\FG^KM868a3\:$eY\FG^KM8169n2_;#dZ]IF]JJ9266o1^<"g[RHE\MK:3:7l0Y=!fTSKD[LH;<:4m7X> iUPJCZOI4=>5j6[?/hVQMBYNF5>>2k5Z0.kWVLAXAG6?:3h4U1-jPWO@W@D78:0i;T2,mQTNOVCE0961f:W3+lRUANUBB1:6>d9V4*oSZ@MTEC2;>g9V4*oSZ@MTEC2:0?d8Q5)n\[CLSD@350S7'`^YEJQFN=70:c=R8&c_^DIPIO>60;`<]9%bX_GH_HL?108a3\:$eY\FG^KM8009n2_;#dZ]IF]JJ9306o1^<"g[RHE\MK:207l0Y=!fTSKD[LH;=04n7X> iUPJCZOI4<4m7X> iUPJCZOI4?:5j6[?/hVQMBYNF5<:2k5Z0.kWVLAXAG6=>3h4U1-jPWO@W@D7:>0i;T2,mQTNOVCE0;:1f:W3+lRUANUBB18:>g9V4*oSZ@MTEC296?d8Q5)n\[CLSD@366S7'`^YEJQFN=4::`=R8&c_^DIPIO>5:c=R8&c_^DIPIO>44;`<]9%bX_GH_HL?348a3\:$eY\FG^KM8249n2_;#dZ]IF]JJ9146o1^<"g[RHE\MK:0<7l0Y=!fTSKD[LH;?<4m7X> iUPJCZOI4><5j6[?/hVQMBYNF5=<2k5Z0.kWVLAXAG6<43h4U1-jPWO@W@D7;40j;T2,mQTNOVCE0:0i;T2,mQTNOVCE05>1f:W3+lRUANUBB16>>g9V4*oSZ@MTEC272?d8Q5)n\[CLSD@382S7'`^YEJQFN=:6:c=R8&c_^DIPIO>;2;`<]9%bX_GH_HL?<28a3\:$eY\FG^KM8=>9n2_;#dZ]IF]JJ9>>6l1^<"g[RHE\MK:?6o1^<"g[RHE\MK:>87l0Y=!fTSKD[LH;184m7X> iUPJCZOI4085j6[?/hVQMBYNF5382k5Z0.kWVLAXAG6283h4U1-jPWO@W@D7580i;T2,mQTNOVCE0481f:W3+lRUANUBB178>g9V4*oSZ@MTEC268?d8Q5)n\[CLSD@3984?>79TAD:4601\ILYJL=2=f>QBI^OG0<4?>89TADQBD5;5:6YJB=2=2>QBJ5;5:6YJB=0=<>QBJ591<384WD@?7;?<_LH\IX2?>c9TAGQB]5;1<374WD@TAP:66k1\^DZJ_GKQWQe<_[C_IRC@DD]Bg>QUA]OTABJJ_C3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH78\JTDQ?1S_YBFB69[WQY@FM=0T^ZPVBAa?]YDG[OTECH@6:ZgfZOc991Sh`QBakmqR`ttafd:<6Vkm^OjjjtQm{ybcc=4Xrv0?\ct:h1TSRVCNL]\[5YXW\:$ER@@_E,V[LJF'\UXXLZ U^LPH12h5P_^ZOJHYXW;UTSX> I^LL[A(RW@FJ#XQ\T@V,QZUSI]IFH?l4_^][HKKXWV9TSR[?/H]MKZB)]VCGM"[PRRV,QZVU[]:=m6QP_YNMIZYX=?!Bmtz\KZ73;:1TSRVCNL]\[0YXW\:$ER@@_E,V[LJF'\UXXLZ U^CQIZak}lUxiyl4ar{mgZkrpV;i7l}vnb]nq}Y5j2kxucmPmtz\746j4cikswfiwmVcgmpwsb3MkmR]nmd]BwZRuanmn~0z}ud9GeqgXXfxSZgkti?3?QtnonoyS]a}t^Uj`qnX948>:6Cntbj\Qkos{V;6=6M`uovfvZQnl}bT=0Jfs``oaa=Ji}icSYwe^3>fdunkV}bxeQjdfgp?@~dd|nnSK|`m^FbpdYT|fxjkhQ>=gcow`=Sz`mliQ_osv\Slbs`V8646Cntbj\Qkos{V86=6M`uovfvZQnl}bT>0Jfs``oaa=Ji}icSYwe^0>fdunkV}bxeQjdfgp?@~dd|nnSK|`m^FbpdYT|fxjkhQ==gcow`=Sz`mliQ_osv\Slbs`V9646Cntbj\Qkos{V96=6M`uovfvZQnl}bT?0Jfs``oaa=Ji}icSYwe^1>fdunkV}bxeQjdfgp?@~dd|nnSK|`m^FbpdYT|fxjkhQ<=gcow`=Sz`mliQ_osv\Slbs`V>646Cntbj\Qkos{V>6=6M`uovfvZQnl}bT80Jfs``oaa=Ji}icSYwe^6>fdunkV}bxeQjdfgp?@~dd|nnSK|`m^FbpdYT|fxjkhQ;=gcow`=Sz`mliQ_osv\Slbs`V?646Cntbj\Qkos{V?6=6M`uovfvZQnl}bT90Jfs``oaa=Ji}icSYwe^7>fdunkV}bxeQjdfgp?@~dd|nnSK|`m^FbpdYT|fxjkhQ:=gcow`=Sz`mliQ_osv\Slbs`V<646Cntbj\Qkos{V<6=6M`uovfvZQnl}bT:0Jfs``oaa=Ji}icSYwe^4>fdunkV}bxeQjdfgp?@~dd|nnSK|`m^FbpdYT|fxjkhQ9=gcow`=Sz`mliQ_osv\Slbs`V=646Cntbj\Qkos{V=6=6M`uovfvZQnl}bT;0Jfs``oaa=Ji}icSYwe^5>fdunkV}bxeQjdfgp?@~dd|nnSK|`m^FbpdYT|fxjkhQ8=gcow`=Sz`mliQ_osv\Slbs`V2646Cntbj\Qkos{V26=6M`uovfvZQnl}bT40Jfs``oaa=Ji}icSYwe^:>fdunkV}bxeQjdfgp?@~dd|nnSK|`m^FbpdYT|fxjkhQ7=gcow`=Sz`mliQ_osv\Slbs`V3646Cntbj\Qkos{V36=6M`uovfvZQnl}bT50Jfs``oaa=Ji}icSYwe^;>fdunkV}bxeQjdfgp?@~dd|nnSK|`m^FbpdYT|fxjkhQ6=gcow`=Sz`mliQ_osv\Slbs`V;;155Bauak[Phn|zU:<0?4Cnwmp`tX_`ndR??=Ekpegjbl2GjxnfPTxrf[46:jhyboRyfti]f`bct3Lrh`xjj_GpliZBf|hUXxb|ngd]248`fdzo0Xghgdp\TjtsW^coxeQ>1<:8Idrd`V_eey}P10?2?Firf}oySZgkti]258Bn{hhgii5Bauak[QwmV;:1oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX987mma}j;UpjcbcuWYeyxRYfduj\57;?3DkoeQZnhvp[44:92Idyczjr^Uj`qnX9;7Oe~omldf8Idrd`V^r|hQ>2<`bwleX`~cShjher9F|fjrllUM~bcPD`vb[VrhzhmnS<<2f`npa>Ruanmn~R^`ru]TmaroW89646Cntbj\Qkos{V;81<5LotlwawYPam~cS<=2Dhqbficc3DkoeQ[yqg\56;eizchSzg{h^ggc`u4<38Gjsi|lxT[dj{h^379Aotikfnh6Cntbj\P|vbW8>6nl}fc^ujpmYblnox7Hvlltff[CtheVNjxlQ\tnpbc`Y6<4lj`~k4Tskdc`tXXfxSZgkti]218>bdjtm%iTtikyibgeehokq4y{mznn7.`[fiumV~r|h3=,b]nbbYnl4;'oRcnjnp\r`ttafd6=9"l_lkmkwYqm{ybcc3;,b]aqljcW{o}e~g`n<2/gZehzlUfmga}_wgq94*dWjeyiRcfnnp\r`t:<%iTob|j_lkmkwYfdybjS{k}=85/gZtfeV~r|h3>,b]pvi71W}s{i0<#c^wpaZoi~Vigg0>#c^wpaZtwe4:'oR{|e^vqmbYh}}7; nQzsd]bpfYddbce1="l_tqf[agsi4:'oR{|e^vqmb6:9%iTy~kPtskd586+kVxiRz}if0>4)eX}zoTxgh3<2/gZstmV~yej:20-a\qvcX|{cl90>#c^wpaZruan<6:8%iTy~kPtskd=86+kVxiRz}if3395*dW|ynSy|fg03>4)eX}zoTxgh13?3(fYr{lU~di>3<2/gZstmV~yej?;=1.`[pubW}xbk<;20-a\qvcX|{clhlzn0<3/gZstmV~yejjnt`3>4)eX}zoTxghd`vb686+kVxiRz}iffbpd5:8%iTy~kPtskd`drf<4:'oR{|e^vqmbbf|h?6#c^wpaZruannjxl920-a\qvcX|{clhlzn8<2/gZstmV~yejjnt`;>4)eX}zoTxghd`vb55;7$jU~hQ{rhegeqg694:'oR{|e^vqmbbf|h;91="l_tqf[qtnomkm<=20-a\qvcX|{clhlzn15?3(fYr{lU~dikauc2186+kVnjxlQxievk94*dWmkmRjjpuj>5543$jU~hQhaq?3(fYsadoxxlc_u{sa86+kV~bah}{alr\slbs`499 nQ{ilgppdkwWmo{xe3:13.`[pubWz~d~lij_pwbh87+kV~xi|Q{yqg>4)eXf|gTx~k~_blw95*dW}yn}Rmat0]tmaro58&hSy}jq^amp5Ypam~c1<"l_own[qubyVymyk|=0.`[pubW}iTan`{=1.`[qeXejdSzgkti?2(fYbp}Uhm}Q}aug\ijbb59&hShv{_bcs[uoiWdeoi0>#c^lviZc|Vij|R~fnr?;(fYbp}Uhm}Qzsd]qab;6$jUey`Qbauak[phn|z7: nQ{rhe3[roc|a799;"l_upjc4Ypam~c1<"l_upjc7Ypam~c1<"l_upjc6Ypam~c1<"l_upjc1Ypam~c1<"l_upjc0Ypam~c1<"l_upjc3Ypam~c1<"l_upjc2Ypam~c1<"l_upjc=Ypam~c1<"l_upjc,b]wvla6:V}bhyf21-a\pwo`9:U|eizg=0.`[qtno8>T{dj{h<3/gZruan;>Szgkti?2(fYj8V~{ei3?,b]n5Zrwam7: nQb2^vsma;5$jUf?Rzie?0(fYj nQb6^vsma;1$jUf;Rzie?4(fYj0V~{ei37,b]n=Zrwam72 nQb11]wtlb:99&hS`?>_urj`876$jUf=?Q{phf>57*dWd;8Sy~fd<30(fYj9=U|dj215.`[h72W}zbh0?:,b]n4Zr~xl7: nQb1^vzt`;7$jUf>Rzvpd?3(fYj;V~r|h3?,b]n0Zr~xl7; nQb5^vzt`;7$jUf:Rzvpd?3(fYj?V~r|h3?,b]n4)eXe8;Txt~j=1.`[h75W}s{i0>#c^o27Zr~xl7; nQb15]w}uc:8%iTa<;Ptxrf95*dW|ynS`mat1?3(fYr{lUfocz>=1.`[pubWdiex?3?,b]vw`Yjkg~81="l_tqf[hei|=7; nQzsd]ngkr259&hSx}j_lamp3;7$jU~hQbcov495*dW|ynS`mat9?3(fYr{lUfocz6=1.`[pubWdiex<>20-a\qvcXejd=<3?,b]vw`Yjkg~:>0>#c^wpaZkdf};81="l_tqf[hei|8>6,b]ngkr6Sl"/Xhnjj}&DG[O+Kh`jr`vlv%73&=30ob{atdp\5<=dg|diQ=f:ap[gtfeVijnoke008gvYezhgTol}laeg\w3763jyTnob_rknticX{?;37n}Pbscn[vojxeoT;Q}al`2<41Vxjao7n;bq\gcak}}>j7n}Pcgeoqq3d3jyTokicuu4\5f=d{Vimka{{6^0b?fuXkomgyy9>b:ap[fii|{egnx}Pxrvtkwmfzfehk5ls^alqkrbzVgdhfl4cr]dekbX{{f:<6m|_fcm`ZuudVy=SVynxR<>d:ap[bgilVyy`R}9_rnjg`YigVx{aR?>e:ap[bgilVyy`R}9_rnjg`YigVx{aR??1d9`wZaffmUx~aQ|6^qomfcXffUy|`Q>10g8gvY`ignTbPs7]phlebWgeT~}cP133f?fuXohdoS~|c_r4\wiodmVddS~b_012a>etWnkehR}}l^q5[vjnklUecR|m^375`=d{VmjbiQ|rm]p2ZukajoTbbQ}pl]214cVygenkPnn]qthY618n0o~Qhaof\wwjX{?Ux`dmj_om\vukX:8o0o~Qhaof\wwjX{?Ux`dmj_om\vukX:9;n7n}Pg`lg[vtkWz9?j;bq\cdhcWzxgS~8Psmk`aZhhW{zfS?;>e:ap[bgilVyy`R}9_rnjg`YigVx{aR<91d9`wZaffmUx~aQ|6^qomfcXffUy|`Q=70g8gvY`ignTbPs7]phlebWgeT~}cP293f?fuXohdoS~|c_r4\wiodmVddS~b_3;2`>etWnkehR}}l^q5[vjnklUecR|m^12a>etWnkehR}}l^q5[vjnklUecR|m^135`=d{VmjbiQ|rm]p2ZukajoTbbQ}pl]054c6l2ixSjoad^qqhZu1WzfbohQao^psiZ?d3jyTkl`kx^qqhc=d{VmjbivPssn\w318:ap[hsW;20o~Qbuy]0<>etWdsS9l4cr]qabot|lx87ijbd:ggc`Ycm}ohxb|i;hnb[fgwWhno~jka139jhdYdiyUhxbPg3]puc=ndhUhm}Q|tnpbc`>4imc\pwgdmVh~jkk}e:koeZruanUfmymgc:koeZruanidbi??;hnb[qtnojeehRbztc9jhdYsz`mli<4nn31?utho{kfallce^ffhd692rh:~bw74/ecb2?<$9vLM~;f558DE~6=831J7<51zQa`?728h09?k4>320b40<3lhqe=8>7:09m506>2?1/=8>8:0735>{T1?0:9=o522d95655i9?19<:4d072=?6=93;p_oj5142b>75a2898>l>::5f`?sR5=k0;6<4>:``fVdc28?;m7<oo6*>4da967d<,;>;6<;81:`214?=83;9976=0;caa~N688:072=>\0138p=i4=8;'506128?:o6*8d;365d=#jj0:95=#:<=1=8>m;h127?6=,;>96>?=;o075?6<3`9:=7>5$361>6753g8?=7?4;h124?6=,;>96>?=;o075?4<3`9;j7>5$361>6753g8?=7=4;h13a?6=,;>96>?=;o075?2<3`9;h7>5$361>6753g8?=7;4;h13f?6=,;>96>?=;o075?0<3`9;m7>5$361>6753g8?=794;h13=?6=,;>96>?=;o075?><3`9;47>5$361>6753g8?=774;h133?6=,;>96>?=;o075?g<3`9;:7>5$361>6753g8?=7l4;h131?6=,;>96>?=;o075?e<3`9;87>5$361>6753g8?=7j4;h137?6=,;>96>?=;o075?c<3`9;>7>5$361>6753g8?=7h4;h134?6=,;>96>?=;o075?7732c9jk4?:%076?56:2d98<4>1:9j6cc=83.98?4<139m617=9;10e?hk:18'614=;880b?:>:018?l4ak3:1(?:=:231?k4393;?76g=fc83>!43:39:>6`=40821>=n:ok1<7*=438057=i:=;1=;54i3d:>5<#:=81?<<4n362>41<3`8m47>5$361>6753g8?=7?7;:k1b2<72-8?>7=>2:l104<6121b>k;50;&107<49;1e>9?51`98m7`3290/>9<53008j72628h07do5n;0;6)<;2;126>h5<80:h65f2g394?"5<;08=?5a25395`=:696>?=;o075?4732c9ih4?:%076?56:2d98<4=1:9j6`b=83.98?4<139m617=:;10e?kl:18'614=;880b?:>:318?l4bi3:1(?:=:231?k43938?76g=e883>!43:39:>6`=40811>=n:l21<7*=438057=i:=;1>;54i3g4>5<#:=81?<<4n362>71<3`8n:7>5$361>6753g8?=7<7;:k1a0<72-8?>7=>2:l104<5121b>h:50;&107<49;1e>9?52`98m7c4290/>9<53008j7262;h07do5m80;6)<;2;126>h5<809h65f2ed94?"5<;08=?5a25396`=:6?h4;h0g`?6=,;>96>?=;o075?5732c9hn4?:%076?56:2d98<4<1:9j6ad=83.98?4<139m617=;;10e?jn:18'614=;880b?:>:218?l4c13:1(?:=:231?k43939?76g=d983>!43:39:>6`=40801>=n:m=1<7*=438057=i:=;1?;54i3f5>5<#:=81?<<4n362>61<3`8o?7>5$361>6753g8?=7=7;:k1`7<72-8?>7=>2:l104<4121b>i?50;&107<49;1e>9?53`98m7b7290/>9<53008j7262:h07do5kl0;6)<;2;126>h5<808h65f2bf94?"5<;08=?5a25397`=:6>h4;h0`f?6=,;>96>?=;o075?2732c9ol4?:%076?56:2d98<4;1:9j6f>=83.98?4<139m617=<;10e?m8:18'614=;880b?:>:518?l4d>3:1(?:=:231?k4393>?76g=c483>!43:39:>6`=40871>=n:j>1<7*=438057=i:=;18;54i3a0>5<#:=81?<<4n362>11<3`8h>7>5$361>6753g8?=7:7;:k1g4<72-8?>7=>2:l104<3121b>n>50;&107<49;1e>9?54`98m7da290/>9<53008j7262=h07do5jj0;6)<;2;126>h5<80?h65f2c`94?"5<;08=?5a25390`=:69h4;h0a=?6=,;>96>?=;o075?3732c9n54?:%076?56:2d98<4:1:9j6g1=83.98?4<139m617==;10e?l9:18'614=;880b?:>:418?l4e=3:1(?:=:231?k4393??76g=b583>!43:39:>6`=40861>=n:k81<7*=438057=i:=;19;54i3`2>5<#:=81?<<4n362>01<3`8i<7>5$361>6753g8?=7;7;:k1ec<72-8?>7=>2:l104<2121b>lk50;&107<49;1e>9?55`98m7gc290/>9<53008j7262o5ik0;6)<;2;126>h5<80>h65f2`c94?"5<;08=?5a25391`=:68h4;h0b3?6=,;>96>?=;o075?0732c9m;4?:%076?56:2d98<491:9j6d3=83.98?4<139m617=>;10e?o;:18'614=;880b?:>:718?l4f;3:1(?:=:231?k4393!43:39:>6`=40851>=n:h;1<7*=438057=i:=;1:;54i3c3>5<#:=81?<<4n362>31<3`82j7>5$361>6753g8?=787;:k1=`<72-8?>7=>2:l104<1121b>4m50;&107<49;1e>9?56`98m7?e290/>9<53008j7262?h07d<6a;29 7252:;97c<;1;4`?>o5100;6)<;2;126>h5<80=h65f28:94?"5<;08=?5a25392`=:6;h4;h0:2?6=,;>96>?=;o075?1732c9584?:%076?56:2d98<481:9j6<2=83.98?4<139m617=?;10e?7<:18'614=;880b?:>:618?l4>93:1(?:=:231?k4393=?76g=9183>!43:39:>6`=40841>=n:1l1<7*=438057=i:=;1;;54i3:f>5<#:=81?<<4n362>21<3`83h7>5$361>6753g8?=797;:k17=>2:l104<0121b>5l50;&107<49;1e>9?57`98m7>f290/>9<53008j7262>h07d<79;29 7252:;97c<;1;5`?>o5010;6)<;2;126>h5<806=4+2509744:6:h4;h0;0?6=,;>96>?=;o075?>732c94>4?:%076?56:2d98<471:9j6=4=83.98?4<139m617=0;10e?6>:18'614=;880b?:>:918?l4?83:1(?:=:231?k43932?76g=7g83>!43:39:>6`=408;1>=n:>o1<7*=438057=i:=;14;54i35g>5<#:=81?<<4n362>=1<3`85$361>6753g8?=767;:k13d<72-8?>7=>2:l104:750;&107<49;1e>9?58`98m71?290/>9<53008j72621h07d<87;29 7252:;97c<;1;:`?>o5??0;6)<;2;126>h5<803h65f26794?"5<;08=?5a2539<`=:65h4;h047?6=,;>96>?=;o075??732c9;?4?:%076?56:2d98<461:9j626=83.98?4<139m617=1;10e?8i:18'614=;880b?:>:818?l41m3:1(?:=:231?k43933?76g=6e83>!43:39:>6`=408:1>=n:?i1<7*=438057=i:=;15;54i34a>5<#:=81?<<4n362><1<3`8=m7>5$361>6753g8?=777;:k12<<72-8?>7=>2:l104<>121b>;650;&107<49;1e>9?59`98m700290/>9<53008j72620h07d=95;29 7252:;97c<;1;;`?>o4>=0;6)<;2;126>h5<802h65f37194?"5<;08=?5a2539=`=:64h4;h155?6=,;>96>?=;o075?g732c8:=4?:%076?56:2d98<4n1:9j70`=83.98?4<139m617=i;10e>;j:18'614=;880b?:>:`18?l52l3:1(?:=:231?k4393k?76g<5b83>!43:39:>6`=408b1>=n;5<#:=81?<<4n362>d1<3`9>47>5$361>6753g8?=7o7;:k012<72-8?>7=>2:l1049?5a`98m632290/>9<53008j7262hh07d=:4;29 7252:;97c<;1;c`?>o4=:0;6)<;2;126>h5<80jh65f34094?"5<;08=?5a2539e`=:6lh4;h17b?6=,;>96>?=;o075?d732c88h4?:%076?56:2d98<4m1:9j71b=83.98?4<139m617=j;10e>:l:18'614=;880b?:>:c18?l53j3:1(?:=:231?k4393h?76g<4`83>!43:39:>6`=408a1>=n;=31<7*=438057=i:=;1n;54i26;>5<#:=81?<<4n362>g1<3`9?;7>5$361>6753g8?=7l7;:k003<72-8?>7=>2:l1049?5b`98m624290/>9<53008j7262kh07d=;2;29 7252:;97c<;1;``?>o4<80;6)<;2;126>h5<80ih65f35294?"5<;08=?5a2539f`=:6oh4;h10a?6=,;>96>?=;o075?e732c8?i4?:%076?56:2d98<4l1:9j76e=83.98?4<139m617=k;10e>=m:18'614=;880b?:>:b18?l5413:1(?:=:231?k4393i?76g<3983>!43:39:>6`=408`1>=n;:=1<7*=438057=i:=;1o;54i215>5<#:=81?<<4n362>f1<3`9897>5$361>6753g8?=7m7;:k071<72-8?>7=>2:l104=50;&107<49;1e>9?5c`98m655290/>9<53008j7262jh07d=<1;29 7252:;97c<;1;a`?>o4;90;6)<;2;126>h5<80hh65f33g94?"5<;08=?5a2539g`=:6nh4;h11g?6=,;>96>?=;o075?b732c8>o4?:%076?56:2d98<4k1:9j77g=83.98?4<139m617=l;10e><6:18'614=;880b?:>:e18?l5503:1(?:=:231?k4393n?76g<2683>!43:39:>6`=408g1>=n;;<1<7*=438057=i:=;1h;54i206>5<#:=81?<<4n362>a1<3`99?7>5$361>6753g8?=7j7;:k067<72-8?>7=>2:l1049?5d`98m647290/>9<53008j7262mh07d=>f;29 7252:;97c<;1;f`?>o49l0;6)<;2;126>h5<80oh65f30f94?"5<;08=?5a2539``=:6ih4;h12f?6=,;>96>?=;o075?c732c8=l4?:%076?56:2d98<4j1:9j74>=83.98?4<139m617=m;10e>?8:18'614=;880b?:>:d18?l56>3:1(?:=:231?k4393o?76g<1483>!43:39:>6`=408f1>=n;8>1<7*=438057=i:=;1i;54i22`>5<#:=81?<<4n362>`1<3`9;=7>5$361>6753g8?=7k7;:k1b3<72-8?>7=>2:l104hl50;&107<49;1e>9?5e`98m7c7290/>9<53008j7262lh07do5k00;6)<;2;126>h5<80nh65f2cg94?"5<;08=?5a2539a`=:6hh4;h0b96>?=;o075?`732c95i4?:%076?56:2d98<4i1:9j6<4=83.98?4<139m617=n;10e?68:18'614=;880b?:>:g18?l40k3:1(?:=:231?k4393l?76g=7083>!43:39:>6`=408e1>=n;?<1<7*=438057=i:=;1j;54i27a>5<#:=81?<<4n362>c1<3`9><7>5$361>6753g8?=7h7;:k000<72-8?>7=>2:l104o50;&107<49;1e>9?5f`98m64a290/>9<53008j7262oh07d==4;29 7252:;97c<;1;d`?>o4900;6)<;2;126>h5<80mh65f2e794?"5<;08=?5a2539b`=:6kh4;*`7>5<#:=81n>5a25394>=,j;0;6)<;2;`0?k4393;07&l>:18'614=j:1e>9?52:9(f5<72-8?>7l<;o075?5<3"km6=4+2509f6=i:=;1865$ad83>!43:3h87c<;1;78?.gd290/>9<5b29m617=>21 mo4?:%076?d43g8?=794;*cb>5<#:=81n>5a2539<>=,i00;6)<;2;`0?k4393307&o7:18'614=j:1e>9?5a:9(e2<72-8?>7l<;o075?d<3"k=6=4+2509f6=i:=;1o65$a483>!43:3h87c<;1;f8?.g3290/>9<5b29m617=m21 m>4?:%076?d43g8?=7h4;*c2>5<#:=81n>5a253955=<#h:1<7*=438a7>h5<80:=65$9g83>!43:3h87c<;1;31?>->m3:1(?:=:c18j72628907&7k:18'614=j:1e>9?51598/96o=4n362>41<3"326=4+2509f6=i:=;1=554+8:94?"5<;0i?6`=4082=>=,jk0;6)<;2;`0?k4393;j76%ma;29 7252k90b?:>:0`8?.d>290/>9<5b29m617=9j10'o650;&107d:9(f2<72-8?>7l<;o075?7b32!i:7>5$361>g5:65<#:=81n>5a253964=<#h81<7*=438a7>h5<809>65$9683>!43:3h87c<;1;00?>o0n3:17&l::18'614=j:1e>9?52198f437=3:1=7>50z&022<64dc8k4b62900qo899;293?6=8r.8::4=309K50643A;?il5+22f9500a3-;n>794i0f7>5<6=44i0f5>5<5<5<53;294~"4>>09>i5G1420?M73mh1/>>j5144e?!7b:3;ji6*=42821465<3:17b<10;6>4?:1y'731=:;n0D<;?3:J20`g<,;9o6<;9f:&2a7<4;2c:h94?::k2`0<722e9?n4?::af66=8331<7>t$244>73a3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4g?6=3`=m6=44icg94?=h:=<1<75`25594?=zjk9>6=46:183!51?38>j6F>5118L42bi2.9?i4>57d8 4c52;1b?94?::k01?6=3`9=6=44i2594?=n?j0;66g8f;29?ldb2900c?:9:188k7202900qol=e;296?6=8r.8::4=2b9K50643A;?il5+22f9500a3-;n>7??;h3g0?6=3f88o7>5;|`;e6<72=0;6=u+375967c<@8?;?6F>4dc8 75c28?=j6*>e384f>"5<:0:95<6=44i0f5>5<=83>1<7>t$244>74b3A;><>5G15gb?!7b:3;ih6*=42821465<5<57;294~"4>>09?<5G1420?M73mh1/=h<5d:k2`1<722c:h84?::k2`3<722c:h:4?::k2`=<722c:h44?::m17f<722wi:k;50;594?6|,:<<6?=>;I3646=O9=oj7)?j2;f8m4b32900eo6l=0;66g>d483>>o6l?0;66g>d683>>o6l10;66g>d883>>i5;j0;66sm6g`94?1=83:p(>88:312?M728:1C=9kn;%3f6?b5<5<5<2900c?=l:188yg0a?3:1;7>50z&022<5;81C=8><;I37ad=#9l81h6g>d583>>o6l<0;66g>d783>>o6l>0;66g>d983>>o6l00;66a=3b83>>{e>oi1<7950;2x 6002;9:7E?:029K51cf3-;n>7j4i0f7>5<6=44i0f5>5<5<5<7>57;294~"4>>09?<5G1420?M73mh1/=h<5d:k2`1<722c:h84?::k2`3<722c:h:4?::k2`=<722c:h44?::m17f<722wi;=o50;594?6|,:<<6?=>;I3646=O9=oj7)?j2;f8m4b32900e5<5<5<t$244>7563A;><>5G15gb?!7b:3n0e2900c?=l:188yg17<3:1;7>50z&022<5;81C=8><;I37ad=#9l81h6g>d583>>o6l<0;66g>d783>>o6l>0;66g>d983>>o6l00;66a=3b83>>{e?9h1<7950;2x 6002;9:7E?:029K51cf3-;n>7j4i0f7>5<6=44i0f5>5<5<5<57;294~"4>>09?<5G1420?M73mh1/=h<51cd8m4b32900eo6l=0;66g>d483>>o6l?0;66g>d683>>o6l10;66g>d883>>i5;j0;66sm70494?1=83:p(>88:312?M728:1C=9kn;%3f6?b5<5<5<t$244>7563A;><>5G15gb?!7b:3n0e2900c?=l:188yg0b13:1;7>50z&022<5;81C=8><;I37ad=#9l81=oh4i0f7>5<6=44i0f5>5<5<5<57;294~"4>>09?<5G1420?M73mh1/=h<51cd8m4b32900eo6l=0;66g>d483>>o6l?0;66g>d683>>o6l10;66g>d883>>i5;j0;66sm71494?1=83:p(>88:312?M728:1C=9kn;%3f6?b5<5<5<=83=1<7>t$244>7563A;><>5G15gb?!7b:3n0e2900c?=l:188yg0b>3:1;7>50z&022<5;81C=8><;I37ad=#9l81=oh4i0f7>5<6=44i0f5>5<5<5<57;294~"4>>09?<5G1420?M73mh1/=h<5d:k2`1<722c:h84?::k2`3<722c:h:4?::k2`=<722c:h44?::m17f<722wi;<750;594?6|,:<<6?=>;I3646=O9=oj7)?j2;f8m4b32900ea;293?6=8r.8::4=309K50643A;?il5+1d09`>o6l=0;66g>d483>>o6l?0;66g>d683>>o6l10;66g>d883>>i5;j0;66sm70d94?1=83:p(>88:312?M728:1C=9kn;%3f6?b5<5<5<2900c?=l:188yg1713:1;7>50z&022<5;81C=8><;I37ad=#9l81h6g>d583>>o6l<0;66g>d783>>o6l>0;66g>d983>>o6l00;66a=3b83>>{e?8h1<7950;2x 6002;9:7E?:029K51cf3-;n>7j4i0f7>5<6=44i0f5>5<5<5<57;294~"4>>09?<5G1420?M73mh1/=h<5d:k2`1<722c:h84?::k2`3<722c:h:4?::k2`=<722c:h44?::m17f<722wi;?;50;594?6|,:<<6?=>;I3646=O9=oj7)?j2;f8m4b32900e5<5<5<t$244>7563A;><>5G15gb?!7b:3n0e2900c?=l:188yg15?3:1;7>50z&022<5;81C=8><;I37ad=#9l81h6g>d583>>o6l<0;66g>d783>>o6l>0;66g>d983>>o6l00;66a=3b83>>{e?;n1<7950;2x 6002;9:7E?:029K51cf3-;n>7j4i0f7>5<6=44i0f5>5<5<5<57;294~"4>>09?<5G1420?M73mh1/=h<51cd8m4b32900e5<5<5<t$244>7563A;><>5G15gb?!7b:3n0e2900c?=l:188yg0am3:1;7>50z&022<5;81C=8><;I37ad=#9l81h6g>d583>>o6l<0;66g>d783>>o6l>0;66g>d983>>o6l00;66a=3b83>>{e?9i1<7950;2x 6002;9:7E?:029K51cf3-;n>7j4i0f7>5<6=44i0f5>5<5<5<57;294~"4>>09?<5G1420?M73mh1/=h<51cd8m4b32900eo6l=0;66g>d483>>o6l?0;66g>d683>>o6l10;66g>d883>>i5;j0;66sm71f94?1=83:p(>88:312?M728:1C=9kn;%3f6?b5<5<5<=83=1<7>t$244>7563A;><>5G15gb?!7b:3n0e2900c?=l:188yg0bk3:1;7>50z&022<5;81C=8><;I37ad=#9l81=oh4i0f7>5<6=44i0f5>5<5<5<57;294~"4>>09?<5G1420?M73mh1/=h<5d:k2`1<722c:h84?::k2`3<722c:h:4?::k2`=<722c:h44?::m17f<722wi;=h50;594?6|,:<<6?=>;I3646=O9=oj7)?j2;f8m4b32900e0;293?6=8r.8::4=309K50643A;?il5+1d09`>o6l=0;66g>d483>>o6l?0;66g>d683>>o6l10;66g>d883>>i5;j0;66sm73;94?1=83:p(>88:312?M728:1C=9kn;%3f6?b5<5<5<2900c?=l:188yg1693:1;7>50z&022<5;81C=8><;I37ad=#9l81h6g>d583>>o6l<0;66g>d783>>o6l>0;66g>d983>>o6l00;66a=3b83>>{e?;k1<7950;2x 6002;9:7E?:029K51cf3-;n>7j4i0f7>5<6=44i0f5>5<5<5<57;294~"4>>09?<5G1420?M73mh1/=h<5d:k2`1<722c:h84?::k2`3<722c:h:4?::k2`=<722c:h44?::m17f<722wi;?k50;594?6|,:<<6?=>;I3646=O9=oj7)?j2;f8m4b32900e5<5<5<2900c?=l:188yg1793:1;7>50z&022<5;81C=8><;I37ad=#9l81h6g>d583>>o6l<0;66g>d783>>o6l>0;66g>d983>>o6l00;66a=3b83>>{e?881<7950;2x 6002;9:7E?:029K51cf3-;n>7j4i0f7>5<6=44i0f5>5<5<5<57;294~"4>>09?<5G1420?M73mh1/=h<5d:k2`1<722c:h84?::k2`3<722c:h:4?::k2`=<722c:h44?::m17f<722wi;?m50;594?6|,:<<6?=>;I3646=O9=oj7)?j2;f8m4b32900e5<5<5<t$244>7563A;><>5G15gb?!7b:3n0e2900c?=l:188yg1593:1;7>50z&022<5;81C=8><;I37ad=#9l81h6g>d583>>o6l<0;66g>d783>>o6l>0;66g>d983>>o6l00;66a=3b83>>{e?;l1<7950;2x 6002;9:7E?:029K51cf3-;n>7j4i0f7>5<6=44i0f5>5<5<5<57;294~"4>>09?<5G1420?M73mh1/=h<51cd8m4b32900e5;293?6=8r.8::4=309K50643A;?il5+1d09`>o6l=0;66g>d483>>o6l?0;66g>d683>>o6l10;66g>d883>>i5;j0;66sm73094?1=83:p(>88:312?M728:1C=9kn;%3f6?b5<5<5<t$244>7563A;><>5G15gb?!7b:3n0e2900c?=l:188yg0a:3:1;7>50z&022<5;81C=8><;I37ad=#9l81=oh4i0f7>5<6=44i0f5>5<5<5<57;294~"4>>09?<5G1420?M73mh1/=h<5d:k2`1<722c:h84?::k2`3<722c:h:4?::k2`=<722c:h44?::m17f<722wi;>?50;594?6|,:<<6?=>;I3646=O9=oj7)?j2;f8m4b32900eo6l=0;66g>d483>>o6l?0;66g>d683>>o6l10;66g>d883>>i5;j0;66sm72194?1=83:p(>88:312?M728:1C=9kn;%3f6?b5<5<5<2900c?=l:188yg0b93:1;7>50z&022<5;81C=8><;I37ad=#9l81=oh4i0f7>5<6=44i0f5>5<5<5<54;294~"4>>09?>5G1420?M73mh1b=i:50;9j5a3=831b>8850;9l66e=831vno=9:187>5<7s-9=;7?l5:J2155<@8>nm6*=3e8213`<,8o96<5f7`83>>oem3:17d<;9;29?j43>3:17plm3083>1<729q/?;951b78L437;2B:8ho4$31g>431n2.:i?4>;h5b>5<5<3290;w)=97;3`1>N6=990D<:ja:&17a<6=?l0(5;h07=?6=3f8?:7>5;|`a7=<72=0;6=u+37595f3<@8?;?6F>4dc8 75c28?=j6*>e382?l1f2900eok50;9j61?=831d>9850;9~fg4a290?6=4?{%153?7d=2B:9==4H06fe>"5;m0:9;h4$0g1>4=n?h0;66gme;29?l4313:17b<;6;29?xde;j0;694?:1y'731=9j=0D<;?3:J20`g<,;9o6<;9f:&2a7<682c5;h`f>5<5<54;294~"4>>0:o85G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722c9844?::m103<722wi8n>50;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c6aa?6=?3:1>{e5;h16>5<>o0n3:17dlj:188k7212900qo:ma;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a0g>=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<i:7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg2e83:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi8lk50;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c6bg?6=?3:1>{e5;h16>5<>o0n3:17dlj:188k7212900qo:n8;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a0d0=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<j87>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg2f83:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi84m50;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c6:e?6=?3:1>{e<021<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0n3:17dlj:188k7212900qo:66;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a0<2=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<2>7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg2?m3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi85m50;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c6;e?6=?3:1>{e<1<1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0n3:17dlj:188k7212900qo:74;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a0=4=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<3<7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg20k3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi8:o50;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c64>{e<><1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0n3:17dlj:188k7212900qo:84;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a026=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<=i7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg21i3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi8;650;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c652?6=?3:1>{e1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0n3:17dlj:188k7212900qo:92;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a036=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<>i7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg2203:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi88850;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c660?6=?3:1>{e<<81<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0n3:17dlj:188k7212900qo::0;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a01c=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<?o7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg2303:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi89<50;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c674?6=?3:1>{e<:o1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0n3:17dlj:188k7212900qo:7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a06g=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<847>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg24<3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi8><50;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c604?6=?3:1>{e<;i1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0n3:17dlj:188k7212900qo:=a;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a07>=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<9:7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg25:3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi8?>50;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c62a?6=?3:1>{e<8i1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0n3:17dlj:188k7212900qo:>a;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a040=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<:87>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg2683:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi8=k50;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c63g?6=?3:1>{e<9k1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0n3:17dlj:188k7212900qo:?8;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a050=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<;87>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg5am3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi?km50;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c1ee?6=?3:1>{e;o21<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0n3:17dlj:188k7212900qo=i6;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a7c2=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg5bm3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi?ho50;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c1f>{e;l<1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0n3:17dlj:188k7212900qo=j4;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a7`4=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg5ck3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi?io50;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c1g>{e;m>1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0n3:17dlj:188k7212900qo=k2;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a7a6=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg5di3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi?n650;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c1`2?6=?3:1>{e;j>1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0n3:17dlj:188k7212900qo=l2;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a7gc=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg5e03:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi?o850;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c1a0?6=?3:1>{e;k81<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0n3:17dlj:188k7212900qo=m0;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a7dc=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg5f>3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi?l:50;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c1b6?6=?3:1>{e;h:1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0n3:17dlj:188k7212900qo=6e;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a7t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg5>>3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi?4<50;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c1:4?6=?3:1>{e;1o1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0n3:17dlj:188k7212900qo=7c;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a7=g=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg5?<3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi?5<50;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c1;4?6=?3:1>{e;>i1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0n3:17dlj:188k7212900qo=8a;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a72>=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg50:3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi?:>50;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c15a?6=?3:1>{e;?i1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0n3:17dlj:188k7212900qo=9a;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a132=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg32m3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi98m50;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c76e?6=?3:1>{e=<21<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0n3:17dlj:188k7212900qo;:6;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a102=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<>7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg33k3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi99o50;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c77>{e==<1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0n3:17dlj:188k7212900qo;;4;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a114=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg34k3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi9>650;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c702?6=?3:1>{e=:>1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0n3:17dlj:188k7212900qo;<2;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a166=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg35i3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi9?650;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c712?6=?3:1>{e=;81<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0n3:17dlj:188k7212900qo;=0;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a14c=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg3603:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi9<850;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c720?6=?3:1>{e=881<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0n3:17dlj:188k7212900qo;>0;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a15e=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg37>3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi9=:50;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c736?6=?3:1>{e=9:1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0n3:17dlj:188k7212900qo:ie;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a0ce=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<mm7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg2a<3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi8k<50;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c6e4?6=?3:1>{e5;h16>5<>o0n3:17dlj:188k7212900qo:jc;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a0`g=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<n47>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg2b<3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi8h>50;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c6ga?6=?3:1>{e5;h16>5<>o0n3:17dlj:188k7212900qo:ka;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a0a>=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<o:7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg2c:3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi8i>50;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c6`a?6=?3:1>{e5;h16>5<>o0n3:17dlj:188k7212900qo:l8;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a0f0=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<h87>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg2e<3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi84k50;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c6;>{e<>81<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0n3:17dlj:188k7212900qo::c;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a012=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<9i7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg27:3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi?hm50;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c1g2?6=?3:1>{e;j:1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0n3:17dlj:188k7212900qo=na;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a7<2=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg3283:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi9>o50;594?6|,:<<6?;k;I3646=O9=oj7)<6g<4;29?l522900e>850;9j72<722c5;h`f>5<=6=44}c710?6=?3:1>{e=9o1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0n3:17dlj:188k7212900qo:i8;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a0`4=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<ho7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<l1<75fbd83>>i588:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg5103:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi8n?50;194?6|,:<<650z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<in7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a0g?=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:m7;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e5;h`f>5<=6=44}c6a5?6=;3:150z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<j57>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a0d1=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:n5;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e5;h`f>5<=6=44}c6b5?6=;3:1j3:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<2;7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a0<3=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:63;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e<0;1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c5;h`f>5<=6=44}c6;b?6=;3:150z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<397>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a0=5=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:71;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e<>l1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c5;h`f>5<=6=44}c64`?6=;3:150z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<97>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a027=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:9f;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e5;h`f>5<=6=44}c65f?6=;3:150z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<=?7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a037=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo::f;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e<5;h`f>5<=6=44}c66=?6=;3:150z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<>=7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a01`=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:;d;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e<=h1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c5;h`f>5<=6=44}c67=?6=;3:150z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<8h7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a06d=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:<9;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e<:=1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c5;h`f>5<=6=44}c601?6=;3:1=50;194?6|,:<<650z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<9n7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a07?=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:=7;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e<;?1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c5;h`f>5<=6=44}c617?6=;3:150z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<:n7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a041=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:>5;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e<891<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c5;h`f>5<=6=44}c625?6=;3:150z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<;57>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a051=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:?5;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e<9;1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c5;h`f>5<=6=44}c1eb?6=;3:150z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a7c3=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo=i3;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e;o;1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c5;h`f>5<=6=44}c1fb?6=;3:150z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a7`5=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo=j1;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e;ml1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c5;h`f>5<=6=44}c1g`?6=;3:150z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a7a7=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo=lf;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e;jn1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c5;h`f>5<=6=44}c1`f?6=;3:150z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a7g`=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo=md;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e;kh1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c5;h`f>5<=6=44}c1a=?6=;3:150z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a7d`=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo=nd;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e;h31<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c5;h`f>5<=6=44}c1b3?6=;3:150z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a7t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo=6b;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e;031<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c5;h`f>5<=6=44}c1:3?6=;3:193:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a7=d=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo=79;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e;1=1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c5;h`f>5<=6=44}c1;1?6=;3:150z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a72?=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo=87;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e;>?1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c5;h`f>5<=6=44}c147?6=;3:150z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a133=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo;93;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e=?;1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c5;h`f>5<=6=44}c76b?6=;3:150z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<;7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a103=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo;:3;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e==l1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c5;h`f>5<=6=44}c77`?6=;3:150z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a115=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo;;1;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e=:l1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c5;h`f>5<=6=44}c70`?6=;3:1750;194?6|,:<<650z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a167=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo;=f;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e=;n1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c5;h`f>5<=6=44}c71f?6=;3:150z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a14`=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo;>d;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e=8h1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c5;h`f>5<=6=44}c72=?6=;3:150z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a15b=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo;?b;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e=931<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c5;h`f>5<=6=44}c733?6=;3:150z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<mj7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a0cb=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:ib;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e5;h`f>5<=6=44}c6e1?6=;3:150z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<nh7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a0`d=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:j9;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e5;h`f>5<=6=44}c6f1?6=;3:150z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<on7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a0a?=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:k7;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e5;h`f>5<=6=44}c6g7?6=;3:150z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<h57>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a0f1=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:l5;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e5;h`f>5<=6=44}c6a1?6=;3:150z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<>h7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a013=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:=f;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e<831<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c5;h`f>5<=6=44}c637?6=;3:150z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a7<3=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo=8f;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e=?=1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c5;h`f>5<=6=44}c765?6=;3:1l50;194?6|,:<<650z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>i588:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<m57>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a0`5=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:ld;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e<==1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c5;h`f>5<=6=44}c15=?6=;3:1k50;694?6|,:<<6?==;I3646=O9=oj7)<3:17b<=9;29?xdc;o0;694?:1y'731=::80D<;?3:J20`g<,;9o6<;9f:k4a?6=3`i86=44i375>5<8850;9l67?=831vni:>:187>5<7s-9=;7<<2:J2155<@8>nm6*=3e8213`o1<75fc283>>o5=?0;66a=2883>>{el=81<7o50;2x 6002;<;7E?:029K51cf3S=265;n07!43:39h7c<;1;38?l5f290/>9<53b9m617=:21b?44?:%076?5d3g8?=7=4;h1;>5<#:=81?n5a25390>=zjm>86=4n:183!51?38=<6F>5118L42bi2P<57?t338~ 75c28?=j6*>e387?l1a2900eok50;9j3f<722e98;4?::m10=<722c8h7>5$361>6e:6=54i2`94?"5<;08o6`=4082?>o4i3:1(?:=:2a8j7262;10e>750;&107<4k2d98<4<;:k096>m4n362>1=5a;294~"4>>09:=5G1420?M73mh1Q;44>{209y!44l3;>:k5+1d090>o0n3:17dlj:188m2e=831d>9850;9l61>=831b?i4?:%076?5d3g8?=7>4;h1a>5<#:=81?n5a25395>=n;h0;6)<;2;1`?k4393807d=6:18'614=;j1e>9?53:9j7=<72-8?>7=l;o075?2<3tho884?:883>5}#;?=1>8h4H0737>N6"6m;097d=;:188m63=831b?;4?::k03?6=3`=h6=44i6d94?=njl0;66a=4783>>i5<>0;66sme1494?2=83:p(>88:311?M728:1C=9kn;%00`?72>o1b;h4?::k`7?6=3`8>:7>5;n01=?6=3thn<:4?:583>5}#;?=1>><4H0737>N6o0m3:17dm<:188m7312900c?<6:188ygc7=3:187>50z&022<5;;1C=8><;I37ad=#::n1=88i;h5f>5<5N6=990D<:ja:X4=?7|;;0v(?=k:075b>"6m;0?7d9i:188mgc=831b;n4?::m103<722e9854?::k0`?6=,;>96>m4n362>5=h5<80:76g:398m6?=83.98?45$361>6e:6954}cg3=?6=i3:19650;9j7a<72-8?>7=l;o075?6<3`9i6=4+25097f=i:=;1=65f3`83>!43:39h7c<;1;08?l5>290/>9<53b9m617=;21b?54?:%076?5d3g8?=7:4;|`f4d<72h0;6=u+3759636<@8?;?6F>4dc8^2?=9r996p*=3e8213`<,8o9695f7g83>>oem3:17d9l:188k7212900c?:7:188m6b=83.98?45$361>6e:6<54i2c94?"5<;08o6`=4081?>o413:1(?:=:2a8j7262:10e>650;&107<4k2d98<4;;:aa5d=8331<7>t$244>73a3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4g?6=3`=m6=44icg94?=h:=<1<75`25594?=zjl:?6=4;:183!51?388>6F>5118L42bi2.9?i4>57d8m2c=831bo>4?::k113<722e9>44?::aafe=83>1<7>t$244>7553A;><>5G15gb?!44l3;>:k5f7d83>>od;3:17d<:6;29?j4513:17pljce83>1<729q/?;952208L437;2B:8ho4$31g>431n2c5;ha0>5<5<54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f`eb290j6=4?{%153?4182B:9==4H06fe>\013;p??4r$31g>431n2.:i?4;;h5e>5<>i5>o4l3:1(?:=:2a8j7262910e>l50;&107<4k2d98<4>;:k0e?6=,;>96>m4n362>7=h5<80876g<8;29 7252:i0b?:>:598ygcdn3:1m7>50z&022<5>91C=8><;I37ad=]?00:w><5}%00`?72>o1/=h<54:k4b?6=3`hn6=44i6a94?=h:=<1<75`25:94?=n;m0;6)<;2;1`?k4393:07d=m:18'614=;j1e>9?51:9j7d<72-8?>7=l;o075?4<3`926=4+25097f=i:=;1?65f3983>!43:39h7c<;1;68?xdbl90;6l4?:1y'731=:?:0D<;?3:J20`g31=v==:|&17a<6=?l0(5;h5`>5<=6=44o36;>5<h5<80;76g:098m6g=83.98?45$361>6e:6>54i2:94?"5<;08o6`=4087?>{emm;1<7750;2x 6002;?m7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0k3:17d9i:188mgc=831d>9850;9l611=831vnhmn:187>5<7s-9=;7<<2:J2155<@8>nm6*=3e8213`o1<75fc283>>o5=?0;66a=2883>>{en9<1<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`e42<72=0;6=u+3759664<@8?;?6F>4dc8 75c28?=j6g8e;29?le42900e?;9:188k74>2900qoh?5;290?6=8r.8::4=339K50643A;?il5+22f9500a3`=n6=44ib194?=n:<<1<75`23;94?=zjo:36=4n:183!51?38=<6F>5118L42bi2P<57?t338~ 75c28?=j6*>e387?l1a2900eok50;9j3f<722e98;4?::m10=<722c8h7>5$361>6e:6=54i2`94?"5<;08o6`=4082?>o4i3:1(?:=:2a8j7262;10e>750;&107<4k2d98<4<;:k096>m4n362>1=5a;294~"4>>09:=5G1420?M73mh1Q;44>{209y!44l3;>:k5+1d090>o0n3:17dlj:188m2e=831d>9850;9l61>=831b?i4?:%076?5d3g8?=7>4;h1a>5<#:=81?n5a25395>=n;h0;6)<;2;1`?k4393807d=6:18'614=;j1e>9?53:9j7=<72-8?>7=l;o075?2<3thm5}#;?=1>;>4H0737>N6x"5;m0:9;h4$0g1>1=n?o0;66gme;29?l1d2900c?:9:188k72?2900e>j50;&107<4k2d98<4?;:k0f?6=,;>96>m4n362>4=h5<80976g<9;29 7252:i0b?:>:298m6>=83.98?46g<4;29?l522900e>850;9j72<722c5;h5e>5<5<3290;w)=97;006>N6=990D<:ja:&17a<6=?l0e:k50;9jg6<722c99;4?::m16<<722wij<650;694?6|,:<<6?==;I3646=O9=oj7)<3:17b<=9;29?xda900;694?:1y'731=::80D<;?3:J20`g<,;9o6<;9f:k4a?6=3`i86=44i375>5<8850;9l67?=831vnk?n:18b>5<7s-9=;7<90:J2155<@8>nm6T89;3x77>i5<10;66g:198m6d=83.98?45$361>6e:6?54i2;94?"5<;08o6`=4080?>o403:1(?:=:2a8j7262=10qoh>b;29e?6=8r.8::4=619K50643A;?il5U788264=u-88h7?:6g9'5`4=<2c5;h`f>5<i1<75`25494?=h:=21<75f3e83>!43:39h7c<;1;28?l5e290/>9<53b9m617=921b?l4?:%076?5d3g8?=7<4;h1:>5<#:=81?n5a25397>=n;10;6)<;2;1`?k4393>07pli1b83>d<729q/?;952728L437;2B:8ho4Z6;95~552t.9?i4>57d8 4c52=1b;k4?::kaa?6=3`=h6=44o365>5<36=44i2f94?"5<;08o6`=4083?>o4j3:1(?:=:2a8j7262810e>o50;&107<4k2d98<4=;:k0=?6=,;>96>m4n362>6=h5<80?76smf0f94??=83:p(>88:37e?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8c;29?l1a2900eok50;9l610=831d>9950;9~fc71290?6=4?{%153?44:2B:9==4H06fe>"5;m0:9;h4i6g94?=nk:0;66g=5783>>i5:00;66smf3c94?2=83:p(>88:311?M728:1C=9kn;%00`?72>o1b;h4?::k`7?6=3`8>:7>5;n01=?6=3thm>o4?:583>5}#;?=1>><4H0737>N6o0m3:17dm<:188m7312900c?<6:188yg`513:187>50z&022<5;;1C=8><;I37ad=#::n1=88i;h5f>5<5N6=990D<:ja:X4=?7|;;0v(?=k:075b>"6m;0?7d9i:188mgc=831b;n4?::m103<722e9854?::k0`?6=,;>96>m4n362>5=h5<80:76g:398m6?=83.98?45$361>6e:6954}cd1`?6=i3:19650;9j7a<72-8?>7=l;o075?6<3`9i6=4+25097f=i:=;1=65f3`83>!43:39h7c<;1;08?l5>290/>9<53b9m617=;21b?54?:%076?5d3g8?=7:4;|`e6`<72h0;6=u+3759636<@8?;?6F>4dc8^2?=9r996p*=3e8213`<,8o9695f7g83>>oem3:17d9l:188k7212900c?:7:188m6b=83.98?45$361>6e:6<54i2c94?"5<;08o6`=4081?>o413:1(?:=:2a8j7262:10e>650;&107<4k2d98<4;;:ab7`=8331<7>t$244>73a3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4g?6=3`=m6=44icg94?=h:=<1<75`25594?=zjo836=4;:183!51?388>6F>5118L42bi2.9?i4>57d8m2c=831bo>4?::k113<722e9>44?::ab6e=83>1<7>t$244>7553A;><>5G15gb?!44l3;>:k5f7d83>>od;3:17d<:6;29?j4513:17pli3e83>1<729q/?;952208L437;2B:8ho4$31g>431n2c5;ha0>5<5<54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~fc5b290j6=4?{%153?4182B:9==4H06fe>\013;p??4r$31g>431n2.:i?4;;h5e>5<>i5>o4l3:1(?:=:2a8j7262910e>l50;&107<4k2d98<4>;:k0e?6=,;>96>m4n362>7=h5<80876g<8;29 7252:i0b?:>:598yg`4n3:1m7>50z&022<5>91C=8><;I37ad=]?00:w><5}%00`?72>o1/=h<54:k4b?6=3`hn6=44i6a94?=h:=<1<75`25:94?=n;m0;6)<;2;1`?k4393:07d=m:18'614=;j1e>9?51:9j7d<72-8?>7=l;o075?4<3`926=4+25097f=i:=;1?65f3983>!43:39h7c<;1;68?xda<90;6l4?:1y'731=:?:0D<;?3:J20`g31=v==:|&17a<6=?l0(5;h5`>5<=6=44o36;>5<h5<80;76g:098m6g=83.98?45$361>6e:6>54i2:94?"5<;08o6`=4087?>{en=;1<7750;2x 6002;?m7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0k3:17d9i:188mgc=831d>9850;9l611=831vnk=n:187>5<7s-9=;7<<2:J2155<@8>nm6*=3e8213`o1<75fc283>>o5=?0;66a=2883>>{en=o1<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`e0c<72=0;6=u+3759664<@8?;?6F>4dc8 75c28?=j6g8e;29?le42900e?;9:188k74>2900qoh;d;290?6=8r.8::4=339K50643A;?il5+22f9500a3`=n6=44ib194?=n:<<1<75`23;94?=zjo?;6=4n:183!51?38=<6F>5118L42bi2P<57?t338~ 75c28?=j6*>e387?l1a2900eok50;9j3f<722e98;4?::m10=<722c8h7>5$361>6e:6=54i2`94?"5<;08o6`=4082?>o4i3:1(?:=:2a8j7262;10e>750;&107<4k2d98<4<;:k096>m4n362>1==7>5a;294~"4>>09:=5G1420?M73mh1Q;44>{209y!44l3;>:k5+1d090>o0n3:17dlj:188m2e=831d>9850;9l61>=831b?i4?:%076?5d3g8?=7>4;h1a>5<#:=81?n5a25395>=n;h0;6)<;2;1`?k4393807d=6:18'614=;j1e>9?53:9j7=<72-8?>7=l;o075?2<3thm9?4?:`83>5}#;?=1>;>4H0737>N6x"5;m0:9;h4$0g1>1=n?o0;66gme;29?l1d2900c?:9:188k72?2900e>j50;&107<4k2d98<4?;:k0f?6=,;>96>m4n362>4=h5<80976g<9;29 7252:i0b?:>:298m6>=83.98?46g<4;29?l522900e>850;9j72<722c5;h5e>5<5<3290;w)=97;006>N6=990D<:ja:&17a<6=?l0e:k50;9jg6<722c99;4?::m16<<722wij;>50;694?6|,:<<6?==;I3646=O9=oj7)<3:17b<=9;29?xda>80;694?:1y'731=::80D<;?3:J20`g<,;9o6<;9f:k4a?6=3`i86=44i375>5<8850;9l67?=831vnk8=:18b>5<7s-9=;7<90:J2155<@8>nm6T89;3x77>i5<10;66g:198m6d=83.98?45$361>6e:6?54i2;94?"5<;08o6`=4080?>o403:1(?:=:2a8j7262=10qoh93;29e?6=8r.8::4=619K50643A;?il5U788264=u-88h7?:6g9'5`4=<2c5;h`f>5<i1<75`25494?=h:=21<75f3e83>!43:39h7c<;1;28?l5e290/>9<53b9m617=921b?l4?:%076?5d3g8?=7<4;h1:>5<#:=81?n5a25397>=n;10;6)<;2;1`?k4393>07pli6583>d<729q/?;952728L437;2B:8ho4Z6;95~552t.9?i4>57d8 4c52=1b;k4?::kaa?6=3`=h6=44o365>5<36=44i2f94?"5<;08o6`=4083?>o4j3:1(?:=:2a8j7262810e>o50;&107<4k2d98<4=;:k0=?6=,;>96>m4n362>6=h5<80?76smf7794??=83:p(>88:37e?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8c;29?l1a2900eok50;9l610=831d>9950;9~fc3b290?6=4?{%153?44:2B:9==4H06fe>"5;m0:9;h4i6g94?=nk:0;66g=5783>>i5:00;66smf6094?2=83:p(>88:311?M728:1C=9kn;%00`?72>o1b;h4?::k`7?6=3`8>:7>5;n01=?6=3thm;>4?:583>5}#;?=1>><4H0737>N6o0m3:17dm<:188m7312900c?<6:188yg`093:187>50z&022<5;;1C=8><;I37ad=#::n1=88i;h5f>5<5N6=990D<:ja:X4=?7|;;0v(?=k:075b>"6m;0?7d9i:188mgc=831b;n4?::m103<722e9854?::k0`?6=,;>96>m4n362>5=h5<80:76g:398m6?=83.98?45$361>6e:6954}cd41?6=i3:19650;9j7a<72-8?>7=l;o075?6<3`9i6=4+25097f=i:=;1=65f3`83>!43:39h7c<;1;08?l5>290/>9<53b9m617=;21b?54?:%076?5d3g8?=7:4;|`e33<72h0;6=u+3759636<@8?;?6F>4dc8^2?=9r996p*=3e8213`<,8o9695f7g83>>oem3:17d9l:188k7212900c?:7:188m6b=83.98?45$361>6e:6<54i2c94?"5<;08o6`=4081?>o413:1(?:=:2a8j7262:10e>650;&107<4k2d98<4;;:ab21=8331<7>t$244>73a3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4g?6=3`=m6=44icg94?=h:=<1<75`25594?=zjo=;6=4;:183!51?388>6F>5118L42bi2.9?i4>57d8m2c=831bo>4?::k113<722e9>44?::a`04=83>1<7>t$244>7553A;><>5G15gb?!44l3;>:k5f7d83>>od;3:17d<:6;29?j4513:17plk5283>1<729q/?;952208L437;2B:8ho4$31g>431n2c5;ha0>5<5<=7>54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~fa33290j6=4?{%153?4182B:9==4H06fe>\013;p??4r$31g>431n2.:i?4;;h5e>5<>i5>o4l3:1(?:=:2a8j7262910e>l50;&107<4k2d98<4>;:k0e?6=,;>96>m4n362>7=h5<80876g<8;29 7252:i0b?:>:598ygb2=3:1m7>50z&022<5>91C=8><;I37ad=]?00:w><5}%00`?72>o1/=h<54:k4b?6=3`hn6=44i6a94?=h:=<1<75`25:94?=n;m0;6)<;2;1`?k4393:07d=m:18'614=;j1e>9?51:9j7d<72-8?>7=l;o075?4<3`926=4+25097f=i:=;1?65f3983>!43:39h7c<;1;68?xdc=?0;6l4?:1y'731=:?:0D<;?3:J20`g31=v==:|&17a<6=?l0(5;h5`>5<=6=44o36;>5<h5<80;76g:098m6g=83.98?45$361>6e:6>54i2:94?"5<;08o6`=4087?>{el<=1<7750;2x 6002;?m7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0k3:17d9i:188mgc=831d>9850;9l611=831vni;?:187>5<7s-9=;7<<2:J2155<@8>nm6*=3e8213`o1<75fc283>>o5=?0;66a=2883>>{el?>1<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`g20<72=0;6=u+3759664<@8?;?6F>4dc8 75c28?=j6g8e;29?le42900e?;9:188k74>2900qoj93;290?6=8r.8::4=339K50643A;?il5+22f9500a3`=n6=44ib194?=n:<<1<75`23;94?=zjm<=6=4n:183!51?38=<6F>5118L42bi2P<57?t338~ 75c28?=j6*>e387?l1a2900eok50;9j3f<722e98;4?::m10=<722c8h7>5$361>6e:6=54i2`94?"5<;08o6`=4082?>o4i3:1(?:=:2a8j7262;10e>750;&107<4k2d98<4<;:k096>m4n362>1=5a;294~"4>>09:=5G1420?M73mh1Q;44>{209y!44l3;>:k5+1d090>o0n3:17dlj:188m2e=831d>9850;9l61>=831b?i4?:%076?5d3g8?=7>4;h1a>5<#:=81?n5a25395>=n;h0;6)<;2;1`?k4393807d=6:18'614=;j1e>9?53:9j7=<72-8?>7=l;o075?2<3tho:54?:`83>5}#;?=1>;>4H0737>N6x"5;m0:9;h4$0g1>1=n?o0;66gme;29?l1d2900c?:9:188k72?2900e>j50;&107<4k2d98<4?;:k0f?6=,;>96>m4n362>4=h5<80976g<9;29 7252:i0b?:>:298m6>=83.98?46g<4;29?l522900e>850;9j72<722c5;h5e>5<5<3290;w)=97;006>N6=990D<:ja:&17a<6=?l0e:k50;9jg6<722c99;4?::m16<<722wih:850;694?6|,:<<6?==;I3646=O9=oj7)<3:17b<=9;29?xdc?>0;694?:1y'731=::80D<;?3:J20`g<,;9o6<;9f:k4a?6=3`i86=44i375>5<8850;9l67?=831vni97:18b>5<7s-9=;7<90:J2155<@8>nm6T89;3x77>i5<10;66g:198m6d=83.98?45$361>6e:6?54i2;94?"5<;08o6`=4080?>o403:1(?:=:2a8j7262=10qoj89;29e?6=8r.8::4=619K50643A;?il5U788264=u-88h7?:6g9'5`4=<2c5;h`f>5<i1<75`25494?=h:=21<75f3e83>!43:39h7c<;1;28?l5e290/>9<53b9m617=921b?l4?:%076?5d3g8?=7<4;h1:>5<#:=81?n5a25397>=n;10;6)<;2;1`?k4393>07plk7`83>d<729q/?;952728L437;2B:8ho4Z6;95~552t.9?i4>57d8 4c52=1b;k4?::kaa?6=3`=h6=44o365>5<36=44i2f94?"5<;08o6`=4083?>o4j3:1(?:=:2a8j7262810e>o50;&107<4k2d98<4=;:k0=?6=,;>96>m4n362>6=h5<80?76smd6`94??=83:p(>88:37e?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8c;29?l1a2900eok50;9l610=831d>9950;9~fa13290?6=4?{%153?44:2B:9==4H06fe>"5;m0:9;h4i6g94?=nk:0;66g=5783>>i5:00;66smd9:94?2=83:p(>88:311?M728:1C=9kn;%00`?72>o1b;h4?::k`7?6=3`8>:7>5;n01=?6=3tho444?:583>5}#;?=1>><4H0737>N6o0m3:17dm<:188m7312900c?<6:188ygb??3:187>50z&022<5;;1C=8><;I37ad=#::n1=88i;h5f>5<5N6=990D<:ja:X4=?7|;;0v(?=k:075b>"6m;0?7d9i:188mgc=831b;n4?::m103<722e9854?::k0`?6=,;>96>m4n362>5=h5<80:76g:398m6?=83.98?45$361>6e:6954}cf;f?6=i3:19650;9j7a<72-8?>7=l;o075?6<3`9i6=4+25097f=i:=;1=65f3`83>!43:39h7c<;1;08?l5>290/>9<53b9m617=;21b?54?:%076?5d3g8?=7:4;|`g4dc8^2?=9r996p*=3e8213`<,8o9695f7g83>>oem3:17d9l:188k7212900c?:7:188m6b=83.98?45$361>6e:6<54i2c94?"5<;08o6`=4081?>o413:1(?:=:2a8j7262:10e>650;&107<4k2d98<4;;:a`=b=8331<7>t$244>73a3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4g?6=3`=m6=44icg94?=h:=<1<75`25594?=zjm2=6=4;:183!51?388>6F>5118L42bi2.9?i4>57d8m2c=831bo>4?::k113<722e9>44?::a`1<7>t$244>7553A;><>5G15gb?!44l3;>:k5f7d83>>od;3:17d<:6;29?j4513:17plk9c83>1<729q/?;952208L437;2B:8ho4$31g>431n2c5;ha0>5<5<54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~fa?d290j6=4?{%153?4182B:9==4H06fe>\013;p??4r$31g>431n2.:i?4;;h5e>5<>i5>o4l3:1(?:=:2a8j7262910e>l50;&107<4k2d98<4>;:k0e?6=,;>96>m4n362>7=h5<80876g<8;29 7252:i0b?:>:598ygb>l3:1m7>50z&022<5>91C=8><;I37ad=]?00:w><5}%00`?72>o1/=h<54:k4b?6=3`hn6=44i6a94?=h:=<1<75`25:94?=n;m0;6)<;2;1`?k4393:07d=m:18'614=;j1e>9?51:9j7d<72-8?>7=l;o075?4<3`926=4+25097f=i:=;1?65f3983>!43:39h7c<;1;68?xdc1l0;6l4?:1y'731=:?:0D<;?3:J20`g31=v==:|&17a<6=?l0(5;h5`>5<=6=44o36;>5<h5<80;76g:098m6g=83.98?45$361>6e:6>54i2:94?"5<;08o6`=4087?>{el0l1<7750;2x 6002;?m7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0k3:17d9i:188mgc=831d>9850;9l611=831vni77:187>5<7s-9=;7<<2:J2155<@8>nm6*=3e8213`o1<75fc283>>o5=?0;66a=2883>>{elhi1<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`gea<72=0;6=u+3759664<@8?;?6F>4dc8 75c28?=j6g8e;29?le42900e?;9:188k74>2900qojnb;290?6=8r.8::4=339K50643A;?il5+22f9500a3`=n6=44ib194?=n:<<1<75`23;94?=zjmkn6=4n:183!51?38=<6F>5118L42bi2P<57?t338~ 75c28?=j6*>e387?l1a2900eok50;9j3f<722e98;4?::m10=<722c8h7>5$361>6e:6=54i2`94?"5<;08o6`=4082?>o4i3:1(?:=:2a8j7262;10e>750;&107<4k2d98<4<;:k096>m4n362>1=5a;294~"4>>09:=5G1420?M73mh1Q;44>{209y!44l3;>:k5+1d090>o0n3:17dlj:188m2e=831d>9850;9l61>=831b?i4?:%076?5d3g8?=7>4;h1a>5<#:=81?n5a25395>=n;h0;6)<;2;1`?k4393807d=6:18'614=;j1e>9?53:9j7=<72-8?>7=l;o075?2<3thon=4?:`83>5}#;?=1>;>4H0737>N6x"5;m0:9;h4$0g1>1=n?o0;66gme;29?l1d2900c?:9:188k72?2900e>j50;&107<4k2d98<4?;:k0f?6=,;>96>m4n362>4=h5<80976g<9;29 7252:i0b?:>:298m6>=83.98?46g<4;29?l522900e>850;9j72<722c5;h5e>5<5<3290;w)=97;006>N6=990D<:ja:&17a<6=?l0e:k50;9jg6<722c99;4?::m16<<722wihok50;694?6|,:<<6?==;I3646=O9=oj7)<3:17b<=9;29?xdcjo0;694?:1y'731=::80D<;?3:J20`g<,;9o6<;9f:k4a?6=3`i86=44i375>5<8850;9l67?=831vnim?:18b>5<7s-9=;7<90:J2155<@8>nm6T89;3x77>i5<10;66g:198m6d=83.98?45$361>6e:6?54i2;94?"5<;08o6`=4080?>o403:1(?:=:2a8j7262=10qojl1;29e?6=8r.8::4=619K50643A;?il5U788264=u-88h7?:6g9'5`4=<2c5;h`f>5<i1<75`25494?=h:=21<75f3e83>!43:39h7c<;1;28?l5e290/>9<53b9m617=921b?l4?:%076?5d3g8?=7<4;h1:>5<#:=81?n5a25397>=n;10;6)<;2;1`?k4393>07plkc383>d<729q/?;952728L437;2B:8ho4Z6;95~552t.9?i4>57d8 4c52=1b;k4?::kaa?6=3`=h6=44o365>5<36=44i2f94?"5<;08o6`=4083?>o4j3:1(?:=:2a8j7262810e>o50;&107<4k2d98<4=;:k0=?6=,;>96>m4n362>6=h5<80?76smdb194??=83:p(>88:37e?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8c;29?l1a2900eok50;9l610=831d>9950;9~fadd290?6=4?{%153?44:2B:9==4H06fe>"5;m0:9;h4i6g94?=nk:0;66g=5783>>i5:00;66smde294?2=83:p(>88:311?M728:1C=9kn;%00`?72>o1b;h4?::k`7?6=3`8>:7>5;n01=?6=3thoh<4?:583>5}#;?=1>><4H0737>N6o0m3:17dm<:188m7312900c?<6:188ygbdn3:187>50z&022<5;;1C=8><;I37ad=#::n1=88i;h5f>5<5N6=990D<:ja:X4=?7|;;0v(?=k:075b>"6m;0?7d9i:188mgc=831b;n4?::m103<722e9854?::k0`?6=,;>96>m4n362>5=h5<80:76g:398m6?=83.98?45$361>6e:6954}cfg7?6=i3:19650;9j7a<72-8?>7=l;o075?6<3`9i6=4+25097f=i:=;1=65f3`83>!43:39h7c<;1;08?l5>290/>9<53b9m617=;21b?54?:%076?5d3g8?=7:4;|`g`1<72h0;6=u+3759636<@8?;?6F>4dc8^2?=9r996p*=3e8213`<,8o9695f7g83>>oem3:17d9l:188k7212900c?:7:188m6b=83.98?45$361>6e:6<54i2c94?"5<;08o6`=4081?>o413:1(?:=:2a8j7262:10e>650;&107<4k2d98<4;;:a`a3=8331<7>t$244>73a3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4g?6=3`=m6=44icg94?=h:=<1<75`25594?=zjmin6=4;:183!51?388>6F>5118L42bi2.9?i4>57d8m2c=831bo>4?::k113<722e9>44?::a``4=83>1<7>t$244>7553A;><>5G15gb?!44l3;>:k5f7d83>>od;3:17d<:6;29?j4513:17plke283>1<729q/?;952208L437;2B:8ho4$31g>431n2c5;ha0>5<5<54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~fac3290j6=4?{%153?4182B:9==4H06fe>\013;p??4r$31g>431n2.:i?4;;h5e>5<>i5>o4l3:1(?:=:2a8j7262910e>l50;&107<4k2d98<4>;:k0e?6=,;>96>m4n362>7=h5<80876g<8;29 7252:i0b?:>:598ygbb=3:1m7>50z&022<5>91C=8><;I37ad=]?00:w><5}%00`?72>o1/=h<54:k4b?6=3`hn6=44i6a94?=h:=<1<75`25:94?=n;m0;6)<;2;1`?k4393:07d=m:18'614=;j1e>9?51:9j7d<72-8?>7=l;o075?4<3`926=4+25097f=i:=;1?65f3983>!43:39h7c<;1;68?xdcm?0;6l4?:1y'731=:?:0D<;?3:J20`g31=v==:|&17a<6=?l0(5;h5`>5<=6=44o36;>5<h5<80;76g:098m6g=83.98?45$361>6e:6>54i2:94?"5<;08o6`=4087?>{ell=1<7750;2x 6002;?m7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0k3:17d9i:188mgc=831d>9850;9l611=831vnik?:187>5<7s-9=;7<<2:J2155<@8>nm6*=3e8213`o1<75fc283>>o5=?0;66a=2883>>{elo>1<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`gb0<72=0;6=u+3759664<@8?;?6F>4dc8 75c28?=j6g8e;29?le42900e?;9:188k74>2900qoji3;290?6=8r.8::4=339K50643A;?il5+22f9500a3`=n6=44ib194?=n:<<1<75`23;94?=zjml=6=4n:183!51?38=<6F>5118L42bi2P<57?t338~ 75c28?=j6*>e387?l1a2900eok50;9j3f<722e98;4?::m10=<722c8h7>5$361>6e:6=54i2`94?"5<;08o6`=4082?>o4i3:1(?:=:2a8j7262;10e>750;&107<4k2d98<4<;:k096>m4n362>1=5a;294~"4>>09:=5G1420?M73mh1Q;44>{209y!44l3;>:k5+1d090>o0n3:17dlj:188m2e=831d>9850;9l61>=831b?i4?:%076?5d3g8?=7>4;h1a>5<#:=81?n5a25395>=n;h0;6)<;2;1`?k4393807d=6:18'614=;j1e>9?53:9j7=<72-8?>7=l;o075?2<3thoj54?:`83>5}#;?=1>;>4H0737>N6x"5;m0:9;h4$0g1>1=n?o0;66gme;29?l1d2900c?:9:188k72?2900e>j50;&107<4k2d98<4?;:k0f?6=,;>96>m4n362>4=h5<80976g<9;29 7252:i0b?:>:298m6>=83.98?46g<4;29?l522900e>850;9j72<722c5;h5e>5<5<3290;w)=97;006>N6=990D<:ja:&17a<6=?l0e:k50;9jg6<722c99;4?::m16<<722wii<650;694?6|,:<<6?==;I3646=O9=oj7)<3:17b<=9;29?xdb900;694?:1y'731=::80D<;?3:J20`g<,;9o6<;9f:k4a?6=3`i86=44i375>5<8850;9l67?=831vnh?n:18b>5<7s-9=;7<90:J2155<@8>nm6T89;3x77>i5<10;66g:198m6d=83.98?45$361>6e:6?54i2;94?"5<;08o6`=4080?>o403:1(?:=:2a8j7262=10qok>b;29e?6=8r.8::4=619K50643A;?il5U788264=u-88h7?:6g9'5`4=<2c5;h`f>5<i1<75`25494?=h:=21<75f3e83>!43:39h7c<;1;28?l5e290/>9<53b9m617=921b?l4?:%076?5d3g8?=7<4;h1:>5<#:=81?n5a25397>=n;10;6)<;2;1`?k4393>07plj1b83>d<729q/?;952728L437;2B:8ho4Z6;95~552t.9?i4>57d8 4c52=1b;k4?::kaa?6=3`=h6=44o365>5<36=44i2f94?"5<;08o6`=4083?>o4j3:1(?:=:2a8j7262810e>o50;&107<4k2d98<4=;:k0=?6=,;>96>m4n362>6=h5<80?76sme0f94??=83:p(>88:37e?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8c;29?l1a2900eok50;9l610=831d>9950;9~f`71290?6=4?{%153?44:2B:9==4H06fe>"5;m0:9;h4i6g94?=nk:0;66g=5783>>i5:00;66sme3c94?2=83:p(>88:311?M728:1C=9kn;%00`?72>o1b;h4?::k`7?6=3`8>:7>5;n01=?6=3thn>o4?:583>5}#;?=1>><4H0737>N6o0m3:17dm<:188m7312900c?<6:188ygc513:187>50z&022<5;;1C=8><;I37ad=#::n1=88i;h5f>5<5N6=990D<:ja:X4=?7|;;0v(?=k:075b>"6m;0?7d9i:188mgc=831b;n4?::m103<722e9854?::k0`?6=,;>96>m4n362>5=h5<80:76g:398m6?=83.98?45$361>6e:6954}cg1`?6=i3:19650;9j7a<72-8?>7=l;o075?6<3`9i6=4+25097f=i:=;1=65f3`83>!43:39h7c<;1;08?l5>290/>9<53b9m617=;21b?54?:%076?5d3g8?=7:4;|`f6`<72h0;6=u+3759636<@8?;?6F>4dc8^2?=9r996p*=3e8213`<,8o9695f7g83>>oem3:17d9l:188k7212900c?:7:188m6b=83.98?45$361>6e:6<54i2c94?"5<;08o6`=4081?>o413:1(?:=:2a8j7262:10e>650;&107<4k2d98<4;;:aa7`=8331<7>t$244>73a3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4g?6=3`=m6=44icg94?=h:=<1<75`25594?=zjl836=4;:183!51?388>6F>5118L42bi2.9?i4>57d8m2c=831bo>4?::k113<722e9>44?::aa6e=83>1<7>t$244>7553A;><>5G15gb?!44l3;>:k5f7d83>>od;3:17d<:6;29?j4513:17plj3e83>1<729q/?;952208L437;2B:8ho4$31g>431n2c5;ha0>5<5<54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f`5b290j6=4?{%153?4182B:9==4H06fe>\013;p??4r$31g>431n2.:i?4;;h5e>5<>i5>o4l3:1(?:=:2a8j7262910e>l50;&107<4k2d98<4>;:k0e?6=,;>96>m4n362>7=h5<80876g<8;29 7252:i0b?:>:598ygc4n3:1m7>50z&022<5>91C=8><;I37ad=]?00:w><5}%00`?72>o1/=h<54:k4b?6=3`hn6=44i6a94?=h:=<1<75`25:94?=n;m0;6)<;2;1`?k4393:07d=m:18'614=;j1e>9?51:9j7d<72-8?>7=l;o075?4<3`926=4+25097f=i:=;1?65f3983>!43:39h7c<;1;68?xdb<90;6l4?:1y'731=:?:0D<;?3:J20`g31=v==:|&17a<6=?l0(5;h5`>5<=6=44o36;>5<h5<80;76g:098m6g=83.98?45$361>6e:6>54i2:94?"5<;08o6`=4087?>{em=;1<7750;2x 6002;?m7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0k3:17d9i:188mgc=831d>9850;9l611=831vnh=n:187>5<7s-9=;7<<2:J2155<@8>nm6*=3e8213`o1<75fc283>>o5=?0;66a=2883>>{em=o1<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`f0c<72=0;6=u+3759664<@8?;?6F>4dc8 75c28?=j6g8e;29?le42900e?;9:188k74>2900qok;d;290?6=8r.8::4=339K50643A;?il5+22f9500a3`=n6=44ib194?=n:<<1<75`23;94?=zjl?;6=4n:183!51?38=<6F>5118L42bi2P<57?t338~ 75c28?=j6*>e387?l1a2900eok50;9j3f<722e98;4?::m10=<722c8h7>5$361>6e:6=54i2`94?"5<;08o6`=4082?>o4i3:1(?:=:2a8j7262;10e>750;&107<4k2d98<4<;:k096>m4n362>1==7>5a;294~"4>>09:=5G1420?M73mh1Q;44>{209y!44l3;>:k5+1d090>o0n3:17dlj:188m2e=831d>9850;9l61>=831b?i4?:%076?5d3g8?=7>4;h1a>5<#:=81?n5a25395>=n;h0;6)<;2;1`?k4393807d=6:18'614=;j1e>9?53:9j7=<72-8?>7=l;o075?2<3thn9?4?:`83>5}#;?=1>;>4H0737>N6x"5;m0:9;h4$0g1>1=n?o0;66gme;29?l1d2900c?:9:188k72?2900e>j50;&107<4k2d98<4?;:k0f?6=,;>96>m4n362>4=h5<80976g<9;29 7252:i0b?:>:298m6>=83.98?46g<4;29?l522900e>850;9j72<722c5;h5e>5<5<3290;w)=97;006>N6=990D<:ja:&17a<6=?l0e:k50;9jg6<722c99;4?::m16<<722wii;>50;694?6|,:<<6?==;I3646=O9=oj7)<3:17b<=9;29?xdb>80;694?:1y'731=::80D<;?3:J20`g<,;9o6<;9f:k4a?6=3`i86=44i375>5<8850;9l67?=831vnh8=:18b>5<7s-9=;7<90:J2155<@8>nm6T89;3x77>i5<10;66g:198m6d=83.98?45$361>6e:6?54i2;94?"5<;08o6`=4080?>o403:1(?:=:2a8j7262=10qok93;29e?6=8r.8::4=619K50643A;?il5U788264=u-88h7?:6g9'5`4=<2c5;h`f>5<i1<75`25494?=h:=21<75f3e83>!43:39h7c<;1;28?l5e290/>9<53b9m617=921b?l4?:%076?5d3g8?=7<4;h1:>5<#:=81?n5a25397>=n;10;6)<;2;1`?k4393>07plj6583>d<729q/?;952728L437;2B:8ho4Z6;95~552t.9?i4>57d8 4c52=1b;k4?::kaa?6=3`=h6=44o365>5<36=44i2f94?"5<;08o6`=4083?>o4j3:1(?:=:2a8j7262810e>o50;&107<4k2d98<4=;:k0=?6=,;>96>m4n362>6=h5<80?76sme7794??=83:p(>88:37e?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8c;29?l1a2900eok50;9l610=831d>9950;9~f`3b290?6=4?{%153?44:2B:9==4H06fe>"5;m0:9;h4i6g94?=nk:0;66g=5783>>i5:00;66sme6094?2=83:p(>88:311?M728:1C=9kn;%00`?72>o1b;h4?::k`7?6=3`8>:7>5;n01=?6=3thn;>4?:583>5}#;?=1>><4H0737>N6o0m3:17dm<:188m7312900c?<6:188ygc093:187>50z&022<5;;1C=8><;I37ad=#::n1=88i;h5f>5<5N6=990D<:ja:X4=?7|;;0v(?=k:075b>"6m;0?7d9i:188mgc=831b;n4?::m103<722e9854?::k0`?6=,;>96>m4n362>5=h5<80:76g:398m6?=83.98?45$361>6e:6954}cg41?6=i3:19650;9j7a<72-8?>7=l;o075?6<3`9i6=4+25097f=i:=;1=65f3`83>!43:39h7c<;1;08?l5>290/>9<53b9m617=;21b?54?:%076?5d3g8?=7:4;|`f33<72h0;6=u+3759636<@8?;?6F>4dc8^2?=9r996p*=3e8213`<,8o9695f7g83>>oem3:17d9l:188k7212900c?:7:188m6b=83.98?45$361>6e:6<54i2c94?"5<;08o6`=4081?>o413:1(?:=:2a8j7262:10e>650;&107<4k2d98<4;;:aa21=8331<7>t$244>73a3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4g?6=3`=m6=44icg94?=h:=<1<75`25594?=zjl=;6=4;:183!51?388>6F>5118L42bi2.9?i4>57d8m2c=831bo>4?::k113<722e9>44?::aa=2=83>1<7>t$244>7553A;><>5G15gb?!44l3;>:k5f7d83>>od;3:17d<:6;29?j4513:17plj8483>1<729q/?;952208L437;2B:8ho4$31g>431n2c5;ha0>5<5<54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f`>1290j6=4?{%153?4182B:9==4H06fe>\013;p??4r$31g>431n2.:i?4;;h5e>5<>i5>o4l3:1(?:=:2a8j7262910e>l50;&107<4k2d98<4>;:k0e?6=,;>96>m4n362>7=h5<80876g<8;29 7252:i0b?:>:598ygc??3:1m7>50z&022<5>91C=8><;I37ad=]?00:w><5}%00`?72>o1/=h<54:k4b?6=3`hn6=44i6a94?=h:=<1<75`25:94?=n;m0;6)<;2;1`?k4393:07d=m:18'614=;j1e>9?51:9j7d<72-8?>7=l;o075?4<3`926=4+25097f=i:=;1?65f3983>!43:39h7c<;1;68?xdb010;6l4?:1y'731=:?:0D<;?3:J20`g31=v==:|&17a<6=?l0(5;h5`>5<=6=44o36;>5<h5<80;76g:098m6g=83.98?45$361>6e:6>54i2:94?"5<;08o6`=4087?>{em131<7750;2x 6002;?m7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0k3:17d9i:188mgc=831d>9850;9l611=831vnh6=:187>5<7s-9=;7<<2:J2155<@8>nm6*=3e8213`o1<75fc283>>o5=?0;66a=2883>>{em0<1<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`f=2<72=0;6=u+3759664<@8?;?6F>4dc8 75c28?=j6g8e;29?le42900e?;9:188k74>2900qok65;290?6=8r.8::4=339K50643A;?il5+22f9500a3`=n6=44ib194?=n:<<1<75`23;94?=zjl336=4n:183!51?38=<6F>5118L42bi2P<57?t338~ 75c28?=j6*>e387?l1a2900eok50;9j3f<722e98;4?::m10=<722c8h7>5$361>6e:6=54i2`94?"5<;08o6`=4082?>o4i3:1(?:=:2a8j7262;10e>750;&107<4k2d98<4<;:k096>m4n362>1=5a;294~"4>>09:=5G1420?M73mh1Q;44>{209y!44l3;>:k5+1d090>o0n3:17dlj:188m2e=831d>9850;9l61>=831b?i4?:%076?5d3g8?=7>4;h1a>5<#:=81?n5a25395>=n;h0;6)<;2;1`?k4393807d=6:18'614=;j1e>9?53:9j7=<72-8?>7=l;o075?2<3thn5l4?:`83>5}#;?=1>;>4H0737>N6x"5;m0:9;h4$0g1>1=n?o0;66gme;29?l1d2900c?:9:188k72?2900e>j50;&107<4k2d98<4?;:k0f?6=,;>96>m4n362>4=h5<80976g<9;29 7252:i0b?:>:298m6>=83.98?46g<4;29?l522900e>850;9j72<722c5;h5e>5<5<3290;w)=97;006>N6=990D<:ja:&17a<6=?l0e:k50;9jg6<722c99;4?::m16<<722wiil650;694?6|,:<<6?==;I3646=O9=oj7)<3:17b<=9;29?xdbi00;694?:1y'731=::80D<;?3:J20`g<,;9o6<;9f:k4a?6=3`i86=44i375>5<8850;9l67?=831vnhon:18b>5<7s-9=;7<90:J2155<@8>nm6T89;3x77>i5<10;66g:198m6d=83.98?45$361>6e:6?54i2;94?"5<;08o6`=4080?>o403:1(?:=:2a8j7262=10qoknb;29e?6=8r.8::4=619K50643A;?il5U788264=u-88h7?:6g9'5`4=<2c5;h`f>5<i1<75`25494?=h:=21<75f3e83>!43:39h7c<;1;28?l5e290/>9<53b9m617=921b?l4?:%076?5d3g8?=7<4;h1:>5<#:=81?n5a25397>=n;10;6)<;2;1`?k4393>07pljab83>d<729q/?;952728L437;2B:8ho4Z6;95~552t.9?i4>57d8 4c52=1b;k4?::kaa?6=3`=h6=44o365>5<36=44i2f94?"5<;08o6`=4083?>o4j3:1(?:=:2a8j7262810e>o50;&107<4k2d98<4=;:k0=?6=,;>96>m4n362>6=h5<80?76sme`f94??=83:p(>88:37e?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8c;29?l1a2900eok50;9l610=831d>9950;9~f`g1290?6=4?{%153?44:2B:9==4H06fe>"5;m0:9;h4i6g94?=nk:0;66g=5783>>i5:00;66smecc94?2=83:p(>88:311?M728:1C=9kn;%00`?72>o1b;h4?::k`7?6=3`8>:7>5;n01=?6=3thnno4?:583>5}#;?=1>><4H0737>N6o0m3:17dm<:188m7312900c?<6:188ygce13:187>50z&022<5;;1C=8><;I37ad=#::n1=88i;h5f>5<5N6=990D<:ja:X4=?7|;;0v(?=k:075b>"6m;0?7d9i:188mgc=831b;n4?::m103<722e9854?::k0`?6=,;>96>m4n362>5=h5<80:76g:398m6?=83.98?45$361>6e:6954}cga`?6=i3:19650;9j7a<72-8?>7=l;o075?6<3`9i6=4+25097f=i:=;1=65f3`83>!43:39h7c<;1;08?l5>290/>9<53b9m617=;21b?54?:%076?5d3g8?=7:4;|`ff`<72h0;6=u+3759636<@8?;?6F>4dc8^2?=9r996p*=3e8213`<,8o9695f7g83>>oem3:17d9l:188k7212900c?:7:188m6b=83.98?45$361>6e:6<54i2c94?"5<;08o6`=4081?>o413:1(?:=:2a8j7262:10e>650;&107<4k2d98<4;;:aag`=8331<7>t$244>73a3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4g?6=3`=m6=44icg94?=h:=<1<75`25594?=zjlh36=4;:183!51?388>6F>5118L42bi2.9?i4>57d8m2c=831bo>4?::k113<722e9>44?::aaac=83>1<7>t$244>7553A;><>5G15gb?!44l3;>:k5f7d83>>od;3:17d<:6;29?j4513:17pljdg83>1<729q/?;952208L437;2B:8ho4$31g>431n2c5;ha0>5<5<54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f`c7290j6=4?{%153?4182B:9==4H06fe>\013;p??4r$31g>431n2.:i?4;;h5e>5<>i5>o4l3:1(?:=:2a8j7262910e>l50;&107<4k2d98<4>;:k0e?6=,;>96>m4n362>7=h5<80876g<8;29 7252:i0b?:>:598ygcb93:1m7>50z&022<5>91C=8><;I37ad=]?00:w><5}%00`?72>o1/=h<54:k4b?6=3`hn6=44i6a94?=h:=<1<75`25:94?=n;m0;6)<;2;1`?k4393:07d=m:18'614=;j1e>9?51:9j7d<72-8?>7=l;o075?4<3`926=4+25097f=i:=;1?65f3983>!43:39h7c<;1;68?xdbm;0;6l4?:1y'731=:?:0D<;?3:J20`g31=v==:|&17a<6=?l0(5;h5`>5<=6=44o36;>5<h5<80;76g:098m6g=83.98?45$361>6e:6>54i2:94?"5<;08o6`=4087?>{eml91<7750;2x 6002;?m7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0k3:17d9i:188mgc=831d>9850;9l611=831vnhjl:187>5<7s-9=;7<<2:J2155<@8>nm6*=3e8213`o1<75fc283>>o5=?0;66a=2883>>{emll1<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`fb7<72=0;6=u+3759664<@8?;?6F>4dc8 75c28?=j6g8e;29?le42900e?;9:188k74>2900qoki3;290?6=8r.8::4=339K50643A;?il5+22f9500a3`=n6=44ib194?=n:<<1<75`23;94?=zjll:6=4;:183!51?388>6F>5118L42bi2.9?i4>57d8m2c=831bo>4?::k113<722e9>44?::aac2=83k1<7>t$244>7073A;><>5G15gb?_1>28q8>7s+22f9500a3-;n>7:4i6d94?=njl0;66g8c;29?j43>3:17b<;8;29?l5c290/>9<53b9m617=821b?o4?:%076?5d3g8?=7?4;h1b>5<#:=81?n5a25396>=n;00;6)<;2;1`?k4393907d=7:18'614=;j1e>9?54:9~f``2290j6=4?{%153?4182B:9==4H06fe>\013;p??4r$31g>431n2.:i?4;;h5e>5<>i5>o4l3:1(?:=:2a8j7262910e>l50;&107<4k2d98<4>;:k0e?6=,;>96>m4n362>7=h5<80876g<8;29 7252:i0b?:>:598ygca>3:1m7>50z&022<5>91C=8><;I37ad=]?00:w><5}%00`?72>o1/=h<54:k4b?6=3`hn6=44i6a94?=h:=<1<75`25:94?=n;m0;6)<;2;1`?k4393:07d=m:18'614=;j1e>9?51:9j7d<72-8?>7=l;o075?4<3`926=4+25097f=i:=;1?65f3983>!43:39h7c<;1;68?xdbn>0;644?:1y'731=:50;694?6|,:<<6?==;I3646=O9=oj7)<3:17b<=9;29?xdbml0;694?:1y'731=9j=0D<;?3:J20`g<,;9o6<;9f:&2a7<682c5;h`f>5<5<53;294~"4>>09>i5G1420?M73mh1/>>j5144e?!7b:3;i7)<;3;364`=n9m>1<75f1e794?=h::i1<75rb`4g>5<2290;w)=97;01b>N6=990D<:ja:&17a<6=?l0(5<7s-9=;7<=f:J2155<@8>nm6*=3e8213`<,8o965;h3g2?6=3`;o;7>5;n00g?6=3thj;=4?:483>5}#;?=1>?h4H0737>N6"6m;09m6g>d583>>o6l<0;66g>d783>>o6l>0;66a=3b83>>{ei>;1<7;50;2x 6002;8m7E?:029K51cf3-88h7?:6g9'5`4=9kk0e50z&022<5:o1C=8><;I37ad=#::n1=88i;%3f6?7e02c:h94?::k2`0<722c:h;4?::k2`2<722e9?n4?::ae25=83?1<7>t$244>74a3A;><>5G15gb?!44l3;>:k5+1d09=>o6l=0;66g>d483>>o6l?0;66g>d683>>i5;j0;66sma6694?3=83:p(>88:30e?M728:1C=9kn;%00`?72>o1/=h<52:k2`1<722c:h84?::k2`3<722c:h:4?::m17f<722wim:;50;794?6|,:<<6?d583>>o6l<0;66g>d783>>o6l>0;66a=3b83>>{ei><1<7;50;2x 6002;8m7E?:029K51cf3-88h7?:6g9'5`4=9jk0e50z&022<5:o1C=8><;I37ad=#::n1=88i;%3f6?753`;o87>5;h3g1?6=3`;o:7>5;h3g3?6=3f88o7>5;|`b2`<72<0;6=u+375967`<@8?;?6F>4dc8 75c28?=j6*>e38e?l7c<3:17d?k5;29?l7c>3:17d?k7;29?j44k3:17plncb83>7<729q/?;952348L437;2B:8ho4$31g>431n2c:h>4?::m17f<722wimll50;194?6|,:<<6?5118L42bi2.9?i4>57d8 4c52;30(?:<:073g>o6l=0;66g>d483>>i5;j0;66smab`94?5=83:p(>88:30g?M728:1C=9kn;%00`?72>o1/=h<51`g8 72428?;i6g>d583>>o6l<0;66a=3b83>>{eij>1<7;50;2x 6002;8m7E?:029K51cf3-88h7?:6g9'5`4=m2.98>4>51a8m4b32900e5<5<5<2900c?=l:188ygg>03:187>50z&022<5;:1C=8><;I37ad=n9m>1<75f1e794?=n:<<1<75`22a94?=zjhi=6=4;:183!51?3;h>6F>5118L42bi2.9?i4>57d8 4c5281b;l4?::k4g?6=3`hn6=44o365>5<54;294~"4>>0:o?5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9j3f<722cii7>5;n072?6=3thjo84?:583>5}#;?=1=n;4H0737>N6"6m;0:7d9n:188mgc=831b>9750;9l610=831vnlo=:186>5<7s-9=;7?l1:J2155<@8>nm6*=3e8213`<,8o96<5f7`83>>o0k3:17d7::188mgc=831d>9850;9~fd?c290?6=4?{%153?7d=2B:9==4H06fe>"5;m0:9;h4$0g1>4=n?h0;66gme;29?l4313:17b<;6;29?xdfi80;684?:1y'731=9j;0D<;?3:J20`g<,;9o6<;9f:&2a7<63`=j6=44i6a94?=n1<0;66gme;29?j43>3:17plna183>1<729q/?;951b08L437;2B:8ho4$31g>431n2.:i?4>;h5b>5<i1<75fbd83>>i588:0a1?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`=h6=44icg94?=h:=<1<75rb`;4>5<3290;w)=97;013>N6=990D<:ja:&17a<6=?l0(5;h3g5?6=3f8?:7>5;|`bga<72<0;6=u+37595f0<@8?;?6F>4dc8 75c28?=j6*>e382?l1f2900e:m50;9jf`<722c9844?::m103<722wimnk50;794?6|,:<<69850;9~fd1?290>6=4?{%153?7d>2B:9==4H06fe>"5;m0:9;h4$0g1>4=n?h0;66g8c;29?ldb2900e?:6:188k7212900qoo89;291?6=8r.8::4>c79K50643A;?il5+22f9500a3-;n>7?4i6c94?=n?j0;66gme;29?l4313:17b<;6;29?xdf?h0;684?:1y'731=9j<0D<;?3:J20`g<,;9o6<;9f:&2a7<63`=j6=44i6a94?=njl0;66g=4883>>i588:0a5?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`=h6=44icg94?=n:=31<75`25494?=zjh=h6=4::183!51?3;h:6F>5118L42bi2.9?i4>57d8 4c5281b;l4?::k4g?6=3`hn6=44i36:>5<=6=44}cc4`?6==3:15;n072?6=3thj;h4?:483>5}#;?=1=n84H0737>N6"6m;0:7d9n:188m2e=831bnh4?::k10<<722e98;4?::ae2`=83?1<7>t$244>4e13A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17d9l:188mgc=831b>9750;9l610=831vnl6?:186>5<7s-9=;7?l6:J2155<@8>nm6*=3e8213`<,8o96<5f7`83>>o0k3:17dlj:188m72>2900c?:9:188ygg?93:197>50z&022<6k?1C=8><;I37ad=#::n1=88i;%3f6?7k1<75f7b83>>oem3:17d<;9;29?j43>3:17pln9583>1<729q/?;951b08L437;2B:8ho4$31g>431n2.:i?4>;h5b>5<i1<75fbd83>>i588:0a1?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`=h6=44icg94?=h:=<1<75rb`c:>5<3290;w)=97;3`6>N6=990D<:ja:&17a<6=?l0(5;h`f>5<=6=44}ccf1?6=;3:14dc8 75c28?=j6g8e;29?l4503:17b<5<4dc8 75c28?=j6g8e;29?l4503:17b<5<4dc8 75c28?=j6g8e;29?l4503:17b<5<4dc8 75c28?=j6g8e;29?l4503:17b<5<4dc8 75c28?=j6g8e;29?l4503:17b<5<4dc8 75c28?=j6g8e;29?l4503:17b<5<4dc8 75c28?=j6g8e;29?l4503:17b<5<4dc8 75c28?=j6g8e;29?l4503:17b<5<4dc8 75c28?=j6g8e;29?l4503:17b<5<4dc8 75c28?=j6g8e;29?l4503:17b<5<5290;w)=97;01g>N6=990D<:ja:&17a<6=?l0(7>50z&022<5:j1C=8><;I37ad=#::n1=88i;%3f6?7e3`;o87>5;n00g?6=3thjj94?:383>5}#;?=1>?m4H0737>N6"6m;0:n6g>d583>>i5;j0;66smag:94?4=83:p(>88:30`?M728:1C=9kn;%00`?72>o1/=h<51c9j5a2=831d>>m50;9~fd`d29096=4?{%153?45k2B:9==4H06fe>"5;m0:9;h4$0g1>4d5<52;294~"4>>09>n5G1420?M73mh1/>>j5144e?!7b:3;i7d?k4;29?j44k3:17plm0583>7<729q/?;9523a8L437;2B:8ho4$31g>431n2.:i?4>b:k2`1<722e9?n4?::af51=8381<7>t$244>74d3A;><>5G15gb?!44l3;>:k5+1d095g=n9m>1<75`22a94?=zjho86=4::183!51?3;h:6F>5118L42bi2.9?i4>57d8 4c5281b;l4?::k4g?6=3`hn6=44i36:>5<=6=44}ccf3?6==3:15;n072?6=3thjio4?:483>5}#;?=1=n84H0737>N6"6m;0:7d9n:188m2e=831bnh4?::k10<<722e98;4?::ae``=83?1<7>t$244>4e13A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17d9l:188mgc=831b>9750;9l610=831vnlh<:186>5<7s-9=;7?l6:J2155<@8>nm6*=3e8213`<,8o96<5f7`83>>o0k3:17dlj:188m72>2900c?:9:188ygga?3:197>50z&022<6k?1C=8><;I37ad=#::n1=88i;%3f6?7k1<75f7b83>>oem3:17d<;9;29?j43>3:17plnfc83>0<729q/?;951b48L437;2B:8ho4$31g>431n2.:i?4>;h5b>5<i1<75fbd83>>o5<00;66a=4783>>{eiol1<7;50;2x 60028i=7E?:029K51cf3-88h7?:6g9'5`4=92c5;h5`>5<5<2290;w)=97;3`2>N6=990D<:ja:&17a<6=?l0(5;h`f>5<26=44o365>5<55;294~"4>>0:o;5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9j3f<722cii7>5;h07=?6=3f8?:7>5;|`417<72:0;6=u+375950653A;><>5G15gb?!44l3;>:k5f7d83>>o5:10;66a=3b83>>{e?=l1<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`413<72:0;6=u+375950653A;><>5G15gb?!44l3;>:k5f7d83>>o5:10;66a=3b83>>{e?<91<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`41d<72:0;6=u+375950653A;><>5G15gb?!44l3;>:k5f7d83>>o5:10;66a=3b83>>{e?<=1<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`41`<72:0;6=u+375950653A;><>5G15gb?!44l3;>:k5f7d83>>o5:10;66a=3b83>>{e?5;h062?6=3f8957>5;|`427<72:0;6=u+375950653A;><>5G15gb?!44l3;>:k5f7d83>>o5:10;66a=3b83>>{e?5;h062?6=3f8957>5;|`423<72:0;6=u+375950653A;><>5G15gb?!44l3;>:k5f7d83>>o5:10;66a=3b83>>{e??91<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`42d<72:0;6=u+375950653A;><>5G15gb?!44l3;>:k5f7d83>>o5:10;66a=3b83>>{e??=1<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`42`<72:0;6=u+375950653A;><>5G15gb?!44l3;>:k5f7d83>>o5:10;66a=3b83>>{e??h1<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`437<72:0;6=u+375950653A;><>5G15gb?!44l3;>:k5f7d83>>o5:10;66a=3b83>>{e??l1<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`430<72:0;6=u+375950653A;><>5G15gb?!44l3;>:k5f7d83>>o5:10;66a=3b83>>{e?<;1<7<50;2x 6002;8h7E?:029K51cf3-88h7?:6g9'5`4=9k1b=i:50;9l66e=831vn:;::181>5<7s-9=;7<=c:J2155<@8>nm6*=3e8213`<,8o965<5<5290;w)=97;01g>N6=990D<:ja:&17a<6=?l0(7>50z&022<5:j1C=8><;I37ad=#::n1=88i;%3f6?7e3`;o87>5;n00g?6=3th<:i4?:383>5}#;?=1>?m4H0737>N6"6m;0:n6g>d583>>i5;j0;66sm76394?4=83:p(>88:30`?M728:1C=9kn;%00`?72>o1/=h<51c9j5a2=831d>>m50;9~f21329096=4?{%153?45k2B:9==4H06fe>"5;m0:9;h4$0g1>4d5<<7>55;294~"4>>0:o;5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9j3f<722cii7>5;h07=?6=3f8?:7>5;|`411<72<0;6=u+37595f0<@8?;?6F>4dc8 75c28?=j6*>e382?l1f2900e:m50;9jf`<722c9844?::m103<722wi;8650;794?6|,:<<69850;9~f23d290>6=4?{%153?7d>2B:9==4H06fe>"5;m0:9;h4$0g1>4=n?h0;66g8c;29?ldb2900e?:6:188k7212900qo990;291?6=8r.8::4>c79K50643A;?il5+22f9500a3-;n>7?4i6c94?=n?j0;66gme;29?l4313:17b<;6;29?xd0>=0;684?:1y'731=9j<0D<;?3:J20`g<,;9o6<;9f:&2a7<63`=j6=44i6a94?=njl0;66g=4883>>i588:0a5?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`=h6=44icg94?=n:=31<75`25494?=zj>5118L42bi2.9?i4>57d8 4c5281b;l4?::k4g?6=3`hn6=44i36:>5<=6=44}c544?6==3:15;n072?6=3th<;>4?:483>5}#;?=1=n84H0737>N6"6m;0:7d9n:188m2e=831bnh4?::k10<<722e98;4?::ae0e=8391<7>t$244>74c3A;><>5G15gb?!44l3;>:k5+1d096<=n9m>1<75f1e794?=h::i1<75rb`7a>5<3290;w)=97;01a>N6=990D<:ja:&17a<6=?l0(3:17b<9=5142e?l7c<3:17d?k5;29?l7c>3:17d?k7;29?j44k3:17pln3383>1<729q/?;951b58L437;2B:8ho4$31g>431n2.:i?4>0:k4e?6=3`hn6=44i375>5<=6=44}cc05?6=<3:19850;9~fd57290?6=4?{%153?7d?2B:9==4H06fe>"5;m0:9;h4$0g1>46k1<75fbd83>>o5=?0;66a=4783>>{ei;l1<7:50;2x 60028i<7E?:029K51cf3-88h7?:6g9'5`4=991b;l4?::kaa?6=3`8>:7>5;n072?6=3thj>h4?:583>5}#;?=1=n94H0737>N6"6m;0:<6g8a;29?ldb2900e?;9:188k7212900qoo=d;290?6=8r.8::4>c69K50643A;?il5+22f9500a3-;n>7??;h5b>5<5<3290;w)=97;3`3>N6=990D<:ja:&17a<6=?l0(1<7>t$244>4e03A;><>5G15gb?!44l3;>:k5+1d0955=n?h0;66gme;29?l42>3:17b<;6;29?xdf4?:1y'731=:;n0D<;?3:J20`g<,;9o6<;9f:&2a7<6j2c:h94?::k2`0<722e9?n4?::ae1`=83>1<7>t$244>4e53A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17d9l:188mgc=831d>9850;9~fd2b290?6=4?{%153?7d:2B:9==4H06fe>"5;m0:9;h4$0g1>4=n?h0;66g8c;29?ldb2900c?:9:188ygg283:197>50z&022<6k81C=8><;I37ad=#::n1=88i;%3f6?7k1<75f7b83>>o>=3:17dlj:188k7212900qoo;b;290?6=8r.8::4>c49K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66g=4883>>i588:0a2?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`=h6=44i8794?=njl0;66a=4783>>{ei:=1<7=50;2x 6002;8o7E?:029K51cf3-88h7?:6g9'5`4=9k1b=i:50;9j5a3=831d>>m50;9~fd5b290?6=4?{%153?7d:2B:9==4H06fe>"5;m0:9;h4$0g1>4=n?h0;66g8c;29?ldb2900c?:9:188ygg4l3:187>50z&022<6k;1C=8><;I37ad=#::n1=88i;%3f6?7k1<75f7b83>>oem3:17b<;6;29?xdf;j0;694?:1y'731=9j80D<;?3:J20`g<,;9o6<;9f:&2a7<63`=j6=44i6a94?=njl0;66a=4783>>{ei:h1<7:50;2x 60028i97E?:029K51cf3-88h7?:6g9'5`4=92c5;h5`>5<5118L42bi2.9?i4>57d8 4c5281b;l4?::k4g?6=3`3>6=44icg94?=h:=<1<75rb`1;>5<3290;w)=97;3`1>N6=990D<:ja:&17a<6=?l0(5;h07=?6=3f8?:7>5;|`b05<72<0;6=u+37595f7<@8?;?6F>4dc8 75c28?=j6*>e382?l1f2900e:m50;9j=0<722cii7>5;n072?6=3th3hl4?:`83>5}#;?=1>;>4H0737>N6x"5;m0:9;h4$0g1>1=n?o0;66gme;29?l1d2900c?:9:188k72?2900e>j50;&107<4k2d98<4?;:k0f?6=,;>96>m4n362>4=h5<80976g<9;29 7252:i0b?:>:298m6>=83.98?4l1<75fbd83>>o0k3:17b<;6;29?j4303:17d=k:18'614=;j1e>9?50:9j7g<72-8?>7=l;o075?7<3`9j6=4+25097f=i:=;1>65f3883>!43:39h7c<;1;18?l5?290/>9<53b9m617=<21vn5k=:18b>5<7s-9=;7<90:J2155<@8>nm6T89;3x77>i5<10;66g:198m6d=83.98?45$361>6e:6?54i2;94?"5<;08o6`=4080?>o403:1(?:=:2a8j7262=10qo6na;29e?6=8r.8::4=619K50643A;?il5U788264=u-88h7?:6g9'5`4=<2c5;h`f>5<i1<75`25494?=h:=21<75f3e83>!43:39h7c<;1;28?l5e290/>9<53b9m617=921b?l4?:%076?5d3g8?=7<4;h1:>5<#:=81?n5a25397>=n;10;6)<;2;1`?k4393>07pl7a883>d<729q/?;952728L437;2B:8ho4Z6;95~552t.9?i4>57d8 4c52=1b;k4?::kaa?6=3`=h6=44o365>5<36=44i2f94?"5<;08o6`=4083?>o4j3:1(?:=:2a8j7262810e>o50;&107<4k2d98<4=;:k0=?6=,;>96>m4n362>6=h5<80?76sm8`:94?2=83:p(>88:310?M728:1C=9kn;%00`?72>o1b=i:50;9j5a3=831b>8850;9l66e=831vn5jk:18b>5<7s-9=;7<90:J2155<@8>nm6T89;3x77>i5<10;66g:198m6d=83.98?45$361>6e:6?54i2;94?"5<;08o6`=4080?>o403:1(?:=:2a8j7262=10qo6kc;29e?6=8r.8::4=619K50643A;?il5U788264=u-88h7?:6g9'5`4=<2c5;h`f>5<i1<75`25494?=h:=21<75f3e83>!43:39h7c<;1;28?l5e290/>9<53b9m617=921b?l4?:%076?5d3g8?=7<4;h1:>5<#:=81?n5a25397>=n;10;6)<;2;1`?k4393>07pl7dc83>1<729q/?;952218L437;2B:8ho4$31g>431n2c:h94?::k2`0<722c99;4?::m17f<722wi4o?50;c94?6|,:<<6?8?;I3646=O9=oj7W96:0y06?{#::n1=88i;%3f6?2l1<75fbd83>>o0k3:17b<;6;29?j4303:17d=k:18'614=;j1e>9?50:9j7g<72-8?>7=l;o075?7<3`9j6=4+25097f=i:=;1>65f3883>!43:39h7c<;1;18?l5?290/>9<53b9m617=<21vn5l?:18b>5<7s-9=;7<90:J2155<@8>nm6T89;3x77>i5<10;66g:198m6d=83.98?45$361>6e:6?54i2;94?"5<;08o6`=4080?>o403:1(?:=:2a8j7262=10qo6nf;290?6=8r.8::4=329K50643A;?il5+22f9500a3`;o87>5;h3g1?6=3`8>:7>5;n00g?6=3th3oh4?:`83>5}#;?=1>;>4H0737>N6x"5;m0:9;h4$0g1>1=n?o0;66gme;29?l1d2900c?:9:188k72?2900e>j50;&107<4k2d98<4?;:k0f?6=,;>96>m4n362>4=h5<80976g<9;29 7252:i0b?:>:298m6>=83.98?4l1<75fbd83>>o0k3:17b<;6;29?j4303:17d=k:18'614=;j1e>9?50:9j7g<72-8?>7=l;o075?7<3`9j6=4+25097f=i:=;1>65f3883>!43:39h7c<;1;18?l5?290/>9<53b9m617=<21vn5ml:18b>5<7s-9=;7<90:J2155<@8>nm6T89;3x77>i5<10;66g:198m6d=83.98?45$361>6e:6?54i2;94?"5<;08o6`=4080?>o403:1(?:=:2a8j7262=10qo6lb;29e?6=8r.8::4=619K50643A;?il5U788264=u-88h7?:6g9'5`4=<2c5;h`f>5<i1<75`25494?=h:=21<75f3e83>!43:39h7c<;1;28?l5e290/>9<53b9m617=921b?l4?:%076?5d3g8?=7<4;h1:>5<#:=81?n5a25397>=n;10;6)<;2;1`?k4393>07pl7c983>1<729q/?;952218L437;2B:8ho4$31g>431n2c:h94?::k2`0<722c99;4?::m17f<722wi4n750;694?6|,:<<6?=<;I3646=O9=oj7)<1<75f1e794?=n:<<1<75`22a94?=zj1ij6=4;:183!51?38886F>5118L42bi2.9?i4>57d8m4b32900eek3:1m7>50z&022<5>91C=8><;I37ad=]?00:w><5}%00`?72>o1/=h<54:k4b?6=3`hn6=44i6a94?=h:=<1<75`25:94?=n;m0;6)<;2;1`?k4393:07d=m:18'614=;j1e>9?51:9j7d<72-8?>7=l;o075?4<3`926=4+25097f=i:=;1?65f3983>!43:39h7c<;1;68?xd?jk0;6l4?:1y'731=:?:0D<;?3:J20`g31=v==:|&17a<6=?l0(5;h5`>5<=6=44o36;>5<h5<80;76g:098m6g=83.98?45$361>6e:6>54i2:94?"5<;08o6`=4087?>{e0kk1<7o50;2x 6002;<;7E?:029K51cf3S=265;n07!43:39h7c<;1;38?l5f290/>9<53b9m617=:21b?44?:%076?5d3g8?=7=4;h1;>5<#:=81?n5a25390>=zj1h26=4n:183!51?38=<6F>5118L42bi2P<57?t338~ 75c28?=j6*>e387?l1a2900eok50;9j3f<722e98;4?::m10=<722c8h7>5$361>6e:6=54i2`94?"5<;08o6`=4082?>o4i3:1(?:=:2a8j7262;10e>750;&107<4k2d98<4<;:k096>m4n362>1=54;294~"4>>09?>5G1420?M73mh1/>>j5144e?l7c<3:17d?k5;29?l42>3:17b<0;694?:1y'731=::90D<;?3:J20`g<,;9o6<;9f:k2`1<722c:h84?::k113<722e9?n4?::a=83>1<7>t$244>7533A;><>5G15gb?!44l3;>:k5f1e694?=n9m?1<75f24494?=h::i1<75rb9g2>5<>290;w)=97;06b>N6=990D<:ja:&17a<6=?l0(5;h15>5<>o0n3:17dlj:188k7212900c?:8:188yg>c13:157>50z&022<5=o1C=8><;I37ad=#::n1=88i;%3f6?41<75f3483>>o4>3:17d=8:188m2e=831b;k4?::kaa?6=3f8?:7>5;n073?6=3thj=?4?:583>5}#;?=1>?k4H0737>N6"6m;0:o6g>d583>>o6l<0;66g>d783>>i5;j0;66sm8`594?2=83:p(>88:0a6?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44i36:>5<=6=44}c:`3?6=<3:11<7>t$244>4e23A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188m72>2900c?:9:188ygg6<3:187>50z&022<6k<1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>o5<00;66a=4783>>{ei891<7:50;2x 60028i>7E?:029K51cf3-88h7?:6g9'5`4=92c5;h`f>5<26=44o365>5<54;294~"4>>0:o85G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722c9844?::m103<722wi4l;50;694?6|,:<<61;291?6=8r.8::4>c79K50643A;?il5+22f9500a3-;n>7?4i6c94?=n?j0;66gme;29?l4313:17b<;6;29?xdf9?0;684?:1y'731=9j<0D<;?3:J20`g<,;9o6<;9f:&2a7<63`=j6=44i6a94?=njl0;66g=4883>>i588:0a5?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`=h6=44icg94?=n:=31<75`25494?=zj0?26=4::183!51?3;h:6F>5118L42bi2.9?i4>57d8 4c5281b;l4?::k4g?6=3`hn6=44i36:>5<=6=44}c;62?6==3:15;n072?6=3th29?4?:483>5}#;?=1=n84H0737>N6"6m;0:7d9n:188m2e=831bnh4?::k10<<722e98;4?::a=1c=83?1<7>t$244>4e13A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17d9l:188mgc=831b>9750;9l610=831vn4:n:186>5<7s-9=;7?l6:J2155<@8>nm6*=3e8213`<,8o96<5f7`83>>o0k3:17dlj:188m72>2900c?:9:188yg?3>3:197>50z&022<6k?1C=8><;I37ad=#::n1=88i;%3f6?7k1<75f7b83>>oem3:17d<;9;29?j43>3:17pl64383>0<729q/?;951b48L437;2B:8ho4$31g>431n2.:i?4>;h5b>5<i1<75fbd83>>o5<00;66a=4783>>{e1:o1<7;50;2x 60028i=7E?:029K51cf3-88h7?:6g9'5`4=92c5;h5`>5<5<2290;w)=97;3`2>N6=990D<:ja:&17a<6=?l0(5;h`f>5<26=44o365>5<55;294~"4>>0:o;5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9j3f<722cii7>5;h07=?6=3f8?:7>5;|`:1d<72;0;6=u+375967e<@8?;?6F>4dc8 75c28?=j6*>e382f>o6l=0;66a=3b83>>{e1<=1<7<50;2x 6002;8h7E?:029K51cf3-88h7?:6g9'5`4=9k1b=i:50;9l66e=831vn4;<:181>5<7s-9=;7<=c:J2155<@8>nm6*=3e8213`<,8o965<5<5290;w)=97;01g>N6=990D<:ja:&17a<6=?l0(7>50z&022<5:j1C=8><;I37ad=#::n1=88i;%3f6?7e3`;o87>5;n00g?6=3th2?o4?:383>5}#;?=1>?m4H0737>N6"6m;0:n6g>d583>>i5;j0;66sm92594?4=83:p(>88:30`?M728:1C=9kn;%00`?72>o1/=h<51c9j5a2=831d>>m50;9~f<3e29086=4?{%153?728;1C=8><;I37ad=#::n1=88i;h5f>5<5<97>54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f<3?29086=4?{%153?728;1C=8><;I37ad=#::n1=88i;h5f>5<5<=7>54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f<3329086=4?{%153?728;1C=8><;I37ad=#::n1=88i;h5f>5<5<54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f<3729086=4?{%153?728;1C=8><;I37ad=#::n1=88i;h5f>5<5<54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f<2d29086=4?{%153?728;1C=8><;I37ad=#::n1=88i;h5f>5<5<54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f<2?29086=4?{%153?728;1C=8><;I37ad=#::n1=88i;h5f>5<5<54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f<2329086=4?{%153?728;1C=8><;I37ad=#::n1=88i;h5f>5<5<54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f<2729086=4?{%153?728;1C=8><;I37ad=#::n1=88i;h5f>5<5<54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f<5d29086=4?{%153?728;1C=8><;I37ad=#::n1=88i;h5f>5<5<54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f<5?29086=4?{%153?728;1C=8><;I37ad=#::n1=88i;h5f>5<5<55;294~"4>>0:o;5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9j3f<722cii7>5;h07=?6=3f8?:7>5;|`:=d<72<0;6=u+37595f0<@8?;?6F>4dc8 75c28?=j6*>e382?l1f2900e:m50;9jf`<722c9844?::m103<722wi54850;794?6|,:<<69850;9~f6=4?{%153?7d>2B:9==4H06fe>"5;m0:9;h4$0g1>4=n?h0;66g8c;29?ldb2900e?:6:188k7212900qo77e;291?6=8r.8::4>c79K50643A;?il5+22f9500a3-;n>7?4i6c94?=n?j0;66gme;29?l4313:17b<;6;29?xd>0h0;684?:1y'731=9j<0D<;?3:J20`g<,;9o6<;9f:&2a7<63`=j6=44i6a94?=njl0;66g=4883>>i588:0a5?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`=h6=44icg94?=n:=31<75`25494?=zj0296=4::183!51?3;h:6F>5118L42bi2.9?i4>57d8 4c5281b;l4?::k4g?6=3`hn6=44i36:>5<=6=44}c;4a?6==3:15;n072?6=3th2;l4?:483>5}#;?=1=n84H0737>N6"6m;0:7d9n:188m2e=831bnh4?::k10<<722e98;4?::a=t$244>74d3A;><>5G15gb?!44l3;>:k5+1d095g=n9m>1<75`22a94?=zj03i6=4=:183!51?389o6F>5118L42bi2.9?i4>57d8 4c528h0e7?m;h3g0?6=3f88o7>5;|`:=6<72;0;6=u+375967e<@8?;?6F>4dc8 75c28?=j6*>e382f>o6l=0;66a=3b83>>{e11l1<7<50;2x 6002;8h7E?:029K51cf3-88h7?:6g9'5`4=9k1b=i:50;9l66e=831vn46m:181>5<7s-9=;7<=c:J2155<@8>nm6*=3e8213`<,8o965<0:0;6?4?:1y'731=:;i0D<;?3:J20`g<,;9o6<;9f:&2a7<6j2c:h94?::m17f<722wi5:h50;094?6|,:<<6?5<5290;w)=97;01g>N6=990D<:ja:&17a<6=?l0(n3:1?7>50z&022<6=980D<;?3:J20`g<,;9o6<;9f:k4a?6=3`8947>5;n00g?6=3th2544?:583>5}#;?=1>><4H0737>N6o0m3:17dm<:188m7312900c?<6:188yg?>k3:1?7>50z&022<6=980D<;?3:J20`g<,;9o6<;9f:k4a?6=3`8947>5;n00g?6=3th2584?:583>5}#;?=1>><4H0737>N6o0m3:17dm<:188m7312900c?<6:188yg?>03:1?7>50z&022<6=980D<;?3:J20`g<,;9o6<;9f:k4a?6=3`8947>5;n00g?6=3th25<4?:583>5}#;?=1>><4H0737>N6o0m3:17dm<:188m7312900c?<6:188yg?><3:1?7>50z&022<6=980D<;?3:J20`g<,;9o6<;9f:k4a?6=3`8947>5;n00g?6=3th24i4?:583>5}#;?=1>><4H0737>N6o0m3:17dm<:188m7312900c?<6:188yg?>83:1?7>50z&022<6=980D<;?3:J20`g<,;9o6<;9f:k4a?6=3`8947>5;n00g?6=3th2444?:583>5}#;?=1>><4H0737>N6o0m3:17dm<:188m7312900c?<6:188yg??k3:1?7>50z&022<6=980D<;?3:J20`g<,;9o6<;9f:k4a?6=3`8947>5;n00g?6=3th2484?:583>5}#;?=1>><4H0737>N6o0m3:17dm<:188m7312900c?<6:188yg??03:1?7>50z&022<6=980D<;?3:J20`g<,;9o6<;9f:k4a?6=3`8947>5;n00g?6=3th24<4?:583>5}#;?=1>><4H0737>N6o0m3:17dm<:188m7312900c?<6:188yg??<3:1?7>50z&022<6=980D<;?3:J20`g<,;9o6<;9f:k4a?6=3`8947>5;n00g?6=3th2;i4?:583>5}#;?=1>><4H0737>N6o0m3:17dm<:188m7312900c?<6:188yg??83:1?7>50z&022<6=980D<;?3:J20`g<,;9o6<;9f:k4a?6=3`8947>5;n00g?6=3th2;44?:583>5}#;?=1>><4H0737>N6o0m3:17dm<:188m7312900c?<6:188yg?0k3:1?7>50z&022<6=980D<;?3:J20`g<,;9o6<;9f:k4a?6=3`8947>5;n00g?6=3th2>=4?:583>5}#;?=1>?k4H0737>N6"6m;0d583>>o6l<0;66g>d783>>i5;j0;66sm90f94?2=83:p(>88:30f?M728:1C=9kn;%00`?72>o1/=h<57c9j5a2=831b=i;50;9j5a0=831d>>m50;9~f<7f290?6=4?{%153?45m2B:9==4H06fe>"5;m0:9;h4$0g1>2d5<5<54;294~"4>>09>h5G1420?M73mh1/>>j5144e?!7b:3=i7d?k4;29?l7c=3:17d?k6;29?j44k3:17pl61583>1<729q/?;9523g8L437;2B:8ho4$31g>431n2.:i?48b:k2`1<722c:h84?::k2`3<722e9?n4?::a=47=83>1<7>t$244>74b3A;><>5G15gb?!44l3;>:k5+1d093g=n9m>1<75f1e794?=n9m<1<75`22a94?=zj0:n6=4;:183!51?389i6F>5118L42bi2.9?i4>57d8 4c52>h0e79m;h3g0?6=3`;o97>5;h3g2?6=3f88o7>5;|`:4=<72=0;6=u+375967c<@8?;?6F>4dc8 75c28?=j6*>e384f>o6l=0;66g>d483>>o6l?0;66a=3b83>>{e19?1<7:50;2x 6002;8n7E?:029K51cf3-88h7?:6g9'5`4=?k1b=i:50;9j5a3=831b=i850;9l66e=831vn4om:180>5<7s-9=;7<=d:J2155<@8>nm6*=3e8213`<,8o96>=4$360>437l2c:h94?::k2`0<722e9?n4?::a=dg=8391<7>t$244>74c3A;><>5G15gb?!44l3;>:k5+1d0976=#:=91=8>k;h3g0?6=3`;o97>5;n00g?6=3th2<>4?:983>5}#;?=1>8k4H0737>N6"6m;097d=;:188m63=831b?;4?::k03?6=3`=h6=44i6d94?=njl0;66a=4783>>{e0o31<7:50;2x 60028i97E?:029K51cf3-88h7?:6g9'5`4=92c5;h5`>5<6F>5118L42bi2.9?i4>57d8 4c5281b;l4?::k4g?6=3`hn6=44o365>5<54;294~"4>>0:o?5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9j3f<722cii7>5;n072?6=3th3j;4?:583>5}#;?=1=n<4H0737>N6"6m;0:7d9n:188m2e=831bnh4?::m103<722wi4k;50;694?6|,:<<65<7s-9=;7?l2:J2155<@8>nm6*=3e8213`<,8o96<5f7`83>>o0k3:17dlj:188k7212900qo7?2;290?6=8r.8::4>c39K50643A;?il5+22f9500a3-;n>7?4i6c94?=n?j0;66gme;29?j43>3:17pl60083>1<729q/?;951b08L437;2B:8ho4$31g>431n2.:i?4>;h5b>5<i1<75fbd83>>i588:0a1?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`=h6=44icg94?=h:=<1<75rb9de>5<3290;w)=97;3`6>N6=990D<:ja:&17a<6=?l0(5;h`f>5<=6=44}c:ea?6=<3:15;|`;ba<72=0;6=u+37595f4<@8?;?6F>4dc8 75c28?=j6*>e382?l1f2900e:m50;9jf`<722e98;4?::a1<7>t$244>4e53A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17d9l:188mgc=831d>9850;9~f=`e290?6=4?{%153?7d:2B:9==4H06fe>"5;m0:9;h4$0g1>4=n?h0;66g8c;29?ldb2900c?:9:188yg>ai3:187>50z&022<6k;1C=8><;I37ad=#::n1=88i;%3f6?7k1<75f7b83>>oem3:17b<;6;29?xd?n:0;694?:1y'731=9j80D<;?3:J20`g<,;9o6<;9f:&2a7<63`=j6=44i6a94?=njl0;66a=4783>>{e18l1<7:50;2x 60028i>7E?:029K51cf3-88h7?:6g9'5`4=92c5;h`f>5<26=44o365>5<54;294~"4>>0:o85G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722c9844?::m103<722wi5e;290?6=8r.8::4>c49K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66g=4883>>i588:0a6?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44i36:>5<=6=44}c;2f?6=<3:11<7>t$244>4e23A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188m72>2900c?:9:188yg?603:187>50z&022<6k<1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>o5<00;66a=4783>>{e1891<7:50;2x 60028i>7E?:029K51cf3-88h7?:6g9'5`4=92c5;h`f>5<26=44o365>5<54;294~"4>>0:o85G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722c9844?::m103<722wi5<>50;694?6|,:<<62;290?6=8r.8::4>c49K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66g=4883>>i588:0a6?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44i36:>5<=6=44}c;3b?6=<3:11<7>t$244>4e23A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188m72>2900c?:9:188yg?7k3:187>50z&022<6k<1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>o5<00;66a=4783>>{e19=1<7:50;2x 60028i>7E?:029K51cf3-88h7?:6g9'5`4=92c5;h`f>5<26=44o365>5<54;294~"4>>0:o85G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722c9844?::m103<722wi5=:50;694?6|,:<<6c49K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66g=4883>>i588:311?M728:1C=9kn;%00`?72>o1b;h4?::k`7?6=3`8>:7>5;n01=?6=3th2h84?:583>5}#;?=1>><4H0737>N6o0m3:17dm<:188m7312900c?<6:188yg?c>3:187>50z&022<5;;1C=8><;I37ad=#::n1=88i;h5f>5<5<3290;w)=97;006>N6=990D<:ja:&17a<6=?l0e:k50;9jg6<722c99;4?::m16<<722wi5i650;694?6|,:<<6?==;I3646=O9=oj7)<3:17b<=9;29?xd>l00;6l4?:1y'731=:?:0D<;?3:J20`g31=v==:|&17a<6=?l0(5;h5`>5<=6=44o36;>5<h5<80;76g:098m6g=83.98?45$361>6e:6>54i2:94?"5<;08o6`=4087?>{e1mk1<7o50;2x 6002;<;7E?:029K51cf3S=265;n07!43:39h7c<;1;38?l5f290/>9<53b9m617=:21b?44?:%076?5d3g8?=7=4;h1;>5<#:=81?n5a25390>=zj0ni6=4n:183!51?38=<6F>5118L42bi2P<57?t338~ 75c28?=j6*>e387?l1a2900eok50;9j3f<722e98;4?::m10=<722c8h7>5$361>6e:6=54i2`94?"5<;08o6`=4082?>o4i3:1(?:=:2a8j7262;10e>750;&107<4k2d98<4<;:k096>m4n362>1=59;294~"4>>099k5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<i1<75f7g83>>oem3:17b<;6;29?j43?3:17pl6c183>1<729q/?;952208L437;2B:8ho4$31g>431n2c5;ha0>5<5<54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f"5;m0:9;h4i6g94?=nk:0;66g=5783>>i5:00;66sm9b194?2=83:p(>88:311?M728:1C=9kn;%00`?72>o1b;h4?::k`7?6=3`8>:7>5;n01=?6=3th2o94?:583>5}#;?=1>><4H0737>N6o0m3:17dm<:188m7312900c?<6:188yg?d=3:1m7>50z&022<5>91C=8><;I37ad=]?00:w><5}%00`?72>o1/=h<54:k4b?6=3`hn6=44i6a94?=h:=<1<75`25:94?=n;m0;6)<;2;1`?k4393:07d=m:18'614=;j1e>9?51:9j7d<72-8?>7=l;o075?4<3`926=4+25097f=i:=;1?65f3983>!43:39h7c<;1;68?xd>k?0;6l4?:1y'731=:?:0D<;?3:J20`g31=v==:|&17a<6=?l0(5;h5`>5<=6=44o36;>5<h5<80;76g:098m6g=83.98?45$361>6e:6>54i2:94?"5<;08o6`=4087?>{e1j=1<7o50;2x 6002;<;7E?:029K51cf3S=265;n07!43:39h7c<;1;38?l5f290/>9<53b9m617=:21b?44?:%076?5d3g8?=7=4;h1;>5<#:=81?n5a25390>=zj0i36=46:183!51?38>j6F>5118L42bi2.9?i4>57d8 4c52;1b?94?::k01?6=3`9=6=44i2594?=n?j0;66g8f;29?ldb2900c?:9:188k7202900qo7nc;290?6=8r.8::4=339K50643A;?il5+22f9500a3`=n6=44ib194?=n:<<1<75`23;94?=zj0ko6=4;:183!51?388>6F>5118L42bi2.9?i4>57d8m2c=831bo>4?::k113<722e9>44?::a=dc=83>1<7>t$244>7553A;><>5G15gb?!44l3;>:k5f7d83>>od;3:17d<:6;29?j4513:17pl6ag83>1<729q/?;952208L437;2B:8ho4$31g>431n2c5;ha0>5<5<54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f\013;p??4r$31g>431n2.:i?4;;h5e>5<>i5>o4l3:1(?:=:2a8j7262910e>l50;&107<4k2d98<4>;:k0e?6=,;>96>m4n362>7=h5<80876g<8;29 7252:i0b?:>:598yg?e:3:1m7>50z&022<5>91C=8><;I37ad=]?00:w><5}%00`?72>o1/=h<54:k4b?6=3`hn6=44i6a94?=h:=<1<75`25:94?=n;m0;6)<;2;1`?k4393:07d=m:18'614=;j1e>9?51:9j7d<72-8?>7=l;o075?4<3`926=4+25097f=i:=;1?65f3983>!43:39h7c<;1;68?xd>j:0;6l4?:1y'731=:?:0D<;?3:J20`g31=v==:|&17a<6=?l0(5;h5`>5<=6=44o36;>5<h5<80;76g:098m6g=83.98?45$361>6e:6>54i2:94?"5<;08o6`=4087?>{e1k>1<7750;2x 6002;?m7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<>o0k3:17d9i:188mgc=831d>9850;9l611=831vn;6=:180>5<7s-9=;7?:039K50643A;?il5+22f9500a3`=n6=44i30;>5<8850;9l67?=831vn;69:180>5<7s-9=;7?:039K50643A;?il5+22f9500a3`=n6=44i30;>5<8850;9l67?=831vn;6n:180>5<7s-9=;7?:039K50643A;?il5+22f9500a3`=n6=44i30;>5<8850;9l67?=831vn;6j:180>5<7s-9=;7?:039K50643A;?il5+22f9500a3`=n6=44i30;>5<8850;9l67?=831vn;7=:180>5<7s-9=;7?:039K50643A;?il5+22f9500a3`=n6=44i30;>5<8850;9l67?=831vn;79:180>5<7s-9=;7?:039K50643A;?il5+22f9500a3`=n6=44i30;>5<8850;9l67?=831vn;7n:180>5<7s-9=;7?:039K50643A;?il5+22f9500a3`=n6=44i30;>5<8850;9l67?=831vn;7j:180>5<7s-9=;7?:039K50643A;?il5+22f9500a3`=n6=44i30;>5<8850;9l67?=831vn;o>:180>5<7s-9=;7?:039K50643A;?il5+22f9500a3`=n6=44i30;>5<5<5290;w)=97;01g>N6=990D<:ja:&17a<6=?l0(93:1>7>50z&022<5:j1C=8><;I37ad=#::n1=88i;%3f6?7e3`;o87>5;n00g?6=3th=584?:383>5}#;?=1>?m4H0737>N6"6m;0:n6g>d583>>i5;j0;66sm68;94?4=83:p(>88:30`?M728:1C=9kn;%00`?72>o1/=h<51c9j5a2=831d>>m50;9~f3?c29096=4?{%153?45k2B:9==4H06fe>"5;m0:9;h4$0g1>4d5<52;294~"4>>09>n5G1420?M73mh1/>>j5144e?!7b:3;i7d?k4;29?j44k3:17pl98183>0<729q/?;951b48L437;2B:8ho4$31g>431n2.:i?4>;h5b>5<i1<75fbd83>>o5<00;66a=4783>>{e>1>1<7;50;2x 60028i=7E?:029K51cf3-88h7?:6g9'5`4=92c5;h5`>5<5<2290;w)=97;3`2>N6=990D<:ja:&17a<6=?l0(5;h`f>5<26=44o365>5<55;294~"4>>0:o;5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9j3f<722cii7>5;h07=?6=3f8?:7>5;|`5=5<72<0;6=u+37595f0<@8?;?6F>4dc8 75c28?=j6*>e382?l1f2900e:m50;9jf`<722c9844?::m103<722wi:4:50;794?6|,:<<69850;9~f3??290>6=4?{%153?7d>2B:9==4H06fe>"5;m0:9;h4$0g1>4=n?h0;66g8c;29?ldb2900e?:6:188k7212900qo86c;291?6=8r.8::4>c79K50643A;?il5+22f9500a3-;n>7?4i6c94?=n?j0;66gme;29?l4313:17b<;6;29?xd11o0;684?:1y'731=9j<0D<;?3:J20`g<,;9o6<;9f:&2a7<63`=j6=44i6a94?=njl0;66g=4883>>i588:0a5?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`=h6=44icg94?=n:=31<75`25494?=zj?ko6=4::183!51?3;h:6F>5118L42bi2.9?i4>57d8 4c5281b;l4?::k4g?6=3`hn6=44i36:>5<=6=44}c4ba?6==3:15;n072?6=3th=mk4?:483>5}#;?=1=n84H0737>N6"6m;0:7d9n:188m2e=831bnh4?::k10<<722e98;4?::a2g6=83?1<7>t$244>4e13A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17d9l:188mgc=831b>9750;9l610=831vn;l>:186>5<7s-9=;7?l6:J2155<@8>nm6*=3e8213`<,8o96<5f7`83>>o0k3:17dlj:188m72>2900c?:9:188yg0e:3:197>50z&022<6k?1C=8><;I37ad=#::n1=88i;%3f6?7k1<75f7b83>>oem3:17d<;9;29?j43>3:17pl9b283>0<729q/?;951b48L437;2B:8ho4$31g>431n2.:i?4>;h5b>5<i1<75fbd83>>o5<00;66a=4783>>{e>k>1<7;50;2x 60028i=7E?:029K51cf3-88h7?:6g9'5`4=92c5;h5`>5<5<0290;w)=97;005>N6=990D<:ja:&2a7<6kl1b=i:50;9j5a3=831b=i850;9j5a1=831b=i650;9j5a?=831d>>m50;9~fd>5290?6=4?{%153?45m2B:9==4H06fe>"6m;0:mk5f1e694?=n9m?1<75f1e494?=h::i1<75rb``2>5<0290;w)=97;005>N6=990D<:ja:&2a7<6k11b=i:50;9j5a3=831b=i850;9j5a1=831b=i650;9j5a?=831d>>m50;9~fddf29086=4?{%153?45l2B:9==4H06fe>"6m;0:<6g>d583>>o6l<0;66a=3b83>>{eikh1<7950;2x 6002;9:7E?:029K51cf3-;n>7?m9:k2`1<722c:h84?::k2`3<722c:h:4?::k2`=<722c:h44?::m17f<722wimom50;794?6|,:<<6?3:17d?k7;29?j44k3:17plnc083>3<729q/?;952228L437;2B:8ho4$0g1>g`5<5<5<7>57;294~"4>>09?<5G1420?M73mh1/=h<51e28m4b32900eo6l=0;66g>d483>>o6l?0;66g>d683>>o6l10;66g>d883>>i5;j0;66smac494?1=83:p(>88:312?M728:1C=9kn;%3f6?7dn2c:h94?::k2`0<722c:h;4?::k2`2<722c:h54?::k2`<<722e9?n4?::aeg1=83>1<7>t$244>74b3A;><>5G15gb?!7b:3;jj6g>d583>>o6l<0;66g>d783>>i5;j0;66smac:94?0=83:p(>88:313?M728:1C=9kn;%3f6?563`;o87>5;h3g1?6=3`;o:7>5;h3g3?6=3`;o47>5;n00g?6=3thjn44?:683>5}#;?=1>>?4H0737>N6c49K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66g=4883>>i588:0a6?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44i36:>5<=6=44}ccbb?6=;3:1o6l=0;66g>d483>>i5;j0;66smb0694?2=83:p(>88:0a6?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44i36:>5<=6=44}c`21?6=;3:1:3:187>50z&022<6k<1C=8><;I37ad=#::n1=88i;%3f6?7k1<75fbd83>>o5<00;66a=4783>>{ei091<7=50;2x 6002;8o7E?:029K51cf3-;n>7?ne:&106<6=8;0e50z&022<5;81C=8><;I37ad=#9l81=ol4i0f7>5<6=44i0f5>5<5<5<56;294~"4>>09?=5G1420?M73mh1/=h<51b;8m4b32900e50z&022<5;81C=8><;I37ad=#9l81=nj4i0f7>5<6=44i0f5>5<5<5<5}#;?=1=n:4H0737>N66<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c30=6<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<9383>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c30<`<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<8e83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c304dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<8883>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N60;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c30<3<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<8583>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<4?:283>5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c30<4<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<8183>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c303a<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<7b83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c303=<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<7683>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c3031<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<7283>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c3035<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<6d83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N6j0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c302g<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<6`83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N610;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c3022<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<6783>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N6:0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c3027<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<6083>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c301`<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<5e83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c301d<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<5683>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c3011<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<5283>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c3015<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<4g83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c300g<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<4`83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c3002<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<4783>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c3006<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<4083>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c307`<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<3e83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c307d<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<3883>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c3070<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<3583>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<4?:283>5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c3074<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<3183>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<k4?:283>5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c306a<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<2c83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<l4?:283>5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c306=<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<2683>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<;4?:283>5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c3061<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<2283>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<?4?:283>5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c305c<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<1d83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c305g<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<1`83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c3052<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<1483>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c3057<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<1083>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c304`<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<0e83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c304<<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<0983>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c3040<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<0583>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<4?:283>5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c3044<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?=fg83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c31bf<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?=fc83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c31b=<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?=f683>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c31b6<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?=f383>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c31ac<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?=ed83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c31ag<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c30a0<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<4?:283>5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c30a4<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c30`f<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c30`=<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c30`1<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c30gc<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c30gg<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N60;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c30g3<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c30g7<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c30f`<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c30f<<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c30f0<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<4?:283>5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c30f5<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c30ef<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c30e=<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c30e6<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c30=c<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<9d83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c30=d<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<9883>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N60;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c30=5<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<8483>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N6o0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c3021<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<5983>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c3072<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<2b83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<4?:283>5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c304g<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<0183>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c30``<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c30f7<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<5}#;?=1=ok4H0737>N64?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<=6=44}c31ad<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qomc59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::agc6=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vni?>:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`g62<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygb4:3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjm9o6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wio9750;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17pll5583>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}ca6b?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~ff0f290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{ek>?1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thh4=4?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::agd7=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vnnm<:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|```<<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygeb<3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjjoi6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wiohm50;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17pllee83>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}cafa?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~ffca290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{eko;1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thhj?4?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::agc3=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vnnh7:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|``bd<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygeak3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjjlo6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wiokk50;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17pllfg83>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}cf34?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~fa66290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{el981<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3tho<>4?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::a`51=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vni>n:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`g4f<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygb7l3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjm:n6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wih=h50;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17plk1183>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}cf26?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~fa74290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{el8>1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3tho=84?:583>5}#;?=1=n:4H0737>N66;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::a`4>=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vni?m:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`g5`<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygb6n3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjm8;6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wih??50;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17plk2383>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}cf17?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~fa43290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{el;?1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3tho>;4?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::a`7g=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vni5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`g6c<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygb483:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjm9:6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wih>=50;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17plk3583>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}cf01?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~fa51290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{el:=1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3tho?54?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::a`6d=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vnn:?:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|``07<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188yge3;3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjj>?6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wio9;50;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17pll4783>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}ca73?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~ff2?290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{ek=k1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thh8o4?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::ag1c=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<<7>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vnn;>:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|``16<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188yge2=3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjj?=6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wio8950;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17pll5983>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}ca6=?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~ff3f290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{ek7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thh9n4?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::ag36=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<80;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<7>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vnn8<:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|``20<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188yge1>3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjj<<6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wio;650;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17pll6883>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}ca5f?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~ff0d290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{ek?n1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thh:h4?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::ag27=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vnn9;:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|``32<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188yge003:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjj=26=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wio:o50;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17pll7c83>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}ca4g?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~ff1c290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{ek>o1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thh;k4?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::ag=5=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vnn69:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|``<=<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188yge?13:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjj2j6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wio5m50;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17pll8e83>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}ca;a?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~ff>a290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{ek0:1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thh5<4?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::ag<2=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vnn77:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|``=d<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188yge>j3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjj3h6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wio4j50;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17pll9d83>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}ca:b?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~ffg7290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{ekh81<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thhm>4?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::agd0=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vnno6:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|``eg<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygefm3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjjkm6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wioo>50;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17pllb083>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}caa6?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~ffd4290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{ekk>1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thhn84?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::agg?=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vnnll:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|``f`<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygeen3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjji;6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wion?50;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17pllc383>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}ca`0?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~ffe2290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{ekj<1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thho:4?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::agfg=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vnnmk:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|```5<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygec93:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjjn96=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wioi=50;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17plld583>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}cag1?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~ffb1290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{ekm=1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thhh54?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::agae=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vnnji:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|``a4<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygeb:3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjjo86=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wioh;50;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17plle783>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}caf3?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~ffc?290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{ekl31<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thhil4?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::afc6=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vnn?>:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|``62<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188yge4:3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjj9o6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722win9750;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17plm5583>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}c`6b?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~fg0f290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{ej>?1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thi4=4?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::afd7=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vnom<:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`a`<<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygdb<3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjkoi6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722winhm50;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17plmee83>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}c`fa?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~fgca290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{ejo;1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thij?4?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::afc3=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vnoh7:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`abd<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygdak3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjklo6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722winkk50;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17plmfg83>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}ca34?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~ff66290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{ek981<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thh<>4?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::ag51=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vnn>n:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|``4f<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188yge7l3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjj:n6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wio=h50;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17pll1183>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}ca26?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~ff74290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{ek8>1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thh=84?:583>5}#;?=1=n:4H0737>N66;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::ag4>=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vnn?m:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|``5`<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188yge6n3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjj8;6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wio??50;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17pll2383>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}ca17?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~ff43290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{ek;?1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thh>;4?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::ag7g=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vnn5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|``6c<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188yge483:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjj9:6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wio>=50;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17pll3583>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}ca01?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~ff51290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{ek:=1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thh?54?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::ag6d=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vno:?:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`a07<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygd3;3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjk>?6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722win9;50;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17plm4783>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}c`73?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~fg2?290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{ej=k1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thi8o4?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::af1c=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<<7>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vno;>:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`a16<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygd2=3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjk?=6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722win8950;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17plm5983>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}c`6=?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~fg3f290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{ej7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thi9n4?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::af36=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<80;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<7>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vno8<:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`a20<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygd1>3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjk<<6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722win;650;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17plm6883>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}c`5f?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~fg0d290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{ej?n1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thi:h4?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::af27=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vno9;:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`a32<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygd003:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjk=26=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722win:o50;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17plm7c83>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}c`4g?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~fg1c290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{ej>o1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thi;k4?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::af=5=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vno69:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`a<=<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygd?13:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjk2j6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722win5m50;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17plm8e83>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}c`;a?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~fg>a290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{ej0:1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thi5<4?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::af<2=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vno77:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`a=d<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygd>j3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjk3h6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722win4j50;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17plm9d83>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}c`:b?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~fgg7290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{ejh81<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thim>4?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::afd0=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vnoo6:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`aeg<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygdfm3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjkkm6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wino>50;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17plmb083>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}c`a6?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~fgd4290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{ejk>1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thin84?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::afg?=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vnoll:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`af`<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygden3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjki;6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722winn?50;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17plmc383>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}c``0?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~fge2290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{ejj<1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thio:4?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::affg=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vnomk:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`a`5<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygdc93:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjkn96=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wini=50;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17plmd583>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}c`g1?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~fgb1290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{ejm=1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thih54?:583>5}#;?=1=n:4H0737>N6c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::afae=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<0D<;?3:J20`g<,8o96<>4$31g>431n2c5;h`f>5<?6=44o365>5<54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<9:50;9l610=831vnoji:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`aa4<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygdb:3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjko86=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722winh;50;694?6|,:<<6:k5f7`83>>oem3:17d<;4;29?j43>3:17plme783>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<=6=44}c`f3?6=<3:1>j5144e?l1f2900eok50;9j612=831d>9850;9~fgc?290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>o5<=0;66a=4783>>{ejl31<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thiil4?:583>5}#;?=1=n:4H0737>N6c19K50643A;?il5+1d095>"5;m0:9;h4i6c94?=n1<0;66gme;29?j43>3:17pln9g83>1<729q/?;951b28L437;2B:8ho4$0g1>4=#::n1=88i;h5b>5<>i588:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`b=0<72=0;6=u+37595f6<@8?;?6F>4dc8 4c5281/>>j5144e?l1f2900e4;50;9jf`<722e98;4?::aefg=83>1<7>t$244>4e73A;><>5G15gb?!7b:3;0(?=k:075b>o0i3:17d7::188mgc=831d>9850;9~fd3>29086=4?{%153?7em2B:9==4H06fe>"6m;0:<6*=3e8213`k1<75fbd83>>i588:0a3?M728:1C=9kn;%3f6?7<,;9o6<;9f:k4e?6=3`3>6=44icg94?=h:=<1<75rb`6`>5<3290;w)=97;3`4>N6=990D<:ja:&2a7<63-88h7?:6g9j3d<722c297>5;h`f>5<=6=44}cc0e?6=<3:157d8m2g=831b584?::kaa?6=3f8?:7>5;|`b7<<72=0;6=u+37595f6<@8?;?6F>4dc8 4c5281/>>j5144e?l1f2900e4;50;9jf`<722e98;4?::aeg5=83>1<7>t$244>7543A;><>5G15gb?l7c<3:17d?k5;29?l42>3:17b<5;h3g2?6=3`;o;7>5;h3g5;n00g?6=3thjn84?:783>5}#;?=1>>>4H0737>N63:17d?k7;29?l7c03:17b<i7E?:029K51cf3S=26nu>3;37>74=::0:j7?j:32964<613;36<951`8~jf7=12dh>774n04b>5=i90n1<6*>b782ff=#9k=1=om4$0fb>3=#9mh1:6*>db85?!7cl3<0(2.:i849;%3f2?0<,8o<6;5+1d:92>"6m00=7)?ja;48 4ce2?1/=hm56:&2aa<13-;ni784$0ge>3=#9o:1:6*>f085?!7a:3<0(2.:j849;%3e2?0<,8l<6;5+1g:92>"6n00=7)?ia;48 4`e2?1/=km56:&2ba<13-;mi784$0de>3=#:9:1:6*=0085?!47:3<0(?<<:29'672=;2.9>84=3c9'61e=:??0(?;<:347?!42<3=h7)<:5;5`?!4213;?ii5+27397>"5>;087)?;fg820cc<,8?;<7?;fd9j604=831b=o;50;9j61b=831b=o=50;9j5;h07b?6=3`8><7>5;h37b0<72-8?>7?;f59m617=821b=9h<:18'614=9=l?7c<;1;38?j7183:1(?:=:07e?k4393:07b?:e;29 72528?m7c<;1;38?j72l3:1(?:=:07e?k4393807b?:c;29 72528?m7c<;1;18?j72j3:1(?:=:07e?k4393>07b?:a;29 72528?m7c<;1;78?j7113:1(?:=:07e?k4393<07b?98;29 72528?m7c<;1;58?j71?3:1(?:=:07e?k4393207b?96;29 72528?m7c<;1;;8?j71=3:1(?:=:07e?k4393k07b?94;29 72528?m7c<;1;`8?j71;3:1(?:=:07e?k4393i07b?92;29 72528?m7c<;1;f8?j7193:1(?:=:07e?k4393o07b?:9;29 72528?m7c<;1;d8?j7f=3:1(?:=:0c7?k4393:07b?n3;29 72528k?7c<;1;38?j7fl3:1(?:=:0c`?k4393:07b?nb;29 72528kh7c<;1;38?l73nm0;6)<;2;37bf=i:=;1<65f15da>5<#:=81=9hl;o075?7<3`?:6=4+250915=i:=;1<65f4g83>!43:3?;7c<;1;38?l2b290/>9<5519m617=:21b9l4?:%076?373g8?=7=4;h7:>5<#:=819=5a25390>=n=10;6)<;2;73?k4393?07d;8:18'614==91e>9?56:9j13<72-8?>7;?;o075?1<3`?>6=4+250915=i:=;1465f5583>!43:3?;7c<;1;;8?l34290/>9<5519m617=i21b9?4?:%076?373g8?=7l4;h6g>5<#:=819=5a2539g>=n9:=1<7*=438273=i:=;1<65f12794?"5<;0:?;5a25395>=n98o1<7*=43825a=i:=;1<65f10a94?"5<;0:=i5a25395>=n98h1<7*=43825a=i:=;1>65f10c94?"5<;0:=i5a25397>=n9831<7*=43825a=i:=;1865f10:94?"5<;0:=i5a25391>=n9;=1<7*=43825a=i:=;1:65f13494?"5<;0:=i5a25393>=n9;?1<7*=43825a=i:=;1465f13694?"5<;0:=i5a2539=>=n9;91<7*=43825a=i:=;1m65f13094?"5<;0:=i5a2539f>=n9;;1<7*=43825a=i:=;1o65f13294?"5<;0:=i5a2539`>=n98l1<7*=43825a=i:=;1i65f10594?"5<;0:=i5a2539b>=nmj0;6)<;2;ga?k4393:07dkn:18'614=mk1e>9?51:9ja<<72-8?>7km;o075?4<3`o36=4+2509ag=i:=;1?65fe683>!43:3oi7c<;1;68?lc1290/>9<5ec9m617==21bj84?:%076?ce3g8?=784;hd7>5<#:=81io5a25393>=nn:0;6)<;2;ga?k4393207dh=:18'614=mk1e>9?59:9jb4<72-8?>7km;o075?g<3`l;6=4+2509ag=i:=;1n65feg83>!43:3oi7c<;1;a8?lcb290/>9<5ec9m617=l21bii4?:%076?ce3g8?=7k4;hg6>5<#:=81io5a2539b>=n>l0;6)<;2;4g?k4393:07d8l:18'614=>m1e>9?51:9j2g<72-8?>78k;o075?4<3`=<6=4+25092a=i:=;1?65f7783>!43:39<56e9m617==21b;94?:%076?0c3g8?=784;h50>5<#:=81:i5a25393>=n?;0;6)<;2;4g?k4393207d9>:18'614=>m1e>9?59:9j35<72-8?>78k;o075?g<3`!43:3;3:1(?:=:0;1?k4393:07b?61;29 72528397c<;1;38?j7>83:1(?:=:0;1?k4393807b?7f;29 72528397c<;1;18?j7?m3:1(?:=:0;1?k4393>07b?7d;29 72528397c<;1;78?j7>k3:1(?:=:0;1?k4393<07b?6b;29 72528397c<;1;58?j7>i3:1(?:=:0;1?k4393207b?69;29 72528397c<;1;;8?j7>03:1(?:=:0;1?k4393k07b?67;29 72528397c<;1;`8?j7>>3:1(?:=:0;1?k4393i07b?65;29 72528397c<;1;f8?j7><3:1(?:=:0;1?k4393o07b?7c;29 72528397c<;1;d8?l75n3:1(?:=:00f?k4393:07d?=d;29 725288n7c<;1;38?xd1j<0;6om50;2x 6002;>j7E?:029K51cf3S=26nu>5;35>7d=:o09o7=?:3f963<5=38<6?k5258~ 75c28?=j6`l1;31?ke52880b<8n:19m5bb9'5g1=9ki0(2.:hn49;%3g`?0<,8nn6;5+1ed92>"6m90=7)?j1;48 4c42?1/=h:56:&2a0<13-;n:784$0g4>3=#9l21:6*>e885?!7bi3<0(2.:ii49;%3fa?0<,8om6;5+1g292>"6n80=7)?i2;48 4`42?1/=k:56:&2b0<13-;m:784$0d4>3=#9o21:6*>f885?!7ai3<0(2.:ji49;%3ea?0<,8lm6;5+21292>"5880=7)=:56:&140<13-8;:784$324>3=#:921:6*=0885?!47i3<0(?>m:79'65e=>2.9"5980=7)<>2;48 7742?1/><:56:&150<13-8::784$334>3=#:821:6*=1885?!46i3<0(??m:79'64e=>2.9=i49;%02a?0<,;;m6;5+23292>"5:80=7)<=2;48 7442<1/>?:55:&160<5;k1/>8=52768 7332>i0(?;::6a8 73>28>nh6*=5`811f=#:?;196*=6386?!73no0:8kk4$0734?73nl1b>9j50;9j<3<722c:n94?::k114<722c3o7>5;h07a?6=3`8><7>5;h3a6?6=3`;9o7>5$361>44e3g8?=7>4;h31e?6=,;>96<5$361>44e3g8?=7<4;h3196<9?50:9j51`7290/>9<515d2?k4393;07d?;eg83>!43:3;?j<5a25396>=n9=on6=4+250951`63g8?=7=4;n365?6=,;>96<;?;o075?6<3f;?j7>5$361>4373g8?=7?4;n37`?6=,;>96<;?;o075?4<3f;?o7>5$361>4373g8?=7=4;n37f?6=,;>96<;?;o075?2<3f;?m7>5$361>4373g8?=7;4;n37=?6=,;>96<;?;o075?0<3f;?47>5$361>4373g8?=794;n373?6=,;>96<;?;o075?><3f;?:7>5$361>4373g8?=774;n371?6=,;>96<;?;o075?g<3f;?87>5$361>4373g8?=7l4;n376?6=,;>96<;?;o075?e<3f;?=7>5$361>4373g8?=7j4;n374?6=,;>96<;?;o075?c<3f;8j7>5$361>4373g8?=7h4;n30a?6=,;>96<;?;o075?7732e:?i4?:%076?7282d98<4>1:9l56e=83.98?4>519m617=9;10c<=m:18'614=9<:0b?:>:018?j74i3:1(?:=:073?k4393;?76a>3883>!43:3;><6`=40821>=h9<21<7*=438215=i:=;1=;54o074>5<#:=81=8>4n362>41<3f;>:7>5$361>4373g8?=7?7;:m210<72-8?>7?:0:l104<6121d=8:50;&107<6=91e>9?51`98k434290/>9<51428j72628h07b?:2;29 72528?;7c<;1;3`?>i6h5<80:h65`15194?"5<;0:9=5a25395`=:65<#:=818?5a25394>=n<80;6)<;2;61?k4393;07d:?:18'614=<;1e>9?52:9j7c<72-8?>7:=;o075?5<3`>h6=4+250907=i:=;1865f4c83>!43:3>97c<;1;78?l2f290/>9<5439m617=>21b844?:%076?253g8?=794;h6;>5<#:=818?5a2539<>=n<>0;6)<;2;61?k4393307d:9:18'614=<;1e>9?5a:9j00<72-8?>7:=;o075?d<3`>?6=4+250907=i:=;1o65f3d83>!43:3>97c<;1;f8?l07290/>9<55g9m617=821b9h4?:%076?3a3g8?=7?4;h7g>5<#:=819k5a25396>=n=j0;6)<;2;7e?k4393907d86:18'614==o1e>9?54:9j2=<72-8?>7;i;o075?3<3`<<6=4+25091c=i:=;1:65f6783>!43:3?m7c<;1;58?l02290/>9<55g9m617=021b:94?:%076?3a3g8?=774;h40>5<#:=819k5a2539e>=n>;0;6)<;2;7e?k4393h07d8>:18'614==o1e>9?5c:9j1g<72-8?>7;i;o075?b<3`;;j7>5$361>46b3g8?=7>4;h33`?6=,;>96<>j;o075?7<3`;;n7>5$361>46b3g8?=7<4;h33e?6=,;>96<>j;o075?5<3`;;57>5$361>46b3g8?=7:4;h3396<>j;o075?3<3`;;;7>5$361>46b3g8?=784;h332?6=,;>96<>j;o075?1<3`;;97>5$361>46b3g8?=764;h330?6=,;>96<>j;o075??<3`;;?7>5$361>46b3g8?=7o4;h336?6=,;>96<>j;o075?d<3`;;<7>5$361>46b3g8?=7m4;hde>5<#:=81==k4n362>a=!43:3;;i6`=408e?>oak3:1(?:=:02f?k4393;;76gib;29 72528:n7c<;1;32?>oai3:1(?:=:02f?k4393;976gi9;29 72528:n7c<;1;30?>oa03:1(?:=:02f?k4393;?76gi7;29 72528:n7c<;1;36?>o69?0;6)<;2;33a>h5<80::65f10794?"5<;0::6<64;h327?6=,;>96<>j;o075?7>32c:=?4?:%076?77m2d98<4>a:9j547=83.98?4>0d9m617=9k10e:0a8?l77k3:1(?:=:02f?k4393;o76g>0083>!43:3;;i6`=4082a>=nn?0;6)<;2;33a>h5<80:j65`1`094?"5<;0:m<5a25394>=h9h:1<7*=4382e4=i:=;1=65`18d94?"5<;0:m<5a25396>=h90o1<7*=4382e4=i:=;1?65f12694?"5<;0:?>5a25394>=n9:81<7*=438276=i:=;1=65f12394?"5<;0:?>5a25396>=n9::1<7*=438276=i:=;1?65`1`c94?"5<;0:m45a25394>=h9h21<7*=4382e<=i:=;1=65`1`594?"5<;0:m45a25396>=h9h<1<7*=4382e<=i:=;1?65`19694?"5<;0:4>5a25394>=h9181<7*=4382<6=i:=;1=65`19294?"5<;0:4>5a25396>=h9>l1<7*=4382<6=i:=;1?65`16g94?"5<;0:4>5a25390>=h9>n1<7*=4382<6=i:=;1965`16a94?"5<;0:4>5a25392>=h9>h1<7*=4382<6=i:=;1;65`16c94?"5<;0:4>5a2539<>=h9>31<7*=4382<6=i:=;1565`16:94?"5<;0:4>5a2539e>=h9>=1<7*=4382<6=i:=;1n65`16794?"5<;0:4>5a2539g>=h9>>1<7*=4382<6=i:=;1h65`16194?"5<;0:4>5a2539a>=h9>81<7*=4382<6=i:=;1j65`16394?"5<;0:4>5a253955=:696<6<;o075?7532e::h4?:%076?7?;2d98<4>3:9l53b=83.98?4>829m617=9=10c<8l:18'614=9190b?:>:078?j7?j3:1(?:=:0:0?k4393;=76a>8`83>!43:3;3?6`=40823>=h9131<7*=4382<6=i:=;1=554o0:;>5<#:=81=5=4n362>4?<3f;3;7>5$361>4>43g8?=7?n;:m2<3<72-8?>7?73:l104<6j21d=5;50;&107<60:1e>9?51b98k4>6290/>9<51918j72628n07b?86;29 72528287c<;1;3f?>i6>k0;6)<;2;3;7>h5<80:j65f15db>5<#:=81=9h6;o075?6<3`;?j54?:%076?73n01e>9?51:9j51`0290/>9<515d:?k4393807d?;f783>!43:3;?j45a25397>=nlm0;6)<;2;f`?k4393:07djm:18'614=lj1e>9?51:9j`<<72-8?>7jl;o075?4<3`n36=4+2509`f=i:=;1?65fd683>!43:3nh7c<;1;68?lb1290/>9<5db9m617==21bh84?:%076?bd3g8?=784;hf7>5<#:=81hn5a25393>=nl:0;6)<;2;f`?k4393207dj=:18'614=lj1e>9?59:9j`4<72-8?>7jl;o075?g<3`n;6=4+2509`f=i:=;1n65fcd83>!43:3nh7c<;1;a8?lec290/>9<5db9m617=l21bon4?:%076?bd3g8?=7k4;haa>5<#:=81hn5a2539b>=nkh0;6)<;2;f`?k4393;;76gl9;29 7252mi0b?:>:038?le?290/>9<5db9m617=9;10en950;&1073:9jg3<72-8?>7jl;o075?7332ch97>5$361>ae:6<;4;hg7>5<#:=81hn5a253953=h5<80:;65fe383>!43:3nh7c<;1;3;?>ob93:1(?:=:ea8j72628307dk?:18'614=lj1e>9?51`98ma`=83.98?4kc:l104<6j21bhh4?:%076?bd3g8?=7?l;:kge?6=,;>96im4n362>4b<3`im6=4+2509`f=i:=;1=h54ib694?"5<;0oo6`=4082b>=zj?i?6=4mc;294~"4>>098l5G1420?M73mh1Q;44l{07953<5j38m6?m53181`?412;?1>:4=e;07>x"5;m0:9;h4nb3957=ik;0:>6`>6`83?k7>l3:0(d`85?!7cj3<0(2.:hh49;%3gb?0<,8o;6;5+1d392>"6m:0=7)?j4;48 4c22?1/=h856:&2a2<13-;n4784$0g:>3=#9lk1:6*>ec85?!7bk3<0(2.:ik49;%3e4?0<,8l:6;5+1g092>"6n:0=7)?i4;48 4`22?1/=k856:&2b2<13-;m4784$0d:>3=#9ok1:6*>fc85?!7ak3<0(2.:jk49;%034?0<,;::6;5+21092>"58:0=7)=856:&142<13-8;4784$32:>3=#:9k1:6*=0c85?!47k3<0(?>k:79'65c=>2.9"59:0=7)<>4;48 7722?1/><856:&152<13-8:4784$33:>3=#:8k1:6*=1c85?!46k3<0(??k:79'64c=>2.9=k49;%014?0<,;8:6;5+23092>"5::0>7)<=4;78 7422;9i7)<:3;050>"5==0"5=00:8hj4$37b>73d3-8==7;4$341>0=#9=lm6<:ie:&2156=9=ln7d<;d;29?l>12900e8>50;9j5g4=831b=?m50;&107<6:k1e>9?50:9j57g=83.98?4>2c9m617=921b=?750;&107<6:k1e>9?52:9j57>=83.98?4>2c9m617=;21b=9h=:18'614=9=l:7c<;1;28?l73n90;6)<;2;37b4=i:=;1=65f15ge>5<#:=81=9h>;o075?4<3`;?ih4?:%076?73n81e>9?53:9l507=83.98?4>519m617=821d=9h50;&107<6=91e>9?51:9l51b=83.98?4>519m617=:21d=9m50;&107<6=91e>9?53:9l51d=83.98?4>519m617=<21d=9o50;&107<6=91e>9?55:9l51?=83.98?4>519m617=>21d=9650;&107<6=91e>9?57:9l511=83.98?4>519m617=021d=9850;&107<6=91e>9?59:9l513=83.98?4>519m617=i21d=9:50;&107<6=91e>9?5b:9l514=83.98?4>519m617=k21d=9?50;&107<6=91e>9?5d:9l516=83.98?4>519m617=m21d=>h50;&107<6=91e>9?5f:9l56c=83.98?4>519m617=9910c<=k:18'614=9<:0b?:>:038?j74k3:1(?:=:073?k4393;976a>3c83>!43:3;><6`=40827>=h9:k1<7*=438215=i:=;1=954o01:>5<#:=81=8>4n362>43<3f;>47>5$361>4373g8?=7?9;:m212<72-8?>7?:0:l104<6?21d=8850;&107<6=91e>9?51998k432290/>9<51428j72628307b?:4;29 72528?;7c<;1;3b?>i6=:0;6)<;2;364>h5<80:n65`14094?"5<;0:9=5a25395f=n6=4+2509506:696<;?;o075?7b32e:?54?:%076?7282d98<4>f:9j06<72-8?>7:=;o075?6<3`>:6=4+250907=i:=;1=65f4183>!43:3>97c<;1;08?l5a290/>9<5439m617=;21b8n4?:%076?253g8?=7:4;h6a>5<#:=818?5a25391>=n9?57:9j0=<72-8?>7:=;o075?><3`><6=4+250907=i:=;1565f4783>!43:3>97c<;1;c8?l22290/>9<5439m617=j21b894?:%076?253g8?=7m4;h1f>5<#:=818?5a2539`>=n>90;6)<;2;7e?k4393:07d;j:18'614==o1e>9?51:9j1a<72-8?>7;i;o075?4<3`?h6=4+25091c=i:=;1?65f6883>!43:3?m7c<;1;68?l0?290/>9<55g9m617==21b::4?:%076?3a3g8?=784;h45>5<#:=819k5a25393>=n><0;6)<;2;7e?k4393207d8;:18'614==o1e>9?59:9j26<72-8?>7;i;o075?g<3`<96=4+25091c=i:=;1n65f6083>!43:3?m7c<;1;a8?l3e290/>9<55g9m617=l21b==h50;&107<68l1e>9?50:9j55b=83.98?4>0d9m617=921b==l50;&107<68l1e>9?52:9j55g=83.98?4>0d9m617=;21b==750;&107<68l1e>9?54:9j55>=83.98?4>0d9m617==21b==950;&107<68l1e>9?56:9j550=83.98?4>0d9m617=?21b==;50;&107<68l1e>9?58:9j552=83.98?4>0d9m617=121b===50;&107<68l1e>9?5a:9j554=83.98?4>0d9m617=j21b==>50;&107<68l1e>9?5c:9jbc<72-8?>7??e:l1045$361>46b3g8?=7k4;hdg>5<#:=81==k4n362>c=:6<84;h321?6=,;>96<>j;o075?7032c:=94?:%076?77m2d98<4>8:9j545=83.98?4>0d9m617=9010e:0c8?l7693:1(?:=:02f?k4393;i76g>1183>!43:3;;i6`=4082g>=n99i1<7*=43824`=i:=;1=i54i022>5<#:=81==k4n362>4c<3`l=6=4+250955c:696;o075?6<3f;j<7>5$361>4g63g8?=7?4;n3:b?6=,;>96;o075?4<3f;2i7>5$361>4g63g8?=7=4;h300?6=,;>96<=<;o075?6<3`;8>7>5$361>4543g8?=7?4;h305?6=,;>96<=<;o075?4<3`;8<7>5$361>4543g8?=7=4;n3be?6=,;>965$361>4g>3g8?=7?4;n3b3?6=,;>965$361>4g>3g8?=7=4;n3;0?6=,;>96<6<;o075?6<3f;3>7>5$361>4>43g8?=7?4;n3;4?6=,;>96<6<;o075?4<3f;5$361>4>43g8?=7=4;n34a?6=,;>96<6<;o075?2<3f;5$361>4>43g8?=7;4;n34g?6=,;>96<6<;o075?0<3f;5$361>4>43g8?=794;n34e?6=,;>96<6<;o075?><3f;<57>5$361>4>43g8?=774;n3496<6<;o075?g<3f;<;7>5$361>4>43g8?=7l4;n341?6=,;>96<6<;o075?e<3f;<87>5$361>4>43g8?=7j4;n347?6=,;>96<6<;o075?c<3f;<>7>5$361>4>43g8?=7h4;n345?6=,;>96<6<;o075?7732e:;=4?:%076?7?;2d98<4>1:9l53`=83.98?4>829m617=9;10c<8j:18'614=9190b?:>:018?j71l3:1(?:=:0:0?k4393;?76a>6b83>!43:3;3?6`=40821>=h91h1<7*=4382<6=i:=;1=;54o0:b>5<#:=81=5=4n362>41<3f;357>5$361>4>43g8?=7?7;:m2<=<72-8?>7?73:l104<6121d=5950;&107<60:1e>9?51`98k4>1290/>9<51918j72628h07b?75;29 72528287c<;1;3`?>i6080;6)<;2;3;7>h5<80:h65`16494?"5<;0:4>5a25395`=:67?;f89m617=821b=9h7:18'614=9=l27c<;1;38?l73n>0;6)<;2;37b<=i:=;1>65f15d5>5<#:=81=9h6;o075?5<3`no6=4+2509`f=i:=;1<65fdc83>!43:3nh7c<;1;38?lb>290/>9<5db9m617=:21bh54?:%076?bd3g8?=7=4;hf4>5<#:=81hn5a25390>=nl?0;6)<;2;f`?k4393?07dj::18'614=lj1e>9?56:9j`1<72-8?>7jl;o075?1<3`n86=4+2509`f=i:=;1465fd383>!43:3nh7c<;1;;8?lb6290/>9<5db9m617=i21bh=4?:%076?bd3g8?=7l4;haf>5<#:=81hn5a2539g>=nkm0;6)<;2;f`?k4393n07dml:18'614=lj1e>9?5e:9jgg<72-8?>7jl;o075?`<3`ij6=4+2509`f=i:=;1==54ib;94?"5<;0oo6`=40825>=nk10;6)<;2;f`?k4393;976gl7;29 7252mi0b?:>:018?le1290/>9<5db9m617=9=10en;50;&1075:9ja1<72-8?>7jl;o075?7132cn?7>5$361>ae:6<94;hg1>5<#:=81hn5a25395==h5<80:565fe183>!43:3nh7c<;1;3b?>ocn3:1(?:=:ea8j72628h07djj:18'614=lj1e>9?51b98mag=83.98?4kc:l104<6l21bok4?:%076?bd3g8?=7?j;:k`0?6=,;>96im4n362>4`<3th=o:4?:ca94?6|,:<<6?:n;I3646=O9=oj7W96:by21?712;h1>k4=c;13>7b=:?0997<8:3g9615=i90n1<6*>b782ff=#9k=1=om4$0fb>3=#9mh1:6*>db85?!7cl3<0(2.:i=49;%3f5?0<,8o86;5+1d692>"6m<0=7)?j6;48 4c02?1/=h656:&2a<<13-;nm784$0ga>3=#9li1:6*>ee85?!7bm3<0(2.:j<49;%3e6?0<,8l86;5+1g692>"6n<0=7)?i6;48 4`02?1/=k656:&2b<<13-;mm784$0da>3=#9oi1:6*>fe85?!7am3<0(2.9<<49;%036?0<,;:86;5+21692>"58<0=7)=656:&14<<13-8;m784$32a>3=#:9i1:6*=0e85?!47m3<0(?>i:79'646=>2.9=<49;%026?0<,;;86;5+20692>"59<0=7)<>6;48 7702?1/><656:&15<<13-8:m784$33a>3=#:8i1:6*=1e85?!46m3<0(??i:79'676=>2.9><49;%016?0<,;88685+23691>"5:<09?o5+2419632<,;??6:m4$376>2e<,;?26<:jd:&11d<5=j1/>;?55:&127<23-;?jk4>4gg8 43783;?jh5f25f94?=n0?0;66g>b583>>o5=80;66g7c;29?l43m3:17d<:0;29?l7e:3:17d?=c;29 725288i7c<;1;28?l75i3:1(?:=:00a?k4393;07d?=9;29 725288i7c<;1;08?l7503:1(?:=:00a?k4393907d?;f383>!43:3;?j<5a25394>=n9=l;6=4+250951`63g8?=7?4;h37ac<72-8?>7?;f09m617=:21b=9kj:18'614=9=l:7c<;1;18?j7293:1(?:=:073?k4393:07b?;f;29 72528?;7c<;1;38?j73l3:1(?:=:073?k4393807b?;c;29 72528?;7c<;1;18?j73j3:1(?:=:073?k4393>07b?;a;29 72528?;7c<;1;78?j7313:1(?:=:073?k4393<07b?;8;29 72528?;7c<;1;58?j73?3:1(?:=:073?k4393207b?;6;29 72528?;7c<;1;;8?j73=3:1(?:=:073?k4393k07b?;4;29 72528?;7c<;1;`8?j73:3:1(?:=:073?k4393i07b?;1;29 72528?;7c<;1;f8?j7383:1(?:=:073?k4393o07b?3e83>!43:3;><6`=40825>=h9:i1<7*=438215=i:=;1=?54o01a>5<#:=81=8>4n362>45<3f;8m7>5$361>4373g8?=7?;;:m27<<72-8?>7?:0:l104<6=21d=8650;&107<6=91e>9?51798k430290/>9<51428j72628=07b?:6;29 72528?;7c<;1;3;?>i6=<0;6)<;2;364>h5<80:565`14694?"5<;0:9=5a25395d=:696<;?;o075?7d32e:8h4?:%076?7282d98<4>d:9l515=83.98?4>519m617=9l10c<=7:18'614=9<:0b?:>:0d8?l24290/>9<5439m617=821b8<4?:%076?253g8?=7?4;h63>5<#:=818?5a25396>=n;o0;6)<;2;61?k4393907d:l:18'614=<;1e>9?54:9j0g<72-8?>7:=;o075?3<3`>j6=4+250907=i:=;1:65f4883>!43:3>97c<;1;58?l2?290/>9<5439m617=021b8:4?:%076?253g8?=774;h65>5<#:=818?5a2539e>=n<<0;6)<;2;61?k4393h07d:;:18'614=<;1e>9?5c:9j7`<72-8?>7:=;o075?b<3`<;6=4+25091c=i:=;1<65f5d83>!43:3?m7c<;1;38?l3c290/>9<55g9m617=:21b9n4?:%076?3a3g8?=7=4;h4:>5<#:=819k5a25390>=n>10;6)<;2;7e?k4393?07d88:18'614==o1e>9?56:9j23<72-8?>7;i;o075?1<3`<>6=4+25091c=i:=;1465f6583>!43:3?m7c<;1;;8?l04290/>9<55g9m617=i21b:?4?:%076?3a3g8?=7l4;h42>5<#:=819k5a2539g>=n=k0;6)<;2;7e?k4393n07d??f;29 72528:n7c<;1;28?l77l3:1(?:=:02f?k4393;07d??b;29 72528:n7c<;1;08?l77i3:1(?:=:02f?k4393907d??9;29 72528:n7c<;1;68?l7703:1(?:=:02f?k4393?07d??7;29 72528:n7c<;1;48?l77>3:1(?:=:02f?k4393=07d??5;29 72528:n7c<;1;:8?l77<3:1(?:=:02f?k4393307d??3;29 72528:n7c<;1;c8?l77:3:1(?:=:02f?k4393h07d??0;29 72528:n7c<;1;a8?l`a290/>9<511g8j7262m10ekk50;&107<68l1e>9?5e:9jba<72-8?>7??e:l1045$361>46b3g8?=7??;:kef?6=,;>96<>j;o075?7632cmm7>5$361>46b3g8?=7?=;:ke=?6=,;>96<>j;o075?7432cm47>5$361>46b3g8?=7?;;:ke3?6=,;>96<>j;o075?7232c:=;4?:%076?77m2d98<4>6:9j543=83.98?4>0d9m617=9>10e:0:8?l76;3:1(?:=:02f?k4393;276g>1383>!43:3;;i6`=4082e>=n98;1<7*=43824`=i:=;1=o54i033>5<#:=81==k4n362>4e<3`;;o7>5$361>46b3g8?=7?k;:k244<72-8?>7??e:l104<6m21bj;4?:%076?77m2d98<4>f:9l5d4=83.98?4>a09m617=821d=l>50;&107<6i81e>9?51:9l5<`=83.98?4>a09m617=:21d=4k50;&107<6i81e>9?53:9j562=83.98?4>329m617=821b=><50;&107<6;:1e>9?51:9j567=83.98?4>329m617=:21b=>>50;&107<6;:1e>9?53:9l5dg=83.98?4>a89m617=821d=l650;&107<6i01e>9?51:9l5d1=83.98?4>a89m617=:21d=l850;&107<6i01e>9?53:9l5=2=83.98?4>829m617=821d=5<50;&107<60:1e>9?51:9l5=6=83.98?4>829m617=:21d=:h50;&107<60:1e>9?53:9l52c=83.98?4>829m617=<21d=:j50;&107<60:1e>9?55:9l52e=83.98?4>829m617=>21d=:l50;&107<60:1e>9?57:9l52g=83.98?4>829m617=021d=:750;&107<60:1e>9?59:9l52>=83.98?4>829m617=i21d=:950;&107<60:1e>9?5b:9l523=83.98?4>829m617=k21d=::50;&107<60:1e>9?5d:9l525=83.98?4>829m617=m21d=:<50;&107<60:1e>9?5f:9l527=83.98?4>829m617=9910c<9?:18'614=9190b?:>:038?j71n3:1(?:=:0:0?k4393;976a>6d83>!43:3;3?6`=40827>=h9?n1<7*=4382<6=i:=;1=954o04`>5<#:=81=5=4n362>43<3f;3n7>5$361>4>43g8?=7?9;:m27?73:l104<6?21d=5750;&107<60:1e>9?51998k4>?290/>9<51918j72628307b?77;29 72528287c<;1;3b?>i60?0;6)<;2;3;7>h5<80:n65`19794?"5<;0:4>5a25395f=:696<6<;o075?7b32e::o4?:%076?7?;2d98<4>f:9j51`f290/>9<515d:?k4393:07d?;f983>!43:3;?j45a25395>=n9=l<6=4+250951`>3g8?=7<4;h37b3<72-8?>7?;f89m617=;21bhi4?:%076?bd3g8?=7>4;hfa>5<#:=81hn5a25395>=nl00;6)<;2;f`?k4393807dj7:18'614=lj1e>9?53:9j`2<72-8?>7jl;o075?2<3`n=6=4+2509`f=i:=;1965fd483>!43:3nh7c<;1;48?lb3290/>9<5db9m617=?21bh>4?:%076?bd3g8?=764;hf1>5<#:=81hn5a2539=>=nl80;6)<;2;f`?k4393k07dj?:18'614=lj1e>9?5b:9jg`<72-8?>7jl;o075?e<3`io6=4+2509`f=i:=;1h65fcb83>!43:3nh7c<;1;g8?lee290/>9<5db9m617=n21bol4?:%076?bd3g8?=7??;:k`=?6=,;>96im4n362>47<3`i36=4+2509`f=i:=;1=?54ib594?"5<;0oo6`=40827>=nk?0;6)<;2;f`?k4393;?76gl5;29 7252mi0b?:>:078?lc3290/>9<5db9m617=9?10eh=50;&1077:9ja7<72-8?>7jl;o075?7?32cn=7>5$361>ae:6<74;hg3>5<#:=81hn5a25395d=h5<80:n65fdd83>!43:3nh7c<;1;3`?>oci3:1(?:=:ea8j72628n07dmi:18'614=lj1e>9?51d98mf2=83.98?4kc:l104<6n21vn;mn:18ag?6=8r.8::4=4`9K50643A;?il5U788`43=9?09n757d8jf7=9;1eo?4>2:l22d<73g;2h7>4$0`5>4dd3-;i;7?mc:&2`d<13-;on784$0f`>3=#9mn1:6*>dd85?!7cn3<0(2.:i>49;%3f0?0<,8o>6;5+1d492>"6m>0=7)?j8;48 4c>2?1/=ho56:&2ag<13-;no784$0gg>3=#9lo1:6*>eg85?!7a83<0(:79'5c4=>2.:j>49;%3e0?0<,8l>6;5+1g492>"6n>0=7)?i8;48 4`>2?1/=ko56:&2bg<13-;mo784$0dg>3=#9oo1:6*>fg85?!4783<0(?>>:79'654=>2.9<>49;%030?0<,;:>6;5+21492>"58>0=7)2?1/>=o56:&14g<13-8;o784$32g>3=#:9o1:6*=0g85?!4683<0(??>:79'644=>2.9=>49;%020?0<,;;>6;5+20492>"59>0=7)<>8;48 77>2?1/>3=#:8o1:6*=1g85?!4583<0(?<>:79'674=>2.9>>4:;%010?3<,;8>6?=m;%067?41<2.99948c:&110<0k2.9944>4df8 73f2;?h7)<91;78 7052<1/=9hi:06ea>"6=9:1=9hj;h07`?6=3`2=6=44i0`7>5<o5a25394>=n9;k1<7*=43826g=i:=;1=65f13;94?"5<;0:>o5a25396>=n9;21<7*=43826g=i:=;1?65f15d1>5<#:=81=9h>;o075?6<3`;?j=4?:%076?73n81e>9?51:9j51ca290/>9<515d2?k4393807d?;ed83>!43:3;?j<5a25397>=h9<;1<7*=438215=i:=;1<65`15d94?"5<;0:9=5a25395>=h9=n1<7*=438215=i:=;1>65`15a94?"5<;0:9=5a25397>=h9=h1<7*=438215=i:=;1865`15c94?"5<;0:9=5a25391>=h9=31<7*=438215=i:=;1:65`15:94?"5<;0:9=5a25393>=h9==1<7*=438215=i:=;1465`15494?"5<;0:9=5a2539=>=h9=?1<7*=438215=i:=;1m65`15694?"5<;0:9=5a2539f>=h9=81<7*=438215=i:=;1o65`15394?"5<;0:9=5a2539`>=h9=:1<7*=438215=i:=;1i65`12d94?"5<;0:9=5a2539b>=h9:o1<7*=438215=i:=;1==54o01g>5<#:=81=8>4n362>47<3f;8o7>5$361>4373g8?=7?=;:m27g<72-8?>7?:0:l104<6;21d=>o50;&107<6=91e>9?51598k45>290/>9<51428j72628?07b?:8;29 72528?;7c<;1;35?>i6=>0;6)<;2;364>h5<80:;65`14494?"5<;0:9=5a25395==6=4+2509506:6<74;n360?6=,;>96<;?;o075?7f32e:9>4?:%076?7282d98<4>b:9l504=83.98?4>519m617=9j10c<:j:18'614=9<:0b?:>:0f8?j73;3:1(?:=:073?k4393;n76a>3983>!43:3;><6`=4082b>=n<:0;6)<;2;61?k4393:07d:>:18'614=<;1e>9?51:9j05<72-8?>7:=;o075?4<3`9m6=4+250907=i:=;1?65f4b83>!43:3>97c<;1;68?l2e290/>9<5439m617==21b8l4?:%076?253g8?=784;h6:>5<#:=818?5a25393>=n<10;6)<;2;61?k4393207d:8:18'614=<;1e>9?59:9j03<72-8?>7:=;o075?g<3`>>6=4+250907=i:=;1n65f4583>!43:3>97c<;1;a8?l5b290/>9<5439m617=l21b:=4?:%076?3a3g8?=7>4;h7f>5<#:=819k5a25395>=n=m0;6)<;2;7e?k4393807d;l:18'614==o1e>9?53:9j2<<72-8?>7;i;o075?2<3`<36=4+25091c=i:=;1965f6683>!43:3?m7c<;1;48?l01290/>9<55g9m617=?21b:84?:%076?3a3g8?=764;h47>5<#:=819k5a2539=>=n>:0;6)<;2;7e?k4393k07d8=:18'614==o1e>9?5b:9j24<72-8?>7;i;o075?e<3`?i6=4+25091c=i:=;1h65f11d94?"5<;0:=n99n1<7*=43824`=i:=;1=65f11`94?"5<;0:=n99k1<7*=43824`=i:=;1?65f11;94?"5<;0:=n9921<7*=43824`=i:=;1965f11594?"5<;0:=n99<1<7*=43824`=i:=;1;65f11794?"5<;0:=n99>1<7*=43824`=i:=;1565f11194?"5<;0:=n9981<7*=43824`=i:=;1n65f11294?"5<;0:=nno0;6)<;2;33a>h5<80o76gie;29 72528:n7c<;1;g8?l`c290/>9<511g8j7262o10ekm50;&107<68l1e>9?51198mcd=83.98?4>0d9m617=9810eko50;&107<68l1e>9?51398mc?=83.98?4>0d9m617=9:10ek650;&107<68l1e>9?51598mc1=83.98?4>0d9m617=9<10e:048?l76=3:1(?:=:02f?k4393;<76g>1583>!43:3;;i6`=4082<>=n9891<7*=43824`=i:=;1=454i031>5<#:=81==k4n362>4g<3`;:=7>5$361>46b3g8?=7?m;:k255<72-8?>7??e:l104<6k21b==m50;&107<68l1e>9?51e98m466290/>9<511g8j72628o07dh9:18'614=99o0b?:>:0d8?j7f:3:1(?:=:0c2?k4393:07b?n0;29 72528k:7c<;1;38?j7>n3:1(?:=:0c2?k4393807b?6e;29 72528k:7c<;1;18?l74<3:1(?:=:010?k4393:07d?<2;29 72528987c<;1;38?l7493:1(?:=:010?k4393807d?<0;29 72528987c<;1;18?j7fi3:1(?:=:0c:?k4393:07b?n8;29 72528k27c<;1;38?j7f?3:1(?:=:0c:?k4393807b?n6;29 72528k27c<;1;18?j7?<3:1(?:=:0:0?k4393:07b?72;29 72528287c<;1;38?j7?83:1(?:=:0:0?k4393807b?8f;29 72528287c<;1;18?j70m3:1(?:=:0:0?k4393>07b?8d;29 72528287c<;1;78?j70k3:1(?:=:0:0?k4393<07b?8b;29 72528287c<;1;58?j70i3:1(?:=:0:0?k4393207b?89;29 72528287c<;1;;8?j7003:1(?:=:0:0?k4393k07b?87;29 72528287c<;1;`8?j70=3:1(?:=:0:0?k4393i07b?84;29 72528287c<;1;f8?j70;3:1(?:=:0:0?k4393o07b?82;29 72528287c<;1;d8?j7093:1(?:=:0:0?k4393;;76a>7183>!43:3;3?6`=40825>=h9?l1<7*=4382<6=i:=;1=?54o04f>5<#:=81=5=4n362>45<3f;=h7>5$361>4>43g8?=7?;;:m22f<72-8?>7?73:l104<6=21d=5l50;&107<60:1e>9?51798k4>f290/>9<51918j72628=07b?79;29 72528287c<;1;3;?>i6010;6)<;2;3;7>h5<80:565`19594?"5<;0:4>5a25395d=:696<6<;o075?7d32e:4<4?:%076?7?;2d98<4>d:9l520=83.98?4>829m617=9l10c<8m:18'614=9190b?:>:0d8?l73nh0;6)<;2;37b<=i:=;1<65f15d;>5<#:=81=9h6;o075?7<3`;?j:4?:%076?73n01e>9?52:9j51`1290/>9<515d:?k4393907djk:18'614=lj1e>9?50:9j`g<72-8?>7jl;o075?7<3`n26=4+2509`f=i:=;1>65fd983>!43:3nh7c<;1;18?lb0290/>9<5db9m617=<21bh;4?:%076?bd3g8?=7;4;hf6>5<#:=81hn5a25392>=nl=0;6)<;2;f`?k4393=07dj<:18'614=lj1e>9?58:9j`7<72-8?>7jl;o075??<3`n:6=4+2509`f=i:=;1m65fd183>!43:3nh7c<;1;`8?leb290/>9<5db9m617=k21boi4?:%076?bd3g8?=7j4;ha`>5<#:=81hn5a2539a>=nkk0;6)<;2;f`?k4393l07dmn:18'614=lj1e>9?51198mf?=83.98?4kc:l104<6921bo54?:%076?bd3g8?=7?=;:k`3?6=,;>96im4n362>45<3`i=6=4+2509`f=i:=;1=954ib794?"5<;0oo6`=40821>=nm=0;6)<;2;f`?k4393;=76gj3;29 7252mi0b?:>:058?lc5290/>9<5db9m617=9110eh?50;&1079:9ja5<72-8?>7jl;o075?7f32coj7>5$361>ae:65<#:=81hn5a25395f=h5<80:h65fcg83>!43:3nh7c<;1;3f?>od<3:1(?:=:ea8j72628l07pl9ce83>ge=83:p(>88:36b?M728:1C=9kn;[5:>f}6=3;=6?l52g81g?572;n1>;4=5;04>7c=:=0v(?=k:075b>hd93;97cm=:008j40f291e=4j50:&2f3<6jj1/=o951ca8 4bf2?1/=il56:&2`f<13-;oh784$0ff>3=#9ml1:6*>e185?!7b93<0(2.:i849;%3f2?0<,8o<6;5+1d:92>"6m00=7)?ja;48 4ce2?1/=hm56:&2aa<13-;ni784$0ge>3=#9o:1:6*>f085?!7a:3<0(2.:j849;%3e2?0<,8l<6;5+1g:92>"6n00=7)?ia;48 4`e2?1/=km56:&2ba<13-;mi784$0de>3=#:9:1:6*=0085?!47:3<0(?><:79'652=>2.9<849;%032?0<,;:<6;5+21:92>"5800=7)=m56:&14a<13-8;i784$32e>3=#:8:1:6*=1085?!46:3<0(??<:79'642=>2.9=849;%022?0<,;;<6;5+20:92>"5900=7)<>a;48 77e2?1/>3=#:;:1:6*=2085?!45:3<0(?<<:49'672==2.9>84=3c9'605=:?>0(?;;:6a8 7322>i0(?;6:06f`>"5=h099n5+27391>"5>;0>7)?;fg820cc<,8?;<7?;fd9j61b=831b4;4?::k2f1<722c99<4?::k;g?6=3`8?i7>5;h064?6=3`;i>7>5;h31g?6=,;>96<5$361>44e3g8?=7?4;h31=?6=,;>96<5$361>44e3g8?=7=4;h37b7<72-8?>7?;f09m617=821b=9h?:18'614=9=l:7c<;1;38?l73mo0;6)<;2;37b4=i:=;1>65f15gf>5<#:=81=9h>;o075?5<3f;>=7>5$361>4373g8?=7>4;n37b?6=,;>96<;?;o075?7<3f;?h7>5$361>4373g8?=7<4;n37g?6=,;>96<;?;o075?5<3f;?n7>5$361>4373g8?=7:4;n37e?6=,;>96<;?;o075?3<3f;?57>5$361>4373g8?=784;n3796<;?;o075?1<3f;?;7>5$361>4373g8?=764;n372?6=,;>96<;?;o075??<3f;?97>5$361>4373g8?=7o4;n370?6=,;>96<;?;o075?d<3f;?>7>5$361>4373g8?=7m4;n375?6=,;>96<;?;o075?b<3f;?<7>5$361>4373g8?=7k4;n30b?6=,;>96<;?;o075?`<3f;8i7>5$361>4373g8?=7??;:m27a<72-8?>7?:0:l104<6921d=>m50;&107<6=91e>9?51398k45e290/>9<51428j72628907b?i6;00;6)<;2;364>h5<80:965`14:94?"5<;0:9=5a253953=:6<94;n362?6=,;>96<;?;o075?7?32e:984?:%076?7282d98<4>9:9l502=83.98?4>519m617=9h10c<;<:18'614=9<:0b?:>:0`8?j72:3:1(?:=:073?k4393;h76a>4d83>!43:3;><6`=4082`>=h9=91<7*=438215=i:=;1=h54o01;>5<#:=81=8>4n362>4`<3`>86=4+250907=i:=;1<65f4083>!43:3>97c<;1;38?l27290/>9<5439m617=:21b?k4?:%076?253g8?=7=4;h6`>5<#:=818?5a25390>=n9?56:9j0<<72-8?>7:=;o075?1<3`>36=4+250907=i:=;1465f4683>!43:3>97c<;1;;8?l21290/>9<5439m617=i21b884?:%076?253g8?=7l4;h67>5<#:=818?5a2539g>=n;l0;6)<;2;61?k4393n07d8?:18'614==o1e>9?50:9j1`<72-8?>7;i;o075?7<3`?o6=4+25091c=i:=;1>65f5b83>!43:3?m7c<;1;18?l0>290/>9<55g9m617=<21b:54?:%076?3a3g8?=7;4;h44>5<#:=819k5a25392>=n>?0;6)<;2;7e?k4393=07d8::18'614==o1e>9?58:9j21<72-8?>7;i;o075??<3`<86=4+25091c=i:=;1m65f6383>!43:3?m7c<;1;`8?l06290/>9<55g9m617=k21b9o4?:%076?3a3g8?=7j4;h33b?6=,;>96<>j;o075?6<3`;;h7>5$361>46b3g8?=7?4;h33f?6=,;>96<>j;o075?4<3`;;m7>5$361>46b3g8?=7=4;h33=?6=,;>96<>j;o075?2<3`;;47>5$361>46b3g8?=7;4;h333?6=,;>96<>j;o075?0<3`;;:7>5$361>46b3g8?=794;h331?6=,;>96<>j;o075?><3`;;87>5$361>46b3g8?=774;h337?6=,;>96<>j;o075?g<3`;;>7>5$361>46b3g8?=7l4;h334?6=,;>96<>j;o075?e<3`lm6=4+250955c:6i54igg94?"5<;0:=nnm0;6)<;2;33a>h5<80m76gic;29 72528:n7c<;1;33?>oaj3:1(?:=:02f?k4393;:76gia;29 72528:n7c<;1;31?>oa13:1(?:=:02f?k4393;876gi8;29 72528:n7c<;1;37?>oa?3:1(?:=:02f?k4393;>76g>1783>!43:3;;i6`=40822>=n98?1<7*=43824`=i:=;1=:54i037>5<#:=81==k4n362>4><3`;:?7>5$361>46b3g8?=7?6;:k257<72-8?>7??e:l104<6i21b=9?51c98m477290/>9<511g8j72628i07d??c;29 72528:n7c<;1;3g?>o6880;6)<;2;33a>h5<80:i65ff783>!43:3;;i6`=4082b>=h9h81<7*=4382e4=i:=;1<65`1`294?"5<;0:m<5a25395>=h90l1<7*=4382e4=i:=;1>65`18g94?"5<;0:m<5a25397>=n9:>1<7*=438276=i:=;1<65f12094?"5<;0:?>5a25395>=n9:;1<7*=438276=i:=;1>65f12294?"5<;0:?>5a25397>=h9hk1<7*=4382e<=i:=;1<65`1`:94?"5<;0:m45a25395>=h9h=1<7*=4382e<=i:=;1>65`1`494?"5<;0:m45a25397>=h91>1<7*=4382<6=i:=;1<65`19094?"5<;0:4>5a25395>=h91:1<7*=4382<6=i:=;1>65`16d94?"5<;0:4>5a25397>=h9>o1<7*=4382<6=i:=;1865`16f94?"5<;0:4>5a25391>=h9>i1<7*=4382<6=i:=;1:65`16`94?"5<;0:4>5a25393>=h9>k1<7*=4382<6=i:=;1465`16;94?"5<;0:4>5a2539=>=h9>21<7*=4382<6=i:=;1m65`16594?"5<;0:4>5a2539f>=h9>?1<7*=4382<6=i:=;1o65`16694?"5<;0:4>5a2539`>=h9>91<7*=4382<6=i:=;1i65`16094?"5<;0:4>5a2539b>=h9>;1<7*=4382<6=i:=;1==54o053>5<#:=81=5=4n362>47<3f;=j7>5$361>4>43g8?=7?=;:m22`<72-8?>7?73:l104<6;21d=;j50;&107<60:1e>9?51598k40d290/>9<51918j72628?07b?7b;29 72528287c<;1;35?>i60h0;6)<;2;3;7>h5<80:;65`19;94?"5<;0:4>5a25395==:6<74;n3;3?6=,;>96<6<;o075?7f32e:4;4?:%076?7?;2d98<4>b:9l5=3=83.98?4>829m617=9j10c<6>:18'614=9190b?:>:0f8?j70>3:1(?:=:0:0?k4393;n76a>6c83>!43:3;3?6`=4082b>=n9=lj6=4+250951`>3g8?=7>4;h37b=<72-8?>7?;f89m617=921b=9h8:18'614=9=l27c<;1;08?l73n?0;6)<;2;37b<=i:=;1?65fde83>!43:3nh7c<;1;28?lbe290/>9<5db9m617=921bh44?:%076?bd3g8?=7<4;hf;>5<#:=81hn5a25397>=nl>0;6)<;2;f`?k4393>07dj9:18'614=lj1e>9?55:9j`0<72-8?>7jl;o075?0<3`n?6=4+2509`f=i:=;1;65fd283>!43:3nh7c<;1;:8?lb5290/>9<5db9m617=121bh<4?:%076?bd3g8?=7o4;hf3>5<#:=81hn5a2539f>=nkl0;6)<;2;f`?k4393i07dmk:18'614=lj1e>9?5d:9jgf<72-8?>7jl;o075?c<3`ii6=4+2509`f=i:=;1j65fc`83>!43:3nh7c<;1;33?>od13:1(?:=:ea8j72628;07dm7:18'614=lj1e>9?51398mf1=83.98?4kc:l104<6;21bo;4?:%076?bd3g8?=7?;;:k`1?6=,;>96im4n362>43<3`o?6=4+2509`f=i:=;1=;54id194?"5<;0oo6`=40823>=nm;0;6)<;2;f`?k4393;376gj1;29 7252mi0b?:>:0;8?lc7290/>9<5db9m617=9h10eih50;&107b:9j``<72-8?>7jl;o075?7d32com7>5$361>ae:65<#:=81hn5a25395`=1<7*=438gg>h5<80:j65rb7f3>57e=;909h7<9:37962<5m38?6p*=3e8213`h6>h0;7c?6d;28 4d128hh7)?m7;3ag>"6lh0=7)?kb;48 4bd2?1/=ij56:&2``<13-;oj784$0g3>3=#9l;1:6*>e285?!7b<3<0(2.:i:49;%3f"6mk0=7)?jc;48 4cc2?1/=hk56:&2ac<13-;m<784$0d2>3=#9o81:6*>f285?!7a<3<0(2.:j:49;%3e"6nk0=7)?ic;48 4`c2?1/=kk56:&2bc<13-8;<784$322>3=#:981:6*=0285?!47<3<0(?>::79'650=>2.9<:49;%03"58k0=7)=k56:&14c<13-8:<784$332>3=#:881:6*=1285?!46<3<0(??::79'640=>2.9=:49;%02"59k0=7)<>c;48 77c2?1/>3=#:;81:6*=2286?!45<3?0(?<::31a?!42;38=86*=5584g>"5=<042am2.:9=>515df?l43l3:17d69:188m4d32900e?;>:188m=e=831b>9k50;9j606=831b=o<50;9j57e=83.98?4>2c9m617=821b=?o50;&107<6:k1e>9?51:9j57?=83.98?4>2c9m617=:21b=?650;&107<6:k1e>9?53:9j51`5290/>9<515d2?k4393:07d?;f183>!43:3;?j<5a25395>=n9=om6=4+250951`63g8?=7<4;h37a`<72-8?>7?;f09m617=;21d=8?50;&107<6=91e>9?50:9l51`=83.98?4>519m617=921d=9j50;&107<6=91e>9?52:9l51e=83.98?4>519m617=;21d=9l50;&107<6=91e>9?54:9l51g=83.98?4>519m617==21d=9750;&107<6=91e>9?56:9l51>=83.98?4>519m617=?21d=9950;&107<6=91e>9?58:9l510=83.98?4>519m617=121d=9;50;&107<6=91e>9?5a:9l512=83.98?4>519m617=j21d=9<50;&107<6=91e>9?5c:9l517=83.98?4>519m617=l21d=9>50;&107<6=91e>9?5e:9l56`=83.98?4>519m617=n21d=>k50;&107<6=91e>9?51198k45c290/>9<51428j72628;07b?i6;k0;6)<;2;364>h5<80:?65`12c94?"5<;0:9=5a253951=:6<;4;n3696<;?;o075?7132e:9:4?:%076?7282d98<4>7:9l500=83.98?4>519m617=9110c<;::18'614=9<:0b?:>:0;8?j72<3:1(?:=:073?k4393;j76a>5283>!43:3;><6`=4082f>=h9<81<7*=438215=i:=;1=n54o06f>5<#:=81=8>4n362>4b<3f;??7>5$361>4373g8?=7?j;:m27=<72-8?>7?:0:l104<6n21b8>4?:%076?253g8?=7>4;h62>5<#:=818?5a25395>=n<90;6)<;2;61?k4393807d=i:18'614=<;1e>9?53:9j0f<72-8?>7:=;o075?2<3`>i6=4+250907=i:=;1965f4`83>!43:3>97c<;1;48?l2>290/>9<5439m617=?21b854?:%076?253g8?=764;h64>5<#:=818?5a2539=>=n9?5b:9j01<72-8?>7:=;o075?e<3`9n6=4+250907=i:=;1h65f6183>!43:3?m7c<;1;28?l3b290/>9<55g9m617=921b9i4?:%076?3a3g8?=7<4;h7`>5<#:=819k5a25397>=n>00;6)<;2;7e?k4393>07d87:18'614==o1e>9?55:9j22<72-8?>7;i;o075?0<3`<=6=4+25091c=i:=;1;65f6483>!43:3?m7c<;1;:8?l03290/>9<55g9m617=121b:>4?:%076?3a3g8?=7o4;h41>5<#:=819k5a2539f>=n>80;6)<;2;7e?k4393i07d;m:18'614==o1e>9?5d:9j55`=83.98?4>0d9m617=821b==j50;&107<68l1e>9?51:9j55d=83.98?4>0d9m617=:21b==o50;&107<68l1e>9?53:9j55?=83.98?4>0d9m617=<21b==650;&107<68l1e>9?55:9j551=83.98?4>0d9m617=>21b==850;&107<68l1e>9?57:9j553=83.98?4>0d9m617=021b==:50;&107<68l1e>9?59:9j555=83.98?4>0d9m617=i21b==<50;&107<68l1e>9?5b:9j556=83.98?4>0d9m617=k21bjk4?:%076?77m2d98<4k;:kea?6=,;>96<>j;o075?c<3`lo6=4+250955c:6k54iga94?"5<;0:54ig:94?"5<;0:5<#:=81==k4n362>40<3`;:97>5$361>46b3g8?=7?8;:k251<72-8?>7??e:l104<6021b=<=50;&107<68l1e>9?51898m475290/>9<511g8j72628k07d?>1;29 72528:n7c<;1;3a?>o6990;6)<;2;33a>h5<80:o65f11a94?"5<;0::65<#:=81==k4n362>4`<3f;j>7>5$361>4g63g8?=7>4;n3b4?6=,;>96;o075?7<3f;2j7>5$361>4g63g8?=7<4;n3:a?6=,;>96;o075?5<3`;887>5$361>4543g8?=7>4;h306?6=,;>96<=<;o075?7<3`;8=7>5$361>4543g8?=7<4;h304?6=,;>96<=<;o075?5<3f;jm7>5$361>4g>3g8?=7>4;n3b965$361>4g>3g8?=7<4;n3b2?6=,;>965$361>4>43g8?=7>4;n3;6?6=,;>96<6<;o075?7<3f;3<7>5$361>4>43g8?=7<4;n34b?6=,;>96<6<;o075?5<3f;5$361>4>43g8?=7:4;n34`?6=,;>96<6<;o075?3<3f;5$361>4>43g8?=784;n34f?6=,;>96<6<;o075?1<3f;5$361>4>43g8?=764;n34=?6=,;>96<6<;o075??<3f;<47>5$361>4>43g8?=7o4;n343?6=,;>96<6<;o075?d<3f;<97>5$361>4>43g8?=7m4;n340?6=,;>96<6<;o075?b<3f;5$361>4>43g8?=7k4;n346?6=,;>96<6<;o075?`<3f;<=7>5$361>4>43g8?=7??;:m235<72-8?>7?73:l104<6921d=;h50;&107<60:1e>9?51398k40b290/>9<51918j72628907b?9d;29 72528287c<;1;37?>i6>j0;6)<;2;3;7>h5<80:965`19`94?"5<;0:4>5a253953=:6<94;n3;=?6=,;>96<6<;o075?7?32e:454?:%076?7?;2d98<4>9:9l5=1=83.98?4>829m617=9h10c<69:18'614=9190b?:>:0`8?j7?=3:1(?:=:0:0?k4393;h76a>8083>!43:3;3?6`=4082`>=h9><1<7*=4382<6=i:=;1=h54o04a>5<#:=81=5=4n362>4`<3`;?jl4?:%076?73n01e>9?50:9j51`?290/>9<515d:?k4393;07d?;f683>!43:3;?j45a25396>=n9=l=6=4+250951`>3g8?=7=4;hfg>5<#:=81hn5a25394>=nlk0;6)<;2;f`?k4393;07dj6:18'614=lj1e>9?52:9j`=<72-8?>7jl;o075?5<3`n<6=4+2509`f=i:=;1865fd783>!43:3nh7c<;1;78?lb2290/>9<5db9m617=>21bh94?:%076?bd3g8?=794;hf0>5<#:=81hn5a2539<>=nl;0;6)<;2;f`?k4393307dj>:18'614=lj1e>9?5a:9j`5<72-8?>7jl;o075?d<3`in6=4+2509`f=i:=;1o65fce83>!43:3nh7c<;1;f8?led290/>9<5db9m617=m21boo4?:%076?bd3g8?=7h4;hab>5<#:=81hn5a253955=h5<80:=65fc983>!43:3nh7c<;1;31?>od?3:1(?:=:ea8j72628907dm9:18'614=lj1e>9?51598mf3=83.98?4kc:l104<6=21bi94?:%076?bd3g8?=7?9;:kf7?6=,;>96im4n362>41<3`o96=4+2509`f=i:=;1=554id394?"5<;0oo6`=4082=>=nm90;6)<;2;f`?k4393;j76gkf;29 7252mi0b?:>:0`8?lbb290/>9<5db9m617=9j10eio50;&107d:9jgc<72-8?>7jl;o075?7b32ch87>5$361>ae:6t$244>72f3A;><>5G15gb?_1>2jq:97?9:3`96c<5k39;6?j527811?402;o1>94r$31g>431n2dh=7?=;oa1>44"6j?0:nn5+1c595ge<,8nj6;5+1e`92>"6lj0=7)?kd;48 4bb2?1/=ih56:&2a5<13-;n=784$0g0>3=#9l>1:6*>e485?!7b>3<0(=>2.:i449;%3fe?0<,8oi6;5+1da92>"6mm0=7)?je;48 4ca2?1/=k>56:&2b4<13-;m>784$0d0>3=#9o>1:6*>f485?!7a>3<0(=>2.:j449;%3ee?0<,8li6;5+1ga92>"6nm0=7)?ie;48 4`a2?1/>=>56:&144<13-8;>784$320>3=#:9>1:6*=0485?!47>3<0(?>8:79'65>=>2.9<449;%03e?0<,;:i6;5+21a92>"58m0=7)<>56:&154<13-8:>784$330>3=#:8>1:6*=1485?!46>3<0(??8:79'64>=>2.9=449;%02e?0<,;;i6;5+20a92>"59m0=7)<>e;48 77a2?1/>?>56:&164<13-89>784$300>0=#:;>196*=24817g=#:<91>;:4$377>2e<,;?>6:m4$37:>42bl2.99l4=5b9'637==2.9:?4:;%37bc<6>o6j=0;66g=5083>>o?k3:17d<;e;29?l4283:17d?m2;29?l75k3:1(?:=:00a?k4393:07d?=a;29 725288i7c<;1;38?l7513:1(?:=:00a?k4393807d?=8;29 725288i7c<;1;18?l73n;0;6)<;2;37b4=i:=;1<65f15d3>5<#:=81=9h>;o075?7<3`;?ik4?:%076?73n81e>9?52:9j51cb290/>9<515d2?k4393907b?:1;29 72528?;7c<;1;28?j73n3:1(?:=:073?k4393;07b?;d;29 72528?;7c<;1;08?j73k3:1(?:=:073?k4393907b?;b;29 72528?;7c<;1;68?j73i3:1(?:=:073?k4393?07b?;9;29 72528?;7c<;1;48?j7303:1(?:=:073?k4393=07b?;7;29 72528?;7c<;1;:8?j73>3:1(?:=:073?k4393307b?;5;29 72528?;7c<;1;c8?j73<3:1(?:=:073?k4393h07b?;2;29 72528?;7c<;1;a8?j7393:1(?:=:073?k4393n07b?;0;29 72528?;7c<;1;g8?j74n3:1(?:=:073?k4393l07b?i6;m0;6)<;2;364>h5<80:=65`12a94?"5<;0:9=5a253957=:6<=4;n30e?6=,;>96<;?;o075?7332e:?44?:%076?7282d98<4>5:9l50>=83.98?4>519m617=9?10c<;8:18'614=9<:0b?:>:058?j72>3:1(?:=:073?k4393;376a>5483>!43:3;><6`=4082=>=h9<>1<7*=438215=i:=;1=l54o070>5<#:=81=8>4n362>4d<3f;>>7>5$361>4373g8?=7?l;:m20`<72-8?>7?:0:l104<6l21d=9=50;&107<6=91e>9?51d98k45?290/>9<51428j72628l07d:<:18'614=<;1e>9?50:9j04<72-8?>7:=;o075?7<3`>;6=4+250907=i:=;1>65f3g83>!43:3>97c<;1;18?l2d290/>9<5439m617=<21b8o4?:%076?253g8?=7;4;h6b>5<#:=818?5a25392>=n<00;6)<;2;61?k4393=07d:7:18'614=<;1e>9?58:9j02<72-8?>7:=;o075??<3`>=6=4+250907=i:=;1m65f4483>!43:3>97c<;1;`8?l23290/>9<5439m617=k21b?h4?:%076?253g8?=7j4;h43>5<#:=819k5a25394>=n=l0;6)<;2;7e?k4393;07d;k:18'614==o1e>9?52:9j1f<72-8?>7;i;o075?5<3`<26=4+25091c=i:=;1865f6983>!43:3?m7c<;1;78?l00290/>9<55g9m617=>21b:;4?:%076?3a3g8?=794;h46>5<#:=819k5a2539<>=n>=0;6)<;2;7e?k4393307d8<:18'614==o1e>9?5a:9j27<72-8?>7;i;o075?d<3`<:6=4+25091c=i:=;1o65f5c83>!43:3?m7c<;1;f8?l77n3:1(?:=:02f?k4393:07d??d;29 72528:n7c<;1;38?l77j3:1(?:=:02f?k4393807d??a;29 72528:n7c<;1;18?l7713:1(?:=:02f?k4393>07d??8;29 72528:n7c<;1;78?l77?3:1(?:=:02f?k4393<07d??6;29 72528:n7c<;1;58?l77=3:1(?:=:02f?k4393207d??4;29 72528:n7c<;1;;8?l77;3:1(?:=:02f?k4393k07d??2;29 72528:n7c<;1;`8?l7783:1(?:=:02f?k4393i07dhi:18'614=99o0b?:>:e98mcc=83.98?4>0d9m617=m21bji4?:%076?77m2d98<4i;:keg?6=,;>96<>j;o075?7732cmn7>5$361>46b3g8?=7?>;:kee?6=,;>96<>j;o075?7532cm57>5$361>46b3g8?=7?<;:ke96<>j;o075?7332cm;7>5$361>46b3g8?=7?:;:k253<72-8?>7??e:l104<6>21b=<;50;&107<68l1e>9?51698m473290/>9<511g8j72628207d?>3;29 72528:n7c<;1;3:?>o69;0;6)<;2;33a>h5<80:m65f10394?"5<;0::696<>j;o075?7c32c:<<4?:%076?77m2d98<4>e:9jb3<72-8?>7??e:l104<6n21d=l<50;&107<6i81e>9?50:9l5d6=83.98?4>a09m617=921d=4h50;&107<6i81e>9?52:9l5a09m617=;21b=>:50;&107<6;:1e>9?50:9j564=83.98?4>329m617=921b=>?50;&107<6;:1e>9?52:9j566=83.98?4>329m617=;21d=lo50;&107<6i01e>9?50:9l5d>=83.98?4>a89m617=921d=l950;&107<6i01e>9?52:9l5d0=83.98?4>a89m617=;21d=5:50;&107<60:1e>9?50:9l5=4=83.98?4>829m617=921d=5>50;&107<60:1e>9?52:9l52`=83.98?4>829m617=;21d=:k50;&107<60:1e>9?54:9l52b=83.98?4>829m617==21d=:m50;&107<60:1e>9?56:9l52d=83.98?4>829m617=?21d=:o50;&107<60:1e>9?58:9l52?=83.98?4>829m617=121d=:650;&107<60:1e>9?5a:9l521=83.98?4>829m617=j21d=:;50;&107<60:1e>9?5c:9l522=83.98?4>829m617=l21d=:=50;&107<60:1e>9?5e:9l524=83.98?4>829m617=n21d=:?50;&107<60:1e>9?51198k417290/>9<51918j72628;07b?9f;29 72528287c<;1;31?>i6>l0;6)<;2;3;7>h5<80:?65`17f94?"5<;0:4>5a253951=:6<;4;n3;f?6=,;>96<6<;o075?7132e:4l4?:%076?7?;2d98<4>7:9l5=?=83.98?4>829m617=9110c<67:18'614=9190b?:>:0;8?j7??3:1(?:=:0:0?k4393;j76a>8783>!43:3;3?6`=4082f>=h91?1<7*=4382<6=i:=;1=n54o0:2>5<#:=81=5=4n362>4b<3f;<:7>5$361>4>43g8?=7?j;:m22g<72-8?>7?73:l104<6n21b=9hn:18'614=9=l27c<;1;28?l73n10;6)<;2;37b<=i:=;1=65f15d4>5<#:=81=9h6;o075?4<3`;?j;4?:%076?73n01e>9?53:9j`a<72-8?>7jl;o075?6<3`ni6=4+2509`f=i:=;1=65fd883>!43:3nh7c<;1;08?lb?290/>9<5db9m617=;21bh:4?:%076?bd3g8?=7:4;hf5>5<#:=81hn5a25391>=nl<0;6)<;2;f`?k4393<07dj;:18'614=lj1e>9?57:9j`6<72-8?>7jl;o075?><3`n96=4+2509`f=i:=;1565fd083>!43:3nh7c<;1;c8?lb7290/>9<5db9m617=j21boh4?:%076?bd3g8?=7m4;hag>5<#:=81hn5a2539`>=nkj0;6)<;2;f`?k4393o07dmm:18'614=lj1e>9?5f:9jgd<72-8?>7jl;o075?7732ch57>5$361>ae:65<#:=81hn5a253957=h5<80:?65fc783>!43:3nh7c<;1;37?>od=3:1(?:=:ea8j72628?07dk;:18'614=lj1e>9?51798m`5=83.98?4kc:l104<6?21bi?4?:%076?bd3g8?=7?7;:kf5?6=,;>96im4n362>4?<3`o;6=4+2509`f=i:=;1=l54ied94?"5<;0oo6`=4082f>=nll0;6)<;2;f`?k4393;h76gka;29 7252mi0b?:>:0f8?lea290/>9<5db9m617=9l10en:50;&107f:9~f3b2290io7>50z&022<5<;I37ad=]?00hw<;51781f?4a2;i1?=4=d;05>73=:>09i7<;:|&17a<6=?l0bn?5139mg7<6:2d::l4?;o3:`?6<,8h=6"6ll0=7)?kf;48 4c72?1/=h?56:&2a6<13-;n8784$0g6>3=#9l<1:6*>e685?!7b03<0(2.:io49;%3fg?0<,8oo6;5+1dg92>"6mo0=7)?i0;48 4`62?1/=k<56:&2b6<13-;m8784$0d6>3=#9o<1:6*>f685?!7a03<0(2.:jo49;%3eg?0<,8lo6;5+1gg92>"6no0=7)=<56:&146<13-8;8784$326>3=#:9<1:6*=0685?!4703<0(?>6:79'65g=>2.9"58o0=7)<>0;48 7762?1/><<56:&156<13-8:8784$336>3=#:8<1:6*=1685?!4603<0(??6:79'64g=>2.9=o49;%02g?0<,;;o6;5+20g92>"59o0=7)<=0;48 7462?1/>?<56:&166<23-8987;4$306>75e3-8>?7<94:&111<0k2.99848c:&11<<6mi6*>512951`b3`8?h7>5;h:5>5<5<o5a25395>=n9;31<7*=43826g=i:=;1>65f13:94?"5<;0:>o5a25397>=n9=l96=4+250951`63g8?=7>4;h37b5<72-8?>7?;f09m617=921b=9ki:18'614=9=l:7c<;1;08?l73ml0;6)<;2;37b4=i:=;1?65`14394?"5<;0:9=5a25394>=h9=l1<7*=438215=i:=;1=65`15f94?"5<;0:9=5a25396>=h9=i1<7*=438215=i:=;1?65`15`94?"5<;0:9=5a25390>=h9=k1<7*=438215=i:=;1965`15;94?"5<;0:9=5a25392>=h9=21<7*=438215=i:=;1;65`15594?"5<;0:9=5a2539<>=h9=<1<7*=438215=i:=;1565`15794?"5<;0:9=5a2539e>=h9=>1<7*=438215=i:=;1n65`15094?"5<;0:9=5a2539g>=h9=;1<7*=438215=i:=;1h65`15294?"5<;0:9=5a2539a>=h9:l1<7*=438215=i:=;1j65`12g94?"5<;0:9=5a253955=:696<;?;o075?7532e:?o4?:%076?7282d98<4>3:9l56g=83.98?4>519m617=9=10c<=6:18'614=9<:0b?:>:078?j7203:1(?:=:073?k4393;=76a>5683>!43:3;><6`=40823>=h9<<1<7*=438215=i:=;1=554o076>5<#:=81=8>4n362>4?<3f;>87>5$361>4373g8?=7?n;:m216<72-8?>7?:0:l104<6j21d=8<50;&107<6=91e>9?51b98k42b290/>9<51428j72628n07b?;3;29 72528?;7c<;1;3f?>i6;10;6)<;2;364>h5<80:j65f4283>!43:3>97c<;1;28?l26290/>9<5439m617=921b8=4?:%076?253g8?=7<4;h1e>5<#:=818?5a25397>=n07d:m:18'614=<;1e>9?55:9j0d<72-8?>7:=;o075?0<3`>26=4+250907=i:=;1;65f4983>!43:3>97c<;1;:8?l20290/>9<5439m617=121b8;4?:%076?253g8?=7o4;h66>5<#:=818?5a2539f>=n<=0;6)<;2;61?k4393i07d=j:18'614=<;1e>9?5d:9j25<72-8?>7;i;o075?6<3`?n6=4+25091c=i:=;1=65f5e83>!43:3?m7c<;1;08?l3d290/>9<55g9m617=;21b:44?:%076?3a3g8?=7:4;h4;>5<#:=819k5a25391>=n>>0;6)<;2;7e?k4393<07d89:18'614==o1e>9?57:9j20<72-8?>7;i;o075?><3`!43:3?m7c<;1;c8?l05290/>9<55g9m617=j21b:<4?:%076?3a3g8?=7m4;h7a>5<#:=819k5a2539`>=n99l1<7*=43824`=i:=;1<65f11f94?"5<;0:=n99h1<7*=43824`=i:=;1>65f11c94?"5<;0:=n9931<7*=43824`=i:=;1865f11:94?"5<;0:=n99=1<7*=43824`=i:=;1:65f11494?"5<;0:=n99?1<7*=43824`=i:=;1465f11694?"5<;0:=n9991<7*=43824`=i:=;1m65f11094?"5<;0:=n99:1<7*=43824`=i:=;1o65ffg83>!43:3;;i6`=408g?>oam3:1(?:=:02f?k4393o07dhk:18'614=99o0b?:>:g98mce=83.98?4>0d9m617=9910ekl50;&107<68l1e>9?51098mcg=83.98?4>0d9m617=9;10ek750;&107<68l1e>9?51298mc>=83.98?4>0d9m617=9=10ek950;&107<68l1e>9?51498m471290/>9<511g8j72628<07d?>5;29 72528:n7c<;1;34?>o69=0;6)<;2;33a>h5<80:465f10194?"5<;0::696<>j;o075?7e32c:==4?:%076?77m2d98<4>c:9j55e=83.98?4>0d9m617=9m10e<>>:18'614=99o0b?:>:0g8?l`1290/>9<511g8j72628l07b?n2;29 72528k:7c<;1;28?j7f83:1(?:=:0c2?k4393;07b?6f;29 72528k:7c<;1;08?j7>m3:1(?:=:0c2?k4393907d?<4;29 72528987c<;1;28?l74:3:1(?:=:010?k4393;07d?<1;29 72528987c<;1;08?l7483:1(?:=:010?k4393907b?na;29 72528k27c<;1;28?j7f03:1(?:=:0c:?k4393;07b?n7;29 72528k27c<;1;08?j7f>3:1(?:=:0c:?k4393907b?74;29 72528287c<;1;28?j7?:3:1(?:=:0:0?k4393;07b?70;29 72528287c<;1;08?j70n3:1(?:=:0:0?k4393907b?8e;29 72528287c<;1;68?j70l3:1(?:=:0:0?k4393?07b?8c;29 72528287c<;1;48?j70j3:1(?:=:0:0?k4393=07b?8a;29 72528287c<;1;:8?j7013:1(?:=:0:0?k4393307b?88;29 72528287c<;1;c8?j70?3:1(?:=:0:0?k4393h07b?85;29 72528287c<;1;a8?j70<3:1(?:=:0:0?k4393n07b?83;29 72528287c<;1;g8?j70:3:1(?:=:0:0?k4393l07b?81;29 72528287c<;1;33?>i6?90;6)<;2;3;7>h5<80:=65`17d94?"5<;0:4>5a253957=:6<=4;n35`?6=,;>96<6<;o075?7332e::n4?:%076?7?;2d98<4>5:9l5=d=83.98?4>829m617=9?10c<6n:18'614=9190b?:>:058?j7?13:1(?:=:0:0?k4393;376a>8983>!43:3;3?6`=4082=>=h91=1<7*=4382<6=i:=;1=l54o0:5>5<#:=81=5=4n362>4d<3f;397>5$361>4>43g8?=7?l;:m2<4<72-8?>7?73:l104<6l21d=:850;&107<60:1e>9?51d98k40e290/>9<51918j72628l07d?;f`83>!43:3;?j45a25394>=n9=l36=4+250951`>3g8?=7?4;h37b2<72-8?>7?;f89m617=:21b=9h9:18'614=9=l27c<;1;18?lbc290/>9<5db9m617=821bho4?:%076?bd3g8?=7?4;hf:>5<#:=81hn5a25396>=nl10;6)<;2;f`?k4393907dj8:18'614=lj1e>9?54:9j`3<72-8?>7jl;o075?3<3`n>6=4+2509`f=i:=;1:65fd583>!43:3nh7c<;1;58?lb4290/>9<5db9m617=021bh?4?:%076?bd3g8?=774;hf2>5<#:=81hn5a2539e>=nl90;6)<;2;f`?k4393h07dmj:18'614=lj1e>9?5c:9jga<72-8?>7jl;o075?b<3`ih6=4+2509`f=i:=;1i65fcc83>!43:3nh7c<;1;d8?lef290/>9<5db9m617=9910en750;&1071:9jg=<72-8?>7jl;o075?7532ch;7>5$361>ae:6<=4;ha5>5<#:=81hn5a253951=h5<80:965fe583>!43:3nh7c<;1;35?>ob;3:1(?:=:ea8j72628=07dk=:18'614=lj1e>9?51998m`7=83.98?4kc:l104<6121bi=4?:%076?bd3g8?=7?n;:kgb?6=,;>96im4n362>4d<3`nn6=4+2509`f=i:=;1=n54iec94?"5<;0oo6`=4082`>=nko0;6)<;2;f`?k4393;n76gl4;29 7252mi0b?:>:0d8?xd1l10;6om50;2x 6002;>j7E?:029K51cf3S=26nu>5;35>7d=:o09o7=?:3f963<5=38<6?k5258~ 75c28?=j6`l1;31?ke52880b<8n:19m5bb9'5g1=9ki0(2.:hn49;%3g`?0<,8nn6;5+1ed92>"6m90=7)?j1;48 4c42?1/=h:56:&2a0<13-;n:784$0g4>3=#9l21:6*>e885?!7bi3<0(2.:ii49;%3fa?0<,8om6;5+1g292>"6n80=7)?i2;48 4`42?1/=k:56:&2b0<13-;m:784$0d4>3=#9o21:6*>f885?!7ai3<0(2.:ji49;%3ea?0<,8lm6;5+21292>"5880=7)=:56:&140<13-8;:784$324>3=#:921:6*=0885?!47i3<0(?>m:79'65e=>2.9"5980=7)<>2;48 7742?1/><:56:&150<13-8::784$334>3=#:821:6*=1885?!46i3<0(??m:79'64e=>2.9=i49;%02a?0<,;;m6;5+23292>"5:80=7)<=2;48 7442<1/>?:55:&160<5;k1/>8=52768 7332>i0(?;::6a8 73>28>nh6*=5`811f=#:?;196*=6386?!73no0:8kk4$0734?73nl1b>9j50;9j<3<722c:n94?::k114<722c3o7>5;h07a?6=3`8><7>5;h3a6?6=3`;9o7>5$361>44e3g8?=7>4;h31e?6=,;>96<5$361>44e3g8?=7<4;h3196<9?50:9j51`7290/>9<515d2?k4393;07d?;eg83>!43:3;?j<5a25396>=n9=on6=4+250951`63g8?=7=4;n365?6=,;>96<;?;o075?6<3f;?j7>5$361>4373g8?=7?4;n37`?6=,;>96<;?;o075?4<3f;?o7>5$361>4373g8?=7=4;n37f?6=,;>96<;?;o075?2<3f;?m7>5$361>4373g8?=7;4;n37=?6=,;>96<;?;o075?0<3f;?47>5$361>4373g8?=794;n373?6=,;>96<;?;o075?><3f;?:7>5$361>4373g8?=774;n371?6=,;>96<;?;o075?g<3f;?87>5$361>4373g8?=7l4;n376?6=,;>96<;?;o075?e<3f;?=7>5$361>4373g8?=7j4;n374?6=,;>96<;?;o075?c<3f;8j7>5$361>4373g8?=7h4;n30a?6=,;>96<;?;o075?7732e:?i4?:%076?7282d98<4>1:9l56e=83.98?4>519m617=9;10c<=m:18'614=9<:0b?:>:018?j74i3:1(?:=:073?k4393;?76a>3883>!43:3;><6`=40821>=h9<21<7*=438215=i:=;1=;54o074>5<#:=81=8>4n362>41<3f;>:7>5$361>4373g8?=7?7;:m210<72-8?>7?:0:l104<6121d=8:50;&107<6=91e>9?51`98k434290/>9<51428j72628h07b?:2;29 72528?;7c<;1;3`?>i6h5<80:h65`15194?"5<;0:9=5a25395`=:65<#:=818?5a25394>=n<80;6)<;2;61?k4393;07d:?:18'614=<;1e>9?52:9j7c<72-8?>7:=;o075?5<3`>h6=4+250907=i:=;1865f4c83>!43:3>97c<;1;78?l2f290/>9<5439m617=>21b844?:%076?253g8?=794;h6;>5<#:=818?5a2539<>=n<>0;6)<;2;61?k4393307d:9:18'614=<;1e>9?5a:9j00<72-8?>7:=;o075?d<3`>?6=4+250907=i:=;1o65f3d83>!43:3>97c<;1;f8?l07290/>9<55g9m617=821b9h4?:%076?3a3g8?=7?4;h7g>5<#:=819k5a25396>=n=j0;6)<;2;7e?k4393907d86:18'614==o1e>9?54:9j2=<72-8?>7;i;o075?3<3`<<6=4+25091c=i:=;1:65f6783>!43:3?m7c<;1;58?l02290/>9<55g9m617=021b:94?:%076?3a3g8?=774;h40>5<#:=819k5a2539e>=n>;0;6)<;2;7e?k4393h07d8>:18'614==o1e>9?5c:9j1g<72-8?>7;i;o075?b<3`;;j7>5$361>46b3g8?=7>4;h33`?6=,;>96<>j;o075?7<3`;;n7>5$361>46b3g8?=7<4;h33e?6=,;>96<>j;o075?5<3`;;57>5$361>46b3g8?=7:4;h3396<>j;o075?3<3`;;;7>5$361>46b3g8?=784;h332?6=,;>96<>j;o075?1<3`;;97>5$361>46b3g8?=764;h330?6=,;>96<>j;o075??<3`;;?7>5$361>46b3g8?=7o4;h336?6=,;>96<>j;o075?d<3`;;<7>5$361>46b3g8?=7m4;hde>5<#:=81==k4n362>a=!43:3;;i6`=408e?>oak3:1(?:=:02f?k4393;;76gib;29 72528:n7c<;1;32?>oai3:1(?:=:02f?k4393;976gi9;29 72528:n7c<;1;30?>oa03:1(?:=:02f?k4393;?76gi7;29 72528:n7c<;1;36?>o69?0;6)<;2;33a>h5<80::65f10794?"5<;0::6<64;h327?6=,;>96<>j;o075?7>32c:=?4?:%076?77m2d98<4>a:9j547=83.98?4>0d9m617=9k10e:0a8?l77k3:1(?:=:02f?k4393;o76g>0083>!43:3;;i6`=4082a>=nn?0;6)<;2;33a>h5<80:j65`1`094?"5<;0:m<5a25394>=h9h:1<7*=4382e4=i:=;1=65`18d94?"5<;0:m<5a25396>=h90o1<7*=4382e4=i:=;1?65f12694?"5<;0:?>5a25394>=n9:81<7*=438276=i:=;1=65f12394?"5<;0:?>5a25396>=n9::1<7*=438276=i:=;1?65`1`c94?"5<;0:m45a25394>=h9h21<7*=4382e<=i:=;1=65`1`594?"5<;0:m45a25396>=h9h<1<7*=4382e<=i:=;1?65`19694?"5<;0:4>5a25394>=h9181<7*=4382<6=i:=;1=65`19294?"5<;0:4>5a25396>=h9>l1<7*=4382<6=i:=;1?65`16g94?"5<;0:4>5a25390>=h9>n1<7*=4382<6=i:=;1965`16a94?"5<;0:4>5a25392>=h9>h1<7*=4382<6=i:=;1;65`16c94?"5<;0:4>5a2539<>=h9>31<7*=4382<6=i:=;1565`16:94?"5<;0:4>5a2539e>=h9>=1<7*=4382<6=i:=;1n65`16794?"5<;0:4>5a2539g>=h9>>1<7*=4382<6=i:=;1h65`16194?"5<;0:4>5a2539a>=h9>81<7*=4382<6=i:=;1j65`16394?"5<;0:4>5a253955=:696<6<;o075?7532e::h4?:%076?7?;2d98<4>3:9l53b=83.98?4>829m617=9=10c<8l:18'614=9190b?:>:078?j7?j3:1(?:=:0:0?k4393;=76a>8`83>!43:3;3?6`=40823>=h9131<7*=4382<6=i:=;1=554o0:;>5<#:=81=5=4n362>4?<3f;3;7>5$361>4>43g8?=7?n;:m2<3<72-8?>7?73:l104<6j21d=5;50;&107<60:1e>9?51b98k4>6290/>9<51918j72628n07b?86;29 72528287c<;1;3f?>i6>k0;6)<;2;3;7>h5<80:j65f15db>5<#:=81=9h6;o075?6<3`;?j54?:%076?73n01e>9?51:9j51`0290/>9<515d:?k4393807d?;f783>!43:3;?j45a25397>=nlm0;6)<;2;f`?k4393:07djm:18'614=lj1e>9?51:9j`<<72-8?>7jl;o075?4<3`n36=4+2509`f=i:=;1?65fd683>!43:3nh7c<;1;68?lb1290/>9<5db9m617==21bh84?:%076?bd3g8?=784;hf7>5<#:=81hn5a25393>=nl:0;6)<;2;f`?k4393207dj=:18'614=lj1e>9?59:9j`4<72-8?>7jl;o075?g<3`n;6=4+2509`f=i:=;1n65fcd83>!43:3nh7c<;1;a8?lec290/>9<5db9m617=l21bon4?:%076?bd3g8?=7k4;haa>5<#:=81hn5a2539b>=nkh0;6)<;2;f`?k4393;;76gl9;29 7252mi0b?:>:038?le?290/>9<5db9m617=9;10en950;&1073:9jg3<72-8?>7jl;o075?7332ch97>5$361>ae:6<;4;hg7>5<#:=81hn5a253953=h5<80:;65fe383>!43:3nh7c<;1;3;?>ob93:1(?:=:ea8j72628307dk?:18'614=lj1e>9?51`98ma`=83.98?4kc:l104<6j21bhh4?:%076?bd3g8?=7?l;:kge?6=,;>96im4n362>4b<3`im6=4+2509`f=i:=;1=h54ib694?"5<;0oo6`=4082b>=zj?ni6=4mc;294~"4>>098l5G1420?M73mh1Q;44l{07953<5j38m6?m53181`?412;?1>:4=e;07>x"5;m0:9;h4nb3957=ik;0:>6`>6`83?k7>l3:0(d`85?!7cj3<0(2.:hh49;%3gb?0<,8o;6;5+1d392>"6m:0=7)?j4;48 4c22?1/=h856:&2a2<13-;n4784$0g:>3=#9lk1:6*>ec85?!7bk3<0(2.:ik49;%3e4?0<,8l:6;5+1g092>"6n:0=7)?i4;48 4`22?1/=k856:&2b2<13-;m4784$0d:>3=#9ok1:6*>fc85?!7ak3<0(2.:jk49;%034?0<,;::6;5+21092>"58:0=7)=856:&142<13-8;4784$32:>3=#:9k1:6*=0c85?!47k3<0(?>k:79'65c=>2.9"59:0=7)<>4;48 7722?1/><856:&152<13-8:4784$33:>3=#:8k1:6*=1c85?!46k3<0(??k:79'64c=>2.9=k49;%014?0<,;8:6;5+23092>"5::0>7)<=4;78 7422;9i7)<:3;050>"5==0"5=00:8hj4$37b>73d3-8==7;4$341>0=#9=lm6<:ie:&2156=9=ln7d<;d;29?l>12900e8>50;9j5g4=831b=?m50;&107<6:k1e>9?50:9j57g=83.98?4>2c9m617=921b=?750;&107<6:k1e>9?52:9j57>=83.98?4>2c9m617=;21b=9h=:18'614=9=l:7c<;1;28?l73n90;6)<;2;37b4=i:=;1=65f15ge>5<#:=81=9h>;o075?4<3`;?ih4?:%076?73n81e>9?53:9l507=83.98?4>519m617=821d=9h50;&107<6=91e>9?51:9l51b=83.98?4>519m617=:21d=9m50;&107<6=91e>9?53:9l51d=83.98?4>519m617=<21d=9o50;&107<6=91e>9?55:9l51?=83.98?4>519m617=>21d=9650;&107<6=91e>9?57:9l511=83.98?4>519m617=021d=9850;&107<6=91e>9?59:9l513=83.98?4>519m617=i21d=9:50;&107<6=91e>9?5b:9l514=83.98?4>519m617=k21d=9?50;&107<6=91e>9?5d:9l516=83.98?4>519m617=m21d=>h50;&107<6=91e>9?5f:9l56c=83.98?4>519m617=9910c<=k:18'614=9<:0b?:>:038?j74k3:1(?:=:073?k4393;976a>3c83>!43:3;><6`=40827>=h9:k1<7*=438215=i:=;1=954o01:>5<#:=81=8>4n362>43<3f;>47>5$361>4373g8?=7?9;:m212<72-8?>7?:0:l104<6?21d=8850;&107<6=91e>9?51998k432290/>9<51428j72628307b?:4;29 72528?;7c<;1;3b?>i6=:0;6)<;2;364>h5<80:n65`14094?"5<;0:9=5a25395f=n6=4+2509506:696<;?;o075?7b32e:?54?:%076?7282d98<4>f:9j06<72-8?>7:=;o075?6<3`>:6=4+250907=i:=;1=65f4183>!43:3>97c<;1;08?l5a290/>9<5439m617=;21b8n4?:%076?253g8?=7:4;h6a>5<#:=818?5a25391>=n9?57:9j0=<72-8?>7:=;o075?><3`><6=4+250907=i:=;1565f4783>!43:3>97c<;1;c8?l22290/>9<5439m617=j21b894?:%076?253g8?=7m4;h1f>5<#:=818?5a2539`>=n>90;6)<;2;7e?k4393:07d;j:18'614==o1e>9?51:9j1a<72-8?>7;i;o075?4<3`?h6=4+25091c=i:=;1?65f6883>!43:3?m7c<;1;68?l0?290/>9<55g9m617==21b::4?:%076?3a3g8?=784;h45>5<#:=819k5a25393>=n><0;6)<;2;7e?k4393207d8;:18'614==o1e>9?59:9j26<72-8?>7;i;o075?g<3`<96=4+25091c=i:=;1n65f6083>!43:3?m7c<;1;a8?l3e290/>9<55g9m617=l21b==h50;&107<68l1e>9?50:9j55b=83.98?4>0d9m617=921b==l50;&107<68l1e>9?52:9j55g=83.98?4>0d9m617=;21b==750;&107<68l1e>9?54:9j55>=83.98?4>0d9m617==21b==950;&107<68l1e>9?56:9j550=83.98?4>0d9m617=?21b==;50;&107<68l1e>9?58:9j552=83.98?4>0d9m617=121b===50;&107<68l1e>9?5a:9j554=83.98?4>0d9m617=j21b==>50;&107<68l1e>9?5c:9jbc<72-8?>7??e:l1045$361>46b3g8?=7k4;hdg>5<#:=81==k4n362>c=:6<84;h321?6=,;>96<>j;o075?7032c:=94?:%076?77m2d98<4>8:9j545=83.98?4>0d9m617=9010e:0c8?l7693:1(?:=:02f?k4393;i76g>1183>!43:3;;i6`=4082g>=n99i1<7*=43824`=i:=;1=i54i022>5<#:=81==k4n362>4c<3`l=6=4+250955c:696;o075?6<3f;j<7>5$361>4g63g8?=7?4;n3:b?6=,;>96;o075?4<3f;2i7>5$361>4g63g8?=7=4;h300?6=,;>96<=<;o075?6<3`;8>7>5$361>4543g8?=7?4;h305?6=,;>96<=<;o075?4<3`;8<7>5$361>4543g8?=7=4;n3be?6=,;>965$361>4g>3g8?=7?4;n3b3?6=,;>965$361>4g>3g8?=7=4;n3;0?6=,;>96<6<;o075?6<3f;3>7>5$361>4>43g8?=7?4;n3;4?6=,;>96<6<;o075?4<3f;5$361>4>43g8?=7=4;n34a?6=,;>96<6<;o075?2<3f;5$361>4>43g8?=7;4;n34g?6=,;>96<6<;o075?0<3f;5$361>4>43g8?=794;n34e?6=,;>96<6<;o075?><3f;<57>5$361>4>43g8?=774;n3496<6<;o075?g<3f;<;7>5$361>4>43g8?=7l4;n341?6=,;>96<6<;o075?e<3f;<87>5$361>4>43g8?=7j4;n347?6=,;>96<6<;o075?c<3f;<>7>5$361>4>43g8?=7h4;n345?6=,;>96<6<;o075?7732e:;=4?:%076?7?;2d98<4>1:9l53`=83.98?4>829m617=9;10c<8j:18'614=9190b?:>:018?j71l3:1(?:=:0:0?k4393;?76a>6b83>!43:3;3?6`=40821>=h91h1<7*=4382<6=i:=;1=;54o0:b>5<#:=81=5=4n362>41<3f;357>5$361>4>43g8?=7?7;:m2<=<72-8?>7?73:l104<6121d=5950;&107<60:1e>9?51`98k4>1290/>9<51918j72628h07b?75;29 72528287c<;1;3`?>i6080;6)<;2;3;7>h5<80:h65`16494?"5<;0:4>5a25395`=:67?;f89m617=821b=9h7:18'614=9=l27c<;1;38?l73n>0;6)<;2;37b<=i:=;1>65f15d5>5<#:=81=9h6;o075?5<3`no6=4+2509`f=i:=;1<65fdc83>!43:3nh7c<;1;38?lb>290/>9<5db9m617=:21bh54?:%076?bd3g8?=7=4;hf4>5<#:=81hn5a25390>=nl?0;6)<;2;f`?k4393?07dj::18'614=lj1e>9?56:9j`1<72-8?>7jl;o075?1<3`n86=4+2509`f=i:=;1465fd383>!43:3nh7c<;1;;8?lb6290/>9<5db9m617=i21bh=4?:%076?bd3g8?=7l4;haf>5<#:=81hn5a2539g>=nkm0;6)<;2;f`?k4393n07dml:18'614=lj1e>9?5e:9jgg<72-8?>7jl;o075?`<3`ij6=4+2509`f=i:=;1==54ib;94?"5<;0oo6`=40825>=nk10;6)<;2;f`?k4393;976gl7;29 7252mi0b?:>:018?le1290/>9<5db9m617=9=10en;50;&1075:9ja1<72-8?>7jl;o075?7132cn?7>5$361>ae:6<94;hg1>5<#:=81hn5a25395==h5<80:565fe183>!43:3nh7c<;1;3b?>ocn3:1(?:=:ea8j72628h07djj:18'614=lj1e>9?51b98mag=83.98?4kc:l104<6l21bok4?:%076?bd3g8?=7?j;:k`0?6=,;>96im4n362>4`<3th=n54?:ca94?6|,:<<6?:n;I3646=O9=oj7W96:by21?712;h1>k4=c;13>7b=:?0997<8:3g9615=i90n1<6*>b782ff=#9k=1=om4$0fb>3=#9mh1:6*>db85?!7cl3<0(2.:i=49;%3f5?0<,8o86;5+1d692>"6m<0=7)?j6;48 4c02?1/=h656:&2a<<13-;nm784$0ga>3=#9li1:6*>ee85?!7bm3<0(2.:j<49;%3e6?0<,8l86;5+1g692>"6n<0=7)?i6;48 4`02?1/=k656:&2b<<13-;mm784$0da>3=#9oi1:6*>fe85?!7am3<0(2.9<<49;%036?0<,;:86;5+21692>"58<0=7)=656:&14<<13-8;m784$32a>3=#:9i1:6*=0e85?!47m3<0(?>i:79'646=>2.9=<49;%026?0<,;;86;5+20692>"59<0=7)<>6;48 7702?1/><656:&15<<13-8:m784$33a>3=#:8i1:6*=1e85?!46m3<0(??i:79'676=>2.9><49;%016?0<,;88685+23691>"5:<09?o5+2419632<,;??6:m4$376>2e<,;?26<:jd:&11d<5=j1/>;?55:&127<23-;?jk4>4gg8 43783;?jh5f25f94?=n0?0;66g>b583>>o5=80;66g7c;29?l43m3:17d<:0;29?l7e:3:17d?=c;29 725288i7c<;1;28?l75i3:1(?:=:00a?k4393;07d?=9;29 725288i7c<;1;08?l7503:1(?:=:00a?k4393907d?;f383>!43:3;?j<5a25394>=n9=l;6=4+250951`63g8?=7?4;h37ac<72-8?>7?;f09m617=:21b=9kj:18'614=9=l:7c<;1;18?j7293:1(?:=:073?k4393:07b?;f;29 72528?;7c<;1;38?j73l3:1(?:=:073?k4393807b?;c;29 72528?;7c<;1;18?j73j3:1(?:=:073?k4393>07b?;a;29 72528?;7c<;1;78?j7313:1(?:=:073?k4393<07b?;8;29 72528?;7c<;1;58?j73?3:1(?:=:073?k4393207b?;6;29 72528?;7c<;1;;8?j73=3:1(?:=:073?k4393k07b?;4;29 72528?;7c<;1;`8?j73:3:1(?:=:073?k4393i07b?;1;29 72528?;7c<;1;f8?j7383:1(?:=:073?k4393o07b?3e83>!43:3;><6`=40825>=h9:i1<7*=438215=i:=;1=?54o01a>5<#:=81=8>4n362>45<3f;8m7>5$361>4373g8?=7?;;:m27<<72-8?>7?:0:l104<6=21d=8650;&107<6=91e>9?51798k430290/>9<51428j72628=07b?:6;29 72528?;7c<;1;3;?>i6=<0;6)<;2;364>h5<80:565`14694?"5<;0:9=5a25395d=:696<;?;o075?7d32e:8h4?:%076?7282d98<4>d:9l515=83.98?4>519m617=9l10c<=7:18'614=9<:0b?:>:0d8?l24290/>9<5439m617=821b8<4?:%076?253g8?=7?4;h63>5<#:=818?5a25396>=n;o0;6)<;2;61?k4393907d:l:18'614=<;1e>9?54:9j0g<72-8?>7:=;o075?3<3`>j6=4+250907=i:=;1:65f4883>!43:3>97c<;1;58?l2?290/>9<5439m617=021b8:4?:%076?253g8?=774;h65>5<#:=818?5a2539e>=n<<0;6)<;2;61?k4393h07d:;:18'614=<;1e>9?5c:9j7`<72-8?>7:=;o075?b<3`<;6=4+25091c=i:=;1<65f5d83>!43:3?m7c<;1;38?l3c290/>9<55g9m617=:21b9n4?:%076?3a3g8?=7=4;h4:>5<#:=819k5a25390>=n>10;6)<;2;7e?k4393?07d88:18'614==o1e>9?56:9j23<72-8?>7;i;o075?1<3`<>6=4+25091c=i:=;1465f6583>!43:3?m7c<;1;;8?l04290/>9<55g9m617=i21b:?4?:%076?3a3g8?=7l4;h42>5<#:=819k5a2539g>=n=k0;6)<;2;7e?k4393n07d??f;29 72528:n7c<;1;28?l77l3:1(?:=:02f?k4393;07d??b;29 72528:n7c<;1;08?l77i3:1(?:=:02f?k4393907d??9;29 72528:n7c<;1;68?l7703:1(?:=:02f?k4393?07d??7;29 72528:n7c<;1;48?l77>3:1(?:=:02f?k4393=07d??5;29 72528:n7c<;1;:8?l77<3:1(?:=:02f?k4393307d??3;29 72528:n7c<;1;c8?l77:3:1(?:=:02f?k4393h07d??0;29 72528:n7c<;1;a8?l`a290/>9<511g8j7262m10ekk50;&107<68l1e>9?5e:9jba<72-8?>7??e:l1045$361>46b3g8?=7??;:kef?6=,;>96<>j;o075?7632cmm7>5$361>46b3g8?=7?=;:ke=?6=,;>96<>j;o075?7432cm47>5$361>46b3g8?=7?;;:ke3?6=,;>96<>j;o075?7232c:=;4?:%076?77m2d98<4>6:9j543=83.98?4>0d9m617=9>10e:0:8?l76;3:1(?:=:02f?k4393;276g>1383>!43:3;;i6`=4082e>=n98;1<7*=43824`=i:=;1=o54i033>5<#:=81==k4n362>4e<3`;;o7>5$361>46b3g8?=7?k;:k244<72-8?>7??e:l104<6m21bj;4?:%076?77m2d98<4>f:9l5d4=83.98?4>a09m617=821d=l>50;&107<6i81e>9?51:9l5<`=83.98?4>a09m617=:21d=4k50;&107<6i81e>9?53:9j562=83.98?4>329m617=821b=><50;&107<6;:1e>9?51:9j567=83.98?4>329m617=:21b=>>50;&107<6;:1e>9?53:9l5dg=83.98?4>a89m617=821d=l650;&107<6i01e>9?51:9l5d1=83.98?4>a89m617=:21d=l850;&107<6i01e>9?53:9l5=2=83.98?4>829m617=821d=5<50;&107<60:1e>9?51:9l5=6=83.98?4>829m617=:21d=:h50;&107<60:1e>9?53:9l52c=83.98?4>829m617=<21d=:j50;&107<60:1e>9?55:9l52e=83.98?4>829m617=>21d=:l50;&107<60:1e>9?57:9l52g=83.98?4>829m617=021d=:750;&107<60:1e>9?59:9l52>=83.98?4>829m617=i21d=:950;&107<60:1e>9?5b:9l523=83.98?4>829m617=k21d=::50;&107<60:1e>9?5d:9l525=83.98?4>829m617=m21d=:<50;&107<60:1e>9?5f:9l527=83.98?4>829m617=9910c<9?:18'614=9190b?:>:038?j71n3:1(?:=:0:0?k4393;976a>6d83>!43:3;3?6`=40827>=h9?n1<7*=4382<6=i:=;1=954o04`>5<#:=81=5=4n362>43<3f;3n7>5$361>4>43g8?=7?9;:m27?73:l104<6?21d=5750;&107<60:1e>9?51998k4>?290/>9<51918j72628307b?77;29 72528287c<;1;3b?>i60?0;6)<;2;3;7>h5<80:n65`19794?"5<;0:4>5a25395f=:696<6<;o075?7b32e::o4?:%076?7?;2d98<4>f:9j51`f290/>9<515d:?k4393:07d?;f983>!43:3;?j45a25395>=n9=l<6=4+250951`>3g8?=7<4;h37b3<72-8?>7?;f89m617=;21bhi4?:%076?bd3g8?=7>4;hfa>5<#:=81hn5a25395>=nl00;6)<;2;f`?k4393807dj7:18'614=lj1e>9?53:9j`2<72-8?>7jl;o075?2<3`n=6=4+2509`f=i:=;1965fd483>!43:3nh7c<;1;48?lb3290/>9<5db9m617=?21bh>4?:%076?bd3g8?=764;hf1>5<#:=81hn5a2539=>=nl80;6)<;2;f`?k4393k07dj?:18'614=lj1e>9?5b:9jg`<72-8?>7jl;o075?e<3`io6=4+2509`f=i:=;1h65fcb83>!43:3nh7c<;1;g8?lee290/>9<5db9m617=n21bol4?:%076?bd3g8?=7??;:k`=?6=,;>96im4n362>47<3`i36=4+2509`f=i:=;1=?54ib594?"5<;0oo6`=40827>=nk?0;6)<;2;f`?k4393;?76gl5;29 7252mi0b?:>:078?lc3290/>9<5db9m617=9?10eh=50;&1077:9ja7<72-8?>7jl;o075?7?32cn=7>5$361>ae:6<74;hg3>5<#:=81hn5a25395d=h5<80:n65fdd83>!43:3nh7c<;1;3`?>oci3:1(?:=:ea8j72628n07dmi:18'614=lj1e>9?51d98mf2=83.98?4kc:l104<6n21vn;lm:18ag?6=8r.8::4=4`9K50643A;?il5U788`43=9?09n757d8jf7=9;1eo?4>2:l22d<73g;2h7>4$0`5>4dd3-;i;7?mc:&2`d<13-;on784$0f`>3=#9mn1:6*>dd85?!7cn3<0(2.:i>49;%3f0?0<,8o>6;5+1d492>"6m>0=7)?j8;48 4c>2?1/=ho56:&2ag<13-;no784$0gg>3=#9lo1:6*>eg85?!7a83<0(:79'5c4=>2.:j>49;%3e0?0<,8l>6;5+1g492>"6n>0=7)?i8;48 4`>2?1/=ko56:&2bg<13-;mo784$0dg>3=#9oo1:6*>fg85?!4783<0(?>>:79'654=>2.9<>49;%030?0<,;:>6;5+21492>"58>0=7)2?1/>=o56:&14g<13-8;o784$32g>3=#:9o1:6*=0g85?!4683<0(??>:79'644=>2.9=>49;%020?0<,;;>6;5+20492>"59>0=7)<>8;48 77>2?1/>3=#:8o1:6*=1g85?!4583<0(?<>:79'674=>2.9>>4:;%010?3<,;8>6?=m;%067?41<2.99948c:&110<0k2.9944>4df8 73f2;?h7)<91;78 7052<1/=9hi:06ea>"6=9:1=9hj;h07`?6=3`2=6=44i0`7>5<o5a25394>=n9;k1<7*=43826g=i:=;1=65f13;94?"5<;0:>o5a25396>=n9;21<7*=43826g=i:=;1?65f15d1>5<#:=81=9h>;o075?6<3`;?j=4?:%076?73n81e>9?51:9j51ca290/>9<515d2?k4393807d?;ed83>!43:3;?j<5a25397>=h9<;1<7*=438215=i:=;1<65`15d94?"5<;0:9=5a25395>=h9=n1<7*=438215=i:=;1>65`15a94?"5<;0:9=5a25397>=h9=h1<7*=438215=i:=;1865`15c94?"5<;0:9=5a25391>=h9=31<7*=438215=i:=;1:65`15:94?"5<;0:9=5a25393>=h9==1<7*=438215=i:=;1465`15494?"5<;0:9=5a2539=>=h9=?1<7*=438215=i:=;1m65`15694?"5<;0:9=5a2539f>=h9=81<7*=438215=i:=;1o65`15394?"5<;0:9=5a2539`>=h9=:1<7*=438215=i:=;1i65`12d94?"5<;0:9=5a2539b>=h9:o1<7*=438215=i:=;1==54o01g>5<#:=81=8>4n362>47<3f;8o7>5$361>4373g8?=7?=;:m27g<72-8?>7?:0:l104<6;21d=>o50;&107<6=91e>9?51598k45>290/>9<51428j72628?07b?:8;29 72528?;7c<;1;35?>i6=>0;6)<;2;364>h5<80:;65`14494?"5<;0:9=5a25395==6=4+2509506:6<74;n360?6=,;>96<;?;o075?7f32e:9>4?:%076?7282d98<4>b:9l504=83.98?4>519m617=9j10c<:j:18'614=9<:0b?:>:0f8?j73;3:1(?:=:073?k4393;n76a>3983>!43:3;><6`=4082b>=n<:0;6)<;2;61?k4393:07d:>:18'614=<;1e>9?51:9j05<72-8?>7:=;o075?4<3`9m6=4+250907=i:=;1?65f4b83>!43:3>97c<;1;68?l2e290/>9<5439m617==21b8l4?:%076?253g8?=784;h6:>5<#:=818?5a25393>=n<10;6)<;2;61?k4393207d:8:18'614=<;1e>9?59:9j03<72-8?>7:=;o075?g<3`>>6=4+250907=i:=;1n65f4583>!43:3>97c<;1;a8?l5b290/>9<5439m617=l21b:=4?:%076?3a3g8?=7>4;h7f>5<#:=819k5a25395>=n=m0;6)<;2;7e?k4393807d;l:18'614==o1e>9?53:9j2<<72-8?>7;i;o075?2<3`<36=4+25091c=i:=;1965f6683>!43:3?m7c<;1;48?l01290/>9<55g9m617=?21b:84?:%076?3a3g8?=764;h47>5<#:=819k5a2539=>=n>:0;6)<;2;7e?k4393k07d8=:18'614==o1e>9?5b:9j24<72-8?>7;i;o075?e<3`?i6=4+25091c=i:=;1h65f11d94?"5<;0:=n99n1<7*=43824`=i:=;1=65f11`94?"5<;0:=n99k1<7*=43824`=i:=;1?65f11;94?"5<;0:=n9921<7*=43824`=i:=;1965f11594?"5<;0:=n99<1<7*=43824`=i:=;1;65f11794?"5<;0:=n99>1<7*=43824`=i:=;1565f11194?"5<;0:=n9981<7*=43824`=i:=;1n65f11294?"5<;0:=nno0;6)<;2;33a>h5<80o76gie;29 72528:n7c<;1;g8?l`c290/>9<511g8j7262o10ekm50;&107<68l1e>9?51198mcd=83.98?4>0d9m617=9810eko50;&107<68l1e>9?51398mc?=83.98?4>0d9m617=9:10ek650;&107<68l1e>9?51598mc1=83.98?4>0d9m617=9<10e:048?l76=3:1(?:=:02f?k4393;<76g>1583>!43:3;;i6`=4082<>=n9891<7*=43824`=i:=;1=454i031>5<#:=81==k4n362>4g<3`;:=7>5$361>46b3g8?=7?m;:k255<72-8?>7??e:l104<6k21b==m50;&107<68l1e>9?51e98m466290/>9<511g8j72628o07dh9:18'614=99o0b?:>:0d8?j7f:3:1(?:=:0c2?k4393:07b?n0;29 72528k:7c<;1;38?j7>n3:1(?:=:0c2?k4393807b?6e;29 72528k:7c<;1;18?l74<3:1(?:=:010?k4393:07d?<2;29 72528987c<;1;38?l7493:1(?:=:010?k4393807d?<0;29 72528987c<;1;18?j7fi3:1(?:=:0c:?k4393:07b?n8;29 72528k27c<;1;38?j7f?3:1(?:=:0c:?k4393807b?n6;29 72528k27c<;1;18?j7?<3:1(?:=:0:0?k4393:07b?72;29 72528287c<;1;38?j7?83:1(?:=:0:0?k4393807b?8f;29 72528287c<;1;18?j70m3:1(?:=:0:0?k4393>07b?8d;29 72528287c<;1;78?j70k3:1(?:=:0:0?k4393<07b?8b;29 72528287c<;1;58?j70i3:1(?:=:0:0?k4393207b?89;29 72528287c<;1;;8?j7003:1(?:=:0:0?k4393k07b?87;29 72528287c<;1;`8?j70=3:1(?:=:0:0?k4393i07b?84;29 72528287c<;1;f8?j70;3:1(?:=:0:0?k4393o07b?82;29 72528287c<;1;d8?j7093:1(?:=:0:0?k4393;;76a>7183>!43:3;3?6`=40825>=h9?l1<7*=4382<6=i:=;1=?54o04f>5<#:=81=5=4n362>45<3f;=h7>5$361>4>43g8?=7?;;:m22f<72-8?>7?73:l104<6=21d=5l50;&107<60:1e>9?51798k4>f290/>9<51918j72628=07b?79;29 72528287c<;1;3;?>i6010;6)<;2;3;7>h5<80:565`19594?"5<;0:4>5a25395d=:696<6<;o075?7d32e:4<4?:%076?7?;2d98<4>d:9l520=83.98?4>829m617=9l10c<8m:18'614=9190b?:>:0d8?l73nh0;6)<;2;37b<=i:=;1<65f15d;>5<#:=81=9h6;o075?7<3`;?j:4?:%076?73n01e>9?52:9j51`1290/>9<515d:?k4393907djk:18'614=lj1e>9?50:9j`g<72-8?>7jl;o075?7<3`n26=4+2509`f=i:=;1>65fd983>!43:3nh7c<;1;18?lb0290/>9<5db9m617=<21bh;4?:%076?bd3g8?=7;4;hf6>5<#:=81hn5a25392>=nl=0;6)<;2;f`?k4393=07dj<:18'614=lj1e>9?58:9j`7<72-8?>7jl;o075??<3`n:6=4+2509`f=i:=;1m65fd183>!43:3nh7c<;1;`8?leb290/>9<5db9m617=k21boi4?:%076?bd3g8?=7j4;ha`>5<#:=81hn5a2539a>=nkk0;6)<;2;f`?k4393l07dmn:18'614=lj1e>9?51198mf?=83.98?4kc:l104<6921bo54?:%076?bd3g8?=7?=;:k`3?6=,;>96im4n362>45<3`i=6=4+2509`f=i:=;1=954ib794?"5<;0oo6`=40821>=nm=0;6)<;2;f`?k4393;=76gj3;29 7252mi0b?:>:058?lc5290/>9<5db9m617=9110eh?50;&1079:9ja5<72-8?>7jl;o075?7f32coj7>5$361>ae:65<#:=81hn5a25395f=h5<80:h65fcg83>!43:3nh7c<;1;3f?>od<3:1(?:=:ea8j72628l07pl9bd83>ge=83:p(>88:36b?M728:1C=9kn;[5:>f}6=3;=6?l52g81g?572;n1>;4=5;04>7c=:=0v(?=k:075b>hd93;97cm=:008j40f291e=4j50:&2f3<6jj1/=o951ca8 4bf2?1/=il56:&2`f<13-;oh784$0ff>3=#9ml1:6*>e185?!7b93<0(2.:i849;%3f2?0<,8o<6;5+1d:92>"6m00=7)?ja;48 4ce2?1/=hm56:&2aa<13-;ni784$0ge>3=#9o:1:6*>f085?!7a:3<0(2.:j849;%3e2?0<,8l<6;5+1g:92>"6n00=7)?ia;48 4`e2?1/=km56:&2ba<13-;mi784$0de>3=#:9:1:6*=0085?!47:3<0(?><:79'652=>2.9<849;%032?0<,;:<6;5+21:92>"5800=7)=m56:&14a<13-8;i784$32e>3=#:8:1:6*=1085?!46:3<0(??<:79'642=>2.9=849;%022?0<,;;<6;5+20:92>"5900=7)<>a;48 77e2?1/>3=#:;:1:6*=2085?!45:3<0(?<<:49'672==2.9>84=3c9'605=:?>0(?;;:6a8 7322>i0(?;6:06f`>"5=h099n5+27391>"5>;0>7)?;fg820cc<,8?;<7?;fd9j61b=831b4;4?::k2f1<722c99<4?::k;g?6=3`8?i7>5;h064?6=3`;i>7>5;h31g?6=,;>96<5$361>44e3g8?=7?4;h31=?6=,;>96<5$361>44e3g8?=7=4;h37b7<72-8?>7?;f09m617=821b=9h?:18'614=9=l:7c<;1;38?l73mo0;6)<;2;37b4=i:=;1>65f15gf>5<#:=81=9h>;o075?5<3f;>=7>5$361>4373g8?=7>4;n37b?6=,;>96<;?;o075?7<3f;?h7>5$361>4373g8?=7<4;n37g?6=,;>96<;?;o075?5<3f;?n7>5$361>4373g8?=7:4;n37e?6=,;>96<;?;o075?3<3f;?57>5$361>4373g8?=784;n3796<;?;o075?1<3f;?;7>5$361>4373g8?=764;n372?6=,;>96<;?;o075??<3f;?97>5$361>4373g8?=7o4;n370?6=,;>96<;?;o075?d<3f;?>7>5$361>4373g8?=7m4;n375?6=,;>96<;?;o075?b<3f;?<7>5$361>4373g8?=7k4;n30b?6=,;>96<;?;o075?`<3f;8i7>5$361>4373g8?=7??;:m27a<72-8?>7?:0:l104<6921d=>m50;&107<6=91e>9?51398k45e290/>9<51428j72628907b?i6;00;6)<;2;364>h5<80:965`14:94?"5<;0:9=5a253953=:6<94;n362?6=,;>96<;?;o075?7?32e:984?:%076?7282d98<4>9:9l502=83.98?4>519m617=9h10c<;<:18'614=9<:0b?:>:0`8?j72:3:1(?:=:073?k4393;h76a>4d83>!43:3;><6`=4082`>=h9=91<7*=438215=i:=;1=h54o01;>5<#:=81=8>4n362>4`<3`>86=4+250907=i:=;1<65f4083>!43:3>97c<;1;38?l27290/>9<5439m617=:21b?k4?:%076?253g8?=7=4;h6`>5<#:=818?5a25390>=n9?56:9j0<<72-8?>7:=;o075?1<3`>36=4+250907=i:=;1465f4683>!43:3>97c<;1;;8?l21290/>9<5439m617=i21b884?:%076?253g8?=7l4;h67>5<#:=818?5a2539g>=n;l0;6)<;2;61?k4393n07d8?:18'614==o1e>9?50:9j1`<72-8?>7;i;o075?7<3`?o6=4+25091c=i:=;1>65f5b83>!43:3?m7c<;1;18?l0>290/>9<55g9m617=<21b:54?:%076?3a3g8?=7;4;h44>5<#:=819k5a25392>=n>?0;6)<;2;7e?k4393=07d8::18'614==o1e>9?58:9j21<72-8?>7;i;o075??<3`<86=4+25091c=i:=;1m65f6383>!43:3?m7c<;1;`8?l06290/>9<55g9m617=k21b9o4?:%076?3a3g8?=7j4;h33b?6=,;>96<>j;o075?6<3`;;h7>5$361>46b3g8?=7?4;h33f?6=,;>96<>j;o075?4<3`;;m7>5$361>46b3g8?=7=4;h33=?6=,;>96<>j;o075?2<3`;;47>5$361>46b3g8?=7;4;h333?6=,;>96<>j;o075?0<3`;;:7>5$361>46b3g8?=794;h331?6=,;>96<>j;o075?><3`;;87>5$361>46b3g8?=774;h337?6=,;>96<>j;o075?g<3`;;>7>5$361>46b3g8?=7l4;h334?6=,;>96<>j;o075?e<3`lm6=4+250955c:6i54igg94?"5<;0:=nnm0;6)<;2;33a>h5<80m76gic;29 72528:n7c<;1;33?>oaj3:1(?:=:02f?k4393;:76gia;29 72528:n7c<;1;31?>oa13:1(?:=:02f?k4393;876gi8;29 72528:n7c<;1;37?>oa?3:1(?:=:02f?k4393;>76g>1783>!43:3;;i6`=40822>=n98?1<7*=43824`=i:=;1=:54i037>5<#:=81==k4n362>4><3`;:?7>5$361>46b3g8?=7?6;:k257<72-8?>7??e:l104<6i21b=9?51c98m477290/>9<511g8j72628i07d??c;29 72528:n7c<;1;3g?>o6880;6)<;2;33a>h5<80:i65ff783>!43:3;;i6`=4082b>=h9h81<7*=4382e4=i:=;1<65`1`294?"5<;0:m<5a25395>=h90l1<7*=4382e4=i:=;1>65`18g94?"5<;0:m<5a25397>=n9:>1<7*=438276=i:=;1<65f12094?"5<;0:?>5a25395>=n9:;1<7*=438276=i:=;1>65f12294?"5<;0:?>5a25397>=h9hk1<7*=4382e<=i:=;1<65`1`:94?"5<;0:m45a25395>=h9h=1<7*=4382e<=i:=;1>65`1`494?"5<;0:m45a25397>=h91>1<7*=4382<6=i:=;1<65`19094?"5<;0:4>5a25395>=h91:1<7*=4382<6=i:=;1>65`16d94?"5<;0:4>5a25397>=h9>o1<7*=4382<6=i:=;1865`16f94?"5<;0:4>5a25391>=h9>i1<7*=4382<6=i:=;1:65`16`94?"5<;0:4>5a25393>=h9>k1<7*=4382<6=i:=;1465`16;94?"5<;0:4>5a2539=>=h9>21<7*=4382<6=i:=;1m65`16594?"5<;0:4>5a2539f>=h9>?1<7*=4382<6=i:=;1o65`16694?"5<;0:4>5a2539`>=h9>91<7*=4382<6=i:=;1i65`16094?"5<;0:4>5a2539b>=h9>;1<7*=4382<6=i:=;1==54o053>5<#:=81=5=4n362>47<3f;=j7>5$361>4>43g8?=7?=;:m22`<72-8?>7?73:l104<6;21d=;j50;&107<60:1e>9?51598k40d290/>9<51918j72628?07b?7b;29 72528287c<;1;35?>i60h0;6)<;2;3;7>h5<80:;65`19;94?"5<;0:4>5a25395==:6<74;n3;3?6=,;>96<6<;o075?7f32e:4;4?:%076?7?;2d98<4>b:9l5=3=83.98?4>829m617=9j10c<6>:18'614=9190b?:>:0f8?j70>3:1(?:=:0:0?k4393;n76a>6c83>!43:3;3?6`=4082b>=n9=lj6=4+250951`>3g8?=7>4;h37b=<72-8?>7?;f89m617=921b=9h8:18'614=9=l27c<;1;08?l73n?0;6)<;2;37b<=i:=;1?65fde83>!43:3nh7c<;1;28?lbe290/>9<5db9m617=921bh44?:%076?bd3g8?=7<4;hf;>5<#:=81hn5a25397>=nl>0;6)<;2;f`?k4393>07dj9:18'614=lj1e>9?55:9j`0<72-8?>7jl;o075?0<3`n?6=4+2509`f=i:=;1;65fd283>!43:3nh7c<;1;:8?lb5290/>9<5db9m617=121bh<4?:%076?bd3g8?=7o4;hf3>5<#:=81hn5a2539f>=nkl0;6)<;2;f`?k4393i07dmk:18'614=lj1e>9?5d:9jgf<72-8?>7jl;o075?c<3`ii6=4+2509`f=i:=;1j65fc`83>!43:3nh7c<;1;33?>od13:1(?:=:ea8j72628;07dm7:18'614=lj1e>9?51398mf1=83.98?4kc:l104<6;21bo;4?:%076?bd3g8?=7?;;:k`1?6=,;>96im4n362>43<3`o?6=4+2509`f=i:=;1=;54id194?"5<;0oo6`=40823>=nm;0;6)<;2;f`?k4393;376gj1;29 7252mi0b?:>:0;8?lc7290/>9<5db9m617=9h10eih50;&107b:9j``<72-8?>7jl;o075?7d32com7>5$361>ae:65<#:=81hn5a25395`=1<7*=438gg>h5<80:j65rb7a2>57e=;909h7<9:37962<5m38?6p*=3e8213`h6>h0;7c?6d;28 4d128hh7)?m7;3ag>"6lh0=7)?kb;48 4bd2?1/=ij56:&2``<13-;oj784$0g3>3=#9l;1:6*>e285?!7b<3<0(2.:i:49;%3f"6mk0=7)?jc;48 4cc2?1/=hk56:&2ac<13-;m<784$0d2>3=#9o81:6*>f285?!7a<3<0(2.:j:49;%3e"6nk0=7)?ic;48 4`c2?1/=kk56:&2bc<13-8;<784$322>3=#:981:6*=0285?!47<3<0(?>::79'650=>2.9<:49;%03"58k0=7)=k56:&14c<13-8:<784$332>3=#:881:6*=1285?!46<3<0(??::79'640=>2.9=:49;%02"59k0=7)<>c;48 77c2?1/>3=#:;81:6*=2286?!45<3?0(?<::31a?!42;38=86*=5584g>"5=<042am2.:9=>515df?l43l3:17d69:188m4d32900e?;>:188m=e=831b>9k50;9j606=831b=o<50;9j57e=83.98?4>2c9m617=821b=?o50;&107<6:k1e>9?51:9j57?=83.98?4>2c9m617=:21b=?650;&107<6:k1e>9?53:9j51`5290/>9<515d2?k4393:07d?;f183>!43:3;?j<5a25395>=n9=om6=4+250951`63g8?=7<4;h37a`<72-8?>7?;f09m617=;21d=8?50;&107<6=91e>9?50:9l51`=83.98?4>519m617=921d=9j50;&107<6=91e>9?52:9l51e=83.98?4>519m617=;21d=9l50;&107<6=91e>9?54:9l51g=83.98?4>519m617==21d=9750;&107<6=91e>9?56:9l51>=83.98?4>519m617=?21d=9950;&107<6=91e>9?58:9l510=83.98?4>519m617=121d=9;50;&107<6=91e>9?5a:9l512=83.98?4>519m617=j21d=9<50;&107<6=91e>9?5c:9l517=83.98?4>519m617=l21d=9>50;&107<6=91e>9?5e:9l56`=83.98?4>519m617=n21d=>k50;&107<6=91e>9?51198k45c290/>9<51428j72628;07b?i6;k0;6)<;2;364>h5<80:?65`12c94?"5<;0:9=5a253951=:6<;4;n3696<;?;o075?7132e:9:4?:%076?7282d98<4>7:9l500=83.98?4>519m617=9110c<;::18'614=9<:0b?:>:0;8?j72<3:1(?:=:073?k4393;j76a>5283>!43:3;><6`=4082f>=h9<81<7*=438215=i:=;1=n54o06f>5<#:=81=8>4n362>4b<3f;??7>5$361>4373g8?=7?j;:m27=<72-8?>7?:0:l104<6n21b8>4?:%076?253g8?=7>4;h62>5<#:=818?5a25395>=n<90;6)<;2;61?k4393807d=i:18'614=<;1e>9?53:9j0f<72-8?>7:=;o075?2<3`>i6=4+250907=i:=;1965f4`83>!43:3>97c<;1;48?l2>290/>9<5439m617=?21b854?:%076?253g8?=764;h64>5<#:=818?5a2539=>=n9?5b:9j01<72-8?>7:=;o075?e<3`9n6=4+250907=i:=;1h65f6183>!43:3?m7c<;1;28?l3b290/>9<55g9m617=921b9i4?:%076?3a3g8?=7<4;h7`>5<#:=819k5a25397>=n>00;6)<;2;7e?k4393>07d87:18'614==o1e>9?55:9j22<72-8?>7;i;o075?0<3`<=6=4+25091c=i:=;1;65f6483>!43:3?m7c<;1;:8?l03290/>9<55g9m617=121b:>4?:%076?3a3g8?=7o4;h41>5<#:=819k5a2539f>=n>80;6)<;2;7e?k4393i07d;m:18'614==o1e>9?5d:9j55`=83.98?4>0d9m617=821b==j50;&107<68l1e>9?51:9j55d=83.98?4>0d9m617=:21b==o50;&107<68l1e>9?53:9j55?=83.98?4>0d9m617=<21b==650;&107<68l1e>9?55:9j551=83.98?4>0d9m617=>21b==850;&107<68l1e>9?57:9j553=83.98?4>0d9m617=021b==:50;&107<68l1e>9?59:9j555=83.98?4>0d9m617=i21b==<50;&107<68l1e>9?5b:9j556=83.98?4>0d9m617=k21bjk4?:%076?77m2d98<4k;:kea?6=,;>96<>j;o075?c<3`lo6=4+250955c:6k54iga94?"5<;0:54ig:94?"5<;0:5<#:=81==k4n362>40<3`;:97>5$361>46b3g8?=7?8;:k251<72-8?>7??e:l104<6021b=<=50;&107<68l1e>9?51898m475290/>9<511g8j72628k07d?>1;29 72528:n7c<;1;3a?>o6990;6)<;2;33a>h5<80:o65f11a94?"5<;0::65<#:=81==k4n362>4`<3f;j>7>5$361>4g63g8?=7>4;n3b4?6=,;>96;o075?7<3f;2j7>5$361>4g63g8?=7<4;n3:a?6=,;>96;o075?5<3`;887>5$361>4543g8?=7>4;h306?6=,;>96<=<;o075?7<3`;8=7>5$361>4543g8?=7<4;h304?6=,;>96<=<;o075?5<3f;jm7>5$361>4g>3g8?=7>4;n3b965$361>4g>3g8?=7<4;n3b2?6=,;>965$361>4>43g8?=7>4;n3;6?6=,;>96<6<;o075?7<3f;3<7>5$361>4>43g8?=7<4;n34b?6=,;>96<6<;o075?5<3f;5$361>4>43g8?=7:4;n34`?6=,;>96<6<;o075?3<3f;5$361>4>43g8?=784;n34f?6=,;>96<6<;o075?1<3f;5$361>4>43g8?=764;n34=?6=,;>96<6<;o075??<3f;<47>5$361>4>43g8?=7o4;n343?6=,;>96<6<;o075?d<3f;<97>5$361>4>43g8?=7m4;n340?6=,;>96<6<;o075?b<3f;5$361>4>43g8?=7k4;n346?6=,;>96<6<;o075?`<3f;<=7>5$361>4>43g8?=7??;:m235<72-8?>7?73:l104<6921d=;h50;&107<60:1e>9?51398k40b290/>9<51918j72628907b?9d;29 72528287c<;1;37?>i6>j0;6)<;2;3;7>h5<80:965`19`94?"5<;0:4>5a253953=:6<94;n3;=?6=,;>96<6<;o075?7?32e:454?:%076?7?;2d98<4>9:9l5=1=83.98?4>829m617=9h10c<69:18'614=9190b?:>:0`8?j7?=3:1(?:=:0:0?k4393;h76a>8083>!43:3;3?6`=4082`>=h9><1<7*=4382<6=i:=;1=h54o04a>5<#:=81=5=4n362>4`<3`;?jl4?:%076?73n01e>9?50:9j51`?290/>9<515d:?k4393;07d?;f683>!43:3;?j45a25396>=n9=l=6=4+250951`>3g8?=7=4;hfg>5<#:=81hn5a25394>=nlk0;6)<;2;f`?k4393;07dj6:18'614=lj1e>9?52:9j`=<72-8?>7jl;o075?5<3`n<6=4+2509`f=i:=;1865fd783>!43:3nh7c<;1;78?lb2290/>9<5db9m617=>21bh94?:%076?bd3g8?=794;hf0>5<#:=81hn5a2539<>=nl;0;6)<;2;f`?k4393307dj>:18'614=lj1e>9?5a:9j`5<72-8?>7jl;o075?d<3`in6=4+2509`f=i:=;1o65fce83>!43:3nh7c<;1;f8?led290/>9<5db9m617=m21boo4?:%076?bd3g8?=7h4;hab>5<#:=81hn5a253955=h5<80:=65fc983>!43:3nh7c<;1;31?>od?3:1(?:=:ea8j72628907dm9:18'614=lj1e>9?51598mf3=83.98?4kc:l104<6=21bi94?:%076?bd3g8?=7?9;:kf7?6=,;>96im4n362>41<3`o96=4+2509`f=i:=;1=554id394?"5<;0oo6`=4082=>=nm90;6)<;2;f`?k4393;j76gkf;29 7252mi0b?:>:0`8?lbb290/>9<5db9m617=9j10eio50;&107d:9jgc<72-8?>7jl;o075?7b32ch87>5$361>ae:60;6=u+3759667<@8?;?6F>4dc8 4c52m1b=i:50;9j5a3=831b=i850;9j5a1=831b=i650;9j5a?=831d>>m50;9~f251290?6=4?{%153?45m2B:9==4H06fe>"6m;0j7d?k4;29?l7c=3:17d?k6;29?j44k3:17p}<1283>7}Y;8901<=66;`f?xu4980;6?uQ3038945>=3hn7p}<1183>7}Y;8:01<=64;`f?xu48o0;6?uQ31d8945>;3hn7p}<0d83>7}Y;9o01<=62;`f?xu48m0;6?uQ31f8945>93hn7p}<0c83>7}Y;9h01<=7f;`f?xu48h0;6?uQ31c8945?m3hn7p}<0883>7}Y;9301<=7d;`f?xu4810;6?uQ31:8945?k3hn7p}<0683>7}Y;9=01<=7b;`f?xu48?0;6?uQ3148945?i3hn7p}<0483>7}Y;9?01<=79;`f?xu48=0;6?uQ3168945?03hn7p}<0283>7}Y;9901<=77;`f?xu48;0;6?uQ3108945?>3hn7p}<0183>7}Y;9:01<=74;`f?xu5no0;6?uQ2gd8945?;3hn7p}=fd83>7}Y:oo01<=72;`f?xu5nm0;6?uQ2gf8945?93hn7p}=fb83>7}Y:oi01<=70;`f?xu5nk0;6?uQ2g`89450n3hn7p}=f`83>7}Y:ok01<=8e;`f?xu5n00;6?uQ2g;89450l3hn7p}=f983>7}Y:o201<=8c;`f?xu5n>0;6?uQ2g589450j3hn7p}=f483>7}Y:o?01<=89;`f?xu5n=0;6?uQ2g68945003hn7p}=f283>7}Y:o901<=87;`f?xu5n;0;6?uQ2g089450>3hn7p}=f083>7}Y:o;01<=85;`f?xu5n90;6?uQ2g289450<3hn7p}=eg83>7}Y:ll01<=83;`f?xu5ml0;6?uQ2dg89450:3hn7p}=ee83>7}Y:ln01<=81;`f?xu5mj0;6?uQ2da8945083hn7p}=e`83>7}Y:lk01<=9e;`f?xu5m00;6?uQ2d;89451l3hn7p}=e983>7}Y:l201<=9c;`f?xu5m>0;6?uQ2d589451j3hn7p}=e783>7}Y:l<01<=9a;`f?xu5m<0;6?uQ2d78945113hn7p}=e583>7}Y:l>01<=98;`f?xu5m:0;6?uQ2d189451?3hn7p}=e383>7}Y:l801<=96;`f?xu5m80;6?uQ2d389451=3hn7p}=dg83>7}Y:ml01<=93;`f?xu5ll0;6?uQ2eg89451:3hn7p}=de83>7}Y:mn01<=91;`f?xu5lj0;6?uQ2ea8945183hn7p}=dc83>7}Y:mh01<=:f;`f?xu5lh0;6?uQ2ec89452m3hn7p}=d883>7}Y:m301<=:d;`f?xu5l10;6?uQ2e:89452k3hn7p}=d683>7}Y:m=01<=:b;`f?xu5l?0;6?uQ2e489452i3hn7p}=d283>7}Y:m901<=:7;`f?xu5l;0;6?uQ2e089452>3hn7p}=d083>7}Y:m;01<=:5;`f?xu5l90;6?uQ2e289452<3hn7p}=cg83>7}Y:jl01<=:3;`f?xu5kl0;6?uQ2bg89452:3hn7p}=ce83>7}Y:jn01<=:1;`f?xu5kj0;6?uQ2ba8945283hn7p}=cc83>7}Y:jh01<=;f;`f?xu5kh0;6?uQ2bc89453m3hn7p}=c983>7}Y:j201<=;c;`f?xu5k>0;6?uQ2b589453j3hn7p}=c783>7}Y:j<01<=;a;`f?xu5k<0;6?uQ2b78945313hn7p}=c583>7}Y:j>01<=;8;`f?xu5k:0;6?uQ2b189453?3hn7p}=c383>7}Y:j801<=;6;`f?xu5k80;6?uQ2b389453=3hn7p}=c183>7}Y:j:01<=;4;`f?xu5jo0;6?uQ2cd89453;3hn7p}=be83>7}Y:kn01<=;1;`f?xu5jj0;6?uQ2ca8945383hn7p}=bc83>7}Y:kh01<=7}Y:k301<=7}Y:k=01<=7}Y:k?01<=<9;`f?xu5j=0;6?uQ2c68945403hn7p}=b383>7}Y:k801<=<6;`f?xu5j80;6?uQ2c389454=3hn7p}=b183>7}Y:k:01<=<4;`f?xu5io0;6?uQ2`d89454;3hn7p}=ad83>7}Y:ho01<=<2;`f?xu5im0;6?uQ2`f8945493hn7p}=ab83>7}Y:hi01<=<0;`f?xu5ik0;6?uQ2``89455n3hn7p}=a`83>7}Y:hk01<==e;`f?xu5i00;6?uQ2`;89455l3hn7p}=a683>7}Y:h=01<==b;`f?xu5i?0;6?uQ2`489455i3hn7p}=a483>7}Y:h?01<==9;`f?xu5i=0;6?uQ2`68945503hn7p}=a283>7}Y:h901<==7;`f?xu5i;0;6?uQ2`089455>3hn7p}=a083>7}Y:h;01<==5;`f?xu5i90;6?uQ2`289455<3hn7p}=9g83>7}Y:0l01<==3;`f?xu51l0;6?uQ28g89455:3hn7p}=9b83>7}Y:0i01<==0;`f?xu51k0;6?uQ28`89456n3hn7p}=9`83>7}Y:0k01<=>e;`f?xu5100;6?uQ28;89456l3hn7p}=9983>7}Y:0201<=>c;`f?xu51>0;6?uQ28589456j3hn7p}=9783>7}Y:0<01<=>a;`f?xu51<0;6?uQ2878945613hn7p}=9583>7}Y:0>01<=>8;`f?xu51:0;6?uQ28189456?3hn7p}=9083>7}Y:0;01<=>5;`f?xu5190;6?uQ28289456<3hn7p}=8g83>7}Y:1l01<=>3;`f?xu50l0;6?uQ29g89456:3hn7p}=8e83>7}Y:1n01<=>1;`f?xu50j0;6?uQ29a8945683hn7p}=8c83>7}Y:1h01<=?f;`f?xu50h0;6?uQ29c89457m3hn7p}=8883>7}Y:1301<=?d;`f?xu5010;6?uQ29:89457k3hn7p}=8783>7}Y:1<01<=?a;`f?xu50<0;6?uQ2978945713hn7p}=8583>7}Y:1>01<=?8;`f?xu50:0;6?uQ29189457?3hn7p}=8383>7}Y:1801<=?6;`f?xu5080;6?uQ29389457=3hn7p}=8183>7}Y:1:01<=?4;`f?xu5?o0;6?uQ26d89457;3hn7p}=7d83>7}Y:>o01<=?2;`f?xu5?m0;6?uQ26f8945793hn7p}=7c83>7}Y:>h01<7}Y:>301<7}Y:>=01<7}Y:>?01<7}Y:>901<3hn7p}=7183>7}Y:>:01<o0;6?uQ27d8944a;3hn7p}=6d83>7}Y:?o01<m0;6?uQ27f8944a93hn7p}=6b83>7}Y:?i01<k0;6?uQ27`8944bn3hn7p}=6`83>7}Y:?k01<00;6?uQ27;8944bl3hn7p}=6983>7}Y:?201<>0;6?uQ2758944bj3hn7p}<6483>7}Y;??01<=j8;`f?xu4>=0;6?uQ3768945b?3hn7p}<6283>7}Y;?901<=j6;`f?xu4>;0;6?uQ3708945b=3hn7p}<6083>7}Y;?;01<=j4;`f?xu4>90;6?uQ3728945b;3hn7p}<5g83>7}Y;7}Y;7}Y;7}Y;<201<=kb;`f?xu4=>0;6?uQ3458945ci3hn7p}<5783>7}Y;<<01<=k9;`f?xu4=<0;6?uQ3478945c03hn7p}<5583>7}Y;<>01<=k7;`f?xu4=:0;6?uQ3418945c>3hn7p}<5383>7}Y;<801<=k5;`f?xu4=80;6?uQ3438945c<3hn7p}<4g83>7}Y;=l01<=k2;`f?xu47}Y;=n01<=k0;`f?xu47}Y;=h01<=le;`f?xu47}Y;=301<=lc;`f?xu4<10;6?uQ35:8945dj3hn7p}<4683>7}Y;==01<=la;`f?xu47}Y;=>01<=l7;`f?xu4<:0;6?uQ3518945d>3hn7p}<4383>7}Y;=801<=l5;`f?xu4<80;6?uQ3538945d<3hn7p}<4183>7}Y;=:01<=l3;`f?xu4;o0;6?uQ32d8945d:3hn7p}<3d83>7}Y;:o01<=l1;`f?xu4;m0;6?uQ32f8945d83hn7p}<3b83>7}Y;:i01<=mf;`f?xu4;k0;6?uQ32`8945em3hn7p}<3883>7}Y;:301<=mc;`f?xu4;10;6?uQ32:8945ej3hn7p}<3683>7}Y;:=01<=ma;`f?xu4;?0;6?uQ3248945e13hn7p}<3483>7}Y;:?01<=m8;`f?xu4;=0;6?uQ3268945e?3hn7p}<3283>7}Y;:901<=m6;`f?xu4;;0;6?uQ3208945e=3hn7p}<3083>7}Y;:;01<=m4;`f?xu4;90;6?uQ3228945e;3hn7p}<2d83>7}Y;;o01<=m1;`f?xu4:m0;6?uQ33f8945e83hn7p}<2b83>7}Y;;i01<=nf;`f?xu4:k0;6?uQ33`8945fm3hn7p}<2`83>7}Y;;k01<=nd;`f?xu4:00;6?uQ33;8945fk3hn7p}<2983>7}Y;;201<=nb;`f?xu4:>0;6?uQ3358945fi3hn7p}<2783>7}Y;;<01<=n9;`f?xu4:<0;6?uQ3378945f03hn7p}<2283>7}Y;;901<=n6;`f?xu4:;0;6?uQ3308945f=3hn7p}<2083>7}Y;;;01<=n4;`f?xu4:90;6?uQ3328945f;3hn7p}<1g83>7}Y;8l01<=n2;`f?xu49l0;6?uQ30g8945f93hn7p}<1e83>7}Y;8n01<=n0;`f?xu49j0;6?uQ30a8945>n3hn7p}<1c83>7}Y;8h01<=6e;`f?xu49h0;6?uQ30c8945>l3hn7p}<1983>7}Y;8201<=6b;`f?xu49>0;6?uQ3058945>i3hn7p}<1783>7}Y;8<01<=69;`f?xu49<0;6?uQ3078945>03hn7p}<1583>7}Y;8>01<=67;`f?xu48j0;6?uQ31a8945>83hn7p}<0083>7}Y;9;01<=75;`f?xu5n?0;6?uQ2g489450i3hn7p}=ec83>7}Y:lh01<=9f;`f?xu5m90;6?uQ2d289451<3hn7p}=d583>7}Y:m>01<=:8;`f?xu5k00;6?uQ2b;89453l3hn7p}=bd83>7}Y:ko01<=;2;`f?xu5j:0;6?uQ2c189454?3hn7p}=a983>7}Y:h201<==c;`f?xu51m0;6?uQ28f8945593hn7p}=9383>7}Y:0801<=>6;`f?xu50>0;6?uQ29589457j3hn7p}=7b83>7}Y:>i01<=?0;`f?xu5?80;6?uQ2638944a=3hn7p}<6783>7}Y;?<01<=j9;`f?xu4=k0;6?uQ34`8945cm3hn7p}<5183>7}Y;<:01<=k3;`f?xu4<<0;6?uQ3578945d03hn7p}<3`83>7}Y;:k01<=md;`f?xu4:o0;6?uQ33d8945e:3hn7p}<2583>7}Y;;>01<=n7;`f?xu4900;6?uQ30;8945>k3hn7p}=d483>7}Y:m?01<=:9;`f?xu5>?0;6?uQ2748944bi3hn7p}n3;296=7|Vh901i:::6a89a232>i01i:<:6a89a252>i01h>m:6a89`6f2>i01h>6:6a89`6?2>i01hj>:6a89`b72>i01hmi:6a89`eb2>i01k>m:6a89c6f2>i01k>6:6a89c6?2>i01k?k:6a89c7d2>i01k?m:6a89c7f2>i01ki01ki01k:>:6a89c272>i01k=i:6a89c5b2>i01k;<:6a89c352>i01k;>:6a89c372>i01k8::6a89c032>i01k8<:6a89c052>i01k98:6a89c112>i01k9::6a89c132>i01i;8:6a89a312>i01i;::6a89a332>i01i86:6a89a0?2>i01i88:6a89a012>i01i9m:6a89a1f2>i01i96:6a89a1?2>i01i6k:6a89a>d2>i01i6m:6a89a>f2>i01i7i:6a89a?b2>i01i7k:6a89a?d2>i01il>:6a89ad72>i01ioi:6a89agb2>i01im<:6a89ae52>i01im>:6a89ae72>i01ij::6a89ab32>i01ij<:6a89ab52>i01ik8:6a89ac12>i01ik::6a89ac32>i01ih6:6a89a`?2>i01ih8:6a89a`12>i01h?k:6a89`7d2>i01h?m:6a89`7f2>i01hi01hi01h:>:6a89`272>i01h=i:6a89`5b2>i01h;<:6a89`352>i01h;>:6a89`372>i01h8::6a89`032>i01h8<:6a89`052>i01h98:6a89`112>i01h9::6a89`132>i01h66:6a89`>?2>i01h68:6a89`>12>i01h7m:6a89`?f2>i01h76:6a89`??2>i01hok:6a89`gd2>i01hom:6a89`gf2>i01hli:6a89`db2>i01hlk:6a89`dd2>i01hk<:6a89`c52>i01hk>:6a89`c72>i01hh8:6a89``12>i01hh::6a89``32>i01n=j:367?8efl38?863lf18101=:koh1>9:4=e25>72334n:=7<;4:?g5f<5<=16h?9525689a552;>?70j;d<0098952c469612<5j?m6?:;;g=6=:=>01n6m:367?8e>>38?863la08101=:khi1>9:4=b`;>72334ih?7<;4:?`g`<5<=16oi7525689fc32;>?70mjb;070>;dmj098952cdf9612<5jon6?:;;gc4=:=>01nh<:367?8ea<38?863lf48101=:ko<1>9:4=bd4>72334im47<;4:?`b<<5<=16oko525689f`d2;>?70mid;070>;dnl098952cgd9612<5m:;6?:;;`55=:=>01i>;:367?8b7=38?863k068101=:l921>9:4=e2:>72334n;m7<;4:?g4g<5<=16h=m525689a6c2;>?70j?e;070>;c8o098952d029612<5m;96?:;;`43=:=>01i?9:367?8b6?38?863k198101=:l831>9:4=e3b>72334n:n7<;4:?g5a<5<=16h?70j=0;070>;c:8098952d309612<5m886?:;;84=459>`70=:=>01i<7:367?8b5138?863k2`8101=:l;h1>9:4=e0`>72334n9h7<;4:?g6`<5<=16h?h525689a572;>?70j<1;070>;c;:098952d269612<5m9>6?:;;`6>=:=>01i=6:367?8b4i38?863k3c8101=:l:i1>9:4=b1e>72334i?<7<;4:?`04<5<=16o9<525689f242;>?70m;4;070>;d<<098952c549612<5j><6?:;;g1d=:=>01n:l:367?8e3l38?863l4d8101=:k=l1>9:4=b73>72334i>=7<;4:?`17<5<=16o8=525689f322;>?70m:6;070>;d=>098952c4:9612<5j?26?:;;g0e=:=>01n;k:367?8e2m38?863l618101=:k?;1>9:4=b41>72334i=?7<;4:?`21<5<=16o;;525689f012;>?70m97;070>;d>1098952c7;9612<5jg3c=:=>01n8i:367?8e0838?863l708101=:k>81>9:4=b50>72334i<87<;4:?`33<5<=16o:9525689f1?2;>?70m89;070>;d?h098952c6`9612<5j=h6?:;;g2`=:=>01n6>:367?8e?:38?863l828101=:k1>1>9:4=b:6>72334i3:7<;4:?`<2<5<=16o56525689f>>2;>?70m7a;070>;d0j098952c9f9612<5j2n6?:;;g<7=:=>01n7=:367?8e>;38?863l958101=:k0?1>9:4=b;4>72334i247<;4:?`=<<5<=16o4o525689f?e2;>?70m6c;070>;d1m098952c8g9612<5j3m6?:;;gd5=:=>01no;:367?8ef=38?863la78101=:kh=1>9:4=bc;>72334ij57<;4:?`ed<5<=16oll525689fgb2;>?70mnf;070>;dj9098952cc39612<5jh96?:;;gg3=:=>01nl9:367?8ee?38?863lb88101=:kkk1>9:4=b`a>72334iio7<;4:?`fa<5<=16ook525689fda2;>?70ml0;070>;dk8098952cb09612<5ji?6?:;;gf1=:=>01nm7:367?8ed138?863lc`8101=:kjh1>9:4=ba`>72334ihh7<;4:?`gc<5<=16oi>525689fb62;>?70mk2;070>;dl:098952ce69612<5jn>6?:;;ga>=:=>01njn:367?8ecj38?863ldb8101=:kmn1>9:4=bff>72334ioj7<;4:?`a5<5<=16oh?525689fc52;>?70mj3;070>;dm<098952cd49612<5jo<6?:;;g`g=:=>01o=j:367?8dfl38?863mf18101=:joh1>9:4=b25>72334i:=7<;4:?`5f<5<=16o?9525689f552;>?70m;e<0098952b469612<5k?m6?:;;<`5e?43<27i;84=459>f=6=:=>01o6m:367?8d>>38?863ma08101=:jhi1>9:4=c`;>72334hh?7<;4:?ag`<5<=16ni7525689gc32;>?70ljb;070>;emj098952bdf9612<5kon6?:;;<`fb?43<27ij<4=459>fc4=:=>01oh<:367?8da<38?863mf48101=:jo<1>9:4=cd4>72334hm47<;4:?ab<<5<=16nko525689g`d2;>?70lid;070>;enl098952bgd9612<5j:;6?:;;g55=:=>01n>;:367?8e7=38?863l068101=:k921>9:4=b2:>72334i;m7<;4:?`4g<5<=16o=m525689f6c2;>?70m?e;070>;d8o098952c029612<5j;96?:;;g43=:=>01n?9:367?8e6?38?863l198101=:k831>9:4=b3b>72334i:n7<;4:?`5a<5<=16o?70m=0;070>;d:8098952c309612<5j886?:;;84=459>g70=:=>01n<7:367?8e5138?863l2`8101=:k;h1>9:4=b0`>72334i9h7<;4:?`6`<5<=16o?h525689f572;>?70m<1;070>;d;:098952c269612<5j9>6?:;;g6>=:=>01n=6:367?8e4i38?863l3c8101=:k:i1>9:4=c1e>72334h?<7<;4:?a04<5<=16n9<525689g242;>?70l;4;070>;e<<098952b549612<5k><6?:;;<`7f1d=:=>01o:l:367?8d3l38?863m4d8101=:j=l1>9:4=c73>72334h>=7<;4:?a17<5<=16n8=525689g322;>?70l:6;070>;e=>098952b4:9612<5k?26?:;;<`6e?43<27i9o4=459>f0e=:=>01o;k:367?8d2m38?863m618101=:j?;1>9:4=c41>72334h=?7<;4:?a21<5<=16n;;525689g012;>?70l97;070>;e>1098952b7;9612<5kf3c=:=>01o8i:367?8d0838?863m708101=:j>81>9:4=c50>72334h<87<;4:?a33<5<=16n:9525689g1?2;>?70l89;070>;e?h098952b6`9612<5k=h6?:;;<`4`?43<27i;h4=459>f2`=:=>01o6>:367?8d?:38?863m828101=:j1>1>9:4=c:6>72334h3:7<;4:?a<2<5<=16n56525689g>>2;>?70l7a;070>;e0j098952b9f9612<5k2n6?:;;<`;b?43<27i5=4=459>f<7=:=>01o7=:367?8d>;38?863m958101=:j0?1>9:4=c;4>72334h247<;4:?a=<<5<=16n4o525689g?e2;>?70l6c;070>;e1m098952b8g9612<5k3m6?:;;<`b4?43<27im?4=459>fd5=:=>01oo;:367?8df=38?863ma78101=:jh=1>9:4=cc;>72334hj57<;4:?aed<5<=16nll525689ggb2;>?70lnf;070>;ej9098952bc39612<5kh96?:;;<`a7?43<27in94=459>fg3=:=>01ol9:367?8de?38?863mb88101=:jkk1>9:4=c`a>72334hio7<;4:?afa<5<=16nok525689gda2;>?70ll0;070>;ek8098952bb09612<5ki?6?:;;<``1?43<27io;4=459>ff1=:=>01om7:367?8dd138?863mc`8101=:jjh1>9:4=ca`>72334hhh7<;4:?agc<5<=16ni>525689gb62;>?70lk2;070>;el:098952be69612<5kn>6?:;;<`g2?43<27ih:4=459>fa>=:=>01ojn:367?8dcj38?863mdb8101=:jmn1>9:4=cff>72334hoj7<;4:?aa5<5<=16nh?525689gc52;>?70lj3;070>;em<098952bd49612<5ko<6?:;;<`ff`g=:=>0q~7l:1821~X>k27=mo4>d49>325=:=301:9?:36:?811k38?563869810<=:??>1>974=643>72>34=>o7<;9:?41=<5<016;8:525;892372;>2708m4;07=>;1j:0984526c0961?<5?h:6?:6;<4a4?43127=mk4=489>2dc=:=301;ok:36:?80fk38?56s|9c83>3}Y1k16m4951e389d362>i01l;>:cg89d2f28n>70o66;070>{t1h0;69uQ9`9>e16=?j16m9>5bd9>e61=9m?0q~lm:1807~Xej273h448c:?;a4<0k273n448c:?;fd<0k273no48c:?;ff<0k273oo48c:?;gf<0k273oi48c:?;g`<0k273n=48c:?;f4<0k273hn48c:?;`a<0k273m448c:?;ed<0k273i?48c:?;a6<0k273hl48c:?;b6<0k273jl48c:?;bg<0k273jn48c:?;ba<0k273jh48c:?;bc<0k272<=48c:?:44<0k272d49>=dd=9m?014jl:6a89i014jn:6a892>i014m7:6a89i014m9:6a89i014l;:6a89i014l=:6a89i0q~ln:180[df34h8979l;<`04?1d3tyi47>522y]f==:0h91=i84=7;e>2e<5?3h6:m4=7;;>2e<5?3?6:m4=7;3>2e<5?2h6:m4=7:;>2e<5?2?6:m4=7:3>2e<5?h?6:m4=7`0>2e<5?h96:m4=7`2>2e<5?h;6:m4=7ce>2e<5?kn6:m4=7cg>2e<5?kh6:m4=7ff>4d434;1l90:n?526e195g4<5?n>6b39>2g>=9k801;lm:0`1?80em3;i>639c082f7=z{k=1<78t^c589dg52>i01lo=:cg89de12ko01lmm:0f6?8g>k3;o96s|b783>1}Yj?16mnl51e689dd128n?70om9;3g0>{ti;0;69uQa39>f63=jl16ik95bd9>?79i;=79i;;79i;979i;?79i;=79i;479n;<560?1f34=><79n;79n;<4a5?1f34e3413413413413413413413ty556`xZ2`<5k8o6:o4=c1`>2g<5k8m6:o4=c1;>2g<5k986:o4=c12>2g<5k9=6:o4=24:>2g<5=><6:o4=5ag>2g<5=o86:o4=5d:>2g<5<:m6:o4=406>2g<5<9i6:o4=472>2g<5<<<6:o4=25e>2g<5:3>6:o4=2ca>2g<5:i:6:o4=2f4>2g<5:oo6:o4=520>2g<5=;26:o4=50e>2g<5=>>6:o4=57g>2g<5==86:o4=5::>2g<5=3m6:o4=5`6>2g<5=i86:o4=5a6>2g<5=i<6:o4=5a:>2g<5=ii6:o4=5ae>2g<5=n:6:o4=5f0>2g<5=n>6:o4=5f4>2g<5=n26:o4=5fa>2g<5=no6:o4=5fe>2g<5=o:6:o4=5g6>2g<5=o<6:o4=5g:>2g<5=oi6:o4=5gg>2g<5=om6:o4=5d2>2g<5=l86:o4=5d6>2g<5=l<6:o4=5da>2g<5=lo6:o4=5de>2g<5<::6:o4=420>2g<5<:>6:o4=424>2g<5<:26:o4=42a>2g<5<:o6:o4=432>2g<5<;86:o4=436>2g<5<;<6:o4=43:>2g<5<;i6:o4=43g>2g<5<;m6:o4=402>2g<5<886:o4=404>2g<5<826:o4=40a>2g<5<8o6:o4=40e>2g<5<9:6:o4=410>2g<5<9>6:o4=414>2g<5<926:o4=41g>2g<5<9m6:o4=462>2g<5<>86:o4=466>2g<5<><6:o4=46:>2g<5<>i6:o4=46g>2g<5<>m6:o4=470>2g<56:o4=474>2g<52g<52g<5<<:6:o4=440>2g<5<<>6:o4=24a>2g<5:2g<5:=:6:o4=250>2g<5:=>6:o4=254>2g<5:=26:o4=25a>2g<5:=o6:o4=2:2>2g<5:286:o4=2:6>2g<5:2<6:o4=2::>2g<5:2i6:o4=2:g>2g<5:2m6:o4=2;2>2g<5:386:o4=2;4>2g<5:326:o4=2;a>2g<5:3o6:o4=2;e>2g<5:k:6:o4=2c0>2g<5:k>6:o4=2c4>2g<5:k26:o4=2cg>2g<5:km6:o4=2`2>2g<5:h86:o4=2`6>2g<5:h<6:o4=2`:>2g<5:hi6:o4=2`g>2g<5:hm6:o4=2a0>2g<5:i>6:o4=2a4>2g<5:i26:o4=2aa>2g<5:io6:o4=2ae>2g<5:n:6:o4=2f0>2g<5:n>6:o4=2f:>2g<5:ni6:o4=2fg>2g<5:nm6:o4=2g2>2g<5:o86:o4=2g6>2g<5:o<6:o4=2g:>2g<5:oi6:o4=2ge>2g<5:l:6:o4=2d0>2g<5:l>6:o4=2d4>2g<5:l26:o4=2da>2g<5:lo6:o4=2de>2g<5=::6:o4=526>2g<5=:<6:o4=52:>2g<5=:i6:o4=52g>2g<5=:m6:o4=532>2g<5=;86:o4=536>2g<5=;<6:o4=53a>2g<5=;o6:o4=53e>2g<5=8:6:o4=500>2g<5=8>6:o4=504>2g<5=826:o4=50a>2g<5=8o6:o4=512>2g<5=986:o4=516>2g<5=9<6:o4=51:>2g<5=9i6:o4=51g>2g<5=9m6:o4=562>2g<5=>86:o4=56:>2g<5=>i6:o4=56g>2g<5=>m6:o4=572>2g<5=?86:o4=576>2g<5=?<6:o4=57:>2g<5=?i6:o4=57e>2g<5=<:6:o4=540>2g<5=<>6:o4=544>2g<5=<26:o4=54a>2g<5=2g<5==:6:o4=556>2g<5==<6:o4=55:>2g<5==i6:o4=55g>2g<5==m6:o4=5:2>2g<5=286:o4=5:6>2g<5=2<6:o4=5:a>2g<5=2o6:o4=5:e>2g<5=3:6:o4=5;0>2g<5=3>6:o4=5;4>2g<5=326:o4=5;a>2g<5=3o6:o4=5c2>2g<5=k86:o4=5c6>2g<5=k<6:o4=5c:>2g<5=ki6:o4=5cg>2g<5=km6:o4=5`2>2g<5=h86:o4=5`4>2g<5=h26:o4=5`a>2g<5=ho6:o4=5`e>2g<5=i:6:o4=24;>2`<5=>=6:h4=5a`>2`<5=o96:h4=5d;>2`<5<:n6:h4=407>2`<5<9j6:h4=473>2`<5<<=6:h4=25f>2`<5:3?6:h4=2cb>2`<5:i;6:h4=2f5>2`<5:oh6:h4=521>2`<5=;36:h4=50f>2`<5=>?6:h4=57`>2`<5==96:h4=5:;>2`<5=3n6:h4=5`7>2`<5=i96:h4=5a7>2`<5=i=6:h4=5a;>2`<5=ij6:h4=5af>2`<5=n;6:h4=5f1>2`<5=n?6:h4=5f5>2`<5=n36:h4=5fb>2`<5=nh6:h4=5ff>2`<5=o;6:h4=5g7>2`<5=o=6:h4=5g;>2`<5=oj6:h4=5g`>2`<5=on6:h4=5d3>2`<5=l96:h4=5d7>2`<5=l=6:h4=5db>2`<5=lh6:h4=5df>2`<5<:;6:h4=421>2`<5<:?6:h4=425>2`<5<:36:h4=42b>2`<5<:h6:h4=433>2`<5<;96:h4=437>2`<5<;=6:h4=43;>2`<5<;j6:h4=43`>2`<5<;n6:h4=403>2`<5<896:h4=405>2`<5<836:h4=40b>2`<5<8h6:h4=40f>2`<5<9;6:h4=411>2`<5<9?6:h4=415>2`<5<936:h4=41`>2`<5<9n6:h4=463>2`<5<>96:h4=467>2`<5<>=6:h4=46;>2`<5<>j6:h4=46`>2`<5<>n6:h4=471>2`<52`<52`<52`<5<<;6:h4=441>2`<5<2`<5:2`<5:=;6:h4=251>2`<5:=?6:h4=255>2`<5:=36:h4=25b>2`<5:=h6:h4=2:3>2`<5:296:h4=2:7>2`<5:2=6:h4=2:;>2`<5:2j6:h4=2:`>2`<5:2n6:h4=2;3>2`<5:396:h4=2;5>2`<5:336:h4=2;b>2`<5:3h6:h4=2;f>2`<5:k;6:h4=2c1>2`<5:k?6:h4=2c5>2`<5:k36:h4=2c`>2`<5:kn6:h4=2`3>2`<5:h96:h4=2`7>2`<5:h=6:h4=2`;>2`<5:hj6:h4=2``>2`<5:hn6:h4=2a1>2`<5:i?6:h4=2a5>2`<5:i36:h4=2ab>2`<5:ih6:h4=2af>2`<5:n;6:h4=2f1>2`<5:n?6:h4=2f;>2`<5:nj6:h4=2f`>2`<5:nn6:h4=2g3>2`<5:o96:h4=2g7>2`<5:o=6:h4=2g;>2`<5:oj6:h4=2gf>2`<5:l;6:h4=2d1>2`<5:l?6:h4=2d5>2`<5:l36:h4=2db>2`<5:lh6:h4=2df>2`<5=:;6:h4=527>2`<5=:=6:h4=52;>2`<5=:j6:h4=52`>2`<5=:n6:h4=533>2`<5=;96:h4=537>2`<5=;=6:h4=53b>2`<5=;h6:h4=53f>2`<5=8;6:h4=501>2`<5=8?6:h4=505>2`<5=836:h4=50b>2`<5=8h6:h4=513>2`<5=996:h4=517>2`<5=9=6:h4=51;>2`<5=9j6:h4=51`>2`<5=9n6:h4=563>2`<5=>96:h4=56;>2`<5=>j6:h4=56`>2`<5=>n6:h4=573>2`<5=?96:h4=577>2`<5=?=6:h4=57;>2`<5=?j6:h4=57f>2`<5=<;6:h4=541>2`<5=2`<5=<36:h4=54b>2`<5=2`<5==;6:h4=557>2`<5===6:h4=55;>2`<5==j6:h4=55`>2`<5==n6:h4=5:3>2`<5=296:h4=5:7>2`<5=2=6:h4=5:b>2`<5=2h6:h4=5:f>2`<5=3;6:h4=5;1>2`<5=3?6:h4=5;5>2`<5=336:h4=5;b>2`<5=3h6:h4=5c3>2`<5=k96:h4=5c7>2`<5=k=6:h4=5c;>2`<5=kj6:h4=5c`>2`<5=kn6:h4=5`3>2`<5=h96:h4=5`5>2`<5=h36:h4=5`b>2`<5=hh6:h4=5`f>2`<5=i;6:h4=dgf>2g<5hk26:o4=`cb>2g<5h3?6:o4=`:2>2g<5h2;6:o4=`5e>2g<5h=n6:o4=`5g>2g<5h=h6:o4=`5a>2g<5h=j6:o4=`5:>2g<5h=36:o4=`af>2g<5hio6:o4=`;g>2g<5hk:6:o4=`c3>2g<5h8i6:o4=`0`>2g<5h8o6:o4=`0f>2g<5h8m6:o4=`13>2g<5h9:6:o4=`11>2g<5h>i6:o4=`73>2g<5h>n6:o4=`6e>2g<5h936:o4=`1e>2g<5h9i6:o4=`1`>2g<5h9o6:o4=`1f>2g<5h;>6:o4=`35>2g<5h;:6:o4=9c6>2g<51k=6:o4=`30>2g<5h;?6:o4=9`6>2g<51i<6:o4=9c4>2g<50:=6:o4=827>2g<50:26:o4=824>2g<50:h6:o4=82b>2g<50:m6:o4=82g>2g<50;96:o4=833>2g<50;>6:o4=830>2g<50;36:o4=835>2g<50;i6:o4=83:>2g<50;n6:o4=83`>2g<508:6:o4=83e>2g<503o6:o4=8;b>2g<503=6:o4=8;1>2g<502n6:o4=8:b>2g<502=6:o4=8:1>2g<50=n6:o4=85b>2g<50?26:o4=875>2g<50?96:o4=86f>2g<50>j6:o4=865>2g<50>96:o4=81f>2g<509j6:o4=815>2g<5hkh6:o4=`cf>2g<5k;?6:o4=`;1>2g<58;h<79n;<30=3<0i27:?4;57`9>56?32>k01<=63;5b?8741;038393d=:9:2m6:o4=01;a?1f34;84i48a:?27=e=?h16=>6m:6c8945?i3=j70?<8884e>;6;121;l5212:4>2g<5893:79n;<30<1<0i27:?5=57`9>56>52>k01<=71;5b?87409036d93d=:9:=n6:o4=014`?1f34;8;n48a:?272d=?h16=>96:6c8945003=j70?<7684e>;6;><1;l521256>2g<589<879n;<3036<0i27:?:<57`9>56162>k01<=80;5b?874>l037f93d=:9:87:6c89451?3=j70?<6784e>;6;??1;l521240>2g<589=>79n;<3024<0i27:?;>57`9>563a2>k01<=:e;5b?874=m034a93d=:9:?i6:o4=016e?1f34;89:48a:?2700=?h16=>;::6c89452<3=j70?<5284e>;6;<81;l521272>2g<589><79n;<300c<0i27:?9k57`9>562d2>k01<=;b;5b?87435;93d=:9:>36:o4=0173?1f34;88;48a:?2713=?h16=>:;:6c89453;3=j70?<4084e>;6;=:1;l52121e>2g<5898i79n;<307a<0i27:?>m57`9>565e2>k01<=32:93d=:9:9=6:o4=0101?1f34;8?948a:?2765=?h16=>==:6c8945493=j70?<3184e>;6;;l1;l52120f>2g<5899h79n;<306g<0i27:??o57`9>564>2>k01<==8;5b?874:>033493d=:9:8>6:o4=0110?1f34;8>>48a:?2774=?h16=>;6;8n1;l52123`>2g<589:n79n;<305d<0i27:?<757`9>567?2>k01<=>7;5b?8749<030693d=:9:;86:o4=0126?1f34;8=<48a:?2746=?h16=>>i:6c89457m3=j70?<0e84e>;6;9i1;l52122b>2g<589;579n;<304=<0i27:?=957`9>56612>k01<=?5;5b?8748=031193d=:9::96:o4=0135?1f34;9jk48a:?26cc=?h16=?hk:6c8944ak3=j70?=fc84e>;6:ok1;l5213d:>2g<588m479n;<31b2<0i27:>k857`9>57`32>k01<2g393d=:9;l;6:o4=00fb?1f34;9ih48a:?26`b=?h16=?kl:6c8944bj3=j70?;6;l=1;l5212g5>2g<589n979n;<30a1<0i27:?h=57`9>56c52>k01<=j1;5b?874m903ed93d=:9:no6:o4=01gg?1f34;8ho48a:?27ag=?h16=>j6:6c8945c03=j70?;6;m<1;l5212f6>2g<589o879n;<30`7<0i27:?i?57`9>56b72>k01<=lf;5b?874kl03bf93d=:9:ih6:o4=01`f?1f34;8ol48a:?27f?=?h16=>m8:6c8945d>3=j70?;6;j>1;l5212a0>2g<589h>79n;<30g4<0i27:?n>57`9>56da2>k01<=me;5b?874jj03c`93d=:9:hj6:o4=01a=?1f34;8n548a:?27g1=?h16=>l9:6c8945e=3=j70?;6;k91;l5212`2>2g<589i<79n;<30ec<0i27:?lk57`9>56gc2>k01<=nc;5b?874ik03`c93d=:9:k26:o4=01bo;:6c8945f;3=j70?;6;h;1;l5212c3>2g<5892j79n;<30=`<0i27:?4j57`9>56?e2>k01<=6a;5b?87410038:93d=:9:3<6:o4=01:4?1f34;84848a:?272g=?h16=>8i:6c89451<3=j70?<5984e>;6;=n1;l521261>2g<5898;79n;<306f<0i27:???57`9>56712>k01<=?b;5b?8748902g793d=:9:o26:o4=01ga?1f34;8h>48a:?27f>=?h16=>lk:6c8945e:3=j70?;6;0i1;l52127:>2g<588nm79n;879n;79n;79n;79n;=79n;?79n;:79n;479n;m79n;o79n;i79n;79n;79n;79n;79n;879n;<`6b?1f34h=m79n;<`41?1f34h3<79n;<`;f?1f34h2:79n;<`b5?1f34hjo79n;<`a79n;<`e7?1f34hm879n;<`e1?1f34hm:79n;<`e3?1f34hm479n;<`e=?1f34hmm79n;<`eg?1f34hmh79n;<`ea?1f34hmj79n;79n;79n;<`77?1f34h?879n;<`71?1f34h?:79n;<`73?1f34h?479n;<`7e?1f34h?n79n;<`7g?1f34h?h79n;<`7a?1f34h?j79n;<`64?1f34h>=79n;<`66?1f34h>?79n;<`61?1f34h>:79n;<`63?1f34h>479n;<`6=?1f34h>m79n;<`6f?1f34h>o79n;<`6`?1f34h>i79n;<`54?1f34h==79n;<`56?1f34h=?79n;<`50?1f34h=979n;<`52?1f34h=;79n;<`579n;<`47?1f34h<879n;<`42?1f34h<;79n;<`479n;<`;7?1f34h3879n;<`;1?1f34h3:79n;<`;3?1f34h3479n;<`;=?1f34h3m79n;<`;g?1f34h3h79n;<`;a?1f34h3j79n;<`:4?1f34h2=79n;<`:6?1f34h2?79n;<`:0?1f34h2979n;<`:3?1f34h2479n;<`:=?1f34h2m79n;<`:f?1f34h2o79n;<`:`?1f34h2i79n;<`:b?1f34hj<79n;<`b6?1f34hj?79n;<`b0?1f34hj979n;<`b2?1f34hj;79n;<`b79n;<``0?1f34hh979n;<``2?1f34hh;79n;<``79n;<`g7?1f34ho879n;<`g1?1f34ho:79n;<`g3?1f34ho479n;<`ge?1f34hon79n;<`gg?1f34hoh79n;<`ga?1f34hoj79n;<`f4?1f34hn=79n;<`f6?1f34hn?79n;<`f1?1f34hn:79n;<`f3?1f34hn479n;<`f=?1f34hnm79n;579n;b34d34d34d34d34d34d34d3tyi97>52z\a1>;?i:09?n5rs316>5<59mq6=8>;:0f2?851039<70:;6;14?82dk39<70:j2;14?82a039<70;?e;14?835<39<70;39<70=jc;14?827:39<70:>8;14?825m39<70:;4;14?822k39<70:82;14?82?039<70:6e;14?82e<39<70:l2;14?82d<39<70:l6;14?82d039<70:la;14?82dm39<70:k0;14?82c:39<70:k4;14?82c>39<70:k8;14?82ci39<70:kc;14?82cm39<70:j0;14?82b<39<70:j6;14?82b039<70:ja;14?82bk39<70:je;14?82a839<70:i2;14?82a<39<70:i6;14?82ai39<70:ic;14?82am39<70;?0;14?837:39<70;?4;14?837>39<70;?8;14?837i39<70;?c;14?836839<70;>2;14?836<39<70;>6;14?836039<70;>a;14?836k39<70;>e;14?835839<70;=2;14?835>39<70;=8;14?835i39<70;=c;14?835m39<70;<0;14?834:39<70;<4;14?834>39<70;<8;14?834k39<70;39<70;:8;14?832i39<70;:c;14?832m39<70;90;14?831:39<70;94;14?851i39<70=9c;14?851m39<70=80;14?850:39<70=84;14?850>39<70=88;14?850i39<70=8c;14?85?839<70=72;14?85?<39<70=76;14?85?039<70=7a;14?85?k39<70=7e;14?85>839<70=62;14?85>>39<70=68;14?85>i39<70=6c;14?85>m39<70=n0;14?85f:39<70=n4;14?85f>39<70=n8;14?85fk39<70=ne;14?85e839<70=m2;14?85e<39<70=m6;14?85e039<70=ma;14?85ek39<70=me;14?85d:39<70=l4;14?85d>39<70=l8;14?85di39<70=lc;14?85dm39<70=k0;14?85c:39<70=k4;14?85c039<70=ka;14?85ck39<70=ke;14?85b839<70=j2;14?85b<39<70=j6;14?85b039<70=ja;14?85bm39<70=i0;14?85a:39<70=i4;14?85a>39<70=i8;14?85ai39<70=ic;14?85am39<70:?0;14?827<39<70:?6;14?827039<70:?a;14?827k39<70:?e;14?826839<70:>2;14?826<39<70:>6;14?826i39<70:>c;14?826m39<70:=0;14?825:39<70:=4;14?825>39<70:=8;14?825i39<70:=c;14?824839<70:<2;14?824<39<70:<6;14?824039<70:39<70:98;14?821i39<70:9c;14?821m39<70:80;14?820<39<70:86;14?820039<70:8a;14?820k39<70:8e;14?82?839<70:72;14?82?<39<70:76;14?82?i39<70:7c;14?82?m39<70:60;14?82>:39<70:64;14?82>>39<70:68;14?82>i39<70:6c;14?82f839<70:n2;14?82f<39<70:n6;14?82f039<70:na;14?82fk39<70:ne;14?82e839<70:m2;14?82e>39<70:m8;14?82ei39<70:mc;14?82em39<70:l0;14?8b393i870j;0;a0?8b4n3i870j3i870h>7;a0?8`613i870h>8;a0?8`503i870h=9;a0?8`5j3i870h=a;a0?8`4i3i870h3i870j77;a0?8b?13i870j78;a0?8b>03i870j69;a0?8b>j3i870j6a;a0?8bfi3i870jnb;a0?8bfl3i870jnc;a0?8bek3i870jmd;a0?8ben3i870jme;a0?8bdm3i870jlf;a0?8bc93i870jk0;a0?8bb83i870jj1;a0?8bb;3i870jj2;a0?8ba:3i870ji3;a0?8ba=3i870ji4;a0?8c6>3i870k>7;a0?8c613i870k>8;a0?8c503i870k=9;a0?8c5j3i870k=a;a0?8c4i3i870k<3i870k65;a0?8c>?3i870k66;a0?8cf>3i870kn7;a0?8cf13i870kn8;a0?8ce03i870km9;a0?8cej3i870kma;a0?8cck3i870kkd;a0?8ccn3i870kke;a0?8ca83i870ki1;a0?8ca;3i870ki2;a0?8cbn3i870l?2;a0?8gam3i870oia;a0?8ga>3i870oi2;a0?8gbm3i870oja;a0?8gb>3i870oj2;a0?811n3i87099b;a0?811?3i870993;a0?812n3i8709:b;a0?812?3i8709:3;a0?813n3i870o:a;3g0>;f=h0:h;528`5961?<50326n=4=8;6>f5<503:6n=4=8:g>f5<50226n=4=8:6>f5<502:6n=4=85g>f5<50=26n=4=876>f5<50?:6n=4=86g>f5<50>26n=4=866>f5<50>:6n=4=81g>f5<50926n=4=816>f5<50n36n=4=8f4>f5<50n=6n=4=8f6>f5<50n?6n=4=8a7>f5<50i86n=4=8a1>f5<50i:6n=4=8a3>f5<50h;6n=4=8ce>f5<50kn6n=4=8cg>f5<50kh6n=4=7;a>f5<5?3<6n=4=7;0>f5<5?2m6n=4=7:a>f5<5?2<6n=4=7:0>f5<5?=m6n=4=`7:>gc<5?nn6<<:;<4ga?75<27=hh4>229>2ac=9:?01;jj:06e1>;1ll0:8k=4=7ff>73734;1j<0:8hh4=7`6>42bm27=n84=519>2g3=:<;01;m;:06e6>;1k=0:8k>4=7a7>42bn27=o94>4dg893e32;?;708l4;065>;1k>0:8k<4=7a4>42a827=o:4>4dd893e028>ni639c68115=:>j=1>8?4=7ab>42a:27=ol4>4g2893ef28>nj639c`820`c<5?ij6?;?;<4`e?42927=oi4>4g0893ec28>m<639ce820``<5?io6<:je:?5ga<5=916:nj5243893b728>m>639d1820c6<5?n;6<:jf:?5`5<6=639d2820c4<5?n86<:i0:?5`6<6;1l:099=526e19607<5?n>6<:i2:?5`0<6;1l<0:8hk4=7f6>73734;1l10:8hh4=7f;>42bm27=h54=519>2a>=:<;01;jm:06e6>;1lk0:8k>4=7fa>42bn27=ho4>4dg893be2;?;708kb;065>;1j10:8k<4=7`;>42a827=n54>4dd893d?28>ni639b98115=:>k21>8?4=7`a>42a:27=no4>4g2893de28>nj639bc820`c<5?hi6?;?;<4af?42927=nh4>4g0893db28>m<639bd820``<5?hn6<:je:?5f`<5=916:ok5243893e628>m>639c0820c6<5?i:6<:jf:?5g4<6:373?80d938>=6s|6d194?4|5?o86?=l;<5;b?7c12wx:h650;0x93c?2;9h7097f;3g<>{t?1l1<775d34=8:7?k6:p363=838p1:=;:0f6?814>388o6s|6g194?4|5?l86?=l;<4f6?7c12wx:k;50;0x93`22;9h708j2;3g<>{t>o<1<775d347?k6:p2cd=838p1;hm:31`?80b:3;o;6s|6d094?4|5?o96?=l;<50=?7c12wx:k950;0x93`02;9h708j4;3g=>{t>oi1<775d34=:31`?80b<3;o:6s|71c94?4|5>:j6?=l;<4f0?7c?2wx:h:50;0x93c32;9h709<9;3g<>{t>o21<775d34<:31`?80b=3;o46s|71694?4|5>:?6?=l;<4f1?7c>2wx;=l50;0x926e2;9h708j5;3g3>{t>l?1<775d34=857?k6:p353=838p1:>::31`?80b13;o56s|70494?4|5>;=6?=l;<4f=?7c02wx;<950;0x92702;9h708j9;3g2>{t?8o1<775d34926?=l;<502;9h708j6;3g=>{t?9<1<775d348:31`?80b>3;o:6s|70:94?4|5>;36?=l;<4f2?7c?2wx:h850;0x93c12;9h709{t?921<775d34;j6?=l;<4fe?7c>2wx;{t>lk1<775d34=8m7?k8:p35?=838p1:>6:31`?80bj3;o56s|70`94?4|5>;i6?=l;<4ff?7c02wx;{t?;?1<775d34;o6?=l;<4fb?7c12wx;?850;0x92412;9h708jf;3g<>{t?;=1<775d34o50;0x925f2;9h709<8;3g<>{t>ok1<775d342wx;=m50;0x926d2;9h708j7;3g3>{t>l=1<775d34=8n7?k9:p2c`=838p1;hi:31`?80bk3;o56s|71f94?4|5>:o6?=l;<4fg?7c02wx;=k50;0x926b2;9h708jc;3g2>{t?;21<775d34:;6?=l;<4f`?7c12wx;=h50;0x926a2;9h708jd;3g<>{t?8:1<775d342wx;{t?;k1<775d348n6?=l;<4e4?7c?2wx:k>50;0x93`72;9h709{t?:h1<775d34=847?k6:p357=838p1:>>:31`?80bm3;o56s|70094?4|5>;96?=l;<4fa?7c02wx;<=50;0x92742;9h708je;3g2>{t?;i1<775d34;?6?=l;<4e5?7c12wx;?>50;0x92472;9h708i1;3g<>{t?;;1<775d34{t?;81<775d347?k8:p375=838p1:<<:31`?80a:3;o:6s|72294?4|5>9;6?=l;<4e6?7c?2wx:k<50;0x93`52;9h708j1;3g2>{t?;>1<775d34:31`?80a<3;o46s|72094?4|5>996?=l;<4e0?7c>2wx;>=50;0x92542;9h708i4;3g3>{t>o>1<775d34:31`?81403;o;6s|72594?4|5>9?6;11j09845268:961?<5?3?6?:6;<4:4?43127=4n4=489>2=>=:=301;6;:36:?80?838?56s|67a94?4|5?<367089a;00g>{t0=?1<74b2342wx49?50;0x93c428n=708ke;351>{t0=:1<74b>34986j50;0x925428n=708ke;355>{t0:i1<74b>34996650;0x925528n=708l1;30`>{t0:=1<74b>34:0f4?80d93;8n6s|82794?4|5>9:6:50;0x925628n=708l1;30=>{t0:91<74b>34;6s|82394?4|5>8?6m2wx4>>50;0x924328n=708l1;362>{t0;l1<74b>3486s|83a94?4|5>9;6{t0;k1<74b>34886{t0;<1<74b>34896{t0;;1<74b>34;>6{t08n1<74b>34:6s|80`94?4|5>8m6{t0831<74b>34:0f4?80em3;>>6s|80494?4|5>8:6{t08>1<74b>348;6{t08:1<74b>34;?6{t09h1<74b>34;6s|81;94?4|5>8h6m2wx4=650;0x924d28n=708mb;362>{t09=1<74b>3486s|81794?4|5>;86{t0991<74b>34;96{t?on1<74b>34>:0f4?80e03;8h6s|7g`94?4|5>::6{t?o31<74b>34=838p1:8n6{t?o>1<74b>34:6s|7g094?4|5>8i6{t?o:1<74b>34>6s|7dg94?4|5>8j6{t?li1<74b>34:0f4?80cj3;2j6s|7d;94?4|5>;:6{t?l=1<74b>3482628n=708kb;30=>{t?l91<74b>34;6s|7d394?4|5>;;6m2wx;ih50;0x927728n=708kb;362>{t?mo1<74b>34i:0f4?80cj3;>86s|7ea94?4|5>:m6{t?mk1<74b>34?:0f4?80cj3;??6s|7e:94?4|5>:;6{t?m<1<74b>34836{t?m;1<74b>34j:0f4?80c03;856s|7bd94?4|5>:n6{t?jn1<74b>34k:0f4?80c03;>:6s|7b`94?4|5>:o6{t?j21<74b>34>6s|7b494?4|5?lm6{t?j>1<74b>34l:0f4?80c=3;2j6s|7b094?4|5>:h6{t?j:1<74b>34{t?kh1<74b>34;6s|7c;94?4|5?lo6m2wx;o650;0x93`c28n=708k5;362>{t?k=1<74b>3486s|7c794?4|5?lj6{t?k81<74b>348o6{t?ho1<74b>348<6{t?hk1<74b>34=838p1:<9:0f4?80c;3;856s|7`594?4|5>8=6{t?h?1<74b>34:6s|7`194?4|5>;o6{t?h;1<74b>34>6s|78d94?4|5>8>6{t?0i1<74b>34;h6{t?021<74b>34;i6{t?0>1<74b>346:0f4?80c83;>;6s|88794?4|5>:26m2wx44:50;0x926>28n=708k0;362>{t0091<74b>3486s|88394?4|5>;m650;0x927a28n=708k0;366>{t01l1<74b>34;j6{t01k1<74b>34;2628n=708ld;30f>{t01<1<74b>347:0f4?80dl3;856s|89694?4|5>:36{t0181<74b>34:6s|86d94?4|5>;36{t0>n1<74b>348:0f4?80dl3;>>6s|86`94?4|5>:<6{t0>31<74b>34=838p1:>9:0f4?80di3;2j6s|86594?4|5>:=6{t0>>1<74b>3428n=708la;30=>{t0>:1<74b>34;6s|87g94?4|5>;n6m2wx4;j50;0x927b28n=708la;362>{t0?i1<74b>3486s|87;94?4|5>;<6{t0?=1<74b>34;=6{t0?91<74b>34::0f4?80d?3;8h6s|87394?4|5>:>6{t04b>34m:0f4?80d?3;856s|84a94?4|5>:i6{t04b>34;:0f4?80d?3;>:6s|84:94?4|5>:?6{t0<<1<74b>34<:0f4?80d?3;>>6s|84194?4|5>:86{t0<;1<74b>34{t0=n1<74b>34n:0f4?80d<3;8n6s|85`94?4|5>:j6{t0=21<74b>34=:0f4?80d<3;>;6s|85494?4|5>:96m2wx49:50;0x926528n=708l4;362>{t0:31<74b>3486s|83194?4|5?lh6{t09n1<74b>34{t?l:1<74b>34{t?k>1<74b>34{t01i1<74b>34:6s|86494?4|5?l>6{t0?:1<74b>34>6s|85c94?4|5?l86{t?091<74b>34;0?=0:h9526eg914=:>k?18>526b6906=:>j=18>526bc906=:>jn18>526e2906=:>m918>526e7906=:>m218>526e`906=:>k218>526c`906=:>ko18>526b3906=z{1k;6=4>1z?435<5984=64g>4b334;1j<08j639c580b>;1k>08j639c`80b>;1km08j639d180b>;1l:08j639d480b>;1l108j639dc80b>;1j108j639bc80b>;1jl08j639c080b>{t00n1<7?>{<550?43>27<:84>d59>2ac==016:o;54b9>2f2=2fg=2a6=2a3=2ad=2gd=2f7=9098;5277395a2<5?nn6864=7`6>1d<5?i?69l4=7a4>1d<5?ij69l4=7ag>1d<5?n;69l4=7f0>1d<5?n>69l4=7f;>1d<5?ni69l4=7`;>1d<5?hi69l4=7`f>1d<5?i:69l4}r::f?6=98q6;8m52548923c28n?708ke;74?80e=3>j708l4;6b?80d?3>j708la;6b?80dl3>j708k0;6b?80c;3>j708k5;6b?80c03>j708kb;6b?80e03>j708mb;6b?80em3>j708l1;6b?xu?1h0;672134=>57?k4:?5``<2>27=n84;9:?5g1<3127=o:4;9:?5gd<3127=oi4;9:?5`5<3127=h>4;9:?5`0<3127=h54;9:?5`g<3127=n54;9:?5fg<3127=nh4;9:?5g4<312wx44750;32812<38?:6385482`1=:>mo198526c790==:>j>185526b590==:>jk185526bf90==:>m:185526e190==:>m?185526e:90==:>mh185526c:90==:>kh185526cg90==:>j;1855rs9;;>5<69r7<9=4=479>307=9m>01;jj:46893d22==01;m;:55893e02==01;mn:55893ec2==01;j?:55893b42==01;j::55893b?2==01;jm:55893d?2==01;lm:55893db2==01;m>:558yv1?03:18v396`82`3=:>0l1>984=7c3>4b334=7086d;3g0>;1j:0ii6s|79494?2|5?27=544>d59>2g4=jl1v:6::18780113;o5639958103=:>0?1=i:4=7`2>gc54z?52<<6l116:4>5254893?628n?708m0;`f?xu00:0;69u267;95a1<5?2h6?:9;<4;`?7c<27=mk4me:p3=4=83>p1;86:0f5?80?038?:6398882`1=:>ho1nh5rs6:2>5<3s4<=57?k5:?5<1<500:h9526929610<5?2:623>=::i01;om:0f7?810;3=h70980;5`?811k3=h70998;5`?811<3=h70990;5`?812k3=h709:8;5`?812<3=h709:0;5`?xu01;0;6?u27269600<5?h?6?:9;|q4=4<72:q6:o=52548925?28n?709<6;3g0>{t?0:1<7=t=7`1>72134=847?k5:?473<6l<1v:6j:18581413;o86383`82`1=:?:h1=i:4=7g2>4b3344b2346d59>2`g=9m>01;km:0f7?80bn3;o8639e682`1=:>li1=i:4=7gg>4b334;1io098;5279d95a3513y>2`4=9m?01;k;:0f6?80b=3;o9639e882`0=:>l<1=i;4=7gb>4b234708jd;3g1>;1n90:h8526dg95a3<5?l:6d49>2dc=:=<01:6i:0f4?xu00h0;69=t=7g0>4b234708ib;3g1>;1n>0:h8526ga95a3<5>:96d49>355=9m?01:>;:0f6?817j3;o96380482`0=:?8<1=i;4=634>4b234=:i7?k5:?5b<<6l<16;=851e78926028n>709>8;3g1>;0810:h85270;95a3<5>;j6d49>34d=9m?01:?l:0f6?815=3;o96381e82`0=:?;<1=i;4=604>4b234=9h7?k5:?5bd<6l<16:kj51e7893`b28n>709?c;3g1>;1no0:h85271f95a3<5>:n6d49>35`=9m?01:??:0f6?81513;o96381082`0=:?;k1=i;4=60a>4b234=9i7?k5:?444<6l<16;<<51e78927428n>709=c;3g1>;09=0:h85273295a3<5>8:6d49>374=9m?01:<<:0f6?81483;o96382582`0=:?:;1=i;4=611>4b234=8?7?k5:?5ea<5d59>2cd=9m>01;h8:0f7?80ak3;o86380382`1=:?9k1=i:4=7d;>4b334=;?7?k4:?441<6l=16;=l51e68926228n?709>6;3g0>;09>0:h95270g95a2<5?l26d59>34>=9m>01:>7:0f7?81613;o86381`82`1=:?8l1=i:4=62:>4b334=:n7?k4:?45f<6l=16;?;51e68927c28n?709=6;3g0>;0:>0:h95273f95a2<5?lj6d59>35e=9m>01;hi:0f7?817l3;o86380d82`1=:?;21=i:4=623>4b334=;j7?k4:?455<6l=16;?751e68927628n?709=a;3g0>;0:k0:h95273g95a2<5>::64>d59>37e=9m>01:?;:0f7?81583;o86382082`1=:?;l1=i:4=636>4b334=9>7?k4:?466<6l=16;>>51e68924328n?709<1;3g0>;0;;0:h95272195a2<5?kh6?:9;|qa72<72;q6n>85bd9>f63=:=<0q~l<2;296~;e;80ii63m318103=z{k9?6=4={<`07?db34h8=7<;6:pf6?=838p1o=7:cg89g512;>=7p}m3`83>6}:j;l1nh52b219610<5k8n6;525589g572ko0q~lgcf6e=jl16=72134h897=;;<`04?533ty:=n=50;0x9g4c2ko01o=7:365?xu6:1h1<772134f2909w0:mf;072>;1ll0:><5rs00;=?6=:r7?ni4=479>2ac=9;:0q~?=8983>7}:984=7ff>47a3ty:>5950;0x91d>2;>=708ke;323>{t9;2=6=4={<6a3?43>27=o<4>309~w44?<3:1>v3;b28103=:>j;1jn5rs00;7?6=:r7?n<4=479>2f7=nk1v<<72;296~;3io098;526b39bd=z{883=7>52z?7ea<5v3;ac8103=:>j;1j55rs004b?6=:r7?m44=479>2f7=n>1v<<8e;296~;3i>098;526b395400d3=:=<01;m>:036?xu6:>i1<772134;1k80:=95rs004=?6=:r7?5i4=479>2f7=9890q~?=7983>7}:<0h1>984=7a2>4753ty:>:950;0x91?>2;>=708l1;325>{t9;==6=4={<6:3?43>27=o<4>119~w440=3:1>v3;948103=:>j;1==m4}r3131<72;q684=5254893e628::7p}>26194?4|5=3:6?:9;<4`5?`13ty:>:<50;0x91>a2;>=708me;305>{t9;=:6=4={<6;`?43>27=nh4ic:p57172909w0:7b;072>;1jl0mn6s|134f>5<5s4>3;7<;6:?5f`{t9;27=nh4i8:p570e2909w0:71;072>;1jl0m;6s|134b>5<5s4>02d=:=<01;lj:013?xu6:?=1<7721344:p57012909w0:87;072>;1jl0:=>5rs0051?6=:r7?;84=479>2gc=9880q~?=6283>7}:<>;1>984=7`f>4763ty:>;<50;0x910a2;>=708me;324>{t9;<:6=4={<65`?43>27=nh4>0b9~w44183:1>v3;6c8103=:>ko1==?4}r311c<72;q68;75254893db2o<0q~?=5d83>7}:984=7`a>4563ty:>8j50;0x91022;>=708mb;d`?xu6:72134:365?80ej3lj7p}>24c94?4|5=?m6?:9;<4af?`>3ty:>8650;0x913e2;>=708mb;d;?xu6:<=1<7721345<5s4>>97<;6:?5fg<69<1v<<:4;296~;3=:098;526c`95664?:3y>007=:=<01;lm:037?xu6:<81<7721343:p57362909w0:;d;072>;1jk0:=?5rs0064?6=:r7?8o4=479>2gd=98;0q~?=4g83>7}:<=31>984=7`a>4773ty:>9m50;0x91242;>=708mb;33g>{t9;>i6=4={<675?43>27=no4>009~w443i3:1>v3;3g8103=:>kh1j;5rs007=?6=:r7??i4=479>2g>=9:;0q~?=4983>7}:<:h1>984=7`;>ce06?=:=<01;l7:g`8yv75;5254893d?2o30q~?=4583>7}:<:91>984=7`;>c>4?:3y>067=:=<01;l7:g58yv75<80;6?u243f9610<5?h365<5s4>957<;6:?5f=<6;91v<<098;526c:9542073=:=<01;l7:030?xu6::i1<7721342:p575e2909w0:=1;072>;1j10:=<5rs000e?6=:r7?=k4=479>2g>=98:0q~?=3883>7}:<8n1>984=7`;>46d3ty:>>650;0x917e2;>=708m8;335>{t9;9=6=4={<623?43>27=n54i6:p57522909w0:>5;072>;1lk0:?<5rs0000?6=:r7?=>4=479>2ad=nj1v<<<3;296~;398098;526e`9bg=z{888>7>52z?74c<5v3;0e8103=:>mh1j45rs0004?6=:r7?2ad=n11v<<=f;296~;380098;526e`9b2=z{889i7>52z?742<5>:365?80cj3;8<6s|130b>5<5s49mj7<;6:?5`g<69=1v<<=9;296~;4nm098;526e`954554?:3y>7cd=:=<01;jm:031?xu6:;=1<7721341:p57412909w0=i7;072>;1lk0:==5rs0011?6=:r78j84=479>2ad=99i0q~?=2583>7}:;o91>984=7fa>4663ty:>?=50;0x96`62;>=708kb;d5?xu6:;81<772134;1l10mo6s|133e>5<5s49n57<;6:?5`={t9;;o6=4={<1f1?43>27=h54i9:p577d2909w0=j3;072>;1l10m46s|133a>5<5s49n=7<;6:?5`=52z?0`a<5j6:365?80c03;:86s|1336>5<5s49o97<;6:?5`=<69:1v<<>4;296~;4l:098;526e:95444?:3y>7a7=:=<01;j7:032?xu6:881<7721340:p57762909w0=ld;072>;1l10:2a>=99;0q~?=0g83>7}:;j31>984=7f;>c07f1=:=<01;j::012?xu6:9n1<772134m<:365?80c=3li7p}>21c94?4|5:hm6?:9;<4g1?`f3ty:>=750;0x96dc2;>=708k5;d:?xu6:921<772134l6:365?80c=3l<7p}>21494?4|5:h<6?:9;<4g1?76>2wx=?>::18185e=38?:639d48250=z{88;87>52z?0f6<56oi:365?80c=3;:?6s|1322>5<5s49jh7<;6:?5`0<69;1v7d1=:=<01;j::033?xu69on1<772134;1l<0:<<5rs03ef?6=:r78m<4=479>2a3=n?1v7f783>7}:;0=1>984=7f0>c?7<5=:=<01;j<:g:8yv76n:0;6?u23839610<5?n86k94}r32b7<72;q6?5h5254893b428;=7p}>1g394?4|5:2o6?:9;<4g7?76=2wx=52z?0<<<56::365?80c;3;:>6s|10g`>5<5s493?7<;6:?5`6<6981v72b=:=<01;j<:02`?xu69l21<772134;1l:0m:6s|10g5>5<5s49<;7<;6:?5`5<6;81v52z?036<55fc9~w47b;3:1>v3<708103=:>m:1jl5rs03f6?6=:r78:k4=479>2a6=n01vm098;526e29b==z{8;n<7>52z?02g<55f69~w44cl3:1>v3:648103=:>m:1=<84}r31`f<72;q69;=5254893b728;>7p}>2e`94?4|5<<:6?:9;<4g4?7482wx=?jn:181832n38?:639d18251=z{88o57>52z?61a<551018yv75l10;6?u254`9610<5?n;65<5s4?>;7<;6:?5`5<6991v<105=:=<01;j?:022?xu6:m81<7721345<5s4??n7<;6:?5ga{t9;in6=4={<773?43>27=oi4ia:p57ec2909w0;;5;072>;1km0m56s|13a`>5<5s4???7<;6:?5ga{t9;ij6=4={<70b?43>27=oi4>179~w44d13:1>v3:3e8103=:>jn1=<;4}r31g2<72;q69>75254893ec289;7p}>2b494?4|5<9<6?:9;<4``?76<2wx=?m::181834=38?:639ce8256=z{88h87>52z?676<5;|q26f4=838p185<5s4?9h7<;6:?5ga<68j1v<17?=:=<01;mk:g48yv75jl0;6?u25359610<5?ij6<=>;|q26ge=838p18<<:365?80di3lh7p}>2c`94?4|5<8:6?:9;<4`e?`e3ty:>oo50;0x907a2;>=708la;db?xu6:k31<772134=838p18?m:365?80di3l37p}>2c594?4|5<;26?:9;<4`e?`03ty:>o850;0x90702;>=708la;322>{t9;h>6=4={<721?43>27=ol4>149~w44e<3:1>v3:128103=:>jk1=>>4}r31f6<72;q692c394?4|5<:o6?:9;<4`e?76;2wx=?l?:181837j38?:639c`8257=z{88jj7>52z?64<<5::365?80di3;;o6s|13c`>5<5s4?;?7<;6:?5gd<6881v<52z?7bc<57}:984=7a4>cg0c3=:=<01;m8:g;8yv75i=0;6?u24g19610<5?i<6k64}r31e6<72;q68k?5254893e02o=0q~?=a383>7}:984=7a4>4713ty:>l?50;0x91cc2;>=708l7;321>{t9;k;6=4={<6ff?43>27=o:4>319~w44>n3:1>v3;e88103=:>j=1=<:4}r31=`<72;q68h95254893e028;87p}>28f94?4|5=o>6?:9;<4`3?76:2wx=?7m:18182b938?:639c68254=z{882m7>52z?7`c<5l;|q26<>=838p19jm:365?80d?3;;=6s|13;4>5<5s4>o57<;6:?5g22wx=?79:18182c?38?:639c58274=z{88297>52z?7`0<5<3:1>v3;d28103=:>j>1jo5rs00:7?6=:r7?h<4=479>2f2=nh1v<<62;296~;3ko098;526b69b<=z{882<7>52z?7gg<5v3;c88103=:>j>1j:5rs00;a?6=:r7?o:4=479>2f2=98<0q~?=8e83>7}:984=7a7>4723ty:>5m50;0x91e42;>=708l4;304>{t9;2>6=4={<6a1?43>27=o94>159~w440i3:1>v3;9g8103=:>j>1=<=4}r312c<72;q68575254893e328;97p}>27694?4|5==86?:9;<4`0?7692wx=?;6:181822l38?:639c58255=z{88?h7>52z?700<5>;|q2661=838p19?6:365?80d<3l=7p}>23a94?4|5=:86?:9;<4a1?7492wx=?<>:18185bl38?:639b48eg>{t9;;=6=4={<1g3?43>27=n84ib:p576e2909w0=l1;072>;1j<0mm6s|1323>5<5s49jn7<;6:?5f0=38?:639b48e<>{t98oj6=4={<14b?43>27=n84i7:p57bb2909w0;97;072>;1j<0:=;5rs00g7?6=:r7>9<4=479>2g3=98?0q~?=c983>7}:=:h1>984=7`6>4573ty:>oj50;0x90422;>=708m5;320>{t9;h96=4={<73b?43>27=n84>129~w44f?3:1>v3;f88103=:>k?1=<<4}r31=f<72;q68h=5254893d228;:7p}>28394?4|5=io6?:9;<4a1?7682wx=?:j:181823?38?:639b4824f=z{8;oj7>52z?02<<572134k:>7?k4:?;`<<4>273i<4<6:?;f<<4i273nl4452z?;e6<6l=16n<=52548yv75m<0;6>u2b3f961?<5h9;6?:9;h:50;6x9g5?2;>270l<3;07=>;f:o098;52a229f`=z{88n?7>55z?a6c<5<016n>?525;89g512;>270o=e;072>;f:o0ii6s|13g1>5<4s4h8o7<:6:?b6a<57233ty:>h>50;:x9`cb2;?=70o64;`f?8gd<3;o;63n2c8103=:i;i1nh52a4a95a3<5k;?6?:6;1>974}r31a3<72;>p1l=>:365?8g4:3hn706n5;07=>;f9:0984528c7961?<51i<6?:6;<;32?431272<94=489>=5?=:=3014>8:36:?8?7k38?56360`810<=:19l1>974=82g>72>343:>7<;9:?:55<5<0165<;525;89<742;>2707>8;07=>;>9?09845290`961?<50;26?:6;<;2a?431272=n4=489>=77=:=3014?i:36:?8?>l38?56369`810<=:10<1>974=8;1>72>3433i7<;9:?:52;>27078e;07=>;>?h09845rs03`0?6=9=q6m5?525;89d>72;>270o8f;07=>;f?l098452a6f961?<5h=h6?:6;e2?=:=301l97:36:?8gdm38?563nce810<=:i0=15852a4a95a2<5h9o6?:9;de83>46|50;m6?:9;<4ga?0b34;1j<0>i639c586a>;1k>0>i639c`86a>;1km0>i639d186a>;1l:0>i639d486a>;1l10>i639dc86a>;1j10>i639bc86a>;1jl0>i639c086a>{t98ni6=4>0z?:5<<52g3==m16:n:55e9>2f1==m16:no55e9>2fb==m16:i>55e9>2a5==m16:i;55e9>2a>==m16:il55e9>2g>==m16:ol55e9>2gc==m16:n?55e9~w47ci3:1==u29049610<5?nn6:94=7`6>0e<5?i?68m4=7a4>0e<5?ij68m4=7ag>0e<5?n;68m4=7f0>0e<5?n>68m4=7f;>0e<5?ni68m4=7`;>0e<5?hi68m4=7`f>0e<5?i:68m4}r32`<<728:p14?<:365?80cm3==708m5;4:?80d<3<2708l7;4:?80di3<2708ld;4:?80c83<2708k3;4:?80c=3<2708k8;4:?80cj3<2708m8;4:?80ej3<2708me;4:?80d93<27p}>1e:94?77s43:<7<;6:?5``<0=27=n8498:?5g1<1027=o:498:?5gd<1027=oi498:?5`5<1027=h>498:?5`0<1027=h5498:?5`g<1027=n5498:?5fg<1027=nh498:?5g4<102wx=8m098;526eg931=:>k?1::526b6922=:>j=1::526bc922=:>jn1::526e2922=:>m91::526e7922=:>m21::526e`922=:>k21::526c`922=:>ko1::526b3922=z{8;o:7>511y>=5g=:=<01;jj:61893d22?<01;m;:74893e02?<01;mn:74893ec2?<01;j?:74893b42?<01;j::74893b?2?<01;jm:74893d?2?<01;lm:74893db2?<01;m>:748yv76l<0;6<>t=824>72134;1ll0<=639b4850>;1k=0=8639c6850>;1kh0=8639ce850>;1l90=8639d2850>;1l<0=8639d9850>;1lk0=8639b9850>;1jk0=8639bd850>;1k80=86s|10f1>5<6>r7joh4me:?;g2<52:?015k>:2789=d>2:3015ln:2;89=de2:3015ll:2;89=ee2:3015ml:2;89=ec2:3015mj:2;89=d72:3015l>:2;89=bd2:3015jk:2;89=g>2:3015on:2;89=c52:3015k<:2;89=bf2:30q~?>d083>40|5hio6ok4=9`6>72134k:>7?k5:?;`<<4<273i<4<4:?;f<<40273nl4<8:?;fg<40273nn4<8:?;gg<40273on4<8:?;ga<40273oh4<8:?;f5<40273n<4<8:?;`f<40273hi4<8:?;e<<40273ml4<8:?;a7<40273i>4<8:?;`d<402wx=;>1m098;5298g95a2<50nj6>j4=8a5>6be=6=jl165=7076b;3g0>;>lh08n636c780f>{t98in6=49{7213432?7?k4:?:`d<41272o;4<9:p54ed290=w0o8d;`f?8?6<3;o86368d8103=:11l1=i:4=8fb>6><50i=6>64}r32gg<72?q6m:m5bd9>=47=9m>0146n:365?8??j3;o8636d880`>;>k<08h6s|10ab>5<1s4k==1=9m>014j6:2`89c883>3}:i>k1nh5291`95a2<50296?:9;<;;7?7c<272h44;>?l098;5296d95a2<50n26>74=8a6>6?e2>=jl165=;51e689<1f2;>=7078b;3g0>;>l0084636c480<>{t98nn6=42g3=9=l<708m5;37b3=:>j>1=9hn;<4`0?73n116:n:515d4?80d<3;?j;526b5951`f342f1=9=l<708l7;37b3=:>jk1=9hn;<4`e?73n116:no515d4?80di3;?j;526bf951`f342fb=9=l<708ld;37b3=:>m:1=9hn;<4g4?73n116:i>515d4?80c83;?j;526e1951`f342a5=9=l<708k3;37b3=:>m?1=9hn;<4g1?73n116:i;515d4?80c=3;?j;526e:951`f342a>=9=l<708k8;37b3=:>mh1=9hn;<4gf?73n116:il515d4?80cj3;?j;526c:951`f342g>=9=l<708m8;37b3=:>kh1=9hn;<4af?73n116:ol515d4?80ej3;?j;526cg951`f342gc=9=l<708me;37b3=:>j;1=9hn;<4`5?73n116:n?515d4?80d93;?j;5rs03g7?6=;r7j=94=479>f43=9m?01;l::g48yv76k?0;6>u2a4c95a3<51k<6?:9;f;3;o963835817f=z{8>2:7>53z?7g56}:72134hn57lj;|q20<2=839p19ll:cg8945><38?:63me98aa>{t9=386=4<{<6ae?db34;85>4=479>f`1=jl1v<:62;297~;3j10ii63>3809610<5ko=6ok4}r37=4<72:q68o85bd9>56?62;>=70lj5;`f?xu6<1l1<7=t=5`1>gc<5893j7<;6:?aa6:cg8yv730j0;6>u24`a9f`=:9:2h6?:9;<`f4?db3ty:85l50;1x91gf2ko01<=7b;072>;elo0ii6s|15:b>5<4s4>j47lj;<30;6;131>984=cfg>gc0d2=jl16=>67:365?8dck3hn7p}>49594?5|5=k96ok4=01;3?43>27iho4me:p51>12908w0:n0;`f?8740?098;52bec9f`=z{8>387>53z?7=f6}:<0k1nh5212:0>72134ho;7lj;|q20=4=839p1977:cg8945?:38?:63md78aa>{t9=2:6=4<{<6:2?db34;84<4=479>fa3=jl1v<:70;297~;31=0ii63>3929610<5kn?6ok4}r373c<72:q684<5bd9>561a2;>=70lk3;`f?xu6<>o1<7=t=5;3>gc<589u249c9f`=:9:=i6?:9;<``b?db3ty:8:750;1x91>12ko01<=89;072>;ekm0ii6s|155;>5<4s4>387lj;<303=<5;6;>=1>984=caa>gc0=6=jl16=>99:365?8ddi3hn7p}>46794?5|5==n6ok4=0141?43>27io44me:p51132908w0:8c;`f?874?=098;52bb:9f`=z{8>53z?73d6}:<>21nh521251>72134hh:7lj;|q2027=839p1999:cg89450938?:63mc48aa>{t9==;6=4<{<640?db34;8;=4=479>ff2=jl1v<:9e;297~;3?90ii63>37g9610<5ki96ok4}r372a<72:q68;k5bd9>560c2;>=70ll1;`f?xu6gc<589=o7<;6:?ag500;6>u24749f`=:9:<26?:9;<`a`?db3ty:8;650;1x91032ko01<=98;072>;ejj0ii6s|1544>5<4s4>=>7lj;<3022<53:1?v3;618aa>;6;?<1>984=c`b>gc00c=jl16=>8::365?8de13hn7p}>47194?5|5=?j6ok4=0157?43>27in:4me:p51052908w0::8;`f?874>;098;52bc49f`=z{8>==7>53z?7136}:<<>1nh521243>72134hi87lj;|q200`=839p19;=:cg89452n38?:63mb28aa>{t9=?n6=4<{<664?db34;89h4=479>fg4=jl1v<::d;297~;334f9610<5kh:6ok4}r371f<72:q689m5bd9>563d2;>=70lm0;`f?xu6<gc<589>n7<;6:?aecu24529f`=:9:?=6?:9;<`be?db3ty:88;50;1x915b2ko01<=:5;072>;ei00ii6s|1577>5<4s4>8o7lj;<3011<5;6;<91>984=cc4>gc06>=jl16=>;=:365?8df>3hn7p}>44394?5|5=9=6ok4=0165?43>27im84me:p51372908w0:<4;`f?874=9098;52b`69f`=z{8>?j7>53z?7776}:<::1nh52126f>72134hj>7lj;|q201e=839p19{t9=>i6=4<{<61e?db34;88o4=479>f<`=jl1v<:;a;297~;3:10ii63>35c9610<5k3n6ok4}r370<<72:q68?85bd9>562>2;>=70l6d;`f?xu6<=21<7=t=507>gc<589?47<;6:?a=f=4me:?2710=:=<01o7n:cg8yv73<<0;6>u240g9f`=:9:>>6?:9;<`:=?db3ty:89:50;1x917d2ko01<=;4;072>;e110ii6s|1560>5<4s4>:m7lj;<3006<5;6;=;1>984=c;6>gc042=jl16=>:?:365?8d><3hn7p}>42d94?5|5=;96ok4=010b?43>27i5>4me:p515b2908w0:>0;`f?874;l098;52b809f`=z{8>8h7>53z?74`j525489g?62ko0q~?;3b83>6}:<9i1nh52121`>72134h2<7lj;|q206d=839p19>n:cg89454j38?:63m8g8aa>{t9=9j6=4<{<63f=c=jl1v<:<9;297~;38?0ii63>32;9610<5k2o6ok4}r377=<72:q68=:5bd9>565?2;>=70l7c;`f?xu6<:<1<7=t=523>gc<5898:7<;6:?au23gc9f`=:9:986?:9;<`;3?db3ty:8><50;1x96`?2ko01<=<2;072>;e0?0ii6s|1512>5<4s49m:7lj;<3074<5;6;::1>984=c:7>gck4?:2y>7c4=jl16=>43g94?5|5:l;6ok4=011a?43>27i4?4me:p514c2908w0=je;`f?874:m098;52b939f`=z{8>9n7>53z?0ad6}:;l21nh52120b>72134hk9:cg89455138?:63m7e8aa>{t9=836=4<{<1f0?db34;8>54=479>f2e=jl1v<:=7;297~;4m;0ii63>3359610<5k=i6ok4}r3763<72:q6?h>5bd9>56412;>=70l8a;`f?xu6<;?1<7=t=2ff>gc<589997<;6:?a3<21nh5rs0617?6=;r78hl4me:?2775=:=<01o98:cg8yv73:;0;6>u23e:9f`=:9:896?:9;<`42?db3ty:8?>50;1x96b32ko01<==0;072>;e?=0ii6s|153e>5<4s49o>7lj;<305c<5;6;8o1>984=c51>gc7fc=jl16=>?k:365?8d093hn7p}>40a94?5|5:ih6ok4=012g?43>27i;=4me:p517e2908w0=la;`f?8749k098;52b7d9f`=z{8>:m7>53z?0g=6}:;j<1nh52123:>72134h=h7lj;|q204>=839p1>m;:cg89456038?:63m6b8aa>{t9=;<6=4<{<1`6?db34;8=:4=479>f3d=jl1v<:>5;297~;4jl0ii63>3079610<5k<26ok4}r3751<72:q6?om5bd9>56732;>=70l98;`f?xu6<891<7=t=2`b>gc<589:?7<;6:?a22u23c69f`=:9:;;6?:9;<`50?db3ty:8=h50;1x96d52ko01<=?f;072>;e>:0ii6s|152f>5<4s49i<7lj;<304`<5;6;9n1>984=c42>gc7de=jl16=>>l:365?8d183hn7p}>41c94?5|5:k36ok4=013e?43>27i9h4me:p516>2908w0=n6;`f?87480098;52b4f9f`=z{8>;47>53z?0e16}:;h81nh521224>72134h>n7lj;|q2050=839p1>o?:cg89457>38?:63m5`8aa>{t9=:>6=4<{<1:a?db34;8<84=479>f0?=jl1v<:?4;297~;41j0ii63>3169610<5k?36ok4}r3746<72:q6?4o5bd9>56642;>=70l:7;`f?xu6<981<7=t=2;;>gc<589;>7<;6:?a13>:18085>>3hn70?<008103=:ju23829f`=:9;ln6?:9;<`66?db3ty:?kj50;1x96>b2ko01<;e=80ii6s|12d`>5<4s493o7lj;<31bf<55bd9~w45aj3:1?v3<8`8aa>;6:oh1>984=c6e>gc7=>=jl16=?hn:365?8d3m3hn7p}>3g;94?5|5:2=6ok4=00e=?43>27i8i4me:p56`?2908w0=74;`f?875n1098;52b5a9f`=z{89m;7>53z?0<7k9525489g2e2ko0q~?6}:;1:1nh5213d5>72134h?m7lj;|q27c2=839p1>9l:cg8944a<38?:63m498aa>{t9:l86=4<{<14e?db34;9j>4=479>f11=jl1v<=i2;297~;4?10ii63>2g09610<5k>=6ok4}r30b4<72:q6?:85bd9>57`62;>=70l;5;`f?xu6;o:1<7=t=257>gc<588m<7<;6:?a01ki:180850:3hn70?=eg8103=:j=91nh5rs01fa?6=;r78;=4me:?26`c=:=<01o:=:cg8yv74mm0;6>u237g9f`=:9;oo6?:9;<`75?db3ty:?hm50;1x960d2ko01<;e<90ii6s|12ga>5<4s49=m7lj;<31ag<5h5bd9~w42b03:1?v3:658aa>;6;l21>984=b1`>gc134=jl16=>k8:365?8e4j3hn7p}>4d494?5|5<<;6ok4=01f2?43>27h?l4me:p51c22908w0;:e;`f?874m<098;52c2;9f`=z{8>n87>53z?61f6}:=72134i8;7lj;|q20`4=839p18;7:cg8945b:38?:63l378aa>{t9=o:6=4<{<762?db34;8i<4=479>g63=jl1v<:j0;297~;2==0ii63>3d29610<5j9?6ok4}r37`c<72:q698<5bd9>56ba2;>=70m<3;`f?xu6gc<589oh7<;6:?`748l4me:?27ad=:=<01nu255:9f`=:9:nj6?:9;;d:m0ii6s|15f;>5<4s4??87lj;<30`=<5;6;m=1>984=b0a>gc116=jl16=>j9:365?8e5i3hn7p}>4e794?5|5<9n6ok4=01g1?43>27h>44me:p51b32908w0;o>7>53z?67=6}:=:<1nh5212f2>72134i997lj;|q20a6=839p18=;:cg8945c838?:63l258aa>{t9=im6=4<{<706?db34;8ok4=479>g75=jl1v<:le;297~;2;90ii63>3bg9610<5j896ok4}r37ga<72:q69?k5bd9>56ec2;>=70m=1;`f?xu6gc<589ho7<;6:?`65>54me:?27fg=:=<01n?j:cg8yv73k00;6>u25349f`=:9:i26?:9;;d9k0ii6s|15a5>5<4s4?9<7lj;<30g3<5;6;j?1>984=b3:>gc14e=jl16=>m;:365?8e603hn7p}>4b194?5|5<;j6ok4=01`7?43>27h=:4me:p51e52908w0;>8;`f?874k;098;52c049f`=z{8>h=7>53z?6536}:=8>1nh5212a3>72134i:87lj;|q20g`=839p18?=:cg8945en38?:63l128aa>{t9=hn6=4<{<724?db34;8nh4=479>g44=jl1v<:mc;297~;28j0ii63>3ca9610<5j;;6ok4}r37fg<72:q69=o5bd9>56de2;>=70m?f;`f?xu6gc<589im7<;6:?`4`3hn70?<94me:?27g>=:=<01n>l:cg8yv73j>0;6>u25109f`=:9:h<6?:9;;d8h0ii6s|15`6>5<4s4>mi7lj;<30f0<5;6;k>1>984=b2;>gc4?:2y>0cg=jl16=>l<:365?8e7?3hn7p}>4c394?5|5=l=6ok4=01a5?43>27h<84me:p51d72908w0:i4;`f?874j9098;52c169f`=z{8>jj7>53z?7b76}:72134i;>7lj;|q20db=839p19kj:cg8945fl38?:63l008aa>{t9=kh6=4<{<6fg?db34;8mn4=479>g56=jl1v<:nb;297~;3mh0ii63>3``9610<5klm6ok4}r37ed<72:q68h65bd9>56gf2;>=70lie;`f?xu6gc<589j57<;6:?abau24eg9f`=:9:k>6?:9;<`e=?db3ty:8l:50;1x91bd2ko01<=n4;072>;en10ii6s|15c0>5<4s4>om7lj;<30e6<5;6;h81>984=cd5>gc0a0=jl16=>o>:365?8da=3hn7p}>4`294?5|5=n?6ok4=01b4?43>27ij94me:p51?a2908w0:k2;`f?8741o098;52bg19f`=z{8>2i7>53z?7`56}:72134hm=7lj;|q20j38?:63meg8aa>{t9=3j6=4<{<6`f`c=jl1v<:69;297~;3k?0ii63>38;9610<5koo6ok4}r37==<72:q68n:5bd9>56??2;>=70ljc;`f?xu6<0=1<7=t=5a1>gc<5892;7<;6:?aag1nh5rs06;1?6=;r7?5h4me:?27=3=:=<01oj6:cg8yv73?h0;6>u249:9f`=:9:=j6?:9;<``a?db3ty:8;h50;1x91152ko01<=9f;072>;ek:0ii6s|1547>5<4s4>>o7lj;<3021<5;6;<21>984=cc`>gc07c=jl16=>:k:365?8df93hn7p}>45094?5|5=;36ok4=0176?43>27i5;4me:p51502908w0:?2;`f?874;>098;52b9`9f`=z{8>9o7>53z?0af72ko0q~?;2083>6}:;m<1nh521202>72134h<97lj;|q2040=839p1>m?:cg89456>38?:63m6`8aa>{t9=:i6=4<{<1be?db34;8f0`=jl1v<:?0;297~;41=0ii63>3129610<5k??6ok4}r30b0<72:q6?:k5bd9>57`22;>=70l;9;`f?xu6gc<589n57<;6:?`7a?l4me:?27a5=:=<01n<8:cg8yv73k10;6>u25369f`=:9:i36?:9;;d980ii6s|15`1>5<4s4>m47lj;<30f7<5;6;h=1>984=cda>gc0fe=jl16=>7l:365?8da83hn7p}>44;94?5|5=>=6ok4=016=?43>27imi4me:p56cf2908w0=98;`f?875mh098;52b2g9f`=z{?;?6=4={<6`5?db34>h<7<;6:p245=838p19li:cg891db2;>=7p}91383>7}:52z?7fg7213ty=0g5=jl168o<52548yv07k3:1>v3;b08aa>;3j9098;5rs72a>5<5s4>jj7lj;<6ba?43>2wx:=o50;0x91gc2ko019ol:365?xu1800;6?u24``9f`=:984}r438:18182f?3hn70:n6;072>{t>9<1<7gc<5=k?6?:9;|q540<72;q68l=5bd9>0d4=:=<0q~8?4;296~;3i80ii63;a18103=z{?:96=4={<6:`?db34>2o7<;6:p257=838p197m:cg891?f2;>=7p}90183>7}:<031nh5248:961052z?7=2<38?:6s|5gf94?4|5=386ok4=5;1>7213ty>jn4?:3y>0<7=jl1684>52548yv3aj3:1>v3;8g8aa>;30l098;5rs4db>5<5s4>3h7lj;<6;g?43>2wx9k750;0x91>e2ko0196n:365?xu2n>0;6?u24959f`=:<1<1>984}r7e2?6=:r7?484me:?7<1<5{t=o>1<7gc<5=2;6?:9;|q6b6<72;q68:h5bd9>02c=:=<0q~;i2;296~;3?m0ii63;7b8103=z{=7p}:eg83>7}:<>=1nh52464961052z?7307213ty>il4?:3y>03b=jl168;m52548yv3b13:1>v3;6c8aa>;3>h098;5rs4g;>5<5s4>=57lj;<652wx9h950;0x91002ko01989:365?xu2m?0;6?u24779f`=:1>984}r7f1?6=:r7?:>4me:?727<5{t=l91<7gc<5=?n6?:9;|q6a4<72;q688l5bd9>00g=:=<0q~;j0;296~;3=00ii63;598103=z{>:7<;6:p1ac=838p19;::cg891332;>=7p}:de83>7}:<<91nh52440961052z?714o6ok4=56`>7213ty>h44?:3y>01d=jl1689o52548yv3c03:1>v3;488aa>;3<1098;5rs4f6>5<5s4>??7lj;<676?43>2wx9i:50;0x91262ko019:?:365?xu2l:0;6?u242d9f`=:<:o1>984}r7g6?6=:r7??i4me:?77f<5:181824j3hn70:{t=m:1<7gc<5=936?:9;|q6gc<72;q68>95bd9>060=:=<0q~;le;296~;3;<0ii63;358103=z{8>7<;6:p1fe=838p19=>:cg891572;>=7p}:c`83>7}:<;n1nh5243a961052z?76gl4=479~w0e?2909w0:=9;`f?825038?:6s|5b594?4|5=8<6ok4=505>7213ty>o;4?:3y>073=jl168?:52548yv3d=3:1>v3;228aa>;3:;098;5rs4a7>5<5s4>9=7lj;<614?43>2wx9n=50;0x917a2ko019?j:365?xu2k;0;6?u240f9f`=:<8i1>984}r7`5?6=:r7?=o4me:?75d<56;072>{t=ko1<7gc<5=;?6?:9;|q6fa<72;q68<=5bd9>044=:=<0q~;mc;296~;3980ii63;118103=z{;i7<;6:p1gg=838p19>k:cg8916d2;>=7p}:b883>7}:<9h1nh5241c961052z?74<38?:6s|5c494?4|5=:>6ok4=527>7213ty>n94?:3y>057=jl168=>52548yv3e;3:1>v3;4nl098;5rs4`1>5<5s49mh7lj;<1eg?43>2wx9o?50;0x96`e2ko01>hn:365?xu2j90;6?u23g;9f`=:;o21>984}r7bb?6=:r78j:4me:?0b3<5{t=hn1<7gc<5:l96?:9;|q6ef<72;q6?k?5bd9>7c6=:=<0q~;nb;296~;4mo0ii63=838p1>k6:cg896c?2;>=7p}:a683>7}:;l=1nh523d4961052z?0a07213ty>m>4?:3y>7a`=jl16?ik52548yv3f:3:1>v3;4lj098;5rs4c2>5<5s49on7lj;<1ge?43>2wx9l>50;0x96b>2ko01>j7:365?xu21l0;6?u23e79f`=:;m>1>984}r7:`?6=:r78h>4me:?0`7<5{t=0h1<7gc<5:in6?:9;|q6=d<72;q6?nj5bd9>7fe=:=<0q~;69;296~;4kk0ii63m8:cg896e12;>=7p}:9783>7}:;j?1nh523b6961052z?0g67213ty>5<4?:3y>7gd=jl16?oo52548yv3>83:1>v3;4j1098;5rs4:e>5<5s49i;7lj;<1a2?43>2wx95k50;0x96d22ko01>l;:365?xu20m0;6?u23c19f`=:;k81>984}r7;g?6=:r78n<4me:?0f5<5{t=1k1<7gc<5:kh6?:9;|q6<=<72;q6?l75bd9>7d>=:=<0q~;77;296~;4i>0ii63o<:cg896g52;>=7p}:8583>7}:;h;1nh523`2961052z?0=c52909w0=6d;`f?85>k38?:6s|59394?4|5:3i6ok4=2;b>7213ty>4=4?:3y>7v3<968aa>;41?098;5rs45g>5<5s492?7lj;<1:6?43>2wx9:m50;0x96?62ko01>7?:365?xu2?k0;6?u239d9f`=:;1o1>984}r74e?6=:r784i4me:?0{t=>21<7gc<5:236?:9;|q632<72;q6?595bd9>7=0=:=<0q~;86;296~;40<0ii63<858103=z{<=>6=4={<1;7?db3493>7<;6:p122=838p1>6>:cg896>72;>=7p}:7383>7}:;>n1nh5236a961052z?03g7213ty>:h4?:3y>723=jl16?::52548yv31l3:1>v3<728aa>;4?;098;5rs44`>5<5s49<=7lj;<144?43>2wx9;l50;0x960a2ko01>8j:365?xu2>h0;6?u237f9f`=:;?i1>984}r75=?6=:r78:o4me:?02d<5{t>??1<7gc<5<<96?:9;|q521<72;q69;?5bd9>136=:=<0q~893;296~;2=o0ii63:5d8103=z{?<96=4={<76`?db34?>o7<;6:p237=838p18;m:cg8903f2;>=7p}96183>7}:=<31nh5254:9610j7>52z?6129;4=479~w33b2909w0;:5;`f?832<38?:6s|64f94?4|57213ty=9o4?:3y>11`=jl1699k52548yv02i3:1>v3:4e8aa>;25<5s4??n7lj;<77e?43>2wx:8650;0x902>2ko018:7:365?xu1=>0;6?u25559f`=:==<1>984}r462?6=:r7>884me:?601<5{t><>1<7gc<5<>;6?:9;|q516<72;q69>h5bd9>16c=:=<0q~8:2;296~;2;m0ii63:3b8103=z{??;6=4={<70=?db34?847<;6:p21`=838p18=8:cg890512;>=7p}94d83>7}:=:?1nh52526961052z?676??4=479~w32d2909w0;<1;`f?834838?:6s|65`94?4|5<8m6ok4=40f>7213ty=8l4?:3y>17b=jl169?m52548yv0313:1>v3:2c8aa>;2:h098;5rs76;>5<5s4?957lj;<712wx:9950;0x90402ko018<9:365?xu1<<0;6?u25319f`=:=;81>984}r470?6=:r7>><4me:?665<5e;072>{t>=81<7gc<5<;h6?:9;|q504<72;q6914g=:=<0q~8;0;296~;2900ii63:198103=z{?9m6=4={<723?db34?::7<;6:p26c=838p18?::cg890732;>=7p}93e83>7}:=891nh52500961052z?654==4=479~w35f2909w0;?d;`f?837k38?:6s|62;94?4|5<:i6ok4=42b>7213ty=?54?:3y>15?=jl169=652548yv04?3:1>v3:068aa>;28?098;5rs715>5<5s4?;97lj;<730?43>2wx:>;50;0x90642ko018>=:365?xu1;=0;6?u25139f`=:=9:1>984}r407?6=:r7?jk4me:?7b`<5{t>:;1<7gc<5=lj6?:9;|q56c<72;q68k95bd9>0c0=:=<0q~8=e;296~;3n<0ii63;f58103=z{?8o6=4={<6e7?db34>m>7<;6:p27e=838p19h>:cg891`72;>=7p}92c83>7}:52z?7aa2909w0:jb;`f?82bi38?:6s|63:94?4|5=o26ok4=5g;>7213ty=>:4?:3y>0`1=jl168h852548yv05>3:1>v3;e48aa>;3m=098;5rs707>5<5s4>n=7lj;<6f4?43>2wx:?=50;0x91ba2ko019jj:365?xu1:;0;6?u24ef9f`=:984}r415?6=:r7?ho4me:?7`d<5{t>8l1<7gc<5=n=6?:9;|q55`<72;q68i;5bd9>0a2=:=<0q~8>d;296~;3l:0ii63;d38103=z{?;h6=4={<6g5?db34>o<7<;6:p24d=838p19mi:cg891eb2;>=7p}91883>7}:52z?7g<38?:6s|60494?4|5=i>6ok4=5a7>7213ty==84?:3y>0f5=jl168n<52548yv07m3:1>v3;b48aa>;3j=098;5rs720>5<5s4>2j7lj;<6:a?43>2wx9k650;0x91>>2ko01967:365?xu2mm0;6?u24619f`=:<>81>984}r7f6?6=:r7?9i4me:?71f<5{t=jh1<7gc<5=8n6?:9;|q6g5<72;q68<75bd9>04>=:=<0q~;m5;296~;38:0ii63;038103=z{j8:cg896b12;>=7p}:9583>7}:;j;1nh523b2961052z?0eg<38?:6s|56194?4|5:=m6ok4=25f>7213ty=::4?:3y>131=jl169;852548yv02k3:1>v3:508aa>;2=9098;5rs772>5<5s4?8n7lj;<70e?43>2wx:9850;0x90422ko018<;:365?xu1;k0;6?u251d9f`=:=9o1>984}r404?6=:r7?j44me:?7b=<5{t>8k1<7gc<5=ih6?:9;|q6`2<72;q68995bd9>010=:=<0q~;98;296~;4>00ii63<698103=z{8:h<7>52z?g07<4127h?h4=479~w475n3:1>v3k4380f>;dim098;5rs0346?6=:r7o8>4<9:?`b5<552z?g01<4127o<;4=479~w47>;3:1>v3k4580f>;c98098;5rs03:a?6=:r7o884<5:?g5f<552z?f4=<4127o??4=479~w47en3:1>v3j0980f>;c;m098;5rs02`f?6=:r7n<44<9:?`0<<5k6;296~;b8008n63l558103=z{8:n=7>52z?f4d<4127h9k4=479~w46bk3:1>v3j0`80f>;d>h098;5rs02e3?6=:r7n52z?fg`<4127h4o4=479~w47603:1>v3jcd80f>;d1?098;5rs0317?6=:r7nok4<9:?`e4<552z?f`5<4127hn54=479~w473=3:1>v3jd180f>;dk:098;5rs0364?6=:r7nh<4<5:?`g`<552z?e4=<4127hi94=479~w471l3:1>v3i0980f>;dmk098;5rs035a?6=:r7m<44<9:?`af<552z?e4d<4127hih4=479~w47093:1>v3i0`80f>;dmo098;5rs0347?6=:r7m52z?e5d<4127hj>4=479~w470>3:1>v3i1`80f>;dn=098;5rs0343?6=:r7m=o4<9:?`b0<552z?e5f<4127hj:4=479~w470i3:1>v3i1b80f>;dn1098;5rs034f?6=:r7m=i4<5:?`b<<552z?e6f<4127hjn4=479~w470n3:1>v3i2b80f>;dnm098;5rs03;4?6=:r7m>i4<9:?`b`<57>52z?e6`<4127o<=4=479~w47?;3:1>v3i2d80f>;c88098;5rs03;0?6=:r7m>k4<5:?g47<552z?e7`<4127o<94=479~w47??3:1>v3i3d80f>;c8<098;5rs03;=?6=:r7m?k4<9:?g42<552z?e05<4127o<44=479~w47?k3:1>v3i4180f>;c8h098;5rs03;`?6=:r7m8<4<5:?g4g<552z?e15<4127o83:1>v3i5180f>;c8l098;5rs03:5?6=:r7m9<4<9:?g4c<552z?e17<4127o=?4=479~w47>=3:1>v3i5380f>;c9:098;5rs03:2?6=:r7m9>4<5:?g51<552z?e27<4127o=;4=479~w47>13:1>v3i6380f>;c9>098;5rs03:e?6=:r7m:>4<9:?g5=<5:08n63k188103=z{8;2o7>52z?e21<4127o=l4=479~w47>l3:1>v3i6580f>;c9k098;5rs03:b?6=:r7m:84<5:?g5a<5<08;63k1d8103=z{8;j=7>52z?e31<4127o=k4=479~w47f:3:1>v3i7580f>;c:9098;5rs03b7?6=:r7m;84<9:?g64<552z?e33<4127o>>4=479~w47f>3:1>v3i7780f>;c:=098;5rs03b3?6=:r7m;:4<5:?g60<508;63k278103=z{8;jm7>52z?g11<4127o>54=479~w47fj3:1>v3k5580f>;c:0098;5rs03bg?6=:r7o984<9:?g6d<552z?g13<4127o>n4=479~w47fn3:1>v3k5780f>;c:m098;5rs03a4?6=:r7o9:4<5:?g6`<508;63k2g8103=z{8;i>7>52z?g23<4127o?=4=479~w47e;3:1>v3k6780f>;c;8098;5rs03a1?6=:r7o::4<9:?g76<5>08n63k358103=z{8;i;7>52z?g2=<4127o?84=479~w47e03:1>v3k6980f>;c;?098;5rs03a=?6=:r7o:44<5:?g72<5008;63k398103=z{8;in7>52z?g3=<4127o?44=479~w47ek3:1>v3k7980f>;c;h098;5rs03a`?6=:r7o;44<9:?g7g<552z?g3d<4127h?k4=479~w46d:3:1>v3k7`80f>;d<9098;5rs02`7?6=:r7o;o4<5:?`04<5l4;296~;c?k08;63l438103=z{8:h97>52z?g4=479~w46d>3:1>v3k8`80f>;d<=098;5rs02`3?6=:r7o4o4<9:?`00<5l8;296~;c0k08n63l478103=z{8:h57>52z?gv3k8b80f>;d<1098;5rs02`g?6=:r7o4i4<5:?`0d<5ld;296~;c0m08;63l4c8103=z{8:hi7>52z?g=f<4127h8n4=479~w46dn3:1>v3k9b80f>;dk1;296~;c1m08n63l4g8103=z{8:o>7>52z?g=`<4127h9=4=479~w46c;3:1>v3k9d80f>;d=8098;5rs02g0?6=:r7o5k4<5:?`17<5k5;296~;c1o08;63l528103=z{8:o;7>52z?ge`<4127h984=479~w46c03:1>v3kad80f>;d=?098;5rs02g=?6=:r7omk4<9:?`12<5ka;296~;cio08n63l598103=z{8:on7>52z?gf5<4127h944=479~w46ck3:1>v3kb180f>;d=h098;5rs02g`?6=:r7on<4<5:?`1g<5ke;296~;cj808;63l5b8103=z{8:oj7>52z?gg5<4127h9i4=479~w46b83:1>v3kc180f>;d=l098;5rs02f6?6=:r7oo<4<9:?`25<5j3;296~;ck808n63l608103=z{8:n87>52z?gg7<4127h:?4=479~w46b=3:1>v3kc380f>;d>:098;5rs02f2?6=:r7oo>4<5:?`21<5j7;296~;ck:08;63l648103=z{8:n47>52z?g`7<4127h:;4=479~w46b13:1>v3kd380f>;d>>098;5rs02fe?6=:r7oh>4<9:?`2=<5jb;296~;cl:08n63l688103=z{8:nh7>52z?g`1<4127h:o4=479~w46bm3:1>v3kd580f>;d>j098;5rs02fb?6=:r7oh84<5:?`2a<5i0;296~;cl<08;63l6d8103=z{8:m=7>52z?ga1<4127h:k4=479~w46a:3:1>v3ke580f>;d?9098;5rs02e7?6=:r7oi84<9:?`34<5i4;296~;cm<08n63l738103=z{8:m97>52z?ga3<4127h;>4=479~w46a>3:1>v3ke780f>;d?=098;5rs02ei9;296~;cm>08;63l768103=z{8:mm7>52z?gb3<4127h;54=479~w46aj3:1>v3kf780f>;d?0098;5rs02eg?6=:r7oj:4<9:?`3d<5id;296~;cn>08n63l7c8103=z{8:mi7>52z?gb=<4127h;n4=479~w46an3:1>v3kf980f>;d?m098;5rs0334?6=:r7oj44<5:?`3`<552z?f5d<4127h4<4=479~w477<3:1>v3j1`80f>;d0;098;5rs0331?6=:r7n=o4<9:?`<6<552z?f5f<4127h484=479~w47703:1>v3j1b80f>;d0?098;5rs033=?6=:r7n=i4<5:?`<2<552z?f6f<4127h444=479~w477k3:1>v3j2b80f>;d0h098;5rs033a?6=:r7n>i4<9:?`52z?f6`<4127h4h4=479~w47693:1>v3j2d80f>;d0o098;5rs0326?6=:r7n>k4<5:?`=5<53;296~;b:o08;63l908103=z{8;:87>52z?f7`<4127h5?4=479~w476=3:1>v3j3d80f>;d1:098;5rs0322?6=:r7n?k4<9:?`=1<57;296~;b;o08n63l948103=z{8;:57>52z?f05<4127h5:4=479~w476i3:1>v3j4180f>;d11098;5rs032f?6=:r7n8<4<5:?`=<<5c;296~;b<808;63l9`8103=z{8;:h7>52z?f15<4127h5o4=479~w476m3:1>v3j5180f>;d1j098;5rs032b?6=:r7n9<4<9:?`=a<552z?f17<4127h5k4=479~w475:3:1>v3j5380f>;di9098;5rs0310?6=:r7n9>4<5:?`e7<552z?f27<4127hm94=479~w475?3:1>v3j6380f>;di<098;5rs0314<9:?`e3<5:08n63la68103=z{8;9m7>52z?f21<4127hm54=479~w475j3:1>v3j6580f>;di0098;5rs031g?6=:r7n:84<5:?`ed<5<08;63lac8103=z{8;8<7>52z?f31<4127hmh4=479~w47493:1>v3j7580f>;dio098;5rs0306?6=:r7n;84<9:?`f5<552z?f33<4127hn?4=479~w474=3:1>v3j7780f>;dj:098;5rs0302?6=:r7n;:4<5:?`f1<508;63lb48103=z{8;847>52z?f<3<4127hn;4=479~w47413:1>v3j8780f>;dj>098;5rs030f?6=:r7n4:4<9:?`f<<508n63lb`8103=z{8;8h7>52z?f<=<4127hno4=479~w474m3:1>v3j8980f>;djj098;5rs030b?6=:r7n444<5:?`fa<552z?f==<4127hnk4=479~w473:3:1>v3j9980f>;dk9098;5rs0377?6=:r7n544<9:?`g4<552z?f=d<4127ho94=479~w473?3:1>v3j9`80f>;dk<098;5rs03752z?fed<4127ho54=479~w473j3:1>v3ja`80f>;dk0098;5rs037g?6=:r7nmo4<9:?`gd<552z?fef<4127hon4=479~w473n3:1>v3jab80f>;dkm098;5rs0365?6=:r7nmi4<5:?`gc<5?7>52z?fff<4127hh<4=479~w472<3:1>v3jbb80f>;dl;098;5rs0361?6=:r7nni4<9:?``6<5;7>52z?ff`<4127hh84=479~w47203:1>v3jbd80f>;dl?098;5rs036=?6=:r7nnk4<5:?``2<5o7>52z?fa5<4127hhl4=479~w472l3:1>v3je180f>;dlk098;5rs036a?6=:r7ni<4<9:?``f<552z?fa7<4127hhh4=479~w47193:1>v3je380f>;dlo098;5rs0356?6=:r7ni>4<5:?`a5<552z?fb1<4127hi?4=479~w471=3:1>v3jf580f>;dm:098;5rs0353?6=:r7nj84<9:?`a0<552z?fb3<4127hi:4=479~w471i3:1>v3jf780f>;dm1098;5rs035f?6=:r7nj:4<5:?`a<<508;63le`8103=z{oi;6=4<{2wx==5<4s4n??7=7;{t99236=4<{2wx==7<:1808b3<39j70j>1;`f?8e6938?:6s|11;f>5<4s4n?97=;;2908w0j;5;15?8b5?3hn70m=7;072>{t99h?6=4<{7lj;2wx==li:1808c7039j70j64=b6:>gc<5k>26?:9;|qe`3<72:q6i=753`9>g02=jl16n8:52548yv`b93:1?v3j0`80<>;d=o0ii63m5g8103=z{ooh6=4<{2wxjk950;1x9`6e2:>01n9::cg89g122;>=7p}>01094?5|5l:i6>84=b:3>gc<5k2;6?:9;|q245b=839p1hmj:2:89f>e2ko01o6m:365?xu68821<7=t=daf>6g<5j3=6ok4=c;5>7213ty::cg89gg62;>=7p}>03g94?5|5lim6>o4=bc`>gc<5kkh6?:9;|q246g=839p1hj?:2:89fd?2ko01ol7:365?xu68=?1<7=t=df3>6g<5ji86ok4=ca0>7213ty:<8>50;1x9`b62:>01nmj:cg89geb2;>=7p}>04`94?5|5ln:6>84=bf:>gc<5kn26?:9;|q2430=839p1k>7:2:89fc32ko01ok;:365?xu68?n1<7=t=g2;>6g<5joi6ok4=cga>7213ty:<;k50;1x9c6>2:201nkl:cg89gcd2;>=7p}>07d94?5|5o:26>o4=bgg>gc<5koo6?:9;|q2426=839p1k>n:2:89fcb2ko01okj:365?xu68>;1<7=t=g2b>6g<5jom6ok4=cge>7213ty:<:=50;1x9c6e2:>01nh>:cg89g`62;>=7p}>06694?5|5o:i6>84=bd1>gc<5kl96?:9;|q2423=839p1k?n:2:89f`42ko01oh<:365?xu68><1<7=t=g3b>6g<5jl?6ok4=cd7>7213ty:<:950;1x9c7e2:201nh::cg89g`22;>=7p}>06:94?5|5o;i6>o4=bd5>gc<5kl=6?:9;|q242?=839p1k?l:2:89f`02ko01oh8:365?xu68>k1<7=t=g3`>6g<5jl36ok4=cd;>7213ty:<:l50;1x9c7c2:>01nh6:cg89g`>2;>=7p}>06a94?5|5o;o6>84=bdb>gc<5klj6?:9;|q242c=839p1kl1<7=t=g0`>6g<5jlo6ok4=cdg>7213ty:<5>50;1x9c4c2:201nhj:cg89g`b2;>=7p}>09394?5|5o8o6>o4=bde>gc<5klm6?:9;|q24=4=839p1k?:365?xu68191<7=t=g0f>6g<5m::6ok4=b22>7213ty:<5:50;1x9c4a2:>01i>=:cg89f652;>=7p}>09794?5|5o8m6>84=e20>gc<5j:86?:9;|q24=0=839p1k=j:2:89a632ko01n>;:365?xu681=1<7=t=g1f>6g<5m:>6ok4=b26>7213ty:<5750;1x9c5a2:201i>8:cg89f602;>=7p}>09c94?5|5o9m6>o4=e2;>gc<5j:36?:9;|q24=d=839p1k:?:2:89a6>2ko01n>6:365?xu681i1<7=t=g63>6g<5m:j6ok4=b2b>7213ty:<5j50;1x9c262:>01i>m:cg89f6e2;>=7p}>09g94?5|5o>:6>84=e2`>gc<5j:h6?:9;|q24=`=839p1k;?:2:89a6c2ko01n>k:365?xu680:1<7=t=g73>6g<5m:n6ok4=b2f>7213ty:<4?50;1x9c362:201i>i:cg89f6a2;>=7p}>08094?5|5o?:6>o4=e33>gc<5j;;6?:9;|q24<2=839p1k;=:2:89a752ko01n?=:365?xu680?1<7=t=g71>6g<5m;86ok4=b30>7213ty:<4850;1x9c342:>01i?;:cg89f732;>=7p}>08594?5|5o?86>84=e36>gc<5j;>6?:9;|q24<>=839p1k8=:2:89a712ko01n?9:365?xu68031<7=t=g41>6g<5m;<6ok4=b34>7213ty:<4o50;1x9c042:201i?7:cg89f7?2;>=7p}>08`94?5|5o<86>o4=e3:>gc<5j;26?:9;|q246g<5m;i6ok4=b3a>7213ty:<4h50;1x9c022:>01i?k:cg89f7c2;>=7p}>0`294?5|5o<>6>84=e3f>gc<5j;n6?:9;|q24d7=839p1k9;:2:89a7a2ko01n?i:365?xu68h81<7=t=g57>6g<5m8;6ok4=b03>7213ty::cg89f462;>=7p}>0`694?5|5o=>6>o4=e01>gc<5j896?:9;|q24d3=839p1k99:2:89a442ko01n<<:365?xu68h<1<7=t=g55>6g<5m8?6ok4=b07>7213ty:01i<::cg89f422;>=7p}>0`:94?5|5o=<6>84=e05>gc<5j8=6?:9;|q24dg=839p1i;;:2:89a4?2ko01n<7:365?xu68hh1<7=t=e77>6g<5m826ok4=b0:>7213ty:=7p}>0`f94?5|5m?>6>o4=e0a>gc<5j8i6?:9;|q24dc=839p1i;9:2:89a4d2ko01n6g<5m8o6ok4=b0g>7213ty:50;1x9a302:>01i=7p}>0c394?5|5m?<6>84=e0e>gc<5j8m6?:9;|q24g4=839p1i89:2:89a572ko01n=?:365?xu68k91<7=t=e45>6g<5m9:6ok4=b12>7213ty:=7p}>0c494?5|5m<<6>o4=e17>gc<5j9?6?:9;|q24g1=839p1i87:2:89a522ko01n=::365?xu68k21<7=t=e4;>6g<5m9=6ok4=b15>7213ty:2:>01i=8:cg89f502;>=7p}>0cc94?5|5m<26>84=e1;>gc<5j936?:9;|q24gd=839p1i97:2:89a5>2ko01n=6:365?xu68ki1<7=t=e5;>6g<5m9j6ok4=b1b>7213ty:2:201i=m:cg89f5e2;>=7p}>0cg94?5|5m=26>o4=e1`>gc<5j9h6?:9;|qeg4<72:q6h:o5399>g6`=jl16n>h52548yv`d:3:1?v3k7`80e>;d<90ii63m418103=z{oi86=4<{2wxjn:50;1x9a1e2:<01n:=:cg89g252;>=7p}ic483>6}:l1k1?552c519f`=:j=91>984}rd`2?6=;r7o4l4{tnj21<7=t=e:a>6g<5j>=6ok4=c65>7213tymo44?:2y>`=e=;116o995bd9>f11=:=<0q~hla;297~;c0j08m63l498aa>;e<1098;5rsga`>5<4s4n3h7=;;u2d8a97==:k=i1nh52b5a961053z?g=f<4i27h8i4me:?a0a<5l39370m;e;`f?8d3m38?:6s|fe394?5|5m3o6>o4=b6e>gc<5k>m6?:9;|qe`7<72:q6h4k5399>g06=jl16n8>52548yv`c;3:1?v3k9d80e>;d=80ii63m508103=z{on?6=4<{>7lj;<`66?43>2wxji;50;1x9a?a2:<01n;<:cg89g342;>=7p}id683>6}:lho1?552c479f`=:j984}rdg2908w0jnf;1;?8e2?3hn70l:7;072>{tnmk1<7=t=ece>6g<5j?36ok4=c7;>7213tymho4?:2y>`g6=;116o875bd9>f0?=:=<0q~hkc;297~;cj908m63l5`8aa>;e=h098;5rsgfg>5<4s4ni=7=;;n7<;6:pbac=839p1il>:2489f3d2ko01o;l:365?xualo0;6>u2db297==:k53z?gg5<4i27h9h4me:?a1`<5o4=b42>gc<5k<:6?:9;|qea1<72:q6hn<5399>g34=jl16n;<52548yv`b=3:1?v3kc380e>;d>:0ii63m628103=z{oo=6=4<{2wxjh950;1x9ae42:<01n8::cg89g022;>=7p}ie983>6}:lm81?552c749f`=:j?<1>984}rdf=?6=;r7oh?4{tnlh1<7=t=ef0>6g<5j<26ok4=c4:>7213tymii4?:2y>`a2=;116o;l5bd9>f3d=:=<0q~hje;297~;cl=08m63l6b8aa>;e>j098;5rsgge>5<4s4no97=;;u2dd697==:k?l1nh52b7d96107>53z?ga1<4i27h;=4me:?a35<56>o4=b51>gc<5k=96?:9;|qeb0<72:q6hh85399>g25=jl16n:=52548yv`a>3:1?v3ke780e>;d?=0ii63m758103=z{ol36=4<{2wxjk750;1x9ac02:<01n98:cg89g102;>=7p}if`83>6}:lo<1?552c6:9f`=:j>21>984}rdef?6=;r7oj;4{tnon1<7=t=ed4>6g<5j=i6ok4=c5a>7213tymjh4?:2y>`c>=;116o:m5bd9>f2e=:=<0q~hif;297~;cn108m63l7e8aa>;e?m098;5rs0234?6=;r7oj44<4:?`3`;d?o0ii63m7g8103=z{8:;?7>53z?f5d<4027h4<4me:?a<4<5?4;297~;b9h08m63l838aa>;e0;098;5rs0231?6=;r7n=o4<8:?`<64=479~w467>3:1?v3j1c80e>;d0=0ii63m858103=z{8:;;7>53z?f5f<4027h484me:?a<0<5?8;297~;b9j08m63l878aa>;e0?098;5rs023=?6=;r7n=i4<4:?`<2;d010ii63m898103=z{8:;n7>53z?f6f<4027h444me:?a<<<5?c;297~;b:j08m63l8`8aa>;e0h098;5rs023a?6=;r7n>i4<8:?`;d0m0ii63m8e8103=z{8::<7>53z?f6`<4027h4h4me:?a<`<5>1;297~;b:l08m63l8g8aa>;e0o098;5rs0226?6=;r7n>k4<4:?`=5;d180ii63m908103=z{8::87>53z?f7`<4027h5?4me:?a=7<5>5;297~;b;l08m63l928aa>;e1:098;5rs0222?6=;r7n?k4<8:?`=1;d1<0ii63m948103=z{8::57>53z?f05<4027h5:4me:?a=2<5>a;297~;b<908m63l998aa>;e11098;5rs022f?6=;r7n8<4<4:?`=<;d1h0ii63m9`8103=z{8::h7>53z?f15<4027h5o4me:?a=g<5>e;297~;b=908m63l9b8aa>;e1j098;5rs022b?6=;r7n9<4<8:?`=a;d1l0ii63m9d8103=z{8:9=7>53z?f17<4027h5k4me:?a=c<5=2;297~;b=;08m63la18aa>;ei9098;5rs0210?6=;r7n9>4<4:?`e7;di:0ii63ma28103=z{8:9:7>53z?f27<4027hm94me:?ae1<5=7;297~;b>;08m63la48aa>;ei<098;5rs0214<8:?`e3;di>0ii63ma68103=z{8:9m7>53z?f21<4027hm54me:?ae=<5=b;297~;b>=08m63la88aa>;ei0098;5rs021g?6=;r7n:84<4:?`ed;dik0ii63mac8103=z{8:8<7>53z?f31<4027hmh4me:?ae`<5<1;297~;b?=08m63lag8aa>;eio098;5rs0206?6=;r7n;84<8:?`f5;dj80ii63mb08103=z{8:887>53z?f33<4027hn?4me:?af7<5<5;297~;b??08m63lb28aa>;ej:098;5rs0202?6=;r7n;:4<4:?`f1;dj<0ii63mb48103=z{8:847>53z?f<3<4027hn;4me:?af3<5<9;297~;b0?08m63lb68aa>;ej>098;5rs020f?6=;r7n4:4<8:?`f<;djh0ii63mb`8103=z{8:8h7>53z?f<=<4027hno4me:?afg<5;ejj098;5rs020b?6=;r7n444<4:?`fa;djl0ii63mbd8103=z{8:?=7>53z?f==<4027hnk4me:?afc<5;2;297~;b1108m63lc18aa>;ek9098;5rs0277?6=;r7n544<8:?`g4;dk;0ii63mc38103=z{8:?:7>53z?f=d<4027ho94me:?ag1<5;7;297~;b1h08m63lc48aa>;ek<098;5rs027;dk>0ii63mc68103=z{8:?m7>53z?fed<4027ho54me:?ag=<5;b;297~;bih08m63lc88aa>;ek0098;5rs027g?6=;r7nmo4<8:?`gd;dkk0ii63mcc8103=z{8:?i7>53z?fef<4027hon4me:?agf<5;f;297~;bij08m63lce8aa>;ekm098;5rs0265?6=;r7nmi4<4:?`gc;dl90ii63md18103=z{8:>?7>53z?fff<4027hh<4me:?a`4<5:4;297~;bjj08m63ld38aa>;el;098;5rs0261?6=;r7nni4<8:?``64=479~w462>3:1?v3jbe80e>;dl=0ii63md58103=z{8:>;7>53z?ff`<4027hh84me:?a`0<5:8;297~;bjl08m63ld78aa>;el?098;5rs026=?6=;r7nnk4<4:?``2;dl10ii63md98103=z{8:>o7>53z?fa5<4027hhl4me:?a`d<5:d;297~;bm908m63ldc8aa>;elk098;5rs026a?6=;r7ni<4<8:?``f;dlm0ii63mde8103=z{8:=<7>53z?fa7<4027hhh4me:?a``<591;297~;bm;08m63ldg8aa>;elo098;5rs0256?6=;r7ni>4<4:?`a5;dm80ii63me08103=z{8:=87>53z?fb1<4027hi?4me:?aa7<595;297~;bn=08m63le28aa>;em:098;5rs0253?6=;r7nj84<8:?`a0;dm?0ii63me78103=z{8:=57>53z?fb3<4027hi:4me:?aa2<59a;297~;bn?08m63le98aa>;em1098;5rs025f?6=;r7nj:4<4:?`a<;dmh0ii63me`8103=z{oh36=4={7}:mkl1nh52ed2961>52z?ffg<5:016iim57d9~wcd22909w0knd;`f?8cek38?46s|f8494?4|5lk26?<6;a=3:1>v3j96816<=:mh<1;h5rsg`0>5<5s4o357lj;22;8270k64;5f?xuaj;0;6?u2e659f`=:m1<1>964}rd:7?6=:r7n;>4=289>a=4=?l1vkl>:1818c1=3hn70k84;07<>{tn081<774>34o<<79j;|qef5<72;q6i8=5bd9>a34=:=20q~h61;296~;b452e4g93`=z{okm6=4={<7<;8:pb<6=838p1h=k:30:?8c3k3=n7p}iad83>7}:m;l1nh52e2g961>52z?f6g<5:016i>o57d9~wcgc2909w0k>d;`f?8c5k38?46s|f9g94?4|5l;26?<6;`c?=jl16iv3kf4816<=:m8<1;h5rsgcb>5<5s4nn;7lj;1>964}rd;e?6=:r7oh<4=289>``6=?l1vko7:1818bd;3hn70jk2;07<>{tn131<774>34nhi79j;|qee2<72;q6ho?5bd9>`f6=:=20q~h78;296~;cim09>452dca93`=z{ok=6=4={7}:l1n1nh52d8a961>52z?g<<<5:016h4657d9~wcg32909w0j8b;`f?8b?i38?46s|f9794?4|5m=<6?<6;4?:3y>`3?=jl16h:6525:8yv`?<3:1>v3k64816<=:l>>1;h5rsgc1>5<5s4n>;7lj;1>964}rd;6?6=:r7m;>4=289>`06=?l1vkli:1818`1=3hn70h84;07<>{tnh:1<774>34l<<79j;|qef`<72;q6j8=5bd9>b34=:=20q~h6f;296~;a452f4g93`=z{oho6=4={<7<;8:pb7}:n;l1nh52f2g961>52z?e6g<5:016j>o57d9~wcde2909w0h>d;`f?8`5k38?46s|f8a94?4|5o;26?<6;b5d=jl16jj3:1>v3i06816<=:n8<1;h5rsg`:>5<5s4oo=7lj;964}rd:3?6=:r7n<:4=289>afg=?l1vkom:1818b3=3hn70k?8;07<>{tn1i1<774>34o;879j;|qg0<<72;q6h>h57d9>`6c=:;30q~j;a;296~;c<90i6=4={:6s|d5494?4|5m>86?:7;`15=:=<01i=i:375?xuc<>0;6?u2d56961><5m>86ok4}rf7a?6=:r7o894=479>`16=:<<0q~j;8;296~;c<<098:52d569f`=z{m>m6=4={27o8<4=579~w`762909w0k?7;5f?8c7>38956s|e0294?4|5l:>6?<6;a52=:<<01h>7:365?xub8j0;6?u2e1;961><5l:36ok4}rg27?6=:r7n<44=479>a53=:<<0q~k?d;296~;b8h098552e1;9f`=z{l;?6=4={27n<;4=579~w`6b2909w0k?b;073>;b8h0ii6s|e0794?4|5l:i6?:9;2wxi=h50;0x9`632;8270k?5;5f?xubl>0;6?u2ebf93`=:mji1>?74}rgg2?6=:r7noo4=289>afe=?l1vhj7:1818cdi38>:63jcd8103=z{ln96=4={:6s|ee194?4|5ln;6?:7;aa6=:=<01hml:375?xubl=0;6?u2ee39611<5ln;6ok4}rggf?6=:r7nh<4=479>afb=:<<0q~kk5;296~;bkh09>452eb`93`=z{o;:6=4={::30:?8`7>3=n7p}i1383>7}:n9>1>884=g2;>7213tymb5?=:=201k>7:cg8yv`6;3:1>v3i088103=:n9?1>884}rd3`?6=:r7mb5?=jl1vk?;:1818`7i38?:63i078113=z{o:n6=4={m:365?8`7?38>:6s|f1d94?4|5o:?6?<6;>4?:3y>b4?=?l16j<6523;8yv`5:3:1>v3i16816<=:n821;h5rsg07>5<5s4l::7<:6:?e5d<5{tn;?1<772134l:;7<:6:pb4`=838p1k?l:36;?8`6j3hn7p}i2783>7}:n8i1>984=g3;>7313tym>=4?:3y>b4b=:==01k?l:cg8yv`5?3:1>v3i1e8103=:n831>884}rd15?6=:r7m=;4=289>b41=?l1vk=::1818`5j3=n70h=a;01=>{tn:>1<774>34l9m79j;|qe73<72;q6j?6524489c4d2;>=7p}i3183>7}:n;n1>964=g0`>gc52z?e6a<5v3i2d810==:n;n1nh5rsg1;>5<5s4l9i7<;6:?e6d<5=?1vk==:1818`5n38?;63i2d8aa>{tn:31<772134l9n7<:6:pb65=838p1k<7:30:?8`513=n7p}i4683>7}:n:n1;h52f2a967?52z?e7g<5:016j>m57d9~wc2?2909w0h;a;l098;5rsg61>5<5s4l8j7<;8:?e7`=70h{tn=91<772?34l8j7lj;|qe0d<72;q6j9>525489c5d2;?=7p}i4583>7}:n=;1>994=g63>gc52z?e04<5j52448yv`3=3:1>v3i3`816<=:n:h1;h5rsg7:>5<5s4l?j79j;97>52z?e17<5<116j8?5bd9~wc3d2909w0h:2;072>;a5<5s4l>?7<;7:?e17=70h;f;062>{tn<=1<774>34l?h79j;|qe2g<72;q6j;?57d9>b36=:;30q~h9a;296~;a=o09>452f7293`=z{o27m:?4=479~wc012909w0h93;07<>;a>;0ii6s|f7f94?4|5o<86?:9;2wxj;950;0x9c032;>370h93;`f?xua>l0;6?u2f769610<5o<;6?;9;|qe2=<72;q6j;;525589c032ko0q~h9f;296~;a><098;52f73960052z?e1`<5:016j8h57d9~wc1c2909w0h83;5f?8`0:38956s|f6a94?4|5o=:6?<6;b26=:<<01k9;:365?xua?10;6?u2f67961><5o=?6ok4}rd4b?6=:r7m;84=479>b27=:<<0q~h89;296~;a??098552f679f`=z{o2;6=4={27m;?4=579~wc1f2909w0h87;073>;a??0ii6s|f9394?4|5o=<6?:9;2wxj:l50;0x9c172;8270h81;5f?xuc=m0;6?u2d4193`=:l<81>?74}rf6g?6=:r7o9<4=289>`04=?l1vi;j:1818b2838>:63k558103=z{m?36=4={:6s|d4;94?4|5m?=6?:7;`00=:=<01i;=:375?xuc=h0;6?u2d459611<5m?=6ok4}rf55?6=:r7o9:4=479>`05=:<<0q~j:b;296~;c=909>452d4393`=z{m7}:l?81>884=e45>7213tyo:l4?:3y>`31=:=201i89:cg8yvb093:1>v3k668103=:l?91>884}rf5f?6=:r7o:54=499>`31=jl1vi9=:1818b1038?:63k658113=z{m:6s|d7f94?4|5m<96?<6;`21=?l16h:8523;8yvb?83:1>v3k74816<=:l><1;h5rse:1>5<5s4n<87<:6:?g3=<5{tl191<772134n<97<:6:p`2b=838p1i9n:36;?8b013hn7p}k8583>7}:l>k1>984=e55>7313tyo;h4?:3y>`2d=:==01i9n:cg8yvb?=3:1>v3k7c8103=:l>=1>884}rf4b?6=:r7o;94=289>`23=?l1vi7<:1818b?13=n70j78;01=>{tl081<774>34n3479j;|qg=1<72;q6h58524489a>f2;>=7p}k8d83>7}:l1h1>964=e:b>gc52z?gv3k8b810==:l1h1nh5rse;5>5<5s4n3o7<;6:?g<=<5=?1vi7?:1818b?l38?;63k8b8aa>{tl0=1<772134n357<:6:p`<7=838p1i69:30:?8b??3=n7p}ka483>7}:l0h1;h52d8c967?52z?g=<<5:016h4o57d9~wag12909w0j68;062>;c1j098;5rsec3>5<5s4n2h7<;8:?g=f=70j69;062>{tlh;1<772?34n2h7lj;|qge=<72;q6h4k525489a?f2;?=7p}ka383>7}:l0l1>994=e;f>gc52z?g=c<5v3k99816<=:l031;h5rse`4>5<5s4njh79j;52z?gf5<5<116hlh5bd9~wadf2909w0jm0;072>;cij099;5rse`7>5<5s4ni=7<;7:?gf5=70jnd;062>{tlk?1<774>34njn79j;|qgg<<72;q6hoh57d9>`gc=:;30q~jl8;296~;cjm09>452dcg93`=z{mij6=4={27oo=4=479~wae32909w0jl1;07<>;ck90ii6s|db`94?4|5mi:6?:9;2wxhn;50;0x9ae52;>370jl1;`f?xuckj0;6?u2db09610<5mhn6?;9;|qgg3<72;q6hn=525589ae52ko0q~jld;296~;ck:098;52dcd960052z?gff<5:016hoj57d9~wabe2909w0jk1;5f?8bc838956s|dec94?4|5mim6?<6;`fc=:<<01ij=:365?xucl?0;6?u2de1961><5mn96ok4}rfg`?6=:r7oh>4=479>`f`=:<<0q~jk7;296~;cl=098552de19f`=z{mnn6=4={27oh=4=579~wab?2909w0jk5;073>;cl=0ii6s|ded94?4|5mn>6?:9;2wxhi750;0x9aeb2;8270jlf;5f?xucmm0;6?u2dd193`=:ll81>?74}rffg?6=:r7oi<4=289>``4=?l1vikj:1818bb838>:63ke58103=z{mo36=4={:6s|dd;94?4|5mo=6?:7;``0=:=<01ik=:375?xucmh0;6?u2dd59611<5mo=6ok4}rfe5?6=:r7oi:4=479>``5=:<<0q~jjb;296~;cm909>452dd393`=z{mlm6=4={7}:lo81>884=ed5>7213tyojl4?:3y>`c1=:=201ih9:cg8yvc793:1>v3kf68103=:lo91>884}rfef?6=:r7oj54=499>`c1=jl1vh>=:1818ba038?:63kf58113=z{mlh6=4={:6s|dgf94?4|5ml96?<6;>4?:3y>a4?=?l16i<6523;8yvc5:3:1>v3j16816<=:m821;h5rsd07>5<5s4o::7<:6:?f5d<5{tm;?1<772134o:;7<:6:pa4`=838p1h?l:36;?8c6j3hn7p}j2783>7}:m8i1>984=d3;>7313tyn>=4?:3y>a4b=:==01h?l:cg8yvc5?3:1>v3j1e8103=:m831>884}rg15?6=:r7n=;4=289>a41=?l1vh=::1818c5j3=n70k=a;01=>{tm:>1<774>34o9m79j;|qf73<72;q6i?6524489`4d2;>=7p}j3183>7}:m;n1>964=d0`>gc52z?f6a<5v3j2d810==:m;n1nh5rsd1;>5<5s4o9i7<;6:?f6d<5=?1vh==:1818c5n38?;63j2d8aa>{tm:31<772134o9n7<:6:pa65=838p1h<7:30:?8c513=n7p}j4683>7}:m:n1;h52e2a967?52z?f7g<5:016i>m57d9~w`2?2909w0k;b;l098;5rsd61>5<5s4o8j7<;8:?f7`=70k{tm=91<772?34o8j7lj;|qf0d<72;q6i9>525489`5d2;?=7p}j4583>7}:m=;1>994=d63>gc52z?f04<5j52448yvc3=3:1>v3j3`816<=:m:h1;h5rsd7:>5<5s4o?j79j;97>52z?f17<5<116i8?5bd9~w`3d2909w0k:2;072>;b5<5s4o>?7<;7:?f17=70k;f;062>{tm<=1<774>34o?h79j;|qf2g<72;q6i;?57d9>a36=:;30q~k9a;296~;b=o09>452e7293`=z{l27n:?4=479~w`012909w0k93;07<>;b>;0ii6s|e7f94?4|5l<86?:9;2wxi;950;0x9`032;>370k93;`f?xub>l0;6?u2e769610<5l<;6?;9;|qf2=<72;q6i;;525589`032ko0q~k9f;296~;b><098;52e73960052z?f1`<5:016i8h57d9~w`1c2909w0k83;5f?8c0:38956s|e6a94?4|5l=:6?<6;a26=:<<01h9;:365?xub?10;6?u2e67961><5l=?6ok4}rg4b?6=:r7n;84=479>a27=:<<0q~k89;296~;b??098552e679f`=z{l2;6=4={27n;?4=579~w`1f2909w0k87;073>;b??0ii6s|e9394?4|5l=<6?:9;2wxi:l50;0x9`172;8270k81;5f?xub0o0;6?u2e9793`=:m1>1>?74}rg;a?6=:r7n4>4=289>a=2=?l1vh7?:1818c?:38>:63j878103=z{l2j6=4={:6s|e9`94?4|5l236?:7;a=>=:=<01h6;:375?xub0j0;6?u2e9;9611<5l236ok4}rg:7?6=:r7n444=479>a=3=:<<0q~k7d;296~;b0;09>452e9193`=z{lk:6=4={>3=n7p}ja383>7}:m0>1>884=d;;>7213tyn5n4?:3y>av3j988103=:m0?1>884}rg:`?6=:r7n5l4=499>ai38?:63j978113=z{l3n6=4={?38>:6s|e8d94?4|5l3?6?<6;4?:3y>ad?=?l16il6523;8yvce:3:1>v3ja6816<=:mh21;h5rsd`7>5<5s4oj:7<:6:?fed<5{tmk?1<772134oj;7<:6:pad`=838p1hol:36;?8cfj3hn7p}jb783>7}:mhi1>984=dc;>7313tynn=4?:3y>adb=:==01hol:cg8yvce?3:1>v3jae8103=:mh31>884}rga5?6=:r7nm;4=289>ad1=?l1vhm::1818cej3=n70kma;01=>{tmj>1<774>34oim79j;|qfg3<72;q6io6524489`dd2;>=7p}jc183>7}:mkn1>964=d``>gc52z?ffa<5v3jbd810==:mkn1nh5rsda;>5<5s4oii7<;6:?ffd<5=?1vhm=:1818cen38?;63jbd8aa>{tmj31<772134oin7<:6:paf5=838p1hl7:30:?8ce13=n7p}je883>7}:mml1;h52eeg967?52z?f`a<5:016iik57d9~w`cf2909w0kkc;062>;bm9098;5rsdg7>5<5s4on=7<;8:?fa5=70kkd;062>{tml?1<772?34on=7lj;|qfaf<72;q6ih<525489`bb2;?=7p}je783>7}:ml91>994=dg1>gc52z?fa6<5v3jdb816<=:mmn1;h5rsdde>5<5s4oni7lj;o01hh=:30:?xubnl0;6?u2eg1967?<5lom6:k4}rgeg?6=:r7nj<4=289>ac4=?l1vk>?:1818ca838>:63jf58103=z{ll36=4={:6s|eg;94?4|5ll=6?:7;ac0=:=<01hh=:375?xubnh0;6?u2eg59611<5ll=6ok4}rd37?6=:r7nj:4=479>ac5=:<<0q~kib;296~;bn909>452eg393`=z{h326=4={d49~wd?f2909w0o6a;00g>;f110:h95rs`a;>5<4s4kh:7<;6:?bg22909w0ol7;072>;fk<0ii6s|a`194?4|5hk96?:9;e52z?be4<55bd9~wdg?2909w0on0;072>;f1j0:h95rs`c7>5<2s4kj>77:;=27j5k465:pf40=838p1l8k:31`?8gej3;o46s|b0:94?4|5h{tj8k1<7=t=`52>75d34kii7?k9:?bfc<6l>1vo?m:1818g0:388o63nbc82`2=z{k;h6=4={d69~wg7c2909w0o84;00g>;fjj0:h;5rsc3f>5<4s4k<97<v3n77817f=:ikh1=i74}r`14?6=:r7j;:4=3b9>eg7=9m30q~l>7;296~;f>l09?n52acg95a152z?b==<5;j16mo651e:8yvd5:3:1nv3ncb817f=:j9<1>974=c20>72>34kmj7<;9:?bbg<5<016mk9525;89d`42;>270ojf;07=>;fmk098452ad5961?<5ho86?:6;|qb20<72:q6m5<522a89d?12ko01l7::cg8yvg1i3:1?v3ncd84g>;fkm06?:9;44?:9y>ecd=:=<01lhl:0f7?8gd93;o963nb082`3=:ikh1=i:4=```>4b234kii7?k5:?bfc<6l=1vo4b234kio7?k4:?bg4<6l=16mok51e689dda28n>7p}m2c83>6}:j991>984=c27>4b334ki=7?k5:pf7e=839p1o>9:365?8d7?3;o863nb082`1=z{k8=6=4>2z?b2`<6l>16m:951e589d1128n<70o85;3g3>;f?=0:h:52a6195a1<5h=96d69>e3`=9m=01l8k:0f4?8gbn38?:63nf182`1=:ij81=i:4=`a0>4b334ki47?k4:?bf1<6l=16mo;51e48yvd5?3:15v3nf28103=:io>1=i:4=`a1>4b>34ki=7?k8:?bfd<6l<16mn?51e489dd>28n=70ome;3g2>;fjo0:h;5rsc0;>5<2s4km;7<;6:?bb=<6l=16mo751e789de728n?70oma;3g0>{tj;91<7?<{d49>e3c=9m>01l98:0f7?8g0>3;o863n7482`1=:i>>1=i:4=`50>4b334k<>7?k4:?b34<6l=16m:>51e689d0a28n?70o9d;3g0>;fm:098;52ad695a2<5hi96d59>eg5=:<<0q~l=4;2956}:i031=i:4=`;b>4b334k=i7?k5:?b32<6l<16m:851e789d1228n>70o84;3g1>;f?:0:h852a6095a3<5h=:6d49>e3b=9m?01lk8:365?8gb03;o863nc382`3=:ij91=i84=``5>4b134ki47?k6:pf73=83;8w0o68;062>;f>l0:h;52a6595a0<5h==627j;94>d79>e25=9m<01l9=:0f5?8g093;o:63n7182`3=:i?l1=i84=`4g>4b134knn7<;6:?baf<6l=16mn<51e789de428n<70om8;3g1>;fj=0:h552ac795a253z?b<7<6l?16m48525489dd328n=7p}n6583>6}:i0=1>984=`:1>4b334ki87?k5:pe34=839p1l6=:0f6?8g>=38?:63nb582`2=z{h2o6=4m{=70om5;3g<>{ti?h1<74b034kjo7<;6:pe3e=839p1lm<:0f;?8gfm38?:63nag82`1=z{h3:6=4<{<`20?43>27i=84>d59>eg3=9m=0q~o90;297~;fk:0:h452a809610<5h3867}:ijo1>984=``6>4b23tyj4>4?:3y>e2>=:=<01l7n:0f:?xuf0=0;6?u2a6;9610<5h3j67}:i>h1>984=`;b>4b03tyj4:4?:3y>e2e=:=<01l76:0f:?xuf010;6?u2a6f9610<5h32628n=7p}n8`83>7}:i>l1>984=`;:>4b03tyj4o4?:3y>e=6=:=<01ll8:0f6?xuf0j0;6?u2a939610<5hh<61}:ihk1>984=`a7>4b334k2n7?k4:?beg<6l=1vl87:1868gf138?:63na`8aa>;fk=0:h852a8`95a3<5hki6e:6a89dg62ko0q~ok8;296~;fm:0ii63ne4817f=z{him6=4<{u2adc93`=:iln1;h52ad4967?52z?bag{timh1<7gc<5hl:6?=l;|qb`7<72:q6mk<57d9>ec3=?l16mhk523;8yvgck3:1>v3nf28aa>;fn<09?n5rs`f0>5<4s4km:79j;7<=9:peab=838p1lh8:cg89d`>2;9h7p}nd583>6}:iok1;h52agf93`=:io<1>?74}rcga?6=:r7jjo4me:?bba<5;j1vlj::1808gam3=n70l?1;5f?8gai38956s|aed94?4|5hlm6ok4=c22>75d3tyjh;4?:2y>f54=?l16n=;57d9>ecc=:;30q~oj0;296~;e8:0ii63m04817f=z{hn<6=4={<`37<=9:pe`7=838p1o>9:cg89g6?2;9h7p}m0883>6}:il>1>>m4=`g1>73134kn97<=8:pf5g=839p1lk7:31`?8gb>38>:63ne8816==z{k:i6=4<{e`b=:;20q~l?c;297~;fn909?n52adg9600<5hl:6?<7;|qa4a<72:q6mk:522a89d`52;?=70oi5;01<>{tj9o1<7=t=`d;>75d34km:7<:6:?bb<<5:11vo>i:1808gak388o63nf`8113=:ion1>?64}r`24?6=;r7i<=4=3b9>ecc=:<<01o>>:30;?xue980;6>u2b16966e<5k:96?;9;<`31?4502wxn<<50;0x9g602;9h70l?8;01<>{t?=?1<7gc<5>?96?=l;|q47f<72:q6;8=57d9>300=?l16;9h523;8yv13>3:1>v38558aa>;0=?09?n5rs61g>5<4s4=>;79j;<56e?1b34=>?7<=9:p311=838p1:;7:cg8923f2;9h7p}83d83>6}:??74}r57<;6ok4=641>75d3ty<8=4?:2y>335=?l16;;857d9>30`=:;30q~9;a;296~;0>=0ii63867817f=z{>>:6=4<{<553?1b34==m79j;<557?4512wx;9l50;0x920?2ko01:8n:31`?xu0<;0;6>u277`93`=:??o1;h52775967?52z?42f{t?=n1<7gc<5>=96?=l;|q401<72;q6;:;57d9>33`=:;30q~9;e;296~;0?:0ii63874817f=z{>==6=4<{<565?44k27<8k4=579>304=:;20q~987;297~;0=<09?n527419600<5>?=6?<7;|q43=<72:q6;87522a892302;?=709:a;01<>{t?>31<7=t=67g>75d34=>n7<:6:?41`<5:11v:9n:1808119388o6385g8113=:??81>?64}r54f?6=;r7<:84=3b9>335=:<<01:89:30;?xu0?j0;6>u277;966e<5><<6?;9;<55e?4502wx;:j50;1x920c2;9h7099b;062>;0>l09>55rs65f>5<4s4=<=7<v3875817f=:?>?1>?64}rc6`?6=:r7j>o4me:?b1f<5;j1vl=9:1818g2i3;o;63n5c817f=z{h9>6=46{27j>n4=579>e7b=:<<01l:63n318113=:i:;1>884=`11>73134k>m7<{ti:>1<74b234k>57<;6:pe05=83?p1l;>:8789d3720?01l:n:31`?8g3l33>70o;c;;6?xuf=10;6?u2a5d9610<5h>j6525489d2b2ko0q~o:6;297~;f5<5s4k?n7<;9:?b0a<5e16=1<16m>h5949>e61=::i01l=n:8789d5>20?0q~o;9;296~;f;l098;52a2595a252z?b7f<5j5bd9~wd202909w0o;f;j0ii6s|a5494?4|5h9m6?:9;e6>=:=<01l=i:6a89d5a2ko0q~o;4;296~;f;1098452a2c961053z?b7=:1818g3838?:63n388aa>{t0hn1<74b3342jm7<;6:p37p}7ad83>7}:0h21=i;4=9c:>7213ty3mo4?:3y>cn3:1>v37dc82`1=:0mn1>984}r:ga?6=:r73hn4me:?;`a<5<11v5k?:1818>cj3;o9637db8103=z{1h86=4={<:bb?7c<273n<4=479~w=d52909w06m0;`f?8>e938?46s|8c694?4|51km62wx4i;50;0x9=e?28n?706le;072>{t0m81<7gc<51in6?:7;|q;`3<72;q64n651e789=ec2;>=7p}7d283>7}:0ji1nh528bf961>52z?;g<<6l=164nm52548yv>c<3:1>v37cc8aa>;?kj09855rs9f;>5<5s42h57?k5:?;gg<5di3;o8637c9817f=z{1n;6=4={<:`e?7c=273o44=3b9~w=b62909w06l7;`f?8>di388o6s|8b194?4|51h=62wx4n>50;0x9=de2ko015ll:36;?xu?k=0;6?u28c495a3<51hi6?:9;|q;g4<72;q64oo5bd9>0:h9528cc96107>52z?;f<;?j0098;5rs9`g>5<5s42i47?k4:?;f3<5;j1v5lj:1818>e03;o9637b6817f=z{1hm6=4={<:a1?db342i47<2;>=707:9;5`?8?2>3=h707:2;5`?8?3m3=h707;a;5`?8?3>3=h707;2;5`?8?4m3=h7073=h7p}n2283>7}:0m31>994=9`2>gc5bz?;a4<5==<4=?j1655k57b9>==g=?j1655857b9>==4=?j165:k57b9>=2g=?j1vl<=:1818>c13hn706j1;073>{ti;31<7gc<5h;96?=l;|qb6d<72;q6m<=525489d732ko0q~o=8;296~;?i<098;528`49f`=z{h;26=4={7<;6:pe4e=838p1l?9:6a89=c42;>=7p}n2683>7}:0l81nh528d1961>52z?b54<0k273hl4=479~wd412909w06j3;`f?8>ci38?46s|a3794?4|51k26?:7;<:ge?db3ty3m94?:5y>e43=:=301l?9:36:?8g6938?5637ag817f=z{h8?6=4={<:a4?430273ml4me:p<`>=83hp15jm:31`?8?2138?563657810<=:1<81>974=86f>72>343?m7<;9:?:03<5<01659<525;89<5b2;>2707;>;?09845rs`02>5<5s42n=7lj;<:gg?4302wxm?>50;0x9=d>2;>3706kd;`f?xuf9o0;6?u28ca9f`=:0jh1>964}r:f3?6=kr7j=<4=479>ci39o7p}n1e83>f}:i8<1>984=9`4>731342i:7<:6:?;g<<5=?164n6524489=d72:n015l>:2f89=bd2:n015jk:2f89=g>2:n015on:2f89=c42:n0q~o>a;291~;f9<098;528c:9600<51ij6?;9;<:b273i?42;>37p}n1c83>7}:i8<1nh529e6967?52z?b501;`f?8?fk38956s|97794?4|50?j6?=l;<;6f?4502wx5;:50;1x9<302;9h707:5;062>;>=109>55rs840>5<4s43>?7<884=873>74?3ty2:<4?:2y>=1d=::i014:6:375?8?3k38946s|97294?5|50><6?=l;<;71?42>272854=299~w<3a2908w07;3;00g>;><8099;52956967>i7>53z?:7c<5;j165>j524489<272;837p}65e83>6}:1:h1>>m4=81:>7313438o7<=8:p=0e=839p14=8:31`?8?4=38>:63639816==z{09?6=4={<;6=?db343>n7<7}:1<<1nh5294:966e53z?:10<0m2729548e:?:14<5:01v4==:1818?2:3hn707:4;00g>{t1;21<7=t=872>2c<50??6:k4=86g>74>3ty2?<4?:3y>=1c=jl1658>522a8yv?5?3:1?v364e84a>;>=90o014:::30:?xu>:o0;6?u29549f`=:1=21>>m4}r;11?6=;r728848e:?:0=<0m2728<4=289~w<4b2909w07;2;`f?8?3<388o6s|93694?5|50>:6:k4=867>2c<509o6?<6;|q:6a<72;q65>k5bd9>=16=::i0q~7=3;297~;>;m0;>;009>45rs80`>5<5s438m7lj;<;0g?44k2wx5?<50;1x9<5>2>o014=l:6g89<522;827p}62c83>7}:1:<1nh5292:966e52z?:=`<5;j1654h523:8yv?f03:1?v369c817f=:1031>884=8;`>74?3ty2m:4?:2y>=<1=::i0147::375?8?>038946s|9`494?5|50386?=l;<;:5?42>272594=299~w;>0m099;52982967>53z?:d2;837p}6a283>6}:11=1>>m4=8:6>731343347<=8:p=d4=839p146<:31`?8??938>:63685816==z{0k:6=4<{<;4b?44k272;i4=579>==6=:;20q~7n0;297~;>?k09?n5296;9600<50=h6?<7;|q:3=<72;q654j5bd9>=<`=::i0q~79e;296~;>1o0o0147::30:?xu>??0;6?u29849f`=:1021>>m4}r;5g?6=;r725848e:?:==<0m2725<4=289~w<122909w0762;`f?8?><388o6s|97`94?5|503:6:k4=8;7>2c<502o6?<6;|q:31<72;q655k5bd9>=<6=::i0q~79a;297~;>0m0;>0009>45rs850>5<5s433m7lj;<;;g?44k2wx5;750;1x9<>>2>o0146l:6g89<>22;827p}67383>7}:11<1nh5299:966e53z?:<0<0m2724548e:?:<4<5:01v49>:1818??:3hn70774;00g>{t1?=1<7=t=8:2>2c<502?6:k4=85g>74>3ty2;=4?:3y>=2c=jl1655>522a8yv?1>3:1?v367e84a>;>090:cg89<472;9h7p}7f083>7}:18o1nh5290f966e52z?:5g8;`f?8?6?388o6s|8dg94?4|50;>6ok4=837>75d3ty3ii4?:3y>=44=jl165bk3:1>v360g8aa>;>8l09?n5rs9ga>5<5s43;o7lj;<;3f?44k2wx4ho50;0x9<6>2ko014>7:31`?xu?m00;6?u29149f`=:19?1>>m4}r;fg?6=:r72mo4=3b9>=ae=jl1v4kn:1818?fi388o636c98aa>{t1l21<7721343i87lj;|q:b6<72;q64k7525489<642ko0q~7i2;296~;?n1098;528g;9f`=z{0l:6=4={<:e3?43>273j54me:p=c6=838p15h9:365?8>a?3hn7p}6eg83>7}:0o?1>984=9d5>gc52z?;b1<5;?n=0ii6362182`3=z{0lh6=4<{<;35?43>272;>9h0:h;5rs8db>5<4s42mj7<;6:?:45d79~w<`>2908w06ie;072>;?no0ii6361582`3=z{0l36=4<{<:e`?43>273jh4me:?:54<6l?1v4h8:1808>ak38?:637fe8aa>;>8l0:h;5rs8d5>5<4s42mn7<;6:?;bfd79~w<`22908w06ia;072>;?nk0ii6360982`3=z{0l?6=4<{<:e7?43>273jl4me:?:40<6l?1v4km:1818?fj3;o8636c4810==z{0o26=4={<;be?7c<272n<4=499~wd77290?w07=0;3g1>;>=0098;5294c95a2<50h96>j4}rc3b?6=d49>=00=:=<014;8:0f7?8?e:39i7p}n0d83>1}:18k1=i;4=871>721343>?7?k4:?:f7<4i2wxm=j50;6x9<7028n>707;e;072>;>=1d=9m>014l=:2:8yvg7j3:18v361082`0=:1=<1>984=864>4b3343i=7=k;|qb4d<72=q65=k51e789<252;>=707;3;3g0>;>j808n6s|a1;94?2|50:i6272?k4>d59>=g7=;h1vl>7:1878?703;o96363`8103=:1:h1=i:4=8`2>6?54z?:40<6l<165>8525489<5028n?707m1;1;?xuf8?0;6?u29339610<50;m6ok4}rc31?6=:r72=h4=479>=4e=jl1vl>;:1818?6j38?:636188aa>{ti991<7721343::7lj;|qb47<72;q65<;525489<742ko0q~o?1;296~;>9;098;529029f`=z{h:;6=4={<;3b?43>272l:365?8?7i3hn7p}6fd83>7}:1931>984=824>gc52z?:43<574>3ty2i?4?:3y>=a>=?l165i9523;8yv?b;3:1>v36d9816<=:1m>1;h5rs8g7>5<5s43o57<;6:?:`0<5=?1v4jk:1818?ci38?4636d88aa>{t1l?1<7721343o:7<:6:p=ac=838p14jm:36;?8?ci3hn7p}6e783>7}:1mh1>984=8f4>7313ty2hk4?:3y>=ae=:==014jm:cg8yv?b?3:1>v36db8103=:1m21>884}r;`g?6=:r72o?48e:?:g4<5:01v4mk:1818?d;3=n707l2;01=>{t1jo1<72c<50i86?<6;|q:gc<72;q65n:523;89o0q~7k0;296~;>k<098;529b3960052z?:g3<5<1165n;5bd9~w;>k;099;5rs8ab>5<5s43h;7<;8:?:g3=707l3;062>{t1jh1<7720343h;7lj;|q:`6<72;q65n65254897}:1ho1;h529`f967?52z?:ec<0m272mh4=289~w=g7=:=<014ok:375?xu>j<0;6?u29c0961><50h:6ok4}r;a`?6=:r72n?4=479>=dc=:<<0q~7m6;296~;>j:0985529c09f`=z{0hn6=4={<;a7?43>272mk4=579~w;>j:0ii6s|9cd94?4|50h?6?:9;<;a4?42>2wx::850;0x93>72ko01;6=:31`?xu1>l0;6>u269193`=:>1<1;h5266d967?52z?5<1{t>>21<7gc<5?2j6?=l;|q535<72:q6:5l57d9>2=c=?l16:59523;8yv0013:1>v398b8aa>;10l09?n5rs752>5<4s4<3j79j;<4:6?1b34<3n7<=9:p22g=838p1;7?:cg893?52;9h7p}97383>6}:>091;h5268493`=:>1l1>?74}r44f?6=:r7=594me:?5=3<5;j1v;9<:18080>?3=n7086a;5f?80>;38956s|66a94?4|5?336ok4=7;b>75d3ty=;94?:2y>22<1=:;30q~88d;296~;11j0ii6399d817f=z{?=>6=4={<4b5?1b34<2n7<=9:p22c=838p1;7i:cg893g62;9h7p}9a383>6}:>1;1>>m4=75e>73134<3>7<=8:p2d5=839p1;6::31`?80?;38>:63987816==z{?k?6=4<{<4;=?44k27=4:4=579>2=g=:;20q~8n5;297~;10m09?n5269`9600<5?2n6?<7;|q5e3<72:q6:4?522a893>a2;?=70862;01<>{t>h=1<7=t=7;6>75d34<2?7<:6:?5=3<5:11v;o7:18080>1388o639968113=:>0k1>?64}r4b=?6=;r7=5i4=3b9>27p}nb083>7}:ikk1>>m4=``a>4b13tyjnl4?:3y>egd=::i01lm?:0f;?xufjk0;6?u2aca966e<5hi;67}:ij;1>>m4=``5>4b>3tyjo<4?:3y>ef4=::i01ll9:0f;?xufk;0;6?u2ab1966e<5hh=67}:ik<1>>m4=``:>4b?3tyjn;4?:3y>eg1=::i01ll7:0f4?xufj>0;6?u2ac:966e<5hh26<>w0onc;`f?8728<09?h52b27970=:j:?1?;52b27972=:j::1?852b22973=:j::1?:5237:971=:;?21?85237:973=:<=<1?952454970=:<=<1?;524ba971=:1?852536973=:=:k1?95252c970=:=:k1?;52542971=:=<:1?852542973=:=?<1?952574970=:=?<1?;5236g971=:;>o1?85236g973=:;0>1?952386970=:;0>1?;523`c971=:;hk1?8523`c973=:;j:1?9523b2970=:;j:1?;523e4971=:;m<1?8523e4973=:;li1?9523da970=:;li1?;52410971=:<981?852410973=:<821?95240:970=:<821?;5243g971=:<;o1?85243g973=:<=>1?952456970=:<=>1?;5244a971=:<81?952460970=:<>81?;5249:971=:<121?85249:973=:<0o1?95248g970=:<0o1?;524c6971=:1?8524c6973=:1?8524b6973=:1?9524e6970=:1?;524e4971=:1?8524d6973=:1?8524g6973=:1?952516970=:=9>1?;52514971=:=9<1?852514973=:=921?95251:970=:=921?;5251c971=:=9k1?85251c973=:=9i1?95251a970=:=9i1?;52502971=:=8:1?852502973=:=881?952500970=:=881?;52506971=:=8>1?852506973=:=8<1?952504970=:=8<1?;5250:971=:=821?85250:973=:=8k1?95250c970=:=8k1?;5250a971=:=8i1?85250a973=:=8o1?95250g970=:=8o1?;52532971=:=;:1?852532973=:=;81?952530970=:=;81?;52534971=:=;<1?852534973=:=;21?95253:970=:=;21?;5253c971=:=;k1?85253c973=:=;i1?95253a970=:=;i1?;5253g971=:=;o1?85253g973=:=::1?952522970=:=::1?;52520971=:=:81?852520973=:=:>1?952526970=:=:>1?;52524971=:=:<1?852524973=:=:21?95252:970=:=:21?;5252a971=:=:i1?85252a973=:=:o1?95252g970=:=:o1?;52552971=:==:1?852552973=:==81?952550970=:==81?;52556971=:==>1?852556973=:==<1?952554970=:==<1?;5255:971=:==21?85255:973=:==k1?95255c970=:==k1?;5255a971=:==i1?85255a973=:==o1?95255g970=:==o1?;52540971=:=<81?852540973=:=<>1?952546970=:=<>1?;52544971=:=<<1?852544973=:=<21?95254:970=:=<21?;5254c971=:=1?952576970=:=?>1?;5237c971=:;?k1?85237c973=:;?i1?95237a970=:;?i1?;5237g971=:;?o1?85237g973=:;>:1?952362970=:;>:1?;52360971=:;>81?852360973=:;>>1?952366970=:;>>1?;52364971=:;><1?852364973=:;>21?95236:970=:;>21?;5236c971=:;>k1?85236c973=:;>i1?95236a970=:;>i1?;52392971=:;1:1?852392973=:;181?952390970=:;181?;52396971=:;1>1?852396973=:;1<1?952394970=:;1<1?;5239:971=:;121?85239:973=:;1k1?95239c970=:;1k1?;5239a971=:;1i1?85239a973=:;1o1?95239g970=:;1o1?;52382971=:;0:1?852382973=:;081?952380970=:;081?;52384971=:;0<1?852384973=:;021?95238:970=:;021?;5238c971=:;0k1?85238c973=:;0i1?95238a970=:;0i1?;5238g971=:;0o1?85238g973=:;h:1?9523`2970=:;h:1?;523`0971=:;h81?8523`0973=:;h>1?9523`6970=:;h>1?;523`4971=:;h<1?8523`4973=:;h21?9523`:970=:;h21?;523`a971=:;hi1?8523`a973=:;ho1?9523`g970=:;ho1?;523c2971=:;k:1?8523c2973=:;k81?9523c0970=:;k81?;523c6971=:;k>1?8523c6973=:;k<1?9523c4970=:;k<1?;523c:971=:;k21?8523c:973=:;kk1?9523cc970=:;kk1?;523ca971=:;ki1?8523ca973=:;ko1?9523cg970=:;ko1?;523b0971=:;j81?8523b0973=:;j>1?9523b6970=:;j>1?;523b4971=:;j<1?8523b4973=:;j21?9523b:970=:;j21?;523bc971=:;jk1?8523bc973=:;ji1?9523ba970=:;ji1?;523bg971=:;jo1?8523bg973=:;m:1?9523e2970=:;m:1?;523e0971=:;m81?8523e0973=:;m>1?9523e6970=:;m>1?;523e:971=:;m21?8523e:973=:;mk1?9523ec970=:;mk1?;523ea971=:;mi1?8523ea973=:;mo1?9523eg970=:;mo1?;523d2971=:;l:1?8523d2973=:;l81?9523d0970=:;l81?;523d6971=:;l>1?8523d6973=:;l<1?9523d4970=:;l<1?;523d:971=:;l21?8523d:973=:;lk1?9523dc970=:;lk1?;523dg971=:;lo1?8523dg973=:;o:1?9523g2970=:;o:1?;523g0971=:;o81?8523g0973=:;o>1?9523g6970=:;o>1?;523g4971=:;o<1?8523g4973=:;o21?9523g:970=:;o21?;523gc971=:;ok1?8523gc973=:;oi1?9523ga970=:;oi1?;523gg971=:;oo1?8523gg973=:<9:1?952412970=:<9:1?;52416971=:<9>1?852416973=:<9<1?952414970=:<9<1?;5241:971=:<921?85241:973=:<9k1?95241c970=:<9k1?;5241a971=:<9i1?85241a973=:<9o1?95241g970=:<9o1?;52402971=:<8:1?852402973=:<881?952400970=:<881?;52406971=:<8>1?852406973=:<8<1?952404970=:<8<1?;5240c971=:<8k1?85240c973=:<8i1?95240a970=:<8i1?;5240g971=:<8o1?85240g973=:<;:1?952432970=:<;:1?;52430971=:<;81?852430973=:<;>1?952436970=:<;>1?;52434971=:<;<1?852434973=:<;21?95243:970=:<;21?;5243c971=:<;k1?85243c973=:<;i1?95243a970=:<;i1?;52422971=:<::1?852422973=:<:81?952420970=:<:81?;52426971=:<:>1?852426973=:<:<1?952424970=:<:<1?;5242:971=:<:21?85242:973=:<:k1?95242c970=:<:k1?;5242a971=:<:i1?85242a973=:<:o1?95242g970=:<:o1?;52452971=:<=:1?852452973=:<=81?952450970=:<=81?;5245:971=:<=21?85245:973=:<=k1?95245c970=:<=k1?;5245a971=:<=i1?85245a973=:<=o1?95245g970=:<=o1?;52442971=:<<:1?852442973=:<<81?952440970=:<<81?;52446971=:<<>1?852446973=:<<<1?952444970=:<<<1?;5244:971=:<<21?85244:973=:<1?952476970=:1?;52474971=::1?952462970=:<>:1?;52466971=:<>>1?852466973=:<><1?952464970=:<><1?;5246:971=:<>21?85246:973=:<>k1?95246c970=:<>k1?;5246a971=:<>i1?85246a973=:<>o1?95246g970=:<>o1?;52492971=:<1:1?852492973=:<181?952490970=:<181?;52496971=:<1>1?852496973=:<1<1?952494970=:<1<1?;5249c971=:<1k1?85249c973=:<1i1?95249a970=:<1i1?;5249g971=:<1o1?85249g973=:<0:1?952482970=:<0:1?;52480971=:<081?852480973=:<0>1?952486970=:<0>1?;52484971=:<0<1?852484973=:<021?95248:970=:<021?;5248c971=:<0k1?85248c973=:<0i1?95248a970=:<0i1?;524`2971=:1?8524`6973=:1?i52f7197a=:n?81?i52f6497a=:n>?1?i52f6697a=:l<<1?i52d4797a=:l<>1?i52d7:97a=:l?=1?i52d7497a=:l>k1?i52d6;97a=:l>21?i52d9a97a=:l1h1?i52d9c97a=:l0o1?i52d8f97a=:l0i1?i52dc297a=:lhl1?i52d`g97a=:lj81?i52db397a=:lj:1?i52de697a=:lm91?i52de097a=:ll<1?i52dd797a=:ll>1?i52dg:97a=:lo=1?i52dg497a=:m8i1?i52e0`97a=:m8k1?i52e3g97a=:m;n1?i52e3a97a=:m=:1?i52e2d97a=:m:o1?i52e4097a=:m<;1?i52e4297a=:m?>1?i52e7197a=:m?81?i52e6497a=:m>?1?i52e6697a=:m121?i52e9597a=:m1<1?i52e8c97a=:m031?i52e8:97a=:mhi1?i52e``97a=:mhk1?i52ecg97a=:mkn1?i52eca97a=:ml81?i52ed397a=:ml:1?i52eg497a=:mo?1?i52eg697a=:mll1>884=`c:>2e<5hkj6:m4=`;7>2e<5h3<6ok4=c30>2e<5hk;6:m4=`a5>2e<5hi<6:m4=c25>2e<5k:86:m4=`de>2e<5hli6:m4=`d4>2e<5hl86:m4=`ge>2e<5hoi6:m4=`g4>2e<5ho86:m4=`g1>2c<5ho>6:k4=66e>2c<5>?96:k4=`7a>4b134k?i79l;343on7=n;<;gf?5e343on7=k;<;gg?53343oo7=:;<;gg?51343oo7=8;<;g1?1b343o87<:6:?:g2<40272o:4<9:?:g2<4i272o:4=g5=;0165o=53`9>=g5=;k165o=53e9>=g2=;=165o:5349>=g2=;?165o:5369>=db=?l165lm52448931a2>o01;6=:6g89def2ko01;jj:41893bb2<801;jj:5f893bb2>:01;jj:7d893bb2?k01;jj:da893bb2lk01;jj:d;893bb2l201;jj:d5893bb2l<01;jj:g7893bb2o>01;jj:g1893bb2o801;jj:g3893bb2o:01;jj:dd893bb2lo01;jj:df893bb2l?01;jj:03f?80cm3;:o639dd825g=:>mo1=47>348:?5``<6:>16:ik5134893bb288m708ke;31`>;1ll0:?:526eg95g3<5?nn6?:k;<4ga?43n27=n84;6:?5f0<3=27=n84;4:?5f0<4m27=n8493:?5f0<1:27=n8491:?5f0<2j27=n84kd:?5f027=n84k5:?5f00g9>2g3=99n01;l::02a?80e=3;;m639b4824<=:>k?1==64=7`6>46034;1j<0:<=526c79bc=:>k?1jh526c79ba=:>k?1=?m4=7`6>44f34;1j<098i526c7961c<5?i?6984=7a7>13<5?i?69:4=7a7>6c<5?i?6;=4=7a7>34<5?i?6;?4=7a7>0d<5?i?6ij4=7a7>ad<5?i?6i74=7a7>a><5?i?6i94=7a7>a0<5?i?6i;4=7a7>a2<5?i?6i=4=7a7>a4<5?i?6i?4=7a7>a6<5?i?6nk4=7a7>fb<5?i?6nm4=7a7>fd<5?i?6no4=7a7>f?<5?i?6n64=7a7>f1<5?i?6n84=7a7>f3<5?i?6h:4=7a7>`5<5?i?6h<4=7a7>`7<5?i?6h>4=7a7>a`<5?i?6ik4=7a7>ag<5?i?6nh4=7a7>f2<5?i?6<>i;<4`0?77l27=o94>0c9>2f2=99k01;m;:02:?80d<3;;4639c58242=:>j>1==84=7a7>46234j>1=?74=7a7>44?34o708l4;07a>;1k>0?:639c6871>;1k>0?8639c680a>;1k>0=?639c6856>;1k>0==639c686f>;1k>0oh639c68gf>;1k>0o5639c68g<>;1k>0o;639c68g2>;1k>0o9639c68g0>;1k>0o?639c68g6>;1k>0o=639c68g4>;1k>0hi639c68``>;1k>0ho639c68`f>;1k>0hm639c68`=>;1k>0h4639c68`3>;1k>0h:639c68`1>;1k>0n8639c68f7>;1k>0n>639c68f5>;1k>0n<639c68gb>;1k>0oi639c68ge>;1k>0hj639c68`0>;1k>0:m;<4`3?77i27=o:4>089>2f1=99201;m8:024?80d?3;;:639c68240=:>j=1==:4=7a4>464342f1=nl16:n95fe9>2f1=9;i01;m8:00b?80d?3;95639c6826==:>j=1=>:4=7a4>4553401;mn:2g893ef2?901;mn:70893ef2?;01;mn:4`893ef2mn01;mn:e`893ef2m301;mn:e:893ef2m=01;mn:e4893ef2m?01;mn:e6893ef2m901;mn:e0893ef2m;01;mn:e2893ef2jo01;mn:bf893ef2ji01;mn:b`893ef2jk01;mn:b;893ef2j201;mn:b5893ef2j<01;mn:b7893ef2l>01;mn:d1893ef2l801;mn:d3893ef2l:01;mn:ed893ef2mo01;mn:ec893ef2jl01;mn:b6893ef28:m708la;33`>;1kh0:6;<4`e?77027=ol4>069>2fg=99<01;mn:026?80di3;;8639c`8246=:>jk1==<4=7ab>467342`9>2fg=9;301;mn:00;?80di3;88639c`8277=:>jk1=o:4=7ab>72c3427=oi4;5:?5ga<3<27=oi427=oi4l5:?5ga;1km0:<4526bf955><5?io6<>8;<4``?77>27=oi4>049>2fb=99>01;mk:020?80dl3;;>639ce8245=:>jn1jk526bf9b`=:>jn1ji526bf957e<5?io6<299>2fb=9:>01;mk:011?80dl3;i8639ce810a=:>jn1>9k4=7f3>10<5?n;69;4=7f3>12<5?n;6>k4=7f3>35<5?n;6;<4=7f3>37<5?n;68l4=7f3>ab<5?n;6il4=7f3>a?<5?n;6i64=7f3>a1<5?n;6i84=7f3>a3<5?n;6i:4=7f3>a5<5?n;6i<4=7f3>a7<5?n;6i>4=7f3>fc<5?n;6nj4=7f3>fe<5?n;6nl4=7f3>fg<5?n;6n74=7f3>f><5?n;6n94=7f3>f0<5?n;6n;4=7f3>`2<5?n;6h=4=7f3>`4<5?n;6h?4=7f3>`6<5?n;6ih4=7f3>ac<5?n;6io4=7f3>f`<5?n;6n:4=7f3>46a34511c893b728:2708k0;33<>;1l90:<:526e29550<5?n;6<>:;<4g4?77<27=h=4>029>2a6=99801;j?:023?80c83lm708k0;df?80c83lo708k0;31g>;1l90:>l526e2957?<5?n;6<<7;<4g4?74<27=h=4>339>2a6=9k>01;j?:36g?80c838?i639d2872>;1l:0?9639d2870>;1l:08i639d2857>;1l:0=>639d2855>;1l:0>n639d28g`>;1l:0on639d28g=>;1l:0o4639d28g3>;1l:0o:639d28g1>;1l:0o8639d28g7>;1l:0o>639d28g5>;1l:0o<639d28`a>;1l:0hh639d28`g>;1l:0hn639d28`e>;1l:0h5639d28`<>;1l:0h;639d28`2>;1l:0h9639d28f0>;1l:0n?639d28f6>;1l:0n=639d28f4>;1l:0oj639d28ga>;1l:0om639d28`b>;1l:0h8639d2824c=:>m91==j4=7f0>46e34;1l:0:<8526e19552<5?n86<><;<4g7?77:27=h>4>019>2a5=no16:i=5fd9>2a5=nm16:i=513a893b4288j708k3;31=>;1l:0:>5526e19562<5?n86<==;<4g7?7e<27=h>4=4e9>2a5=:=o01;j::54893b22=?01;j::56893b22:o01;j::71893b22?801;j::73893b2201;j::e1893b22m801;j::e3893b22m:01;j::bg893b22jn01;j::ba893b22jh01;j::bc893b22j301;j::b:893b22j=01;j::b4893b22j?01;j::d6893b22l901;j::d0893b22l;01;j::d2893b22ml01;j::eg893b22mk01;j::bd893b22j>01;j::02e?80c=3;;h639d4824g=:>m?1==o4=7f6>46>3416:i;5114893b228:>708k5;330>;1l<0:<>526e79554<5?n>6<>?;<4g1?`a34;1l<0:??526e795g2<5?n>6?:k;<4g1?43m27=h54;6:?5`=<3=27=h54;4:?5`=<4m27=h5493:?5`=<1:27=h5491:?5`=<2j27=h54kd:?5`=27=h54k5:?5`=0g9>2a>=99n01;j7:02a?80c03;;m639d9824<=:>m21==64=7f;>46034;1l10:<=526e:9bc=:>m21jh526e:9ba=:>m21=?m4=7f;>44f34;1l1098i526e:961c<5?ni6984=7fa>13<5?ni69:4=7fa>6c<5?ni6;=4=7fa>34<5?ni6;?4=7fa>0d<5?ni6ij4=7fa>ad<5?ni6i74=7fa>a><5?ni6i94=7fa>a0<5?ni6i;4=7fa>a2<5?ni6i=4=7fa>a4<5?ni6i?4=7fa>a6<5?ni6nk4=7fa>fb<5?ni6nm4=7fa>fd<5?ni6no4=7fa>f?<5?ni6n64=7fa>f1<5?ni6n84=7fa>f3<5?ni6h:4=7fa>`5<5?ni6h<4=7fa>`7<5?ni6h>4=7fa>a`<5?ni6ik4=7fa>ag<5?ni6nh4=7fa>f2<5?ni6<>i;<4gf?77l27=ho4>0c9>2ad=99k01;jm:02:?80cj3;;4639dc8242=:>mh1==84=7fa>46234mh1=?74=7fa>44?34o708kb;07a>;1j10?:639b9871>;1j10?8639b980a>;1j10=?639b9856>;1j10==639b986f>;1j10oh639b98gf>;1j10o5639b98g<>;1j10o;639b98g2>;1j10o9639b98g0>;1j10o?639b98g6>;1j10o=639b98g4>;1j10hi639b98``>;1j10ho639b98`f>;1j10hm639b98`=>;1j10h4639b98`3>;1j10h:639b98`1>;1j10n8639b98f7>;1j10n>639b98f5>;1j10n<639b98gb>;1j10oi639b98ge>;1j10hj639b98`0>;1j10:m;<4a089>2g>=99201;l7:024?80e03;;:639b98240=:>k21==:4=7`;>464342g>=nl16:o65fe9>2g>=9;i01;l7:00b?80e03;95639b9826==:>k21=>:4=7`;>4553401;lm:2g893de2?901;lm:70893de2?;01;lm:4`893de2mn01;lm:e`893de2m301;lm:e:893de2m=01;lm:e4893de2m?01;lm:e6893de2m901;lm:e0893de2m;01;lm:e2893de2jo01;lm:bf893de2ji01;lm:b`893de2jk01;lm:b;893de2j201;lm:b5893de2j<01;lm:b7893de2l>01;lm:d1893de2l801;lm:d3893de2l:01;lm:ed893de2mo01;lm:ec893de2jl01;lm:b6893de28:m708mb;33`>;1jk0:6;<4af?77027=no4>069>2gd=99<01;lm:026?80ej3;;8639bc8246=:>kh1==<4=7`a>467342`9>2gd=9;301;lm:00;?80ej3;88639bc8277=:>kh1=o:4=7`a>72c3427=nh4;5:?5f`<3<27=nh427=nh4l5:?5f`;1jl0:<4526cg955><5?hn6<>8;<4aa?77>27=nh4>049>2gc=99>01;lj:020?80em3;;>639bd8245=:>ko1jk526cg9b`=:>ko1ji526cg957e<5?hn6<299>2gc=9:>01;lj:011?80em3;i8639bd810a=:>ko1>9k4=7a2>10<5?i:69;4=7a2>12<5?i:6>k4=7a2>35<5?i:6;<4=7a2>37<5?i:68l4=7a2>ab<5?i:6il4=7a2>a?<5?i:6i64=7a2>a1<5?i:6i84=7a2>a3<5?i:6i:4=7a2>a5<5?i:6i<4=7a2>a7<5?i:6i>4=7a2>fc<5?i:6nj4=7a2>fe<5?i:6nl4=7a2>fg<5?i:6n74=7a2>f><5?i:6n94=7a2>f0<5?i:6n;4=7a2>`2<5?i:6h=4=7a2>`4<5?i:6h?4=7a2>`6<5?i:6ih4=7a2>ac<5?i:6io4=7a2>f`<5?i:6n:4=7a2>46a34;1k80:<:526b39550<5?i:6<>:;<4`5?77<27=o<4>029>2f7=99801;m>:023?80d93lm708l1;df?80d93lo708l1;31g>;1k80:>l526b3957?<5?i:6<<7;<4`5?74<27=o<4>339>2f7=9k>01;m>:36g?80d938?i6s|a`d94?4|5hkm6?=l;f43=::i01o?;:cg8yvg>;3:1>v3n92817f=:i081nh5rs315>5<5s4kii7<4>d59~w75>2909w0om5;00g>;fj:0:h85r}o1:gg<728qC=9kn;|l0=fe=83;pD<:ja:m74dc8yk5>ko0;6{i;0n:6=4>{I37ad=zf:3o>7>51zJ20`g4?:0yK51cf3td85i:50;3xL42bi2we?4j::182M73mh1vb>7k6;295~N64}O9=oj7p`<9e:94?7|@8>nm6sa38f:>5<6sA;?il5rn2;ge?6=9rB:8ho4}o1:`g<728qC=9kn;|l0=ae=83;pD<:ja:m74dc8yk5>lo0;6{i;0o:6=4>{I37ad=zf:3n>7>51zJ20`g4?:0yK51cf3td85h:50;3xL42bi2we?4k::182M73mh1vb>7j6;295~N64}O9=oj7p`<9d:94?7|@8>nm6sa38g:>5<6sA;?il5rn2;fe?6=9rB:8ho4}o1:ag<728qC=9kn;|l0=`e=83;pD<:ja:m74dc8yk5>mo0;6{i;0l:6=4>{I37ad=zf:3m>7>51zJ20`g4?:0yK51cf3td85k:50;3xL42bi2we?4h::182M73mh1vb>7i6;295~N64}O9=oj7p`<9g:94?7|@8>nm6sa38d:>5<6sA;?il5rn2;ee?6=9rB:8ho4}o1:bg<728qC=9kn;|l0=ce=83;pD<:ja:m7<`c290:wE?;e`9~j6?am3:1=vF>4dc8yk5>no0;6{i;h::6=4>{I37ad=zf:k;>7>51zJ20`g4?:0yK51cf3td8m=:50;3xL42bi2we?l>::182M73mh1vb>o?6;295~N64}O9=oj7p`nm6sa3`2:>5<6sA;?il5rn2c3e?6=9rB:8ho4}o1b4g<728qC=9kn;|l0e5e=83;pD<:ja:m7d6c290:wE?;e`9~j6g7m3:1=vF>4dc8yk5f8o0;6{i;h;:6=4>{I37ad=zf:k:>7>51zJ20`g4?:0yK51cf3td8m<:50;3xL42bi2we?l?::182M73mh1vb>o>6;295~N64}O9=oj7p`nm6sa3`3:>5<6sA;?il5rn2c2e?6=9rB:8ho4}o1b5g<728qC=9kn;|l0e4e=83;pD<:ja:m7d7c290:wE?;e`9~j6g6m3:1=vF>4dc8yk5f9o0;6{i;h8:6=4>{I37ad=zf:k9>7>51zJ20`g>4?:0yK51cf3td8m?:50;3xL42bi2we?l<::182M73mh1vb>o=6;295~N64}O9=oj7p`nm6sa3`0:>5<6sA;?il5rn2c1e?6=9rB:8ho4}o1b6g<728qC=9kn;|l0e7e=83;pD<:ja:m7d4c290:wE?;e`9~j6g5m3:1=vF>4dc8yk5f:o0;6{i;h9:6=4>{I37ad=zf:k8>7>51zJ20`g4?:0yK51cf3td8m>:50;3xL42bi2we?l=::182M73mh1vb>o<6;295~N64}O9=oj7p`nm6sa3`1:>5<6sA;?il5rn2c0e?6=9rB:8ho4}o1b7g<728qC=9kn;|l0e6e=83;pD<:ja:m7d5c290:wE?;e`9~j6g4m3:1=vF>4dc8yk5f;o0;6{i;h>:6=4>{I37ad=zf:k?>7>51zJ20`g4?:0yK51cf3td8m9:50;3xL42bi2we?l:::182M73mh1vb>o;6;295~N64}O9=oj7p`nm6sa3`6:>5<6sA;?il5rn2c7e?6=9rB:8ho4}o1b0g<728qC=9kn;|l0e1e=83;pD<:ja:m7d2c290:wE?;e`9~j6g3m3:1=vF>4dc8yk5f{i;h?:6=4>{I37ad=zf:k>>7>51zJ20`g4?:0yK51cf3td8m8:50;3xL42bi2we?l;::182M73mh1vb>o:6;295~N64}O9=oj7p`nm6sa3`7:>5<6sA;?il5rn2c6e?6=9rB:8ho4}o1b1g<728qC=9kn;|l0e0e=83;pD<:ja:m7d3c290:wE?;e`9~j6g2m3:1=vF>4dc8yk5f=o0;6{i;h<:6=4>{I37ad=zf:k=>7>51zJ20`g4?:0yK51cf3td8m;:50;3xL42bi2we?l8::182M73mh1vb>o96;295~N64}O9=oj7p`nm6sa3`4:>5<6sA;?il5rn2c5e?6=9rB:8ho4}o1b2g<728qC=9kn;|l0e3e=83;pD<:ja:m7d0c290:wE?;e`9~j6g1m3:1=vF>4dc8yk5f>o0;6:1<7?tH06fe>{i;h=:6=4>{I37ad=zf:k<>7>51zJ20`g4?:0yK51cf3td8m::50;3xL42bi2we?l9::182M73mh1vb>o86;295~N64}O9=oj7p`nm6sa3`5:>5<6sA;?il5rn2c4e?6=9rB:8ho4}o1b3g<728qC=9kn;|l0e2e=83;pD<:ja:m7d1c290:wE?;e`9~j6g0m3:1=vF>4dc8yk5f?o0;6{i;h2:6=4>{I37ad=zf:k3>7>51zJ20`g4?:0yK51cf3td8m5:50;3xL42bi2we?l6::182M73mh1vb>o76;295~N64}O9=oj7p`nm6sa3`::>5<6sA;?il5rn2c;e?6=9rB:8ho4}o1bc290:wE?;e`9~j6g?m3:1=vF>4dc8yk5f0o0;6{i;h3:6=4>{I37ad=zf:k2>7>51zJ20`g4?:0yK51cf3td8m4:50;3xL42bi2we?l7::182M73mh1vb>o66;295~N64}O9=oj7p`nm6sa3`;:>5<6sA;?il5rn2c:e?6=9rB:8ho4}o1b=g<728qC=9kn;|l0em3:1=vF>4dc8yk5f1o0;6{i;hk:6=4>{I37ad=zf:kj>7>51zJ20`g4?:0yK51cf3td8ml:50;3xL42bi2we?lo::182M73mh1vb>on6;295~N64}O9=oj7p`nm6sa3`c:>5<6sA;?il5rn2cbe?6=9rB:8ho4}o1beg<728qC=9kn;|l0ede=83;pD<:ja:m7dgc290:wE?;e`9~j6gfm3:1=vF>4dc8yk5fio0;6{i;hh:6=4>{I37ad=zf:ki>7>51zJ20`g4?:0yK51cf3td8mo:50;3xL42bi2we?ll::182M73mh1vb>om6;295~N64}O9=oj7p`nm6sa3``:>5<6sA;?il5rn2cae?6=9rB:8ho4}o1bfg<728qC=9kn;|l0ege=83;pD<:ja:m7ddc290:wE?;e`9~j6gem3:1=vF>4dc8yk5fjo0;6{i;hi:6=4>{I37ad=zf:kh>7>51zJ20`g4?:0yK51cf3td8mn:50;3xL42bi2we?lm::182M73mh1vb>ol6;295~N64}O9=oj7p`nm6sa3`a:>5<6sA;?il5rn2c`e?6=9rB:8ho4}o1bgg<728qC=9kn;|l0efe=83;pD<:ja:m7dec290:wE?;e`9~j6gdm3:1=vF>4dc8yk5fko0;6{i;hn:6=4>{I37ad=zf:ko>7>51zJ20`g4?:0yK51cf3td8mi:50;3xL42bi2we?lj::182M73mh1vb>ok6;295~N64}O9=oj7p`nm6sa3`f:>5<6sA;?il5rn2cge?6=9rB:8ho4}o1b`g<728qC=9kn;|l0eae=83;pD<:ja:m7dbc290:wE?;e`9~j6gcm3:1=vF>4dc8yk5flo0;6{i;ho:6=4>{I37ad=zf:kn>7>51zJ20`g4?:0yK51cf3td8mh:50;3xL42bi2we?lk::182M73mh1vb>oj6;295~N64}O9=oj7p`nm6sa3`g:>5<6sA;?il5rn2cfe?6=9rB:8ho4}o1bag<728qC=9kn;|l0e`e=83;pD<:ja:m7dcc290:wE?;e`9~j6gbm3:1=vF>4dc8yk5fmo0;6{i;hl:6=4>{I37ad=zf:km>7>51zJ20`g4?:0yK51cf3td8mk:50;3xL42bi2we?lh::182M73mh1vb>oi6;295~N64}O9=oj7p`nm6sa3`d:>5<6sA;?il5rn2cee?6=9rB:8ho4}o1bbg<728qC=9kn;|l0ece=83;pD<:ja:m7d`c290:wE?;e`9~j6gam3:1=vF>4dc8yk5fno0;6{i;k::6=4>{I37ad=zf:h;>7>51zJ20`g4?:0yK51cf3td8n=:50;3xL42bi2we?o>::182M73mh1vb>l?6;295~N64}O9=oj7p`nm6sa3c2:>5<6sA;?il5rn2`3e?6=9rB:8ho4}o1a4g<728qC=9kn;|l0f5e=83;pD<:ja:m7g6c290:wE?;e`9~j6d7m3:1=vF>4dc8yk5e8o0;6{i;k;:6=4>{I37ad=zf:h:>7>51zJ20`g4?:0yK51cf3td8n<:50;3xL42bi2we?o?::182M73mh1vb>l>6;295~N64}O9=oj7p`nm6sa3c3:>5<6sA;?il5rn2`2e?6=9rB:8ho4}o1a5g<728qC=9kn;|l0f4e=83;pD<:ja:m7g7c290:wE?;e`9~j6d6m3:1=vF>4dc8yk5e9o0;6{i;k8:6=4>{I37ad=zf:h9>7>51zJ20`g>4?:0yK51cf3td8n?:50;3xL42bi2we?o<::182M73mh1vb>l=6;295~N64}O9=oj7p`nm6sa3c0:>5<6sA;?il5rn2`1e?6=9rB:8ho4}o1a6g<728qC=9kn;|l0f7e=83;pD<:ja:m7g4c290:wE?;e`9~j6d5m3:1=vF>4dc8yk5e:o0;6{i;k9:6=4>{I37ad=zf:h8>7>51zJ20`g4?:0yK51cf3td8n>:50;3xL42bi2we?o=::182M73mh1vb>l<6;295~N64}O9=oj7p`nm6sa3c1:>5<6sA;?il5rn2`0e?6=9rB:8ho4}o1a7g<728qC=9kn;|l0f6e=83;pD<:ja:m7g5c290:wE?;e`9~j6d4m3:1=vF>4dc8yk5e;o0;6{i;k>:6=4>{I37ad=zf:h?>7>51zJ20`g4?:0yK51cf3td8n9:50;3xL42bi2we?o:::182M73mh1vb>l;6;295~N64}O9=oj7p`nm6sa3c6:>5<6sA;?il5rn2`7e?6=9rB:8ho4}o1a0g<728qC=9kn;|l0f1e=83;pD<:ja:m7g2c290:wE?;e`9~j6d3m3:1=vF>4dc8yk5e{i;k?:6=4>{I37ad=zf:h>>7>51zJ20`g4?:0yK51cf3td8n8:50;3xL42bi2we?o;::182M73mh1vb>l:6;295~N64}O9=oj7p`nm6sa3c7:>5<6sA;?il5rn2`6e?6=9rB:8ho4}o1a1g<728qC=9kn;|l0f0e=83;pD<:ja:m7g3c290:wE?;e`9~j6d2m3:1=vF>4dc8yk5e=o0;6{i;k<:6=4>{I37ad=zf:h=>7>51zJ20`g4?:0yK51cf3td8n;:50;3xL42bi2we?o8::182M73mh1vb>l96;295~N64}O9=oj7p`nm6sa3c4:>5<6sA;?il5rn2`5e?6=9rB:8ho4}o1a2g<728qC=9kn;|l0f3e=83;pD<:ja:m7g0c290:wE?;e`9~j6d1m3:1=vF>4dc8yk5e>o0;6:1<7?tH06fe>{i;k=:6=4>{I37ad=zf:h<>7>51zJ20`g4?:0yK51cf3td8n::50;3xL42bi2we?o9::182M73mh1vb>l86;295~N64}O9=oj7p`nm6sa3c5:>5<6sA;?il5rn2`4e?6=9rB:8ho4}o1a3g<728qC=9kn;|l0f2e=83;pD<:ja:m7g1c290:wE?;e`9~j6d0m3:1=vF>4dc8yk5e?o0;6{i;k2:6=4>{I37ad=zf:h3>7>51zJ20`g4?:0yK51cf3td8n5:50;3xL42bi2we?o6::182M73mh1vb>l76;295~N64}O9=oj7p`nm6sa3c::>5<6sA;?il5rn2`;e?6=9rB:8ho4}o1ac290:wE?;e`9~j6d?m3:1=vF>4dc8yk5e0o0;6{i;k3:6=4>{I37ad=zf:h2>7>51zJ20`g4?:0yK51cf3td8n4:50;3xL42bi2we?o7::182M73mh1vb>l66;295~N64}O9=oj7p`nm6sa3c;:>5<6sA;?il5rn2`:e?6=9rB:8ho4}o1a=g<728qC=9kn;|l0fm3:1=vF>4dc8yk5e1o0;6{i;kk:6=4>{I37ad=zf:hj>7>51zJ20`g4?:0yK51cf3td8nl:50;3xL42bi2we?oo::182M73mh1vb>ln6;295~N64}O9=oj7p`nm6sa3cc:>5<6sA;?il5rn2`be?6=9rB:8ho4}o1aeg<728qC=9kn;|l0fde=83;pD<:ja:m7ggc290:wE?;e`9~j6dfm3:1=vF>4dc8yk5eio0;6{i;kh:6=4>{I37ad=zf:hi>7>51zJ20`g4?:0yK51cf3td8no:50;3xL42bi2we?ol::182M73mh1vb>lm6;295~N64}O9=oj7p`nm6sa3c`:>5<6sA;?il5rn2`ae?6=9rB:8ho4}o1afg<728qC=9kn;|l0fge=83;pD<:ja:m7gdc290:wE?;e`9~j6dem3:1=vF>4dc8yk5ejo0;6{i;ki:6=4>{I37ad=zf:hh>7>51zJ20`g4?:0yK51cf3td8nn:50;3xL42bi2we?om::182M73mh1vb>ll6;295~N64}O9=oj7p`nm6sa3ca:>5<6sA;?il5rn2``e?6=9rB:8ho4}o1agg<728qC=9kn;|l0ffe=83;pD<:ja:m7gec290:wE?;e`9~j6ddm3:1=vF>4dc8yk5eko0;6{i;kn:6=4>{I37ad=zf:ho>7>51zJ20`g4?:0yK51cf3td8ni:50;3xL42bi2we?oj::182M73mh1vb>lk6;295~N64}O9=oj7p`nm6sa3cf:>5<6sA;?il5rn2`ge?6=9rB:8ho4}o1a`g<728qC=9kn;|l0fae=83;pD<:ja:m7gbc290:wE?;e`9~j6dcm3:1=vF>4dc8yk5elo0;6{i;ko:6=4>{I37ad=zf:hn>7>51zJ20`g4?:0yK51cf3td8nh:50;3xL42bi2we?ok::182M73mh1vb>lj6;295~N64}O9=oj7p`nm6sa3cg:>5<6sA;?il5rn2`fe?6=9rB:8ho4}o1aag<728qC=9kn;|l0f`e=83;pD<:ja:m7gcc290:wE?;e`9~j6dbm3:1=vF>4dc8yk5emo0;6{i;kl:6=4>{I37ad=zf:hm>7>51zJ20`g4?:0yK51cf3td8nk:50;3xL42bi2we?oh::182M73mh1vb>li6;295~N64}O9=oj7p`nm6sa3cd:>5<6sA;?il5rn2`ee?6=9rB:8ho4}o1abg<728qC=9kn;|l0fce=83;pD<:ja:m7g`c290:wE?;e`9~j6dam3:1=vF>4dc8yk5eno0;6{i;j::6=4>{I37ad=zf:i;>7>51zJ20`g4?:0yK51cf3td8o=:50;3xL42bi2we?n>::182M73mh1vb>m?6;295~N64}O9=oj7p`nm6sa3b2:>5<6sA;?il5rn2a3e?6=9rB:8ho4}o1`4g<728qC=9kn;|l0g5e=83;pD<:ja:m7f6c290:wE?;e`9~j6e7m3:1=vF>4dc8yk5d8o0;6{i;j;:6=4>{I37ad=zf:i:>7>51zJ20`g4?:0yK51cf3td8o<:50;3xL42bi2we?n?::182M73mh1vb>m>6;295~N64}O9=oj7p`nm6sa3b3:>5<6sA;?il5rn2a2e?6=9rB:8ho4}o1`5g<728qC=9kn;|l0g4e=83;pD<:ja:m7f7c290:wE?;e`9~j6e6m3:1=vF>4dc8yk5d9o0;6{i;j8:6=4>{I37ad=zf:i9>7>51zJ20`g>4?:0yK51cf3td8o?:50;3xL42bi2we?n<::182M73mh1vb>m=6;295~N64}O9=oj7p`nm6sa3b0:>5<6sA;?il5rn2a1e?6=9rB:8ho4}o1`6g<728qC=9kn;|l0g7e=83;pD<:ja:m7f4c290:wE?;e`9~j6e5m3:1=vF>4dc8yk5d:o0;6{i;j9:6=4>{I37ad=zf:i8>7>51zJ20`g4?:0yK51cf3td8o>:50;3xL42bi2we?n=::182M73mh1vb>m<6;295~N64}O9=oj7p`nm6sa3b1:>5<6sA;?il5rn2a0e?6=9rB:8ho4}o1`7g<728qC=9kn;|l0g6e=83;pD<:ja:m7f5c290:wE?;e`9~j6e4m3:1=vF>4dc8yk5d;o0;6{i;j>:6=4>{I37ad=zf:i?>7>51zJ20`g4?:0yK51cf3td8o9:50;3xL42bi2we?n:::182M73mh1vb>m;6;295~N64}O9=oj7p`nm6sa3b6:>5<6sA;?il5rn2a7e?6=9rB:8ho4}o1`0g<728qC=9kn;|l0g1e=83;pD<:ja:m7f2c290:wE?;e`9~j6e3m3:1=vF>4dc8yk5d{i;j?:6=4>{I37ad=zf:i>>7>51zJ20`g4?:0yK51cf3td8o8:50;3xL42bi2we?n;::182M73mh1vb>m:6;295~N64}O9=oj7p`nm6sa3b7:>5<6sA;?il5rn2a6e?6=9rB:8ho4}o1`1g<728qC=9kn;|l0g0e=83;pD<:ja:m7f3c290:wE?;e`9~j6e2m3:1=vF>4dc8yk5d=o0;6{i;j<:6=4>{I37ad=zf:i=>7>51zJ20`g4?:0yK51cf3td8o;:50;3xL42bi2we?n8::182M73mh1vb>m96;295~N64}O9=oj7p`nm6sa3b4:>5<6sA;?il5rn2a5e?6=9rB:8ho4}o1`2g<728qC=9kn;|l0g3e=83;pD<:ja:m7f0c290:wE?;e`9~j6e1m3:1=vF>4dc8yk5d>o0;6:1<7?tH06fe>{i;j=:6=4>{I37ad=zf:i<>7>51zJ20`g4?:0yK51cf3td8o::50;3xL42bi2we?n9::182M73mh1vb>m86;295~N64}O9=oj7p`nm6sa3b5:>5<6sA;?il5rn2a4e?6=9rB:8ho4}o1`3g<728qC=9kn;|l0g2e=83;pD<:ja:m7f1c290:wE?;e`9~j6e0m3:1=vF>4dc8yk5d?o0;6{i;j2:6=4>{I37ad=zf:i3>7>51zJ20`g4?:0yK51cf3td8o5:50;3xL42bi2we?n6::182M73mh1vb>m76;295~N64}O9=oj7p`nm6sa3b::>5<6sA;?il5rn2a;e?6=9rB:8ho4}o1`c290:wE?;e`9~j6e?m3:1=vF>4dc8yk5d0o0;6{i;j3:6=4>{I37ad=zf:i2>7>51zJ20`g4?:0yK51cf3td8o4:50;3xL42bi2we?n7::182M73mh1vb>m66;295~N64}O9=oj7p`nm6sa3b;:>5<6sA;?il5rn2a:e?6=9rB:8ho4}o1`=g<728qC=9kn;|l0gm3:1=vF>4dc8yk5d1o0;6{i;jk:6=4>{I37ad=zf:ij>7>51zJ20`g4?:0yK51cf3td8ol:50;3xL42bi2we?no::182M73mh1vb>mn6;295~N64}O9=oj7p`nm6sa3bc:>5<6sA;?il5rn2abe?6=9rB:8ho4}o1`eg<728qC=9kn;|l0gde=83;pD<:ja:m7fgc290:wE?;e`9~j6efm3:1=vF>4dc8yk5dio0;6{i;jh:6=4>{I37ad=zf:ii>7>51zJ20`g4?:0yK51cf3td8oo:50;3xL42bi2we?nl::182M73mh1vb>mm6;295~N64}O9=oj7p`nm6sa3b`:>5<6sA;?il5rn2aae?6=9rB:8ho4}o1`fg<728qC=9kn;|l0gge=83;pD<:ja:m7fdc290:wE?;e`9~j6eem3:1=vF>4dc8yk5djo0;6{i;ji:6=4>{I37ad=zf:ih>7>51zJ20`g4?:0yK51cf3td8on:50;3xL42bi2we?nm::182M73mh1vb>ml6;295~N64}O9=oj7p`nm6sa3ba:>5<6sA;?il5rn2a`e?6=9rB:8ho4}o1`gg<728qC=9kn;|l0gfe=83;pD<:ja:m7fec290:wE?;e`9~j6edm3:1=vF>4dc8yk5dko0;6{i;jn:6=4>{I37ad=zf:io>7>51zJ20`g4?:0yK51cf3td8oi:50;3xL42bi2we?nj::182M73mh1vb>mk6;295~N64}O9=oj7p`nm6sa3bf:>5<6sA;?il5rn2age?6=9rB:8ho4}o1``g<728qC=9kn;|l0gae=83;pD<:ja:m7fbc290:wE?;e`9~j6ecm3:1=vF>4dc8yk5dlo0;6{i;jo:6=4>{I37ad=zf:in>7>51zJ20`g4?:0yK51cf3td8oh:50;3xL42bi2we?nk::182M73mh1vb>mj6;295~N64}O9=oj7p`nm6sa3bg:>5<6sA;?il5rn2afe?6=9rB:8ho4}o1`ag<728qC=9kn;|l0g`e=83;pD<:ja:m7fcc290:wE?;e`9~j6ebm3:1=vF>4dc8yk5dmo0;6{i;jl:6=4>{I37ad=zf:im>7>51zJ20`g4?:0yK51cf3td8ok:50;3xL42bi2we?nh::182M73mh1vb>mi6;295~N64}O9=oj7p`nm6sa3bd:>5<6sA;?il5rn2aee?6=9rB:8ho4}o1`bg<728qC=9kn;|l0gce=83;pD<:ja:m7f`c290:wE?;e`9~j6eam3:1=vF>4dc8yk5dno0;6{i;m::6=4>{I37ad=zf:n;>7>51zJ20`g4?:0yK51cf3td8h=:50;3xL42bi2we?i>::182M73mh1vb>j?6;295~N64}O9=oj7p`nm6sa3e2:>5<6sA;?il5rn2f3e?6=9rB:8ho4}o1g4g<728qC=9kn;|l0`5e=83;pD<:ja:m7a6c290:wE?;e`9~j6b7m3:1=vF>4dc8yk5c8o0;6{i;m;:6=4>{I37ad=zf:n:>7>51zJ20`g4?:0yK51cf3td8h<:50;3xL42bi2we?i?::182M73mh1vb>j>6;295~N64}O9=oj7p`nm6sa3e3:>5<6sA;?il5rn2f2e?6=9rB:8ho4}o1g5g<728qC=9kn;|l0`4e=83;pD<:ja:m7a7c290:wE?;e`9~j6b6m3:1=vF>4dc8yk5c9o0;6{i;m8:6=4>{I37ad=zf:n9>7>51zJ20`g>4?:0yK51cf3td8h?:50;3xL42bi2we?i<::182M73mh1vb>j=6;295~N64}O9=oj7p`nm6sa3e0:>5<6sA;?il5rn2f1e?6=9rB:8ho4}o1g6g<728qC=9kn;|l0`7e=83;pD<:ja:m7a4c290:wE?;e`9~j6b5m3:1=vF>4dc8yk5c:o0;6{i;m9:6=4>{I37ad=zf:n8>7>51zJ20`g4?:0yK51cf3td8h>:50;3xL42bi2we?i=::182M73mh1vb>j<6;295~N64}O9=oj7p`nm6sa3e1:>5<6sA;?il5rn2f0e?6=9rB:8ho4}o1g7g<728qC=9kn;|l0`6e=83;pD<:ja:m7a5c290:wE?;e`9~j6b4m3:1=vF>4dc8yk5c;o0;6{i;m>:6=4>{I37ad=zf:n?>7>51zJ20`g4?:0yK51cf3td8h9:50;3xL42bi2we?i:::182M73mh1vb>j;6;295~N64}O9=oj7p`nm6sa3e6:>5<6sA;?il5rn2f7e?6=9rB:8ho4}o1g0g<728qC=9kn;|l0`1e=83;pD<:ja:m7a2c290:wE?;e`9~j6b3m3:1=vF>4dc8yk5c{i;m?:6=4>{I37ad=zf:n>>7>51zJ20`g4?:0yK51cf3td8h8:50;3xL42bi2we?i;::182M73mh1vb>j:6;295~N64}O9=oj7p`nm6sa3e7:>5<6sA;?il5rn2f6e?6=9rB:8ho4}o1g1g<728qC=9kn;|l0`0e=83;pD<:ja:m7a3c290:wE?;e`9~j6b2m3:1=vF>4dc8yk5c=o0;6{i;m<:6=4>{I37ad=zf:n=>7>51zJ20`g4?:0yK51cf3td8h;:50;3xL42bi2we?i8::182M73mh1vb>j96;295~N64}O9=oj7p`nm6sa3e4:>5<6sA;?il5rn2f5e?6=9rB:8ho4}o1g2g<728qC=9kn;|l0`3e=83;pD<:ja:m7a0c290:wE?;e`9~j6b1m3:1=vF>4dc8yk5c>o0;6:1<7?tH06fe>{i;m=:6=4>{I37ad=zf:n<>7>51zJ20`g4?:0yK51cf3td8h::50;3xL42bi2we?i9::182M73mh1vb>j86;295~N64}O9=oj7p`nm6sa3e5:>5<6sA;?il5rn2f4e?6=9rB:8ho4}o1g3g<728qC=9kn;|l0`2e=83;pD<:ja:m7a1c290:wE?;e`9~j6b0m3:1=vF>4dc8yk5c?o0;6{i;m2:6=4>{I37ad=zf:n3>7>51zJ20`g4?:0yK51cf3td8h5:50;3xL42bi2we?i6::182M73mh1vb>j76;295~N64}O9=oj7p`nm6sa3e::>5<6sA;?il5rn2f;e?6=9rB:8ho4}o1gc290:wE?;e`9~j6b?m3:1=vF>4dc8yk5c0o0;6{i;m3:6=4>{I37ad=zf:n2>7>51zJ20`g4?:0yK51cf3td8h4:50;3xL42bi2we?i7::182M73mh1vb>j66;295~N64}O9=oj7p`nm6sa3e;:>5<6sA;?il5rn2f:e?6=9rB:8ho4}o1g=g<728qC=9kn;|l0`m3:1=vF>4dc8yk5c1o0;6{i;mk:6=4>{I37ad=zf:nj>7>51zJ20`g4?:0yK51cf3td8hl:50;3xL42bi2we?io::182M73mh1vb>jn6;295~N64}O9=oj7p`nm6sa3ec:>5<6sA;?il5rn2fbe?6=9rB:8ho4}o1geg<728qC=9kn;|l0`de=83;pD<:ja:m7agc290:wE?;e`9~j6bfm3:1=vF>4dc8yk5cio0;6{i;mh:6=4>{I37ad=zf:ni>7>51zJ20`g4?:0yK51cf3td8ho:50;3xL42bi2we?il::182M73mh1vb>jm6;295~N64}O9=oj7p`nm6sa3e`:>5<6sA;?il5rn2fae?6=9rB:8ho4}o1gfg<728qC=9kn;|l0`ge=83;pD<:ja:m7adc290:wE?;e`9~j6bem3:1=vF>4dc8yk5cjo0;6{i;mi:6=4>{I37ad=zf:nh>7>51zJ20`g4?:0yK51cf3td8hn:50;3xL42bi2we?im::182M73mh1vb>jl6;295~N64}O9=oj7p`nm6sa3ea:>5<6sA;?il5rn2f`e?6=9rB:8ho4}o1ggg<728qC=9kn;|l0`fe=83;pD<:ja:m7aec290:wE?;e`9~j6bdm3:1=vF>4dc8yk5cko0;6{i;mn:6=4>{I37ad=zf:no>7>51zJ20`g4?:0yK51cf3td8hi:50;3xL42bi2we?ij::182M73mh1vb>jk6;295~N64}O9=oj7p`nm6sa3ef:>5<6sA;?il5rn2fge?6=9rB:8ho4}o1g`g<728qC=9kn;|l0`ae=83;pD<:ja:m7abc290:wE?;e`9~j6bcm3:1=vF>4dc8yk5clo0;6{i;mo:6=4>{I37ad=zf:nn>7>51zJ20`g4?:0yK51cf3td8hh:50;3xL42bi2we?ik::182M73mh1vb>jj6;295~N64}O9=oj7p`nm6sa3eg:>5<6sA;?il5rn2ffe?6=9rB:8ho4}o1gag<728qC=9kn;|l0``e=83;pD<:ja:m7acc290:wE?;e`9~j6bbm3:1=vF>4dc8yk5cmo0;6{i;ml:6=4>{I37ad=zf:nm>7>51zJ20`g4?:0yK51cf3td8hk:50;3xL42bi2we?ih::182M73mh1vb>ji6;295~N64}O9=oj7p`nm6sa3ed:>5<6sA;?il5rn2fee?6=9rB:8ho4}o1gbg<728qC=9kn;|l0`ce=83;pD<:ja:m7a`c290:wE?;e`9~j6bam3:1=vF>4dc8yk5cno0;6{i;l::6=4>{I37ad=zf:o;>7>51zJ20`g4?:0yK51cf3td8i=:50;3xL42bi2we?h>::182M73mh1vb>k?6;295~N64}O9=oj7p`nm6sa3d2:>5<6sA;?il5rn2g3e?6=9rB:8ho4}o1f4g<728qC=9kn;|l0a5e=83;pD<:ja:m7`6c290:wE?;e`9~j6c7m3:1=vF>4dc8yk5b8o0;6{i;l;:6=4>{I37ad=zf:o:>7>51zJ20`g4?:0yK51cf3td8i<:50;3xL42bi2we?h?::182M73mh1vb>k>6;295~N64}O9=oj7p`nm6sa3d3:>5<6sA;?il5rn2g2e?6=9rB:8ho4}o1f5g<728qC=9kn;|l0a4e=83;pD<:ja:m7`7c290:wE?;e`9~j6c6m3:1=vF>4dc8yk5b9o0;6{i;l8:6=4>{I37ad=zf:o9>7>51zJ20`g>4?:0yK51cf3td8i?:50;3xL42bi2we?h<::182M73mh1vb>k=6;295~N64}O9=oj7p`nm6sa3d0:>5<6sA;?il5rn2g1e?6=9rB:8ho4}o1f6g<728qC=9kn;|l0a7e=83;pD<:ja:m7`4c290:wE?;e`9~j6c5m3:1=vF>4dc8yk5b:o0;6{i;l9:6=4>{I37ad=zf:o8>7>51zJ20`g4?:0yK51cf3td8i>:50;3xL42bi2we?h=::182M73mh1vb>k<6;295~N64}O9=oj7p`nm6sa3d1:>5<6sA;?il5rn2g0e?6=9rB:8ho4}o1f7g<728qC=9kn;|l0a6e=83;pD<:ja:m7`5c290:wE?;e`9~j6c4m3:1=vF>4dc8yk5b;o0;6{i;l>:6=4>{I37ad=zf:o?>7>51zJ20`g4?:0yK51cf3td8i9:50;3xL42bi2we?h:::182M73mh1vb>k;6;295~N64}O9=oj7p`nm6sa3d6:>5<6sA;?il5rn2g7e?6=9rB:8ho4}o1f0g<728qC=9kn;|l0a1e=83;pD<:ja:m7`2c290:wE?;e`9~j6c3m3:1=vF>4dc8yk5b{i;l?:6=4>{I37ad=zf:o>>7>51zJ20`g4?:0yK51cf3td8i8:50;3xL42bi2we?h;::182M73mh1vb>k:6;295~N64}O9=oj7p`nm6sa3d7:>5<6sA;?il5rn2g6e?6=9rB:8ho4}o1f1g<728qC=9kn;|l0a0e=83;pD<:ja:m7`3c290:wE?;e`9~j6c2m3:1=vF>4dc8yk5b=o0;6{i;l<:6=4>{I37ad=zf:o=>7>51zJ20`g4?:0yK51cf3td8i;:50;3xL42bi2we?h8::182M73mh1vb>k96;295~N64}O9=oj7p`nm6sa3d4:>5<6sA;?il5rn2g5e?6=9rB:8ho4}o1f2g<728qC=9kn;|l0a3e=83;pD<:ja:m7`0c290:wE?;e`9~j6c1m3:1=vF>4dc8yk5b>o0;6:1<7?tH06fe>{i;l=:6=4>{I37ad=zf:o<>7>51zJ20`g4?:0yK51cf3td8i::50;3xL42bi2we?h9::182M73mh1vb>k86;295~N64}O9=oj7p`nm6sa3d5:>5<6sA;?il5rn2g4e?6=9rB:8ho4}o1f3g<728qC=9kn;|l0a2e=83;pD<:ja:m7`1c290:wE?;e`9~j6c0m3:1=vF>4dc8yk5b?o0;6{i;l2:6=4>{I37ad=zf:o3>7>51zJ20`g4?:0yK51cf3td8i5:50;3xL42bi2we?h6::182M73mh1vb>k76;295~N64}O9=oj7p`nm6sa3d::>5<6sA;?il5rn2g;e?6=9rB:8ho4}o1fc290:wE?;e`9~j6c?m3:1=vF>4dc8yk5b0o0;6{i;l3:6=4>{I37ad=zf:o2>7>51zJ20`g4?:0yK51cf3td8i4:50;3xL42bi2we?h7::182M73mh1vb>k66;295~N64}O9=oj7p`nm6sa3d;:>5<6sA;?il5rn2g:e?6=9rB:8ho4}o1f=g<728qC=9kn;|l0am3:1=vF>4dc8yk5b1o0;6{i;lk:6=4>{I37ad=zf:oj>7>51zJ20`g4?:0yK51cf3td8il:50;3xL42bi2we?ho::182M73mh1vb>kn6;295~N64}O9=oj7p`nm6sa3dc:>5<6sA;?il5rn2gbe?6=9rB:8ho4}o1feg<728qC=9kn;|l0ade=83;pD<:ja:m7`gc290:wE?;e`9~j6cfm3:1=vF>4dc8yk5bio0;6{i;lh:6=4>{I37ad=zf:oi>7>51zJ20`g4?:0yK51cf3td8io:50;3xL42bi2we?hl::182M73mh1vb>km6;295~N64}O9=oj7p`nm6sa3d`:>5<6sA;?il5rn2gae?6=9rB:8ho4}o1ffg<728qC=9kn;|l0age=83;pD<:ja:m7`dc290:wE?;e`9~j6cem3:1=vF>4dc8yk5bjo0;6{i;li:6=4>{I37ad=zf:oh>7>51zJ20`g4?:0yK51cf3td8in:50;3xL42bi2we?hm::182M73mh1vb>kl6;295~N64}O9=oj7p`nm6sa3da:>5<6sA;?il5rn2g`e?6=9rB:8ho4}o1fgg<728qC=9kn;|l0afe=83;pD<:ja:m7`ec290:wE?;e`9~j6cdm3:1=vF>4dc8yk5bko0;6{i;ln:6=4>{I37ad=zf:oo>7>51zJ20`g4?:0yK51cf3td8ii:50;3xL42bi2we?hj::182M73mh1vb>kk6;295~N64}O9=oj7p`nm6sa3df:>5<6sA;?il5rn2gge?6=9rB:8ho4}o1f`g<728qC=9kn;|l0aae=83;pD<:ja:m7`bc290:wE?;e`9~j6ccm3:1=vF>4dc8yk5blo0;6{i;lo:6=4>{I37ad=zf:on>7>51zJ20`g4?:0yK51cf3td8ih:50;3xL42bi2we?hk::182M73mh1vb>kj6;295~N64}O9=oj7p`nm6sa3dg:>5<6sA;?il5rn2gfe?6=9rB:8ho4}o1fag<728qC=9kn;|l0a`e=83;pD<:ja:m7`cc290:wE?;e`9~j6cbm3:1=vF>4dc8yk5bmo0;6{i;ll:6=4>{I37ad=zf:om>7>51zJ20`g4?:0yK51cf3td8ik:50;3xL42bi2we?hh::182M73mh1vb>ki6;295~N64}O9=oj7p`nm6sa3dd:>5<6sA;?il5rn2gee?6=9rB:8ho4}o1fbg<728qC=9kn;|l0ace=83;pD<:ja:m7``c290:wE?;e`9~j6cam3:1=vF>4dc8yk5bno0;6{i;o::6=4>{I37ad=zf:l;>7>51zJ20`g4?:0yK51cf3td8j=:50;3xL42bi2we?k>::182M73mh1vb>h?6;295~N64}O9=oj7p`nm6sa3g2:>5<6sA;?il5rn2d3e?6=9rB:8ho4}o1e4g<728qC=9kn;|l0b5e=83;pD<:ja:m7c6c290:wE?;e`9~j6`7m3:1=vF>4dc8yk5a8o0;6{i;o;:6=4>{I37ad=zf:l:>7>51zJ20`g4?:0yK51cf3td8j<:50;3xL42bi2we?k?::182M73mh1vb>h>6;295~N64}O9=oj7p`nm6sa3g3:>5<6sA;?il5rn2d2e?6=9rB:8ho4}o1e5g<728qC=9kn;|l0b4e=83;pD<:ja:m7c7c290:wE?;e`9~j6`6m3:1=vF>4dc8yk5a9o0;6{i;o8:6=4>{I37ad=zf:l9>7>51zJ20`g>4?:0yK51cf3td8j?:50;3xL42bi2we?k<::182M73mh1vb>h=6;295~N64}O9=oj7p`nm6sa3g0:>5<6sA;?il5rn2d1e?6=9rB:8ho4}o1e6g<728qC=9kn;|l0b7e=83;pD<:ja:m7c4c290:wE?;e`9~j6`5m3:1=vF>4dc8yk5a:o0;6{i;o9:6=4>{I37ad=zf:l8>7>51zJ20`g4?:0yK51cf3td8j>:50;3xL42bi2we?k=::182M73mh1vb>h<6;295~N64}O9=oj7p`nm6sa3g1:>5<6sA;?il5rn2d0e?6=9rB:8ho4}o1e7g<728qC=9kn;|l0b6e=83;pD<:ja:m7c5c290:wE?;e`9~j6`4m3:1=vF>4dc8yk5a;o0;6{i;o>:6=4>{I37ad=zf:l?>7>51zJ20`g4?:0yK51cf3td8j9:50;3xL42bi2we?k:::182M73mh1vb>h;6;295~N64}O9=oj7p`nm6sa3g6:>5<6sA;?il5rn2d7e?6=9rB:8ho4}o1e0g<728qC=9kn;|l0b1e=83;pD<:ja:m7c2c290:wE?;e`9~j6`3m3:1=vF>4dc8yk5a{i;o?:6=4>{I37ad=zf:l>>7>51zJ20`g4?:0yK51cf3td8j8:50;3xL42bi2we?k;::182M73mh1vb>h:6;295~N64}O9=oj7p`nm6sa3g7:>5<6sA;?il5rn2d6e?6=9rB:8ho4}o1e1g<728qC=9kn;|l0b0e=83;pD<:ja:m7c3c290:wE?;e`9~j6`2m3:1=vF>4dc8yk5a=o0;6{i;o<:6=4>{I37ad=zf:l=>7>51zJ20`g4?:0yK51cf3td8j;:50;3xL42bi2we?k8::182M73mh1vb>h96;295~N64}O9=oj7p`nm6sa3g4:>5<6sA;?il5rn2d5e?6=9rB:8ho4}o1e2g<728qC=9kn;|l0b3e=83;pD<:ja:m7c0c290:wE?;e`9~j6`1m3:1=vF>4dc8yk5a>o0;6:1<7?tH06fe>{i;o=:6=4>{I37ad=zf:l<>7>51zJ20`g4?:0yK51cf3td8j::50;3xL42bi2we?k9::182M73mh1vb>h86;295~N64}O9=oj7p`nm6sa3g5:>5<6sA;?il5rn2d4e?6=9rB:8ho4}o1e3g<728qC=9kn;|l0b2e=83;pD<:ja:m7c1c290:wE?;e`9~j6`0m3:1=vF>4dc8yk5a?o0;6{i;o2:6=4>{I37ad=zf:l3>7>51zJ20`g4?:0yK51cf3td8j5:50;3xL42bi2we?k6::182M73mh1vb>h76;295~N64}O9=oj7p`nm6sa3g::>5<6sA;?il5rn2d;e?6=9rB:8ho4}o1ec290:wE?;e`9~j6`?m3:1=vF>4dc8yk5a0o0;6{i;o3:6=4>{I37ad=zf:l2>7>51zJ20`g4?:0yK51cf3td8j4:50;3xL42bi2we?k7::182M73mh1vb>h66;295~N64}O9=oj7p`nm6sa3g;:>5<6sA;?il5rn2d:e?6=9rB:8ho4}o1e=g<728qC=9kn;|l0bm3:1=vF>4dc8yk5a1o0;6{i;ok:6=4>{I37ad=zf:lj>7>51zJ20`g4?:0yK51cf3td8jl:50;3xL42bi2we?ko::182M73mh1vb>hn6;295~N64}O9=oj7p`nm6sa3gc:>5<6sA;?il5rn2dbe?6=9rB:8ho4}o1eeg<728qC=9kn;|l0bde=83;pD<:ja:m7cgc290:wE?;e`9~j6`fm3:1=vF>4dc8yk5aio0;6{i;oh:6=4>{I37ad=zf:li>7>51zJ20`g4?:0yK51cf3td8jo:50;3xL42bi2we?kl::182M73mh1vb>hm6;295~N64}O9=oj7p`nm6sa3g`:>5<6sA;?il5rn2dae?6=9rB:8ho4}o1efg<728qC=9kn;|l0bge=83;pD<:ja:m7cdc290:wE?;e`9~j6`em3:1=vF>4dc8yk5ajo0;6{i;oi:6=4>{I37ad=zf:lh>7>51zJ20`g4?:0yK51cf3td8jn:50;3xL42bi2we?km::182M73mh1vb>hl6;295~N64}O9=oj7p`nm6sa3ga:>5<6sA;?il5rn2d`e?6=9rB:8ho4}o1egg<728qC=9kn;|l0bfe=83;pD<:ja:m7cec290:wE?;e`9~j6`dm3:1=vF>4dc8yk5ako0;6{i;on:6=4>{I37ad=zf:lo>7>51zJ20`g4?:0yK51cf3td8ji:50;3xL42bi2we?kj::182M73mh1vb>hk6;295~N64}O9=oj7p`nm6sa3gf:>5<6sA;?il5rn2dge?6=9rB:8ho4}o1e`g<728qC=9kn;|l0bae=83;pD<:ja:m7cbc290:wE?;e`9~j6`cm3:1=vF>4dc8yk5alo0;6{i;oo:6=4>{I37ad=zf:ln>7>51zJ20`g4?:0yK51cf3td8jh:50;3xL42bi2we?kk::182M73mh1vb>hj6;295~N64}O9=oj7p`nm6sa3gg:>5<6sA;?il5rn2dfe?6=9rB:8ho4}o1eag<728qC=9kn;|l0b`e=83;pD<:ja:m7ccc290:wE?;e`9~j6`bm3:1=vF>4dc8yk5amo0;6{i;ol:6=4>{I37ad=zf:lm>7>51zJ20`g4?:0yK51cf3td8jk:50;3xL42bi2we?kh::182M73mh1vb>hi6;295~N64}O9=oj7p`nm6sa3gd:>5<6sA;?il5rn2dee?6=9rB:8ho4}o1ebg<728qC=9kn;|l0bce=83;pD<:ja:m7c`c290:wE?;e`9~j6`am3:1=vF>4dc8yk5ano0;6{i<9::6=4>{I37ad=zf=:;>7>51zJ20`g;<>4?:0yK51cf3td?<=:50;3xL42bi2we8=>::182M73mh1vb9>?6;295~N64}O9=oj7p`;01:94?7|@8>nm6sa412:>5<6sA;?il5rn523e?6=9rB:8ho4}o634g<728qC=9kn;|l745e=83;pD<:ja:m056c290:wE?;e`9~j167m3:1=vF>4dc8yk278o0;6{i<9;:6=4>{I37ad=zf=::>7>51zJ20`g;=>4?:0yK51cf3td?<<:50;3xL42bi2we8=?::182M73mh1vb9>>6;295~N64}O9=oj7p`;00:94?7|@8>nm6sa413:>5<6sA;?il5rn522e?6=9rB:8ho4}o635g<728qC=9kn;|l744e=83;pD<:ja:m057c290:wE?;e`9~j166m3:1=vF>4dc8yk279o0;6{i<98:6=4>{I37ad=zf=:9>7>51zJ20`g;>>4?:0yK51cf3td?=6;295~N64}O9=oj7p`;03:94?7|@8>nm6sa410:>5<6sA;?il5rn521e?6=9rB:8ho4}o636g<728qC=9kn;|l747e=83;pD<:ja:m054c290:wE?;e`9~j165m3:1=vF>4dc8yk27:o0;6{i<99:6=4>{I37ad=zf=:8>7>51zJ20`g;?>4?:0yK51cf3td?<>:50;3xL42bi2we8==::182M73mh1vb9><6;295~N64}O9=oj7p`;02:94?7|@8>nm6sa411:>5<6sA;?il5rn520e?6=9rB:8ho4}o637g<728qC=9kn;|l746e=83;pD<:ja:m055c290:wE?;e`9~j164m3:1=vF>4dc8yk27;o0;6{i<9>:6=4>{I37ad=zf=:?>7>51zJ20`g;8>4?:0yK51cf3td?<9:50;3xL42bi2we8=:::182M73mh1vb9>;6;295~N64}O9=oj7p`;05:94?7|@8>nm6sa416:>5<6sA;?il5rn527e?6=9rB:8ho4}o630g<728qC=9kn;|l741e=83;pD<:ja:m052c290:wE?;e`9~j163m3:1=vF>4dc8yk27{i<9?:6=4>{I37ad=zf=:>>7>51zJ20`g;9>4?:0yK51cf3td?<8:50;3xL42bi2we8=;::182M73mh1vb9>:6;295~N64}O9=oj7p`;04:94?7|@8>nm6sa417:>5<6sA;?il5rn526e?6=9rB:8ho4}o631g<728qC=9kn;|l740e=83;pD<:ja:m053c290:wE?;e`9~j162m3:1=vF>4dc8yk27=o0;6{i<9<:6=4>{I37ad=zf=:=>7>51zJ20`g;:>4?:0yK51cf3td?<;:50;3xL42bi2we8=8::182M73mh1vb9>96;295~N64}O9=oj7p`;07:94?7|@8>nm6sa414:>5<6sA;?il5rn525e?6=9rB:8ho4}o632g<728qC=9kn;|l743e=83;pD<:ja:m050c290:wE?;e`9~j161m3:1=vF>4dc8yk27>o0;6:1<7?tH06fe>{i<9=:6=4>{I37ad=zf=:<>7>51zJ20`g;;>4?:0yK51cf3td?<::50;3xL42bi2we8=9::182M73mh1vb9>86;295~N64}O9=oj7p`;06:94?7|@8>nm6sa415:>5<6sA;?il5rn524e?6=9rB:8ho4}o633g<728qC=9kn;|l742e=83;pD<:ja:m051c290:wE?;e`9~j160m3:1=vF>4dc8yk27?o0;6{i<92:6=4>{I37ad=zf=:3>7>51zJ20`g;4>4?:0yK51cf3td?<5:50;3xL42bi2we8=6::182M73mh1vb9>76;295~N64}O9=oj7p`;09:94?7|@8>nm6sa41::>5<6sA;?il5rn52;e?6=9rB:8ho4}o63c290:wE?;e`9~j16?m3:1=vF>4dc8yk270o0;6{i<93:6=4>{I37ad=zf=:2>7>51zJ20`g;5>4?:0yK51cf3td?<4:50;3xL42bi2we8=7::182M73mh1vb9>66;295~N64}O9=oj7p`;08:94?7|@8>nm6sa41;:>5<6sA;?il5rn52:e?6=9rB:8ho4}o63=g<728qC=9kn;|l74m3:1=vF>4dc8yk271o0;6{i<9k:6=4>{I37ad=zf=:j>7>51zJ20`g;m>4?:0yK51cf3td?n6;295~N64}O9=oj7p`;0`:94?7|@8>nm6sa41c:>5<6sA;?il5rn52be?6=9rB:8ho4}o63eg<728qC=9kn;|l74de=83;pD<:ja:m05gc290:wE?;e`9~j16fm3:1=vF>4dc8yk27io0;6{i<9h:6=4>{I37ad=zf=:i>7>51zJ20`g;n>4?:0yK51cf3td?m6;295~N64}O9=oj7p`;0c:94?7|@8>nm6sa41`:>5<6sA;?il5rn52ae?6=9rB:8ho4}o63fg<728qC=9kn;|l74ge=83;pD<:ja:m05dc290:wE?;e`9~j16em3:1=vF>4dc8yk27jo0;6{i<9i:6=4>{I37ad=zf=:h>7>51zJ20`g;o>4?:0yK51cf3td?l6;295~N64}O9=oj7p`;0b:94?7|@8>nm6sa41a:>5<6sA;?il5rn52`e?6=9rB:8ho4}o63gg<728qC=9kn;|l74fe=83;pD<:ja:m05ec290:wE?;e`9~j16dm3:1=vF>4dc8yk27ko0;6{i<9n:6=4>{I37ad=zf=:o>7>51zJ20`g;h>4?:0yK51cf3td?k6;295~N64}O9=oj7p`;0e:94?7|@8>nm6sa41f:>5<6sA;?il5rn52ge?6=9rB:8ho4}o63`g<728qC=9kn;|l74ae=83;pD<:ja:m05bc290:wE?;e`9~j16cm3:1=vF>4dc8yk27lo0;6{i<9o:6=4>{I37ad=zf=:n>7>51zJ20`g;i>4?:0yK51cf3td?j6;295~N64}O9=oj7p`;0d:94?7|@8>nm6sa41g:>5<6sA;?il5rn52fe?6=9rB:8ho4}o63ag<728qC=9kn;|l74`e=83;pD<:ja:m05cc290:wE?;e`9~j16bm3:1=vF>4dc8yk27mo0;6{i<9l:6=4>{I37ad=zf=:m>7>51zJ20`g;j>4?:0yK51cf3td?i6;295~N64}O9=oj7p`;0g:94?7|@8>nm6sa41d:>5<6sA;?il5rn52ee?6=9rB:8ho4}o63bg<728qC=9kn;|l74ce=83;pD<:ja:m05`c290:wE?;e`9~j16am3:1=vF>4dc8yk27no0;6{i<8::6=4>{I37ad=zf=;;>7>51zJ20`g:<>4?:0yK51cf3td?==:50;3xL42bi2we8<>::182M73mh1vb9??6;295~N60683>4}O9=oj7p`;11:94?7|@8>nm6sa402:>5<6sA;?il5rn533e?6=9rB:8ho4}o624g<728qC=9kn;|l755e=83;pD<:ja:m046c290:wE?;e`9~j177m3:1=vF>4dc8yk268o0;6{i<8;:6=4>{I37ad=zf=;:>7>51zJ20`g:=>4?:0yK51cf3td?=<:50;3xL42bi2we86;295~N61683>4}O9=oj7p`;10:94?7|@8>nm6sa403:>5<6sA;?il5rn532e?6=9rB:8ho4}o625g<728qC=9kn;|l754e=83;pD<:ja:m047c290:wE?;e`9~j176m3:1=vF>4dc8yk269o0;6{i<88:6=4>{I37ad=zf=;9>7>51zJ20`g:>>4?:0yK51cf3td?=?:50;3xL42bi2we8<<::182M73mh1vb9?=6;295~N62683>4}O9=oj7p`;13:94?7|@8>nm6sa400:>5<6sA;?il5rn531e?6=9rB:8ho4}o626g<728qC=9kn;|l757e=83;pD<:ja:m044c290:wE?;e`9~j175m3:1=vF>4dc8yk26:o0;6{i<89:6=4>{I37ad=zf=;8>7>51zJ20`g:?>4?:0yK51cf3td?=>:50;3xL42bi2we8<=::182M73mh1vb9?<6;295~N63683>4}O9=oj7p`;12:94?7|@8>nm6sa401:>5<6sA;?il5rn530e?6=9rB:8ho4}o627g<728qC=9kn;|l756e=83;pD<:ja:m045c290:wE?;e`9~j174m3:1=vF>4dc8yk26;o0;6{i<8>:6=4>{I37ad=zf=;?>7>51zJ20`g:8>4?:0yK51cf3td?=9:50;3xL42bi2we8<:::182M73mh1vb9?;6;295~N64683>4}O9=oj7p`;15:94?7|@8>nm6sa406:>5<6sA;?il5rn537e?6=9rB:8ho4}o620g<728qC=9kn;|l751e=83;pD<:ja:m042c290:wE?;e`9~j173m3:1=vF>4dc8yk26{i<8?:6=4>{I37ad=zf=;>>7>51zJ20`g:9>4?:0yK51cf3td?=8:50;3xL42bi2we8<;::182M73mh1vb9?:6;295~N65683>4}O9=oj7p`;14:94?7|@8>nm6sa407:>5<6sA;?il5rn536e?6=9rB:8ho4}o621g<728qC=9kn;|l750e=83;pD<:ja:m043c290:wE?;e`9~j172m3:1=vF>4dc8yk26=o0;6{i<8<:6=4>{I37ad=zf=;=>7>51zJ20`g::>4?:0yK51cf3td?=;:50;3xL42bi2we8<8::182M73mh1vb9?96;295~N66683>4}O9=oj7p`;17:94?7|@8>nm6sa404:>5<6sA;?il5rn535e?6=9rB:8ho4}o622g<728qC=9kn;|l753e=83;pD<:ja:m040c290:wE?;e`9~j171m3:1=vF>4dc8yk26>o0;6:1<7?tH06fe>{i<8=:6=4>{I37ad=zf=;<>7>51zJ20`g:;>4?:0yK51cf3td?=::50;3xL42bi2we8<9::182M73mh1vb9?86;295~N67683>4}O9=oj7p`;16:94?7|@8>nm6sa405:>5<6sA;?il5rn534e?6=9rB:8ho4}o623g<728qC=9kn;|l752e=83;pD<:ja:m041c290:wE?;e`9~j170m3:1=vF>4dc8yk26?o0;6{i<82:6=4>{I37ad=zf=;3>7>51zJ20`g:4>4?:0yK51cf3td?=5:50;3xL42bi2we8<6::182M73mh1vb9?76;295~N68683>4}O9=oj7p`;19:94?7|@8>nm6sa40::>5<6sA;?il5rn53;e?6=9rB:8ho4}o62c290:wE?;e`9~j17?m3:1=vF>4dc8yk260o0;6{i<83:6=4>{I37ad=zf=;2>7>51zJ20`g:5>4?:0yK51cf3td?=4:50;3xL42bi2we8<7::182M73mh1vb9?66;295~N69683>4}O9=oj7p`;18:94?7|@8>nm6sa40;:>5<6sA;?il5rn53:e?6=9rB:8ho4}o62=g<728qC=9kn;|l75m3:1=vF>4dc8yk261o0;6{i<8k:6=4>{I37ad=zf=;j>7>51zJ20`g:m>4?:0yK51cf3td?=l:50;3xL42bi2we8a683>4}O9=oj7p`;1`:94?7|@8>nm6sa40c:>5<6sA;?il5rn53be?6=9rB:8ho4}o62eg<728qC=9kn;|l75de=83;pD<:ja:m04gc290:wE?;e`9~j17fm3:1=vF>4dc8yk26io0;6{i<8h:6=4>{I37ad=zf=;i>7>51zJ20`g:n>4?:0yK51cf3td?=o:50;3xL42bi2we8b683>4}O9=oj7p`;1c:94?7|@8>nm6sa40`:>5<6sA;?il5rn53ae?6=9rB:8ho4}o62fg<728qC=9kn;|l75ge=83;pD<:ja:m04dc290:wE?;e`9~j17em3:1=vF>4dc8yk26jo0;6{i<8i:6=4>{I37ad=zf=;h>7>51zJ20`g:o>4?:0yK51cf3td?=n:50;3xL42bi2we8c683>4}O9=oj7p`;1b:94?7|@8>nm6sa40a:>5<6sA;?il5rn53`e?6=9rB:8ho4}o62gg<728qC=9kn;|l75fe=83;pD<:ja:m04ec290:wE?;e`9~j17dm3:1=vF>4dc8yk26ko0;6{i<8n:6=4>{I37ad=zf=;o>7>51zJ20`g:h>4?:0yK51cf3td?=i:50;3xL42bi2we8d683>4}O9=oj7p`;1e:94?7|@8>nm6sa40f:>5<6sA;?il5rn53ge?6=9rB:8ho4}o62`g<728qC=9kn;|l75ae=83;pD<:ja:m04bc290:wE?;e`9~j17cm3:1=vF>4dc8yk26lo0;6{i<8o:6=4>{I37ad=zf=;n>7>51zJ20`g:i>4?:0yK51cf3td?=h:50;3xL42bi2we8e683>4}O9=oj7p`;1d:94?7|@8>nm6sa40g:>5<6sA;?il5rn53fe?6=9rB:8ho4}o62ag<728qC=9kn;|l75`e=83;pD<:ja:m04cc290:wE?;e`9~j17bm3:1=vF>4dc8yk26mo0;6{i<8l:6=4>{I37ad=zf=;m>7>51zJ20`g:j>4?:0yK51cf3td?=k:50;3xL42bi2we8f683>4}O9=oj7p`;1g:94?7|@8>nm6sa40d:>5<6sA;?il5rn53ee?6=9rB:8ho4}o62bg<728qC=9kn;|l75ce=83;pD<:ja:m04`c290:wE?;e`9~j17am3:1=vF>4dc8yk26no0;6{i<;::6=4>{I37ad=zf=8;>7>51zJ20`g9<>4?:0yK51cf3td?>=:50;3xL42bi2we8?>::182M73mh1vb94}O9=oj7p`;21:94?7|@8>nm6sa432:>5<6sA;?il5rn503e?6=9rB:8ho4}o614g<728qC=9kn;|l765e=83;pD<:ja:m076c290:wE?;e`9~j147m3:1=vF>4dc8yk258o0;6{i<;;:6=4>{I37ad=zf=8:>7>51zJ20`g9=>4?:0yK51cf3td?><:50;3xL42bi2we8??::182M73mh1vb9<>6;295~N64}O9=oj7p`;20:94?7|@8>nm6sa433:>5<6sA;?il5rn502e?6=9rB:8ho4}o615g<728qC=9kn;|l764e=83;pD<:ja:m077c290:wE?;e`9~j146m3:1=vF>4dc8yk259o0;6{i<;8:6=4>{I37ad=zf=89>7>51zJ20`g9>>4?:0yK51cf3td?>?:50;3xL42bi2we8?<::182M73mh1vb9<=6;295~N64}O9=oj7p`;23:94?7|@8>nm6sa430:>5<6sA;?il5rn501e?6=9rB:8ho4}o616g<728qC=9kn;|l767e=83;pD<:ja:m074c290:wE?;e`9~j145m3:1=vF>4dc8yk25:o0;6{i<;9:6=4>{I37ad=zf=88>7>51zJ20`g9?>4?:0yK51cf3td?>>:50;3xL42bi2we8?=::182M73mh1vb9<<6;295~N64}O9=oj7p`;22:94?7|@8>nm6sa431:>5<6sA;?il5rn500e?6=9rB:8ho4}o617g<728qC=9kn;|l766e=83;pD<:ja:m075c290:wE?;e`9~j144m3:1=vF>4dc8yk25;o0;6{i<;>:6=4>{I37ad=zf=8?>7>51zJ20`g98>4?:0yK51cf3td?>9:50;3xL42bi2we8?:::182M73mh1vb9<;6;295~N64}O9=oj7p`;25:94?7|@8>nm6sa436:>5<6sA;?il5rn507e?6=9rB:8ho4}o610g<728qC=9kn;|l761e=83;pD<:ja:m072c290:wE?;e`9~j143m3:1=vF>4dc8yk25{i<;?:6=4>{I37ad=zf=8>>7>51zJ20`g99>4?:0yK51cf3td?>8:50;3xL42bi2we8?;::182M73mh1vb9<:6;295~N64}O9=oj7p`;24:94?7|@8>nm6sa437:>5<6sA;?il5rn506e?6=9rB:8ho4}o611g<728qC=9kn;|l760e=83;pD<:ja:m073c290:wE?;e`9~j142m3:1=vF>4dc8yk25=o0;6{i<;<:6=4>{I37ad=zf=8=>7>51zJ20`g9:>4?:0yK51cf3td?>;:50;3xL42bi2we8?8::182M73mh1vb9<96;295~N64}O9=oj7p`;27:94?7|@8>nm6sa434:>5<6sA;?il5rn505e?6=9rB:8ho4}o612g<728qC=9kn;|l763e=83;pD<:ja:m070c290:wE?;e`9~j141m3:1=vF>4dc8yk25>o0;6:1<7?tH06fe>{i<;=:6=4>{I37ad=zf=8<>7>51zJ20`g9;>4?:0yK51cf3td?>::50;3xL42bi2we8?9::182M73mh1vb9<86;295~N64}O9=oj7p`;26:94?7|@8>nm6sa435:>5<6sA;?il5rn504e?6=9rB:8ho4}o613g<728qC=9kn;|l762e=83;pD<:ja:m071c290:wE?;e`9~j140m3:1=vF>4dc8yk25?o0;6{i<;2:6=4>{I37ad=zf=83>7>51zJ20`g94>4?:0yK51cf3td?>5:50;3xL42bi2we8?6::182M73mh1vb9<76;295~N64}O9=oj7p`;29:94?7|@8>nm6sa43::>5<6sA;?il5rn50;e?6=9rB:8ho4}o61c290:wE?;e`9~j14?m3:1=vF>4dc8yk250o0;6{i<;3:6=4>{I37ad=zf=82>7>51zJ20`g95>4?:0yK51cf3td?>4:50;3xL42bi2we8?7::182M73mh1vb9<66;295~N64}O9=oj7p`;28:94?7|@8>nm6sa43;:>5<6sA;?il5rn50:e?6=9rB:8ho4}o61=g<728qC=9kn;|l76m3:1=vF>4dc8yk251o0;6{i<;k:6=4>{I37ad=zf=8j>7>51zJ20`g9m>4?:0yK51cf3td?>l:50;3xL42bi2we8?o::182M73mh1vb94}O9=oj7p`;2`:94?7|@8>nm6sa43c:>5<6sA;?il5rn50be?6=9rB:8ho4}o61eg<728qC=9kn;|l76de=83;pD<:ja:m07gc290:wE?;e`9~j14fm3:1=vF>4dc8yk25io0;6{i<;h:6=4>{I37ad=zf=8i>7>51zJ20`g9n>4?:0yK51cf3td?>o:50;3xL42bi2we8?l::182M73mh1vb94}O9=oj7p`;2c:94?7|@8>nm6sa43`:>5<6sA;?il5rn50ae?6=9rB:8ho4}o61fg<728qC=9kn;|l76ge=83;pD<:ja:m07dc290:wE?;e`9~j14em3:1=vF>4dc8yk25jo0;6{i<;i:6=4>{I37ad=zf=8h>7>51zJ20`g9o>4?:0yK51cf3td?>n:50;3xL42bi2we8?m::182M73mh1vb94}O9=oj7p`;2b:94?7|@8>nm6sa43a:>5<6sA;?il5rn50`e?6=9rB:8ho4}o61gg<728qC=9kn;|l76fe=83;pD<:ja:m07ec290:wE?;e`9~j14dm3:1=vF>4dc8yk25ko0;6{i<;n:6=4>{I37ad=zf=8o>7>51zJ20`g9h>4?:0yK51cf3td?>i:50;3xL42bi2we8?j::182M73mh1vb94}O9=oj7p`;2e:94?7|@8>nm6sa43f:>5<6sA;?il5rn50ge?6=9rB:8ho4}o61`g<728qC=9kn;|l76ae=83;pD<:ja:m07bc290:wE?;e`9~j14cm3:1=vF>4dc8yk25lo0;6{i<;o:6=4>{I37ad=zf=8n>7>51zJ20`g9i>4?:0yK51cf3td?>h:50;3xL42bi2we8?k::182M73mh1vb94}O9=oj7p`;2d:94?7|@8>nm6sa43g:>5<6sA;?il5rn50fe?6=9rB:8ho4}o61ag<728qC=9kn;|l76`e=83;pD<:ja:m07cc290:wE?;e`9~j14bm3:1=vF>4dc8yk25mo0;6{i<;l:6=4>{I37ad=zf=8m>7>51zJ20`g9j>4?:0yK51cf3td?>k:50;3xL42bi2we8?h::182M73mh1vb94}O9=oj7p`;2g:94?7|@8>nm6sa43d:>5<6sA;?il5rn50ee?6=9rB:8ho4}o61bg<728qC=9kn;|l76ce=83;pD<:ja:m07`c290:wE?;e`9~j14am3:1=vF>4dc8yk25no0;6{i<:::6=4>{I37ad=zf=9;>7>51zJ20`g8<>4?:0yK51cf3td??=:50;3xL42bi2we8>>::182M73mh1vb9=?6;295~N64}O9=oj7p`;31:94?7|@8>nm6sa422:>5<6sA;?il5rn513e?6=9rB:8ho4}o604g<728qC=9kn;|l775e=83;pD<:ja:m066c290:wE?;e`9~j157m3:1=vF>4dc8yk248o0;6{i<:;:6=4>{I37ad=zf=9:>7>51zJ20`g8=>4?:0yK51cf3td??<:50;3xL42bi2we8>?::182M73mh1vb9=>6;295~N64}O9=oj7p`;30:94?7|@8>nm6sa423:>5<6sA;?il5rn512e?6=9rB:8ho4}o605g<728qC=9kn;|l774e=83;pD<:ja:m067c290:wE?;e`9~j156m3:1=vF>4dc8yk249o0;6{i<:8:6=4>{I37ad=zf=99>7>51zJ20`g8>>4?:0yK51cf3td???:50;3xL42bi2we8><::182M73mh1vb9==6;295~N64}O9=oj7p`;33:94?7|@8>nm6sa420:>5<6sA;?il5rn511e?6=9rB:8ho4}o606g<728qC=9kn;|l777e=83;pD<:ja:m064c290:wE?;e`9~j155m3:1=vF>4dc8yk24:o0;6{i<:9:6=4>{I37ad=zf=98>7>51zJ20`g8?>4?:0yK51cf3td??>:50;3xL42bi2we8>=::182M73mh1vb9=<6;295~N64}O9=oj7p`;32:94?7|@8>nm6sa421:>5<6sA;?il5rn510e?6=9rB:8ho4}o607g<728qC=9kn;|l776e=83;pD<:ja:m065c290:wE?;e`9~j154m3:1=vF>4dc8yk24;o0;6{i<:>:6=4>{I37ad=zf=9?>7>51zJ20`g88>4?:0yK51cf3td??9:50;3xL42bi2we8>:::182M73mh1vb9=;6;295~N64}O9=oj7p`;35:94?7|@8>nm6sa426:>5<6sA;?il5rn517e?6=9rB:8ho4}o600g<728qC=9kn;|l771e=83;pD<:ja:m062c290:wE?;e`9~j153m3:1=vF>4dc8yk24{i<:?:6=4>{I37ad=zf=9>>7>51zJ20`g89>4?:0yK51cf3td??8:50;3xL42bi2we8>;::182M73mh1vb9=:6;295~N64}O9=oj7p`;34:94?7|@8>nm6sa427:>5<6sA;?il5rn516e?6=9rB:8ho4}o601g<728qC=9kn;|l770e=83;pD<:ja:m063c290:wE?;e`9~j152m3:1=vF>4dc8yk24=o0;6{i<:<:6=4>{I37ad=zf=9=>7>51zJ20`g8:>4?:0yK51cf3td??;:50;3xL42bi2we8>8::182M73mh1vb9=96;295~N64}O9=oj7p`;37:94?7|@8>nm6sa424:>5<6sA;?il5rn515e?6=9rB:8ho4}o602g<728qC=9kn;|l773e=83;pD<:ja:m060c290:wE?;e`9~j151m3:1=vF>4dc8yk24>o0;6:1<7?tH06fe>{i<:=:6=4>{I37ad=zf=9<>7>51zJ20`g8;>4?:0yK51cf3td??::50;3xL42bi2we8>9::182M73mh1vb9=86;295~N64}O9=oj7p`;36:94?7|@8>nm6sa425:>5<6sA;?il5rn514e?6=9rB:8ho4}o603g<728qC=9kn;|l772e=83;pD<:ja:m061c290:wE?;e`9~j150m3:1=vF>4dc8yk24?o0;6{i<:2:6=4>{I37ad=zf=93>7>51zJ20`g84>4?:0yK51cf3td??5:50;3xL42bi2we8>6::182M73mh1vb9=76;295~N64}O9=oj7p`;39:94?7|@8>nm6sa42::>5<6sA;?il5rn51;e?6=9rB:8ho4}o60c290:wE?;e`9~j15?m3:1=vF>4dc8yk240o0;6{i<:3:6=4>{I37ad=zf=92>7>51zJ20`g85>4?:0yK51cf3td??4:50;3xL42bi2we8>7::182M73mh1vb9=66;295~N64}O9=oj7p`;38:94?7|@8>nm6sa42;:>5<6sA;?il5rn51:e?6=9rB:8ho4}o60=g<728qC=9kn;|l77m3:1=vF>4dc8yk241o0;6{i<:k:6=4>{I37ad=zf=9j>7>51zJ20`g8m>4?:0yK51cf3td??l:50;3xL42bi2we8>o::182M73mh1vb9=n6;295~N64}O9=oj7p`;3`:94?7|@8>nm6sa42c:>5<6sA;?il5rn51be?6=9rB:8ho4}o60eg<728qC=9kn;|l77de=83;pD<:ja:m06gc290:wE?;e`9~j15fm3:1=vF>4dc8yk24io0;6{i<:h:6=4>{I37ad=zf=9i>7>51zJ20`g8n>4?:0yK51cf3td??o:50;3xL42bi2we8>l::182M73mh1vb9=m6;295~N64}O9=oj7p`;3c:94?7|@8>nm6sa42`:>5<6sA;?il5rn51ae?6=9rB:8ho4}o60fg<728qC=9kn;|l77ge=83;pD<:ja:m06dc290:wE?;e`9~j15em3:1=vF>4dc8yk24jo0;6{i<:i:6=4>{I37ad=zf=9h>7>51zJ20`g8o>4?:0yK51cf3td??n:50;3xL42bi2we8>m::182M73mh1vb9=l6;295~N64}O9=oj7p`;3b:94?7|@8>nm6sa42a:>5<6sA;?il5rn51`e?6=9rB:8ho4}o60gg<728qC=9kn;|l77fe=83;pD<:ja:m06ec290:wE?;e`9~j15dm3:1=vF>4dc8yk24ko0;6{i<:n:6=4>{I37ad=zf=9o>7>51zJ20`g8h>4?:0yK51cf3td??i:50;3xL42bi2we8>j::182M73mh1vb9=k6;295~N64}O9=oj7p`;3e:94?7|@8>nm6sa42f:>5<6sA;?il5rn51ge?6=9rB:8ho4}o60`g<728qC=9kn;|l77ae=83;pD<:ja:m06bc290:wE?;e`9~j15cm3:1=vF>4dc8yk24lo0;6{i<:o:6=4>{I37ad=zf=9n>7>51zJ20`g8i>4?:0yK51cf3td??h:50;3xL42bi2we8>k::182M73mh1vb9=j6;295~N64}O9=oj7p`;3d:94?7|@8>nm6sa42g:>5<6sA;?il5rn51fe?6=9rB:8ho4}o60ag<728qC=9kn;|l77`e=83;pD<:ja:m06cc290:wE?;e`9~j15bm3:1=vF>4dc8yk24mo0;6{i<:l:6=4>{I37ad=zf=9m>7>51zJ20`g8j>4?:0yK51cf3td??k:50;3xL42bi2we8>h::182M73mh1vb9=i6;295~N64}O9=oj7p`;3g:94?7|@8>nm6sa42d:>5<6sA;?il5rn51ee?6=9rB:8ho4}o60bg<728qC=9kn;|l77ce=83;pD<:ja:m06`c290:wE?;e`9~j15am3:1=vF>4dc8yk24no0;6{i<=::6=4>{I37ad=zf=>;>7>51zJ20`g?<>4?:0yK51cf3td?8=:50;3xL42bi2we89>::182M73mh1vb9:?6;295~N64}O9=oj7p`;41:94?7|@8>nm6sa452:>5<6sA;?il5rn563e?6=9rB:8ho4}o674g<728qC=9kn;|l705e=83;pD<:ja:m016c290:wE?;e`9~j127m3:1=vF>4dc8yk238o0;6{i<=;:6=4>{I37ad=zf=>:>7>51zJ20`g?=>4?:0yK51cf3td?8<:50;3xL42bi2we89?::182M73mh1vb9:>6;295~N64}O9=oj7p`;40:94?7|@8>nm6sa453:>5<6sA;?il5rn562e?6=9rB:8ho4}o675g<728qC=9kn;|l704e=83;pD<:ja:m017c290:wE?;e`9~j126m3:1=vF>4dc8yk239o0;6{i<=8:6=4>{I37ad=zf=>9>7>51zJ20`g?>>4?:0yK51cf3td?8?:50;3xL42bi2we89<::182M73mh1vb9:=6;295~N64}O9=oj7p`;43:94?7|@8>nm6sa450:>5<6sA;?il5rn561e?6=9rB:8ho4}o676g<728qC=9kn;|l707e=83;pD<:ja:m014c290:wE?;e`9~j125m3:1=vF>4dc8yk23:o0;6{i<=9:6=4>{I37ad=zf=>8>7>51zJ20`g??>4?:0yK51cf3td?8>:50;3xL42bi2we89=::182M73mh1vb9:<6;295~N64}O9=oj7p`;42:94?7|@8>nm6sa451:>5<6sA;?il5rn560e?6=9rB:8ho4}o677g<728qC=9kn;|l706e=83;pD<:ja:m015c290:wE?;e`9~j124m3:1=vF>4dc8yk23;o0;6{i<=>:6=4>{I37ad=zf=>?>7>51zJ20`g?8>4?:0yK51cf3td?89:50;3xL42bi2we89:::182M73mh1vb9:;6;295~N64}O9=oj7p`;45:94?7|@8>nm6sa456:>5<6sA;?il5rn567e?6=9rB:8ho4}o670g<728qC=9kn;|l701e=83;pD<:ja:m012c290:wE?;e`9~j123m3:1=vF>4dc8yk23{i<=?:6=4>{I37ad=zf=>>>7>51zJ20`g?9>4?:0yK51cf3td?88:50;3xL42bi2we89;::182M73mh1vb9::6;295~N64}O9=oj7p`;44:94?7|@8>nm6sa457:>5<6sA;?il5rn566e?6=9rB:8ho4}o671g<728qC=9kn;|l700e=83;pD<:ja:m013c290:wE?;e`9~j122m3:1=vF>4dc8yk23=o0;6{i<=<:6=4>{I37ad=zf=>=>7>51zJ20`g?:>4?:0yK51cf3td?8;:50;3xL42bi2we898::182M73mh1vb9:96;295~N64}O9=oj7p`;47:94?7|@8>nm6sa454:>5<6sA;?il5rn565e?6=9rB:8ho4}o672g<728qC=9kn;|l703e=83;pD<:ja:m010c290:wE?;e`9~j121m3:1=vF>4dc8yk23>o0;6:1<7?tH06fe>{i<==:6=4>{I37ad=zf=><>7>51zJ20`g?;>4?:0yK51cf3td?8::50;3xL42bi2we899::182M73mh1vb9:86;295~N64}O9=oj7p`;46:94?7|@8>nm6sa455:>5<6sA;?il5rn564e?6=9rB:8ho4}o673g<728qC=9kn;|l702e=83;pD<:ja:m011c290:wE?;e`9~j120m3:1=vF>4dc8yk23?o0;6{i<=2:6=4>{I37ad=zf=>3>7>51zJ20`g?4>4?:0yK51cf3td?85:50;3xL42bi2we896::182M73mh1vb9:76;295~N64}O9=oj7p`;49:94?7|@8>nm6sr}|BCG~2a<>0<95o8adc~DED|8tJK\vsO@ \ No newline at end of file diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ucf b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ucf new file mode 100644 index 000000000..b458eed9c --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ucf @@ -0,0 +1,15 @@ +# +# Clock constraints +# +NET "CLK" TNM_NET = D_CLK ; +INST "U0/*/U_STAT/U_DIRTY_LDC" TNM = D2_CLK; +TIMESPEC TS_D2_TO_T2_chipscope_ila_256 = FROM D2_CLK TO "FFS" TIG; +TIMESPEC TS_J2_TO_D2_chipscope_ila_256 = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J3_TO_D2_chipscope_ila_256 = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J4_TO_D2_chipscope_ila_256 = FROM "FFS" TO D2_CLK TIG; + +# +# Input keep/save net constraints +# +NET "TRIG0<*" S; +NET "TRIG0<*" KEEP; diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256.v b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.v new file mode 100644 index 000000000..2f0ec9349 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.v @@ -0,0 +1,31 @@ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 2013 Xilinx, Inc. +// All Rights Reserved +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 14.4 +// \ \ Application: Xilinx CORE Generator +// / / Filename : chipscope_ila_256.v +// /___/ /\ Timestamp : Fri Mar 08 16:13:02 PST 2013 +// \ \ / \ +// \___\/\___\ +// +// Design Name: Verilog Synthesis Wrapper +/////////////////////////////////////////////////////////////////////////////// +// This wrapper is used to integrate with Project Navigator and PlanAhead + +`timescale 1ns/1ps + +module chipscope_ila_256( + CONTROL, + CLK, + TRIG0) /* synthesis syn_black_box syn_noprune=1 */; + + +inout [35 : 0] CONTROL; +input CLK; +input [255 : 0] TRIG0; + +endmodule diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256.veo b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.veo new file mode 100644 index 000000000..201512ffb --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.veo @@ -0,0 +1,30 @@ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 2013 Xilinx, Inc. +// All Rights Reserved +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 14.4 +// \ \ Application: Xilinx CORE Generator +// / / Filename : chipscope_ila_256.veo +// /___/ /\ Timestamp : Fri Mar 08 16:13:02 PST 2013 +// \ \ / \ +// \___\/\___\ +// +// Design Name: ISE Instantiation template +/////////////////////////////////////////////////////////////////////////////// + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +chipscope_ila_256 YourInstanceName ( + .CONTROL(CONTROL), // INOUT BUS [35:0] + .CLK(CLK), // IN + .TRIG0(TRIG0) // IN BUS [255:0] +); + +// INST_TAG_END ------ End INSTANTIATION Template --------- + diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256.xco b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.xco new file mode 100644 index 000000000..4272296fc --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.xco @@ -0,0 +1,141 @@ +############################################################## +# +# Xilinx Core Generator version 14.4 +# Date: Sat Mar 9 00:11:24 2013 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:chipscope_ila:1.05.a +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx75 +SET devicefamily = spartan6 +SET flowvendor = Foundation_ISE +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = fgg484 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT ILA_(ChipScope_Pro_-_Integrated_Logic_Analyzer) family Xilinx,_Inc. 1.05.a +# END Select +# BEGIN Parameters +CSET check_bramcount=false +CSET component_name=chipscope_ila_256 +CSET constraint_type=external +CSET counter_width_1=Disabled +CSET counter_width_10=Disabled +CSET counter_width_11=Disabled +CSET counter_width_12=Disabled +CSET counter_width_13=Disabled +CSET counter_width_14=Disabled +CSET counter_width_15=Disabled +CSET counter_width_16=Disabled +CSET counter_width_2=Disabled +CSET counter_width_3=Disabled +CSET counter_width_4=Disabled +CSET counter_width_5=Disabled +CSET counter_width_6=Disabled +CSET counter_width_7=Disabled +CSET counter_width_8=Disabled +CSET counter_width_9=Disabled +CSET data_port_width=0 +CSET data_same_as_trigger=true +CSET disable_save_keep=false +CSET enable_storage_qualification=true +CSET enable_trigger_output_port=false +CSET example_design=false +CSET exclude_from_data_storage_1=false +CSET exclude_from_data_storage_10=false +CSET exclude_from_data_storage_11=false +CSET exclude_from_data_storage_12=false +CSET exclude_from_data_storage_13=false +CSET exclude_from_data_storage_14=false +CSET exclude_from_data_storage_15=false +CSET exclude_from_data_storage_16=false +CSET exclude_from_data_storage_2=false +CSET exclude_from_data_storage_3=false +CSET exclude_from_data_storage_4=false +CSET exclude_from_data_storage_5=false +CSET exclude_from_data_storage_6=false +CSET exclude_from_data_storage_7=false +CSET exclude_from_data_storage_8=false +CSET exclude_from_data_storage_9=false +CSET match_type_1=basic_with_edges +CSET match_type_10=basic_with_edges +CSET match_type_11=basic_with_edges +CSET match_type_12=basic_with_edges +CSET match_type_13=basic_with_edges +CSET match_type_14=basic_with_edges +CSET match_type_15=basic_with_edges +CSET match_type_16=basic_with_edges +CSET match_type_2=basic_with_edges +CSET match_type_3=basic_with_edges +CSET match_type_4=basic_with_edges +CSET match_type_5=basic_with_edges +CSET match_type_6=basic_with_edges +CSET match_type_7=basic_with_edges +CSET match_type_8=basic_with_edges +CSET match_type_9=basic_with_edges +CSET match_units_1=1 +CSET match_units_10=1 +CSET match_units_11=1 +CSET match_units_12=1 +CSET match_units_13=1 +CSET match_units_14=1 +CSET match_units_15=1 +CSET match_units_16=1 +CSET match_units_2=1 +CSET match_units_3=1 +CSET match_units_4=1 +CSET match_units_5=1 +CSET match_units_6=1 +CSET match_units_7=1 +CSET match_units_8=1 +CSET match_units_9=1 +CSET max_sequence_levels=1 +CSET number_of_trigger_ports=1 +CSET sample_data_depth=1024 +CSET sample_on=Rising +CSET trigger_port_width_1=256 +CSET trigger_port_width_10=8 +CSET trigger_port_width_11=8 +CSET trigger_port_width_12=8 +CSET trigger_port_width_13=8 +CSET trigger_port_width_14=8 +CSET trigger_port_width_15=8 +CSET trigger_port_width_16=8 +CSET trigger_port_width_2=8 +CSET trigger_port_width_3=8 +CSET trigger_port_width_4=8 +CSET trigger_port_width_5=8 +CSET trigger_port_width_6=8 +CSET trigger_port_width_7=8 +CSET trigger_port_width_8=8 +CSET trigger_port_width_9=8 +CSET use_rpms=false +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2012-12-18T02:47:40Z +# END Extra information +GENERATE +# CRC: b8a8f4bd diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256.xdc b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.xdc new file mode 100644 index 000000000..49e2b9e7b --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.xdc @@ -0,0 +1,6 @@ +# +# Clock constraints +# +set_false_path -from [get_cells U0/*/U_STAT/U_DIRTY_LDC] -to [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] +set_false_path -from [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC] +set_false_path -from [get_cells U0/*/U_RST/U_ARM_XFER/U_GEN_DELAY[3].U_FD] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC] diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256_flist.txt b/fpga/usrp3/top/b200/coregen/chipscope_ila_256_flist.txt new file mode 100644 index 000000000..da4f99bbe --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256_flist.txt @@ -0,0 +1,18 @@ +# Output products list for +_xmsgs/pn_parser.xmsgs +chipscope_ila_256.asy +chipscope_ila_256.cdc +chipscope_ila_256.constraints/chipscope_ila_256.ucf +chipscope_ila_256.constraints/chipscope_ila_256.xdc +chipscope_ila_256.gise +chipscope_ila_256.ncf +chipscope_ila_256.ngc +chipscope_ila_256.ucf +chipscope_ila_256.v +chipscope_ila_256.veo +chipscope_ila_256.xco +chipscope_ila_256.xdc +chipscope_ila_256.xise +chipscope_ila_256_flist.txt +chipscope_ila_256_readme.txt +chipscope_ila_256_xmdf.tcl diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256_readme.txt b/fpga/usrp3/top/b200/coregen/chipscope_ila_256_readme.txt new file mode 100644 index 000000000..764247d3b --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256_readme.txt @@ -0,0 +1,53 @@ +The following files were generated for 'chipscope_ila_256' in directory +/home/ianb/fpgapriv_usrp3/fpgapriv/usrp3/top/b200/coregen/ + +ISE file generator: + Add description here... + + * chipscope_ila_32_flist.txt + +XCO file generator: + Generate an XCO file for compatibility with legacy flows. + + * chipscope_ila_256.xco + +Creates an implementation netlist: + Creates an implementation netlist for the IP. + + * chipscope_ila_256.cdc + * chipscope_ila_256.constraints/chipscope_ila_256.ucf + * chipscope_ila_256.constraints/chipscope_ila_256.xdc + * chipscope_ila_256.ncf + * chipscope_ila_256.ngc + * chipscope_ila_256.ucf + * chipscope_ila_256.v + * chipscope_ila_256.veo + * chipscope_ila_256.xdc + * chipscope_ila_256_xmdf.tcl + +IP Symbol Generator: + Generate an IP symbol based on the current project options'. + + * chipscope_ila_256.asy + +Generate ISE subproject: + Create an ISE subproject for use when including this core in ISE designs + + * _xmsgs/pn_parser.xmsgs + * chipscope_ila_256.gise + * chipscope_ila_256.xise + +Deliver Readme: + Readme file for the IP. + + * chipscope_ila_256_readme.txt + +Generate FLIST file: + Text file listing all of the output files produced when a customized core was + generated in the CORE Generator. + + * chipscope_ila_256_flist.txt + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256_xmdf.tcl b/fpga/usrp3/top/b200/coregen/chipscope_ila_256_xmdf.tcl new file mode 100755 index 000000000..1fb67dd98 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256_xmdf.tcl @@ -0,0 +1,87 @@ +# The package naming convention is _xmdf +package provide chipscope_ila_256_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::chipscope_ila_256_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::chipscope_ila_256_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name chipscope_ila_256 +} +# ::chipscope_ila_256_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::chipscope_ila_256_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_256.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_256.cdc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_256.constraints/chipscope_ila_256.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ucf +incr fcount + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_256.ncf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ncf +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_256.constraints/chipscope_ila_256.xdc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Xdc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_256.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_256.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_256.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_256.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_256_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module chipscope_ila_256 +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams + diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32.asy b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.asy new file mode 100644 index 000000000..69e989c04 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.asy @@ -0,0 +1,17 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 chipscope_ila_32 +RECTANGLE Normal 32 32 288 704 +LINE Wide 0 80 32 80 +PIN 0 80 LEFT 36 +PINATTR PinName control[35:0] +PINATTR Polarity IN +LINE Normal 0 112 32 112 +PIN 0 112 LEFT 36 +PINATTR PinName clk +PINATTR Polarity IN +LINE Wide 0 176 32 176 +PIN 0 176 LEFT 36 +PINATTR PinName trig0[31:0] +PINATTR Polarity IN + diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32.cdc b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.cdc new file mode 100644 index 000000000..d0ba2170c --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.cdc @@ -0,0 +1,48 @@ +#ChipScope Core Generator Project File Version 3.0 +#Fri Mar 08 11:59:29 PST 2013 +SignalExport.bus<0000>.channelList=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 +SignalExport.bus<0000>.name=TRIG0 +SignalExport.bus<0000>.offset=0.0 +SignalExport.bus<0000>.precision=0 +SignalExport.bus<0000>.radix=Bin +SignalExport.bus<0000>.scaleFactor=1.0 +SignalExport.clockChannel=CLK +SignalExport.dataEqualsTrigger=true +SignalExport.triggerChannel<0000><0000>=TRIG0[0] +SignalExport.triggerChannel<0000><0001>=TRIG0[1] +SignalExport.triggerChannel<0000><0002>=TRIG0[2] +SignalExport.triggerChannel<0000><0003>=TRIG0[3] +SignalExport.triggerChannel<0000><0004>=TRIG0[4] +SignalExport.triggerChannel<0000><0005>=TRIG0[5] +SignalExport.triggerChannel<0000><0006>=TRIG0[6] +SignalExport.triggerChannel<0000><0007>=TRIG0[7] +SignalExport.triggerChannel<0000><0008>=TRIG0[8] +SignalExport.triggerChannel<0000><0009>=TRIG0[9] +SignalExport.triggerChannel<0000><0010>=TRIG0[10] +SignalExport.triggerChannel<0000><0011>=TRIG0[11] +SignalExport.triggerChannel<0000><0012>=TRIG0[12] +SignalExport.triggerChannel<0000><0013>=TRIG0[13] +SignalExport.triggerChannel<0000><0014>=TRIG0[14] +SignalExport.triggerChannel<0000><0015>=TRIG0[15] +SignalExport.triggerChannel<0000><0016>=TRIG0[16] +SignalExport.triggerChannel<0000><0017>=TRIG0[17] +SignalExport.triggerChannel<0000><0018>=TRIG0[18] +SignalExport.triggerChannel<0000><0019>=TRIG0[19] +SignalExport.triggerChannel<0000><0020>=TRIG0[20] +SignalExport.triggerChannel<0000><0021>=TRIG0[21] +SignalExport.triggerChannel<0000><0022>=TRIG0[22] +SignalExport.triggerChannel<0000><0023>=TRIG0[23] +SignalExport.triggerChannel<0000><0024>=TRIG0[24] +SignalExport.triggerChannel<0000><0025>=TRIG0[25] +SignalExport.triggerChannel<0000><0026>=TRIG0[26] +SignalExport.triggerChannel<0000><0027>=TRIG0[27] +SignalExport.triggerChannel<0000><0028>=TRIG0[28] +SignalExport.triggerChannel<0000><0029>=TRIG0[29] +SignalExport.triggerChannel<0000><0030>=TRIG0[30] +SignalExport.triggerChannel<0000><0031>=TRIG0[31] +SignalExport.triggerPort<0000>.name=TRIG0 +SignalExport.triggerPortCount=1 +SignalExport.triggerPortIsData<0000>=true +SignalExport.triggerPortWidth<0000>=32 +SignalExport.type=ila + diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32.constraints/chipscope_ila_32.ucf b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.constraints/chipscope_ila_32.ucf new file mode 100644 index 000000000..228071c37 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.constraints/chipscope_ila_32.ucf @@ -0,0 +1,15 @@ +# +# Clock constraints +# +NET "CLK" TNM_NET = D_CLK ; +INST "U0/*/U_STAT/U_DIRTY_LDC" TNM = D2_CLK; +TIMESPEC TS_D2_TO_T2_chipscope_ila_32 = FROM D2_CLK TO "FFS" TIG; +TIMESPEC TS_J2_TO_D2_chipscope_ila_32 = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J3_TO_D2_chipscope_ila_32 = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J4_TO_D2_chipscope_ila_32 = FROM "FFS" TO D2_CLK TIG; + +# +# Input keep/save net constraints +# +NET "TRIG0<*" S; +NET "TRIG0<*" KEEP; diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32.constraints/chipscope_ila_32.xdc b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.constraints/chipscope_ila_32.xdc new file mode 100644 index 000000000..49e2b9e7b --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.constraints/chipscope_ila_32.xdc @@ -0,0 +1,6 @@ +# +# Clock constraints +# +set_false_path -from [get_cells U0/*/U_STAT/U_DIRTY_LDC] -to [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] +set_false_path -from [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC] +set_false_path -from [get_cells U0/*/U_RST/U_ARM_XFER/U_GEN_DELAY[3].U_FD] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC] diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ncf b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ncf new file mode 100644 index 000000000..e69de29bb diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc new file mode 100644 index 000000000..29677d942 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$65`7=*981;86>?01:8456789:;56>?0123456382:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789;;7=>?012345678HI;<<>40123456689:;<=>?1193456789K;<=>?0BD24>6789:;==>I0G2E5C2<89:?86>?3G684466<2::J=??;10;E10DM892N89KF028416389:?<9>;01230>6A:;;0=95>11D20>732@D[YY4NDEPB845=87;?7<:5IORVP?GCL[H7=>4?>0916>479;18>7GAPTV9@LG;;80;2<<4338JJUSS2MCI0>?50?37?64=AGZ^X7Z]IF2?74<76890??4@UURVP?BHI59:6=0>3:11>JSSX\^1HBL33083:40<;?0BBB[[:BMMPWIK4:?1<3?44597556692>1EC^ZT;FJTD:4294:=6:5IORVP?BNXK686=0>0:69MKVR\3]NM1=50?33?10:761032=<;:=11g81?OIX\^1M1:50?38<7=F8;1J=?5N239B71=F494?7L2>>59B87833H682;5N<583:1=F4=437LO7092;446702KJMLO=81:8EABUI5:556OKDSC?558>3HNO^L2>1?;8EABUI5;92o5NDEPB845=8730MIJ]A=30:==FLMXJ0<07;@FGVD:5611JHI\N<2<;?DBCZH6?255NDEPB808?3HNO^L29>99B@ATF4>437LJKR@>;:==FLMXJ0407;@FGVG:7601JHI\M<02==>GCL[H7=<06;@FGVG:6:7h0MIJ]B=30>58>3HNO^O2>3?:8EABUJ5;546OKDS@?6;>GCL[H7:364AEFQF91902KOH_L38?:8EABUJ53546L?11C345>6MGEBI\HLEBFZOTXT^J2:AJ7>EKC=1H@F>9;BNH5=613JF@>:>:;BNH6]>EKCH;O>:5LLJC2@1125;dEHF]XD@1?7>c9@KKRUGE6:53o4CNLWVJJ;97h0OB@[RNN?658e3JEEX_AC<33=f>EHF]XD@1<=>c9@KKRUGE69?3l4CNLWVJJ;:=4i7NAATSMO8739j2IDBY\@L=05:g=DGG^YCA2=7?`8GJHSZFF7>50m;BMMPWIK4;35m6M@NUPLH949j2IDBY\@L=13:g=DGG^YCA2<1?`8GJHSZFF7??0m;BMMPWIK4:95n6M@NUPLH9536m1HCCZ]OM>01?69j2IDBY\@L=16:d=DGG^YCA2<>`9@KKRUGE6?2l5LOOVQKI:26h1HCCZ]OM>5:d=DGG^YCA28>`9@KKRUGE632l5LOOVQKI:>68>0OB\J_FGMAWGSAFDTECH@7:AQADRBL81Oo6JNT@]TMAROWHi0HLZN_VKGPMYE:2NB:6JFA=2=3>BNI5;;2:5KI@>25;169GMD:6=7=0HDO317<4?AOF48=5;6JFA=3;:2=CAH6:5384DHC?5;1=08;EKB8779?2NBM1<=>69GMD:5;7=0HDO325<4?AOF4;?5;6JFA=05:2=CAH69;394DHC?6=803MCJ0?716:FJE949?2NBM1=?>89GMD:493:5;6JFA=12:3=CAH682;5KI@>7:3=CAH6>2;5KI@>5:3=CAH6<2;5KI@>;:3=CAH622;5KIC>3:2=CAK6:<394DH@?54803MCI0<<17:FJF9746>1OEO2>4?58@LD;9<4<7IGM<04=3>BNJ5;<2:5KIC>2<;117:FJF9466>1OEO2=2?58@LD;::4<7IGM<36=3>BNJ58>2:5KIC>12;1:08;EKA87>9?2NBN1<6>79GMG:56>1OEO2<0?;8@LD;;80;2:5KIC>05;0BNXH682:5KIQ@?4;1BHI5;;2:5KO@>25;169GKD:6=7=0HBO317<4?AIF48=5;6J@A=3;:2=CGH6:5384DNC?5;1=08;EMB8779?2NDM1<=>69GKD:5;7=0HBO325<4?AIF4;?5;6J@A=05:2=CGH69;394DNC?6=803MEJ0?716:FLE949?2NDM1=?>89GKD:493:5;6J@A=12:3=CGH682;5KO@>7:3=CGH6>2;5KO@>5:3=CGH6<2;5KO@>;:3=CGH622:5KO@]QAB01OCO2>3?58@JD;9=4<7IAM<07=3>BHJ5;=2:5KOC>23;12NDN1?17:FLF9476>1OCO2=1?58@JD;:;4<7IAM<31=3>BHJ58?2:5KOC>11;1;08;EMA8719?2NDN1<7>69GKG:517<0HBL32?58@JD;;9427IAM<2394;11OC]O31?58@JVF4;427IA_A=194;108;EMSF969?2ND\O2>>69GKUD;:730HB^M<283:2=CGYH7?3?4E39F01=B<9897HH<;DLB7>CIJk1NBR\\TSCN[Dd6HK119E@23E1:;NO;:N8320?CBD<2LOOH=4FEG0?CBW;2LO^95IDSG0?CBT991MJNMLCBAEB@EDKJI?7KHI059EBCC682LMJHKJEDDFB@CBML;;7KHIFGDEBC@FIOLJ4<5H3:EM@4=N:2C;>6G>2:K16>O4:2C?>6G:8:KMMQVX8920ECG[P^22<>OIA]ZT46GAIUR\43>89:KMMQUSI]O<7D@FT^233>OIA]U;=:5FNHV\471L7:KMMQY7L>1BBDZP0D58MKOSW9L<7D@FT^333>OIA]U:=:5FNHV\571569JJLRX9?=0ECG[_054?LHN\V;3;6GAIU]2=2=NF@^T=L94IOKW[4D03@DBXR?L7:KMMQY6L>1BBDZP1D58MKOSW8L<7D@FT^033>OIA]U9=:5FNHV\671L94IOKW[7D03@DBXR1BBDZP2D58MKOSW;L<7D@FT^133>OIA]U8=:5FNHV\771=8;HLJPZ53?2CEEYQ<569JJLRX;?=0ECG[_254?LHN\V93;6GAIU]0=2=NF@^T?L94IOKW[6D03@DBXR=L7:KMMQY4L>1BBDZP3D58MKOSW:L=7D@FT^C5?LHN\VH27D@FT^DJH@5JH>2FDOFKK7:NLCLEFD=1GYY?;;MWW61=K]]9?7A[[459OQQ333E__::5BUYAZ[I3H6;2D::>5A1618J4>43G;2>6@=7:LFPRIUC=1ECCK>;N34?JVSADCQIR^]ILKWMSCTWGMH=6^8;Q,7=aYK<2ZJ^Yo4PHL\FPUNLQh0\D@PBTQMEHC>3YATDA[[NL18TWC13YYOCCK>;P18U4343X9:=6\n;SCNF40E_LX=7_KHCDC5?WC@KLH?7_][A59QWQD03[Y_XT^Je:PPPZVUAFXBXTQNe:PPPZVUAFXBXTQM1:Q25>UOZLMTIUZ]ABV\JBEb3ZBYIJQBIO]PM_C6;2YBARM@LMKPMJHXKAOHGl5\IL]GASODM?1XCX\LE99PTDTSIG<>7^\C1748WWJ6>L=0__BL17G4?VTKK:8N;6]]V@N\E2=TZ_KGSO84SUCWQV2<[PDH46Z]IF2?4;?<\[CL<1??>89WVLA748;556Z]IF2?578>3]XBK=2>3?;8PWO@85;?245[RHE3843912^YEJ>317<:?QTNO96:;374TSKD497?601_^DI?<0;=<>RUAN:7=374TSKD4947601_^DI?<33==>RUAN:7>?06;UPJC5:5;730X_GH0=07:<=SZ@M;0?;19:VQMB6;:?427Y\FG1>13;?<\[CL<1<7>89WVLA74;3546Z]IF2?6;?<\[CL<1=?>c9WVLA74:;1<374TSKD4956611_^DI?<2<;?QTNO96?255[RHE3808?3]XBK=29>99WVLA74>437Y\FG1>;:==SZ@M;040;;U[SA6c<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY3Y+OX[[F_SCKP0/FO]<5d3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX4X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY24X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_46Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_47Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]69T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6:T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS88V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS89V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[WNOEW<:R.H]PVIRXFLU;"KHWNOEW<;R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>5\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>6\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[04^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[05^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY23X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY2Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_4?Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]61T$BS^\CT^LF[5(AN:o0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6U'CT__B[_OG\4+BKQ09h7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]58T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;:V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;;V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[P F_RPOPZHBW9$O@T7WNOEW?WNOEW?=R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=3\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=4\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[36^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[37^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY11X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY12X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_70Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_71Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]5?T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]50T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;2V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;3V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[k4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[WNOEW>>R.H]PVIRXFLU;"KHWNOEW>?R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU<1\,J[VTK\VDNS= IF2g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU<]/K\WWJSWGOT<#JCY81`?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T3\,J[VTK\VDNS= IF2g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU;]/K\WWJSWGOT<#JCY81`?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T4\,J[VTK\VDNS= IF2g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU:]/K\WWJSWGOT<#JCY81`?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T5\,J[VTK\VDNS= IF2g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU9]/K\WWJSWGOT<#JCY81`?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T6\,J[VTK\VDNS= IF2g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU8]/K\WWJSWGOT<#JCY81`?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T7\,J[VTK\VDNS= IF2g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU7]/K\WWJSWGOT<#JCY81`?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T8\,J[VTK\VDNS= IF2g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU6]/K\WWJSWGOT<#JCY81`?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T9\,J[VTK\VDNS= IF308Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?4;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw48:5>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>1?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8449::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6:?3<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<06=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:6=7887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0<81229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>23;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4825>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>9?01?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8485;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx58;2?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~320<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9456;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7>>0=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=07:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;:<49?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1<9>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?6285;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5832?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~328<16>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt949::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey68<3<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<23=67=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:46;80Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz783<=;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<4<16>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt909:;1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6<2?<4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~38?01?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8<80;2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!F_VKGPMY1&|Uo"WJS^NVP3(RWE__:864U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+wus>91^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*EN484=<6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'JC7>38?;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$OD2<>728Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!LI=6=25=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.AJ8082n2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+A:76j6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'M6928h4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%O0>0:f:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#I2;>4d8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!K<4<5f>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/FY3Y+Ai@'_TAXVLY748Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!H[1_-QZ@CZL<>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(OR:V"XQCUU44?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz GZ2^*PY_G[IR:o5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&MP=P HnI,V[HS_KP<=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(OR;V"XQIDSG51>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/FY2Y+SXD\^=;6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ:Q#[PXNP@]3d<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-D_7[)OgB%YRCZXB[52>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/FY1Y+SXNMXN:85Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&MP>P Z_MWW22=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX6X(RWQEYOT8m;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$KV=R.FlK*PYJ]QIR:;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&MP?P Z_GFQA33<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-D_6[)]VF^X;94U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%LW>S!U^ZLVF_1j2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+B]3U'MeD#[PMTZ@]30<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-D_1[)]VLO^H8:;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$KV:R.T]OQQ003\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,C^2Z&\USC_MV679V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT5\,V[CBUM??0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)@Sj6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'Z6;28h4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%X0<0:f:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#^2=>4d8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!\<2<6b>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/R>7:0`<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-P8082i2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_sqw2f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMXTHAW G^PFCZDN\R:V"XQIDSG5g>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[UO@T!H_SGD[GOSS8W%YRHKRD4`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZVNGU"IPRDE\FLR\:T$^SKJ]E7a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABUWMFR#JQ]EF]AMQ]4U'_TJI\J6b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@ATXLES$KR\JG^@JP^2Z&\UMH_K9c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@WYCDP%LS_KH_CKW_0[)]VLO^H7l;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]P2+SXKZUI^LCPC@Q@EACX[?%BSIK_TI]OP@WX9?A%YR]MR@O\4*OXJ82@KY>!G^PBIG7?S9W%yR|nmc3;+PYUIDH:4l84U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^Q5*PYD[VHYM@QLARAB@@YT>&CTHH^[H^NWATY6>B$^S^L]AL]3+LYE91ALX= H_SCNF4>\8T$~Sobb0:,QZTFEK;3Sd`|umcwa5g13\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WGJ'@UX:#[PCR]AVDKXKHYHMIKPS7-J[ACW\AUGXH_P17I-QZUEZHGT<"GPB0:HCQ6)OVXJAO?7[1_-qZtfek;3#XQ]AL@2!G^PBIG7?S8W%yR|nmc3;+PYUIDH:4Rgastnbp`6f>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTFE&CT_; Z_BQ\FWGJWJKXOLJJ_R4,MZBBX]BT@YK^_04H*PYTJ[KFS=!F_C3;OBR7&NUYM@L>8Z3^*pYuidh:4"[PR@OA5=Ynfzgmyk>5c9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C="XQBUY47+HkrpVET==;m;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A?$^S@[W65-Nip~XGV;:9n5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O1&\UFYU8;/Lov|ZIX98;>o6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L0)]VG^T;: Mlw{[JY69;?i7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M3(RWD_S:9!Bmtz\KZ75=j1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K5*PYJ]Q$A`{w_N]2673e3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXKFFTAXV I7,V[HS_>=%FaxvPO^301a=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G9.T]NQ]03'Dg~tRAP5^d41d=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G9.T]NQ]03'Dg~tRAP64c8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B:#[PMTZ50*Kj}qUDS::k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'JC7=3:k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'JC7>3:k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'JC7?3:k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'JC783:k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'JC793:k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'JC7:3:k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'JC7;3:k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'JC743:k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'JC753:l;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'M6;29m4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(L5;58n5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)C4;4?o6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*B;;7>h7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+A:36=i0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,@939;:1e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ D=;=1==R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[1_-CkN)]VG^TNW:3:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&MP

I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]7U'_TTB\LY4:8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV?R.FlK*PYJ]QIR9>5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S8W%YRHKRD71?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#JU>]/W\HPR2<2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX5X(RWQEYOT;7;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'NQ9Q#IaH/W\IP^DQ<90Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,C^4Z&\UMH_K:2:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&MP>P Z_MWW11=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[3_-QZ^HZJS>46[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\;T$LbE Z_LW[G\343\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/FY0Y+SXNMXN9?5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S:W%YRBZT468Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV=R.T][KWE^=11^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-D_1[)OgB%YRCZXB[67>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"IT4\,V[CBUM<80Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,C^2Z&\UGYY;;;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'NQ?Q#[PXNP@]0><]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ GZ7^*BhO&\UFYUMV529V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW8S!U^DGV@353\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/FY6Y+SXD\^>86[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\=T$^SUA]CX7;?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#JU9]/EmL+SXE\RHU8=4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(ORS7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"IT6\,V[ISS==1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-D_3[)]VRD^NW:8:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&MP;P HnI,V[HS_KP?87X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]0U'_TJI\J539V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW:S!U^NVP02<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ GZ5^*PY_G[IR955Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S1W%KcF!U^OV\F_2;2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EXh7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+V:66=i0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,W9496:1e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ S=4=0f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!\<6<7g>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"]38?6`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#^26>5:8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_bmo[dbcz5:5855Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nTobbPaefq848302_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYdgeUjhi|32?6;?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^alhZgcl{682964U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSnac_`fgv929<11^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXkffTmij}<4<7g>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]`kiYflmxThaw30?6`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^alhZgcl{Uo`t2>>5a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_bmo[dbczVngu1<14b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPcnn\eabuWmfr0>0;c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQlom]b`atXles783:l;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRm`l^cg`wYcdp6>28>4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSnac_`fgvZbkq5?5S^Y?459V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8583=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7==0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5483=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=?0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5683=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=90;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5083=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=;0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5283=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=50;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5<83<2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>14;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h69=3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>16;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h69?3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>10;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6993::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>12;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h69;3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>1<;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6953:;;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>1:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi59;29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=12:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi59929;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=10:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi59?29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=16:12<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi595895Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<5<70>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;=7>?7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl29>568Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe919<=1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j050;4:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?=;2?3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`ZthVkoh2?>5:8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_smt[dbcz5;5855Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nT~byPaefq878302_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYug~Ujhi|33?6;?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^plsZgcl{6?2964U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSax_`fgv939<11^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXzf}Tmij}<7<7<>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]qkrYflmx7;3:7;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhR|`w^cg`w:?6=20Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcW{e|Sljkr=;=06=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\vjqXmg;=7X> I^LL[A(RW@FJ#XQKOTV1f>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(KDZT^H]JT278Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*OXAG^HM]QI.T]@EUQB8:?0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPIOV@EUYA&\UHM]YJ14`8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\GAIIM&CTUH]CUU4-MZHHW[ZF"DQVER]LV@A)G\^T^HI:0:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^AGKKC(AVSN_A[[6/K\JJYUXD$^S@[WF671?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[FBHFL%BSTK\LTV5*LYIGVX[A#[PSSN06ZG2:2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$ER]]LU]MAZ7)]VIOCCK I^[FWISS>'CTBBQ]PL,V[VTK;;UI8:5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQLDNLF+LY^MZF^X; \RM]NQ]203\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWJNDBH!F_XGPHPR1&ZXGS\=>499V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]@@JHB'@URI^BZT7,PVIYV494?46[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B I^QQHQYIMV;%YRMKOOG,MZ_B[E__:#]]L^S?5;343\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWJG[^H]JT.K\]@UK]]<%ER@@_SRN*PYJ]QL<985Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQLMQPFW@R(AVSN_A[[6/K\JJYUXD$^S^\C33]B10=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYDEYXN_HZ I^[FWISS>'CTBBQ]PL,V[VTK;;UI8l5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQLMQPFW@R(AVSN_A[[6/QQHZW49=h0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_TO@^]ERGW+LY^MZF^X; \RM]R8583j2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$ER]]LU]MAZ7)]VIF\_K\EU-J[\CTD\^="^\C_P>2:0?<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXFZ:$ERWJSMWW2+OXFFUY\@ F_XGP[JTBO'E^XR\JG438Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\JV6(AVSN_A[[6/K\JJYUXD$^S@[WF6]BF07<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXFZ:$ERWJSMWW2+OXFFUY\@ Z_LW[B2YDL=o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e>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ([JD_SNC_2e9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+VEI\VIF\RMJ2b9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+VEI\VIF\R_=c:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,WFHSW[OXIY:?;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/BCS[DBCZVdnty2?>528Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,GDVXIMNYSckwt=3=05=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)DIYUJHI\Pndzw878382_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&IJ\ROKDS]ma}r;;7>;7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#NO__@FGVZhbp}6?29>4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ C@R\EABUWgosx1;1419V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-@EUYFLMXTbhv{<7<74>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*EFXVKOH_Qaeyv?3;273\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'JK[SLJKR^lf|q:?6=:0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$OL^PAEFQ[kc|535845Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_1]WKZ03C'LT_HBT0\,V[VCK@"KQ\EMY27X(RWZOG8l5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_1]WKZ03C'LT_HBT15_-QZUBD=k0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER>PTN]50N(AWZOGW<;R.T]PAI2>3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@U;SYAP65I-BZUBDR;V"XQ\EM6:?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LY7W]ET:9E!F^QFH^4Z&\UXIA:6;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]3[QIX>=A%JR]JLZ1^*PYTME>27X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ?_UM\21M)NVYN@V:R.T]PAI2>3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@U;SYAP65I-BZUBDR?V"XQ\EM6:?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LY7W]ET:9E!F^QFH^0Z&\UXIA:6;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]3[QIX>=A%JR]JLZ5^*PYTME>27X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ?_UM\21M)NVYN@V6R.T]PAI2>3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@U;SYAP65I-BZUBDR3V"XQ\EM64?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LY7W]ET:9E!I^QQH+SX[LFS9<5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_HLWGDV)NVIJ\ROKDSY3Y+SXKHZTMIJ]549V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[LHSKHZ%JRMNP^CG@W]7U'_TOL^PAEFQ[HS_=;1^<"GPNN]G*PYNDH%^SJ;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_7[)]VIJ\ROKDS76?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYNF]IJ\#HPC@R\EABUS;W%YRMNP^CG@WYJ]Q?97X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQFNUABT+@XKHZTMIJ][3_-QZoDIYUJHI\:1:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^5Z&\UHM]QNDEP61>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXAG^HM] I_BCS[DBCZR9V"XQLAQ]B@ATXE\R>>6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPIOV@EU(AWJK[SLJKRZ1^*PYnKHZTMIJ]509V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[LHSKHZ%JRMNP^CG@W]3U'_TOL^PAEFQ10=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NW@D_OL^!F^ABTZGCL[Q?Q#[PC@R\EABUWD_S9?5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_HLWGDV)NVIJ\ROKDSY7Y+SXaJK[SLJKR438Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZOI\JK["KQLAQ]B@AT\=T$^SNO__@FGV03<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVCEXNO_.G]@EUYFLMXP9P Z_BCS[DBCZVG^T8<4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^KMPFGW&OUHM]QNDEPX1X(RW`IJ\ROKDS72?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYNF]IJ\#HPC@R\EABUS?W%YRMNP^CG@W323\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UBBYMNP/D\GDVXIMNYW;S!U^ABTZGCL[UFYU;=;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_3[)]VcHM]QNDEP65>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXAG^HM] I_BCS[DBCZR=V"XQLAQ]B@AT2=2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTECZLAQ,E[FGWWHNO^V9R.T]@EUYFLMXTAXV:2:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^1Z&\UbOL^PAEFQ14=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NW@D_OL^!F^ABTZGCL[Q3Q#[PC@R\EABU=<1^<"GPNN]G*PYNDH%^SJR]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*EN484>>6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%HE1<1539V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ CH>0:04<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+FO;<7?97X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&IB080:2:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!LI=4=17=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,GL:06<80Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'JC743;=;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"MF<8<65>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-G858292_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)C484>=6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%O0?0:1:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!K<2<65>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-G818292_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)C4<4>=6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%O0;0:1:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!K<6<65>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-G8=8292_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)C404>h6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW=S!GoJ-QZKRPJS>46[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW=S!U^DGV@303\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*A\8T$^SA[[589V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ2^*PY_G[IR9i5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$KV?R.FlK*PYJ]QIR955Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$KV?R.T]E@WC2?2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)@S8W%YRBZT4;8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/FY2Y+SXPFXHU8j4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU=]/EmL+SXE\RHU864U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU=]/W\BATB=>1^<"GPNN]G*PYNDH%^SJ.T]PGKR(OR8V"XQCUU7:?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.EX6X(RWQEYOT;k;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT3\,DjM(RWD_SOT;7;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT3\,V[CBUM<=0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'NQ8Q#[PLTV6=>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-D_6[)]VRD^NW:d:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[5_-CkN)]VG^TNW:8:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[5_-QZ@CZL?<7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP8P Z_MWW1<=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,C^2Z&\USC_MV5e9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ7^*BhO&\UFYUMV599V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ7^*PYAL[O>;6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW8S!U^NVP0?<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+B]2U'_TTB\LY4f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/FY5Y+Ai@'_TAXVLY4:8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/FY5Y+SXNMXN9:5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$KV8R.T]OQQ3>3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*A\>T$^SUA]CX7g?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.EX3X(@fA$^S@[WCX7;?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.EX3X(RWONYI894U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU8]/W\HPR212_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)@S>W%YRV@RB[6`>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-D_=[)Og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

n7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ2^*PYAL[O?h6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/FY3Y+SXD\^?j6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/FY3Y+SXPFXHU8=4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_4[)OgB%YRCZXB[7a>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ:Q#[PFEPF0a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP=P Z_MWW0c=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP=P Z_YMQG\343\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KVS!U^DGV@2c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV=R.T]OQQ2a3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV=R.T][KWE^=:1^<"GPNN]G*PYNDH%^SJ I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ4^*BhO&\UFYUMV4d9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*A\>T$^SKJ]E5f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S?W%YRBZT5d8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S?W%YRV@RB[67>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQo7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ5^*PYK]]>m7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ5^*PY_G[IR9>5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.EX.T]TGKR(OR2V"XQIDSG7`>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ3Q#[PLTV7b>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ3Q#[PXNP@]1c<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVYY@YQAE^3-QZQDF]%LW4S!U^DGV@2c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV7R.T]OQQ2a3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV7R.T][KWE^<>1^<"GPNN]G*PYNDH%^SJ.T]TGKR([5;58:5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.Q?6;203\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$_1=1469V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*U;<7><7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ S=7=02=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&Y7:3:8;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,W919<>1^<"GPNN]G*PYNDH%^SJ.T]TGKR([535?;5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_CPH460<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]VHYG&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!F_HQ\P@TJAGK_EB@PSMK@AZHB8'_TAXVIb59V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[VEI\VIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Ge<2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T_N@[_BOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TOl;;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]PGKRXKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Gg2<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]VYHBYQLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*SXE\ROn95Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_RAMPZEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMCa0>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX[JD_SNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,QZUUDKh?7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQ\COV\GHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%^S^\CCc68Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,QZUDF]UHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.W\WWJCjh1^<"GPNN]G*PYNDH%^SJ3:gg<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]VYHBYQLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R31?`b?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYTKG^TO@^ I^AP[BGIL'_TO^QHAOF\WWJ(AVY="XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BS]O]THCO[VJNKL$^SJOAD^QQHZUKAJOTBBQ]PL-ogiYtzeUz0?0m9:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\WFHSWJG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh494i56[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PSBLW[FKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl848e12_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T_N@[_BOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<3S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX[JD_SNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0>0ma:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\WFHSWJG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd5:5nl5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_RAMPZEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:66kk0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YR]LNU]@IU)NWJYTKL@K.T]@WZAFFMUX^A!F_R4-QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\TDTSAHFT_AGLE/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?6;df3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\UXOCZPCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<2S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX_JD_SEMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+LYN[V^N^@GAAUKLJZUKAJOTBH>!U^OV\Cd23\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\U\OCZPHBOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TLl:;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRX@JG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C U^OV\Gd23\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\U\OCZPHBOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TNl:;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRX@JG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C U^OV\Ad23\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\U\OCZPHBOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Ll:;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRX@JG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C U^QQHGd23\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\U\OCZPHBOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Nl:;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRX@JG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C U^QQHAde3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\U\OCZPHBOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=2=fg=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRFLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R31?`a?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYPKG^TDNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1<1b`9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VBHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6;2oo4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYOKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;97hj7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQXCOV\LFKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl878ei2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_IANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=1=fg=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRFLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb30?`a?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYPKG^TDNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1?1bc9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VBHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7>3lm;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRX@JG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd595h=5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZJDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"GPIR]WAWKNFH^BCCQ\LHAF[KC7&\UFYUHm5:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWEIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Ge=2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_MANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYULm5:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWEIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Ee=2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_MANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUJm5:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWEIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/T]PVIGe=2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_MANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^ALm5:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWEIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/T]PVIEe=2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_MANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AJmb:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWEIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/mao[vtkWx6;2ol4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYKKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv484in6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[IEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:56kk0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YRYLNU]OGHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?4;df3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\U\OCZPLBOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<0S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX_JD_SAMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1<1b`9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VFHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf682ol4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYKKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck494in6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[IEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:66kh0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YRYLNU]OGHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo878ej2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_MANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>0:6d<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(komThlzn<1<0f>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*eaoVnjxl2>>2`8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,gcaXlh~j0?0l4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ cge\`drf4=4?<6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"mig^fbpdYqmj6:<3:?;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/bdd[agsiV|no1?>>528Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,gcaXlh~jS{kl<00=05=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)dnnUomyoPvda?568382_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&imkRjnt`]uaf:6<7>;7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#nhh_ecweZpbk5;>29>4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ cge\`drfWoh0<813g9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-`bbYci}kTzhm31?1e?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+f``WmkmRxjc=0=7c=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)dnnUomyoPvda?7;5a3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'jllSio{a^tfg929;o1^<"GPNN]G*PYNDH%^SJ I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#nhh_ecweZpbk5<5?k5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!lff]geqgX~li7;3=i;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/bdd[agsiV|no1613g9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-`bbYci}kTzhm39?1`?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+lEFXVKOH_2?>2a8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,mFGWWHNO^1?13b9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-jGDVXIMNY0?0m4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ iBCS[DBCZ5?5?n5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!fC@R\EABU4?48o6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"gLAQ]B@AT;?79h7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#dMNP^CG@W:?6:i0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$eNO__@FGV9?9;11^<"GPNN]G*PYNDH%^SJS7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*oTKG^7=3=7;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/hQ@JQ:56:20Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$e^MAT=1=7==R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)n[JD_090<8:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.kPGKR;=7937X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#d]LNU>5:6><]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(aZIEX191399V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-jWFHS414846[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"g\COV?=;4b3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^S^MAT^ANTZW502_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRZ]IF0:?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RW]XBK=<6;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[QTNO88m7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_VAMPZNDEYUZ>k5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]TGKRXDJG[S\<6;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-TGKRXKL8i7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!XCOV\LFKW:l1^<"GPNN]G*PYNDH%^SJ9:m1^<"GPNN]G*PYNDH%^SJ0Y=!F_OM\@+SXAEK$YR\\T.E\VVR\?T$^S_][219V4*OXFFUO"XQFL@-V[WUS'AKGXR~zlrg2=>S7'@UECRJ!U^KOE*SXZZ^$\B\=0:W3+LYIGVN%YRGCA.W\VVR(X[OT^H]JT103?P6(AVDDSI Z_HNB+PYU[]%[^HQ]ERGW57><]9%BSCAPD/W\MIG(]VXXX"[PASO\\CCU'\UH@HO]269V4*OXFFUO"XQFL@-V[WUS'\UJ^@QWFDP,QZBH]]837X> I^LL[A(RW@FJ#XQ]SU-V[DTJWQLN^"[PDNWW47><]9%BSCAPD/W\MIG(]VXXX"[PASO\\CCU'\UOCXZ>359V4*OXFFUO"XQFL@-V[WUS'\UJ^@QWFDP,QZABFVNN@LWT1\,V[CB4<2_;#DQAO^F-QZOKI&_T^^Z U^CQIZ^AM[%^SJKA_EGOE\]5U'_TJI=;;T2,MZHHWM$^SDBN/T]QWQ)RWHXFSUHJR.W\C@HXLLFJUV=R.T]E@62<]9%BSCAPD/W\MIG(]VXXX"[PASO\\CCU'\ULICQKEMCZ_1[)]VLO>55Z0.K\JJYC&\UB@L!Z_SQW+PYFZDUSJH\ U^PE@WC502_;#DQAO^F-QZOKI&_T^^Z U^CQIZ^AM[%^SYHKRD0`?P6(AVDDSI Z_HNB+PYU[]%^SL\B_YDFV*bnfVfjxnfjd378Q5)NWGETH#[PIMC,QZTT\&_TM_CPXGGQ+lEKZ;20Y=!F_OM\@+SXAEK$YR\\T.W\EWKXPOOY#dJFN=2=6==R8&CTBBQK.T]JHD)RW[Y_#XQNRL][B@T(aMCE0<0=6:W3+LYIGVN%YRGCA.W\VVR(]VKYARVIES-j@JSS:m1^<"GPNN]G*PYNDH%^S_][/T]BVHY_NLX$eIAZT^fo}969:m1^<"GPNN]G*PYNDH%^S_][/T]BVHY_NLX$eIAZT^fo}979:m1^<"GPNN]G*PYNDH%^S_][/T]BVHY_NLX$eIAZT^fo}949:m1^<"GPNN]G*PYNDH%^S_][/T]BVHY_NLX$eIAZT^fo}929:01^<"GPNN]G*PYNDH%^S_][/T]KEIRXPOOY#XQLLDCQ6==R8&CTBBQK.T]JHD)RW[Y_#XQGAMV\\CCU'\UOCXZ=9:W3+LYIGVN%YRGCA.W\VVR(]VBJ@YQWFDP,QZBH]]:956[?/H]MKZB)]VCGM"[PRRV,QZNFD]USJH\ U^FLQQ74=2_;#DQAO^F-QZOKI&_T^^Z U^JBHQY_NLX$YRIJN^FFHD_\9T$^SKJ<5:W3+LYIGVN%YRGCA.W\VVR(]VBJ@YQWFDP,QZABFVNN@LWT2\,V[CB512_;#DQAO^F-QZOKI&_T^^Z U^JBHQY_NLX$YR\IDSG1=>S7'@UECRJ!U^KOE*SXZZ^$YRFNLU][B@T(]V^MH_K=d:W3+LYIGVN%YRGCA.W\VVR(]VBJ@YQWFDP,`lhXdh~hdhj=6:W3+LYIGVN%YRGCA.W\VVR(]VBJ@YQWFDP,mFJU:01^<"GPNN]G*PYNDH%^S_][/T]KEIRXPOOY#dJFN=2=6<=R8&CTBBQK.T]JHD)RW[Y_#XQGAMV\\CCU'`NBB1?1269V4*OXFFUO"XQFL@-V[WUS'\UCMAZPXGGQ+lBH]]8n7X> I^LL[A(RW@FJ#XQ]SU-V[MGK\VRMI_!fDNWW[aj~4949i6[?/H]MKZB)]VCGM"[PRRV,QZNFD]USJH\ iEMVPZbkq585=o5Z0.K\JJYC&\UB@L!Z_SQW+PYWG[;o7X> I^LL[A(RW@FJ#XQ]SU-V[UTT\9;o7X> I^LL[A(RW@FJ#XQ]SU-V[UTT\8;h7X> I^LL[A(RW@FJ#XQ]SU-V[WUS88l0Y=!F_OM\@+SXAEK$YR\\T.kQAVCS494996[?/H]MKZB)]VCGM"[PSUCW+DESZLYNXR~zlrg14>S7'@UECRJ!U^KOE*SX[]K_#LM[_eqweq473\:$ER@@_E,V[LJF'\UXXLZ ASO\`vrf|;?0Y=!F_OM\@+SXAEK$YR][AU-@EUYUMZO_Sibv10d8Q5)NWGETH#[PIMC,QZUSI]%OE_ZV_E22b>S7'@UECRJ!U^KOE*SX[]K_#IG]TX]G576<]9%BSCAPD/W\MIG(]VY_MY!KISVZ[VCK:;1^<"GPNN]G*PYNDH%^S^ZNT.FJVQ_Xlz~jx?:4U1-J[KIXL'_TEAO U^QWEQ)C[]K_Sh`Pdm{064=R8&CTBBQK.T]JHD)RWZ^JX"J\T@V\hjgc:01^<"GPNN]G*PYNDH%^S^ZNT.G[PFGWW[OJHTQksucw64=R8&CTBBQK.T]JHD)RWZ^JX"HZLM]gwqgs:o1^<"GPNN]G*PYNDH%^S^ZNT.D\WVRF\R:V"DQ\T@V-QZUSI]9;7X> I^LL[A(RW@FJ#XQ\T@V,BZUT\H^P==S!I^QWEQ(RWZ^JX?h4U1-J[KIXL'_TEAO U^QWEQ)AWZY_MYU>]/K\WQGS&\UXXLZ=f:W3+LYIGVN%YRGCA.W\WQGS'OUX_YO[[3_-MZUSI]$^S^ZNT3d8Q5)NWGETH#[PIMC,QZUSI]%MS^][AUY0Y+OX[]K_"XQ\T@V1b>S7'@UECRJ!U^KOE*SX[]K_#KQ\SUCW_1[)AVY_MY Z_RVBP7`<]9%BSCAPD/W\MIG(]VY_MY!I_RQWEQ]2U'CT_YO[.T]PPDR5n2_;#DQAO^F-QZOKI&_T_YO[/G]PWQGSS?W%ER][AU,V[VRF\;l0Y=!F_OM\@+SXAEK$YR][AU-E[VUSI]QR.T]MWT433\:$ER@@_E,V[LJF'\UXXLZ G^LP_4[)]VDX]?:4U1-J[KIXL'_TEAO U^QWEQ)@WGYP>P Z_OQR61=R8&CTBBQK.T]JHD)RWZ^JX"IPNRY0Y+SXFZ[986[?/H]MKZB)]VCGM"[PSUCW+BYI[R>V"XQASP07?P6(AVDDSI Z_HNB+PYT\H^$KR@\[4_-QZHTY;>0Y=!F_OM\@+SXAEK$YR][AU-D[KU\>T$^SC]^259V4*OXFFUO"XQFL@-V[VRF\&MTB^U8]/W\JVW5<2_;#DQAO^F-QZOKI&_T_YO[/F]MW^>Z&\UE_\<;;T2,MZHHWM$^SDBN/T]PPDR(OVDXW4S!U^LPU7?<]9%BSCAPD/W\MIG(]VY_MY!Bmtz\@LTSQVnxxlz>1308Q5)NWGETH#[PIMC,QZUSI]%E_Rj|t`v?4;453\:$ER@@_E,V[LJF'\UXXLZ NR]gwqgs4849>6[?/H]MKZB)]VCGM"[PSUCW+KUXlz~jx1<1239V4*OXFFUO"XQFL@-V[VRF\&DXSi}{au>0:74<]9%BSCAPD/W\MIG(]VY_MY!AS^fppdr;<7897X> I^LL[A(RW@FJ#XQ\T@V,JVYc{}k080=2:W3+LYIGVN%YRGCA.W\WQGS'GYTh~znt=4=67=R8&CTBBQK.T]JHD)RWZ^JX"@\_eqweq:06;80Y=!F_OM\@+SXAEK$YR][AU-MWZbt|h~743<=;T2,MZHHWM$^SDBN/T]PPDR(FZUoyo{<8<2a>S7'@UECRJ!U^KOE*SX[]K_#C]Plncg60=R8&CTBBQK.T]JHD)RWZ^JX"][AUG\`vrf|5:5>85Z0.K\JJYC&\UB@L!Z_RVBP*USI]OTh~znt=3=5c=R8&CTBBQK.T]JHD)RWZ^JX"ZKO^lf|q433\:$ER@@_E,V[LJF'\UXXLZ TSKDC@TXlz~jx85Z0.K\JJYC&\UB@L!Z_RVBP*SXI[GTka{j_rgw5g=R8&CTBBQK.T]JHD)RWZ^JX"[PCS00?P6(AVDDSI Z_HNB+PYT\H^$YRJFRU[\BAEB::1^<"GPNN]G*PYNDH%^S^ZNT.W\@LTSQVLO\H<=;T2,MZHHWM$^SDBN/T]PPDR(]VNB^YWPLEA0`>S7'@UECRJ!U^KOE*SX[]K_#XQKMTZ7+PYD[VG^T"G<.T]NQ]>(EdsSBQ=_g50e>S7'@UECRJ!U^KOE*SX[]K_#XQKMTZ7+PYD[VG^T"G<.T]NQ]>(EdsSBQ<3`9V4*OXFFUO"XQFL@-V[VRF\&_TH@[W4.W\GVYJ]Q%B?#[PMTZ;+HkrpVET885Z0.K\JJYC&\UB@L!Z_RVBP*SXLZF:#XQLLDCQ61=R8&CTBBQK.T]JHD)RWZ^JX"[PDRN2+PYCG\^996[?/H]MKZB)]VCGM"[PSUCW+PYC[E;$YRJ@UU211>S7'@UECRJ!U^KOE*SX[]K_#XQKSM3,QZBH]];8=6[?/H]MKZB)]VCGM"[PSUCW+PYC[E;$YRIJN^FFHD_\9T$^SKJ=5:W3+LYIGVN%YRGCA.W\WQGS'\UO_A? U^PE@WC5=2_;#DQAO^F-QZOKI&_T_YO[/T]GWI7(]V^MH_K=9:W3+LYIGVN%YRGCA.W\WQGS'\UO_A? dhl\hdrd`ln9>6[?/H]MKZB)]VCGM"[PSUCW+PYC[E;$eNB]249V4*OXFFUO"XQFL@-V[VRF\&_TH^B>/hFJJ969:<1^<"GPNN]G*PYNDH%^S^ZNT.W\@VJ6'`NBB1?12`9V4*OXFFUO"XQFL@-V[VRF\&_TH^B>/hFLQQYcdp6;2?o4U1-J[KIXL'_TEAO U^QWEQ)RWMYG="gKOTV\`i;97;o7X> I^LL[A(RW@FJ#XQ\T@V,QZBTD;;o7X> I^LL[A(RW@FJ#XQ\T@V,QZBTD:;h7X> I^LL[A(RW@FJ#XQ\T@V,QZBTZ8i0Y=!F_OM\@+SXAEK$YR][AU-V[@EU:<1^<"GPNN]G*PYNDH%^S^ZNT.W\AFTXoenS~k{1e9V4*OXFFUO"XQFL@-V[VRF\&_TJXBC279V4*OXFFUO"XQFL@-V[VRF\&_TJXBC_fnvaZub|:30Y=!F_OM\@+SXAEK$YR][AU-V[HS_'\UH_RCZX.K2*PYJ]Q8$A`{w_N3246d<]9%BSCAPD/W\MIG(]VY_MY!Z_LW[+PYD[VG^T"G>.T]NQ]4(EdsSB?>0^D0f>S7'@UECRJ!U^KOE*SX[]K_#XQBUY-V[FUXE\R$E< Z_LW[6*Kj}qUD=<>PG2;8Q5)NWGETH#[PIMC,QZUSI]%^S@[W/T]@WZKRP&C:"XQBUY0,IhsWF;:=>74U1-J[KIXL'_TEAO U^QWEQ)RWD_S#XQLS^OV\*O6&\UFYU< Mlw{[J76::30Y=!F_OM\@+SXAEK$YR][AU-V[HS_'\UH_RCZX.K2*PYJ]Q8$A`{w_N3276?<]9%BSCAPD/W\MIG(]VY_MY!Z_LW[+PYD[VG^T"G>.T]NQ]4(EdsSB?>42;8Q5)NWGETH#[PIMC,QZUSI]%^S@[W/T]@WZKRP&C:"XQBUY0,IhsWF;:9>74U1-J[KIXL'_TEAO U^QWEQ)RWD_S#XQLS^OV\*O6&\UFYU< Mlw{[J76>:20Y=!F_OM\@+SXAEK$YR][AU-V[HS_'\UH_RCZX.K2*PYJ]Q8$A`{w_N317==R8&CTBBQK.T]JHD)RWZ^JX"[PMTZ,QZETWD_S#D?!U^OV\7)Je|rTC<= I^LL[A(RW@FJ#XQ\T@V,QZKRP&_TO^QBUY-J5+SXE\R9#@czx^M236><]9%BSCAPD/W\MIG(]VY_MY!Z_LW[+PYD[VG^T"G>.T]NQ]4(EdsSB?61b9V4*OXFFUO"XQFL@-V[VRF\&_TB^B<0:W3+LYIGVN%YRGCA.W\WQGS'\UYI^K[_DFDA*OX@;F%YRJ@UU0a?P6(AVDDSI Z_HNB+PYT\H^$YR\JSDV\AAAB'\UOCXZ?2c9V4*OXFFUO"XQFL@-V[VRF\&_T^H]JT^GGC@)RWME^X< I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!LI=3=62=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'JC7>3<8;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYQLNU-@M959:>1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSWJD_#NG34?04?P6(AVDDSI Z_HNB+PYT\H^$YR][AU]@JQ)DA5?5>:5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/BK?2;403\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%HE191269V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+FO;078<7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!LI=;=63=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'M6;2?84U1-J[KIXL'_TEAO U^QWEQ)RWZ^JXRMAT.F?5;413\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%O0?0=6:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,@959:?1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSWJD_#I2;>348Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*B;=78=7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!K<7<12>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR(L5=5>;5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/E>;:70<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&N753==;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYQLNU-D_5[)OgB%YRCZXB[1`>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR(OR:V"XQIDSG1g>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR(OR:V"XQCUU0f?P6(AVDDSI Z_HNB+PYT\H^$YR][AU]@JQ)@S9W%YRV@RB[06>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR(OR;V"J`G.T]NQ]E^:m1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSWJD_#JU>]/W\BATB:j1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSWJD_#JU>]/W\HPR5m2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$KV?R.T][KWE^;;1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSWJD_#JU=]/EmL+SXE\RHU?j4U1-J[KIXL'_TEAO U^QWEQ)RWZ^JXRMAT.EX6X(RWONYI?m4U1-J[KIXL'_TEAO U^QWEQ)RWZ^JXRMAT.EX6X(RWE__>h5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY1Y+SXPFXHU><4U1-J[KIXL'_TEAO U^QWEQ)RWZ^JXRMAT.EX7X(@fA$^S@[WCX0g?P6(AVDDSI Z_HNB+PYT\H^$YR][AU]@JQ)@S:W%YRHKRD0`?P6(AVDDSI Z_HNB+PYT\H^$YR][AU]@JQ)@S:W%YRBZT3g8Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\;T$^SUA]CX11?P6(AVDDSI Z_HNB+PYT\H^$YR][AU]@JQ)@S=W%KcF!U^OV\F_5l2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$KV:R.T]E@WC5k2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$KV:R.T]OQQ4b3\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW9S!U^ZLVF_4:2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$KV;R.FlK*PYJ]QIR>i5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY6Y+SXNMXN>n5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY6Y+SXD\^9i6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ7^*PY_G[IR??5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY5Y+Ai@'_TAXVLY3f8Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\>T$^SKJ]E3a8Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\>T$^SA[[2d9V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]1U'_TTB\LY208Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\?T$LbE Z_LW[G\4c3\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW:S!U^DGV@4d3\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW:S!U^NVP7c<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP;P Z_YMQG\553\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW5S!GoJ-QZKRPJS9h6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ:^*PYAL[O9o6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ:^*PYK]]8n7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[9_-QZ^HZJS9h6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ;^*PYAL[O9o6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ;^*PYK]]8n7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[8_-QZ^HZJS9:6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ S=2=63=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'Z6:2?84U1-J[KIXL'_TEAO U^QWEQ)RWZ^JXRMAT.Q?6;413\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%X0>0=6:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,W929:?1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSWJD_#^2:>348Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*U;>78=7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!\<6<12>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR([525>;5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/R>::4e<]9%BSCAPD/W\MIG(]VY_MY!Z_UFL65=R8&CTBBQK.T]JHD)RWZ^JX"[PTSKDC@T512_;#DQAO^F-QZOKI&_T_YO[/T]WVLA@M[Ul`xkPsdv2b>S7'@UECRJ!U^KOE*SX[]K_#d][AU>3:76<]9%BSCAPD/W\MIG(]VY_MY!fSUCW84699o1^<"GPNN]G*PYNDH%^S^ZNT.kPPDR;97;m7X> I^LL[A(RW@FJ#XQ\T@V,mVRF\585=k5Z0.K\JJYC&\UB@L!Z_RVBP*oT\H^7?3?i;T2,MZHHWM$^SDBN/T]PPDR(aZ^JX1:11g9V4*OXFFUO"XQFL@-V[VRF\&cXXLZ35?3e?P6(AVDDSI Z_HNB+PYT\H^$e^ZNT=4=5c=R8&CTBBQK.T]JHD)RWZ^JX"g\T@V?3;7a3\:$ER@@_E,V[LJF'\UXXLZ iRVBP9>99o1^<"GPNN]G*PYNDH%^S^ZNT.kPPDR;17897X> I^LL[A(RW@FJ#XQ\T@V,mVRF\JGOSNK=4:W3+LYIGVN%YRGCA.W\WQGS'`Y_MYMBD^AF[k443\:$ER@@_E,V[LJF'\UXXLZ iRVBPZEI\5:5>>5Z0.K\JJYC&\UB@L!Z_RVBP*oT\H^TOCZ31?00?P6(AVDDSI Z_HNB+PYT\H^$e^ZNT^AMP949::1^<"GPNN]G*PYNDH%^S^ZNT.kPPDRXKG^7?3<<;T2,MZHHWM$^SDBN/T]PPDR(aZ^JXRMAT=6=66=R8&CTBBQK.T]JHD)RWZ^JX"g\T@V\GKR;=7887X> I^LL[A(RW@FJ#XQ\T@V,mVRF\VIEX181229V4*OXFFUO"XQFL@-V[VRF\&cXXLZPCOV?3;443\:$ER@@_E,V[LJF'\UXXLZ iRVBPZEI\525>>5Z0.K\JJYC&\UB@L!Z_RVBP*oT\H^TOCZ39?05?P6(AVDDSI Z_HNB+PYSZ@M$JR@@_UANG+SXNMX8>6[?/H]MKZB)]VCGM"[PTSKD+PYSK&CT_YA]AFG\UPGK&\UHM]QM359V4*OXFFUO"XQFL@-V[QTNO&_TXN!F_RVLVDABWX_J@#[PC@R\@I_0m2_;#DQAO^F-QZOKI&_TX_GH/T]WG*OX[]EYMJKPQTCO*PYT\FXJKHQ^U@N,MZUUD]UEIR?!I^LNQZ7X\FU?"XQ[CM-J[KKRWL[:"XQfDNWW+LY^MZF^X; Z_RPOG40B>11^<"GPNN]G*PYNDH%^SY\FG.W\PF)NWZ^D^LIJ_PWBH+SX[]EYMJKPQTCO+LYTZE^TBHQ>.H]MIPY6W]ET8#[PTBN,MZIR\[OL"XQKOTV6a>S7'@UECRJ!U^KOE*SX\[CL#XQ[C.K\WQIUINOT]XOC.T]PPJTFOLUZYLB I^QQHQYIMV;%ER@BU^3\PJY3&\U_OA!fDNWW1d=R8&CTBBQK.T]JHD)RW]XBK"[PTB-J[VRHZHMNS\[NL/W\WQIUINOT]XOC/H]PVIRXFLU:"DQAMT]2[QIX<'_TX_GHQ508Q5)NWGETH#[PIMC,QZRUAN%^SYM I^QWKWG@MV[^MA Z_RVLVDABWX_J@"g[RHEDAW1f3\:$ER@@_E,V[LJF'\U_^DI U^V@+LYS[L[TBH_=.T]WGZCV]H^BCC!F_RPOPZHBW8$BSCCZ_0]WKZ2)]V^H@"GPNLW\AT7)]VcOCXZ I^[FWISS>'_T__BL17G50>S7'@UECRJ!U^KOE*SX\[CL#XQ[C.K\PVCVWGOZ>#[PTB]FUPGSAFD$ER]]LU]MAZ7)AVDFYR?PTN]7*PYSKE%BSB[[RDE-QZBH]]?j7X> I^LL[A(RW@FJ#XQ[RHE,QZRD'@U__H_PNDS1*PYSKVOZYLZFOO-J[VTK\VDNS< F_OOV[4YSGV>%YRZLL.kGKPR2>2_;#DQAO^F-QZOKI&_TX_GH/T]WG*OX\ZOZSCK^2/W\PFYBY\K_EB@ I^QQHQYIMV;%ER@BU^3\PJY3&\U_^DI^3d9V4*OXFFUO"XQFL@-V[QTNO&_TXN!F_UQFUZHBY;$^SYMPEPWBPLII'`^YEJIJR368Q5)NWGETH#[PIMC,QZRUAN%^SYM iBCSPPTB:11^<"GPNN]G*PYNDH%^SY\FG.W\PF)nKOMTHLZN<1<0g>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!F_LA\JJ(RWGETANQ]EF15?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-GKPRX|dzjo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W=S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P==S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P= I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=?S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=>S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=9S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=8S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=;S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=:S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=5S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=4S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9=P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9>P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9?P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q98P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q99P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9:P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9;P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q94P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q95P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R9;Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R9:Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6ij1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R9V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fk2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5de<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]1U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ef=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^1Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3bg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0c`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV18:8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y3Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V??]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R;:Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^75U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z30Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V?;]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R;>Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^71U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z34Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V?7]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R;2Q#GPIO]QEKAB&\ULMCJPDM[1===R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^7Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[32^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W??R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_75Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[36^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W?;R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_71Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[3:^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W?7R.H]JJZTFFNO%YRINNE]GH\4>02_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P?P F_HL\VDH@M'_TKL@K_ENZ6<><]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]3U'CTECQ]AOEF*PY@IGNTHAW=999V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z7^*LYNFVXJBJK!U^EBJAYCDP8246[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W;S!I^KM[WGIOL$^SJOAD^FO]7??3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\?T$BSD@PR@LDA+SXOHDOSIBV28:8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y;Y+OXAGUYMCIJ.T]DEKBXLES9555Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V7R.H]JJZTFFNO%YRINNE]GH\4ck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX4X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Fae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZ@`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ2^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYFgg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[1_-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]L@f`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT0\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CBea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU?]/W\CDHCWZXGS^BFCD]MKZTWE&_T__BLdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AJj2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW=S!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=2=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ2^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:66l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]7U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7>3k>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP

'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX4X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;:7o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\8T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0=0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW=S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=3=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ2^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:56l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]7U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7?3jl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SMim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RIhn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QIoo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8W%YRINNE]PVIYTD@INSCAPRQO,QZKRPMnh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9T$^SJOAD^QQHZUKAJOTBBQ]PL-V[VTKImi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJElj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^7Z&\ULMCJPSSN\WIODMVDDS_^B/T]PVIEck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX5X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv494n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1?1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>1:`7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY2Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:76l;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6:2h?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2=>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?4;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX5X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck484n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1<1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>0:ae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY1Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZB`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ0^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUY@gg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[3_-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZXBf`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT2\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WDea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=]/W\CDHCWZXGS^BFCD]MKZTWE&_T__BNdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTVP Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGHh<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R30?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT2\,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r848b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

1e09V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ0^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok949m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^4Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme6;2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb31?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT2\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo878b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

!U^OV\Cbd3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX7X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Eae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY0Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZA`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ1^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYAgg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[2_-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZXEf`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT3\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CAea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<]/W\CDHCWZXGS^BFCD]MKZTWE&_T__BMdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV=R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AMkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW>S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Ik=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP?P Z_FCM@ZUUDVYGENKPNN]QTH)kkeUx~aQ~<1S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[2_-QZAFFMUX^AQ\LHAF[KIXZYG$`nbPssn\u979m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^5Z&\ULMCJPSSN\WIODMVDDS_^B/mao[vtkWx692h?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ8Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2?>d38Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPln>2:`7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY0Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:56l;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]4U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf682h?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ8Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2;>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?4;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX7X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck484n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S:W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1<1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV=R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>0:dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'nkehRmnrs{?5;gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&mjbiQlaspz878fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R({{fT}1<1a89V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,wwjXy595;k5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7<36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1??>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><03=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?578?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;?25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<;1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?317<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>23;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz597?61:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64835;k5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7=36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><33=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?678?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~958?25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0?;1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?327<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>13;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz594?61:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64;35;k5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7>36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1=?>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><23=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?7;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5929?o1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;=7=m7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95<5;k5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7;39i;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1617g9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?39?5e?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=2=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?558?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:5;925>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90<=1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<315<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>21;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz697161:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i548=54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87=5070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2>9?5e?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=3=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?658?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:58925>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90?=1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<325<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>11;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz694161:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54;=54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87>5070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2=9?5e?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=0=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?758?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:595;k5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87839i;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1;17g9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<36?5e?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=5=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?<;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz69?9<]9%BSCAPD/W\MIG(aJK[XX\J189V4*OXFFUO"XQFL@-jGDVXLFDN>>5Z0.K\JJYC&\UB@L!fC@R\JPKX[HG[@H]30?00?P6(AVDDSI Z_HNB+lEFXVD^AR]NMQNFW979::1^<"GPNN]G*PYNDH%bOL^PNTO\WDKWDLY7>3<<;T2,MZHHWM$^SDBN/hABTZHREVYJA]BJS=1=66=R8&CTBBQK.T]JHD)nKHZTBXCPS@OSH@U;<7887X> I^LL[A(RW@FJ#dMNP^LVIZUFEYFN_1;1229V4*OXFFUO"XQFL@-jGDVXF\GT_LC_LDQ?2;443\:$ER@@_E,V[LJF'`IJ\R@ZM^QBIUJB[5=5>>5Z0.K\JJYC&\UB@L!fC@R\JPKX[HG[@H]38?00?P6(AVDDSI Z_HNB+lEFXVD^AR]NMQNFW9?99m1^<"GPNN]G*PYNDH%bOL^PSUCWA9699m1^<"GPNN]G*PYNDH%bOL^PSUCWA979:91^<"GPNN]G*PYNDH%bOL^PTSKDC@TXG\^:j6[?/H]MKZB)]VCGM"gLAQ]TVZGCL[6;2f:W3+LYIGVN%YRGCA.k@EUYPZVKOH_2=>0d8Q5)NWGETH#[PIMC,mFGWW^XTMIJ]<2<2b>S7'@UECRJ!U^KOE*oDIYU\^ROKDS>7:4`<]9%BSCAPD/W\MIG(aJK[SZ\PAEFQ8086n2_;#DQAO^F-QZOKI&cHM]QXR^CG@W:168l0Y=!F_OM\@+SXAEK$eNO__VP\EABU4>4:j6[?/H]MKZB)]VCGM"gLAQ]TVZGCL[632a:W3+LYIGVN%YRGCA.k@EUYPZVOE=55Z0.K\JJYC&\UB@L!fD@VB858612_;#DQAO^F-QZOKI&cOMYO311<2=>S7'@UECRJ!U^KOE*oCI]K7=<0>9:W3+LYIGVN%YRGCA.kGEQG;9;4:56[?/H]MKZB)]VCGM"gKAUC?568612_;#DQAO^F-QZOKI&cOMYO315<2=>S7'@UECRJ!U^KOE*oCI]K7=80>9:W3+LYIGVN%YRGCA.kGEQG;9?4:56[?/H]MKZB)]VCGM"gKAUC?528612_;#DQAO^F-QZOKI&cOMYO319<2=>S7'@UECRJ!U^KOE*oCI]K7=40>8:W3+LYIGVN%YRGCA.kGEQG;97;27X> I^LL[A(RW@FJ#dJNT@>14;7>3\:$ER@@_E,V[LJF'`NJXL2=1?3:?P6(AVDDSI Z_HNB+lBF\H69>3?6;T2,MZHHWM$^SDBN/hFBPD:5;7;27X> I^LL[A(RW@FJ#dJNT@>10;7>3\:$ER@@_E,V[LJF'`NJXL2=5?3:?P6(AVDDSI Z_HNB+lBF\H69:3?6;T2,MZHHWM$^SDBN/hFBPD:5?7;27X> I^LL[A(RW@FJ#dJNT@>1<;7>3\:$ER@@_E,V[LJF'`NJXL2=9?3;?P6(AVDDSI Z_HNB+lBF\H692<74U1-J[KIXL'_TEAO iECWE9576830Y=!F_OM\@+SXAEK$eIO[A=12:4><]9%BSCAPD/W\MIG(aMK_M1=1199V4*OXFFUO"XQFL@-j@DRF4=4:46[?/H]MKZB)]VCGM"gKAUC?1;7?3\:$ER@@_E,V[LJF'`NJXL29>0:8Q5)NWGETH#[PIMC,mAGSI5=5=55Z0.K\JJYC&\UB@L!fD@VB8=8602_;#DQAO^F-QZOKI&cOMYO39?3b?P6(AVDDSI Z_HNB+lBF\HUOCXZ>9:W3+LYIGVN%YRGCA.kQAVCS494:56[?/H]MKZB)]VCGM"g]ERGW848612_;#DQAO^F-QZOKI&cYI^K[<3<2=>S7'@UECRJ!U^KOE*oUMZO_0>0>9:W3+LYIGVN%YRGCA.kQAVCS4=4:56[?/H]MKZB)]VCGM"g]ERGW808612_;#DQAO^F-QZOKI&cYI^K[<7<2=>S7'@UECRJ!U^KOE*oUMZO_0:0>a:W3+LYIGVN%YRGCA.kPPDRXLF__=55Z0.K\JJYC&\UB@L!fTSKDC@T6=2_;#DQ[Q1,D[QQ\8T$^SY_>6:W3+LYSY9$LSYYT11_-QZRV9?1^<"GPTP2-CZRPS8;V"XQ[Q048Q5)NW][;"JQ[WZ31Y+SX\X;=7X> I^VR4+AX\^Q:?P Z_US22>S7'@U_]= H_UUX51[)]V^Z=;5Z0.K\PT6)OV^\W<;R.T]WU40<]9%BSY_?.F]WS^71U'_TX\?9;T2,MZRV8'MTXZU>7\,V[QW6>2_;#DQ[Q1,D[QQ\91W%YRZ^179V4*OX\X:%KRZX[0;^*PYSY8?0Y=!F_US3*BYS_R;V"XQ[Q048Q5)NW][;"JQ[WZ03Y+SX\X;=7X> I^VR4+AX\^Q9=P Z_US22>S7'@U_]= H_UUX67[)]V^Z=;5Z0.K\PT6)OV^\W?=R.T]WU40<]9%BSY_?.F]WS^43U'_TX\?9;T2,MZRV8'MTXZU=5\,V[QW6>2_;#DQ[Q1,D[QQ\:?W%YRZ^179V4*OX\X:%KRZX[35^*PYSY8<0Y=!F_US3*BYS_R83Q#[PTP35?P6(AV^Z<#IPTVY1=X(RW][:96[?/H]WU5(@W]]P>P Z_US22>S7'@U_]= H_UUX75[)]V^Z=;5Z0.K\PT6)OV^\W>?R.T]WU43<]9%BSY_?.F]WS^5Z&\U_]<;4U1-J[QW7&NU_[V:R.T]WU43<]9%BSY_?.F]WS^3Z&\U_]<;4U1-J[QW7&NU_[V8R.T]WU43<]9%BSY_?.F]WS^1Z&\U_]<;4U1-J[QW7&NU_[V6R.T]WU43<]9%BSY_?.F]WS^?Z&\U_]h5Z0.kWVLAXAG6;2k5Z0.kWVLAXAG6:<3h4U1-jPWO@W@D7=<0i;T2,mQTNOVCE0<<1f:W3+lRUANUBB1?<>g9V4*oSZ@MTEC2>4?d8Q5)n\[CLSD@314S7'`^YEJQFN=34:c=R8&c_^DIPIO>2<;`<]9%bX_GH_HL?5<8b3\:$eY\FG^KM848a3\:$eY\FG^KM8769n2_;#dZ]IF]JJ9466o1^<"g[RHE\MK:5:7l0Y=!fTSKD[LH;::4m7X> iUPJCZOI4;>5j6[?/hVQMBYNF58>2k5Z0.kWVLAXAG69:3h4U1-jPWO@W@D7>:0i;T2,mQTNOVCE0?61f:W3+lRUANUBB1<6>d9V4*oSZ@MTEC2=>g9V4*oSZ@MTEC2<0?d8Q5)n\[CLSD@3304?>79TAD:46?1\IO2?>79TAG:66?1\IO2=>99TAG:4294=7ZKM<2^T\V\HOo5W_BMQAZOINF<0TilPIe33?]bjWDkacXjrrklj465Ver0b?ZYXPEDFSRQ?_^]V4*OXFFUO"XQFL@-V[VRF\&_TB^B;4:]\[]JIEVUT=RQPU1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^@QO54b3VUTTA@B_^]1[ZYR8&CTBBQK.T]JHD)RWZ^JX"[PSUCWGHB5j2UTSUBAM^]\7ZYX]9%BSCAPD/W\MIG(]VXXX"[PPSQW472gtqgiTxoasggq[4773hyrbnQ{r`lpb`tX:8:0m~wac^vqekuam{U8h6mgiqq`kucXaek:<6mgiqq`kucXaekT??=?;bjjtvehxlUb`lQ<2-akmuudgyoTeaoPv0]31Zg+FFDN CAAE00;<>eoayyhc}kPimc\77*d``zxob~j_hnb[s7X877=Ji}icSX`ftr]294=Dg|diQXievk[4;Cazki`hj4M`v`lZR~xlU:1oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX94lj`~k4Tskdc`tXXfxSZgkti]19==Ji}icSX`ftr]194=Dg|diQXievk[7;Cazki`hj4M`v`lZR~xlU91oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX:4lj`~k4Tskdc`tXXfxSZgkti]09==Ji}icSX`ftr]094=Dg|diQXievk[6;Cazki`hj4M`v`lZR~xlU81oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX;4lj`~k4Tskdc`tXXfxSZgkti]79==Ji}icSX`ftr]794=Dg|diQXievk[1;Cazki`hj4M`v`lZR~xlU?1oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX<4lj`~k4Tskdc`tXXfxSZgkti]69==Ji}icSX`ftr]694=Dg|diQXievk[0;Cazki`hj4M`v`lZR~xlU>1oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX=4lj`~k4Tskdc`tXXfxSZgkti]59==Ji}icSX`ftr]594=Dg|diQXievk[3;Cazki`hj4M`v`lZR~xlU=1oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX>4lj`~k4Tskdc`tXXfxSZgkti]49==Ji}icSX`ftr]494=Dg|diQXievk[2;Cazki`hj4M`v`lZR~xlU<1oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX?4lj`~k4Tskdc`tXXfxSZgkti];9==Ji}icSX`ftr];94=Dg|diQXievk[=;Cazki`hj4M`v`lZR~xlU31oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX04lj`~k4Tskdc`tXXfxSZgkti]:9==Ji}icSX`ftr]:94=Dg|diQXievk[<;Cazki`hj4M`v`lZR~xlU21oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX14lj`~k4Tskdc`tXXfxSZgkti]248>bdjtm2^yejijr^RlvqYPam~cS<<28:ObpfnX]gcR?==09@kphsm{U\eizg_00>@lufjeoo7@o{ci]W}ucX9;7im~gl_vkwlZccoly0Iumcueg\BwijWMkmR]{oscdaZ755okgh5[rhedawYWg{~T[dj{h^309==Ji}icSX`ftr]2787CkeoiRH}ol]GeqgX[}eymjkP15?eeiub3]xbkjk}_QmqpZQnl}bT=837;LcwgmYRf`~xS<;21:AlqkrbzV]bhyfP14?Gmvgedln0Alzlh^Vzt`Y6=4hjdmPwhvk[`b`mz1Ntnbzdd]EvjkXLh~jS^z`r`ef[43:nhfxi6Z}ifefvZVhz}U\eizg_04><>Kf|jbTYcg{s^3594=Dg|diQXievk[40:L`yjnakk;LcwgmYSqyoT=;3mark`[ros`Vookh}4EyaoqacXN{efSIo{a^Qwkwg`mV;=1kocsd.`[}bb~`injlcflx?ptdtsig<'oRm`rd]w}uc::%iTakiPie?2(fYjiceyS{k}shmm942+kVgbbb|Pvdppmjh:<%iTnxgcd^pfrlungg7; nQlosg\idlhzV|n~0?#c^alv`YjageyS{k}=5.`[fiumVgbbb|PamrkeZpbz43< nQ}al]w}uc:9%iTb>6^vzt`;5$jU~hQfnw]`hn;7$jU~hQ}pl?3(fYr{lU~diPotv>4)eX}zoTmymPcmijj86+kVxiRjnt`?3(fYr{lU~di?=0.`[pubW}xbk<3?,b]vw`Ysz`m91="l_tqf[qtno:7; nQzsd]wvla359&hSx}j_upjc0;7$jU~hQ{rhe595*dW|ynSy|fg6?3(fYr{lU~di7=1.`[pubW}xbk43?,b]vw`Ysz`m:<0>#c^wpaZruan;:1="l_tqf[qtno88620-a\qvcX|{clhlzn10?3(fYr{lU~dikauc2686+kVxiRz}iffbpd7459&hSx}j_upjcagsi8>6=4-a\qvcXohz6,b]ww`wX|pzn1="l_own[qubyViex0>#c^vpatYdf};T{dj{h<3/gZrtmxUhby>Pwhfwl87+kVd~aRz|ep]ppdrb{4;'oR{|e^v`[hei|4:'oRzl_lampZqnl}b6=!mPeyv\gdvXzh~nS`ake<2/gZc|Vij|R~fn^ol``;7$jUey`Qjxu]`euYwagy64!mPeyv\gdvX}zoT~hi21-a\jpkXeh~hdR{aiuq>5)eX|{cl77*dW}xbkQxievk94*dW}xbk9Qxievk94*dW}xbk8Qxievk94*dW}xbk;Qxievk94*dW}xbk:Qxievk94*dW}xbk5Qxievk94*dW}xbk4Qxievk94*dW}xbk<>Pwhfwl87+kV~yej?>_vkgpm;6$jU~di>2^uj`qn:9%iTxgh12]tmaro58&hSy|fg06\slbs`4;'oRz}if36[roc|a7: nQb0^vsma;7$jUf=Rzie?2(fYj:V~{ei3=,b]n7Zrwam78 nQb4^vsma;3$jUf9Rzie?6(fYj>V~{ei39,b]n3Zrwam7< nQb8^vsma;?$jUf5Rzie?:(fYj99U|dj211.`[h76W}zbh0?>,b]n57Ysx`n6=?"l_l30[qvnl4;8 nQb15]wtlb:9=&hS`?:_urj`872$jUf59&hSx}j_lamp46:8%iTy~kPmblw54;7$jU~hQbcov2686+kVxiRclnu3095*dW|ynS`mat06>4)eX}zoTan`{14?3(fYjkg~;Szgkti?2(fYjkg~:Szgkti?2(fYjkg~9Szgkti?2(fYjkg~8Szgkti?2(fYjkg~?Szgkti?2(fYjkg~>Szgkti?2(fYjkg~=Szgkti?2(fYjkg~5)eXejd=4^uj`qn:9%iTan`{14]tmaro58&hSnaasupbmkrX|pzn1hv{eslbh)eXmqkf|akPddqjck;aieyn p=>;bjjtvehxlUb`lQy1^26[d*'P`fbbu.LOSG#C`hbzh~d~-?;.5;8gjsi|lxT=45lotlwawY5n2ixSo|nm^abwfgcm880o~Qmr`o\gdudimoT;?>;bq\fwgjWzcf|akPs73;?fuXj{kfS~gbpmg\w3Yuidh:4l5ls^aeciss4:ap[bgilVyy`R}9_rgw[4733jyTkl`k_rpo[v0X{l~T>0:koeZruanidbiQcuu`8migX|{clkh|=;om26>vugnxja`omld]gaig~981so;}cx67.bba30='8qMN1403?EF?=81J7<51zQ:2?14<3;ij7?<33c30?4al=3pb:==:09m365=>2.1=oh51211e52=:on?n6j84383>4<6sZ3=6:=;:0`e>454:h:?6?hk4`9uP4b0290:6<4=edyP=3<0;=0:nk4>320b41<5nm>j7)9>c;3be>"6k90<;o5m75094?222;=?6?kj{I52e>"58;0<8?5U55814?=9j0v(:=?:666?!3?2>>87)7::667?!7c<3:0(3:1(07d?i3;29 4e528l27c?l1;78?l7a:3:1(e783>!7d:3;m56`>c0825>=n9l?1<7*>c382b<=i9j;1=?54i0g7>5<#9j81=k74n0a2>45<3`;n?7>5$0a1>4`>3g;h=7?;;:k2a7<72-;h>7?i9:l2g4<6=21b>=?50;&2g7<6n01e=n?51798m767290/=n<51g;8j4e628=07d?if;29 4e528l27c?l1;3;?>o6nl0;6)?l2;3e=>h6k80:565f1gf94?"6k;0:j45a1b395d=d:9j5`e=83.:o?4>f89m5f7=9l10e:18'5f4=9o30b:0d8?.>c290/=n<58b9m5f7=821 4o4?:%3`6?>d3g;h=7?4;*:b>5<#9j814n5a1b396>=,000;6)?l2;:`?k7d93907&67:18'5f4=0j1e=n?54:9(<2<72-;h>76l;o3`5?3<3"2>6=4+1b09!7d:32h7c?l1;58?.>4290/=n<58b9m5f7=021 4?4?:%3`6?>d3g;h=774;*:2>5<#9j814n5a1b39e>=,090;6)?l2;:`?k7d93h07&9i:18'5f4=0j1e=n?5c:9(3`<72-;h>76l;o3`5?b<3"=o6=4+1b09!7d:32h7c?l1;d8?.1f290/=n<58b9m5f7=9910':750;&2g71:9(3=<72-;h>76l;o3`5?7532!<;7>5$0a1>=e5<#9j814n5a1b3951=<#>?1<7*>c38;g>h6k80:965$7583>!7d:32h7c?l1;35?>-0;3:1(d3g;h=7?n;:):7?6=,8i965m4n0a2>4d<3"396=4+1b09c082`>=,190;6)?l2;:`?k7d93;n76%7f;29 4e521i0b:0d8?.>1290/=n<58b9m5f7=:810':l50;&2g776l;o3`5?4432c>m7>5;*:f>5<#9j814n5a1b3965=8m6=4>:183!47:3=:n6F82e9K34g4?:683>5}#:981=o>4H60g?M16i2.:ni48789'537=?2c:9>4?::k211<722c:984?::k213<722c:9:4?::k21=<722e:nn4?::a6=b=8391<7>t$321>4gd3A=9h6F81`9'5gb=?>30(<8>:016?!7d;3=8m6g>5283>>o6==0;66a>bb83>>{e=k:1<7750;2x 76528ni7E9=d:J45d=#9kn1;:74$042>7=n:10;66g=9;29?l4f2900e?l50;9j12<722c>m7>5;h;4>5<5<59;294~"58;0:ho5G73f8L27f3-;ih7989:&224<53`836=44i3;94?=n:h0;66g=b;29?l302900e8o50;9j=2<722e:o;4?::m2g2<722wi9lk50;094?6|,;:96N09h1/=oj576;8 40628;0e<;<:188k4dd2900qo==5;290?6=8r.9ae9K37b<@>;j7)?md;54=>"6>80>:6*>c2847d=n9<91<75f14694?=n91<75f14794?=n9<<1<75f14594?=n9<21<75`1ca94?=zj;3i6=48:183!47:3;i<6F82e9K34g<,8<:6h5f14194?=n9<>1<75f14794?=n9<<1<75f14594?=n9<21<75`1ca94?=zj;3h6=48:183!47:3;i<6F82e9K34g<,8<:6h5f14194?=n9<>1<75f14794?=n9<<1<75f14594?=n9<21<75`1ca94?=zj;3n6=48:183!47:3;i<6F82e9K34g<,8<:6h5f14194?=n9<>1<75f14794?=n9<<1<75f14594?=n9<21<75`1ca94?=zj;k86=48:183!47:3;i<6F82e9K34g<,8<:6<:<;h367?6=3`;>87>5;h361?6=3`;>:7>5;h363?6=3`;>47>5;n3ag?6=3th95i4?:683>5}#:981=o>4H60g?M16i2.::<4j;h367?6=3`;>87>5;h361?6=3`;>:7>5;h363?6=3`;>47>5;n3ag?6=3th95k4?:683>5}#:981=o>4H60g?M16i2.::<4j;h367?6=3`;>87>5;h361?6=3`;>:7>5;h363?6=3`;>47>5;n3ag?6=3th9m=4?:683>5}#:981=o>4H60g?M16i2.::<4j;h367?6=3`;>87>5;h361?6=3`;>:7>5;h363?6=3`;>47>5;n3ag?6=3th9m<4?:683>5}#:981=o>4H60g?M16i2.::<4j;h367?6=3`;>87>5;h361?6=3`;>:7>5;h363?6=3`;>47>5;n3ag?6=3th9m94?:683>5}#:981=o>4H60g?M16i2.::<4>429j505=831b=8:50;9j503=831b=8850;9j501=831b=8650;9l5ge=831vn?o=:187>5<7s-8;>7?m2:J46a=O?8k0e<;<:188m4332900e489K37b<@>;j7)?md;54=>"6>80:7d;::188m01=831b5:4?::k2g<<722e:o;4?::a6<4=83?1<7>t$321>42>3A=9h6F81`9'5gb=?>30(<8>:09j10<722c>;7>5;h;4>5<5<55;294~"58;0:845G73f8L27f3-;ih7989:&224<63`?>6=44i4594?=n1>0;66g>c883>>i6k?0;66sm28294?3=83:p(?>=:06:?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o2?3:17d78:188m4e>2900c50z&147<6<01C;?j4H63b?!7el3=<56*>6082?l322900e8950;9j=2<722c:o44?::m2g3<722wi>5k50;794?6|,;:96<:6;I51`>N09h1/=oj576;8 406281b984?::k63?6=3`3<6=44i0a:>5<;h76>5<5<3290;w)N0:m1C;21>3-;==7?4i4794?=n1>0;66g>c883>>i6k?0;66sm5c194?2=83:p(?>=:06;?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o>?3:17d?l9;29?j7d>3:17pl:b983>1<729q/>=<515:8L24c3A=:m6*>be843<=#9?;1=6g:5;29?l?02900e499K37b<@>;j7)?md;54=>"6>80:7d;::188m<1=831b=n750;9l5f0=831vn8ll:187>5<7s-8;>7?;a:J46a=O?8k0(5<7s-8;>7?;8:J46a=O?8k0(>=50;594?6|,;:96N09h1/=oj576;8 4062;1b>54?::k1=?6=3`8j6=44i3`94?=n=h0;66g67;29?j7d>3:17pl=3083>2<729q/>=<51e;8L24c3A=:m6*>be843<=#9?;1>6g=8;29?l4>2900e?o50;9j6g<722c>m7>5;h;4>5<5<>o5j3:17d;n:188m<1=831d=n850;9~f74e290<6=4?{%036?7c12B<>i5G70c8 4dc2>=27)?91;08m7>=831b>44?::k1e?6=3`8i6=44i4c94?=n1>0;66a>c783>>{e:;31<7950;2x 76528n27E9=d:J45d=#9kn1;:74$042>7=n:10;66g=9;29?l4f2900e?l50;9j1d<722c2;7>5;n3`2?6=3th9>:4?:683>5}#:981=i74H60g?M16i2.:ni48789'537=:2c947>5;h0:>5<>o2i3:17d78:188k4e12900qo<=5;293?6=8r.9d89K37b<@>;j7)?md;54=>"6>8097d<7:188m7?=831b>l4?::k1f?6=3`?j6=44i8594?=h9j<1<75rb300>5<0290;w)N0:m1C;21>3-;==7<4i3:94?=n:00;66g=a;29?l4e2900e8o50;9j=2<722e:o;4?::a677=83=1<7>t$321>4b>3A=9h6F81`9'5gb=?>30(<8>:39j6=<722c957>5;h0b>5<>o>?3:17b?l6;29?xd59o0;6:4?:1y'654=9m30D:"6jm0<;45+17396>o503:17d<6:188m7g=831b>o4?::k6e?6=3`3<6=44o0a5>5<57;294~"58;0:h45G73f8L27f3-;ih7989:&224<53`836=44i3;94?=n:h0;66g=b;29?l3f2900e4950;9l5f0=831vn??m:184>5<7s-8;>7?k9:J46a=O?8k0(5;h0a>5<>i6k?0;66sm20594?1=83:p(?>=:0f:?M15l2B<=l5+1cf932?<,8<:6?5f2983>>o513:17d5;|`150<72>0;6=u+21095a?<@>8o7E9>a:&2fa<0?01/=;?52:k150z&147<6l01C;?j4H63b?!7el3=<56*>6081?l4?2900e?750;9j6d<722c9n7>5;h7b>5<>o5i3:17d=h50;594?6|,;:96N09h1/=oj576;8 4062;1b>54?::k1=?6=3`8j6=44i3`94?=n=h0;66g67;29?j7d>3:17pl=0e83>2<729q/>=<51e;8L24c3A=:m6*>be843<=#9?;1>6g=8;29?l4>2900e?o50;9j6g<722c>m7>5;h;4>5<5<>o5j3:17d;n:188m<1=831d=n850;9~f76>290<6=4?{%036?7c12B<>i5G70c8 4dc2>=27)?91;08m7>=831b>44?::k1e?6=3`8i6=44i4c94?=n1>0;66a>c783>>{e:9=1<7950;2x 76528n27E9=d:J45d=#9kn1;:74$042>7=n:10;66g=9;29?l4f2900e?l50;9j1d<722c2;7>5;n3`2?6=3th9<84?:683>5}#:981=i74H60g?M16i2.:ni48789'537=:2c947>5;h0:>5<>o2i3:17d78:188k4e12900qo<;1;293?6=8r.9d89K37b<@>;j7)?md;54=>"6>8097d<7:188m7?=831b>l4?::k1f?6=3`?j6=44i8594?=h9j<1<75rb31e>5<0290;w)N0:m1C;21>3-;==7<4i3:94?=n:00;66g=a;29?l4e2900e8o50;9j=2<722e:o;4?::a66b=83=1<7>t$321>4b>3A=9h6F81`9'5gb=?>30(<8>:39j6=<722c957>5;h0b>5<>o>?3:17b?l6;29?xd5;k0;6:4?:1y'654=9m30D:"6jm0<;45+17396>o503:17d<6:188m7g=831b>o4?::k6e?6=3`3<6=44o0a5>5<57;294~"58;0:h45G73f8L27f3-;ih7989:&224<53`836=44i3;94?=n:h0;66g=b;29?l3f2900e4950;9l5f0=831vn?=8:184>5<7s-8;>7?k9:J46a=O?8k0(5;h0a>5<>i6k?0;66sm22794?1=83:p(?>=:0f:?M15l2B<=l5+1cf932?<,8<:6?5f2983>>o513:17d5;|`16c<72>0;6=u+21095a?<@>8o7E9>a:&2fa<0?01/=;?52:k150z&147<6l01C;?j4H63b?!7el3=<56*>6081?l4?2900e?750;9j6d<722c9n7>5;h7b>5<>o5i3:17d>:50;194?6|,;:96<:=;I51`>N09h1/=oj576;8 406281b984?::k:3?6=3f;h:7>5;|`177<72:0;6=u+2109514<@>8o7E9>a:&2fa<0?01/=;?51:k61?6=3`3<6=44o0a5>5<53;294~"58;0:8?5G73f8L27f3-;ih7989:&224<63`?>6=44i8594?=h9j<1<75rb30`>5<4290;w)N0:m1C;21>3-;==7?4i4794?=n1>0;66a>c783>>{e:;k1<7=50;2x 76528>97E9=d:J45d=#9kn1;:74$042>4=n=<0;66g67;29?j7d>3:17pl=2983>6<729q/>=<51508L24c3A=:m6*>be843<=#9?;1=6g:5;29?l?02900c3:1?7>50z&147<6<;1C;?j4H63b?!7el3=<56*>6082?l322900e4950;9l5f0=831vn?<;:180>5<7s-8;>7?;2:J46a=O?8k0(t$321>4253A=9h6F81`9'5gb=?>30(<8>:09j10<722c2;7>5;n3`2?6=3th9>=4?:283>5}#:981=9<4H60g?M16i2.:ni48789'537=92c>97>5;h;4>5<;h76>5<6F82e9K34g<,8ho6:96;%355?7>i6k?0;66sm20:94?5=83:p(?>=:061?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o>?3:17b?l6;29?xd59?0;6>4?:1y'654=9=80D:"6jm0<;45+17395>o2=3:17d78:188k4e12900qo<>4;297?6=8r.9439K37b<@>;j7)?md;54=>"6>80:7d;::188m<1=831d=n850;9~f77529086=4?{%036?73:2B<>i5G70c8 4dc2>=27)?91;38m03=831b5:4?::m2g3<722wi><>50;194?6|,;:96<:=;I51`>N09h1/=oj576;8 406281b984?::k:3?6=3f;h:7>5;|`14`<72:0;6=u+2109514<@>8o7E9>a:&2fa<0?01/=;?51:k61?6=3`3<6=44o0a5>5<53;294~"58;0:8?5G73f8L27f3-;ih7989:&224<63`?>6=44i8594?=h9j<1<75rb32b>5<4290;w)N0:m1C;21>3-;==7?4i4794?=n1>0;66a>c783>>{e:921<7=50;2x 76528>97E9=d:J45d=#9kn1;:74$042>4=n=<0;66g67;29?j7d>3:17pl=0783>6<729q/>=<51508L24c3A=:m6*>be843<=#9?;1=6g:5;29?l?02900c50z&147<6<;1C;?j4H63b?!7el3=<56*>6082?l322900e4950;9l5f0=831vn?:?:180>5<7s-8;>7?;2:J46a=O?8k0(t$321>4253A=9h6F81`9'5gb=?>30(<8>:09j10<722c2;7>5;n3`2?6=3th9?n4?:283>5}#:981=9<4H60g?M16i2.:ni48789'537=92c>97>5;h;4>5<;h76>5<6F82e9K34g<,8ho6:96;%355?7>i6k?0;66sm22494?5=83:p(?>=:061?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o>?3:17b?l6;29?xd5;90;6>4?:1y'654=9=80D:"6jm0<;45+17395>o2=3:17d78:188k4e12900qo<>a;297?6=8r.9439K37b<@>;j7)?md;54=>"6>80:7d;::188m<1=831d=n850;9~f76329086=4?{%036?73:2B<>i5G70c8 4dc2>=27)?91;38m03=831b5:4?::m2g3<722wi9kk50;694?6|,;:96;I51`>N09h1/=oj576;8m0?=831b5l4?::k2`7<722e:m54?::a1c`=83>1<7>t$321>4d63A=9h6F81`9'5gb=?>30e8750;9j=d<722c:h?4?::m2e=<722wi:=>50;694?6|,;:96;I51`>N09h1/=oj576;8m0?=831b5l4?::k2`7<722e:m54?::a257=83>1<7>t$321>4d63A=9h6F81`9'5gb=?>30e8750;9j=d<722c:h?4?::m2e=<722wi:=<50;c94?6|,;:96N09h1Q994>{349y!7el3=<56*>6080?l3f2900e4950;9j12<722e:o;4?::m2g=<722c8=7>5$0a1>66c082?>o5m3:1(>4n0a2>1=5a;294~"58;0:hn5G73f8L27f3S??630(<8>:29j1d<722c2;7>5;h74>5<5<c3804>h6k80;76g=f;29 4e52::0b:098m7c=83.:o?4<0:l2g4<532c9h7>5$0a1>6654i3a94?"6k;08<6`>c087?>{e>9>1<7o50;2x 76528nh7E9=d:J45d=]==0:w?85}%3a`?1012.::<4<;h7b>5<>i6k?0;66a>c983>>o493:1(;:k1a?6=,8i96>>4n0a2>7=c3804>h6k80876g=c;29 4e52::0b:598yg07=3:157>50z&147<6lk1C;?j4H63b?!7el3=<56*>6081?l4?2900e?750;9j6d<722c9n7>5;h74>5<>i6k?0;66a>c683>>{e>881<7:50;2x 76528h:7E9=d:J45d=#9kn1;:74i4;94?=n1h0;66g>d383>>i6i10;66sm60194?2=83:p(?>=:0`2?M15l2B<=l5+1cf932?>o6l;0;66a>a983>>{e>8;1<7:50;2x 76528h:7E9=d:J45d=#9kn1;:74i4;94?=n1h0;66g>d383>>i6i10;66sm60694?g=83:p(?>=:0f`?M15l2B<=l5U558270=u-;ih7989:&224<43`?j6=44i8594?=n=>0;66a>c783>>i6k10;66g<1;29 4e52::0b:198m7`=83.:o?4<0:l2g4<632c9i7>5$0a1>66c080?>o5k3:1(5;29e?6=8r.9db9K37b<@>;j7W;;:0y12?{#9kn1;:74$042>6=n=h0;66g67;29?l302900c?50;&2g7<482d:o<4?;:k1b?6=,8i96>>4n0a2>4=c3804>h6k80976g=d;29 4e52::0b:298m7e=83.:o?4<0:l2g4<332wi:<850;c94?6|,;:96N09h1Q994>{349y!7el3=<56*>6080?l3f2900e4950;9j12<722e:o;4?::m2g=<722c8=7>5$0a1>66c082?>o5m3:1(>4n0a2>1=59;294~"58;0:ho5G73f8L27f3-;ih7989:&224<53`836=44i3;94?=n:h0;66g=b;29?l302900e8o50;9j=2<722e:o;4?::m2g2<722wi:<>50;694?6|,;:96;I51`>N09h1/=oj576;8m0?=831b5l4?::k2`7<722e:m54?::a272=83>1<7>t$321>4d63A=9h6F81`9'5gb=?>30e8750;9j=d<722c:h?4?::m2e=<722wi:?;50;694?6|,;:96;I51`>N09h1/=oj576;8m0?=831b5l4?::k2`7<722e:m54?::a275=83>1<7>t$321>4d63A=9h6F81`9'5gb=?>30e8750;9j=d<722c:h?4?::m2e=<722wi:?850;c94?6|,;:96N09h1Q994>{349y!7el3=<56*>6080?l3f2900e4950;9j12<722e:o;4?::m2g=<722c8=7>5$0a1>66c082?>o5m3:1(>4n0a2>1=5a;294~"58;0:hn5G73f8L27f3S??630(<8>:29j1d<722c2;7>5;h74>5<5<c3804>h6k80;76g=f;29 4e52::0b:098m7c=83.:o?4<0:l2g4<532c9h7>5$0a1>6654i3a94?"6k;08<6`>c087?>{e>;21<7o50;2x 76528nh7E9=d:J45d=]==0:w?85}%3a`?1012.::<4<;h7b>5<>i6k?0;66a>c983>>o493:1(;:k1a?6=,8i96>>4n0a2>7=c3804>h6k80876g=c;29 4e52::0b:598yg0513:157>50z&147<6lk1C;?j4H63b?!7el3=<56*>6081?l4?2900e?750;9j6d<722c9n7>5;h74>5<>i6k?0;66a>c683>>{e>;81<7:50;2x 76528h:7E9=d:J45d=#9kn1;:74i4;94?=n1h0;66g>d383>>i6i10;66sm62794?2=83:p(?>=:0`2?M15l2B<=l5+1cf932?>o6l;0;66a>a983>>{e>:21<7:50;2x 76528h:7E9=d:J45d=#9kn1;:74i4;94?=n1h0;66g>d383>>i6i10;66sm62;94?2=83:p(?>=:0`2?M15l2B<=l5+1cf932?>o6l;0;66a>a983>>{e>:=1<7:50;2x 76528h:7E9=d:J45d=#9kn1;:74i4;94?=n1h0;66g>d383>>i6i10;66sm62c94?g=83:p(?>=:0f`?M15l2B<=l5U558270=u-;ih7989:&224<43`?j6=44i8594?=n=>0;66a>c783>>i6k10;66g<1;29 4e52::0b:198m7`=83.:o?4<0:l2g4<632c9i7>5$0a1>66c080?>o5k3:1(db9K37b<@>;j7W;;:0y12?{#9kn1;:74$042>6=n=h0;66g67;29?l302900c?50;&2g7<482d:o<4?;:k1b?6=,8i96>>4n0a2>4=c3804>h6k80976g=d;29 4e52::0b:298m7e=83.:o?4<0:l2g4<332wi:>m50;c94?6|,;:96N09h1Q994>{349y!7el3=<56*>6080?l3f2900e4950;9j12<722e:o;4?::m2g=<722c8=7>5$0a1>66c082?>o5m3:1(>4n0a2>1=59;294~"58;0:ho5G73f8L27f3-;ih7989:&224<53`836=44i3;94?=n:h0;66g=b;29?l302900e8o50;9j=2<722e:o;4?::m2g2<722wi:>850;694?6|,;:96;I51`>N09h1/=oj576;8m0?=831b5l4?::k2`7<722e:m54?::a262=83>1<7>t$321>42f3A=9h6F81`9'5gb=?>30(<8>:038m03=831b5:4?::k2`7<722e:o;4?::a14c=8391<7>t$321>4gd3A=9h6F81`9'5gb=?>30(<8>:078 4e42>937d?:3;29?l72<3:17b?mc;29?xd3mo0;684?:1y'654=9ho0D:"6jm0<;45+1739g>o6=:0;66g>5583>>o6=<0;66g>5783>>i6jj0;66sm4g394?3=83:p(?>=:0cf?M15l2B<=l5+1cf932?<,8<:6<=8;h367?6=3`;>87>5;h361?6=3`;>:7>5;n3ag?6=3th?j?4?:483>5}#:981=lk4H60g?M16i2.:ni48789'537=9l1b=8=50;9j502=831b=8;50;9j500=831d=om50;9~f1`4290>6=4?{%036?7fm2B<>i5G70c8 4dc2>=27)?91;374>o6=:0;66g>5583>>o6=<0;66g>5783>>i6jj0;66sm4g694?3=83:p(?>=:0cf?M15l2B<=l5+1cf932?<,8<:6<=k;h367?6=3`;>87>5;h361?6=3`;>:7>5;n3ag?6=3th?j84?:483>5}#:981=lk4H60g?M16i2.:ni48789'537=j2c:9>4?::k211<722c:984?::k213<722e:nn4?::a0c0=83?1<7>t$321>4gb3A=9h6F81`9'5gb=?>30(<8>:39j505=831b=8:50;9j503=831b=8850;9l5ge=831vn9h8:186>5<7s-8;>7?ne:J46a=O?8k0(50z&147<6il1C;?j4H63b?!7el3=<56*>60820f=n9<91<75f14694?=n95<2290;w)N0:m1C;21>3-;==7?=;h367?6=3`;>87>5;h361?6=3`;>:7>5;n3ag?6=3th?j=4?:483>5}#:981=lk4H60g?M16i2.:ni48789'537=l2c:9>4?::k211<722c:984?::k213<722e:nn4?::a11e=8381<7>t$321>4g23A=9h6F81`9'5gb=?>30e<;=:188k4dd2900qo;=d;297?6=8r.9ab9K37b<@>;j7)?md;54=>"6>80:96g>5283>>o6==0;66a>bb83>>{e=8n1<7=50;2x 76528kh7E9=d:J45d=#9kn1;:74$042>4b<,8i86:=9;h367?6=3`;>87>5;n3ag?6=3th>8o4?:283>5}#:981=lm4H60g?M16i2.:ni48789'537=9:?0(0<729q/>=<51`g8L24c3A=:m6*>be843<=#9?;1==5+1b193605<6=44i075>5<4?::k211<722c:984?::k213<722c:9:4?::k21=<722e:nn4?::a14e=83=1<7>t$321>4d73A=9h6F81`9'537=9:l0e<;<:188m4332900e<;::188m4312900e<;8:188m43?2900c50z&147<6j;1C;?j4H63b?l72;3:17d?:4;29?l7c:3:17b?mc;29?xd2"6jm0<;45+17395>o2=3:17d;8:188m<1=831d=n850;9~f020290?6=4?{%036?73>2B<>i5G70c8 4dc2>=27)?91;38m03=831b9:4?::k:3?6=3f;h:7>5;|`600<72=0;6=u+210951><@>8o7E9>a:&2fa<0?01/=;?51:k61?6=3`3<6=44i0a:>5<;h76>5<>o>?3:17b?l6;29?xd29o0;694?:1y'654=9=20D:"6jm0<;45+17395>o2=3:17d78:188m4e>2900c50z&147<6<<1C;?j4H63b?!7el3=<56*>6082?l322900e8950;9j2`<722c2;7>5;n3`2?6=3th>>?4?:583>5}#:981=984H60g?M16i2.:ni48789'537=92c>97>5;h74>5<>o>?3:17b?l6;29?xd2900;694?:1y'654=9h<0D:"6jm0<;45+17395>o1m3:17d78:188m4372900c50z&147<6<01C;?j4H63b?!7el3=<56*>6082?l322900e8950;9j=2<722c:o44?::m2g3<722wi99k50;794?6|,;:96<:6;I51`>N09h1/=oj576;8 406281b984?::k63?6=3`3<6=44i0a:>5<;h76>5<>o6k00;66a>c783>>{e27E9=d:J45d=#9kn1;:74$042>4=n=<0;66g:7;29?l?02900e489K37b<@>;j7)?md;54=>"6>80:7d;::188m01=831b5:4?::k2g<<722e:o;4?::a0cb=83?1<7>t$321>42>3A=9h6F81`9'5gb=?>30(<8>:09j10<722c>;7>5;h;4>5<5<mi7>55;294~"58;0:845G73f8L27f3-;ih7989:&224<63`?>6=44i4594?=n1>0;66g>c883>>i6k?0;66sm4gd94?3=83:p(?>=:06:?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o2?3:17d78:188m4e>2900c50z&147<6<01C;?j4H63b?!7el3=<56*>6082?l322900e8950;9j=2<722c:o44?::m2g3<722wi9=?50;794?6|,;:96<:6;I51`>N09h1/=oj576;8 406281b984?::k63?6=3`3<6=44i0a:>5<;h76>5<>o6k00;66a>c783>>{e=991<7;50;2x 76528>27E9=d:J45d=#9kn1;:74$042>4=n=<0;66g:7;29?l?02900e6;290?6=8r.9479K37b<@>;j7)?md;54=>"6>80:7d;::188m01=831b5:4?::m2g3<722wi9?m50;694?6|,;:96<:9;I51`>N09h1/=oj576;8 406281b984?::k63?6=3`3<6=44o0a5>5<54;294~"58;0:8;5G73f8L27f3-;ih7989:&224<63`?>6=44i4594?=n1>0;66a>c783>>{e=??1<7=50;2x 7652>8h7E9=d:J45d=#9kn1;:74i4;94?=n9h=1<75`1ca94?=zj<<96=4;:183!47:3;i=6F82e9K34g<,8ho6:96;h7:>5<5<4290;w)N0:m1C;21>3`?26=44i0c4>5<57>5;h;b>5<5<53;294~"58;0<>n5G73f8L27f3-;ih7989:k6=?6=3`;j;7>5;n3ag?6=3th>:l4?:583>5}#:981=o?4H60g?M16i2.:ni48789j1<<722c2m7>5;h3g6?6=3f;j47>5;|`634<72:0;6=u+210937e<@>8o7E9>a:&2fa<0?01b944?::k2e2<722e:nn4?::a13c=83>1<7>t$321>4d63A=9h6F81`9'5gb=?>30e8750;9j=d<722c:h?4?::m2e=<722wi9:;50;194?6|,;:96:N09h1/=oj576;8m0?=831b=l950;9l5ge=831vn89=:187>5<7s-8;>7?m1:J46a=O?8k0(2900e4o50;9j5a4=831d=l650;9~f01>29086=4?{%036?15k2B<>i5G70c8 4dc2>=27d;6:188m4g02900c3:187>50z&147<6j81C;?j4H63b?!7el3=<56g:9;29?l?f2900e;j7)?md;54=>o213:17d?n7;29?j7ek3:17pl:7`83>1<729q/>=<51c38L24c3A=:m6*>be843<=n=00;66g6a;29?l7c:3:17b?n8;29?xd2080;6>4?:1y'654=?;i0D:"6jm0<;45f5883>>o6i>0;66a>bb83>>{e=>o1<7:50;2x 76528h:7E9=d:J45d=#9kn1;:74i4;94?=n1h0;66g>d383>>i6i10;66sm59794?5=83:p(?>=:60`?M15l2B<=l5+1cf932?5<3290;w)N0:m1C;21>3`?26=44i8c94?=n9m81<75`1`:94?=zj<236=4<:183!47:3=9o6F82e9K34g<,8ho6:96;h7:>5<5<52;294~"58;0:mo5G73f8L27f3-;ih7989:&224<6=2c:9>4?::m2ff<722wi9;650;094?6|,;:96N09h1/=oj576;8 40628?0e<;<:188k4dd2900qo;9c;296?6=8r.9ac9K37b<@>;j7)?md;54=>"6>80:96g>5283>>i6jj0;66sm56294?4=83:p(?>=:0ca?M15l2B<=l5+1cf932?<,8<:6<;4i070>5<5:k216<722e:nn4?::a12>=8381<7>t$321>4ge3A=9h6F81`9'5gb=?>30(<8>:078m4342900c7>50z&147<6ik1C;?j4H63b?!7el3=<56*>60821>o6=:0;66a>bb83>>{e=1:1<7<50;2x 76528ki7E9=d:J45d=#9kn1;:74$042>435<52;294~"58;0:mo5G73f8L27f3-;ih7989:&224<6=2c:9>4?::m2ff<722wi95950;094?6|,;:96N09h1/=oj576;8 40628?0e<;<:188k4dd2900qo;93;291?6=8r.9489K37b<@>;j7)?md;54=>"6>80:7d;::188m01=831b5:4?::k2g<<722e:o;4?::a131=83?1<7>t$321>42>3A=9h6F81`9'5gb=?>30(<8>:09j10<722c>;7>5;h;4>5<5<55;294~"58;0:845G73f8L27f3-;ih7989:&224<63`?>6=44i4594?=n1>0;66g>c883>>i6k?0;66sm57d94?3=83:p(?>=:06:?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o2?3:17d78:188m4e>2900c50z&147<6<01C;?j4H63b?!7el3=<56*>6082?l322900e8950;9j=2<722c:o44?::m2g3<722wi9:950;794?6|,;:96<:6;I51`>N09h1/=oj576;8 406281b984?::k63?6=3`3<6=44i0a:>5<;h76>5<>o6k00;66a>c783>>{e=>l1<7;50;2x 76528>27E9=d:J45d=#9kn1;:74$042>4=n=<0;66g:7;29?l?02900e489K37b<@>;j7)?md;54=>"6>80:7d;::188m01=831b5:4?::k2g<<722e:o;4?::a1=0=83?1<7>t$321>42>3A=9h6F81`9'5gb=?>30(<8>:09j10<722c>;7>5;h;4>5<5<53;294~"58;0<>n5G73f8L27f3-;ih7989:k6=?6=3`;j;7>5;n3ag?6=3th9n54?:583>5}#:981=o?4H60g?M16i2.:ni48789j1<<722c2m7>5;h3g6?6=3f;j47>5;|`1fc<72:0;6=u+210937e<@>8o7E9>a:&2fa<0?01b944?::k2e2<722e:nn4?::a6ge=83>1<7>t$321>4d63A=9h6F81`9'5gb=?>30e8750;9j=d<722c:h?4?::m2e=<722wi>n=50;194?6|,;:96:N09h1/=oj576;8m0?=831b=l950;9l5ge=831vn?m?:187>5<7s-8;>7?m1:J46a=O?8k0(2900e4o50;9j5a4=831d=l650;9~f7e029086=4?{%036?15k2B<>i5G70c8 4dc2>=27d;6:188m4g02900c50z&147<6j81C;?j4H63b?!7el3=<56g:9;29?l?f2900e;j7)?md;54=>o213:17d?n7;29?j7ek3:17pl=c983>1<729q/>=<51c38L24c3A=:m6*>be843<=n=00;66g6a;29?l7c:3:17b?n8;29?xd5ko0;6>4?:1y'654=?;i0D:"6jm0<;45f5883>>o6i>0;66a>bb83>>{e:ji1<7:50;2x 76528h:7E9=d:J45d=#9kn1;:74i4;94?=n1h0;66g>d383>>i6i10;66sm2e194?5=83:p(?>=:60`?M15l2B<=l5+1cf932?5<3290;w)N0:m1C;21>3`?26=44i8c94?=n9m81<75`1`:94?=zj;n<6=4<:183!47:3=9o6F82e9K34g<,8ho6:96;h7:>5<5<54;294~"58;0:n<5G73f8L27f3-;ih7989:k6=?6=3`3j6=44i0f1>5<57>5;h3b3?6=3f;io7>5;|`1`=<72=0;6=u+21095g7<@>8o7E9>a:&2fa<0?01b944?::k:e?6=3`;o>7>5;n3b5}#:981;?m4H60g?M16i2.:ni48789j1<<722c:m:4?::m2ff<722wi>oo50;094?6|,;:96N09h1/=oj576;8 40628?0e<;<:188k4dd2900qoac9K37b<@>;j7)?md;54=>"6>80:96g>5283>>i6jj0;66sm2b094?4=83:p(?>=:0ca?M15l2B<=l5+1cf932?<,8<:6<;4i070>5<5:k216<722e:nn4?::a6fg=8381<7>t$321>4ge3A=9h6F81`9'5gb=?>30(<8>:078m4342900c7>50z&147<6ik1C;?j4H63b?!7el3=<56*>60821>o6=:0;66a>bb83>>{e:m81<7<50;2x 76528ki7E9=d:J45d=#9kn1;:74$042>435<52;294~"58;0:mo5G73f8L27f3-;ih7989:&224<6=2c:9>4?::m2ff<722wi>io50;094?6|,;:96N09h1/=oj576;8 40628?0e<;<:188k4dd2900qoac9K37b<@>;j7)?md;54=>"6>80:96g>5283>>i6jj0;66sm2c;94?3=83:p(?>=:06:?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o2?3:17d78:188m4e>2900c50z&147<6<01C;?j4H63b?!7el3=<56*>6082?l322900e8950;9j=2<722c:o44?::m2g3<722wi>n?50;794?6|,;:96<:6;I51`>N09h1/=oj576;8 406281b984?::k63?6=3`3<6=44i0a:>5<;h76>5<>o6k00;66a>c783>>{e:j31<7;50;2x 76528>27E9=d:J45d=#9kn1;:74$042>4=n=<0;66g:7;29?l?02900e489K37b<@>;j7)?md;54=>"6>80:7d;::188m01=831b5:4?::k2g<<722e:o;4?::a6a7=83?1<7>t$321>42>3A=9h6F81`9'5gb=?>30(<8>:09j10<722c>;7>5;h;4>5<5<55;294~"58;0:845G73f8L27f3-;ih7989:&224<63`?>6=44i4594?=n1>0;66g>c883>>i6k?0;66sm2e;94?3=83:p(?>=:06:?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o2?3:17d78:188m4e>2900c50z&147<6<01C;?j4H63b?!7el3=<56*>6082?l322900e8950;9j=2<722c:o44?::m2g3<722wi8ik50;194?6|,;:96N09h1/=oj576;8 40628n0e<;<:188m4332900c50z&147<6im1C;?j4H63b?!7el3=<56*>60827==n9<91<75f14694?=n948389j505=831b=8:50;9j503=831b=8850;9l5ge=831vn9l;:187>5<7s-8;>7?;a:J46a=O?8k0(5<7s-8;>7?;a:J46a=O?8k0(5<7s-8;>7?;a:J46a=O?8k0(:187>5<7s-8;>7?;a:J46a=O?8k0(5<7s-8;>7?;a:J46a=O?8k0(5<7s-8;>7?;a:J46a=O?8k0(5<7s-8;>7?;a:J46a=O?8k0(5<7s-8;>7?;a:J46a=O?8k0(5<7s-8;>7?nc:J46a=O?8k0(7d?:3;29?l72<3:17b?mc;29?xd3l80;694?:1y'654=9=<0D:"6jm0<;45+17395>o2=3:17d;8:188m<1=831d=n850;9~f1b7290?6=4?{%036?73>2B<>i5G70c8 4dc2>=27)?91;38m03=831b9:4?::k:3?6=3f;h:7>5;|`7`7<72<0;6=u+2109513<@>8o7E9>a:&2fa<0?01/=;?51:k61?6=3`?<6=44i7g94?=n1>0;66a>c783>>{e37E9=d:J45d=#9kn1;:74$042>4=n=<0;66g67;29?l7d13:17b?l6;29?xd3l:0;684?:1y'654=9=?0D:"6jm0<;45+17395>o2=3:17d;8:188m3c=831b5:4?::m2g3<722wi8o750;194?6|,;:96N09h1/=oj576;8 40628?0e<;<:188m4332900c50z&147<66082?l322900e8950;9j=2<722e:o;4?::a0g`=83>1<7>t$321>4213A=9h6F81`9'5gb=?>30(<8>:09j10<722c>;7>5;h;4>5<;h76>5<>i6k?0;66sm4cf94?2=83:p(?>=:065?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o2?3:17d78:188k4e12900qo:l1;291?6=8r.9449K37b<@>;j7)?md;54=>"6>80:7d;::188m01=831b:h4?::k:3?6=3f;h:7>5;|`7fd<72=0;6=u+210951><@>8o7E9>a:&2fa<0?01/=;?51:k61?6=3`3<6=44i0a:>5<;h76>5<>o>?3:17b?l6;29?xd4=j0;6l4?:1y'654=9mi0D:\2<3;p>;4r$0`g>21>3-;==7=4i4c94?=n1>0;66g:7;29?j7d>3:17b?l8;29?l56290/=n<5319m5f7=821b>k4?:%3`6?573g;h=7?4;h0f>5<#9j81?=5a1b396>=n:m0;6)?l2;13?k7d93907di5G70c8^02=9r8=6p*>be843<=#9?;1?6g:a;29?l?02900e8950;9l5f0=831d=n650;9j74<72-;h>7=?;o3`5?6<3`8m6=4+1b0975=i9j;1=65f2d83>!7d:39;7c?l1;08?l4c290/=n<5319m5f7=;21b>n4?:%3`6?573g;h=7:4;|`021<72h0;6=u+21095ae<@>8o7E9>a:X60?7|:?0v(;7>5;n3`2?6=3f;h47>5;h12>5<#9j81?=5a1b394>=n:o0;6)?l2;13?k7d93;07d7=?;o3`5?5<3`8h6=4+1b0975=i9j;1865rb20`>5N0:m1C;m7>5;h;4>5<!7d:39;7c?l1;28?l4a290/=n<5319m5f7=921b>h4?:%3`6?573g;h=7<4;h0g>5<#9j81?=5a1b397>=n:j0;6)?l2;13?k7d93>07pl<2c83>d<729q/>=<51ea8L24c3A=:m6T:4;3x63>o2?3:17b?l6;29?j7d03:17d=>:18'5f4=;91e=n?50:9j6c<72-;h>7=?;o3`5?7<3`8n6=4+1b0975=i9j;1>65f2e83>!7d:39;7c?l1;18?l4d290/=n<5319m5f7=<21vn>5<7s-8;>7?m2:J46a=O?8k0(\2<3;p>;4r$0`g>21>3-;==7=4i4c94?=n1>0;66g:7;29?j7d>3:17b?l8;29?l56290/=n<5319m5f7=821b>k4?:%3`6?573g;h=7?4;h0f>5<#9j81?=5a1b396>=n:m0;6)?l2;13?k7d93907di5G70c8^02=9r8=6p*>be843<=#9?;1?6g:a;29?l?02900e8950;9l5f0=831d=n650;9j74<72-;h>7=?;o3`5?6<3`8m6=4+1b0975=i9j;1=65f2d83>!7d:39;7c?l1;08?l4c290/=n<5319m5f7=;21b>n4?:%3`6?573g;h=7:4;|`01a<72=0;6=u+21095g4<@>8o7E9>a:&2fa<0?01b=8=50;9j502=831b=i<50;9l5ge=831vn>=<:18b>5<7s-8;>7?kc:J46a=O?8k0V8:51z05>x"6jm0<;45+17397>o2i3:17d78:188m01=831d=n850;9l5f>=831b?<4?:%3`6?573g;h=7>4;h0e>5<#9j81?=5a1b395>=n:l0;6)?l2;13?k7d93807d7=?;o3`5?2<3th8??4?:`83>5}#:981=im4H60g?M16i2P>87?t278~ 4dc2>=27)?91;18m0g=831b5:4?::k63?6=3f;h:7>5;n3`!7d:39;7c?l1;38?l4b290/=n<5319m5f7=:21b>i4?:%3`6?573g;h=7=4;h0`>5<#9j81?=5a1b390>=zj:9:6=4;:183!47:3;i>6F82e9K34g<,8ho6:96;h367?6=3`;>87>5;h3g6?6=3f;io7>5;|`015<72h0;6=u+21095ae<@>8o7E9>a:X60?7|:?0v(;7>5;n3`2?6=3f;h47>5;h12>5<#9j81?=5a1b394>=n:o0;6)?l2;13?k7d93;07d7=?;o3`5?5<3`8h6=4+1b0975=i9j;1865rb26e>5N0:m1C;m7>5;h;4>5<!7d:39;7c?l1;28?l4a290/=n<5319m5f7=921b>h4?:%3`6?573g;h=7<4;h0g>5<#9j81?=5a1b397>=n:j0;6)?l2;13?k7d93>07pl<4d83>d<729q/>=<51ea8L24c3A=:m6T:4;3x63>o2?3:17b?l6;29?j7d03:17d=>:18'5f4=;91e=n?50:9j6c<72-;h>7=?;o3`5?7<3`8n6=4+1b0975=i9j;1>65f2e83>!7d:39;7c?l1;18?l4d290/=n<5319m5f7=<21vn>:k:18b>5<7s-8;>7?kc:J46a=O?8k0V8:51z05>x"6jm0<;45+17397>o2i3:17d78:188m01=831d=n850;9l5f>=831b?<4?:%3`6?573g;h=7>4;h0e>5<#9j81?=5a1b395>=n:l0;6)?l2;13?k7d93807d7=?;o3`5?2<3th88l4?:583>5}#:981=o<4H60g?M16i2.:ni48789j505=831b=8:50;9j5a4=831d=om50;9~f62e290?6=4?{%036?7e:2B<>i5G70c8 4dc2>=27d?:3;29?l72<3:17d?k2;29?j7ek3:17pl<4b83>1<729q/>=<51c18L24c3A=:m6*>be843<=n9<91<75f14694?=n9m81<75`1ca94?=zj:9n6=4n:183!47:3;oo6F82e9K34g1=v<9:|&2fa<0?01/=;?53:k6e?6=3`3<6=44i4594?=h9j<1<75`1b:94?=n;80;6)?l2;13?k7d93:07d7=?;o3`5?4<3`8o6=4+1b0975=i9j;1?65f2b83>!7d:39;7c?l1;68?xd4;m0;6l4?:1y'654=9mi0D:\2<3;p>;4r$0`g>21>3-;==7=4i4c94?=n1>0;66g:7;29?j7d>3:17b?l8;29?l56290/=n<5319m5f7=821b>k4?:%3`6?573g;h=7?4;h0f>5<#9j81?=5a1b396>=n:m0;6)?l2;13?k7d93907di5G70c8^02=9r8=6p*>be843<=#9?;1?6g:a;29?l?02900e8950;9l5f0=831d=n650;9j74<72-;h>7=?;o3`5?6<3`8m6=4+1b0975=i9j;1=65f2d83>!7d:39;7c?l1;08?l4c290/=n<5319m5f7=;21b>n4?:%3`6?573g;h=7:4;|`07g<72h0;6=u+21095ae<@>8o7E9>a:X60?7|:?0v(;7>5;n3`2?6=3f;h47>5;h12>5<#9j81?=5a1b394>=n:o0;6)?l2;13?k7d93;07d7=?;o3`5?5<3`8h6=4+1b0975=i9j;1865rb21;>5<3290;w)N0:m1C;21>3`;>?7>5;h360?6=3`;o>7>5;n3ag?6=3th8?44?:583>5}#:981=o<4H60g?M16i2.:ni48789j505=831b=8:50;9j5a4=831d=om50;9~f65f290?6=4?{%036?7e;2B<>i5G70c8 4dc2>=27d?:3;29?l72<3:17d?k2;29?j7ek3:17pl<6283><<729q/>=<51e`8L24c3A=:m6*>be843<=#9?;1>6g=8;29?l4>2900e?o50;9j6g<722c>;7>5;h7b>5<5<>290;w)N0:m1C;21>3-;==7<4i3:94?=n:00;66g=a;29?l4e2900e8950;9j1d<722c2;7>5;n3`2?6=3f;h;7>5;|`7=1<72=0;6=u+21095db<@>8o7E9>a:&2fa<0?01/=;?5179j505=831b=8:50;9j503=831d=om50;9~f64>290?6=4?{%036?7302B<>i5G70c8 4dc2>=27)?91;38m03=831b5:4?::k2g<<722e:o;4?::a71?=83>1<7>t$321>42?3A=9h6F81`9'5gb=?>30(<8>:09j10<722c2;7>5;h3`=?6=3f;h:7>5;|`072<72=0;6=u+210951><@>8o7E9>a:&2fa<0?01/=;?51:k61?6=3`3<6=44i0a:>5<;h76>5<5<3290;w)N0:m1C;21>3-;==7?4i4794?=n1>0;66g>c883>>i6k?0;66sm33:94?2=83:p(?>=:06;?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o>?3:17d?l9;29?j7d>3:17pl<2683>1<729q/>=<515:8L24c3A=:m6*>be843<=#9?;1=6g:5;29?l?02900e489K37b<@>;j7)?md;54=>"6>80:7d;::188m01=831b5:4?::k2g<<722e:o;4?::a0<>=83?1<7>t$321>42>3A=9h6F81`9'5gb=?>30(<8>:09j10<722c>;7>5;h;4>5<5<2;7>55;294~"58;0:845G73f8L27f3-;ih7989:&224<63`?>6=44i4594?=n1>0;66g>c883>>i6k?0;66sm3e`94?3=83:p(?>=:06:?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o2?3:17d78:188m4e>2900c50z&147<6<01C;?j4H63b?!7el3=<56*>6082?l322900e8950;9j=2<722c:o44?::m2g3<722wi?i:50;794?6|,;:96<:6;I51`>N09h1/=oj576;8 406281b984?::k63?6=3`3<6=44i0a:>5<;h76>5<>o6k00;66a>c783>>{e;ji1<7;50;2x 76528>27E9=d:J45d=#9kn1;:74$042>4=n=<0;66g:7;29?l?02900e489K37b<@>;j7)?md;54=>"6>80:7d;::188m01=831b5:4?::k2g<<722e:o;4?::a7f2=83?1<7>t$321>42>3A=9h6F81`9'5gb=?>30(<8>:09j10<722c>;7>5;h;4>5<5<55;294~"58;0:845G73f8L27f3-;ih7989:&224<63`?>6=44i4594?=n1>0;66g>c883>>i6k?0;66sm3ca94?3=83:p(?>=:06:?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o2?3:17d78:188m4e>2900c50z&147<6<01C;?j4H63b?!7el3=<56*>6082?l322900e8950;9j=2<722c:o44?::m2g3<722wi?im50;094?6|,;:96N09h1/=oj576;8 40628?0e<;<:188k4dd2900qo=k9;296?6=8r.9ac9K37b<@>;j7)?md;54=>"6>80:96g>5283>>i6jj0;66sm3e794?4=83:p(?>=:0ca?M15l2B<=l5+1cf932?<,8<:6<;4i070>5<5:k216<722e:nn4?::a7fb=8381<7>t$321>4ge3A=9h6F81`9'5gb=?>30(<8>:078m4342900c7>50z&147<6ik1C;?j4H63b?!7el3=<56*>60821>o6=:0;66a>bb83>>{e;j?1<7<50;2x 76528ki7E9=d:J45d=#9kn1;:74$042>435<52;294~"58;0:mo5G73f8L27f3-;ih7989:&224<6=2c:9>4?::m2ff<722wi?oj50;094?6|,;:96N09h1/=oj576;8 40628?0e<;<:188k4dd2900qo=m9;296?6=8r.9ac9K37b<@>;j7)?md;54=>"6>80:96g>5283>>i6jj0;66sm3ef94?5=83:p(?>=:60`?M15l2B<=l5+1cf932?5<3290;w)N0:m1C;21>3`?26=44i8c94?=n9m81<75`1`:94?=zj:nj6=4<:183!47:3=9o6F82e9K34g<,8ho6:96;h7:>5<5<54;294~"58;0:n<5G73f8L27f3-;ih7989:k6=?6=3`3j6=44i0f1>5<57>5;h3b3?6=3f;io7>5;|`0gc<72=0;6=u+21095g7<@>8o7E9>a:&2fa<0?01b944?::k:e?6=3`;o>7>5;n3b5}#:981;?m4H60g?M16i2.:ni48789j1<<722c:m:4?::m2ff<722wi?nl50;694?6|,;:96;I51`>N09h1/=oj576;8m0?=831b5l4?::k2`7<722e:m54?::a7fc=8391<7>t$321>24d3A=9h6F81`9'5gb=?>30e8750;9j5d1=831d=om50;9~f6e0290?6=4?{%036?7e92B<>i5G70c8 4dc2>=27d;6:188m=831vn>mn:180>5<7s-8;>79=c:J46a=O?8k0(2900eb09K37b<@>;j7)?md;54=>o213:17d7n:188m4b52900c3:1?7>50z&147<0:j1C;?j4H63b?!7el3=<56g:9;29?l7f?3:17b?mc;29?xd4jo0;694?:1y'654=9k;0D:"6jm0<;45f5883>>o>i3:17d?k2;29?j7f03:17pl6<729q/>=<573a8L24c3A=:m6*>be843<=n=00;66g>a683>>i6jj0;66sm3c`94?2=83:p(?>=:0`2?M15l2B<=l5+1cf932?>o6l;0;66a>a983>>{e;ko1<7=50;2x 7652>8h7E9=d:J45d=#9kn1;:74i4;94?=n9h=1<75`1ca94?=zj:h<6=4;:183!47:3;i=6F82e9K34g<,8ho6:96;h7:>5<5<4290;w)N0:m1C;21>3`?26=44i0c4>5<;h76>5<>o6k00;66a>c783>>{e<8i1<7;50;2x 76528>27E9=d:J45d=#9kn1;:74$042>4=n=<0;66g:7;29?l?02900e8;291?6=8r.9489K37b<@>;j7)?md;54=>"6>80:7d;::188m01=831b5:4?::k2g<<722e:o;4?::a042=83?1<7>t$321>42>3A=9h6F81`9'5gb=?>30(<8>:09j10<722c>;7>5;h;4>5<5<:<7>55;294~"58;0:845G73f8L27f3-;ih7989:&224<63`?>6=44i4594?=n1>0;66g>c883>>i6k?0;66sm41a94?3=83:p(?>=:06:?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o2?3:17d78:188m4e>2900c50z&147<6<01C;?j4H63b?!7el3=<56*>6082?l322900e8950;9j=2<722c:o44?::m2g3<722wi8=:50;794?6|,;:96<:6;I51`>N09h1/=oj576;8 406281b984?::k63?6=3`3<6=44i0a:>5<;h76>5<>o6k00;66a>c783>>{e;oi1<7;50;2x 76528>27E9=d:J45d=#9kn1;:74$042>4=n=<0;66g:7;29?l?02900eac9K37b<@>;j7)?md;54=>"6>80:96g>5283>>i6jj0;66sm40f94?4=83:p(?>=:0ca?M15l2B<=l5+1cf932?<,8<:6<;4i070>5<5:k216<722e:nn4?::a043=8381<7>t$321>4ge3A=9h6F81`9'5gb=?>30(<8>:078m4342900c7>50z&147<6ik1C;?j4H63b?!7el3=<56*>60821>o6=:0;66a>bb83>>{e<9n1<7<50;2x 76528ki7E9=d:J45d=#9kn1;:74$042>435<;57>52;294~"58;0:mo5G73f8L27f3-;ih7989:&224<6=2c:9>4?::m2ff<722wi8=;50;094?6|,;:96N09h1/=oj576;8 40628?0e<;<:188k4dd2900qo:?1;296?6=8r.9ac9K37b<@>;j7)?md;54=>"6>80:96g>5283>>i6jj0;66sm3gf94?4=83:p(?>=:0ca?M15l2B<=l5+1cf932?<,8<:6<;4i070>5<57>5;h3b3?6=3f;io7>5;|`75g<72=0;6=u+21095g7<@>8o7E9>a:&2fa<0?01b944?::k:e?6=3`;o>7>5;n3b5}#:981;?m4H60g?M16i2.:ni48789j1<<722c:m:4?::m2ff<722wi8<950;694?6|,;:96;I51`>N09h1/=oj576;8m0?=831b5l4?::k2`7<722e:m54?::a04g=8391<7>t$321>24d3A=9h6F81`9'5gb=?>30e8750;9j5d1=831d=om50;9~f174290?6=4?{%036?7e92B<>i5G70c8 4dc2>=27d;6:188m=831vn9?9:180>5<7s-8;>79=c:J46a=O?8k0(2900eb09K37b<@>;j7)?md;54=>o213:17d7n:188m4b52900c50z&147<0:j1C;?j4H63b?!7el3=<56g:9;29?l7f?3:17b?mc;29?xd38k0;694?:1y'654=9k;0D:"6jm0<;45f5883>>o>i3:17d?k2;29?j7f03:17pl;0d83>6<729q/>=<573a8L24c3A=:m6*>be843<=n=00;66g>a683>>i6jj0;66sm41594?2=83:p(?>=:0`2?M15l2B<=l5+1cf932?>o6l;0;66a>a983>>{e<9k1<7=50;2x 7652>8h7E9=d:J45d=#9kn1;:74i4;94?=n9h=1<75`1ca94?=zj=:86=4;:183!47:3;i=6F82e9K34g<,8ho6:96;h7:>5<5<4290;w)N0:m1C;21>3`?26=44i0c4>5<57>5;h;b>5<5<;>7>53;294~"58;0<>n5G73f8L27f3-;ih7989:k6=?6=3`;j;7>5;n3ag?6=3th8jo4?:583>5}#:981=o?4H60g?M16i2.:ni48789j1<<722c2m7>5;h3g6?6=3f;j47>5;|`0b`<72:0;6=u+210937e<@>8o7E9>a:&2fa<0?01b944?::k2e2<722e:nn4?::a7d4=83>1<7>t$321>4gc3A=9h6F81`9'5gb=?>30(<8>:448m4342900e<;;:188m4322900cn3:187>50z&147<6im1C;?j4H63b?!7el3=<56*>60862>o6=:0;66g>5583>>o6=<0;66a>bb83>>{e;0i1<7:50;2x 76528ko7E9=d:J45d=#9kn1;:74$042>005<6=44o0``>5<54;294~"58;0:mi5G73f8L27f3-;ih7989:&224<2>2c:9>4?::k211<722c:984?::m2ff<722wi?4850;694?6|,;:96N09h1/=oj576;8 4062<<0e<;<:188m4332900e<;::188k4dd2900qo=63;290?6=8r.9ae9K37b<@>;j7)?md;54=>"6>80>:6g>5283>>o6==0;66g>5483>>i6jj0;66sm38294?2=83:p(?>=:0cg?M15l2B<=l5+1cf932?<,8<:6884i070>5<5<1<7>t$321>4gc3A=9h6F81`9'5gb=?>30(<8>:448m4342900e<;;:188m4322900c50z&147<6im1C;?j4H63b?!7el3=<56*>60862>o6=:0;66g>5583>>o6=<0;66a>bb83>>{e<;n1<7=50;2x 76528kh7E9=d:J45d=#9kn1;:74$042>71<,8i86:=8;h367?6=3`;>87>5;n3ag?6=3th?>n4?:283>5}#:981=lm4H60g?M16i2.:ni48789'537=:>1/=n=57258m4342900e<;;:188k4dd2900qo=75;29d`9K37b<@>;j7)?md;54=>"6>8097d<7:188m7?=831b>l4?::k1f?6=3`?<6=44i4c94?=n1>0;66a>c783>>{e;>h1<7:50;2x 76528>=7E9=d:J45d=#9kn1;:74$042>4=n=<0;66g:7;29?l?02900c50z&147<66082?l322900e8950;9j=2<722e:o;4?::a72?=83>1<7>t$321>4213A=9h6F81`9'5gb=?>30(<8>:09j10<722c>;7>5;h;4>5<;h76>5<>i6k?0;66sm36594?2=83:p(?>=:065?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o2?3:17d78:188k4e12900qo=86;290?6=8r.9479K37b<@>;j7)?md;54=>"6>80:7d;::188m01=831b5:4?::m2g3<722wi?5:50;694?6|,;:96<:9;I51`>N09h1/=oj576;8 406281b984?::k63?6=3`3<6=44o0a5>5<54;294~"58;0:8;5G73f8L27f3-;ih7989:&224<63`?>6=44i4594?=n1>0;66a>c783>>{e;181<7:50;2x 76528>=7E9=d:J45d=#9kn1;:74$042>4=n=<0;66g:7;29?l?02900c50z&147<66082?l322900e8950;9j=2<722e:o;4?::a7=6=83>1<7>t$321>4213A=9h6F81`9'5gb=?>30(<8>:09j10<722c>;7>5;h;4>5<;h76>5<>i6k?0;66sm36g94?2=83:p(?>=:065?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o2?3:17d78:188k4e12900qo=8d;290?6=8r.9479K37b<@>;j7)?md;54=>"6>80:7d;::188m01=831b5:4?::m2g3<722wi?:m50;694?6|,;:96<:9;I51`>N09h1/=oj576;8 406281b984?::k63?6=3`3<6=44o0a5>5<54;294~"58;0:8;5G73f8L27f3-;ih7989:&224<63`?>6=44i4594?=n1>0;66a>c783>>{e;h;1<7:50;2x 76528>37E9=d:J45d=#9kn1;:74$042>4=n=<0;66g67;29?l7d13:17b?l6;29?xd4i:0;694?:1y'654=9=20D:"6jm0<;45+17395>o2=3:17d78:188m4e>2900cm3:187>50z&147<6<11C;?j4H63b?!7el3=<56*>6082?l322900e4950;9j5f?=831d=n850;9~f6g7290?6=4?{%036?7302B<>i5G70c8 4dc2>=27)?91;38m03=831b5:4?::k2g<<722e:o;4?::a71<7>t$321>42?3A=9h6F81`9'5gb=?>30(<8>:09j10<722c2;7>5;h3`=?6=3f;h:7>5;|`0=a<72=0;6=u+210951><@>8o7E9>a:&2fa<0?01/=;?51:k61?6=3`3<6=44i0a:>5<;h76>5<5<3290;w)N0:m1C;21>3-;==7?4i4794?=n1>0;66g>c883>>i6k?0;66sm38794?2=83:p(?>=:06;?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o>?3:17d?l9;29?j7d>3:17pl<9683>1<729q/>=<515:8L24c3A=:m6*>be843<=#9?;1=6g:5;29?l?02900e499K37b<@>;j7)?md;54=>"6>80:7d;::188m<1=831b=n750;9l5f0=831vn>7;:187>5<7s-8;>7?;8:J46a=O?8k0(N09h1/=oj576;8 406281b984?::k:3?6=3`;h57>5;n3`2?6=3th85<4?:583>5}#:981=964H60g?M16i2.:ni48789'537=92c>97>5;h;4>5<5<54;294~"58;0:855G73f8L27f3-;ih7989:&224<63`?>6=44i8594?=n9j31<75`1b494?=zj:2n6=4;:183!47:3;?46F82e9K34g<,8ho6:96;%355?7>o6k00;66a>c783>>{e;131<7:50;2x 76528>37E9=d:J45d=#9kn1;:74$042>4=n=<0;66g67;29?l7d13:17b?l6;29?xd40k0;694?:1y'654=9=20D:"6jm0<;45+17395>o2=3:17d78:188m4e>2900c3:187>50z&147<6<11C;?j4H63b?!7el3=<56*>6082?l322900e4950;9j5f?=831d=n850;9~f6>?290?6=4?{%036?7302B<>i5G70c8 4dc2>=27)?91;38m03=831b5:4?::k2g<<722e:o;4?::a000=83>1<7>t$321>4d63A=9h6F81`9'5gb=?>30e8750;9j=d<722c:h?4?::m2e=<722wi88950;694?6|,;:96;I51`>N09h1/=oj576;8m0?=831b5l4?::k2`7<722e:m54?::a00>=83>1<7>t$321>4d63A=9h6F81`9'5gb=?>30e8750;9j=d<722c:h?4?::m2e=<722wi88750;694?6|,;:96;I51`>N09h1/=oj576;8m0?=831b5l4?::k2`7<722e:m54?::a00g=83>1<7>t$321>4d63A=9h6F81`9'5gb=?>30e8750;9j=d<722c:h?4?::m2e=<722wi88l50;c94?6|,;:96N09h1Q994>{349y!7el3=<56*>6080?l3f2900e4950;9j12<722e:o;4?::m2g=<722c8=7>5$0a1>66c082?>o5m3:1(>4n0a2>1=>o7>5a;294~"58;0:hn5G73f8L27f3S??630(<8>:29j1d<722c2;7>5;h74>5<5<c3804>h6k80;76g=f;29 4e52::0b:098m7c=83.:o?4<0:l2g4<532c9h7>5$0a1>6654i3a94?"6k;08<6`>c087?>{e<5<>i6k?0;66a>c983>>o493:1(;:k1a?6=,8i96>>4n0a2>7=c3804>h6k80876g=c;29 4e52::0b:598yg22m3:157>50z&147<6lk1C;?j4H63b?!7el3=<56*>6081?l4?2900e?750;9j6d<722c9n7>5;h74>5<>i6k?0;66a>c683>>{e<=81<7:50;2x 76528h:7E9=d:J45d=#9kn1;:74i4;94?=n1h0;66g>d383>>i6i10;66sm45194?2=83:p(?>=:0`2?M15l2B<=l5+1cf932?>o6l;0;66a>a983>>{e<=>1<7:50;2x 76528h:7E9=d:J45d=#9kn1;:74i4;94?=n1h0;66g>d383>>i6i10;66sm45794?2=83:p(?>=:0`2?M15l2B<=l5+1cf932?>o6l;0;66a>a983>>{e<=<1<7:50;2x 76528h:7E9=d:J45d=#9kn1;:74i4;94?=n1h0;66g>d383>>i6i10;66sm45594?g=83:p(?>=:0f`?M15l2B<=l5U558270=u-;ih7989:&224<43`?j6=44i8594?=n=>0;66a>c783>>i6k10;66g<1;29 4e52::0b:198m7`=83.:o?4<0:l2g4<632c9i7>5$0a1>66c080?>o5k3:1(db9K37b<@>;j7W;;:0y12?{#9kn1;:74$042>6=n=h0;66g67;29?l302900c?50;&2g7<482d:o<4?;:k1b?6=,8i96>>4n0a2>4=c3804>h6k80976g=d;29 4e52::0b:298m7e=83.:o?4<0:l2g4<332wi89750;c94?6|,;:96N09h1Q994>{349y!7el3=<56*>6080?l3f2900e4950;9j12<722e:o;4?::m2g=<722c8=7>5$0a1>66c082?>o5m3:1(>4n0a2>1=?m7>59;294~"58;0:ho5G73f8L27f3-;ih7989:&224<53`836=44i3;94?=n:h0;66g=b;29?l302900e8o50;9j=2<722e:o;4?::m2g2<722wi8?k50;694?6|,;:96;I51`>N09h1/=oj576;8m0?=831b5l4?::k2`7<722e:m54?::a07`=83>1<7>t$321>4d63A=9h6F81`9'5gb=?>30e8750;9j=d<722c:h?4?::m2e=<722wi8>>50;694?6|,;:96;I51`>N09h1/=oj576;8m0?=831b5l4?::k2`7<722e:m54?::a067=83>1<7>t$321>4d63A=9h6F81`9'5gb=?>30e8750;9j=d<722c:h?4?::m2e=<722wi8><50;694?6|,;:96;I51`>N09h1/=oj576;8m0?=831b5l4?::k2`7<722e:m54?::a065=83k1<7>t$321>4bd3A=9h6F81`9Y11<6s;<1q)?md;54=>"6>8087d;n:188m<1=831b9:4?::m2g3<722e:o54?::k05?6=,8i96>>4n0a2>5=c3804>h6k80:76g=e;29 4e52::0b:398m7b=83.:o?4<0:l2g4<432c9o7>5$0a1>664}5>3w/=oj576;8 4062:1b9l4?::k:3?6=3`?<6=44o0a5>5<c083?>o5n3:1(>4n0a2>6=c3804>h6k80?76sm42794?g=83:p(?>=:0f`?M15l2B<=l5U558270=u-;ih7989:&224<43`?j6=44i8594?=n=>0;66a>c783>>i6k10;66g<1;29 4e52::0b:198m7`=83.:o?4<0:l2g4<632c9i7>5$0a1>66c080?>o5k3:1(dc9K37b<@>;j7)?md;54=>"6>8097d<7:188m7?=831b>l4?::k1f?6=3`?<6=44i4c94?=n1>0;66a>c783>>i6k>0;66sm26194?5=83:p(?>=:60`?M15l2B<=l5+1cf932?5<3290;w)N0:m1C;21>3`?26=44i8c94?=n9m81<75`1`:94?=zj;=<6=4<:183!47:3=9o6F82e9K34g<,8ho6:96;h7:>5<5<54;294~"58;0:n<5G73f8L27f3-;ih7989:k6=?6=3`3j6=44i0f1>5<57>5;h3b3?6=3f;io7>5;|`13=<72=0;6=u+21095g7<@>8o7E9>a:&2fa<0?01b944?::k:e?6=3`;o>7>5;n3b5}#:981;?m4H60g?M16i2.:ni48789j1<<722c:m:4?::m2ff<722wi>:m50;694?6|,;:96;I51`>N09h1/=oj576;8m0?=831b5l4?::k2`7<722e:m54?::a6=5=8391<7>t$321>24d3A=9h6F81`9'5gb=?>30e8750;9j5d1=831d=om50;9~f7>7290?6=4?{%036?7e92B<>i5G70c8 4dc2>=27d;6:188m=831vn?69:180>5<7s-8;>79=c:J46a=O?8k0(2900eac9K37b<@>;j7)?md;54=>"6>80:96g>5283>>i6jj0;66sm26494?4=83:p(?>=:0ca?M15l2B<=l5+1cf932?<,8<:6<;4i070>5<5:k216<722e:nn4?::a62c=8381<7>t$321>4ge3A=9h6F81`9'5gb=?>30(<8>:078m4342900c7>50z&147<6ik1C;?j4H63b?!7el3=<56*>60821>o6=:0;66a>bb83>>{e:1?1<7<50;2x 76528ki7E9=d:J45d=#9kn1;:74$042>435<55;294~"58;0:845G73f8L27f3-;ih7989:&224<63`?>6=44i4594?=n1>0;66g>c883>>i6k?0;66sm26794?3=83:p(?>=:06:?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o2?3:17d78:188m4e>2900c50z&147<6<01C;?j4H63b?!7el3=<56*>6082?l322900e8950;9j=2<722c:o44?::m2g3<722wi>:j50;794?6|,;:96<:6;I51`>N09h1/=oj576;8 406281b984?::k63?6=3`3<6=44i0a:>5<;h76>5<>o6k00;66a>c783>>{e:1>1<7;50;2x 76528>27E9=d:J45d=#9kn1;:74$042>4=n=<0;66g:7;29?l?02900eae9K37b<@>;j7)?91;302>o6=:0;66g>5583>>o6=<0;66a>bb83>>{e:o?1<7950;2x 76528h;7E9=d:J45d=#9?;1i6g>5283>>o6==0;66g>5483>>o6=?0;66g>5683>>o6=10;66a>bb83>>{e:o>1<7950;2x 76528h;7E9=d:J45d=#9?;156g>5283>>o6==0;66g>5483>>o6=?0;66g>5683>>o6=10;66a>bb83>>{e=:l1<7950;2x 76528h;7E9=d:J45d=#9?;1=9k4i070>5<5<5<5<53;294~"58;0:mn5G73f8L27f3-;==7?>;h367?6=3`;>87>5;n3ag?6=3th>8<4?:683>5}#:981=o>4H60g?M16i2.::<4>3d9j505=831b=8:50;9j503=831b=8850;9j501=831b=8650;9l5ge=831vn8:=:185>5<7s-8;>7?nf:J46a=O?8k0(<8>:418m4342900e<;;:188m4322900e<;9:188m4302900c50z&147<6j91C;?j4H63b?!7193;?n6g>5283>>o6==0;66g>5483>>o6=?0;66g>5683>>o6=10;66a>bb83>>{e=:<1<7950;2x 76528h;7E9=d:J45d=#9?;146g>5283>>o6==0;66g>5483>>o6=?0;66g>5683>>o6=10;66a>bb83>>{e=:=1<7950;2x 76528h;7E9=d:J45d=#9?;1>85f14194?=n9<>1<75f14794?=n9<<1<75f14594?=n9<21<75`1ca94?=zj<936=48:183!47:3;i<6F82e9K34g<,8<:6;5f14194?=n9<>1<75f14794?=n9<<1<75f14594?=n9<21<75`1ca94?=zj<926=48:183!47:3;i<6F82e9K34g<,8<:6k5f14194?=n9<>1<75f14794?=n9<<1<75f14594?=n9<21<75`1ca94?=zj<9j6=48:183!47:3;i<6F82e9K34g<,8<:6<:i;h367?6=3`;>87>5;h361?6=3`;>:7>5;h363?6=3`;>47>5;n3ag?6=3th>>h4?:583>5}#:981=964H60g?M16i2.:ni48789'537=92c>97>5;h;4>5<5<54;294~"58;0:855G73f8L27f3-;ih7989:&224<63`?>6=44i8594?=n9j31<75`1b494?=zj<9:6=4<:183!47:3;jo6F82e9K34g<,8<:6<=:;%3`7?1412c:9>4?::k211<722e:nn4?::a1<2=83>1<7>t$321>42?3A=9h6F81`9'5gb=?>30(<8>:09j10<722c2;7>5;h3`=?6=3f;h:7>5;|`6=0<72:0;6=u+21095de<@>8o7E9>a:&224<6;<1b=8=50;9j502=831d=om50;9~f073290?6=4?{%036?7302B<>i5G70c8 4dc2>=27)?91;38m03=831b5:4?::k2g<<722e:o;4?::a143=8391<7>t$321>4gd3A=9h6F81`9'537=9:?0e<;<:188m4332900c83:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8i1;297?6=8r.9439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8je;297?6=8r.9439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8jc;297?6=8r.9439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8ja;297?6=8r.9439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8j8;297?6=8r.9439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8j6;297?6=8r.9439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8j3;297?6=8r.9439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8j1;297?6=8r.9439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8kf;297?6=8r.9439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8kd;297?6=8r.9439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8kb;297?6=8r.9439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8i8;297?6=8r.9439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8i6;297?6=8r.9439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8i4;297?6=8r.9439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8i0;297?6=8r.9439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8ka;297?6=8r.9439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c50z&147<6<=1C;?j4H63b?!7193;0(1<7>t$321>4233A=9h6F81`9'537=92.:ni48789j10<722c=i7>5;h;4>5<5<5<>i6k?0;66sm55c94?2=83:p(?>=:067?M15l2B<=l5+17395>"6jm0<;45f5483>>o1m3:17d78:188k4e12900qo:kb;297?6=8r.9439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c50z&147<6<=1C;?j4H63b?!7193;0(1<7>t$321>4233A=9h6F81`9'537=92.:ni48789j10<722c=i7>5;h;4>5<5<>i6k?0;66sm4c`94?2=83:p(?>=:067?M15l2B<=l5+17395>"6jm0<;45f5483>>o1m3:17d78:188k4e12900qo;b39K37b<@>;j7d?:3;29?l72<3:17d?k2;29?j7ek3:17pl:3e83>2<729q/>=<51c28L24c3A=:m6*>60807>o6=:0;66g>5583>>o6=<0;66g>5783>>o6=>0;66g>5983>>i6jj0;66sm52g94?0=83:p(?>=:0ce?M15l2B<=l5+173971=n9<91<75f14694?=n96F82e9K34g5<5<56;294~"58;0:mk5G73f8L27f3-;==7==;h367?6=3`;>87>5;h361?6=3`;>:7>5;h363?6=3f;io7>5;|`671<72>0;6=u+21095g6<@>8o7E9>a:&224<4;2c:9>4?::k211<722c:984?::k213<722c:9:4?::k21=<722e:nn4?::a6<2=83hh6=4?{%036?7di2B<>i5G70c8^02=kr;86<:51g817?472;>1><4>a;3;>4d=:;0:;7s+1cf932?h6810;7c?=a;28 45e28>:7)?"6=00>7)?:a;78 43e2<1/=8m55:&21a<23-;>i7;4$07e>0=#9?:196*>6386?!71;3?0(<8;:49'533==2.::;4:;%353?3<,8<3685+17;91>"6>h0>7)?9b;78 40d2<1/=;j55:&22`<23-;=j7;4$053>0=#9>;196*>7386?!70;3?0(<9;:49'523==2.:;;4:;%343?3<,8=3685+16;91>"6?h0>7)?8b;78 41d2<1/=:j55:&23`<23-;0=#91;196*>8386?!7?;3?0(<6;:49'5=3==2.:4;4:;%3;3?3<,823685+19;91>"60h0>7)?7b;78 4>d2<1/=5j55:&2<`<23-;3j7;4$0;3>0=#90;196*>9386?!7>;3?0(<7;:49'5<3==2.:5;4:;%3:3?3<,833685+18;91>"61h0>7)?6b;78 4?d2<1/=4j55:&2=`<23-;2j7;4$0c3>0=#9h;196*>a387?!7f;3>0(d1863>"6l80>;6*>d4845a=#9m<1=i64$0fg>1=#9mo186*828846==#?;k1;?64i0aa>5<>o6kj0;66g>ce83>>o6;00;66gj1;29 4e52l:0b:198ma`=83.:o?4j0:l2g4<632coi7>5$0a1>`6c080?>o0:;0;6)?l2;515>h6k80;76g82183>!7d:3=9=6`>c082?>o09o0;6)?l2;515>h6k80976g81d83>!7d:3=9=6`>c080?>i6890;6)?l2;de?k7d93:07bhj:18'5f4=no1e=n?51:9lbf<72-;h>7hi;o3`5?4<3fli6=4+1b09bc=i9j;1?65`f`83>!7d:3lm7c?l1;68?j`>290/=n<5fg9m5f7==21dj54?:%3`6?`a3g;h=784;nd4>5<#9j81jk5a1b393>=hn?0;6)?l2;de?k7d93207bh::18'5f4=no1e=n?59:9lb1<72-;h>7hi;o3`5?g<3fl86=4+1b09bc=i9j;1n65`f083>!7d:3lm7c?l1;a8?j`7290/=n<5fg9m5f7=l21dik4?:%3`6?`a3g;h=7k4;ngf>5<#9j81jk5a1b39b>=hmm0;6)?l2;de?k7d93;;76ajc;29 4e52ol0b:038?jce290/=n<5fg9m5f7=9;10cho50;&2g73:9la<<72-;h>7hi;o3`5?7332en47>5$0a1>c`40<3f;;:7>5$0a1>c`4><3f;;87>5$0a1>c`4g<3f;;>7>5$0a1>c`4e<3flo6=4+1b09bc=i9j;1=i54og094?"6k;0mj6`>c082a>=hm>0;6)?l2;de?k7d93;m76g:198m6>=83.:o?4<9:l2g4<632c8;7>5$0a1>6?c080?>o3;3:1(74n0a2>3=c380=>h6k80<76g:998m6c=83.:o?4<9:l2g4<>32c8h7>5$0a1>6?c08a?>o4j3:1(;50;&2g7<412d:o<4k;:k7=?6=,8i96964n0a2>5=c387<>h6k80:76g;6;29 4e52=20b:398m13=83.:o?4;8:l2g4<432c>>7>5$0a1>1>c086?>o283:1(==c387<>h6k80276g;c;29 4e52=20b:`98m1d=83.:o?4;8:l2g45$0a1>1>c08g?>oc=3:1(;:kg5?6=,8i96i:4n0a2>7=c38g0>h6k80876glf;29 4e52m>0b:598mfc=83.:o?4k4:l2g4<232chh7>5$0a1>a2c084?>odj3:1(d=c38g0>h6k80i76gl6;29 4e52m>0b:b98mf3=83.:o?4k4:l2g45$0a1>a2c08e?>od:3:1(:18'5f4=l=1e=n?51098mf6=83.:o?4k4:l2g4<6:21bnk4?:%3`6?b33g;h=7?<;:kaa?6=,8i96i:4n0a2>42<3`ho6=4+1b09`1=i9j;1=854iea94?"6k;0o86`>c0822>=nlk0;6)?l2;f7?k7d93;<76gka;29 4e52m>0b:0:8?lb>290/=n<5d59m5f7=9010ei650;&2g7a:9j`2<72-;h>7j;;o3`5?7e32co:7>5$0a1>a25<#9j81h95a1b395a=c38g0>h6k80:i65fbb83>!7d:3n?7c?l1;3e?>i6:o0;6)?l2;31a>h6k80;76a>2e83>!7d:3;9i6`>c082?>i6:j0;6)?l2;31a>h6k80976a>2c83>!7d:3;9i6`>c080?>ob>3:1(;:kf7?6=,8i96h;4n0a2>7=c38f1>h6k80876a>3583>!7d:3;8?6`>c083?>i6;;0;6)?l2;307>h6k80:76a>3083>!7d:3;8?6`>c081?>i6;90;6)?l2;307>h6k80876a>2383>!7d:3;9=6`>c083?>i6:90;6)?l2;315>h6k80:76a>1d83>!7d:3;9=6`>c081?>i69m0;6)?l2;315>h6k80876a>1b83>!7d:3;9=6`>c087?>i69k0;6)?l2;315>h6k80>76a>1`83>!7d:3;9=6`>c085?>i6900;6)?l2;315>h6k80<76a>1983>!7d:3;9=6`>c08;?>i69>0;6)?l2;315>h6k80276a>1783>!7d:3;9=6`>c08b?>i69<0;6)?l2;315>h6k80i76a>1283>!7d:3;9=6`>c08`?>i69;0;6)?l2;315>h6k80o76a>1083>!7d:3;9=6`>c08f?>i6990;6)?l2;315>h6k80m76a>0g83>!7d:3;9=6`>c0824>=h99o1<7*>c38264=i9j;1=<54o02g>5<#9j81=??4n0a2>44<3f;;o7>5$0a1>4463g;h=7?<;:m24g<72-;h>7?=1:l2g4<6<21d==o50;&2g7<6:81e=n?51498k44>290/=n<51338j4e628<07b?=8;29 4e5288:7c?l1;34?>i6:>0;6)?l2;315>h6k80:465`13494?"6k;0:><5a1b395<=6=4+1b09577;o3`5?7e32e:>>4?:%3`6?7592d:o<4>c:9l54`=83.:o?4>209m5f7=9m10c:0g8?j7713:1(!7d:3=9:6`>c083?>o0:<0;6)?l2;512>h6k80:76g82583>!7d:3=9:6`>c081?>o0::0;6)?l2;512>h6k80876gm4;29 4e52k90b:198mg4=83.:o?4m3:l2g4<632ci<7>5$0a1>g5c080?>ofm3:1(3=c38a7>h6k80<76gna;29 4e52k90b:998md?=83.:o?4m3:l2g4<>32cj47>5$0a1>g5c08a?>of=3:1(`=c38a7>h6k80m76gn1;29 4e52k90b:028?lg7290/=n<5b29m5f7=9810e4h50;&2g72:9j=`<72-;h>7l<;o3`5?7432c2h7>5$0a1>g55<#9j81n>5a1b3950=c38a7>h6k80::65fb`83>!7d:3h87c?l1;34?>oe13:1(4e<3`h:6=4+1b09f6=i9j;1=i54i`494?"6k;0i?6`>c082a>=n1k0;6)?l2;`0?k7d93;m76sm28594?dd290;w)N0:m1C;1=k4=3;03>72=:80:m7?7:0`967<6?3w/=oj576;8j<>=9;1e544>2:l24=<73g;9m7>4$01a>4263-;8o7?;1:&21<<23-;>m7;4$07a>0=#95e86?!72m3?0(<;i:49'536==2.::?4:;%357?3<,8"6>?0>7)?97;78 40?2<1/=;755:&22d<23-;=n7;4$04`>0=#9?n196*>6d86?!71n3?0(<9?:49'527==2.:;?4:;%347?3<,8=?685+16791>"6??0>7)?87;78 41?2<1/=:755:&23d<23-;0=#9>n196*>7d86?!70n3?0(<6?:49'5=7==2.:4?4:;%3;7?3<,82?685+19791>"60?0>7)?77;78 4>?2<1/=5755:&20=#91n196*>8d86?!7?n3?0(<7?:49'5<7==2.:5?4:;%3:7?3<,83?685+18791>"61?0>7)?67;78 4??2<1/=4755:&2=d<23-;2n7;4$0;`>0=#90n196*>9d86?!7>n3?0(448299'37g=?;20eo50;9j5fc=831b:;4?::k2gf<722c:oi4?::k27<<722cn=7>5$0a1>`6c082?>ocm3:1(79=1:l2g4<732c<>=4?:%3`6?1592d:o<4>;:k45c<72-;h>79=1:l2g4<532c<=h4?:%3`6?1592d:o<4<;:m245<72-;h>7hi;o3`5?6<3fln6=4+1b09bc=i9j;1=65`fb83>!7d:3lm7c?l1;08?j`e290/=n<5fg9m5f7=;21djl4?:%3`6?`a3g;h=7:4;nd:>5<#9j81jk5a1b391>=hn10;6)?l2;de?k7d93<07bh8:18'5f4=no1e=n?57:9lb3<72-;h>7hi;o3`5?><3fl>6=4+1b09bc=i9j;1565`f583>!7d:3lm7c?l1;c8?j`4290/=n<5fg9m5f7=j21dj<4?:%3`6?`a3g;h=7m4;nd3>5<#9j81jk5a1b39`>=hmo0;6)?l2;de?k7d93o07bkj:18'5f4=no1e=n?5f:9laa<72-;h>7hi;o3`5?7732eno7>5$0a1>c`5<#9j81jk5a1b3957=c38eb>h6k80:?65`e883>!7d:3lm7c?l1;37?>ib03:1(:048?j77>3:1(:0:8?j77<3:1(:0c8?j77:3:1(:0a8?j`c290/=n<5fg9m5f7=9m10ck<50;&2g7e:9la2<72-;h>7hi;o3`5?7a32c8m7>5$0a1>6?c082?>o4?3:1(850;&2g7<412d:o<4<;:k77?6=,8i96>74n0a2>1=c380=>h6k80>76g;1;29 4e52:30b:798m16=83.:o?4<9:l2g4<032c8j7>5$0a1>6?c08:?>o4l3:1(m50;&2g7<412d:o<4m;:k0f?6=,8i96>74n0a2>f=c380=>h6k80o76g;9;29 4e52=20b:198m11=83.:o?4;8:l2g4<632c?:7>5$0a1>1>c080?>o2:3:1(3=c387<>h6k80<76g;e;29 4e52=20b:998m1b=83.:o?4;8:l2g4<>32c?o7>5$0a1>1>c08a?>o3i3:1(5=c38g0>h6k80:76gk1;29 4e52m>0b:398ma6=83.:o?4k4:l2g4<432chj7>5$0a1>a2c086?>odl3:1(==c38g0>h6k80276gl9;29 4e52m>0b:`98mf>=83.:o?4k4:l2g45$0a1>a2c08g?>od<3:1(46<3`i:6=4+1b09`1=i9j;1=<54ib294?"6k;0o86`>c0826>=njo0;6)?l2;f7?k7d93;876gme;29 4e52m>0b:068?ldc290/=n<5d59m5f7=9<10eim50;&2g76:9j`g<72-;h>7j;;o3`5?7032com7>5$0a1>a25<#9j81h95a1b395<=c38g0>h6k80:m65fd683>!7d:3n?7c?l1;3a?>oc>3:1(7?=e:l2g4<732e:>i4?:%3`6?75m2d:o<4>;:m26f<72-;h>7?=e:l2g4<532e:>o4?:%3`6?75m2d:o<4<;:kf2?6=,8i96h;4n0a2>5=1<7*>c38f1>h6k80:76gj3;29 4e52l?0b:398m`4=83.:o?4j5:l2g4<432e:?94?:%3`6?74;2d:o<4?;:m277<72-;h>7?<3:l2g4<632e:?<4?:%3`6?74;2d:o<4=;:m275<72-;h>7?<3:l2g4<432e:>?4?:%3`6?7592d:o<4?;:m265<72-;h>7?=1:l2g4<632e:=h4?:%3`6?7592d:o<4=;:m25a<72-;h>7?=1:l2g4<432e:=n4?:%3`6?7592d:o<4;;:m25g<72-;h>7?=1:l2g4<232e:=l4?:%3`6?7592d:o<49;:m25<<72-;h>7?=1:l2g4<032e:=54?:%3`6?7592d:o<47;:m252<72-;h>7?=1:l2g4<>32e:=;4?:%3`6?7592d:o<4n;:m250<72-;h>7?=1:l2g44?:%3`6?7592d:o<4l;:m257<72-;h>7?=1:l2g47?=1:l2g40:9l55c=83.:o?4>209m5f7=9810c<>k:18'5f4=9;;0b:008?j77k3:1(0c83>!7d:3;9=6`>c0820>=h99k1<7*>c38264=i9j;1=854o00:>5<#9j81=??4n0a2>40<3f;947>5$0a1>4463g;h=7?8;:m262<72-;h>7?=1:l2g4<6021d=?850;&2g7<6:81e=n?51898k442290/=n<51338j4e628k07b?=4;29 4e5288:7c?l1;3a?>i6::0;6)?l2;315>h6k80:o65`10d94?"6k;0:><5a1b395a=;o3`5?7a32c<>:4?:%3`6?15>2d:o<4?;:k460<72-;h>79=6:l2g4<632c<>94?:%3`6?15>2d:o<4=;:k466<72-;h>79=6:l2g4<432ci87>5$0a1>g5c082?>oe83:1(1=c38a7>h6k80>76gnc;29 4e52k90b:798mdd=83.:o?4m3:l2g4<032cjm7>5$0a1>g5c08:?>of03:1(f=1<7*>c38a7>h6k80o76gn3;29 4e52k90b:d98md4=83.:o?4m3:l2g45$0a1>g54;hc3>5<#9j81n>5a1b3954=c38a7>h6k80:>65f9d83>!7d:3h87c?l1;30?>o>l3:1(07d7l:18'5f4=j:1e=n?51498mgd=83.:o?4m3:l2g4<6>21bnl4?:%3`6?d43g;h=7?8;:ka=?6=,8i96o=4n0a2>4><3`h36=4+1b09f6=i9j;1=454ic594?"6k;0i?6`>c082e>=nj?0;6)?l2;`0?k7d93;i76gm5;29 4e52k90b:0a8?ld6290/=n<5b29m5f7=9m10el850;&2g7e:9j=g<72-;h>7l<;o3`5?7a32wx=ko50;0xZ4`f34778;|q2b=<72;qU=k64=7d2><152z\2b3=:>ll15:5rs0d6>5<5sW;m9639ed8:3>{t9o>1<7f283>7}Y9o901;kl:858yv7a:3:1>vP>f39>2`d=1>1v:181[7a927=il467:p5c6=838pR;1m?02;6s|1d`94?4|V8oi708j4;;4?xu6mh0;6?uQ1dc893c420=0q~?j9;296~X6m016:h<5969~w4c?2909wS?j8:?5a4<>?2wx=h950;0xZ4c034<152z\2a0=:>mo15:5rs0g7>5<5sW;n8639de8:3>{t9l91<7e383>7}Y9l801;jm:858yv4793:1>vP=009>2c?=1>1v?>?:181[47827=j5467:p5c`=838pR;1n=02;6s|1g`94?4|V8li708i3;;4?xu6n>0;6?uQ1g5893`720=0q~?jc;296~X6mj16:h;5969~w4c62909wS?j1:?5`d<>?2wx;n4?:43xZ2e<5?:>6894=727>01<5?:86894=721>01<5?;<6894=735>01<5?;>6894=737>01<5?826894=70;>01<5?8<6894=705>01<5?9o6894=71`>01<5?9i6894=71b>01<5j94>c59>1c1=9j>018h7:0a7?83a13;h863:f`82g1=:=oh1=n:4=4d`>4e334?mh7?l4:?6`c<6k=169h>51b6890c628i?70;j2;3`0>;2m:0:o9525d695f2<56i:4>c59>1`>=9j>018kn:0a7?83bj3;h863:eb82g1=:=ln1=n:4=4gf>4e334?nj7?l4:?6b5<6k=169k?51b6890`528i?70;i3;3`0>;2n<0:o9525g495f2<5h94>c59>1a1=9j>018j7:0a7?83c13;h863:d`82g1=:=mh1=n:4=4f`>4e334?oh7?l4:?6fc<6k=169n>51b6890e628i?70;l2;3`0>;2k:0:o9525b695f2<56o:4>c59>1f>=9j>018mn:0a7?83dj3;h863:cb82g1=:=jn1=n:4=4af>4e334?hj7?l4:?6`5<6k=169i?51b6890b528i?70;k3;3`0>;2l<0:o9525e495f26=4>2z\41>;51:0:o45228095f?<5;3:6c89>6=c=9j301?6k:077?84ck3;h563=d882g<=::m?1=n74=3f2>4e>348hh7?l9:?1g<<6k016>n;51b;897e628i270;5j00:o45rs6694?0|V>>018?6:073?82c;3?<70:k3;;4?82dk3;>863:1982g1=z{>91<7:t^61891e52<=019m=:85891d>28??7p}64;2976}Y1=16?8l5569>735==>16?>l5569>76e==>16?>j5569>76c==>16?9j5569>71c==>16?9h5569>706==>16?><5569>765==>16?8k5569>70`==>16??l5569>77e==>16?;:5569>733==>16?8m5569>723==>16?:m5569>72b==>16?:k5569>72`==>16?5>5569>7=7==>16?5<5569>7=5==>16?5:5569>720==>16?:95569>72>==>16?:75569>72g==>16?:l5569>7=3==>168?m51468914c28??70::e;74?822l3?<70::c;74?822j3?<70:;a;74?82313?<70:;8;74?823?3?<70:<6;74?824=3?<70:<4;74?824;3?<7p}63;297~X>;27>n84:7:?6f5<2?2wx5<4?:02xZ<7<5;386894=3;1>01<5;3:6894=3;3>01<5;2m6894=3:f>01<5:8>6<;:;<0;0?303483=7;8;<04`?30348<57;8;<041?30348<=7;8;<0:0?7412795:4>389~w<6=834=407>01<5<8?6494=465><1<5<>i6<;;;<72a?72<2wx4k4?:4y]43334?857?:3:?67d<6=:1v:l50;6xZ2d<56494=71g><1<5:=>6494}r53>5<1mrT<<63=92861>;51;0>963=90861>;5190>963=8g861>;50l0>963:b486e>;2j90>m6390486e>;18=0>m6390286e>;18;0>m6391686e>;19?0>m6391486e>;19=0>m6392886e>;1:10>m6392686e>;1:?0>m6393e86e>;1;j0>m6393c86e>;1;h0>m63:92861>;2:=0>963:47861>;2<>0>963:44861>;20?0>963:82861>;2?o0>963:7c861>;2?>0>963:72861>;2>o0>963:6c861>;2>>0>963:62861>;5lj0>963=d8861>;5l<0>963=d0861>;5km0>963=c8861>;5k<0>963=c0861>;5jm0>963=b8861>;3l:0>963;c3861>;4=k0>m63<6286e>;4;k0>m63<3b86e>;4;m0>m63<3d86e>;4m63<4d86e>;4m63<5186e>;4;;0>m63<3286e>;4=l0>m63<5g86e>;4:k0>m63<2b86e>;4>=0>m63<6486e>;4=j0>m63<74861>;4?j0>963<7e861>;4?l0>963<7g861>;4090>963<80861>;40;0>963<82861>;40=0>963<77861>;4?>0>963<79861>;4?00>963<7`861>;4?k0>963<8486e>;3=l0>m63;5e86e>;3=j0>m63;5c86e>;3m63;4886e>;3<10>m63;4686e>;3;?0>m63;3486e>;3;=0>m63;3286e>;50=0>963=80861>;5?m0>963=78861>;5?<0>963=70861>;2910>963:16861>;51=0==63=96855>{t=h0;6<>j{_7b?83fl3?>70;mc;76?83fn3?>70;m8;76?83e;3?>70;m1;76?83e>3?>7070<<0;76?844>3?>70<<8;76?844i3?>70<70<;0;76?843:3?>70707070<>2;76?846<3?>70<>6;76?84603?>70<>c;76?846m3?>70<=0;76?845:3?>70<=4;76?845>3?>70<=8;76?845i3?>70<=c;76?845m3?>70<<2;76?844<3?>701;7b?846;3?j70<>5;7b?846?3?j70<>b;7b?846l3?j70<>f;7b?84593?j70<=3;7b?845=3?j70<=7;7b?84513?j70<=b;7b?845l3?j70<<1;7b?844;3?j708<4;76?835j3?>70;=c;76?836>3?>70;?3;76?837:3?>70;?1;76?83783?>70:if;76?82am3?>70:id;76?82ak3?>70:ib;76?82ai3?>70;;e;76?833l3?>70;>f;76?835;3?>70;=2;76?82fl3?>70:ne;76?82fn3?>70:m0;76?82e93?>70:m2;76?82e;3?>70:m4;76?82dl3?>70:k2;76?82c83?>70:k1;76?82ei3?>70:l1;76?82el3?>70:me;76?82en3?>70:l0;76?82>?3?>70:68;76?82>;3?>70==7;76?85503?>70:65;76?82>>3?>70=<7;76?85313?>70==9;76?85?03?>70=76;76?85?j3?>70=79;76?85?m3?>70=7c;76?85>93?>70=7f;76?85><3?>70=62;76?85>?3?>70=65;76?85>i3?>70=68;76?85>l3?>70=6b;76?85f83?>70=6e;76?85f;3?>70=n1;76?826n3?>70:>c;76?82603?>70:>4;76?82683?>70:?c;76?82703?>70:?4;76?82783?>70=ic;76?85cj3?>70=k8;76?85c<3?>70=k0;76?85dk3?>70=l8;76?85d<3?>70=l0;76?85ek3?>70=m8;76?835m3?>70;<0;76?83><3?>70;>4;76?80>83?>708i2;76?80a93?>708jf;76?80bm3?>708jd;76?80bk3?>708jb;76?80bi3?>708j9;76?80b03?>708j7;76?80b>3?>708j4;76?80b;3?>708j2;76?80b93?>708j0;76?80cn3?>708ke;76?80cl3?>708kc;76?80cj3?>708i9;76?80a03?>708i7;76?80a>3?>708i5;76?80a<3?>708i3;76?80a83?>708j5;76?80ci3?>70;ke;76?83b13?>70;i4;76?83a?3?>70;i8;76?83a13?>70;ia;76?83aj3?>70;ic;76?83al3?>70;kf;76?83b83?>70;j1;76?83b:3?>70;j3;76?83b<3?>70;j5;76?83b>3?>70;j7;76?83b03?>70;ja;76?83bj3?>70;jc;76?83bl3?>70;je;76?83bn3?>70;i0;76?83a93?>70;i2;76?83a;3?>70;i5;76?83a>3?>70;me;76?83d13?>70;k4;76?83c?3?>70;k8;76?83c13?>70;ka;76?83cj3?>70;kc;76?83cl3?>70;mf;76?83d83?>70;l1;76?83d:3?>70;l3;76?83d<3?>70;l5;76?83d>3?>70;l7;76?83d03?>70;la;76?83dj3?>70;lc;76?83dl3?>70;le;76?83dn3?>70;k0;76?83c93?>70;k2;76?83c;3?>70;k5;76?83c>3?>70;=0;76?83593?>70;;a;76?82cj3?>70:lf;76?82dm3?>70:mc;76?82ej3?>70<64;45?84>?3<=7p}7e;296~X?m278>84>bb9~w4d3290o5220;96g=::;l1>o5222796g=:::=1>o5222;96g=:::h1>o5222f96g=:::l1>o5225396g=::9?1>o5221596g=::931>o5221`96g=::9n1>o5221d96g=::8;1>o5220196g=::8?1>o5220596g=::8h1>o5220f96g=::8l1>o5223396g=::;91>o5223796g=::;=1>o5223;96g=::;h1>o5223f96g=:::;1>o5222196g=:>9;15l526129=d=:=ol15l525gg9=d=:>8:15l526039=d=:>8915l526009=d=:>;815l526319=d=:>;?15l526369=d=:>:<15l526259=d=:>:315l5262:9=d=:>:?15l525909=d=:=>o15l5256c9=d=:=><15l525609=d=:=?o15l5257c9=d=:=?<15l525709=d=::m215l522e69=d=::m:15l522ba9=d=::j215l522b69=d=::j:15l522ca9=d=::k215l524ea9505<5=nh6<;:;<11=?7d127?=o46a:?752<>i27?=>46a:?74c<>i27?i27?<>46a:?0bc<>i278jo46a:?0`2<>i278h>46a:?0gc<>i278oo46a:?0g2<>i278o>46a:?0fc<>i278no46a:?0f2<>i27?9l46a:?71<<>i27?9546a:?712<>i27?9;46a:?703<>i27?8846a:?701<>i27?8>46a:?707<>i27???46a:?774<>i27??=46a:?76c<>i27?>h46a:?1<5<>i279;n46a:?13=<>i279;946a:?135<>i27?ho467:?1=1<0:;16>4:5732897?32>;m70<64;52a>;51=0:oi5228695fc<5;3<6n<4=3;4>f7<5;3<6h=4=3;4>2453482;79=0:?1=2<09o16>49570g897?028io70<67;3`a>{t:0k1<74dd348j?7?:8:p6;6s|28a94?4|5;3h64k50;0x97?b28hh70{t:h91<74dd348j>7?:4:p646s|28d94?4|5;3m6l>50;0x97g728hh70{t:h;1<74dd348j87?:6:p6d2=838p1?o;:0``?84f:3;>?6s|2`094?4|5;k96;:50;5x97>c28hh70<74;3`=>;5080:o45226f95f?<5;=26c89~w6752909w0;51>0:>n5rs232>5<5s48m97?:5:?1=250;0x97`228?<70<67;g`?xu48o0;6?u22g7950><5;3<6hl4}r13a?6=:r795h4>579>6<1=mh1v>>k:18184>m3;>;63=968f=>{t;9h1<74323482;7k7;|q04d<72;q6>4k514:897?028:<7p}<0883>7}::0i1=884=3;4>4613ty8<54?:3y>60;6?u228a9503<5;3<6<>:;|q043<72;q6>4m514:897?028:?7p}<0483>7}::0h1=884=3;4>4643ty8<94?:3y>6>;|q047<72;q6>4l514:897?02on0q~=?0;296~;51h0:9;522859b7=z{;lm6=4={<0:e?72?2795:4j7:p6cc=838p1?7n:076?84><3;9o6s|2gf94?4|5;3j6<;7;<0:0?cc3ty9jn4?:3y>6d7=9<<01?7;:da8yv4aj3:1>v3=a08212=::0>1io5rs3db>5<5s48j=7?:5:?1=1k750;0x97g628?370<64;g:?xu5n10;6?u22`29500<5;3?6h64}r0e3?6=:r79m=4>569>6<2=99=0q~=>9;296~;5i90:9852286955052z?1e5<6=116>4:513`8yv56?3:1>v3=9g8213=::0>1==;4}r122?6=:r795k4>569>6<2=99>0q~=>5;296~;51o0:9852286955552z?1=c<6=116>4:51108yv56;3:1>v3=9e8213=::0>1==?4}r13g?6=:r795i4>569>6<2=nm1v>>>:18184>l3;>963=958e6>{t:o<1<743?348287k8;|q066<72=q6>im51b4897bc28?870<64;1b?84>?39j7p}<2383>1}::m31=n84=3fb>434348287=7;<0:3?5?3ty8><4?:5y>6a3=9j<01?j9:070?84><39<70<67;14?xu4:90;69u22e395f0<5;n96<;<;<0:0?513482;7=9;|q05c<72=q6>nj51b4897eb28?870<64;60?84>?3>87p}<1d83>1}::j31=n84=3ab>434348287:=;<0:3?253ty8=i4?:5y>6f3=9j<01?m9:070?84><3>:70<67;62?xu49j0;69u22b395f0<5;i96<;<;<0:0?273482;7:?;|q05g<72=q6>oj51b4897db28?870<64;1e?84>?39m7p}<1`83>1}::k31=n84=3`b>434348287=j;<0:3?5b3ty9ih4?:5y>6<5=1>16>;=514:897>328i=70<75;367>{t:ln1<7:t=3;1><1<5;<86<;8;<0;5?7d>2794?4>529~w7cd290?w0<61;;4?841;3;>:63=7e82g3=::>o1=8=4}r0ff?6=:751b48971f28?87p}=e`83>1}::1l15:522719502<5;=>6h750;6x97>b20=01?8<:070?84093;h:63=738216=z{:8?6=4l{<0;`?72;279:>4>bb9>6ae==>16>i75569>6a3==>16>i?5569>6fb==>16>n75569>6f3==>16>n?5569>6gb==>16>o75569~w7`32909w0<63;3`2>;5n=0:985rs3d0>5<4s482>7?l6:?1e7<6l;16>k:51458yv4a:3:18v3=9082g3=::h91=8=4=3c7>434348m87?:6:p6c7=83>p1?7?:0a5?84f;3;>863=a58211=::o>1=8=4}r0e4?6=ir794k4>c79>601?7m:077?84>k3;>863=9d8211=::0n1=8:4=3;e>433348j<7?:4:?1e4<6==16>k;51418yv4bn3:1mv3=8d82g3=::0k1=8=4=3;a>4343482o7?:3:?1=`<6=:16>4j5141897?a28?870;5i80:9>522g7950252z?6f3<>?27>n84>c79~w0d52909w0;m1;;4?83e83;h:6s|5c694?4|54e13ty>n44?:3y>1g>=1>169o851b48yv3ei3:1?v3:ag8:3>;2j:0:o;525`g950552z?6f0<6k>169o>5969~w0dc2909w08<4;3`2>;11902;6s|68394?4|54e13ty=5?4?:2y>1ge=9j<018l::3:890d72;20q~863;296~;2im02;63:b982g3=z{?i<6=4={<000?7d>2795:4l0:p2f0=838p1?==:0a5?84>?3hm7p}9c583>7}::;o1=n84=3;4>gc52z?16f<6k?16>495be9~w3e52909w0<=a;3`2>;51>0oo6s|6b394?4|5;836670=9j<01?78:d08yv0en3:1>v3=2582g3=::0=1hl5rs7`f>5<5s489>7?l6:?1=2c79>6<1=l?1v;l6:18184603;h:63=968g6>{t>k21<74e13482;7m8;|q5f2<72;q6><:51b4897?02ki0q~8m6;296~;59;0:o;522869a6=z{?h>6=4={<024?7d>279594l2:p2g2=838p1?>j:0a5?84><3i:7p}9b283>7}::9i1=n84=3;7>f67>52z?14d<6k?16>4:5bg9~w3d62909w0;51=0ii6s|6c294?4|5;:=6614=9j<01?7;:ea8yv0dl3:1>v3=4182g3=::0>1ho5rs7a`>5<5s488i7?l6:?1=1c79>6<2=l11v;m7:181844>3;h:63=958g3>{t>j?1<74e1348287j9;|q5fd<72;q6>5z?6ea<6k?1684:51418963e2;k01>8<:3c8965e2;o01>=l:3g8965c2;o01>=j:3g8962c2;o01>:j:3g8962a2;o01>;?:3g896552;o01>=<:3g8963b2;o01>;i:3g8964e2;o01>8::3g8963d2;o0q~865;2951}:=hl1=n84=27a>7d<5:<86?l4=21a>7`<5:9h6?h4=21g>7`<5:9n6?h4=26g>7`<5:>n6?h4=26e>7`<5:?;6?h4=211>7`<5:986?h4=27f>7`<5:?m6?h4=20a>7`<5:8h6?h4=247>7`<5:<>6?h4=27`>7`52z?060<6=:1694=51b48yv0c=3:1?v3:ae82g<=:<154z?6f=<6k0169o=51b;891d628i=70:m2;;4?xu1l:0;68u25`d95f?<5c79>0g7=1>1v;j=:18083ek3;o>63;ag82g3=:5<4s4>ji7?l6:?7ec<>?27=5=4>c59~w3b72903w08<4;3g6>;29?02;63:458213=:<1<5=nn6<;;;<7:0?7d127>=94>c89~w3b02908w0:m4;3`2>;4:10:o45248495f?525y>0g5=9j<019l;:858964028i270:65;3`=>;4;>0:o45235;95f?<5:236c89>7=?=9j301>6j:0a:?85?k3;h563<9082g<=:;1l1=n74=2;7>4e>3492>7?l9:?0=2<6k016?4;51b;896?f28i270=68;3`=>;41m0:o45238`95f?<5:k;64>c89>7d7=9j3019?i:0a:?826k3;h563;1982g<=:<8>1=n74=533>4e>34>;o7?l9:?74=<6k0168=:51b;8916728i270=ic;3`=>{t>0>1<7?;{<737?7d127>c89>157=9j3018>?:0a:?82an3;h563;fd82g<=:4e>34>mn7?l9:?7bd<6k01699k51b;8902c28i270;>9;4f?82cm3;>?63;bg82g3=:=:49e:p2db=839p1>o>:0a5?84><3>270<67;6:?xu1ij0;6>u238g95f0<5;3?6994=3;4>1153z?0=g<6k?16>4:5479>6<1=03;h:63=95871>;51>0?96s|6`;94?5|5:3>619=52285915=z{?k=6=4<{<1;g?7d>279594;f:?1=2<3n2wx:l;50;1x96>>28i=70<64;6f?84>?3>n7p}9a583>6}:;1<1=n84=3;7>1b<5;3<69j4}r4b6?6=9?q699k5969>71?=9j<0197;:076?852j38270=93;0:?854j38o70=40|5<>o6494=214>4e134>287?:4:?01g<50278:>4=8:?07g<5k278?n4=c:?07a<5k278?h4=c:?00a<5k2788h4=c:?00c<5k2789=4=c:?077<5k278?>4=c:?01`<5k2789k4=c:?06g<5k278>n4=c:?021<5k278:84=c:?01f<5k2wx:l>50;4x906420=01>o=:070?826n3;h:63;218216=:<m3:1:v3:008:3>;41j0:9>5240:95f0<5=;26<;<;<66g?4b34>?475969>7?63;5b81`>;3<109h6s|68a94?0|5=lm6494=2;5>43434>:<7?l6:?754<6=:1688m52b9>01>=:j1v;7m:18582am33<70=63;367>;38j0:o;5241f9505<5=?i6>?4=564>6756z?7ba<>?2785=4>529>05>=9j<019>6:070?822j38m70:;7;0e?xu1100;6;u24ga9=2=:;1n1=8=4=527>4e134>;97?:3:?71g<5m27?8:4=e:p2<>=83f28?870:?0;3`2>;3880:9>5244`96a=:<==1>i5rs7;4>5<1s4>mm778;<1;3?72;278jn4>c79>7cb=9<9019;m:3a891202;i0q~8ne;29=~;4:10:o;522869371<5;3?6:<:;<0:0?15<2795948229>6<1=?;=01?78:606?84>?3=9863=968466=z{?k86=4<{<6:2?7d>27>584>559>6<2=jj1v;79:18082ck3;>863<2882g3=:=:;1=8:4}r4`b?6=:r78>84>559>6c2=9ki0q~9>2;297~;5;:02;639f382g3=:=m<15:5rs632>5<4s488=778;<4e5?7d>27>h8467:p35`=839p1?u223`9=2=:>lo1=n84=4f1><153z?16<<>?27=ii4>c79>1a7=1>1v:>l:180845?33<708jc;3`2>;2l902;6s|71`94?5|5;8>6494=7ga>4e134?hj778;|q44d<72:q6>?=5969>2`g=9j<018mj:858yv1713:1?v3=208:3>;1m00:o;525bf9=2=z{>:36=4<{<02b??034?2wx;=950;1x977c20=01;k8:0a5?83dj33<7p}80783>6}::8h15:526d495f0<55;;4?80b;3;h:63:c68:3>{t?981<7=t=330><1<5?o96647=1>16:h?51b4890e220=0q~9?0;297~;58o02;639e182g3=:=j>15:5rs7de>5<4s48;h778;<4gb?7d>27>o>467:p2cc=839p1?>m:85893bb28i=70;l2;;4?xu1nm0;6>u221;9=2=:>mn1=n84=4a2><153z?142<>?27=hn4>c79>1f6=1>1v;hm:180847=33<708kb;3`2>;2jo02;6s|70;94?5|5;>:6494=7d:>4e134?oh778;|q45=<72:q6>>h5969>2c>=9j<018jl:858yv16?3:1?v3=3e8:3>;1n>0:o;525e`9=2=z{>;=6=4<{<00f??034?2wx;<;50;1x975>20=01;h::0a5?83c133<7p}81583>6}:::=15:526g695f0<5{t?9?1<7=t=33:><1<5?o>6655=1>16:io51b4890db20=0q~<:b;296~;5;=02;63=3282g3=z{;?j6=4={<006??03488=7?l6:p60>=838p1?7}::;i15:5223`95f0:7>52z?16d<>?279>44>c79~w7322909w0<=8;;4?845?3;h:6s|24694?4|5;8=6494=306>4e13ty99>4?:3y>672=1>16>?=51b48yv42:3:1>v3=238:3>;5:80:o;5rs372>5<5s489<778;<02b?7d>2wx>8>50;0x977b20=01??k:0a5?xu533<70<>5;3`2>{t:=h1<7<1<5;;86<<5969>647=9j<0q~<;9;296~;59902;63=0g82g3=z{;>36=4={<03a??0348;h7?l6:p611=838p1?>l:858976e28i=7p}=4783>7}::9k15:5221;95f052z?14=<>?279<:4>c79~w7232909w096494=362>4e13ty9:<4?:3y>616=1>16>>h51b48yv4183:1>v3=3d8:3>;5;m0:o;5rs37e>5<5s488o778;<00f?7d>2wx>8k50;0x975f20=01?=6:0a5?xu5=m0;6?u222:9=2=:::=1=n84}r06g?6=:r79?;467:?170<6k?1v?;6:181844833<70<=f;3`2>{t:=o1<7<1<5;;26=:5969>655=9j<0q~8;a;296~;1880:m55260291<=z{?>o6=4={<431??034<:87?l8:p21d=838p1;?<:0c;?805:3?27p}94d83>7}:>8=15:5263495f>52z?560<6i116:>85589~w32a2909w08=9;;4?804i3;h46s|66294?4|5?:96?j4=4ff>4e13ty=;o4?:3y>254=:o169h751b48yv0?>3:1>v390281`>;2n=0:o;5rs7::>5<5s4<;?72wx:5o50;0x93632;n018h7:0a5?xu10k0;6?u261696c=:=o31=n84}r4;g?6=:r7=<84=9:?6bd<6k?1v;6k:181807=38i70;ib;3`2>{t>1o1<77b<51cb=9j<0q~881;296~;19<09h63:dg82g3=z{?=96=4={<421?4a34?n<7?l6:p225=838p1;?9:3f890c628i=7p}97583>7}:>8<1>k525d095f052z?552<5127>i>4>c79~w3112909w08>7;0a?83b<3;h:6s|66594?4|5?8=6?j4=4g6>4e13ty=;54?:3y>270=:o169h851b48yv0013:1>v392681`>;2m>0:o;5rs75b>5<5s4<9;72wx::m50;0x934?2;n018kn:0a5?xu1?m0;6?u263:96c=:=lh1=n84}r44a?6=:r7=>44=9:?6af<6k?1v;9i:181805138i70;jd;3`2>{t>1:1<77b<5o52g9>1``=9j<0q~872;296~;1;k09h63:f182g3=z{?286=4={<40f?4a34?m=7?l6:p2=2=838p1;=l:3f890`528i=7p}98483>7}:>:i1>k525g195f052z?57a<5127>j84>c79~w3>?2909w083;h:6s|64294?5|5?:96?m4=4ff><1<51`?=1>169n751b48yv01>3:1?v390281g>;2n=02;63:d582g3=z{?<26=4<{<437?4b34?m;778;<7g3?7d>2wx:;o50;1x93632;i018h7:85890b?28i=7p}96c83>6}:>9>1>h525g;9=2=:=m31=n84}r45g?6=;r7=<84=8:?6bd<>?27>hl4>c79~w30c2908w08?5;0b?83aj33<70;kb;3`2>{t>?o1<7=t=737>7e<54e13ty=:k4?:2y>242=:l169kj5969>1ab=9j<0q~8:1;297~;19<09o63:dg8:3>;2jo0:o;5rs771>5<4s4<:97:0a5?xu1==0;6>u260496`=:=l815:525b095f097>53z?552<5027>i>467:?6g6<6k?1v;;9:180806?38j70;j4;;4?83d<3;h:6s|64594?5|5?8=6?m4=4g6><1<561`0=1>169n851b48yv0213:1?v392681g>;2m>02;63:c682g3=z{??j6=4<{<413?4b34?n4778;<7`2wx:8m50;1x934?2;i018kn:85890ef28i=7p}95e83>6}:>;21>h525d`9=2=:=jh1=n84}r46a?6=;r7=>44=8:?6af<>?27>on4>c79~w33a2908w08=9;0b?83bl33<70;ld;3`2>{t>?:1<7=t=71b>7e<54e13ty=:<4?:2y>26g=:l169hh5969>1f`=9j<0q~892;297~;1;k09o63:f18:3>;2l90:o;5rs740>5<4s4<8n7<0;6>u262a96`=:=o915:525e195f053z?57a<5027>j8467:?6`0<6k?1v;87:180804l38j70;i6;;4?83c>3;h:6s|61;94?4|54g?3ty=256==0169kh51`:8yv07j3:1>v390086=>;1890:m55rs72`>5<5s4<;>7?l6:?6b`<6l;1v;>9:181807;3;h4639038:3>{t>9n1<74e134?mj7?k2:p251=838p1;>;:0a;?807;33<7p}90d83>7}:>9>1=n84=723>4b53ty=<54?:3y>253=9j=01;>;:858yv07n3:1>v390482g3=:>9;1=i<4}r42`?6=:r7==>4:9:?557<6i11v;?l:18180693;j46391386=>{t>8o1<74b534<:87?l6:p24>=838p1;?::0a;?806<33<7p}91g83>7}:>8?1=n84=732>4b53ty==44?:3y>240=9j201;?::858yv0583:1>v391782g3=:>881=i<4}r42e?6=:r7==:4>c69>240=1>1v;<>:181806?3;h:6391282`7=z{?;i6=4={<424?7f027==<4:9:p27`=838p1;<::4;8934328k37p}92d83>7}:>;91=l64=707>0?52z?567<6l;16:?851b48yv05i3:1>v392682g==:>;<15:5rs712>5<5s4<9;7?l6:?566<6l;1v;{t>:81<74e134<987?k2:p27e=838p1;<6:0a4?805033<7p}93283>7}:>;31=n84=706>4b53ty=>i4?:3y>274=9h201;<<:4;8yv03=3:1>v39358:3>;1;<0:m55rs760>5<5s4<857;6;<4028k3708<5;7:?xu1<;0;6?u262595d><5?936874}r472?6=:r7=?;4>d39>26g=9j<0q~8<6=4={<40f?7d>27=?:4>d39~w35a2909w08;1;k02;6s|65:94?4|5?9h650;0x935c28i<708851`:893502<30q~;>b;296~;29k0:nn5250c950252z?65f<6jj16952z?602<6k?1699;5969~w0422909w0;=4;3`2>;2:902;6s|53594?5|5<;m6494=403>4e134?9=778;|q66=<72;q697}:=;91=n84=401><152z?667<6k?1693:19v3:2585a>;2::0=i63:1d82ff=:=;:1:h5253392`=z{<3=6=4={<6fb?7ek27>8<4>569~w0??2909w0:i1;3ag>;2<;0:9:5rs4;:>5<5s4>m>7?mc:?67a<6=>1v87n:18182a;3;io63:3d8213=z{<3i6=4={<6e0?7ek27>8<4>579~w0?d2909w0:i5;3ag>;2<;0:9;5rs4;g>5<5s4>m:7?mc:?67a<6==1v87j:18182a?3;io63:3d8210=z{<3m6=4={<6e8<4>599~w0g72909w0:i9;3ag>;2;o0:955rs4;4>5<5s4>m<7?mc:?67a<6=11v9k7:181836i3;io63:38821==z{4;4>c89>1=5=9j30189i:0a:?830j3;h563:7682g<=:=>91=n74=44e>4e>34?=n7?l9:?622<6k0169;=51b;8yv2b?3:18v3:0582ff=:=8215:525059=2=:=:91=8;4}r6fg?6=;r7>8h4:7:?60a<2?27>=i4>bb9~w0752909w0;63;;4?834i3;io6s|5`394?4|5<>i650;0x902228i=70;;a;4f?xu2i00;6:u256`95f0<5<=h6<;<;<70`?72=27>?h4>559>16`=9:070?833:3;>?6s|5`c94?1|5<=m6?i4>579>16c=9<=018=i:074?83393;>863:438211=z{27>494>529>16`=9<>0q~;nc;297~;20?0:o;525959505<5<9m6<;<;|q6e3<7289p19h?:075?82a13;>:63;f98213=:43134>m97?:6:?7b1<6=?168k=5144891`528?=70:i1;362>;3mo0:9;5257d95f0<5<=;6<;<;<707?72<27>?94>579>163=9<=018=9:074?83403;>:63:388213=z{27>;94>529>116=9<9018=6:076?834k3;o>63:3g8213=:==81=8;4=416>4333ty>m54?:6y>121=9j<01897:070?83383;>863:368216=:=:31=8:4=41g>43434?8i7?:3:p1d5=83;8w0:i0;367>;3n00:9>524g:9505<5=l<6<;<;<6e2?72;27?j84>529>0c2=9<9019h<:070?82a:3;>?63;f08216=:4e134?=87?:3:?677<6l;169;2;?0:9;5252:9503515y>0c6=9<>019h6:077?82a03;>863;f68211=:43334>m87?:4:?7b6<6==168k<5146891`628??70:jf;360>;2>>0:o;5257:9505<5<986<;<;<700?72;27>=o4>599>14e=9<2018=::07;?834>3;>463:39821==z{6=4>3z?65d<6l;168k>5147891`>28?>70:i8;361>;3n>0:98524g49503<5=l>6<;:;<6e0?72=27?j>4>549>0c4=9:076?82bn3;>963:6c82g3=:=?i1=8=4=410>43134?887?:5:?670<6=?169>851478905?28?<7p};e483>7}:=9>1=8;4=43;>4e13ty?i;4?:3y>14?=9j<018>;:070?xu3m=0;6?u25169502<5<;<6154==>169=?5569>156==>168kh5569>0cc==>168kj5569>0ce==>168kl5569>0cg==>1699:51ca8yv2b93:1>v3:4`82g3=:=:>1=864}r6f`?6=:r7>>h4>c79>163=9<90q~:je;297~;2;90:o;525239505<5<9=6<;;;|q656<72:q694:51b4890?228?870;<4;363>{t4e134?:97?:3:?673<6=:1v8??:181833l3;h:63:328212=z{<;:6=4={<77a?7d>27>?94>559~w0622909w0:ia;3`2>;29j0:9>5rs425>5<5s4>mn7?l6:?65f<6==1v8>8:18182ak3;h:63:1b8213=z{<:36=4={<6e`?7d>27>=n4>549~w06>2909w0:ie;3`2>;29k0:9>5rs42b>5<5s4>mj7?l6:?65g<6==1v8>m:18183783;h:63:1c8213=z{<:h6=4={<735?7d>27>=o4>549~w06c2909w0;?2;3`2>;2;10:995rs42f>5<5s4?;?7?l6:?67=<6=:1v9k<:181836>3;h:63:458210=z{=oi6=4;{<71g?7d>27>894>529>14b=9<901843334?:h7?:4:?66a<6==1v9k6:187835j33<70;>f;3`2>;2::0>;63:228:3>{t=<21<7<1<5<<>613?==0169;<51`:8yv3213:1>v3:668:3>;2>00:nn5rs473>5<4s4?=m7;6;<75`?3>34?=:7?n8:p10g=838p188m:858900c28hh7p}:5083>6}:=?o1945256391<=:=?k1=l64}r76f?6=:r7>:k467:?634<6jj1v8;=:180830:3?270;85;7:?831m3;j46s|54a94?4|5<=86494=456>4dd3ty>9>4?:2y>120==0169:75589>124=9h20q~;:d;296~;2?>02;63:7882ff=z{34?u256g91<=:=1;1945256c95d>j7>52z?63c<>?27>4<4>bb9~w0312908w0;72;7:?83?=3?270;8e;3b<>{t=?:1<7<1<5<2>61=4=9h20q~;91;296~;20?02;63:8982ff=z{<226=4<{<750?7ek27>:?4>d39>133=9h=0q~;7a;297~;2>10:nn5257495a4<5<<26{t=1i1<7=t=453>4dd34?=i7?k2:?634<6i>1v86k:180830<3;io63:7382`7=:=>?1=l94}r7;a?6=;r7>;54>bb9>120=9m801896:0c4?xu20o0;6>u256a95ge<5<=j650;1x90>728hh70;8e;3g6>;2080:m:5rs4;2>5<4s4?387?mc:?6<7<6l;1695;51`58yv3>:3:1>v3:8682ff=:=121=l94}r0ba?6=:r79n4467:?1fg<6jj1v?o::18084ek3?2704dd3ty9m;4?:2y>6f6==016>n=5589>6ge=9h20q~348h;7;6;<0`4?7f02wx>o?50;0x97e220=01?m8:0``?xu5i10;6>u22b:91<=::jh194522b695d>7>52z?1g<<>?279oo4>bb9~w7g>2908w0{t:k91<7<1<5;im6i>5589>6a5==016>nm51`:8yv4e<3:1>v3=d08:3>;5l:0:nn5rs3ca>5<4s48o87;6;<0g3?3>348o<7?n8:p6g3=838p1?j::85897b028hh7p}=ab83>6}::m2194522e`91<=::m>1=l64}r0a2?6=:r79h4467:?1`g<6jj1v?ok:18184cm3?270{t:k=1<7<1<5;nn6oo51ca897d?28n970{t:l:1<7=t=3`f>4dd348io7?k2:?1fc<6i>1v?k>:18084d:3;io63=c182`7=::j91=l94}r0f6?6=;r79o;4>bb9>6f2=9m801?m8:0c4?xu5m:0;6>u22bc95ge<5;i36h:50;1x97eb28hh70;5ko0:m:5rs3g6>5<4s48o>7?mc:?1`5<6l;16>i=51`58yv4b>3:1?v3=d782ff=::m>1=i<4=3f4>4g03ty9i:4?:2y>6ag=9ki01?j7:0f1?84cj3;j;6s|2d:94?4|5;no6;3j90:h?524c395a4<5=h96d39>0ae=9ki0q~:m5;297~;3lm0:9>524e295f0<5=n:6494}r6a2?6=:r7?hi4>559>0ad=9j<0q~:k5;291~;3l:0=i63;d385a>;3kj0:nn524bd92`=:5<5s4>o=7?l6:?7gf<6=:1v9j6:18182c:3;h:63;d18:3>{t4e134>o>7;8;<6g6??03ty?h:4?:3y>0fb=9j3019mi:0a5?xu3l?0;6>u24bf9=2=:o87>52z?7`6<6k?168nk5969~w1e3290>w0:l2;4f?82d93;3jj0=i63;bc85a>{t4e134>i57?:3:p0fg=838p19lj:0a5?82en33<7p};c883>7}:<1h47>52z?7g4<6k?168oj5969~w1e02908w0:ma;3`2>;3k80>;63;c08:3>{t4e>34>io7?l6:p0f3=839p19ln:85891dd20=019lm:0a5?xu3k:0;6?u24b095f0<5=hi6494}r11b?6=:r78>l4>529>77e=9j<0q~==e;296~;4:k02;63<2b82g==z{:9;6=4={<11e?72<278>o4>c79~w64c2909w0==9;;4?855i3;io6s|37394?4|5:?o6<;<;<16b?7d>2wx?;>50;0x963b20=01>;i:0a;?xu4>;0;6?u234f9502<5:?n6?51418965428i=7p}<3583>7}:;:815:5232195f>52z?074<6==16?><51b48yv52?3:1>v3<4`8216=:;<:1=n84}r160?6=:r788k467:?015<6k11v>;7:181853i3;>863<4g82g3=z{:?>6=4={<17a??0349?j7?l8:p70?=838p1>:m:070?853m3;h:6s|34494?4|5:>o6494=26f>4e?3ty89l4?:3y>71d=9<>01>:k:0a5?xu4=80;6?u235a9505<5:>j67}:;=315:5235a95ge52z?07=<6=:16?>k51b48yv53:3:1>v3<3e8:3>;4;l0:o55rs265>5<5s49847?:4:?07a<6k?1v>:<:181854k33<70={t;==1<74343498o7?l6:p712=838p1>=m:858965d28i37p}<4983>7}:;:31=8:4=21a>4e13ty8?k4?:3y>76g=9<901>=7:0``?xu4<90;6?u232c9502<5:92695969>76g=9ki0q~=96;29g~;4:>02;63<5c82g3=:;mh19:523e:912=:;m>19:523e2912=:;ji19:523b:912=:;j>19:523b2912=:;ki19:523c:912=z{=k>6=4={<16f?7d?278?>467:p08<:0a5?826n3?<70:>c;74?82603?<70:>4;74?82683?<70:?c;74?82703?<70:?4;74?82783?<70=ic;74?xu3i=0;6?u234`9=2=:;?91=n94}r6bf?6=:r7?58467:?7=1<6jj1v9ol:18182>=3;h:63;978:3>{t4e134994778;|q7=g<72;q68495569>732=9j<0q~:6e;296~;3110>;63<6482g3=z{=k26=4={<150??0349=97?l8:p73>=838p197<:458963d28i=7p};a983>7}:;??15:5234a95f>j;7>52z?06g<6k116?8m5969~w641290?w0:67;3`=>;3110:o45248195f?<5:9:6<51b:8964d20=0q~=9a;29f~;4=m0:nn523e`95f?<5:n36c89>7fe=9j301>m7:0a:?85d<3;h5634e>3ty?m>4?:3y>735=1>16?8k51b:8yv2f:3:1>v3<3c82g==:;5<5s498i778;<17`?7d02wx?;750;ax91?428i=70=;4=m0:h?5234a974=z{=3m6=4l{<6:278?44>d39>76>=9m801>:m:0f1?853i3;o>63<33805>;4;:08=63<5d805>;4=o08=63<2c805>;4:j08=63<64805>{t<0i1<7;t=5;4>4e13498m7?k2:?00f<6l;16??o51e0896032:;0q~:n0;296~;4=902;63;5c82g==z{=3o6=4={<6:>:7?n8:p07}:<0915:5243g95d>52z?0`f<6jj16?ij51`58yv5b>3:1?v34g03ty8i84?:2y>7a3=9ki01>j<:0f1?85c>3;j;6s|3d694?5|5:n:6a69~w6c42908w0=ld;3ag>;4kk0:h?523bg95d17>53z?0g<<6jj16?n951e0896ef28k<7p}6}:;j?1=om4=2a0>4b5349h:7?n7:p7`6=839p1>m>:0``?85en3;o>63d39>7gc=9h=0q~=ke;297~;4j00:nn523c595a4<5:hj67ab=9ki0q~=nc;296~;4lm0>5636=4={<1gj8:4;896bf2<301>j<:0c;?xu4j=0;6?u23e69=2=:;m<1=om4}r1be?6=;r78h>4:9:?0`3<21278ok4>a99~w6d42909w0=k0;;4?85c:3;io6s|3`;94?5|5:im6874=2f1>0?<5:ii67fc=9ki0q~=n8;297~;4kk0>563;4k>0:m55rs2`2>5<5s49h4778;<1`e?7ek2wx?l950;1x96e02<301>mn:4;896e428k37p}7}:;j>15:523b495ge53z?0g6<21278o;4:9:?0fc<6i11v>oi:18185d833<70=l2;3ag>{t;h?1<7=t=2`e>0?<5:i96874=2`a>4g?3ty8mh4?:3y>7ge=1>16?ok51ca8yv5f<3:1?v3;4jl0>563a69~w14>2908w0:>9;3ag>;39>0:h?5240c95d1947>53z?750<6jj168<=51e08917128k<7p};2683>6}:<8;1=om4=52e>4b534>:>7?n7:p070=839p19>k:0``?827j3;o>63;0d82e2=z{=8>6=4<{<63=?7ek27?<:4>d39>05g=9h=0q~:=4;297~;38<0:nn5241195a4<5=:=6{t<;81<7=t=2dg>4dd349mn7?k2:?0b`<6i>1v>hn:181826n33<70:=1;3ag>{t;o:1<70?<5=;i604c=9ki0q~=jf;297~;39k0>563;1d86=>;39>0:m55rs2d;>5<5s4>:4778;<62e?7ek2wx?hk50;1x91702<3019?n:4;8917428k37p}7}:<8>15:5240495ge53z?756<2127?=;4:9:?74c<6i11v>h9:181826833<70:>2;3ag>{t;li1<7=t=52e>0?<5=;96874=52a>4g?3ty8j84?:3y>05e=1>168=k51ca8yv5bj3:1?v3;0c86=>;38l0>563;0682e==z{:l?6=4={<63;m7?mc:p7`g=839p19>8:4;8916f2<3019><:0c;?xu4n:0;6?u24169=2=:<9<1=om4}r1f=?6=;r7?<>4:9:?743<21278jk4>a99~w6`52909w0:?0;;4?827:3;io6s|3d:94?5|5:lm6874=521>0?<5:li67cc=9ki0q~=84;296~;4i:02;637k:85896?d28hh7p}<7083>7}:;0k15:5238;95ge52z?0=2<>?2785;4>bb9~w60a2909w0=64;;4?85>;3;io6s|37g94?4|5:3:6494=2;3>4dd3ty8:i4?:3y>7=c=1>16?5j51ca8yv51k3:1>v3<8c8:3>;40h0:nn5rs24a>5<5s4934778;<1;3?7ek2wx8;k50;0x914c28hh70::e;;4?xu3>j0;6?u243a95ge<5=>j6494}r65e?6=:r78484>c79>060=1>1v99::181850j3;h:63<848:3>{t<>>1<74e1349278;5467:p026=838p1>99:0a5?850?33<7p};6g83>6}:;1>1=n84=255><1<5:k96<;:;|q73`<72:q6?5=51b4896>320=01>7i:076?xu3?m0;6>u239095f0<5:286494=2;`>4323ty?;n4?:2y>7=7=9j<01>6=:85896?>28?>7p};7c83>6}:;1:1=n84=2:2><1<5:3=6<;:;|q73d<72:q6?:h51b4896>720=01>7<:076?xu3?00;6>u236g95f0<5:=m6494=2;3>4323ty?;54?:2y>72b=9j<01>9j:85896>c28?>7p};7683>6}:;>i1=n84=25g><1<5:2j6<;:;|q733<72:q6?:;51b48961d20=01>68:076?xu3>m0;6?u243f9505<5=><61}:;h81=8:4=2fa>4e1349oo7?:3:?771<492wx84?50;6x96?a28??70=k8;3`2>;4l00:9>5242696c=z{=3;6=4;{<1:g?72<278h94>c79>7a3=9<9019=;:3g8yv2?n3:18v3<988211=:;m:1=n84=2f2>43434>887;3;=09o6s|49f94?2|5:386<;;;<1`278o44>529>065=;81v96l:18785>83;>8637`3n7>54z?051b4896e628?870:<3;0f?xu30h0;69u239c9502<5:hh64=d:p0=?=83>p1>68:077?85e03;h:63n5rs5:;>5<5s49j?7?l6:?0e4<>?2wx85950;0x96g728i=70=6e;;4?xu30?0;6?u238f95f0<5:3i6494}r6;1?6=:r785l4>c79>7<>=1>1v96;:18185>?3;h:63<948:3>{t<191<74e13492>778;|q7<7<72;q6?4?51b4896>a20=0q~:71;296~;40l0:o;5239a9=2=z{=2;6=4={<1;f?7d>27844467:p02`=838p1>67:0a5?85?>33<7p};6383>7}:<<21945244595d>=?7>52z?71<<2127?954>a99~w1032909w0::a;7:?82213;j46s|47794?4|5=?j63ty?:;4?:3y>00d=9j<019;8:0f1?xu3=o0;6?u244a95f><5=?i6494}r653?6=:r7?9n4>c79>00>=9m80q~:90;296~;3=m0:o55244a9=2=z{=<36=4={<66`?7d>27?944>d39~w1062909w0::e;3`3>;3=m02;6s|47;94?4|5=?n61=l64}r664?6=:r7?8;4:9:?700<6i11v9;>:181823>3;j463;4386=>{t<<81<74e134>??7?k2:p01d=838p19:7:0a;?823?33<7p};5283>7}:<=21=n84=567>4b53ty?8n4?:3y>01?=9j2019:7:858yv22<3:1>v3;4882g3=:<=?1=i<4}r67`?6=:r7?8l4>c69>01?=1>1v9;::181823i3;h:63;4782`7=z{=9j6=4={<604?3>34>9j7?n8:p06d=838p19=>:4;8915728k37p};3b83>7}:<:81945242395d>8h7>52z?777<6i1168?k5589~w15b2909w0:<3;3`2>;3:o0:h?5rs514>5<5s4>887?l8:?776<>?2wx8>h50;0x915328i=70:<0;3g6>{t<:21<74e?34>88778;|q705<72;q68>;51b48915628n97p};3883>7}:<:<1=n94=516><1?=7>52z?773<6k?168><51e08yv41i3:1>v3=708:3>;5?:0:nn5rs346>5<4s48<87;6;<043?3>348<<7?n8:p63d=838p1?9::858971028hh7p}=6783>6}::>21945226`91<=::>>1=l64}r05g?6=:r79;4467:?13g<6jj1v?88:180840k3?270<8f;7:?84003;j46s|27f94?4|5;=o6494=35e>4dd3ty9:54?:2y>6=6==016>5=5589>62e=9h20q~<9e;296~;50802;63=8282ff=z{;<26=4={<0;2?3>3483<7?n8:p63`=838p1?6;:85897>128hh7p}=8683>6}::>81=om4=353>4b5348=839p1?99:0``?840<3;o>63=7682e2=z{;226=4<{<04e?7ek279;54>d39>62d=9h=0q~<7a;297~;5?l0:nn5226a95a4<5;=m65<51ca897>728n970<73;3b3>{t:1i1<74dd3483:7?n7:p5g?=838p1?h::0``?84a<3;>86s|52`94?4|5<9h6m50;0x905a28hh70;{t=:l1<74dd34??=7?:5:p116=838p18:>:0``?834i3;>96s|55394?4|5<>9670;<2;3ag>{t=:81<74dd34?8;7?:6:p163=838p18=9:0``?834?3;>;6s|52494?4|5<9<6950;0x905?28hh70;<9;363>{t=:21<74dd34?8m7?:6:p17`=83;?=v3:2d8:3>;0:o0:nh525c796<=:=k?1>l525c796g=:=k:1>4525c296d=:=k:1>o5221196==::991>45221196d=::831>55220;96<=::831>l5223d96==::;l1>45223d96d=:::?1>55222796<=:::?1>l5222596==:::=1>45222596d=:::31>55222;96<=:::31>l5222`96==:::h1>45222`96d=:::n1>55222f96<=:::n1>l5222d96==:::l1>45222d96d=::=;1>55225396<=::=;1>l5221796==::9?1>45221796d=::9=1>55221596<=::9=1>l5221;96==::931>45221;96d=::9h1>55221`96<=::9h1>l5221f96==::9n1>45221f96d=::9l1>55221d96<=::9l1>l5220396==::8;1>45220396d=::891>55220196<=::891>l5220796==::8?1>45220796d=::8=1>55220596<=::8=1>l5220`96==::8h1>45220`96d=::8n1>55220f96<=::8n1>l5220d96==::8l1>45220d96d=::;;1>55223396<=::;;1>l5223196==::;91>45223196d=::;?1>55223796<=::;?1>l5223596==::;=1>45223596d=::;31>55223;96<=::;31>l5223`96==::;h1>45223`96d=::;n1>55223f96<=::;n1>l5222396==:::;1>45222396d=:::91>55222196<=:::91>l52616974=:>991?<52610974=:=oo19452604974=:>8?1?<52606974=:>;21?<52635974=:>;<1?<5262a974=:>:h1?<5262c974=:>:?1=i<4=40a>01<5<8h6894=435>01<5<;26494=4;0>01<5<896894=465>01<5<><6894=4:5>01<5<286894=45e>01<5<=i6894=454>01<5<=86894=44e>01<5<01<5<<86894=441>0?<5<<>6874=3`;>0?<5;hi6874=5fg>43234>o<7;8;<6g5?3034>ih7;8;<6aa?3034>ij7;8;<6`4?30349397<7;<1;1?4>349397349i;7;6;<1ae?3>34>>h7>h7>h7=>;<66a?4?34>>i7<6;<66a?4f34>>i734>>:7?k2:?70<<5k27?844=d:?70<<5m27?844=f:?70<<4927?8l4=8:?70d<5127?8l4=a:?70d<5j27?8>4:9:?707<6l;168>;52b9>063=:m168>;52d9>063=:o168>;5309>060=:1168>85289>060=:h168>852c9>07`==0168?k51e0897172<301?9<:4;8902f20=01?7;:2f897?32:i01?7;:2`897?32:?01?7;:5a897?32=h01?7;:5c897?32=>01?7;:c6897?32k801?7;:c2897?32hl01?7;:`g897?32hn01?7;:`a897?32hh01?7;:`c897?32h301?7;:`:897?32h=01?7;:`7897?32h>01?7;:`1897?32h801?7;:`3897?32h:01?7;:8d897?320o01?7;:8f897?320i01?7;:c`897?32kk01?7;:c;897?32k201?7;:c5897?32k<01?7;:c7897?32k;01?7;:`4897?320h01?7;:e7897?32m901?7;:e3897?32m:01?7;:bd897?32jo01?7;:bf897?32ji01?7;:b`897?32jk01?7;:b;897?32j201?7;:b4897?32j?01?7;:b6897?32j901?7;:d3897?32ml01?7;:eg897?32mn01?7;:d4897?32l>01?7;:01b?84><3;hn63=9582gf=::0=1?i5228597f=::0=1?o52285970=::0=18n5228590g=::0=18l52285901=::0=1n9522859f7=::0=1n=522859ec=::0=1mh522859ea=::0=1mn522859eg=::0=1ml522859e<=::0=1m5522859e2=::0=1m8522859e1=::0=1m>522859e7=::0=1m<522859e5=::0=15k522859=`=::0=15i522859=f=::0=1no522859fd=::0=1n4522859f==::0=1n:522859f3=::0=1n8522859f4=::0=1m;522859=g=::0=1h8522859`6=::0=1h<522859`5=::0=1ok522859g`=::0=1oi522859gf=::0=1oo522859gd=::0=1o4522859g==::0=1o;522859g0=::0=1o9522859g6=::0=1i<522859`c=::0=1hh522859`a=::0=1i;522859a1=::0=1=>o4=3;4>4ee3482;7?lc:p167=838p18=>:0``?834833<7p}:9483>7}:=0?1=om4=4;7><152z?650<6jj169<:5969~w4d22909w0;;2;j0:9>5rs0`5>5<5s4?8i7?mc:?67f<6==1v??4>559~yk`3<3:1=vF81`9~jc22290:wE9>a:mb10=83;pD:?n;|le02<728qC;5<6sA=:m6saf5c94?7|@>;j7p`i4c83>4}O?8k0qch;c;295~N09h1vbk:k:182M16i2wej9k50;3xL27f3tdm8k4?:0yK34g<7>51zJ45d=zfo?:6=4>{I52e>{in<81<7?tH63b?xha=:0;6a:mb00=83;pD:?n;|le12<728qC;5<6sA=:m6saf4c94?7|@>;j7p`i5c83>4}O?8k0qch:c;295~N09h1vbk;k:182M16i2wej8k50;3xL27f3tdm9k4?:0yK34g51zJ45d=zfo<:6=4>{I52e>{in?81<7?tH63b?xha>:0;6a:mb30=83;pD:?n;|le22<728qC;5<6sA=:m6saf7c94?7|@>;j7p`i6c83>4}O?8k0qch9c;295~N09h1vbk8k:182M16i2wej;k50;3xL27f3tdm:k4?:0yK34g51zJ45d=zfo=:6=4>{I52e>{in>81<7?tH63b?xha?:0;6a:mb20=83;pD:?n;|le32<728qC;5<6sA=:m6saf6c94?7|@>;j7p`i7c83>4}O?8k0qch8c;295~N09h1vbk9k:182M16i2wej:k50;3xL27f3tdm;k4?:0yK34g51zJ45d=zfo2:6=4>{I52e>{in181<7?tH63b?xha0:0;62290:wE9>a:mb=0=83;pD:?n;|le<2<728qC;5<6sA=:m6saf9c94?7|@>;j7p`i8c83>4}O?8k0qch7c;295~N09h1vbk6k:182M16i2wej5k50;3xL27f3tdm4k4?:0yK34g51zJ45d=zfo3:6=4>{I52e>{in081<7?tH63b?xha1:0;6<3:1=vF81`9~jc?2290:wE9>a:mb<0=83;pD:?n;|le=2<728qC;5<6sA=:m6saf8c94?7|@>;j7p`i9c83>4}O?8k0qch6c;295~N09h1vbk7k:182M16i2wej4k50;3xL27f3tdm5k4?:0yK34g51zJ45d=zfok:6=4>{I52e>{inh81<7?tH63b?xhai:0;6a:mbd0=83;pD:?n;|lee2<728qC;5<6sA=:m6saf`c94?7|@>;j7p`iac83>4}O?8k0qchnc;295~N09h1vbkok:182M16i2wejlk50;3xL27f3tdmmk4?:0yK34g51zJ45d=zfoh:6=4>{I52e>{ink81<7?tH63b?xhaj:0;6a:mbg0=83;pD:?n;|lef2<728qC;5<6sA=:m6safcc94?7|@>;j7p`ibc83>4}O?8k0qchmc;295~N09h1vbklk:182M16i2wejok50;3xL27f3tdmnk4?:0yK34g51zJ45d=zfoi:6=4>{I52e>{inj81<7?tH63b?xhak:0;6a:mbf0=83;pD:?n;|leg2<728qC;5<6sA=:m6safbc94?7|@>;j7p`icc83>4}O?8k0qchlc;295~N09h1vbkmk:182M16i2wejnk50;3xL27f3tdmok4?:0yK34g51zJ45d=zfon:6=4>{I52e>{inm81<7?tH63b?xhal:0;6a:mba0=83;pD:?n;|le`2<728qC;5<6sA=:m6safec94?7|@>;j7p`idc83>4}O?8k0qchkc;295~N09h1vbkjk:182M16i2wejik50;3xL27f3tdmhk4?:0yK34g51zJ45d=zfoo:6=4>{I52e>{inl81<7?tH63b?xham:0;6a:mb`0=83;pD:?n;|lea2<728qC;5<6sA=:m6safdc94?7|@>;j7p`iec83>4}O?8k0qchjc;295~N09h1vbkkk:182M16i2wejhk50;3xL27f3tdmik4?:0yK34g51zJ45d=zfol:6=4>{I52e>{ino81<7?tH63b?xhan:0;6a:mbc0=83;pD:?n;|leb2<728qC;5<6sA=:m6safgc94?7|@>;j7p`ifc83>4}O?8k0qchic;295~N09h1vbkhk:182M16i2wejkk50;3xL27f3tdmjk4?:0yK34g4?:0yK34g4?:0yK34g=4?:0yK34g<4?:0yK34g?4?:0yK34g>4?:0yK34g94?:0yK34g84?:0yK34g;4?:0yK34g:4?:0yK34g54?:0yK34g44?:0yK34gl4?:0yK34go4?:0yK34gn4?:0yK34gi4?:0yK34gh4?:0yK34gk4?:0yK34g4?:0yK34g4?:0yK34g4?:0yK34g4?:0yK34g4?:0yK34g4?:0yK34g4?:0yK34g4?:0yK34g4?:0yK34g4?:0yK34g4?:0yK34g4?:0yK34g4?:0yK34g4?:0yK34g4?:0yK34g=4?:0yK34g<4?:0yK34g?4?:0yK34g>4?:0yK34g94?:0yK34g84?:0yK34g;4?:0yK34g:4?:0yK34g54?:0yK34g44?:0yK34gl4?:0yK34go4?:0yK34gn4?:0yK34gi4?:0yK34gh4?:0yK34gk4?:0yK34g4?:0yK34g4?:0yK34g4?:0yK34g4?:0yK34g4?:0yK34g4?:0yK34g4?:0yK34g4?:0yK34g4?:0yK34g4?:0yK34g4?:0yK34g4?:0yK34g4?:0yK34g4?:0yK34g4?:0yK34g=4?:0yK34g<4?:0yK34g?4?:0yK34g>4?:0yK34g94?:0yK34g84?:0yK34g;4?:0yK34g:4?:0yK34g54?:0yK34g44?:0yK34gl4?:0yK34go4?:0yK34gn4?:0yK34gi4?:0yK34gh4?:0yK34gk4?:0yK34g4b2>:=2=pNOBz2~DEV|uIJ \ No newline at end of file diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ucf b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ucf new file mode 100644 index 000000000..228071c37 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ucf @@ -0,0 +1,15 @@ +# +# Clock constraints +# +NET "CLK" TNM_NET = D_CLK ; +INST "U0/*/U_STAT/U_DIRTY_LDC" TNM = D2_CLK; +TIMESPEC TS_D2_TO_T2_chipscope_ila_32 = FROM D2_CLK TO "FFS" TIG; +TIMESPEC TS_J2_TO_D2_chipscope_ila_32 = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J3_TO_D2_chipscope_ila_32 = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J4_TO_D2_chipscope_ila_32 = FROM "FFS" TO D2_CLK TIG; + +# +# Input keep/save net constraints +# +NET "TRIG0<*" S; +NET "TRIG0<*" KEEP; diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32.v b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.v new file mode 100644 index 000000000..5c409080b --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.v @@ -0,0 +1,31 @@ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 2013 Xilinx, Inc. +// All Rights Reserved +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 14.4 +// \ \ Application: Xilinx CORE Generator +// / / Filename : chipscope_ila_32.v +// /___/ /\ Timestamp : Fri Mar 08 11:59:29 PST 2013 +// \ \ / \ +// \___\/\___\ +// +// Design Name: Verilog Synthesis Wrapper +/////////////////////////////////////////////////////////////////////////////// +// This wrapper is used to integrate with Project Navigator and PlanAhead + +`timescale 1ns/1ps + +module chipscope_ila_32( + CONTROL, + CLK, + TRIG0) /* synthesis syn_black_box syn_noprune=1 */; + + +inout [35 : 0] CONTROL; +input CLK; +input [31 : 0] TRIG0; + +endmodule diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32.veo b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.veo new file mode 100644 index 000000000..c949fbe7f --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.veo @@ -0,0 +1,30 @@ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 2013 Xilinx, Inc. +// All Rights Reserved +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 14.4 +// \ \ Application: Xilinx CORE Generator +// / / Filename : chipscope_ila_32.veo +// /___/ /\ Timestamp : Fri Mar 08 11:59:29 PST 2013 +// \ \ / \ +// \___\/\___\ +// +// Design Name: ISE Instantiation template +/////////////////////////////////////////////////////////////////////////////// + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +chipscope_ila_32 YourInstanceName ( + .CONTROL(CONTROL), // INOUT BUS [35:0] + .CLK(CLK), // IN + .TRIG0(TRIG0) // IN BUS [31:0] +); + +// INST_TAG_END ------ End INSTANTIATION Template --------- + diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32.xco b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.xco new file mode 100644 index 000000000..15047431b --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.xco @@ -0,0 +1,141 @@ +############################################################## +# +# Xilinx Core Generator version 14.4 +# Date: Fri Mar 8 19:57:57 2013 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:chipscope_ila:1.05.a +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx75 +SET devicefamily = spartan6 +SET flowvendor = Foundation_ISE +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = fgg484 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT ILA_(ChipScope_Pro_-_Integrated_Logic_Analyzer) family Xilinx,_Inc. 1.05.a +# END Select +# BEGIN Parameters +CSET check_bramcount=false +CSET component_name=chipscope_ila_32 +CSET constraint_type=external +CSET counter_width_1=Disabled +CSET counter_width_10=Disabled +CSET counter_width_11=Disabled +CSET counter_width_12=Disabled +CSET counter_width_13=Disabled +CSET counter_width_14=Disabled +CSET counter_width_15=Disabled +CSET counter_width_16=Disabled +CSET counter_width_2=Disabled +CSET counter_width_3=Disabled +CSET counter_width_4=Disabled +CSET counter_width_5=Disabled +CSET counter_width_6=Disabled +CSET counter_width_7=Disabled +CSET counter_width_8=Disabled +CSET counter_width_9=Disabled +CSET data_port_width=0 +CSET data_same_as_trigger=true +CSET disable_save_keep=false +CSET enable_storage_qualification=true +CSET enable_trigger_output_port=false +CSET example_design=false +CSET exclude_from_data_storage_1=false +CSET exclude_from_data_storage_10=false +CSET exclude_from_data_storage_11=false +CSET exclude_from_data_storage_12=false +CSET exclude_from_data_storage_13=false +CSET exclude_from_data_storage_14=false +CSET exclude_from_data_storage_15=false +CSET exclude_from_data_storage_16=false +CSET exclude_from_data_storage_2=false +CSET exclude_from_data_storage_3=false +CSET exclude_from_data_storage_4=false +CSET exclude_from_data_storage_5=false +CSET exclude_from_data_storage_6=false +CSET exclude_from_data_storage_7=false +CSET exclude_from_data_storage_8=false +CSET exclude_from_data_storage_9=false +CSET match_type_1=basic_with_edges +CSET match_type_10=basic_with_edges +CSET match_type_11=basic_with_edges +CSET match_type_12=basic_with_edges +CSET match_type_13=basic_with_edges +CSET match_type_14=basic_with_edges +CSET match_type_15=basic_with_edges +CSET match_type_16=basic_with_edges +CSET match_type_2=basic_with_edges +CSET match_type_3=basic_with_edges +CSET match_type_4=basic_with_edges +CSET match_type_5=basic_with_edges +CSET match_type_6=basic_with_edges +CSET match_type_7=basic_with_edges +CSET match_type_8=basic_with_edges +CSET match_type_9=basic_with_edges +CSET match_units_1=1 +CSET match_units_10=1 +CSET match_units_11=1 +CSET match_units_12=1 +CSET match_units_13=1 +CSET match_units_14=1 +CSET match_units_15=1 +CSET match_units_16=1 +CSET match_units_2=1 +CSET match_units_3=1 +CSET match_units_4=1 +CSET match_units_5=1 +CSET match_units_6=1 +CSET match_units_7=1 +CSET match_units_8=1 +CSET match_units_9=1 +CSET max_sequence_levels=1 +CSET number_of_trigger_ports=1 +CSET sample_data_depth=1024 +CSET sample_on=Rising +CSET trigger_port_width_1=32 +CSET trigger_port_width_10=8 +CSET trigger_port_width_11=8 +CSET trigger_port_width_12=8 +CSET trigger_port_width_13=8 +CSET trigger_port_width_14=8 +CSET trigger_port_width_15=8 +CSET trigger_port_width_16=8 +CSET trigger_port_width_2=8 +CSET trigger_port_width_3=8 +CSET trigger_port_width_4=8 +CSET trigger_port_width_5=8 +CSET trigger_port_width_6=8 +CSET trigger_port_width_7=8 +CSET trigger_port_width_8=8 +CSET trigger_port_width_9=8 +CSET use_rpms=false +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2012-12-18T02:47:40Z +# END Extra information +GENERATE +# CRC: aad58d16 diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32.xdc b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.xdc new file mode 100644 index 000000000..49e2b9e7b --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.xdc @@ -0,0 +1,6 @@ +# +# Clock constraints +# +set_false_path -from [get_cells U0/*/U_STAT/U_DIRTY_LDC] -to [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] +set_false_path -from [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC] +set_false_path -from [get_cells U0/*/U_RST/U_ARM_XFER/U_GEN_DELAY[3].U_FD] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC] diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32_flist.txt b/fpga/usrp3/top/b200/coregen/chipscope_ila_32_flist.txt new file mode 100644 index 000000000..0a88237f8 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32_flist.txt @@ -0,0 +1,18 @@ +# Output products list for +_xmsgs/pn_parser.xmsgs +chipscope_ila_32.asy +chipscope_ila_32.cdc +chipscope_ila_32.constraints/chipscope_ila_32.ucf +chipscope_ila_32.constraints/chipscope_ila_32.xdc +chipscope_ila_32.gise +chipscope_ila_32.ncf +chipscope_ila_32.ngc +chipscope_ila_32.ucf +chipscope_ila_32.v +chipscope_ila_32.veo +chipscope_ila_32.xco +chipscope_ila_32.xdc +chipscope_ila_32.xise +chipscope_ila_32_flist.txt +chipscope_ila_32_readme.txt +chipscope_ila_32_xmdf.tcl diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32_readme.txt b/fpga/usrp3/top/b200/coregen/chipscope_ila_32_readme.txt new file mode 100644 index 000000000..231b5d1ad --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32_readme.txt @@ -0,0 +1,48 @@ +The following files were generated for 'chipscope_ila_32' in directory +/home/ianb/fpgapriv_usrp3/fpgapriv/usrp3/top/b200/coregen/ + +XCO file generator: + Generate an XCO file for compatibility with legacy flows. + + * chipscope_ila_32.xco + +Creates an implementation netlist: + Creates an implementation netlist for the IP. + + * chipscope_ila_32.cdc + * chipscope_ila_32.constraints/chipscope_ila_32.ucf + * chipscope_ila_32.constraints/chipscope_ila_32.xdc + * chipscope_ila_32.ncf + * chipscope_ila_32.ngc + * chipscope_ila_32.ucf + * chipscope_ila_32.v + * chipscope_ila_32.veo + * chipscope_ila_32.xdc + * chipscope_ila_32_xmdf.tcl + +IP Symbol Generator: + Generate an IP symbol based on the current project options'. + + * chipscope_ila_32.asy + +Generate ISE subproject: + Create an ISE subproject for use when including this core in ISE designs + + * _xmsgs/pn_parser.xmsgs + * chipscope_ila_32.gise + * chipscope_ila_32.xise + +Deliver Readme: + Readme file for the IP. + + * chipscope_ila_32_readme.txt + +Generate FLIST file: + Text file listing all of the output files produced when a customized core was + generated in the CORE Generator. + + * chipscope_ila_32_flist.txt + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32_xmdf.tcl b/fpga/usrp3/top/b200/coregen/chipscope_ila_32_xmdf.tcl new file mode 100755 index 000000000..f3617c66c --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32_xmdf.tcl @@ -0,0 +1,87 @@ +# The package naming convention is _xmdf +package provide chipscope_ila_32_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::chipscope_ila_32_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::chipscope_ila_32_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name chipscope_ila_32 +} +# ::chipscope_ila_32_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::chipscope_ila_32_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_32.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_32.cdc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_32.constraints/chipscope_ila_32.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ucf +incr fcount + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_32.ncf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ncf +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_32.constraints/chipscope_ila_32.xdc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Xdc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_32.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_32.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_32.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_32.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_32_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module chipscope_ila_32 +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams + diff --git a/fpga/usrp3/top/b200/coregen/coregen.cgp b/fpga/usrp3/top/b200/coregen/coregen.cgp new file mode 100644 index 000000000..24f6041a1 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/coregen.cgp @@ -0,0 +1,9 @@ +SET busformat = BusFormatAngleBracketNotRipped +SET designentry = Verilog +SET device = xc6slx75 +SET devicefamily = spartan6 +SET flowvendor = Foundation_ISE +SET package = fgg484 +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.asy b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.asy new file mode 100644 index 000000000..fd6eef6f7 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.asy @@ -0,0 +1,49 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 fifo_4k_2clk +RECTANGLE Normal 32 32 800 4064 +LINE Normal 0 112 32 112 +PIN 0 112 LEFT 36 +PINATTR PinName rst +PINATTR Polarity IN +LINE Normal 0 208 32 208 +PIN 0 208 LEFT 36 +PINATTR PinName wr_clk +PINATTR Polarity IN +LINE Wide 0 240 32 240 +PIN 0 240 LEFT 36 +PINATTR PinName din[71:0] +PINATTR Polarity IN +LINE Normal 0 272 32 272 +PIN 0 272 LEFT 36 +PINATTR PinName wr_en +PINATTR Polarity IN +LINE Normal 0 464 32 464 +PIN 0 464 LEFT 36 +PINATTR PinName full +PINATTR Polarity OUT +LINE Wide 0 624 32 624 +PIN 0 624 LEFT 36 +PINATTR PinName wr_data_count[9:0] +PINATTR Polarity OUT +LINE Normal 832 240 800 240 +PIN 832 240 RIGHT 36 +PINATTR PinName rd_clk +PINATTR Polarity IN +LINE Wide 832 272 800 272 +PIN 832 272 RIGHT 36 +PINATTR PinName dout[71:0] +PINATTR Polarity OUT +LINE Normal 832 304 800 304 +PIN 832 304 RIGHT 36 +PINATTR PinName rd_en +PINATTR Polarity IN +LINE Normal 832 496 800 496 +PIN 832 496 RIGHT 36 +PINATTR PinName empty +PINATTR Polarity OUT +LINE Wide 832 656 800 656 +PIN 832 656 RIGHT 36 +PINATTR PinName rd_data_count[9:0] +PINATTR Polarity OUT + diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.ncf b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.ncf new file mode 100644 index 000000000..e69de29bb diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.ngc b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.ngc new file mode 100644 index 000000000..b379066c6 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$67`44<,[o}e~g`n;"2*73>(-80!6;123456789>;7=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<<>40123456709:;<=>?2:2;0>6?0920<56?81:355=701:3<5>7092;4=633932<<5>1b924?IR\Y__6jPd`vb[firf}626=0>c:33>JSSX\^1{Qkauc\gjsi|531<3?;;069MKVR\3KOH_O31283:42<9=0BB][[:@FGVG:6;3:5=>5>:HLSQQ50?30?4:HLSQQ11:024>4?0123456789:;<=753:81EC^ZT;FJE956294:>6==:HLSQQ112906?IR\Y__6IAN<2394;743:81CXZ_UU8GKG:493:5=<5;:HLSQQ11097>LHW]]0OE]L33;2=55=32@D[YY4XE@>0>58682>1EC^ZT;UFF95=87;9794@UURVP?BHXH686=0>2:69KPRW]]0OC]L33;2=0>329<2098;:05230>32L<;0:?599595=<0682<25;7968;52<0>1?80:L646@CBEDGF991=MLONA@CBEDGFIHKn7;}cx67`wb2?<$8:?69=:HLSQQ01837586:2=96D@_UU8gmk:093:5=8582;MVPUSS2ME^XL281;2=50=0:3E^X][[:EMVPG:093:5=9582;MVPUSS2me~x19>:1<1?2@33>L3<<574::345><09:;<=>?119;456789:;<=>?01224>>7092;4=>781:3<577310BB][[:VGB82<768:047GAPTV9S@D;?3:5866J8@38=1=>89397487;845=3?>>8:05;8668;52008=?OIX\^1MIJ]B=:94;70300DYY^ZT;PGEABUMJI747>12:;B0>?FIH205LONA@CB55=>IHKJMLONA@CBEDG53H8:<6ONA@CBED0FIHKJML64AEFQE96912KOH_O311<:?DBCZH6:=374AEFQE9756k1JHI\N<0194;?99B@ATF48437LJKR@>1:==FLMXJ0>07;@FGVD:3611JHI\N<4<;?DBCZH6=255NDEPB828f3HNO^L27:1<;?DBCZH63255NDEPB8<8?3HNO^O2?>89B@ATE48:556OKDS@?548>3HNO^O2>2?`8EABUJ5;86=06;@FGVG:6;720MIJ]B=3=<>GCL[H7>364AEFQF95902KOH_L34?:8EABUJ5?546OKDS@?2;>GKD01J_T@L_SGD6>D79?1IA@QFNGM\EABUMZYT^L@HE99AQVYNFOE:7N<4CD31?FNBKBUGENKASD]W]UC53JC?7NBD079@HN7?8?1H@F<8049@HN4_02IGG?V>8178GIM>8=1H@FO:;BNHE418118GIT>3JEFADZ[EE37?FIUMVMNBH\NTHMM[LHAG>1H^HO[EE38@f=CI]KT[DJ[H^C`?AGSIV]BHYFPB69GFLRBZ[;;7IHIFGDEBC@ANOLMJ?5KI79GMD:76>1OEL2>0?58@LG;984<7IGN<00=3>BNI5;82:5KI@>20;169GMD:607=0HDO318<5?AOF484<7IGN<32=3>BNI58:2:5KI@>16;1>08;EKB8729?2NBM1<:>69GMD:5>7=0HDO326<4?AOF4;25;6JFA=0::3=CAH692:5KI@>04;?69GMD:497<0HDO33?48@LG;<7<0HDO35?48@LG;>7<0HDO37?48@LG;07<0HDO39?48@LD;87=0HDL311<4?AOE48;5;6JFB=31:2=CAK6:?394DH@?51803MCI0<;17:FJF9716>1OEO2>7?58@LD;914<7IGM<0;=2>BNJ5;5;6JFB=03:2=CAK69=394DH@?67803MCI0?=17:FJF9436>1OEO2=5?58@LD;:?4<7IGM<35=3>BNJ5832:5KIC>1=;0394DH@?758>3MCI0>?50?58@LD;;84=7IGM<2<5?AOE4=4=7IGM<4<5?AOE4?4=7IGM<6<5?AOE414=7IGM<8<4?AOII5:546JFN@>24;>BNFH6:8364DHLB843902NBBL2>6?:8@LHF48=546JFN@>2<;>99GMKG;:=437IGAA=06:==CAGK7>;07;EKME940611OECO329<;?AOII5822:5KIOC?6;>>18:FJJD:49720HD@N<20=<>BNFH68?364DHLB862902NBBL2<5?:8@LHF4:<546JFN@>03;>618:FJJD:417=0HD@N<2<;?AOII5>;255KIOC?048?3MCEM1:=>99GMKG;<:437IGAA=67:==CAGK78807;EKME921611OECO346<;?AOII5>3255KIOC?0<803MCEM1:18:FJJD:28720HD@N<43=<>BNFH6>>364DHLB805902NBBL2:4?:8@LHF462;>BNFH6>255KIOC?258?3MCEM18>>99GMKG;>;437IGAA=40:==CAGK7:907;EKME902611OECO367<;?AOII5<<255KIOC?2=8?3MCEM186>69GMKG;>720HD@N<62=e>BNFH6<=7>18:FJJD:097=0HD@N<6<4?AOII525;6JFN@>::2=CAGH7<364DHLA846902NBBO2>1?:8@LHE488546JFNC>27;>BNFK6:;364DHLA84>902NBBO2>9?58@LHE48437IGAB=03:==CAGH7><07;EKMF945611OECL322<;?AOIJ58?255KIO@?608?3MCEN1<9>99GMKD;:>437IGAB=0;:==CAGH7>408;EKMF94902NBBO2<0?:8@LHE4:;546JFNC>06;>=18:FJJG:4<720HD@M<27=<>BNFK68:364DHLA861902NBBO2<8?:8@LHE4:35;6JFNC>0:==CAGH78=07;EKMF926611OECL343<;?AOIJ5>8255KIO@?018?3MCEN1::>99GMKD;6>1OECL34?:8@LHE4<:546JFNC>65;>BNFK6>9364DHLA800902NBBO2:7?:8@LHE4<2546JFNC>6=;199GMKD;><437IGAB=45:==CAGH7::07;EKMF90?611OECL368<4?AOIJ5<546JFNC>44;g;5;6JFNC>4:2=CAGH74394DHLA8<803MC[M1>17:FJTD:66>1OE]O32?;8@LVF4:0;2:5KIQC?7;189GMUD;;3:5;6JFPC>0:3=CGH6;2:5KO@>24;169GKD:6<7=0HBO314<4?AIF48<5;6J@A=34:2=CGH6:4394DNC?5<813MEJ0<08;EMB8769?2NDM1<>>69GKD:5:7=0HBO322<4?AIF4;>5;6J@A=06:2=CGH69:394DNC?62803MEJ0?617:FLE94>6?1OCL2=>69GKD:48730HBO33083:2=CGH68=384DNC?7;017:FLF9766>1OCO2>2?58@JD;9:4<7IAM<06=3>BHJ5;>2:5KOC>22;19?2NDN1?6>79GKG:66>1OCO2=0?58@JD;:84<7IAM<30=3>BHJ5882:5KOC>10;1808;EMA8709?2NDN1<8>69GKG:507=0HBL328<5?AIE4;4<7IAM<22==>BHJ59:6=08;EMA8679>2NDN1=16:FLF929>2NDN1;16:FLF909>2NDN1916:FLF9>9>2NDN1717:FLFZTBO>1OC]O30?58@JVF484<7IA_A=0==>BHXH686=08;EMSE959?2ND\O2?>69GKUD;97=0HB^M<3<:?AIWJ591<394DNRA868?3ME^XL2?>89GKPRF48:556J@UUC?548>3ME^XL2>2?;8@JSSI5;8245KOTVB842912NDYYO314<:?AIR\H6::374DNWWE970601OCXZN<0:==>BH]]K7=407;EMVPD:6601OCXZN<32==>BH]]K7><06;EMVPD:5:730HB[[A=00:<=CG\^J0?:19:FLQQG;:<427IAZT@>12;?89GKPRF4;2556J@UUC?6<8?3ME^XL2=>89GKPRF4::556J@UUC?748>3ME^XL2<2?;8@JSSI598245KOTVB862912NDYYO334<:?AIR\H68:374DNWWE950601OCXZN<2:==>BH]]K7?407;EMVPD:4601OCXZN<52==>BH]]K78<06;EMVPD:3:730HB[[A=60:<=CG\^J09:19:FLQQG;<<427IAZT@>72;?89GKPRF4=2556J@UUC?0<8?3ME^XL2;>89GKPRF4<:556J@UUC?148>3ME^XL2:2?;8@JSSI5?8245KOTVB802912NDYYO354<:?AIR\H6>:374DNWWE930601OCXZN<4:==>BH]]K79407;EMVPD:2601OCXZN<72==>BH]]K7:<06;EMVPD:1:730HB[[A=40:<=CG\^J0;:19:FLQQG;><427IAZT@>52;?89GKPRF4?2556J@UUC?2<8?3ME^XL29>89GKPRF4>:5n6J@UUC?34<7601OCXZN<63=<>BH]]K7;364DNWWE9>902NDYYO39?:8@JSSJ5:556J@UU@?558>3ME^XO2>1?;8@JSSJ5;9245KOTVA845912NDYYL315<:?AIR\K6:9374DNWWF971601OCXZM<05==>BH]]H7=506;EMVPG:61720HB[[B=3==>BH]]H7>=06;EMVPG:59730HB[[B=01:<=CG\^I0?=19:FLQQD;:=427IAZTC>11;?89GKPRE4;=556J@UU@?6=8>3ME^XO2=9?:8@JSSJ58556J@UU@?758>3ME^XO2<1?;8@JSSJ599245KOTVA865912NDYYL335<:?AIR\K689374DNWWF951601OCXZM<25==>BH]]H7?506;EMVPG:41720HB[[B=1==>BH]]H78=06;EMVPG:39730HB[[B=61:<=CG\^I09=19:FLQQD;<=427IAZTC>71;?89GKPRE4==556J@UU@?0=8>3ME^XO2;9?:8@JSSJ5>556J@UU@?158>3ME^XO2:1?;8@JSSJ5?9245KOTVA805912NDYYL355<:?AIR\K6>9374DNWWF931601OCXZM<45==>BH]]H79506;EMVPG:21720HB[[B=7==>BH]]H7:=06;EMVPG:19730HB[[B=41:<=CG\^I0;=19:FLQQD;>=427IAZTC>51;?89GKPRE4?=556J@UU@?2=8>3ME^XO299?:8@JSSJ5<556J@UU@?358e3ME^XO281;2==>BH]]H7;<07;EMVPG:0611OCXZM<9<;?AIR\K622>5JN@18AKDe3LDT^^Z]AL]Bf>CIW[Y_^LCPB49EEIUB:2LO?6HKC59E@FC43ON[86HKPD68BATB02LMJK;:1433?C@ANOLMJ?O6:2C9>6G<2:K76>O202CEEY^P01:8MKOSXV::46GAIUR\47><8:KMMQVX8=20ECG[P^26<>OIA]ZT<;64IOKWTZ6012CEEY][AUG4?LHN\V:;;6GAIU]352=NF@^T;7:KMMQY7=>1BBDZP0758MKOSW9=<7D@FT^2;3>OIA]U;5:5FNHV\4D11BBDZP1758MKOSW8=<7D@FT^3;3>OIA]U:5:5FNHV\5D1D69JJLRX9L=0ECG[_0D4?LHN\V8;;6GAIU]152=NF@^T>?94IOKW[7503@DBXR<;7:KMMQY5=>1BBDZP2758MKOSW;=<7D@FT^0;3>OIA]U95:5FNHV\6D11BBDZP3758MKOSW:=<7D@FT^1;3>OIA]U85:5FNHV\7D1L8;HLJPZ5D?2CEEYQJR\:>0@XZ;4:NVP025A1718J4>43G8;>6@97:LFPRIUC=1ECCK>;N34?JVSADCQIR^]ILKWMSCTWGMH=6^8;Q,7=aYK<2ZJ^Yo4PHL\FPUNLQh0\D@PBTQMEHC>3YATDA[[NL18TWC13YYOCCK>;P38Vd=UIDH::OYJRb9Q@DBCZLIH0=0l;SFB@ATBKJ6:2n5]D@FGV@ED4;4h7_JNDEPFGF:46j1YHLJKRDA@818d3[NJHI\JCB>6:f=ULHNO^HML<7<`?WBFLMXNON28>d9Q@DBCZLIH054?>b9Q@DBCZLIH0509;SGDG@Ge3[OLOHO30;2==>TBOJOJ0=09;SGDG@De3[OLOHL30;2==>TBOJOI0=0;;SQWE<=U[]K7<7>17:PPPD:76=1Y_YL6;SQWF96=87=0^^ZM<1<4?WUS\PZNi6\\T^RQMJTN\PUJi6\\T^RQMJTN\PUI=6]8;R@JP@TU981XD_KH_DZWVDESWGMHi6]GRDE\ILHX[@PN=>5\IL]@KIJN[@EESNFJCJc8WLKXLL\BOH84SNWQG@><[YKYXL@97:QQRDJXI>1X^[OC_C48WQGS]Z>0_T@L4:VQQ@2<\PZN:k5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,I}iuW[NT\CZ][1_\VAYWF]XP=PQWOS]66ZiXpf6;2<8i;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*Kg{UYHR^ATSY2YZTCWYD_^V6g9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(EqeyS_JPPOVQ_7[XZMU[BY\T3\][KWY28VeTtb2?>04e?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&GscQ]D^RMPW]4UVXOS]@[RZ6^[]IUW=3TcRv`<1<22c=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$Aua}_SF\TKRUS=WT^IQ_NUPX1XY_G[U?4RaPxn>3:40a3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"Cwos]Q@ZVI\[Q>QR\K_QLWV^0ZWQEYS99Po^zl8586>o1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv Mymq[WBXXG^YW;SPRE]SJQT\?TUSC_Q;6^m\|j:768 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.O{kwYULVZEX_U8]^PG[UHSZR2VSUA]_57\kZ~h494::h5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,I}iuW^XT\CZ][1_\SWYWF]XP=PQWOS];[jYg5:5=;k4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+H~hzV]YS]@[RZ3^[RTXXG^YW?SPXNP\3ZiXpf6;2<8j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*Kg{U\^R^ATSY1YZQUWYD_^V=R_YMQ[3YhWqe7<3?9e:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})JpfxT[_Q_NUPX7XYPZVZEX_U;]^ZLVZ3XgVrd0=0>6d9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(EqeySZ\PPOVQ_1[X_[U[BY\T5\][KWY3WfUsc1>117g8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'Drd~RY]_QLWV^3ZW^XT\CZ][7_\\JTX;VeTtb2?>04f?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&GscQXR^RMPW]1UV]YS]@[RZ5^[]IUW;UdSua30?35a>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%Ftb|PWS]SJQT\?TU\^R^ATSY;YZ^HZV;TcRv`<1<226=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$^IQ_NUPX4XYULVZEX_U>]^ZLVZ35Wf<87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.PG[UHSZR;VS_JPPOVQ_7[XPFXT95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,VAYWF]XP8PQ]D^RMPW]2UVRD^R:7_n40?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&XOS]@[RZ7^[WBXXG^YW;SPXNP\02Yh>:1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv RE]SJQT\>TUYHR^ATSY4YZ^HZV>=Sb8<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*TCWYD_^V9R_SF\TKRUS1WTTB\P44]l27=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$[_Q_NUPX4XYPZVZEX_U>]^ZLVZ>Xg?80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/VP\TKRUS8WT[_Q_NUPX6XY_G[US7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%\^R^ATSY0YZQUWYD_^V:R_YMQ[0Yh>;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv WS]SJQT\Tc;<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+RTXXG^YW8SPWS]SJQT\>TUSC_Q<_n41?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&]YS]@[RZ4^[RTXXG^YW:SPXNP\6Zi1:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!XR^RMPW]0UV]YS]@[RZ:^[]IUW8Ud:95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT1\,q`ZusoVcey!K<1<50>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]6U'xoS~zh_hlpp*B;97 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV?R.sf\wqaXagy#I2=>768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_4[)zmUxxjQfnrv,@959>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP=P }d^qwcZoi{}%O09094:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY2Y+tcWz~lSd`|t.F?1;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR;V"jPsue\mkus'M6=2;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[0_-vaYt|nUbb~z D=5=21=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\9T$yhR}{g^kmwq)C414=;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU>]/pg[vr`W`dxx"_Prde\431<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS8W%~iQ|tf]jjvr(YVxnkR?97:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY2Y+tcWz~lSd`|t.S\v`aX:?=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnWS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]6U'xoS~zh_hlpp*WXzlmT8;94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[0_-vaYt|nUbb~z Q^pfcZ31?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ:Q#|k_rvd[lht|&[T~hiP6758Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_4[)zmUxxjQfnrv,UZtboV==;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU>]/pg[vr`W`dxx"_Prde\<32<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS8W%{Q|tf]jjvr(L5:5:95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT1\,tvZusoVcey!K<0<50>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]6U'}yS~zh_hlpp*B;:7 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV?R.vp\wqaXagy#I2<>768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_4[){UxxjQfnrv,@929>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP=P xr^qwcZoi{}%O08094:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY2Y+quWz~lSd`|t.F?2;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR;V"z|Psue\mkus'M6<2;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[0_-swYt|nUbb~z D=:=22=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\9T$|~R}{g^kmwq)VW{olS=88;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ3^*rtX{}mTec}{/P]qabY6>>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP=P xr^qwcZoi{}%ZSkh_344?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^7Z&~xTyiPioqw+TYumnU8::5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT1\,tvZusoVcey!^_sgd[1003\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR;V"z|Psue\mkus'XUyijQ:669V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX5X(pzVykRgasu-R[wc`W?<<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV?R.vp\wqaXagy#\Q}ef]422=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\9T$|~R}{g^kmwq)VW{olS58;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ0^*wbX{}mTec}{/E>3:32<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%~iQ|tf]jjvr(L5;5:95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT2\,q`ZusoVcey!K<3<50>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'xoS~zh_hlpp*B;;7 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[)zmUxxjQfnrv,@939>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P }d^qwcZoi{}%O0;094:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+tcWz~lSd`|t.F?3;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"jPsue\mkus'M632;64U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-vaYt|nUbb~z Q^pfc969>11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P }d^qwcZoi{}%ZSkh<0<5<>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'xoS~zh_hlpp*WXzlm7>387;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ0^*wbX{}mTec}{/P]qab:46?20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!re]ppbYnfz~$]R|jg=6=2==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$yhR}{g^kmwq)VW{ol08098:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+tcWz~lSd`|t.S\v`a;>7<37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV4:3><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%~iQ|tf]jjvr(YVxnk161669V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX6X(ulVykRgasu-R[wc`W9<<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P }d^qwcZoi{}%ZSkh_544?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^4Z&{nTyiPioqw+TYumnU>::5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT2\,q`ZusoVcey!^_sgd[3003\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"jPsue\mkus'XUyijQ8669V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX6X(ulVykRgasu-R[wc`W1 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[){UxxjQfnrv,@979>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P xr^qwcZoi{}%O0?094:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+quWz~lSd`|t.F?7;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"z|Psue\mkus'M6?2;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-swYt|nUbb~z D=7=21=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$|~R}{g^kmwq)C4?4=86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/uq[vr`W`dxx"J37?47?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^4Z&~xTyiPioqw+A:?6?20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!ws]ppbYnfz~$]R|jg=2=2==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$|~R}{g^kmwq)VW{ol0<098:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+quWz~lSd`|t.S\v`a;:7<37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV0:3><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%{Q|tf]jjvr(YVxnk1:1699V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX6X(pzVykRgasu-R[wc`4<4=46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/uq[vr`W`dxx"_Prde?2;0?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"z|Psue\mkus'XUyij28>7:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[){UxxjQfnrv,UZtbo525::5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT2\,tvZusoVcey!^_sgd[5003\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"z|Psue\mkus'XUyijQ>669V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX6X(pzVykRgasu-R[wc`W;<<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P xr^qwcZoi{}%ZSkh_744?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^4Z&~xTyiPioqw+TYumnU<::5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT2\,tvZusoVcey!^_sgd[=343\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"|k_qlwvZdnf5:59>5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,vaYwf}xTnd`31?70?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&xoS}`{r^`jj949=:1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv re]sjqtXj`d7?3;<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*tcWyd~Rlfn=6=16=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\flh;=7?87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVhbb181529V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pbhl?3;343\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"|k_qlwvZdnf5259?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,vaYwf}xTnd`P0408Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_ckm[4353\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"|k_qlwvZdnfV8>>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-q`Zvi|{UiecQ<539V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pbhl\004<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[goiW xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVhbbR8:2:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ulVzexQmio]417=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\flhX0<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniT<8?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+wbXxg~ySjmP1438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_fa\607<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[beX;<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniT88?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+wbXxg~ySjmP5438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_fa\207<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[beX?<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniT4;j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+wbXxg~ySjmParpfcZjf{}Q3QR|jdtaf[}iuW8117f8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_fa\evtboVfjyU7]^pf`pebWqeyS?>Po608Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_fa\evtboVfjyU7]^pf`pebWqeyS??>_ym?4;0c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"|k_qlwvZadWhyyijQcarvX1_RU32a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\cfYf{{olSao|tZ:^[wcc}joTtb|P23]l37=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\cfYf{{olSao|tZ:^[wcc}joTtb|P223\|j:76?n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniTm~|jg^nbwq]?UVxnhxmj_ymq[75Xg<90Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWkce0=0:3:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})pzVzexQmio>2:05<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#z|Ppovq[goi4;4>?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-tvZvi|{Uiec2<>418Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_ckm8182;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!xr^rmpwYeag6>28=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySoga<7<67>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]amk:06<90Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWkce050:2:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})pzVzexQmio]317=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\flhX9<80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWkceS?;=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Rlfn^166>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]amkY3=;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXj`dT98<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySoga_771?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&}yS}`{r^`jjZ12:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!xr^rmpwYeagU39<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTknQ?509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~({U{by|Pgb]214=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\cfY5=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXojU89<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTknQ;509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~({U{by|Pgb]614=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\cfY1=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXojU<9<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTknQ7729V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~({U{by|Pgb]bwwc`WekxxV6R_sggqfcXpfxT==?Pxn>3:40c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"y}_qlwvZadWhyyijQcarvX6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-tvZvi|{UloRo|rde\hdusS1WT~hjzcd]{kwY6:8Usc1>1779V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~({U{by|Pgb]bwwc`WekxxV6R_sggqfcXpfxT=??Pxn>3:ZUP8?n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWniTm~|jg^nbwq]?UVxnhxmj_ymq[44Xg>80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWniTm~|jg^nbwq]?UVxnhxmj_ymq[456Wqe7<38k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Ril_`qqabYkiz~P4PQ}eew`aZ~hzV;8Sb8l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Ril_`qqabYkiz~P4PQ}eew`aZ~hzVUd:i5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTknQnssgd[igt|R2VSkkubg\|jtX?Ve:;?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTknQnssgd[igt|R2VSkkubg\|jtX08Usc1>117a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_fa\evtboVfjyU7]^pf`pebWqeyS5Q`739V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~({U{by|Pgb]bwwc`WekxxV6R_sggqfcXpfxT51:2c<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'DkohRjffg]tvZtcS0WTKCJP315\kZgclV9TCXZPltv?7;1b3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GjhiQkigd\swYulR3VSJ@K_224[jYflmU8SB[[_mww8180m2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%FmijPdhde[rtXzmQ2QRIAD^133ZiXimnT?RAZT^nvp939?l1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$Aljk_ekebZquW{nP5PQHNE]042YhWhnoS>Q@UU]oqq:16>o0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#@okd^fjbcYpzVxoW4SPGOF\751XgVkohR=POTV\hpr;?7=n7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"Cnde]gmc`X{UyhV7R_FLG[660WfUjhiQ<_NWW[iss414<56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bmtz\`l`aW~xT~iU6]^EM@Z57?VeTaxvP5^MVP47012_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%FaxvPdhde[rtXzmQ2QRIAD^133ZiXe|rT9RAZT334=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)Je|rThdhi_vp\va]>UVMEHR=?7^m\ip~X=VE^X>?89:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-Nip~Xl`lmSz|PreY:YZAILV9;;RaPmtz\1ZIR\=;=m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]`}969>h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pcx>2:3g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'Dy~nRjffg]tvZtcW}g{Snw32?4b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*Kt}kUoekhPws]q`ZrjxVir0>09a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-NwpdXl`lmSz|Pre]wiuYdq5>5:l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im Mrwa[aoanV}ySjPtlr\g|:26?k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_b{?2;0f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GxyoQkigd\swYulV~f|Rmv<6<5f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)J{|hThdhi_vp\vaYseyUgyy2?>7`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWe0<09b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-NwpdXl`lmSz|Pre]wiuYk}}692;l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[iss4:4=n6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]oqq:36?h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_mww8081j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%FxlPdhde[rtXzmUa}Qcuu>5:3d<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'Dy~nRjffg]tvZtcW}g{Sa{{<6<5f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)J{|hThdhi_vp\vaYseyUgyy27>7`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWqey0=09b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-NwpdXl`lmSz|Pre]wiuYg{6:2;l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[}iu4;4=n6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]{kw:46?h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_ymq8181j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%FxlPdhde[rtXzmUa}Qwos>6:3d<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'Dy~nRjffg]tvZtcW}g{Sua}<7<5f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)J{|hThdhi_vp\vaYseyUsc28>7`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWqey05085:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-gmc`X{UyhV7R_FLG[660WfUfyuQ:_NWW8580=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%oekhPws]q`^?ZWNDOS>>8_n]nq}Y2WF__0<085:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-gmc`X{UyhV7R_FLG[660WfUfyuQ:_NWW8780=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%oekhPws]q`^?ZWNDOS>>8_n]nq}Y2WF__0>085:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-gmc`X{UyhV7R_FLG[660WfUfyuQ:_NWW8180?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%oekhPws]q`^?ZWNDOS>>8_n]nq}Y2WF__090>16`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+aoanV}ySjT9\]DJAY48>UdS`{w_4]LQQ:368;T_Z>86:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-gmc`X{UyhV7R_FLG[660WfUfyuQ:_NWW8185?h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$hdhi_vp\va]>UVMEHR=?7^m\ip~X=VE^X1:1_cfg423<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'mcmjRy}_sfX=XY@FMU8<:Q`_lw{[0YH]]6>2:84U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/ekebZquW{nP5PQHNE]042YhWdsS8Q@UU>6:4123\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&nbjkQxr^pg_<[XOGNT?=9Po^ov|Z3XG\^7:399;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)o{nh>#|kc.fjbcYpzVxoW4SPGOF\751XgVg~tR;POTV?2;70=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%oekhPws]q`^?ZWNDOS>>8_n]nq}Y2WF__0:08b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-gmc`X{UyhV7R_FLG[660WfUfyuQ:_NWW82869VY\<:l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/ekebZquW{nP5PQHNE]042YhWdsS8Q@UU>4:47X[^;SB[[<6<25ZUP:><0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#igif^uq[wb\1TULBIQ<06]l[hsW356?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*bnnoU|~R|k[8_\CKBX;9=TcRczx^7\KPR;07==7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"jffg]tvZtcS0WTKCJP315\kZkrpV?TCXZ38?341>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)caolT{Q}dZ;^[BHCW::SB[[<8<227=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(l`lmSz|Pre]wiu:76.fpgg7(ulj%yhRjl_h>2:0d<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'{nThnQf<3<6f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)ulVnhSd2<>4`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+wbXljUb090:b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-q`ZbdW`6>28l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/sf\`fYn4?4>n6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!}d^f`[l:06.fpgg7(ulj%yhRjl_h>::0g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'{nThnQf_17b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*tcWmiTeR?:a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-q`ZbdW`U99l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im re]ggZoX;S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)ulVnhSdQ95`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhn!re-dv4(`zmi9"jl/sf\`fYnW1?j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"|k_ea\mZ?1:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.sdtbq)Je|rT^LCPRE]FJZ@PN];:9o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+tao~$^LCPRDELQQYBF8<>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-vcqa|&i~~Qiwgv\wqgsmVLXARHId04;?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%~kyit.avvwYao~Tyo{e^DPIZ@Al8'Bb;74U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(un~l#n{}r^dtbqYt|h~nSK]B_GDg5(Oi9??0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,qbr`s'jy~Rhxfu]ppdrbWOYFSKHk27;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$yjzh{/bwqvZ`pn}Uxxlzj_GQN[C@c:$Ce=8o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(un~l#hctx]escrXnk?27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-vcqa|&of|ywPfvdw[l103\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!rguep*ahagmTi`~{y^dtbqYkmh|bbjQjmqvz[cqa|VEYS5Q`799V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'xm{kz gnkmcZcjx}sTjzh{_mgbrlh`Wlg{xtQiwgv\KWY?Wf;>j6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*w`pn}%eiuzPfvdw[vrf|l6;2894U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(un~l#x}jr^tbhlb182_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|ns/pppv)d8&mfyu laspzj`r;87<;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gscp*wus{&i;#jczx/abvwim}6:2;>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvdu)zz~x#n> glw{*fguzpdnx1<1619V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*auiz$yy} c1-dip~)khxyuck{<2<55>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxj#||tr-`4*aj}q$laV>R.fo2*h7192_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|ns/pppv)d8&mfyu hmZ3^*bkt&dy==6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fpbw+tt|z%h<"ibuy,di^4Z&ngx"`}91:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btf{'xxx~!l0.enq}(`eR9V"jc|.lq55>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxj#||tr-`4*aj}q$laV:R.fop*hu2=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|ns/pppv)d8&|:0=0:6:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btf{'xxx~!l0.t28586=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(k9%}=1?1579V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*auiz$yy} c1-u59799448Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zhy%~~z|/b2,r4:568?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gscp*wus{&i;#{?33?75?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{kx"}{s.a3+s7;;7;>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fpbw+tt|z%h<"x><5<62>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxj#||tr-`4*p64=4::=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqev(u{}y$o1:36<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~l}!rrvp+f7(ods"no}rxlfp959>81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(k8%laxv!glY3Y+aj9'g::<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqev(u{}y$o81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(k8%laxv!glY7Y+aj{'gx985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqev(u{}y$o!re-dvdu)zz~x#n? v0>2:00<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~l}!rrvp+f7(~86:2<;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwgt&{y"m>/w3?6;313\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$ko|.sqww*e6';7>3?:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btf{'xxx~!l1.t28682>2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|ns/pppv)d9&|:0>0>549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*auiz$yy} c0-u5929=?1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(k8%}=1:11408Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zhy%~~z|/bmnt53a3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$ko|.sqww*ehey:Tobc1^MQ[3Yh>91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(kfg{>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fpbw+tt|z%hc`~>5c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*auiz$yy} r`o\ahvsqVliSd;<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vaYwf}xT|a{|1=2=32=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| M`fg[be7&je~byU7]^EM@Z4>=VeTmijP0^MVPZ~hz5;5=<98;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&GjhiQhc1,`kphsS1WTKCJP287\kZgclV:TCXZPxnp?6;76?>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,IdbcWni;"naznuY;YZAILV829RaPaef\4ZIR\Vrd~1=11054?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"Cnde]dg5(dg|dW5SPGOF\6<3XgVkohR>POTV\|jt;<7;:;:5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(EhnoSjm?.bmvjq]?UVMEHR<65^m\eabX8VE^XRv`r=7=54103\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.Ob`aY`k9$hcx`{[9_\CKBX:0?TcRokd^2\KPRXpfx7:3?>799V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$Aljk_fa3*firf}Q3QRIAD^0:1ZiXimnT!cnwmp^>ZWNDOS?7:_n]b`aY7WF__Sua}<6<2521<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/Lcg`Zad8'idyczT8\]DJAY514;Po^cg`Z6XG\^7=38l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uovX!re-qtkru'ni;"naznuY;YZAILV829RaPaef\4ZIR\595:n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{atZ:^[BHCW;3>SbQnde]3[JSS4=4=o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{[9_\CKBX:0?TcRokd^2\KPR;=7 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczT8\]DJAY51=VeTmijP0^MVP919>j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexV6R_FLG[7?2WfUjhiQ?_NWW8=82<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dS<;;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uov\602<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}U8995Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^660>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsW xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczP6468Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqY0==1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexR6:8:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7;87?37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0>2:0><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=1<1599V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmpZb64:4>46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_e3?0;3?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th<2:>4:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqYc95<5955Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^f2828202_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dSi?38?74?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkrXl8U;9:5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^f2[4303\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th!cnwmpZb6W:?<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0]712=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|Vn:S8;8;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uov\`4Y1=>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexRj>_674?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkrXl8U3:i5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etev(p{}y$A`{w_bmnt4Y@FMU8=1:36<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{l}!wrvp+f7(ods"no}rxlfp959>81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixar,twqu(k8%laxv!glY3Y+aj9'g::<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etev(p{}y$o81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixar,twqu(k8%laxv!glY7Y+aj{'gx985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etev(p{}y$o!ws-dsdu)z~x#n? v0>2:00<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{l}!wrvp+f7(~86:2<;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crgt&~y"m>/w3?6;313\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzo|.vqww*e6';7>3?:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqf{'}xx~!l1.t28682>2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jyns/uppv)d9&|:0>0>549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*apiz$|y} c0-u5929=?1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixar,twqu(k8%}=1:11728Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`hy%{~z|/b0,chs&jky~t`jt=2=25=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|m~ xsuq,g7)`e|r%ol|}yogw848182_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jyns/uppv)d:&mfyu laspzj`r;:7<;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvcp*rus{&i9#jczx/abvwim}682;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsdu)z~x#n< glw{*bk\8T$la< b1738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`hy%{~z|/b0,chs&ngP=P hmr,nw37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{l}!wrvp+f4(ods"jcT2\,div(j{?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hw`q-svrt'j8$k`{w.foX7X(`ez$f;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsdu)z~x#n< glw{*bk\076?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~kx"z}{s.a1+s7;97?=7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvcp*rus{&i9#{?31?361>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}j#y|tr-`6*p64;4>:6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fubw+qt|z%h>"x><3<210=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|m~ xsuq,g7)q95959;5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etev(p{}y$o?!y1=1=503<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{l}!wrvp+f4(~86?2884U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsdu)z~x#n< v0>7:4353\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzo|.vqww*ehey;=:6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fubw+qt|z%hc`~>_FLG[676WfUFYUQ>01]l17=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|m~ xsuq,gjkw:08d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.Ob`aYcaolT{Q}dZ;^[BHCW:;8SbQnde]7[JSSWe0908d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.Ob`aYcaolT{Q}dZ;^[BHCW:;8SbQnde]7[JSSWe0808d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.Ob`aYcaolT{Q}dZ;^[BHCW:;8SbQnde]7[JSSWe0;08d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.Ob`aYcaolT{Q}dZ;^[BHCW:;8SbQnde]7[JSSWe0:08d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.Ob`aYcaolT{Q}dZ;^[BHCW:;8SbQnde]7[JSSWe05075:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.Ob`aYcaolT{Q}dZ;^[BHCW:;8SbQnde]7[JSSWqey0<0>1^KMRZ60n2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&GjhiQkigd\swYulR3VSJ@K_230[jYflmU?SB[[_ymq87869>l0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$Aljk_ekebZquW{nP5PQHNE]056YhWhnoS9Q@UU]{kw:468;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz M`fg[aoanV}ySjT9\]DJAY49:UdSljk_5]LQQYg{6?2859V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-NeabXl`lmSz|PreY:YZAILV9:?RaPaef\0ZIR\Vrd~1:1103\WR60n2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&GjhiQkigd\swYulR3VSJ@K_230[jYflmU?SB[[_ymq8186:>l0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$Aljk_ekebZquW{nP5PQHNE]056YhWhnoS9Q@UU]{kw:268;!ws-dsae6&~nhiuz M`fg[aoanV}ySjT9\]DJAY49:UdSljk_5]LQQYg{6<217;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,IvseWmcmjRy}_sf\phvXkp6;2;74U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz Mrwa[aoanV}ySjPtlr\g|:66?30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$A~{m_ekebZquW{nTx`~Pcx>1:3?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(EziSigif^uq[wbX|dzTot2<>7;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,IvseWmcmjRy}_sf\phvXkp6?2;74U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz Mrwa[aoanV}ySjPtlr\g|:26?30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$A~{m_ekebZquW{nTx`~Pcx>5:3?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(EziSigif^uq[wbX|dzTot28>7c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,IvseWmcmjRy}_sf\phvXd|~7<38n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/LqvfZbnnoU|~R|k_uos[iss484=m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"C|uc]gmc`X{UyhRzbp^nvp949>h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixdb3-saebp}%FxlPdhde[rtXzmUa}Qcuu>0:3g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(EziSigif^uq[wbX|dzT`xz34?4b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWe0809a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.OpqgYcaolT{Q}d^vntZjr|5<5:l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!Bst`\`l`aW~xT~iQ{mq]oqq:06?k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$A~{m_ekebZquW{nTx`~Pltv?<;0f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'Dy~nRjffg]tvZtcW}g{Sua}<1<5e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}oo< xdbg{p*Kt}kUoekhPws]q`ZrjxVrd~1?16`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-NwpdXl`lmSz|Pre]wiuYg{692;o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz Mrwa[aoanV}ySjPtlr\|jt;;7 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_ymq8181i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rv`r=7=2d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)J{|hThdhi_vp\vaYseyUsc29>7c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,IvseWmcmjRy}_sf\phvXpfx7;38n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/LqvfZbnnoU|~R|k_uos[}iu414<86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"jffg]tvZtcS0WTKCJP301\kZgclV>TCXZ31?57?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+aoanV}ySjT9\]DJAY49:UdSljk_5]LQQ:56>>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$hdhi_vp\va]>UVMEHR=>3^m\eabX5:22<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(l`lmSz|PreY:YZAILV9:?RaPaef\0ZIR\5=5;95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!kigd\swYulR3VSJ@K_230[jYflmU?SB[[<9<55>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}oo< xdbg{p*bnnoU|~R|k_uos8581:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&}ySio{a^alqkrXa5:5:?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!xr^fbpdYdg|dSd2>>708Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,swYci}kTob{at^k?6;053\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'~xThlzn_bmvjqYn4:4=>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"y}_ecweZeh}g~Te1:1639V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-tvZbf|hUhcx`{_h>6:34<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r({UomyoPcnwmpZo;>7<97X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#z|Pd`vb[firf}Ub0:092:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.uq[agsiVidyczPi=:=27=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)pzVnjxlQlotlw[l:>6?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~${Qkauc\gjsi|VcT<;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz ws]geqgXkfexRgP1738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,swYci}kTob{at^k\637<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r({UomyoPcnwmpZoX;?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~${Qkauc\gjsi|VcT8;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz ws]geqgXkfexRgP5738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,swYci}kTob{at^k\237<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r({UomyoPcnwmpZoX??;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~${Qkauc\gjsi|VcT4;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz ws]geqgXkfexRgP95d8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)uidU|~Rka_h34g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!Baef\cle7∋"naznuY;YZAILV9;5RaPaef\4ZIR\Vrd~1?1105`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"Cnde]dmf6)oj:%ob{atZ:^[BHCW::2SbQnde]3[JSSWqey0?0>16a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#@okd^ejg5(`k9$hcx`{[9_\CKBX;93TcRokd^2\KPRXpfx7?3?>7b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$Aljk_fk`4+ad8'idyczT8\]DJAY480UdSljk_1]LQQYg{6?2WfUjhiQ?_NWW[}iu4?4:=:j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'DkohRifc1,dg5(dg|dW5SPGOF\75?XgVkohR>POTV\|jt;>7;:=:m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'DkohRifc1,dg5(dg|dW5SPGOF\75?XgVkohR>POTV\|jt;?7;:;n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(EhnoSjgl0/e`4+eh}g~P4PQHNE]04m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmp979=h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu>1:0g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fk`4+ad8'idycz33?7b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ifc1,dg5(dg|d090:a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|5?59l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(o`i;"jm?.bmvjq:16S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexV6R_FLG[66>WfUjhiQ?_NWW848092_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dmf6)oj:%ob{atZ:^[BHCW::2SbQnde]3[JSS4;4<=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmp^>ZWNDOS>>6_n]b`aY7WF__0>081:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|R2VSJ@K_22:[jYflmU;SB[[<5<45>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexV6R_FLG[66>WfUjhiQ?_NWW808092_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dmf6)oj:%ob{atZ:^[BHCW::2SbQnde]3[JSS4?4<=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmp^>ZWNDOS>>6_n]b`aY7WF__0:081:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|R2VSJ@K_22:[jYflmU;SB[[<9<6=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexR?:9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|V8>56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmpZ5212_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dmf6)oj:%ob{at^66=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexR;:9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|V<>56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmpZ1212_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dmf6)oj:%ob{at^:6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><1<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><0<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><3<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><2<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><5<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><4<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><7<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><6<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><9<6g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj>_17`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ifc1,dg5(dg|dSi?P14a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#jgl0/e`4+eh}g~Th!gb2-gjsi|Vn:S9;l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsWm;T98m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nch<#il0/alqkrXl8U=9n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(o`i;"jm?.bmvjqYc9V=>o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmpZb6W1?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a4;:7?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a4;;7?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a4;<7?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a4;=7?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a4;>7?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a4;?7?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a4;07?h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a4X:S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~xT|cz}_qnvw4:76Vcez8k4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-tvZvi|{U{`x}><1<\mkp6W@D]S=;8;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,swYwf}xT|a{|2=3=[lhq=l1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"y}_qlwvZvk}z87=3Qfnw3\MKPX8=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>3:1g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSd2>0?6b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc7=<0;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn48858l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9746=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>20;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg314<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0<814`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5;<29o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:607>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?5<8312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<0<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0?>14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa58:29o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:5:7>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?6683i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<36=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1<:>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`69:3:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;:>4?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j87>95c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`68<3:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;;84?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j86490<;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg338<7=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0>0;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4=:58l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9266=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>76;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg342<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub09:14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5>>29o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:3>7>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?0283i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<5:=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1:6>5;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6?29o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:287>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?1483i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<40=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1;<>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6>83:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;=<4?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j80090;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4?>58l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9026=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>52;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg366<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0;614`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5<22974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:16=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>44;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg370<7=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0:0;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn414?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j8<8302_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_16;?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT=974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY68=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]251?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ>25;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U:?974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY6<=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]211?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ>65;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U:;974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY60=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]2=1><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ=489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV8;845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ46<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^010<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR<<489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV8?845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ42<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^050<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR<8489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV83845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ4><11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^17=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS>>;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW:;?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[64312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_217=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS>:;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW:??56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[60312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_257=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS>6;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW:3?46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[12>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP416:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT8<:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX<;>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\062>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP456:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT88:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\022>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP496:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT84:7;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX==30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]641?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ:15;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U>>974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY2;=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]601?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ:55;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U>:974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY2?=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]6<1?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ:95:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U=845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ07<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^420<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR8=489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV<8845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ03<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^460<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR89489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV<<845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ0?<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^4:0==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR9;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW>:?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[27302_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_96;?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT55?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc gco-cgk`&nhfkl agda`*gk`'ndjxdxjble-jdrn~lUi`fQbel]dak)cg|~iSd2?>908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>24;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;9843>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0<<1839V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&ndyylPi=30:=4<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!kotva[l:6<7297X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7=8072:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<04=<7=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"j`uu`\m9706180Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$koc!gcod*bdjoh$ekhml.cod+bhf|`|nn`i!n`vjr`YedbUfi`Qheo-gkpreW`6:436=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/f`n*bdjo'miajo!nfg`g+djo&memygyecod*kgsaoTnaePmdo\c`h(lfnRg318<;5>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#iaztc]j848?:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4;:54?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe1<>>908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>16;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;::43>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0?:1839V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&ndyylPi=06:=4<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!kotva[l:5>7297X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7>:072:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<3:=<7=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"j`uu`\m94>61;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$koc!gcod*bdjoh$ekhml.cod+bhf|`|nn`i!n`vjr`YedbUfi`Qheo-gkpreW`6925<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc gco-cgk`&nhfkl agda`*gk`'ndjxdxjble-jdrn~lUi`fQbel]dak)cg|~iSd2<0?:1?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bdj&nhfk#immfc-jbcdk'hfk"iaaukuagk`&gke{kPbmi\i`kXold$hb{{b^k?748?:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4:854?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe1=<>908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>00;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;;<43>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0>81839V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&ndyylPi=14:=4<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!kotva[l:407297X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7?4071:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<2<;6>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#iaztc]j81690;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.flqqdXa5>:25<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc gco-cgk`&nhfkl agda`*gk`'ndjxdxjble-jdrn~lUi`fQbel]dak)cg|~iSd2;2?:1?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bdj&nhfk#immfc-jbcdk'hfk"iaaukuagk`&gke{kPbmi\i`kXold$hb{{b^k?068?:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4=>54?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe1::>908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>72;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;<>43>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0961839V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&ndyylPi=6::=7<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!kotva[l:36180Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$koc!gcod*bdjoh$ekhml.cod+bhf|`|nn`i!n`vjr`YedbUfi`Qheo-gkpreW`6><36=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/f`n*bdjo'miajo!nfg`g+djo&memygyecod*kgsaoTnaePmdo\c`h(lfnRg350<;6>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#iaztc]j80490;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.flqqdXa5?825<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc gco-cgk`&nhfkl agda`*gk`'ndjxdxjble-jdrn~lUi`fQbel]dak)cg|~iSd2:4?:1?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bdj&nhfk#immfc-jbcdk'hfk"iaaukuagk`&gke{kPbmi\i`kXold$hb{{b^k?108?:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4<<54?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe1;8>908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>6<;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;=043=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb08072:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<72=<7=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"j`uu`\m9066180Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$koc!gcod*bdjoh$ekhml.cod+bhf|`|nn`i!n`vjr`YedbUfi`Qheo-gkpreW`6=>36=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/f`n*bdjo'miajo!nfg`g+djo&memygyecod*kgsaoTnaePmdo\c`h(lfnRg362<;6>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#iaztc]j83290;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.flqqdXa5<>25<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc gco-cgk`&nhfkl agda`*gk`'ndjxdxjble-jdrn~lUi`fQbel]dak)cg|~iSd296?:1?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bdj&nhfk#immfc-jbcdk'hfk"iaaukuagk`&gke{kPbmi\i`kXold$hb{{b^k?228?:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4?254?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe186>938Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>5:=4<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!kotva[l:087297X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7;<071:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<6<;5>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#iaztc]j8=8?92_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4043=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+sgkam$hy|;d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.vntZtfeVxoSh`;e:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.vntZtfeVxoSh`>329V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#ob_sf\ak503\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qehYumne~xRka329V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#ob_vp\ak5a3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-q`Zvi|{6;2Rgav0]JJSY7=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$A`{w_sf\vvrXizxnkRIAD^31[jYJ]QU9Sb?>549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,IhsW~xT~~zParpfcZAILV;9SbQBUY]2[j76;m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$^^ZPFTNO[BCI<11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$k}{d0,dvvrXn|fg"}{_e37<>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)`zz~o=#i}su]eqij)zz~Th?:7;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.eqwqb6&nxxxRhzlm,qwqYc;=i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tt|kf`#cixreppp+au{}$yhR||t^pfc969 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)u{}hgg"|k_sqw[duumn>n7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)u{}hgg"|k_sqw[duumnULBIQ>2^m\IP^X:Ve?<6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!}d^pppZgtzlmTh<:?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.pg[wusWhyyijQk22a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+wbXzz~Tobcm3e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,vaYu{}Uhc`l>3e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,swYu{}Ujkh4d9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,swYu{}Ujkh_FLG[44XgVG^TR?Po528Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+rtXzz~Tm~|jg^f205=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/sqwfim({UyyQnssgd[a44k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%|~R||t^alig5c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&}yS}{_bmnf4e<]ZOYS[G\ICNF7>PDK01]EHYPTXRF2>QBI5:5:6YJA=3=2>QBI58546YJA=194;0<_LK7?384WDC?0;0<_LK79384WDC?2;><_LK7;7>16:UFE919>2]NN1>16:UFF979>2]NN1<18:UFF95=87<0[HL33?48S@D;<7<0[HL35?48S@D;>720[HL37;2=2>QBJ5=5n6Y]IUG\BLTT\j1\^DZJ_LMGAZGd3^XBXHQBOEG\F4b^T\VMEH:5WSU]UGFd0:ZgiZKnffx]i}foo18\vr43Pox4l5P_^ZOJHYXW9UTSX> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*KflmUlen>!gb2-gjsi|R2VSJ@K_22:[jYflmU;SB[[_ymq818691<0SRQWLOO\[Z77WVU^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,IdbcWni;"naznuY;YZAILV829RaPaef\4ZIR\Vrd~1<110:;?ZYXPEDFSRQ>1^]\Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+HkrpVnbjkQxr^pg_<[XOGNT?=9Po^ov|Z3XG\^:=:j4_^][HKKXWV;9SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,vaYwf}xTknQnssgd[igt|R2VSkkubg\|jtX9?Ud=:m4_^][HKKXWV;8SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTknQnssgd[igt|R2VSkkubg\|jtX?Ve:9h5P_^ZOJHYXW8>TSR[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/scn[rtXmgUb=:j4_^][HKKXWV;>SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,I}iuW^XT\CZ][1_\SWYWF]XP=PQWOS];[jYg5:5=:k4_^][HKKXWV;=SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,I}iuW[NT\CZ][0_\VAYWF]XP>PQWOS]65ZiXpf6;2<9j;^]\\IHJWVU:;RQPU1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+H~hzVXOS]@[RZ1^[WBXXG^YW9SPXNP\07d9\[Z^KFDUTS<7P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})JpfxT^IQ_NUPX3XYULVZEX_U7]^ZLVZ22WfUsc1>11958[ZY_DGGTSR?P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-Nip~Xl`lmSz|PreY:YZAILV9;;RaPmtz\1ZIR\:; xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.O{kwYPZVZEX_U8]^UQ[UHSZR2VSUA]_0]l[}i;87; xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.O{kwYPZVZEX_U;]^UQ[UHSZR?VSUA]_5]l[}i;87;=86QP_YNMIZYX:;UTSX> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)u{}hgg"Cbuy]tvZtt|Vkx~hiPGOF\57YhWD_SSRaPMTZ\6Zi691?0SRQWLOO\[Z4XWV_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-NeabXoj:%ob{atZ:^[BHCW;3>SbQnde]3[JSSWqey090>1938[ZY_DGGTSR=P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ulVzexQhc^cpv`aXdhyW5SPrdfvg`Yg{U:4VUTY=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+HgclVmbo= hc1,`kphsS1WTKCJP31;\kZgclV:TCXZPxnp?3;760<1TSRVCNL]\[2YXW\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.Ob`aY`k9$hcx`{[9_\CKBX:0?TcRokd^2\KPRXpfx7;3?>689\[Z^KFDUTS5QP_T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)zo}mx"\NM^PFCJSSWLD:;:5P_^ZOJHYXW0UTSX> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-vcqa|&i~~Qiwgv\wqgsmVLXARHId3/Jj47?3kf`S`kb_fgm[bcim{chSn}{r0:8fimXelgTkh`Ppskn[roc|aU:=55mlj]nahY`mgU{~dcPwhfwlZ46l2hggRcjm^efjZvuadU|~l~es]p2Z76l2hggRcjm^efjZvuadU|~l~es]p2Z4a3kf`S`kb_fgm[qiw9=1i`fQbel]dakYq?V;Tt~z>3:`ooZkbeVce|xzPbmm`o421hc`~nrdd8gptuWo}mxR}{aug0?abj>2nbb1>17:fjj9776>1oec2>1?58`lh;9;4<7iga<01=3>bnf5;?2:5kio>21;169gmk:617<0hd`31?58`lh;:94<7iga<33=3>bnf5892:5kio>17;1908;ekm8739?2nbb1<9>69gmk:5?7=0hd`329<4?aoi4;35:6jfn=0=3>bnf59;2:5kio>05;169gmk:4=7=0hd`337<4?aoi4:=5;6jfn=1;:2=cag685384dhl?7;169gmk:3;7=0hd`345<4?aoi4=?5;6jfn=65:2=cag6?;394dhl?0=803mce09716:fjj929?2nbb1;?>69gmk:297=0hd`353<4?aoi4<95;6jfn=77:2=cag6>9394dhl?13803mce08917:fjj93?6>1oec2:9?48`lh;=7=0hd`361<4?aoi4?;5;6jfn=41:2=cag6=?394dhl?21803mce0;;17:fjj9016>1oec297?58`lh;>14<7iga<7;=2>bnf5<5;6jfn=53:<=cag6<=7>17:fjj9166?1oec28>79gmk:?6?1oec26>69gkpr;8720hb{{<02=<>bh}}6:=364dnww844902ndyy2>3?:8`jss48>546j`uu>21;>bh}}6:5394dnww848?3me~x199gkpr;:8437iazt=01:==cg|~7>>07;emvp943611ocxz324<;?air|58=255kotv?628?3me~x1<7>99gkpr;:04<7iazt=0=<>bh}}68<364dnww867902ndyy2<2?:8`jss4:9546j`uu>00;>;18:flqq:4>720hb{{<25=<>bh}}684364dnww86?9?2ndyy2<>99gkpr;<9437iazt=62:==cg|~78?07;emvp924611ocxz345<;?air|5>>255kotv?038?3me~x1:8>99gkpr;<1437iazt=6::2=cg|~78364dnww806902ndyy2:1?:8`jss4<8546j`uu>67;>bh}}6>;364dnww80>902ndyy2:9?58`jss4<437iazt=43:==cg|~7:<07;emvp905611ocxz362<;?air|599gkpr;>>437iazt=4;:==cg|~7:408;emvp90902ndyy280?c8`jss4>;1<364dnww8279?2ndyy28>69gkpr;07=0hb{{<8<6?`kw|pi0jdh`_5i\6fjl99h?7kgio^6h[7ekc%lbjbQheogqeqiuW3T?!ul_`fg[kadWjeey|niov>4)eXiyzgeno{inl\p|vbWhrb0>#c^cstiodi}cdbRzvpd]qefn:8%iTm}~cibcwmjhX|pznSjlh<2/gZgwxechmyg`n^vzt`Ypijb60)eXiqcxSy{|es]tmaro5=&hSlvfs^vzt`;7$jUhc`c`n^aokfm:8%iTob{at^vzt`;7$jUomyoPcnwmpZqnl}b65!mPdddbqirX~hf~i0LcaoiPpwoio%iThd`Pwhfwl815$jUoecQxievk[d~n{4;'oRjfn^uj`qnXzhic1><#c^fjjZqnl}bT~img=76/gZbnfV}bhyfPw`ak964+kVnbbRyfduj\saeo5?>'oRjfn^uj`qnX{ic1?"l_emvpZtt|V|j`0>#c^flqqYpam~c1:<#c^gmegjbW{fdo~3?,b]fjddkmVxxxR}vnb?2(fYbz{eySd`eebvjkkYsqyo64)eXm{xd~RgajdawmjhX|pznSzjlh<2/gZcuzfxTecdjcukljZr~xlU|~nf20-a\bdkndp7x|l|{ao4/gZ`rdeUm`li|_sqw[sgk58&hSeo|_`nnkvrXmdzu0>#c^jbwZgkefySk{cl<2/gZnf{VkseRo}urgq95*dWakxSlvf_`uvw`t:8%iTdl}Payk\fpubz4:'oRfns^c{mZtcWjbjbckc=1.`[mgtWhrbS{|es?3(fYoizUjtdQxr^akekhbd4:'oRfns^c{mZqr{lx6#c^jbwZbf|hUhcx`{s^pbgm;7$jUcm~Qkauc\gjsi|zUyhnf20-a\lduXlh~jSnaznuq\sdeo59&hSeo|_ecweZeh}g~xSzjlh<2/gZnf{VnjxlQlotlwwZquka7; nQgar]jjqYddb7; nQgar]nevrbzVin1="l_icp[hcjagcSkgce<2/gZnf{Ve}ihcov?3(fYoizU{~biPfmcdwZgaz7; nQgar]svjaXneklR|nci?3(fYoizU{~biPfmcdwZtcka7; nQgar]svjaXneklRynci?3(fYoizU{~biPfmcdwZqcka7; nQgar]svjaXneklRy}ci?3(fYoizUyhRjnt`]`kphs58&hSeo|_sf\vvr:8%iTdl}Prrv>5)eX`hyTaoye^af95*dWakxS~||t<2/gZnf{Vehh|ilnu>4)eX`hyTzlbfd<2/gZnf{V}ySlmd=1.`[mgtW~xThlzn_bmvjq;6$jUcm~Qxr^ppp86+kVcf|akbeovbpliiW}s{i0<#c^knticjmg~jxdaa_u{saZgaz7: nQfmqnfi`hsi}cdbRzvpd]qefn:9%iTe`~celgmpdrnggUu}kPreak94*dW`g{`hcjnucwmjhX|pznSzolh<3/gZojxeoficznthmm[qwmV}ooe3>,b]jiujbeldmyg`n^vzt`Ypzjb6=!mPiokw[rtXxg~yS{oc=1.`[lhsm{ljohQ{yqg>4)eXelgd~tQ{yqg>5)eXe`lTjdbj_ocna8DkigaXxgag-a\ivahfV|j`0?#c^msplknrh~bccQboeg>4)eXgoyjaax_mmt95*dWyxn`bok_mcwake~59&hS}|jlncg[wc`{4;'oR~}il]emciX|pzn18?=x60/gZvugnUna}zv_ujqavnXizyn~yQyam?7(fYwzfmTi`~{y^vkv`uoWhyxizPv`n\e}ot58:9>!mPpsmd[`kw|pUdk|h^cpw`tsWkgSolh<3367*dWyxdkRkbpu{\pmtb{aUj~k}t^tbhZtcka7:5545$jU{~biPelrw}ZrozlycSl}|esv\rdjXmic1<>=2-a\twi`Wlg{xtQ{hsgplZgt{lxS{oc_vp`l877:;&hS}|`g^gntqX|axneQaefcwaZpfd4?'oR~}of]fiur~W}s{i0>#c^rqkbYbey~rSywe^c{mv;7$jU{~biPelrw}Zr~xlUymnf20-a\twi`Wlg{xtQ{yqg\vaeo59&hS}|`g^gntqX|pznSzolh<2/gZvugnUna}zv_u{saZqcka7; nQrne\ahvsqV~r|hQxrbj>4)eXx{elSk{cl^vkv`uoWhyxizPv`n>147+kVzycjQiumn\pmtb{aUj~k}t^tbhZgaz7:6)eX}gnn~kb`w^nls86+kVxiRm`mlmm[jpbzofd{0>#c^wpaZehedeeSx`kesdokr;7$jU~hQkegcvhqYtm}~bbj}20-a\qvcXlfS}{=0.`[pubWlih1="l_tqf[`edWhrb0>#c^wpaZcdkVxjoe3?,b]vw`YbkjUyhnf20-a\qvcXmjiT{lmg=1.`[pubWlihSzjlh<2/gZstmVohoRy}ci?3(fYr{lUnaokkddf\v`a:8%iTy~kPfhdl53Yadhmx1="l_tqf[cqa|VnjxlQlotlw94*dWkgeiQcov?3(fYpijbTxt~j=1.`[rbd`V~r|h3?,b]tvZgdcVfd{0>#c^uq[agsiVidyczPwhfwl877$jU|~Rjjpuj>144+kV}ySikti]b|lu:998? nQxr^fftqnXzhic1<8#c^uq[acw|aUyhnf21107(fYpzVnn|yfPw`ak940+kV}ySikti]t`fn:998? nQxr^fftqnX{ic1<8#c^uq[ctby4;'oRy}_qlwvZqnl}b65!mPws]sjqtX`ndRowir?24)eX{U{by|PwhfwlZtfka7? nQxr^rmpwYpam~cSjlh<33(fYpzVzexQxievk[rgd`4>'oRy}_qlwvZqnl}bT{img=02/gZquWyd~Ryfduj\sweo5=&hSz|PrdqskkubWekicmv=0.`[rtd`V~r|h3?}318bl`hW=aT>nbd,gkekZabflxjxb|Pv8]0(~{6>2lbjbQheogqeqiuW{kfjdh`139emciXoldn~lz`r^vlt45%8<94fhdl[bcim{kcQy9^1\|vr33og`45fnqww[gjl>2gnab|v8:ldggsndm80bbo4otvsqqYedb9?7oblnms_5[)zhg%~"}9_omjjlr)zhg$_I^!SHOSH@YWZ@G:4#|nm268vdkkgfzP=P }al,q+v0Xffceey }al-P@U(TADZGIR^]IL3;*wgjj2xoSoga_blwv3=ulVigg<>4re]geqgXkfex1>1119q`Zbf|hUhcx`{<0<24>tcWmkmRm`uov?6;773{nThlzn_bmvjq:468:0~iQkauc\gjsi|5>5==5}d^fbpdYdg|d080>0:pg[agsiVidycz36?33?wbXlh~jSnaznu>4:4699;1yhRjnt`]`kphs400;2<>4re]geqgXkfex171109q`ZbdWo}mxRkwt^cp1>tcWld<7jPfvdw<>tcWeelen?=;sf\wqgs}zUm`li|_`q25>tb{l~TnaePr`oemci43{yj6}vnbjqkko}m{Umjo5xr^`jjZei|{<0{Qllj33?rtXlh~jSnaznu>3:46<{UomyoPcnwmp979991|~Rjnt`]`kphs4;4:<6y}_ecweZeh}g~7?3??;vp\`drfWje~by2;>028swYci}kTob{at=7=55=pzVnjxlQlotlw838682}ySio{a^alqkr;?7;;7z|Pd`vb[firf}632<<4ws]geqgXkfex1750?33?rtXlh~jSnaznu>::47<{UooRhxfu]f|qYf{<1|~Rka8:uq[ii`aj;97z|PsucwqvYadhmxSl}r@Ar251b;4I:582V>f2?h1>k0=7)898;456>{T010=:n4=cg82764>==95f96cb2=2Y3479<1;2956551=n1>kj:6:f463<7280:w^6n:74`>7ea2898>4:k:3dg0g=q\;l36=4>:08173}T0h0=:n4=cg82764>7d8=6=4nb;3b5?44=rB=855+2gd937078k7:l1`4<732c=h;4?:%0g6?0c?2d9h<4>;:k5`1<72-8o>78k7:l1`4<532c=h>4?:%0g6?0c?2d9h<4<;:k5`7<72-8o>78k7:l1`4<332c=h<4?:%0g6?0c?2d9h<4:;:k5`5<72-8o>78k7:l1`4<132c=ok4?:%0g6?0c?2d9h<48;:k5g`<72-8o>78k7:l1`478k7:l1`478k7:l1`478k7:l1`478k7:l1`4<6821b:n:50;&1`7<1l>1e>i?51098m3e4290/>i<56e58j7b628807d8l2;29 7b52?n<7co1k80;6)h5l80:865f6b294?"5l;0=h:5a2e3950=8:9j2gd=83.9h?49d69m6a7=9010e;ln:18'6a4=>m=0b?j>:0c8?l0e13:1(?j=:7f4?k4c93;i76g9b983>!4c:3=n>k=1<7*=d385`2=i:m;1=i54i7`5>5<#:m81:i94n3f2>4c<3`5$3f1>3b03g8o=7?i;:k5f6<72-8o>78k7:l1`4<5821b:o<50;&1`7<1l>1e>i?52098m3d6290/>i<56e58j7b62;807d8m0;29 7b52?n<7co1io0;6)h5l809865f6`g94?"5l;0=h:5a2e3960=m=0b?j>:3c8?l0f?3:1(?j=:7f4?k4c938i76g9a783>!4c:3=n>h?1<7*=d385`2=i:m;1>i54i7c7>5<#:m81:i94n3f2>7c<3`5$3f1>3b03g8o=778k7:l1`4<4821b:l?50;&1`7<1l>1e>i?53098m3g7290/>i<56e58j7b62:807d86f;29 7b52?n<7co11m0;6)h5l808865f68a94?"5l;0=h:5a2e3970=84;h4:e?6=,;n96;j8;o0g5?5032c=544?:%0g6?0c?2d9h<4<8:9j2<>=83.9h?49d69m6a7=;010e;78:18'6a4=>m=0b?j>:2c8?l0>>3:1(?j=:7f4?k4c939i76g99483>!4c:3=n>0>1<7*=d385`2=i:m;1?i54i7fa>5<#:m81:i94n3f2>6c<3`5$3f1>3b03g8o=7=i;:k5`<<72-8o>78k7:l1`4<3821b:i;50;&1`7<1l>1e>i?54098m3ef290/>i<56e58j7b62=807d8mf;29 7b52?n<7co1j=0;6)h5l80?865f6`;94?"5l;0=h:5a2e3900=79=0:l1`4<732e<=k4?:%0g6?1582d9h<4>;:m45a<72-8o>79=0:l1`4<532e<=n4?:%0g6?1582d9h<4<;:m45g<72-8o>79=0:l1`4<332e<=l4?:%0g6?1582d9h<4:;:m45<<72-8o>79=0:l1`4<132e<=54?:%0g6?1582d9h<48;:m452<72-8o>79=0:l1`479=0:l1`479=0:l1`479=0:l1`479=0:l1`4<6821d;=j50;&1`7<0:91e>i?51098k26d290/>i<57328j7b628807b9?b;29 7b52>8;7ci08h0;6)h5l80:865`71;94?"5l;0<>=5a2e3950=:<6=4+2e093768:9l352=83.9h?48219m6a7=9010c:><:18'6a4=?;:0b?j>:0c8?j17:3:1(?j=:603?k4c93;i76a80083>!4c:3=9<6`=d082g>=h?9:1<7*=d38465=i:m;1=i54o7de>5<#:m81;?>4n3f2>4c<3f5$3f1>2473g8o=7?i;:m5bf<72-8o>79=0:l1`4<5821d:kl50;&1`7<0:91e>i?52098k3`f290/>i<57328j7b62;807b8i9;29 7b52>8;7ci1n10;6)h5l809865`6g594?"5l;0<>=5a2e3960=:18'6a4=?;:0b?j>:3c8?j0a83:1(?j=:603?k4c938i76a9eg83>!4c:3=9<6`=d081g>=h>lo1<7*=d38465=i:m;1>i54o7gg>5<#:m81;?>4n3f2>7c<3f5$3f1>2473g8o=779=0:l1`4<4821d:ho50;&1`7<0:91e>i?53098k3c>290/>i<57328j7b62:807b8j8;29 7b52>8;7ci1m?0;6)h5l808865`6d794?"5l;0<>=5a2e3970=84;n4f7?6=,;n96::2c8?j0cn3:1(?j=:603?k4c939i76a9dd83>!4c:3=9<6`=d080g>=h>mn1<7*=d38465=i:m;1?i54o607>5<#:m81;?>4n3f2>6c<3f=9?7>5$3f1>2473g8o=7=i;:m467<72-8o>79=0:l1`4<3821d;i?54098k274290/>i<57328j7b62=807b9?8;29 7b52>8;7ci1nm0;6)h5l80?865`6g094?"5l;0<>=5a2e3900=79;1:l1`4<632e79;1:l1`4<432e79;1:l1`4<232e79;1:l1`4<032e79;1:l1`4<>32e<9:4?:%0g6?12>2d9h<4?;:m410<72-8o>79:6:l1`4<632e<994?:%0g6?12>2d9h<4=;:m416<72-8o>79:6:l1`4<432e<9?4?:%0g6?12>2d9h<4;;:m414<72-8o>79:6:l1`4<232e<9=4?:%0g6?12>2d9h<49;:m40c<72-8o>79:6:l1`4<032e<8h4?:%0g6?12>2d9h<47;:m40a<72-8o>79:6:l1`4<>32e<>h4?::m460<722h=:;4?:083>5}#:ol1:974H747?M0302e9oh4?::a233=83;1<7>t$3de>73c3A<=86F9499l60e=831vn9;n:187>5<7s-8mj7<:7:J521=O>=20(?8k:b9j35<722c3n7>5;h0g0?6=3f8o:7>5;|`7fd<72=0;6=u+2gd9601<@?1<75`2e494?=zj=h26=4;:183!4an38>;6F9659K21><,;>o?j3:17d3:17pl92d83>1<729q/>kh52478L3033A1<7>t$3de>7303A<=86F9499K2f=#:kn1>kk4i6294?=n0k0;66g=d583>>i5l?0;66sm65094?2=83:p(?hi:374?M01<2B=855G6b9'6gb=:oo0e:>50;9j?50;694?6|,;lm6?;8;I450>N1<11C:n5+2cf96cc<,;>o?j3:17d3:17pl93g83>6<729q/>kh52468L3033A;j53:k44?6=3`2i6=44o3f5>5<53;294~"5no09995G6768L32?3A"5>m087d9?:188m=d=831d>i850;9~f357290?6=4?{%0eb?42?2B=:95G65:8L3e<,;ho6?hj;%05`?e:1<75f8c83>>o5l=0;66a=d783>>{e>:=1<7:50;2x 7`a2;?<7E894:J50==#:?n1o6g80;29?l>e2900e?j;:188k7b12900qo8<6;290?6=8r.9jk4=569K232<@?>37)<9d;a8m26=831b4o4?::k1`1<722e9h;4?::a263=83>1<7>t$3de>7303A<=86F9499'63b=k2c<<7>5;h:a>5<5<53;294~"5no09995G6768L32?3A"5>m087d9?:188m=d=831d>i850;9~f35e290?6=4?{%0eb?42?2B=:95G65:8 70c2j1b;=4?::k;f?6=3`8o87>5;n0g2?6=3th=?l4?:583>5}#:ol1>894H747?M0302.9:i4l;h53>5<5<4290;w)N1>=1C:964H7a8 7dc2;ln7)<9d;18m26=831b4o4?::m1`3<722wi:?h50;694?6|,;lm6?;8;I450>N1<11C:n5+2cf96cc<,;>o?j3:17d3:17pl:d`83>0<729q/>kh524;8L3033AN1<11/>;j53:k44?6=3`=:6=44i9`94?=n:m=1<75`2e494?=zj56F9659K21><,;5f7183>>o093:17d6m:188m7b02900c?j9:188yg3c>3:197>50z&1bc<5=01C:;:4H76;?!41l390e:>50;9j34<722c3n7>5;h0g3?6=3f8o:7>5;|`6`0<72<0;6=u+2gd960?<@?>i5l?0;66sm5e694?3=83:p(?hi:37:?M01<2B=855+27f97>o083:17d9>:188m=d=831b>i950;9l6a0=831vn8j<:186>5<7s-8mj7<:9:J521=O>=20(?8k:29j35<722c<=7>5;h:a>5<5<7>55;294~"5no09945G6768L32?3-8=h7=4i6294?=n?80;66g7b;29?l4c?3:17b"5>m087d9?:188m27=831b4o4?::k1`2<722e9h;4?::a1a6=83?1<7>t$3de>73>3A<=86F9499'63b=;2c<<7>5;h52>5<5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>e2900e?j8:188k7b12900qo;le;291?6=8r.9jk4=589K232<@?>37)<9d;18m26=831b;<4?::k;f?6=3`8o;7>5;n0g2?6=3th>on4?:483>5}#:ol1>874H747?M0302.9:i4<;h53>5<;1<75f8c83>>o5l>0;66a=d783>>{e=jh1<7;50;2x 7`a2;?27E894:J50==#:?n1?6g80;29?l162900e5l50;9j6a1=831d>i850;9~f0ef290>6=4?{%0eb?4212B=:95G65:8 70c2:1b;=4?::k45?6=3`2i6=44i3f4>5<?>0D;:7;%05`?5:1<75f7083>>o?j3:17d3:17pl:c983>0<729q/>kh524;8L3033AN1<11/>;j53:k44?6=3`=:6=44i9`94?=n:m=1<75`2e494?=zj56F9659K21><,;5f7183>>o093:17d6m:188m7b02900c?j9:188yg3d=3:197>50z&1bc<5=01C:;:4H76;?!41l390e:>50;9j34<722c3n7>5;h0g3?6=3f8o:7>5;|`6g1<72<0;6=u+2gd960?<@?>i5l?0;66sm5b194?3=83:p(?hi:37:?M01<2B=855+27f97>o083:17d9>:188m=d=831b>i950;9l6a0=831vn8m>:186>5<7s-8mj7<:9:J521=O>=20(?8k:29j35<722c<=7>5;h:a>5<5<55;294~"5no09945G6768L32?3-8=h7=4i6294?=n?80;66g7b;29?l4c?3:17b"5>m087d9?:188m27=831b4o4?::k1`2<722e9h;4?::a1gc=83?1<7>t$3de>73>3A<=86F9499'63b=;2c<<7>5;h52>5<5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>e2900e?j8:188k7b12900qo;mc;291?6=8r.9jk4=589K232<@?>37)<9d;18m26=831b;<4?::k;f?6=3`8o;7>5;n0g2?6=3th>no4?:483>5}#:ol1>874H747?M0302.9:i4<;h53>5<;1<75f8c83>>o5l>0;66a=d783>>{e=kk1<7;50;2x 7`a2;?27E894:J50==#:?n1?6g80;29?l162900e5l50;9j6a1=831d>i850;9~f0d>290>6=4?{%0eb?4212B=:95G65:8 70c2:1b;=4?::k45?6=3`2i6=44i3f4>5<?>0D;:7;%05`?5:1<75f7083>>o?j3:17d3:17pl:b783>0<729q/>kh524;8L3033AN1<11/>;j53:k44?6=3`=:6=44i9`94?=n:m=1<75`2e494?=zj56F9659K21><,;5f7183>>o093:17d6m:188m7b02900c?j9:188yg3e;3:197>50z&1bc<5=01C:;:4H76;?!41l390e:>50;9j34<722c3n7>5;h0g3?6=3f8o:7>5;|`6f7<72<0;6=u+2gd960?<@?>i5l?0;66sm5c394?3=83:p(?hi:37:?M01<2B=855+27f97>o083:17d9>:188m=d=831b>i950;9l6a0=831vn8l?:186>5<7s-8mj7<:9:J521=O>=20(?8k:29j35<722c<=7>5;h:a>5<5<55;294~"5no09945G6768L32?3-8=h7=4i6294?=n?80;66g7b;29?l4c?3:17b"5>m087d9?:188m27=831b4o4?::k1`2<722e9h;4?::a1db=83?1<7>t$3de>73>3A<=86F9499'63b=;2c<<7>5;h52>5<5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>e2900e?j8:188k7b12900qo;na;291?6=8r.9jk4=589K232<@?>37)<9d;18m26=831b;<4?::k;f?6=3`8o;7>5;n0g2?6=3th>m44?:483>5}#:ol1>874H747?M0302.9:i4<;h53>5<;1<75f8c83>>o5l>0;66a=d783>>{e=h21<7;50;2x 7`a2;?27E894:J50==#:?n1?6g80;29?l162900e5l50;9j6a1=831d>i850;9~f0g0290>6=4?{%0eb?4212B=:95G65:8 70c2:1b;=4?::k45?6=3`2i6=44i3f4>5<?>0D;:7;%05`?5:1<75f7083>>o?j3:17d3:17pl:a483>0<729q/>kh524;8L3033AN1<11/>;j53:k44?6=3`=:6=44i9`94?=n:m=1<75`2e494?=zj56F9659K21><,;5f7183>>o093:17d6m:188m7b02900c?j9:188yg3f:3:197>50z&1bc<5=01C:;:4H76;?!41l390e:>50;9j34<722c3n7>5;h0g3?6=3f8o:7>5;|`6e5<72<0;6=u+2gd960?<@?>i5l?0;66sm58d94?3=83:p(?hi:37:?M01<2B=855+27f97>o083:17d9>:188m=d=831b>i950;9l6a0=831vn87j:186>5<7s-8mj7<:9:J521=O>=20(?8k:29j35<722c<=7>5;h:a>5<5<55;294~"5no09945G6768L32?3-8=h7=4i6294?=n?80;66g7b;29?l4c?3:17b"5>m087d9?:188m27=831b4o4?::k1`2<722e9h;4?::a1t$3de>73>3A<=86F9499'63b=;2c<<7>5;h52>5<5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>e2900e?j8:188k7b12900qo;69;291?6=8r.9jk4=589K232<@?>37)<9d;18m26=831b;<4?::k;f?6=3`8o;7>5;n0g2?6=3th>554?:483>5}#:ol1>874H747?M0302.9:i4<;h53>5<;1<75f8c83>>o5l>0;66a=d783>>{e=0=1<7;50;2x 7`a2;?27E894:J50==#:?n1?6g80;29?l162900e5l50;9j6a1=831d>i850;9~f0bd290>6=4?{%0eb?4212B=:95G65:8 70c2:1b;=4?::k45?6=3`2i6=44i3f4>5<?>0D;:7;%05`?5:1<75f7083>>o?j3:17d3:17pl:d983>0<729q/>kh524;8L3033AN1<11/>;j53:k44?6=3`=:6=44i9`94?=n:m=1<75`2e494?=zj56F9659K21><,;5f7183>>o093:17d6m:188m7b02900c?j9:188yg3e?3:197>50z&1bc<5=01C:;:4H76;?!41l390e:>50;9j34<722c3n7>5;h0g3?6=3f8o:7>5;|`6ef<72<0;6=u+2gd960?<@?>i5l?0;66sm5`394?3=83:p(?hi:37:?M01<2B=855+27f97>o083:17d9>:188m=d=831b>i950;9l6a0=831vn879:186>5<7s-8mj7<:9:J521=O>=20(?8k:29j35<722c<=7>5;h:a>5<5<55;294~"5no09945G6768L32?3-8=h7=4i6294?=n?80;66g7b;29?l4c?3:17bkh56948^3`=lr;861=?47b=:o08<7:<:|&5a?45<#:m81>h:4n3f2>5=5<#:m81:8=4n3f2>4=5<#:m81:8=4n3f2>6=m6=4+2e092055<#:m81:8=4n3f2>0=h6=4+2e092055<#:m81:8=4n3f2>2=h5l80;76g:6;29 7b52<=0b?j>:098m03=83.9h?4:7:l1`4<532c>87>5$3f1>0154i4194?"5l;0>;6`=d087?>o2:3:1(?j=:458j7b62<10e8?50;&1`7<2?2d9h<49;:k64?6=,;n96894n3f2>2=h5l80376g>1983>!4c:3;:;6`=d083?>o69?0;6)h5l80:76g>1583>!4c:3;:;6`=d081?>o69:0;6)h5l80876g>1383>!4c:3;:;6`=d087?>o6980;6)h5l80>76g>1183>!4c:3;:;6`=d085?>o68o0;6)h5l80<76g>0d83>!4c:3;:;6`=d08;?>o68m0;6)h5l80276g>0b83>!4c:3;:;6`=d08b?>o68k0;6)h5l80i76g>0883>!4c:3;:;6`=d08`?>o6810;6)h5l80o76g>0683>!4c:3;:;6`=d08f?>o68?0;6)h5l80m76g>0483>!4c:3;:;6`=d0824>=n99>1<7*=d38252=i:m;1=<54i020>5<#:m81=<94n3f2>44<3`;;>7>5$3f1>4703g8o=7?<;:k244<72-8o>7?>7:l1`4<6<21b==>50;&1`7<69>1e>i?51498mcc=83.9h?4>169m6a7=9?10ekj50;&1`7<69>1e>i?51698mce=83.9h?4>169m6a7=9110ekl50;&1`7<69>1e>i?51898mcg=83.9h?4>169m6a7=9h10ek750;&1`7<69>1e>i?51c98mc>=83.9h?4>169m6a7=9j10ek950;&1`7<69>1e>i?51e98mc0=83.9h?4>169m6a7=9l10ek;50;&1`7<69>1e>i?51g98mc5=83.9h?4>169m6a7=:910ek<50;&1`7<69>1e>i?52098mc7=83.9h?4>169m6a7=:;10ek>50;&1`7<69>1e>i?52298m``=83.9h?4>169m6a7=:=10ehk50;&1`7<69>1e>i?52498m`b=83.9h?4>169m6a7=:?10ehm50;&1`7<69>1e>i?52698m`d=83.9h?4>169m6a7=:110eho50;&1`7<69>1e>i?52898m`>=83.9h?4>169m6a7=:h10eh950;&1`7<69>1e>i?52c98m`0=83.9h?4>169m6a7=:j10eh;50;&1`7<69>1e>i?52e98m`2=83.9h?4>169m6a7=:l10eh=50;&1`7<69>1e>i?52g98m`4=83.9h?4>169m6a7=;910eh?50;&1`7<69>1e>i?53098m`6=83.9h?4>169m6a7=;;10eih50;&1`7<69>1e>i?53298mab=83.9h?4>169m6a7=;=10eim50;&1`7<69>1e>i?53498mad=83.9h?4>169m6a7=;?10eio50;&1`7<69>1e>i?53698ma?=83.9h?4>169m6a7=;110ei650;&1`7<69>1e>i?53898ma1=83.9h?4>169m6a7=;h10ei850;&1`7<69>1e>i?53c98ma3=83.9h?4>169m6a7=;j10ei:50;&1`7<69>1e>i?53e98m47e290/>i<51058j7b62:o07d?>a;29 7b528;<7co6900;6)h5l80?<65f10794?"5l;0:=:5a2e3904=5<#:m81=<94n3f2>15<3`l?6=4+2e095415<#:m81=<94n3f2>13<3`nn6=4+2e095415<#:m81=<94n3f2>11<3`8ni7>5$3f1>7cc3g8o=7>4;h0fi7>5$3f1>33c3g8o=7>4;h46g?6=,;n96;;k;o0g5?7<3`<>n7>5$3f1>33c3g8o=7<4;h46e?6=,;n96;;k;o0g5?5<3`<>57>5$3f1>33c3g8o=7:4;h463?6=,;n96;;k;o0g5?3<3`<>:7>5$3f1>33c3g8o=784;h461?6=,;n96;;k;o0g5?1<3`<36=4+2e0922=i:m;1<65f6783>!4c:3<<7ci<5669m6a7=:21b:94?:%0g6?003g8o=7=4;h40>5<#:m81::5a2e390>=n>;0;6):18'6a4=>>1e>i?56:9j25<72-8o>788;o0g5?1<3`?j6=4+2e0922=i:m;1465f17394?"5l;0::=5a2e394>=n9=n9=n9=n9<21<7*=d38225=i:m;1;65f14594?"5l;0::=5a2e39<>=n9<<1<7*=d38225=i:m;1565f14794?"5l;0::=5a2e39e>=n9<>1<7*=d38225=i:m;1n65f14094?"5l;0::=5a2e39g>=n9<;1<7*=d38225=i:m;1h65f14294?"5l;0::=5a2e39a>=n9=l1<7*=d38225=i:m;1j65f15g94?"5l;0::=5a2e3955=o6=4+2e095363:9j51g=83.9h?4>619m6a7=9=10e<:6:18'6a4=9?:0b?j>:078?l73?3:1(?j=:043?k4c93;=76g>4783>!4c:3;=<6`=d0823>=n9=?1<7*=d38225=i:m;1=554i067>5<#:m81=;>4n3f2>4?<3`;??7>5$3f1>4073g8o=7?n;:k207<72-8o>7?90:l1`4<6j21b=9?50;&1`7<6>91e>i?51b98m427290/>i<51728j7b628n07d?o6;l0;6)h5l80:j65f12a94?"5l;0::=5a2e3965==83.9h?4>619m6a7=:=10e<=8:18'6a4=9?:0b?j>:378?l74>3:1(?j=:043?k4c938=76g>3483>!4c:3;=<6`=d0813>=n9:>1<7*=d38225=i:m;1>554i010>5<#:m81=;>4n3f2>7?<3`;8=7>5$3f1>4073g8o=77?90:l1`4<5j21b=?h50;&1`7<6>91e>i?52b98m44b290/>i<51728j7b62;n07d?=d;29 7b528<;7co6:j0;6)h5l809j65f13`94?"5l;0::=5a2e3975=?4;h31=?6=,;n96<8?;o0g5?5532c:>54?:%0g6?7182d9h<4<3:9j570=83.9h?4>619m6a7=;=10e<<::18'6a4=9?:0b?j>:278?l75<3:1(?j=:043?k4c939=76g>2283>!4c:3;=<6`=d0803>=n9;81<7*=d38225=i:m;1?554i002>5<#:m81=;>4n3f2>6?<3`;9<7>5$3f1>4073g8o=7=n;:k25c<72-8o>7?90:l1`4<4j21b=91e>i?53b98m47c290/>i<51728j7b62:n07d?94;29 7b528<;7co6>:0;6)h5l808j65f17094?"5l;0::=5a2e3905=619m6a7=<=10e<==:18'6a4=9?:0b?j>:578?l75?3:1(?j=:043?k4c93>=76g>1b83>!4c:3;=<6`=d0873>=n?h0;66g=4g83>>o0n3:17d<:0;29?l4e:3:17d07b?ib;29 7b52;::7c3:1(?j=:322?k4c93k07b?i5;29 7b52;::7ced83>!4c:38;=6`=d0825>=h9ln1<7*=d38144=i:m;1=?54o0g`>5<#:m81>=?4n3f2>45<3f;nn7>5$3f1>7663g8o=7?;;:m2ad<72-8o>7i?51798k4c0290/>i<52138j7b628=07b?j6;29 7b52;::7ci6m<0;6)h5l80:565`1d694?"5l;09<<5a2e395d=>;o0g5?7d32e:i<4?:%0g6?4792d9h<4>d:9l5`6=83.9h?4=009m6a7=9l10c:0d8?j7cl3:1(?j=:322?k4c938;76a>db83>!4c:38;=6`=d0815>=h9mh1<7*=d38144=i:m;1>?54o0fb>5<#:m81>=?4n3f2>75<3f;o57>5$3f1>7663g8o=7<;;:m2`=<72-8o>7i?52798k4b1290/>i<52138j7b62;=07b?k5;29 7b52;::7ci6l=0;6)h5l809565`1e094?"5l;09<<5a2e396d=>;o0g5?4d32e:ok4?:%0g6?4792d9h<4=d:9l5fc=83.9h?4=009m6a7=:l10c:3d8?j7dk3:1(?j=:322?k4c939;76a>cc83>!4c:38;=6`=d0805>=h9jk1<7*=d38144=i:m;1??54o0a:>5<#:m81>=?4n3f2>65<3f;h;7>5$3f1>7663g8o=7=;;:m2g3<72-8o>7i?53798k4e3290/>i<52138j7b62:=07b?l3;29 7b52;::7ci6k;0;6)h5l808565`1b394?"5l;09<<5a2e397d=l4;n3ab?6=,;n96?>>;o0g5?5d32e:nh4?:%0g6?4792d9h<4;:18'6a4=:9;0b?j>:2d8?j47;3:1(?j=:322?k4c93>;76a>fg83>!4c:38;=6`=d0875>=h9o>1<7*=d38144=i:m;18?54o0g:>5<#:m81>=?4n3f2>15<3f;oi7>5$3f1>7663g8o=7:;;:m2`6<72-8o>7i?54798k4dc290/>i<52138j7b62==07b<;b;29 7b52;>j7cj7c3:1(?j=:36b?k4c93907b<;5;29 7b52;>j7cj7cj7cj7cj7cj7cj7ci5;>0;6)h5l80:=65`22494?"5l;098l5a2e3957=6=4+2e0961g4?:%0g6?43i2d9h<4>5:9l667=83.9h?4=4`9m6a7=9?10c?=?:18'6a4=:=k0b?j>:058?j45n3:1(?j=:36b?k4c93;376a=2d83>!4c:38?m6`=d082=>=h:;n1<7*=d3810d=i:m;1=l54o30`>5<#:m81>9o4n3f2>4d<3f89n7>5$3f1>72f3g8o=7?l;:m16d<72-8o>7<;a:l1`4<6l21d>?750;&1`7<5i?51d98k74?290/>i<525c8j7b628l07b<=6;29 7b52;>j7ci5:<0;6)h5l809=65`23694?"5l;098l5a2e3967=<4?:%0g6?43i2d9h<4=5:9l676=83.9h?4=4`9m6a7=:?10c??i:18'6a4=:=k0b?j>:358?j46m3:1(?j=:36b?k4c938376a=1e83>!4c:38?m6`=d081=>=h:8h1<7*=d3810d=i:m;1>l54o33b>5<#:m81>9o4n3f2>7d<3f8:57>5$3f1>72f3g8o=77<;a:l1`4<5l21d><950;&1`7<5i?52d98k771290/>i<525c8j7b62;l07b<>5;29 7b52;>j7ci59=0;6)h5l808=65`20194?"5l;098l5a2e3977==4;n024?6=,;n96?:n;o0g5?5332e9k:18'6a4=:=k0b?j>:258?j47k3:1(?j=:36b?k4c939376a=0c83>!4c:38?m6`=d080=>=h:9k1<7*=d3810d=i:m;1?l54o32:>5<#:m81>9o4n3f2>6d<3f8;47>5$3f1>72f3g8o=7=l;:m142<72-8o>7<;a:l1`4<4l21d>9k50;&1`7<5i?53d98k72c290/>i<525c8j7b62:l07b<;c;29 7b52;>j7ci5<10;6)h5l80?=65`22f94?"5l;098l5a2e3907=9:18'6a4=:=k0b?j>:558?j4b:3:1(?j=:3g2?k4c93:07b07bb2900n;8::182>5<7s-8mj7<:d:J521=O>=20c?;l:188yg14<3:1nn4?:1y'6c`=:m20D;8;;I47<>\1n3ipj7??:0`95c<6k38;62553g2h6?:4n9f961=i90:1<6`>b383?!42938>?6*=5381b`=#:??196*=6786?!41?3?0(?87:49'63?==2.9:l4:;%05f?3<,;"5>o0>7)<80;78 7162<1/>:<55:&136<23-8<87;4$356>0=#:><196*=7686?!4003?0(?96:49'62g==2.9;o4:;%04g?3<,;=o685+26g91>"5?o0>7)<70;78 7>62<1/>5<55:&1<6<23-8387;4$3:6>0=#:1<196*=8686?!4?03?0(?66:49'6=g==2.94o4:;%0;g?3<,;2o685+29g91>"50o0>7)<60;78 7?62<1/>4<55:&1=6<23-8287;4$3;6>0=#:0<196*=9686?!4>03?0(?76:49'6"51o0>7)l<55:&1e6<23-8j87;4$3c6>0=#:h<196*=a686?!4f03?0(?o6:49'6dg==2.9mo4:;%0bg?3<,;ko685+2`g90>"5io0?7)"5mo09ji5+2g2934=#:o;1;<5+2g492g=#:o=1>k74$3db>1=#:oh186*961851c=#>?;1:8h4i3g0>5<k1<75f24294?=n:li1<75f7g83>>o5m?0;66g=e883>>o56883>!4c:3;=46`=d083?>o6>>0;6)h5l80:76g>6783>!4c:3;=46`=d081?>o6><0;6)h5l80876g94g83>!4c:3o1h5l80:76g94b83>!4c:3o1h5l80876a>8983>!4c:3;3;6`=d083?>i60?0;6)h5l80:76a>8583>!4c:3;3;6`=d081?>i60:0;6)h5l80876a>8383>!4c:3;3;6`=d087?>i6080;6)h5l80>76a>8183>!4c:3;3;6`=d085?>i6?o0;6)h5l80<76a>7d83>!4c:3;3;6`=d08;?>i6?m0;6)h5l80276a>7b83>!4c:3;3;6`=d08b?>i6?k0;6)h5l80i76a>7883>!4c:3;3;6`=d08`?>i6?10;6)h5l80o76a>7683>!4c:3;3;6`=d08f?>i6??0;6)h5l80m76a>7483>!4c:3;3;6`=d0824>=h9>>1<7*=d382<2=i:m;1=<54o050>5<#:m81=594n3f2>44<3f;<>7>5$3f1>4>03g8o=7?<;:m234<72-8o>7?77:l1`4<6<21d=:>50;&1`7<60>1e>i?51498k4>a290/>i<51958j7b628<07b?7e;29 7b5282<7ci60m0;6)h5l80:465`19a94?"5l;0:4:5a2e395<=c:9l5=3=83.9h?4>869m6a7=9m10c<9n:18'6a4=91=0b?j>:0g8?j71n3:1(?j=:0:4?k4c93;m76g;f;29 7b52=o0b?j>:198m1b=83.9h?4;e:l1`4<632c?o7>5$3f1>1co213:1(?j=:5g8j7b62=10e8650;&1`7<3m2d9h<4:;:k62?6=,;n969k4n3f2>3=h5l80<76g:4;29 7b52=o0b?j>:998m05=83.9h?4;e:l1`4<>32c>>7>5$3f1>1co283:1(?j=:5g8j7b62j10e9o50;&1`7<3m2d9h<4k;:k6b?6=,;n968k4n3f2>5=h5l80:76g:c;29 7b52:398m0d=83.9h?4:e:l1`4<432c=57>5$3f1>0ci6`=d086?>o1>3:1(?j=:4g8j7b62?10e;;50;&1`7<2m2d9h<48;:k50?6=,;n968k4n3f2>==h5l80276g92;29 7b52:`98m37=83.9h?4:e:l1`45$3f1>0ci6`=d08g?>odj3:1(?j=:bc8j7b62910en750;&1`7;:k`3?6=,;n96no4n3f2>7=h5l80876gl5;29 7b52jk0b?j>:598mf2=83.9h?4la:l1`4<232ch?7>5$3f1>fgod93:1(?j=:bc8j7b62110en>50;&1`7d=h5l80i76gmc;29 7b52jk0b?j>:b98mgd=83.9h?4la:l1`45$3f1>fgoe03:1(?j=:bc8j7b628:07dl8:18'6a4=kh1e>i?51098mg0=83.9h?4la:l1`4<6:21bn84?:%0g6?ef3g8o=7?<;:ka0?6=,;n96no4n3f2>42<3`h86=4+2e09gd=i:m;1=854ie094?"5l;0hm6`=d0822>=nl80;6):0:8?lea290/>i<5c`9m6a7=9010enk50;&1`7a:9jga<72-8o>7mn;o0g5?7e32cho7>5$3f1>fg5<#:m81ol5a2e395a=h5l80:i65fb383>!4c:3ij7ci6j>0;6)h5l80;76a>b483>!4c:3;i:6`=d082?>i6j=0;6)h5l80976a>b283>!4c:3;i:6`=d080?>o6>l0;6)h5l80;76g>6b83>!4c:3;=h6`=d082?>o6>k0;6)h5l80976g>6`83>!4c:3;=h6`=d080?>i6jj0;6)h5l80;76a>b`83>!4c:3;in6`=d082?>i6j00;6)h5l80976a>b983>!4c:3;in6`=d080?>i6ih0;6)h5l80;76a>a983>!4c:3;j56`=d082?>i6i?0;6)h5l80976a>a483>!4c:3;j56`=d080?>i6i=0;6)h5l80?76a>a283>!4c:3;j56`=d086?>i6i;0;6)h5l80=76a>a083>!4c:3;j56`=d084?>i6i90;6)h5l80376a>9g83>!4c:3;j56`=d08:?>i61l0;6)h5l80j76a>9e83>!4c:3;j56`=d08a?>i61k0;6)h5l80h76a>9`83>!4c:3;j56`=d08g?>i6100;6)h5l80n76a>9983>!4c:3;j56`=d08e?>i61>0;6)h5l80:<65`18494?"5l;0:m45a2e3954=6=4+2e095d?4?:%0g6?7f12d9h<4>4:9l5<4=83.9h?4>a89m6a7=9<10c:18'6a4=9h30b?j>:048?j7e83:1(?j=:0c:?k4c93;<76a>ag83>!4c:3;j56`=d082<>=h9ho1<7*=d382e<=i:m;1=454o0cg>5<#:m81=l74n3f2>4g<3f;jo7>5$3f1>4g>3g8o=7?m;:m2eg<72-8o>7?n9:l1`4<6k21d=l950;&1`7<6i01e>i?51e98k4?d290/>i<51`;8j7b628o07b?61;29 7b528k27co1=00;6)h5l80;76g95683>!4c:3<>46`=d082?>o1=?0;6)h5l80976g95483>!4c:3<>46`=d080?>ofi3:1(?j=:`;8j7b62910el650;&1`7;:kb2?6=,;n96l74n3f2>7=h5l80876gn4;29 7b52h30b?j>:598md5=83.9h?4n9:l1`4<232cj>7>5$3f1>d?of83:1(?j=:`;8j7b62110e4h50;&1`7d=h5l80i76g6b;29 7b52h30b?j>:b98m5$3f1>d?o>?3:1(?j=:`;8j7b628:07d79:18'6a4=i01e>i?51098m<3=83.9h?4n9:l1`4<6:21b594?:%0g6?g>3g8o=7?<;:k:7?6=,;n96l74n3f2>42<3`396=4+2e09e<=i:m;1=854ic394?"5l;0j56`=d0822>=nj90;6):0:8?lgb290/>i<5a89m6a7=9010elj50;&1`7a:9jef<72-8o>7o6;o0g5?7e32cjn7>5$3f1>d?5<#:m81m45a2e395a=h5l80:i65f9083>!4c:3k27c{e?:?1<7ll:183!4an38o46F9659K21>=4>d;3:>4>=9h0:i7?8:|&1ga<0;;1e4n4=4:l;`?433g;2<7>4n0`1>5=#:<;1>8=4$371>7`b3-8=97;4$345>0=#:?=196*=6986?!4113?0(?8n:49'63d==2.9:n4:;%05a?3<,;"5?80>7)<82;78 7142<1/>::55:&130<23-8<:7;4$354>0=#:>2196*=7886?!40i3?0(?9m:49'62e==2.9;i4:;%04a?3<,;=m685+29291>"5080>7)<72;78 7>42<1/>5:55:&1<0<23-83:7;4$3:4>0=#:12196*=8886?!4?i3?0(?6m:49'6=e==2.94i4:;%0;a?3<,;2m685+28291>"5180>7)<62;78 7?42<1/>4:55:&1=0<23-82:7;4$3;4>0=#:02196*=9886?!4>i3?0(?7m:49'6"5i80>7)l:55:&1e0<23-8j:7;4$3c4>0=#:h2196*=a886?!4fi3?0(?om:49'6de==2.9mi4:;%0ba?2<,;km695+2c296fd<,;om6?hk;%0e4?163-8m=79>;%0e2?0e3-8m;733a3-<==78:f:k1a6<722c5;h064?6=3`8no7>5;h5e>5<5<m6=44i04:>5<#:m81=;64n3f2>5=5<#:m81=;64n3f2>7=6=4+2e0953>54i76e>5<#:m81:9k4n3f2>5=o6=4+2e0921c5<#:m81:9k4n3f2>7=i6=4+2e0921c54o0:;>5<#:m81=594n3f2>5=5<#:m81=594n3f2>7=54o0:1>5<#:m81=594n3f2>1=5<#:m81=594n3f2>3=5<#:m81=594n3f2>==5<#:m81=594n3f2>d=5<#:m81=594n3f2>f=5<#:m81=594n3f2>`=5<#:m81=594n3f2>46<3f;<87>5$3f1>4>03g8o=7?>;:m236<72-8o>7?77:l1`4<6:21d=:<50;&1`7<60>1e>i?51298k416290/>i<51958j7b628>07b?80;29 7b5282<7ci60o0;6)h5l80::65`19g94?"5l;0:4:5a2e3952=32e:4o4?:%0g6?7??2d9h<4>a:9l5=g=83.9h?4>869m6a7=9k10c<66:18'6a4=91=0b?j>:0a8?j7?=3:1(?j=:0:4?k4c93;o76a>7`83>!4c:3;3;6`=d082a>=h9?l1<7*=d382<2=i:m;1=k54i5d94?"5l;0?i6`=d083?>o3l3:1(?j=:5g8j7b62810e9m50;&1`7<3m2d9h<4=;:k7f?6=,;n969k4n3f2>6=h5l80?76g:8;29 7b52=o0b?j>:498m00=83.9h?4;e:l1`4<132c>97>5$3f1>1co2;3:1(?j=:5g8j7b62010e8<50;&1`7<3m2d9h<4n;:k65?6=,;n969k4n3f2>g=h5l80h76g;a;29 7b52=o0b?j>:e98m0`=83.9h?4:e:l1`4<732c>h7>5$3f1>0ci6`=d081?>o2j3:1(?j=:4g8j7b62:10e;750;&1`7<2m2d9h<4;;:k50=h5l80=76g95;29 7b52:698m32=83.9h?4:e:l1`45$3f1>0ci6`=d08b?>o193:1(?j=:4g8j7b62k10e;>50;&1`7<2m2d9h<4l;:k6e?6=,;n968k4n3f2>a=h5l80;76gl9;29 7b52jk0b?j>:098mf1=83.9h?4la:l1`4<532ch:7>5$3f1>fg54ib794?"5l;0hm6`=d087?>od<3:1(?j=:bc8j7b62<10en=50;&1`72=h5l80376gl0;29 7b52jk0b?j>:898mg`=83.9h?4la:l1`45$3f1>fgoej3:1(?j=:bc8j7b62m10eoo50;&1`7c=h5l80:<65fb683>!4c:3ij7coe>3:1(?j=:bc8j7b628807dl::18'6a4=kh1e>i?51298mg2=83.9h?4la:l1`4<6<21bn>4?:%0g6?ef3g8o=7?:;:kg6?6=,;n96no4n3f2>40<3`n:6=4+2e09gd=i:m;1=:54ie294?"5l;0hm6`=d082<>=nko0;6):0c8?lec290/>i<5c`9m6a7=9k10enm50;&1`7c:9jg=<72-8o>7mn;o0g5?7c32cih7>5$3f1>fg5<#:m81ol5a2e395c=5<#:m81=o84n3f2>4=5<#:m81=o84n3f2>6=5<#:m81=;j4n3f2>4=5<#:m81=;j4n3f2>6=5<#:m81=ol4n3f2>4=5<#:m81=ol4n3f2>6=5<#:m81=l74n3f2>4=5<#:m81=l74n3f2>6=5<#:m81=l74n3f2>0=5<#:m81=l74n3f2>2=5<#:m81=l74n3f2><=5<#:m81=l74n3f2>g=5<#:m81=l74n3f2>a=5<#:m81=l74n3f2>c=4;n3:2?6=,;n962:9l5<2=83.9h?4>a89m6a7=9:10c<7<:18'6a4=9h30b?j>:068?j7>:3:1(?j=:0c:?k4c93;>76a>b083>!4c:3;j56`=d0822>=h9k:1<7*=d382e<=i:m;1=:54o0ce>5<#:m81=l74n3f2>4><3f;ji7>5$3f1>4g>3g8o=7?6;:m2ea<72-8o>7?n9:l1`4<6i21d=lm50;&1`7<6i01e>i?51c98k4ge290/>i<51`;8j7b628i07b?n7;29 7b528k27ci61j0;6)h5l80:i65`18394?"5l;0:m45a2e395c=5<#:m81:864n3f2>4=5<#:m81:864n3f2>6=h5l80;76gn8;29 7b52h30b?j>:098md0=83.9h?4n9:l1`4<532cj97>5$3f1>d?54i`694?"5l;0j56`=d087?>of;3:1(?j=:`;8j7b62<10el<50;&1`72=h5l80376g6f;29 7b52h30b?j>:898m5$3f1>d?o>i3:1(?j=:`;8j7b62m10e4750;&1`7c=h5l80:<65f9783>!4c:3k27co>=3:1(?j=:`;8j7b628807d7;:18'6a4=i01e>i?51298m<5=83.9h?4n9:l1`4<6<21b5?4?:%0g6?g>3g8o=7?:;:ka5?6=,;n96l74n3f2>40<3`h;6=4+2e09e<=i:m;1=:54i`d94?"5l;0j56`=d082<>=nil0;6):0c8?lgd290/>i<5a89m6a7=9k10ell50;&1`7c:9je2<72-8o>7o6;o0g5?7c32c2o7>5$3f1>d?5<#:m81m45a2e395c=53z\1ag=:?:>1>h74=616>7c>3ty=8o4?:8y]21d<5>9?6;:i;<500?03l27362=>=h01:=::76e?814=35<4sW?3709<4;6e?814=3>m7p}:6;297~X2>2718n5272790f=z{<>1<7=t^46892532=h01:=::5`8yv342908wS;<;<500?3>34=897;6;|q66?6=;rT>>6383586<>;0;<0>46s|5083>6}Y=816;>:5579>363==?1v8>50;1xZ06<5>9?68;4=616>03j6=4<{_6b?814<3??709<5;77?xubn3:1>vPjf:?471<6>01vhk50;0xZ`c<5>9?6lo4}rgg>5<5sWoo709<4;c;?xubk3:1>vPjc:?4712wxio4?:3y]ag=:?:>1m85rsdc94?4|Vlk01:=;:`68yvc?2909wSk7;<500?g43tyn;7>52z\f3>;0;=0j>6s|e783>7}Ym?16;>:5a09~w`3=838pRh;4=617>4003tyn87>52z\f0>;0;=0j<6s|e283>7}Ym:16;>:59g9~w`4=838pRh<4=617>34=88779;|qg{tl>0;6?uQd69>362=1=1vi850;0xZa0<5>9?64=4}rf6>5<5sWn>709<4;;1?xuc<3:1>vPk4:?4714023ty:=44?:3y]54?<5>9?6lh4}r321?6=:rT:=8527269e`=z{8:j6=4={_33e>;0;=0jh6s|fg83>7}Yno16;>:5ab9~wc2=838pRk:4=617>dd527269=4=z{8;36=4={_32<>;0;<0::45rs035>5<5sW;::638348be>{t98>1<71283>7}Y98901:=::`48yv76:3:1>vP>139>363=i<1v:181[769279>6l<4}r33a?6=:rT:;0;<0:::5rs02`>5<5sW;;o638348b4>{t99h1<70883>7}Y99301:=::8g8yv7703:1>vP>099>363=1m1v<>8:181[77?279;<501??f3ty:<84?:3y]553<5>9>6474}r330?6=:rT:<9527279===z{8:86=4={_337>;0;<0::;5rs021>5<5sW;;>638348:3>{t99;1<70183>7}Y99:01:=::878yv`b2909wShj;<501??33tymh7>52z\e`>;0;<02?6s|fb83>7}Ynj16;>;5939~wcd=838pRkl4=616>g7649~wc>=838pRk64=616>d`27{tn90;6?uQf19>363=181v?kj:180[4bm27363=:li0q~87:180[0?34=887;i;<501?3a3ty=:7>53z\52>;0;=0>h6383486`>{t><0;6>uQ649>362==j16;>;55b9~w32=839pR;:4=617>0d<5>9>68l4}r40>5<4sW<8709<4;4:?814=3<27p}92;297~X1:271:;52727923=z{?:1<7=t^72892532??01:=::778yv3f2908wS;n;<500?0334=8978;;|q4e?6=;rT;0;<0m709<4;07b>;0;<098k5rs6d94?5|V>l01:=;:6d892522>l0q~<:0;297~X5=916;>:5242892522;?;7p}=2383>7}Y:;801:=;:0``?xu5:80;6?uQ2338925328kj7p}=2183>7}Y:;:01:=;:0c;?xu59o0;6?uQ20d8925328k=7p}=1d83>7}Y:8o01:=;:0c6?xu59m0;6?uQ20f8925328k?7p}=1c83>7}Y:8h01:=;:0c0?xu59h0;6?uQ20c8925328k97p}=1883>7}Y:8301:=;:0c2?xu5910;6?uQ20:8925328hj7p}=1683>7}Y:8=01:=;:0c3?xu59?0;6?uQ20489253283m7p}=1483>7}Y:8?01:=;:0;f?xu59=0;6?uQ20689253283o7p}=1283>7}Y:8901:=;:0;a?xu59;0;6?uQ20089253283j7p}=1183>7}Y:8:01:=;:0;:?xu58o0;6?uQ21d8925328337p}=0d83>7}Y:9o01:=;:0`:?xu58m0;6?uQ21f89253283<7p}=0b83>7}Y:9i01:=;:0;5?xu58k0;6?uQ21`89253283>7p}=0`83>7}Y:9k01:=;:0;7?xu5800;6?uQ21;8925328387p}=0983>7}Y:9201:=;:0;1?xu58>0;6?uQ2158925328h:7p}=4d83>7}Y:=o01:=;:0`3?xu57}Y:=i01:=;:0ce?xu5<10;6?uQ25:8925328kn7p}=3e83>7}Y::n01:=;:0cg?xu5;;0;6?uQ2208925328kh7p}=2683>7}Y:;=01:=;:0ca?xu59j0;6?uQ20a8925328k<7p}=1083>7}Y:8;01:=;:0;`?xu58?0;6?uQ21489253283:7p}=4c83>7}Y:=h01:=::0``?xu5<00;6?uQ25;8925228kj7p}=4683>7}Y:==01:=::0c;?xu57}Y:=?01:=::0c6?xu5<=0;6?uQ2568925228k?7p}=4283>7}Y:=901:=::0c0?xu5<;0;6?uQ2508925228k97p}=4083>7}Y:=;01:=::0c2?xu5<90;6?uQ2528925228hj7p}=3g83>7}Y::l01:=::0c3?xu5;l0;6?uQ22g89252283m7p}=3b83>7}Y::i01:=::0;f?xu5;k0;6?uQ22`89252283o7p}=3`83>7}Y::k01:=::0;a?xu5;00;6?uQ22;89252283j7p}=3983>7}Y::201:=::0;:?xu5;>0;6?uQ2258925228337p}=3783>7}Y::<01:=::0`:?xu5;<0;6?uQ22789252283<7p}=3583>7}Y::>01:=::0;5?xu5;:0;6?uQ22189252283>7p}=3083>7}Y::;01:=::0;7?xu5;90;6?uQ2228925228387p}=2g83>7}Y:;l01:=::0;1?xu5:l0;6?uQ23g8925228h:7p}=2e83>7}Y:;n01:=::0`3?xu5:j0;6?uQ23a8925228h37p}=2c83>7}Y:;h01:=::0ce?xu5:h0;6?uQ23c8925228kn7p}=2883>7}Y:;301:=::0cg?xu5:10;6?uQ23:8925228kh7p}=2783>7}Y:;<01:=::0ca?xu5:<0;6?uQ2378925228k<7p}=2583>7}Y:;>01:=::0;`?xu5::0;6?uQ23189252283:7p}7e;29ff}:>??1>8m4^9g8Z7`43W8n>6P=e19]6a`;0;=09i;5272696`5709<4;`7?814<3h8709<4;f1?814<3n:709<4;f3?814<3im709<4;af?814<3io709<4;a`?814<3i3709<4;`g?814<3h9709<4;35a>;0;=0::n52726953d<5>9?6<8n;<500?02127362=><<01:=;:776?[7d02T:h>5Q1eg8Z4c>3W;m86P>fg9]655X6k;1U=n=4^0a7?[7d=2T:o;5Q1b58Z4e>3W;hm6P>cc9]5fe;_3g6>X6l=1U=i;4^0f5?[7c?2T:h55Q1e;892522;o=709<5;0f7>X6lh16;>;5529>363==;16;>;5509>363==916;>;54`9>363=>:16;>;5639>363=>816;>;5619>363==h16;>;5cc9>363=k016;>;5c69>363=k?16;>;5c49>363=k=16;>;5c29>363=k;16;>;5c09>363=k916;>;5bg9>363=jl16;>;5bb9>363=jk16;>;5b`9>363=j016;>;5b99>363=j>16;>;5b79>363=j<16;>;5b59>363=j:16;>;5d39>363=l816;>;5d19>363=ko16;>;5cd9>363=km16;>;5cb9>363=k116;>;5be9>363=j;16;>;517g8925228;0;<0::l52727920?<5>9>6;;8;<501?02>27;_3f6>X6m:1U=h:4^0g6?[7b>2T:i:5Q1d:8Z4cf3W;nn6P>eb9]5`bX6n<1U=k84^0d4?[7a02T:j45Q1gc8Z4`e3W;mo6P>fe9]5cc997d9<:188m<6=831b>k<50;9l6fe=831vn979:187>5<7s-8mj7=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f1?2290?6=4?{%0eb?4em2B=:95G65:8 7ec2>997d9<:188m<6=831b>k<50;9l6fe=831vn97;:187>5<7s-8mj7=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f1??290?6=4?{%0eb?4em2B=:95G65:8 7ec2>997d9<:188m<6=831b>k<50;9l6fe=831vn9on:187>5<7s-8mj7=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f1g>290?6=4?{%0eb?4em2B=:95G65:8 7ec2>997d9<:188m<6=831b>k<50;9l6fe=831vn9o7:187>5<7s-8mj7=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f1g0290?6=4?{%0eb?4em2B=:95G65:8 7ec2>997d9<:188m<6=831b>k<50;9l6fe=831vn9om:187>5<7s-8mj7=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f150290?6=4?{%0eb?4em2B=:95G65:8 7ec2>997d9<:188m<6=831b>k<50;9l6fe=831vn9=9:187>5<7s-8mj7=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f152290?6=4?{%0eb?4em2B=:95G65:8 7ec2>997d9<:188m<6=831b>k<50;9l6fe=831vn9=;:187>5<7s-8mj7=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f15?290?6=4?{%0eb?4em2B=:95G65:8 7ec2>997d9<:188m<6=831b>k<50;9l6fe=831vn9:n:187>5<7s-8mj7=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f12>290?6=4?{%0eb?4em2B=:95G65:8 7ec2>997d9<:188m<6=831b>k<50;9l6fe=831vn9:7:187>5<7s-8mj7=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f120290?6=4?{%0eb?4em2B=:95G65:8 7ec2>997d9<:188m<6=831b>k<50;9l6fe=831vn9:m:187>5<7s-8mj7=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f6`029086=4?{%0eb?01;2B=:95G65:8m25=831b>o:50;9l6fe=831vn>kj:180>5<7s-8mj7=20(?8k:2a8m73a2900e?8?:188k7ed2900qo=i6;297?6=8r.9jk49629K232<@?>37d9<:188m7d32900c?ml:188yg5b=3:187>50z&1bc<5jl1C:;:4H76;?l142900e4>50;9j6c4=831d>nm50;9~f6cc29086=4?{%0eb?4e02B=:95G65:8 70c2:i0e?;i:188m7072900c?ml:188yg5a=3:1?7>50z&1bc<1>:1C:;:4H76;?l142900e?l;:188k7ed2900qo=j4;290?6=8r.9jk4=bd9K232<@?>37d9<:188m<6=831b>k<50;9l6fe=831vn>kl:180>5<7s-8mj7=20(?8k:2a8m73a2900e?8?:188k7ed2900qo=i4;297?6=8r.9jk49629K232<@?>37d9<:188m7d32900c?ml:188yg5b;3:187>50z&1bc<5jl1C:;:4H76;?l142900e4>50;9j6c4=831d>nm50;9~f6ce29086=4?{%0eb?4e02B=:95G65:8 70c2:i0e?;i:188m7072900c?ml:188yg5a;3:1?7>50z&1bc<1>:1C:;:4H76;?l142900e?l;:188k7ed2900qo=j2;290?6=8r.9jk4=bd9K232<@?>37d9<:188m<6=831b>k<50;9l6fe=831vn>kn:180>5<7s-8mj7=20(?8k:2a8m73a2900e?8?:188k7ed2900qo=i2;297?6=8r.9jk49629K232<@?>37d9<:188m7d32900c?ml:188yg5b93:187>50z&1bc<5jl1C:;:4H76;?l142900e4>50;9j6c4=831d>nm50;9~f6c>29086=4?{%0eb?4e02B=:95G65:8 70c2:i0e?;i:188m7072900c?ml:188yg5a93:1?7>50z&1bc<1>:1C:;:4H76;?l142900e?l;:188k7ed2900qo=j0;290?6=8r.9jk4=bd9K232<@?>37d9<:188m<6=831b>k<50;9l6fe=831vn>k7:180>5<7s-8mj7=20(?8k:2a8m73a2900e?8?:188k7ed2900qo=i0;297?6=8r.9jk49629K232<@?>37d9<:188m7d32900c?ml:188yg5cn3:187>50z&1bc<5jl1C:;:4H76;?l142900e4>50;9j6c4=831d>nm50;9~f6c029086=4?{%0eb?4e02B=:95G65:8 70c2:i0e?;i:188m7072900c?ml:188yg5bn3:1?7>50z&1bc<1>:1C:;:4H76;?l142900e?l;:188k7ed2900qo=ke;290?6=8r.9jk4=bd9K232<@?>37d9<:188m<6=831b>k<50;9l6fe=831vn>k9:180>5<7s-8mj7=20(?8k:2a8m73a2900e?8?:188k7ed2900qo:=2;290?6=8r.9jk4=549K232<@?>37)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`764<72=0;6=u+2gd9603<@?>{e<;:1<7:50;2x 7`a2;?>7E894:J50==#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn9?i:187>5<7s-8mj7<:5:J521=O>=20(?8k:29j35<722c3;7>5;h:a>5<?>0D;:7;%05`?5:1<75f8683>>o?j3:17b"5>m087d9?:188m=1=831b4o4?::m1`3<722wi8N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb53a>5<3290;w)N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg26i3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th?=44?:583>5}#:ol1>8;4H747?M0302.9:i4<;h53>5<>i5l?0;66sm49g94?3=83:p(?hi:375?M01<2B=855+27f97>o083:17d9>:188m=1=831b4o4?::m1`3<722wi85j50;794?6|,;lm6?;9;I450>N1<11/>;j53:k44?6=3`=:6=44i9594?=n0k0;66a=d783>>{e<1i1<7;50;2x 7`a2;?=7E894:J50==#:?n1?6g80;29?l162900e5950;9jt$3de>7313A<=86F9499'63b=;2c<<7>5;h52>5<>i5l?0;66sm49c94?3=83:p(?hi:375?M01<2B=855+27f97>o083:17d9>:188m=1=831b4o4?::m1`3<722wi85750;794?6|,;lm6?;9;I450>N1<11/>;j53:k44?6=3`=:6=44i9594?=n0k0;66a=d783>>{e<121<7;50;2x 7`a2;?=7E894:J50==#:?n1?6g80;29?l162900e5950;9jt$3de>7313A<=86F9499'63b=;2c<<7>5;h52>5<>i5l?0;66sm49494?3=83:p(?hi:375?M01<2B=855+27f97>o083:17d9>:188m=1=831b4o4?::m1`3<722wi8:m50;794?6|,;lm6?;9;I450>N1<11/>;j53:k44?6=3`=:6=44i9594?=n0k0;66a=d783>>{e<>h1<7;50;2x 7`a2;?=7E894:J50==#:?n1?6g80;29?l162900e5950;9jt$3de>7313A<=86F9499'63b=;2c<<7>5;h52>5<>i5l?0;66sm46;94?3=83:p(?hi:375?M01<2B=855+27f97>o083:17d9>:188m=1=831b4o4?::m1`3<722wi8:650;794?6|,;lm6?;9;I450>N1<11/>;j53:k44?6=3`=:6=44i9594?=n0k0;66a=d783>>{e<>=1<7;50;2x 7`a2;?=7E894:J50==#:?n1?6g80;29?l162900e5950;9jt$3de>7313A<=86F9499'63b=;2c<<7>5;h52>5<>i5l?0;66sm46794?3=83:p(?hi:375?M01<2B=855+27f97>o083:17d9>:188m=1=831b4o4?::m1`3<722wi8?650;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb506>5<3290;w)N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg25n3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th?>o4?:583>5}#:ol1>894H747?M0302.9:i4l;h53>5<5<3290;w)N1>=1C:964$34g>f=n?90;66g7b;29?l4c<3:17b4?:1y'6c`=>?90D;8;;I47<>o0;3:17d6<729q/>kh52c:8L3033Ao5=o0;66g=6183>>i5kj0;66sm4d:94?5=83:p(?hi:740?M01<2B=855f7283>>o5j=0;66a=cb83>>{e4?:1y'6c`=:k20D;8;;I47<>"5>m08o6g=5g83>>o5>90;66a=cb83>>{e>i5kj0;66sm4e494?2=83:p(?hi:3`f?M01<2B=855f7283>>o>83:17d6<729q/>kh52c:8L3033Ao5=o0;66g=6183>>i5kj0;66sm4d494?5=83:p(?hi:740?M01<2B=855f7283>>o5j=0;66a=cb83>>{e4?:1y'6c`=:k20D;8;;I47<>"5>m08o6g=5g83>>o5>90;66a=cb83>>{e>i5kj0;66sm4e694?2=83:p(?hi:3`f?M01<2B=855f7283>>o>83:17d6<729q/>kh52c:8L3033Ao5=o0;66g=6183>>i5kj0;66sm4d694?5=83:p(?hi:740?M01<2B=855f7283>>o5j=0;66a=cb83>>{e4?:1y'6c`=:k20D;8;;I47<>"5>m08o6g=5g83>>o5>90;66a=cb83>>{e>i5kj0;66sm4e094?2=83:p(?hi:3`f?M01<2B=855f7283>>o>83:17d6<729q/>kh52c:8L3033Ao5=o0;66g=6183>>i5kj0;66sm4d094?5=83:p(?hi:740?M01<2B=855f7283>>o5j=0;66a=cb83>>{e4?:1y'6c`=>?90D;8;;I47<>o0;3:17d1<729q/>kh52cg8L3033A37)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`640<72=0;6=u+2gd9603<@?>{e=9>1<7:50;2x 7`a2;?>7E894:J50==#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn8><:187>5<7s-8mj7<:5:J521=O>=20(?8k:29j35<722c3;7>5;h:a>5<?>0D;:7;%05`?5:1<75f8683>>o?j3:17b"5>m087d9?:188m=1=831b4o4?::m1`3<722wi9=>50;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb5de>5<3290;w)N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg2am3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th?ji4?:583>5}#:ol1>8;4H747?M0302.9:i4<;h53>5<>i5l?0;66sm55:94?3=83:p(?hi:375?M01<2B=855+27f97>o083:17d9>:188m=1=831b4o4?::m1`3<722wi99950;794?6|,;lm6?;9;I450>N1<11/>;j53:k44?6=3`=:6=44i9594?=n0k0;66a=d783>>{e==<1<7;50;2x 7`a2;?=7E894:J50==#:?n1?6g80;29?l162900e5950;9jt$3de>7313A<=86F9499'63b=;2c<<7>5;h52>5<>i5l?0;66sm55694?3=83:p(?hi:375?M01<2B=855+27f97>o083:17d9>:188m=1=831b4o4?::m1`3<722wi99=50;794?6|,;lm6?;9;I450>N1<11/>;j53:k44?6=3`=:6=44i9594?=n0k0;66a=d783>>{e==81<7;50;2x 7`a2;?=7E894:J50==#:?n1?6g80;29?l162900e5950;9jt$3de>7313A<=86F9499'63b=;2c<<7>5;h52>5<>i5l?0;66sm52;94?3=83:p(?hi:375?M01<2B=855+27f97>o083:17d9>:188m=1=831b4o4?::m1`3<722wi9>650;794?6|,;lm6?;9;I450>N1<11/>;j53:k44?6=3`=:6=44i9594?=n0k0;66a=d783>>{e=:=1<7;50;2x 7`a2;?=7E894:J50==#:?n1?6g80;29?l162900e5950;9jt$3de>7313A<=86F9499'63b=;2c<<7>5;h52>5<>i5l?0;66sm52794?3=83:p(?hi:375?M01<2B=855+27f97>o083:17d9>:188m=1=831b4o4?::m1`3<722wi9>:50;794?6|,;lm6?;9;I450>N1<11/>;j53:k44?6=3`=:6=44i9594?=n0k0;66a=d783>>{e=:91<7;50;2x 7`a2;?=7E894:J50==#:?n1?6g80;29?l162900e5950;9jt$3de>73?3A<=86F9499'63b=k2c<<7>5;h52>5<5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn8<8:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<:6F9659K21><,;5f7183>>o093:17d68:188m=d=831d>i850;9~f042290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb407>5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn8<<:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<:6F9659K21><,;5f7183>>o093:17d68:188m=d=831d>i850;9~f046290>6=4?{%0eb?4202B=:95G65:8 70c2j1b;=4?::k45?6=3`2i6=44i3f7>5<?>0D;:7;%05`?5:1<75f8683>>o?j3:17b"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?o;50;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb2`7>5<3290;w)N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg5e;3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th8n?4?:583>5}#:ol1>8;4H747?M0302.9:i4<;h53>5<>i5l?0;66sm3c394?2=83:p(?hi:376?M01<2B=855+27f97>o083:17d68:188m=d=831d>i850;9~f6d7290?6=4?{%0eb?42=2B=:95G65:8 70c2:1b;=4?::k;3?6=3`2i6=44o3f5>5<54;294~"5no09985G6768L32?3-8=h7=4i6294?=n0>0;66g7b;29?j4c>3:17pl<8c83>1<729q/>kh52478L3033A1<7>t$3de>7323A<=86F9499'63b=;2c<<7>5;h:4>5<96F9659K21><,;5f7183>>o??3:17d6m:188k7b12900qo=78;290?6=8r.9jk4=549K232<@?>37)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`0<2<72=0;6=u+2gd9603<@?>{e;1<1<7:50;2x 7`a2;?>7E894:J50==#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn>6::187>5<7s-8mj7<:5:J521=O>=20(?8k:29j35<722c3;7>5;h:a>5<?>0D;:7;%05`?5:1<75f8683>>o?j3:17b"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?4:50;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb2;0>5<3290;w)N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg5>:3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th85<4?:583>5}#:ol1>8;4H747?M0302.9:i4<;h53>5<>i5l?0;66sm38294?2=83:p(?hi:376?M01<2B=855+27f97>o083:17d68:188m=d=831d>i850;9~f6>a290?6=4?{%0eb?42=2B=:95G65:8 70c2:1b;=4?::k;3?6=3`2i6=44o3f5>5<54;294~"5no09985G6768L32?3-8=h7=4i6294?=n0>0;66g7b;29?j4c>3:17pl<8e83>1<729q/>kh52478L3033A1<7>t$3de>7323A<=86F9499'63b=;2c<<7>5;h:4>5<96F9659K21><,;5f7183>>o??3:17d6m:188k7b12900qo=mf;290?6=8r.9jk4=549K232<@?>37)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`0f`<72=0;6=u+2gd9603<@?>{e;kn1<7:50;2x 7`a2;?>7E894:J50==#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn>ll:187>5<7s-8mj7<:5:J521=O>=20(?8k:29j35<722c3;7>5;h:a>5<?>0D;:7;%05`?5:1<75f8683>>o?j3:17b"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?o750;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb2`;>5<3290;w)N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg52m3:187>50z&1bc<5=<1C:;:4H76;?M0d3-8ih7>{e;7E894:J50==O>j1/>oj52gg8 70c2:1b;=4?::k;3?6=3`2i6=44o3f5>5<o7>54;294~"5no09985G6768L32?3A"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?8l50;694?6|,;lm6?;:;I450>N1<11C:n5+2cf96cc<,;5f7183>>o??3:17d6m:188k7b12900qo=:a;290?6=8r.9jk4=549K232<@?>37E8l;%0a`?4am2.9:i4<;h53>5<>i5l?0;66sm34;94?2=83:p(?hi:376?M01<2B=855G6b9'6gb=:oo0(?8k:29j35<722c3;7>5;h:a>5<?>0D;:7;I4`?!4el38mi6*=6e80?l172900e5950;9j1<7>t$3de>7323A<=86F9499K2f=#:kn1>kk4$34g>6=n?90;66g77;29?l>e2900c?j9:188yg52>3:187>50z&1bc<5=<1C:;:4H76;?M0d3-8ih7>{e;:;1<7:50;2x 7`a2;?>7E894:J50==O>j1/>oj52gg8 70c2:1b;=4?::k;3?6=3`2i6=44o3f5>5<54;294~"5no09985G6768L32?3A"5>m087d9?:188m=1=831b4o4?::m1`3<722wi??h50;694?6|,;lm6?;:;I450>N1<11C:n5+2cf96cc<,;5f7183>>o??3:17d6m:188k7b12900qo==e;290?6=8r.9jk4=549K232<@?>37E8l;%0a`?4am2.9:i4<;h53>5<>i5l?0;66sm33f94?2=83:p(?hi:376?M01<2B=855G6b9'6gb=:oo0(?8k:29j35<722c3;7>5;h:a>5<?>0D;:7;I4`?!4el38mi6*=6e80?l172900e5950;9j1<7>t$3de>7323A<=86F9499K2f=#:kn1>kk4$34g>6=n?90;66g77;29?l>e2900c?j9:188yg55i3:187>50z&1bc<5=<1C:;:4H76;?M0d3-8ih7>{e;;31<7:50;2x 7`a2;?>7E894:J50==O>j1/>oj52gg8 70c2:1b;=4?::k;3?6=3`2i6=44o3f5>5<54;294~"5no09985G6768L32?3A"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?:650;694?6|,;lm6?;:;I450>N1<11C:n5+2cf96cc<,;5f7183>>o??3:17d6m:188k7b12900qo=87;290?6=8r.9jk4=549K232<@?>37E8l;%0a`?4am2.9:i4<;h53>5<>i5l?0;66sm36494?2=83:p(?hi:376?M01<2B=855G6b9'6gb=:oo0(?8k:29j35<722c3;7>5;h:a>5<?>0D;:7;I4`?!4el38mi6*=6e80?l172900e5950;9j1<7>t$3de>7323A<=86F9499K2f=#:kn1>kk4$34g>6=n?90;66g77;29?l>e2900c?j9:188yg50;3:187>50z&1bc<5=<1C:;:4H76;?M0d3-8ih7>{e;>81<7:50;2x 7`a2;?>7E894:J50==O>j1/>oj52gg8 70c2:1b;=4?::k;3?6=3`2i6=44o3f5>5<54;294~"5no09985G6768L32?3A"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?9=50;694?6|,;lm6?;:;I450>N1<11C:n5+2cf96cc<,;5f7183>>o??3:17d6m:188k7b12900qo=;2;290?6=8r.9jk4=549K232<@?>37E8l;%0a`?4am2.9:i4<;h53>5<>i5l?0;66sm35394?2=83:p(?hi:376?M01<2B=855G6b9'6gb=:oo0(?8k:29j35<722c3;7>5;h:a>5<?>0D;:7;I4`?!4el38mi6*=6e80?l172900e5950;9j1<7>t$3de>7323A<=86F9499K2f=#:kn1>kk4$34g>6=n?90;66g77;29?l>e2900c?j9:188yg54m3:187>50z&1bc<5=<1C:;:4H76;?M0d3-8ih7>{e;:n1<7:50;2x 7`a2;?>7E894:J50==O>j1/>oj52gg8 70c2:1b;=4?::k;3?6=3`2i6=44o3f5>5<54;294~"5no09985G6768L32?3A"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?>l50;694?6|,;lm6?;:;I450>N1<11C:n5+2cf96cc<,;5f7183>>o??3:17d6m:188k7b12900qo;?7;297?6=8r.9jk4=b99K232<@?>37)<9d;36?!4c;3<>o5>90;66a=cb83>>{e<1l1<7850;2x 7`a2;hi7E894:J50==#:?n1>?5+2e192255<5<5<53;294~"5no09n55G6768L32?3-8=h7?:;%0g7?00l2c99k4?::k125<722e9on4?::a27b=8391<7>t$3de>7d?3A<=86F9499'63b=9<1/>i=566a8m73a2900e?8?:188k7ed2900qo8;1;297?6=8r.9jk4=b99K232<@?>37)<9d;36?!4c;3<>o5>90;66a=cb83>>{e>==1<7=50;2x 7`a2;h37E894:J50==#:?n1=85+2e1922e5<?>0D;:7;%05`?5c3`8>j7>5;h054?6=3`8==7>5;h056?6=3f8ho7>5;|`7=`<72<0;6=u+2gd96gg<@?t$3de>7df3A<=86F9499'63b=;m1b>8h50;9j636=831b>;?50;9j634=831d>nm50;9~f1?f290>6=4?{%0eb?4ei2B=:95G65:8 70c2:n0e?;i:188m7072900e?8>:188m7052900c?ml:188yg2f:3:1?7>50z&1bc<5j11C:;:4H76;?!41l39h7d<:f;29?l4183:17b"5>m08h6g=5g83>>o5>90;66g=6083>>o5>;0;66a=cb83>>{e<,;j4i37e>5<5<5<i97>53;294~"5no09n55G6768L32?3-8=h7=l;h06b?6=3`8=<7>5;n0`g?6=3th?8=4?:483>5}#:ol1>oo4H747?M0302.9:i4k50;794?6|,;lm6?ln;I450>N1<11/>;j53e9j60`=831b>;>50;9j637=831b>;<50;9l6fe=831vn9=l:186>5<7s-8mj7=20(?8k:2f8m73a2900e?8?:188m7062900e?8=:188k7ed2900qo:37)<9d;1g?l42n3:17d<90;29?l4193:17d<92;29?j4dk3:17pl;4383>6<729q/>kh52c:8L3033Ao5=o0;66g=6183>>i5kj0;66sm44194?3=83:p(?hi:3`b?M01<2B=855+27f97a=n:5<2290;w)N1>=1C:964$34g>6b5<5<?>0D;:7;%05`?5c3`8>j7>5;h054?6=3`8==7>5;h056?6=3f8ho7>5;|`710<72:0;6=u+2gd96g><@?1<7>t$3de>7d>3A<=86F9499'63b=;;1b>8h50;9j636=831b>;?50;9l6fe=831vn9>;:184>5<7s-8mj7=20(?8k:2:8m73a2900e?8?:188m7062900e?8=:188m7042900e?8;:188k7ed2900qo=ka;290?6=8r.9jk4=b89K232<@?>37)<9d;6;?!4c;3<<<6g=5g83>>o5>90;66g=6083>>i5kj0;66sm3ea94?3=83:p(?hi:3`b?M01<2B=855+27f9<>"5l:0=;45f24d94?=n:?:1<75f27394?=n:?81<75`2ba94?=zj:no6=49:183!4an38in6F9659K21><,;5<5<5<54;294~"5no09n45G6768L32?3-8=h794$3f0>3173`8>j7>5;h054?6=3`8==7>5;n0`g?6=3th?:<4?:283>5}#:ol1>o64H747?M0302.9:i4N1<11/>;j5459'6a5=>190e?;i:188m7072900e?8>:188k7ed2900qo:94;291?6=8r.9jk4=b`9K232<@?>37)<9d;66?!4c;3<3?6g=5g83>>o5>90;66g=6083>>o5>;0;66a=cb83>>{e<;>1<7:50;2x 7`a2;h27E894:J50==#:?n1:i5+2e192=25<5<9h7>55;294~"5no09nl5G6768L32?3-8=h7=m;%0g7?0?<2c99k4?::k125<722c9:<4?::k127<722e9on4?::a075=83?1<7>t$3de>7df3A<=86F9499'63b=:81/>i=56938m73a2900e?8?:188m7062900e?8=:188k7ed2900qo:=7;291?6=8r.9jk4=b`9K232<@?>37)<9d;00?!4c;3<3=6g=5g83>>o5>90;66g=6083>>o5>;0;66a=cb83>>{e<;31<7:50;2x 7`a2;h27E894:J50==#:?n1:l5+2e192=35<5<hj7>57;294~"5no09nn5G6768L32?3-8=h7:6;h06b?6=3`8=<7>5;h055?6=3`8=>7>5;h057?6=3`8=87>5;n0`g?6=3th?ni4?:683>5}#:ol1>om4H747?M0302.9:i49;h06b?6=3`8=<7>5;h055?6=3`8=>7>5;h057?6=3`8=87>5;n0`g?6=3th?o:4?:583>5}#:ol1>o74H747?M0302.9:i4=8:k11c<722c9:=4?::k124<722e9on4?::a0f?=83>1<7>t$3de>7d>3A<=86F9499'63b=;;1b>8h50;9j636=831b>;?50;9l6fe=831vn9m9:180>5<7s-8mj7=20(?8k:368m73a2900e?8?:188k7ed2900qo;?d;297?6=8r.9jk4=b99K232<@?>37)<9d;1`?l42n3:17d<90;29?j4dk3:17pl:0g83>1<729q/>kh52c;8L3033A"5l:0=4?5f24d94?=n:?:1<75f27394?=h:ji1<75rb433>5<2290;w)N1>=1C:964$34g>13<,;n86;6=;h06b?6=3`8=<7>5;h055?6=3`8=>7>5;n0`g?6=3th85;4?:283>5}#:ol1>o64H747?M0302.9:i4=4:&1`6<1?81b>8h50;9j636=831d>nm50;9~f6??290?6=4?{%0eb?4e12B=:95G65:8 70c2:o0(?j<:752?l42n3:17d<90;29?l4193:17b4?:1y'6c`=:k20D;8;;I47<>"5>m0986g=5g83>>o5>90;66a=cb83>>{e;jn1<7:50;2x 7`a2;h27E894:J50==#:?n1?h5+2e192245<5<53;294~"5no09n55G6768L32?3-8=h7<;;%0g7?00i2c99k4?::k125<722e9on4?::a75c=8391<7>t$3de>7d?3A<=86F9499'63b=:=1/>i=566c8m73a2900e?8?:188k7ed2900qo=?d;297?6=8r.9jk4=b99K232<@?>37)<9d;07?l42n3:17d<90;29?j4dk3:17pl<0`83>6<729q/>kh52c:8L3033Ao5=o0;66g=6183>>i5kj0;66sm31a94?5=83:p(?hi:3`;?M01<2B=855+27f961=#:m91::l4i37e>5<5<53;294~"5no09n55G6768L32?3-8=h7<;;%0g7?00j2c99k4?::k125<722e9on4?::a751=8391<7>t$3de>7d?3A<=86F9499'63b=:=1/>i=566:8m73a2900e?8?:188k7ed2900qo=?6;297?6=8r.9jk4=b99K232<@?>37)<9d;07?!4c;3<<46g=5g83>>o5>90;66a=cb83>>{e;9?1<7=50;2x 7`a2;h37E894:J50==#:?n1>95+2e192215<?>0D;:7;%05`?433-8o?7887:k11c<722c9:=4?::m1gf<722wi?=?50;194?6|,;lm6?l7;I450>N1<11/>;j5259'6a5=>>?0e?;i:188m7072900c?ml:188yg57;3:1?7>50z&1bc<5j11C:;:4H76;?!41l38?7)o5=o0;66g=6183>>i5kj0;66sm31094?5=83:p(?hi:3`;?M01<2B=855+27f961=#:m91::84i37e>5<5<53;294~"5no09n55G6768L32?3-8=h7<;;%0g7?00=2c99k4?::k125<722e9on4?::a7t$3de>7df3A<=86F9499'63b=:?1/>i=566d8m73a2900e?8?:188m7062900e?8=:188k7ed2900qo=6b;292?6=8r.9jk4=bc9K232<@?>37)<9d;1e?!4c;3<>o5>90;66g=6083>>o5>;0;66g=6283>>i5kj0;66sm38f94?1=83:p(?hi:3``?M01<2B=855+27f962=n:5<2290;w)N1>=1C:964$34g>70<,;n86;6?;h06b?6=3`8=<7>5;h055?6=3`8=>7>5;n0`g?6=3th8h=4?:783>5}#:ol1>ol4H747?M0302.9:i48h50;9j636=831b>;?50;9j634=831b>;=50;9l6fe=831vn>m>:184>5<7s-8mj7=20(?8k:358m73a2900e?8?:188m7062900e?8=:188m7042900e?8;:188k7ed2900qo=n4;293?6=8r.9jk4=bb9K232<@?>37)<9d;04?l42n3:17d<90;29?l4193:17d<92;29?l41;3:17d<94;29?j4dk3:17pl2<729q/>kh52ca8L3033Ao5=o0;66g=6183>>o5>80;66g=6383>>o5>:0;66g=6583>>i5kj0;66sm38d94?1=83:p(?hi:3``?M01<2B=855+27f962=n:5<3290;w)N1>=1C:964$34g>735<5<57;294~"5no09nn5G6768L32?3-8=h7:?;h06b?6=3`8=<7>5;h055?6=3`8=>7>5;h057?6=3`8=87>5;n0`g?6=3th8o>4?:683>5}#:ol1>om4H747?M0302.9:i4=7:k11c<722c9:=4?::k124<722c9:?4?::k126<722c9:94?::m1gf<722wi?n850;694?6|,;lm6?l6;I450>N1<11/>;j5249'6a5=>>80e?;i:188m7072900e?8>:188k7ed2900qo=l5;293?6=8r.9jk4=bb9K232<@?>37)<9d;63?l42n3:17d<90;29?l4193:17d<92;29?l41;3:17d<94;29?j4dk3:17pl;ae83>0<729q/>kh52cc8L3033A0<729q/>kh52cc8L3033A6<729q/>kh52c:8L3033Ao5=o0;66g=6183>>i5kj0;66sm4e;94?5=83:p(?hi:3`;?M01<2B=855+27f961=n:5<2290;w)N1>=1C:964$34g>655<5<3:1?>0D;:7;%05`?4>3`8>j7>5;h054?6=3`8==7>5;h056?6=3`8=?7>5;n0`g?6=3th?oi4?:683>5}#:ol1>om4H747?M0302.9:i4=a:k11c<722c9:=4?::k124<722c9:?4?::k126<722c9:94?::m1gf<722wi8no50;194?6|,;lm6?l7;I450>N1<11/>;j5359j60`=831b>;>50;9l6fe=831vn9mj:184>5<7s-8mj7=20(?8k:3c8m73a2900e?8?:188m7062900e?8=:188m7042900e?8;:188k7ed2900qo::8;293?6=8r.9jk4=bb9K232<@?>37)<9d;06f>o5=o0;66g=6183>>o5>80;66g=6383>>o5>:0;66g=6583>>i5kj0;66sm3gd94?1=83:p(?hi:3``?M01<2B=855+27f9e>o5=o0;66g=6183>>o5>80;66g=6383>>o5>:0;66g=6583>>i5kj0;66sm3gg94?5=83:p(?hi:3`;?M01<2B=855+27f971=n:5<0290;w)N1>=1C:964$34g>405<5<5<?>0D;:7;%05`?523`8>j7>5;h054?6=3`8==7>5;h056?6=3f8ho7>5;|`74<<72>0;6=u+2gd96ge<@?j7>5;h054?6=3`8==7>5;h056?6=3`8=?7>5;h050?6=3f8ho7>5;|`742<72?0;6=u+2gd96gd<@?2c99k4?::k125<722c9:<4?::k127<722c9:>4?::m1gf<722wi8=l50;594?6|,;lm6?ll;I450>N1<11/>;j5a:k11c<722c9:=4?::k124<722c9:?4?::k126<722c9:94?::m1gf<722wi8=650;494?6|,;lm6?lm;I450>N1<11/>;j5379j60`=831b>;>50;9j637=831b>;<50;9j635=831d>nm50;9~f16c290<6=4?{%0eb?4ek2B=:95G65:8 70c2:=0e?;i:188m7072900e?8>:188m7052900e?8<:188m7032900c?ml:188yg21:3:1;7>50z&1bc<5jj1C:;:4H76;?!41l32m7d<:f;29?l4183:17d<91;29?l41:3:17d<93;29?l41<3:17b"5>m03j6g=5g83>>o5>90;66g=6083>>o5>;0;66g=6283>>o5>=0;66a=cb83>>{e;921<7=50;2x 7`a2;h37E894:J50==#:?n1>95+2e192225<3:1?>0D;:7;%05`?42i2.9h>49849j60`=831b>;>50;9j637=831b>;<50;9j635=831d>nm50;9~f13a290=6=4?{%0eb?4ej2B=:95G65:8 70c2=<0(?j<:75f?l42n3:17d<90;29?l4193:17d<92;29?l41;3:17b90;6:4?:1y'6c`=:ki0D;8;;I47<>"5>m0?;6g=5g83>>o5>90;66g=6083>>o5>;0;66g=6283>>o5>=0;66a=cb83>>{e<5<5<?>0D;:7;%05`?233-8o?789f:k11c<722c9:=4?::k124<722e9on4?::a00e=8391<7>t$3de>7d?3A<=86F9499'63b=;j1/>i=567d8m73a2900e?8?:188k7ed2900qo;?b;292?6=8r.9jk4=bc9K232<@?>37)<9d;65?!4c;3<=i6g=5g83>>o5>90;66g=6083>>o5>;0;66g=6283>>i5kj0;66sm51a94?1=83:p(?hi:3``?M01<2B=855+27f902=n:5<2290;w)N1>=1C:964$34g>13<,;n86;8j;h06b?6=3`8=<7>5;h055?6=3`8=>7>5;n0`g?6=3th><44?:583>5}#:ol1>o74H747?M0302.9:i4;4:k11c<722c9:=4?::k124<722e9on4?::a15>=8391<7>t$3de>7d?3A<=86F9499'63b=;j1b>8h50;9j636=831d>nm50;9~f66>29086=4?{%0eb?4e02B=:95G65:8 70c2:i0(?j<:757?l42n3:17d<90;29?j4dk3:17pl;c483>7<729q/>kh52c18L3033A>i5kj0;66sm55c94?4=83:p(?hi:3`0?M01<2B=855f24g94?=h:ji1<75rb70a>5<5290;w)N1>=1C:964i37f>5<?>0D;:7;h06a?6=3f8ho7>5;|q40<<72>qU;974=70e>7b334<8<76?k:;<411?4b027?59483:?7e2<0;27??9483:?702<0;278hh483:?0ac<0;27?h=483:?7a4<0;2wx>n<50;4f801=38>o6392g8;f>;1;h03n6393c8;f>;1;<03n639378;f>;1;>03n6392481ag=:>;?1:8k4=706>33d34<9978:b:?560<1=h16:?;564;893422??<708=5;462>;1:<0=98526379537<5?8>6<;i;<411?72l27=>84>5b9>273=9563924821==:>;?1=894=706>43134<997?:5:?560<6==16:?;51408934228?:708=5;364>;1:<0:8k52637951c<5?8>6<:k;<411?73k27=>84>4c9>273=9=k01;<::06:?805=3;?;639248203=:>;?1=9;4=706>42334<997?;3:?560<6<;16:?;51538934228>;708=5;30b>;1:<0:?h52637956e<5?8>6<=m;<411?74i27=>84>389>273=9:201;<::014?805=3;8:639248270=:>;?1=>:4=706>45434<997?<1:?560<6;916:?;513d89342288n708=5;31`>;1:<0:>n52637957d<5?8>6<84>299>273=9;<01;<::006?805=3;98639248266=:>;?1=?<4=706>44634<997?=0:?560<69o16:?;510g8934228;o708=5;350>;1:<0::>526379534<5?8>6<;j;<411?72;27=>84>499>273=9:n01;<::011?805=3;9;63924825f=:>;?1>o<4=706>7d634>2477?;<6:0??734>2977?;<6:2??734>2;77?;<6bf??734>j;77?;<6bj577?;<6be??734>8477?;<600??734>8977?;<602??734>8;77?;<67f??734>?;77?;<67?577?;<67e??73ty8;l4?:5y>7=5=:m<0197n:342?82fl38==63;d9811c=z{:=i6=4;{<1;0?4c>27?5l4=5g9>0db=:;<6bb?41927?hl4=5g9~w61c290?w0=76;0g2>;31j099k524`d960`<5=ni6?;i;|q03`<72=q68im5272896>02;n=70:6e;055>;3j809:<5rs25e>5<3s4>oh7<90:?0<=<5l?1684k524d891d62;?m7p}<8183>1}:;>4=2::>7b134>j<7<91:?7f6<5>81v>6>:18782cn38=<63<8`81`3=:8h4=5`0>73a3ty84?4?:5y>0`6=:?:01>6m:3f5?82f:38>j63;b4811c=z{:k=6=4:{<1f2?42n278hh460:?0ec<5l?168>o52708912c2;<97p}0}:;l=1>8h4=2fe><6<5:h;6?j9;<60e?41827?8i4=619~w6g?290>w0=j8;06b>;4m902<63;<4=56e>7053ty8m44?:4y>7`?=:k>:82896d52;n=70:;35<2s49nm7<:f:?0a7<>8278n>4=d79>06c=:?8019;>:341?xu4ik0;68u23d`960`<5:o864>4=2`7>7b134>8i7<90:?714<5>91v>ol:18685bk38>j63;4j<09h;524529634<5=?86?8=;|q0ea<72l9:3f5?823838=<63;528125=z{:kn6=4;{<1fa?42n278n:4=d79>014=:?:019;::343?xu1:h0;6?33334<9978:2:?560<1=816:?;5642893422?>m708=5;47`>;1:<0=8n52637921d<5?8>6?:i;<715?1634?9>79>;<717?1634?9879>;<711?1634?9:79>;<713?1634?9479>;<706?1634?8?79>;<700?1634?8979>;<702?1634?8;79>;<70;<70e?1634??>79>;<777?1634??879>;<771?1634??:79>;<773?1634??479>;<733?4dk2wx8oo50;0x91df2;n=7S9=e:p0c5=838pR::k;<6e`?4c>2wx8k:50;0xZ22b34>mi72wx8k850;0xZ23734?;<7;<735?4c>2wx8k650;0xZ23534?;>72wx8ko50;0xZ23334?;872wx8km50;0xZ23034?;:7j63<088125=:;>4}r70g?6=>r7=>84:2:?7`6<>827>8>4=d79>75g=:?:01>>m:37e?82cj38=<6s|52f94?0|5?8>68=4=5f`>73a34>o877?;<770?4c>27875d=:?:0q~;863;de811c=:h50;4x9342233;70;;6;0g2>;48l099k5231f963656z?560<2>27?hk4=5g9>0a1=191699952e48966a2;?m70=?e;054>{t==;1<7;t=706>0><5=o;6?;i;<77278o=47b:?04c<5>91v;<7:1826~;3?<0<=63;77845>;3?>0<=63;79845>;3?00<=63;7`845>;3?k0<=63;7b845>;30?0<=63;86845>;3010<=63;88845>;30h0<=63;8c845>;30j0<=63;8e845>;30l0<=63;2281gf=z{?826=4;9z?6=0<0927>5;481:?6e4<0927>mn481:?6f2<0927>o?481:?6ga<0927>h5481:?6`g<0927>hn481:?6=2<0927>55481:?6=<<0927>5l481:?6=g<0927>5n481:?6=a<0927>5h481:?6=c<0927>m=481:?6e7<0927>m>481:?6e1<0927>m8481:?6e3<0927>m:481:?6e=<0927>m4481:?6ed<0927>mo481:?6ea<0927>mh481:?6ec<0927>n=481:?6f4<0927>n?481:?6f6<0927>n9481:?6f0<0927>n;481:?6f=<0927>n4481:?6fd<0927>no481:?6ff<0927>ni481:?6f`<0927>nk481:?6g5<0927>o<481:?6g6<0927>o9481:?6g0<0927>o;481:?6g2<0927>o5481:?6g<<0927>ol481:?6gg<0927>on481:?6g`<0927>ok481:?6`5<0927>h<481:?6`7<0927>h>481:?6`1<0927>h8481:?6`3<0927>h:481:?6`<<0927>hl481:?761<5kj1v9;n:186822i38o:63;22811c=:<;=1>8h4=57;>70734<9;7<:f:p07d=838pR:<:;<61f?4c>2wx8=h50;0xZ25?34>:572wx8:n72wx8<=50;0xZ25d34>:h72wx8<;50;0xZ25b34>:j72wx8<950;0xZ22734>9=7=838pR::=;<616?4c>2wx8:j50;fx93422k9:343?82?>38o:63;3`8124=:;9:1>8h4=56g>70634>=>7<90:?71c<5>9168;>52728913b2;<;70::d;054>;3=j09:=5263`960c56z?560<18278i:4=619>0=1=:m<019=n:37e?857938>j63<018125=z{==m6=49{<411?06349n47<90:?7<=<5l?168>m5273896662;<;70=?2;06b>{t<1:1<78t=706>34<5:o26?8?;<6;=?4c>27??n4=5g9>755=:>=:343?xu3080;6;u2637926=:;lk1>;>4=5:b>7b134>8i7<91:?041<5=o16?==52728yv2?:3:1:v3924850>;4mk09:=5249`96a0<5=9n6?;i;<131?42n278<94=619~w1>4290=w08=5;46?85bk38=<63;8b81`3=:<=:1>;?4=225>73a349;97<90:p0=2=83;3<9099k52315960`<5::=6?8?;|q7<0<72?q6:?;5699>7`c=:?:0196j:3f5?85><32i70:;2;06b>;48>09:=5rs46g>5<5sW;21?09h;5rs440>5<5sW63:a081`3=z{<;2ij09h;5rs45:>5<5sW=;463:b681`3=z{<2?6=4={_527>;2k;09h;5rs4:e>5<5sW=:i63:ce81`3=z{<396=4={_516>;2l109h;5rs4;0>5<5sW=9?63:dc81`3=z{<3?6=4={_510>;2lj09h;5rs46f>5<5sWm6=4={_4ga>;21109h;5rs473>5<5sW;21h09h;5rs471>5<5sW;21j09h;5rs477>5<5sW6=4={_4f0>;21l09h;5rs475>5<5sW;2i909h;5rs47:>5<5sW;2i:09h;5rs47a>5<5sW;2i<09h;5rs47g>5<5sW;2i>09h;5rs47e>5<5sW;2i009h;5rs442>5<5sW;2ik09h;5rs447>5<5sW6=4={_4e0>;2il09h;5rs445>5<5sW;2j909h;5rs44;>5<5sW;2j;09h;5rs44b>5<5sW;2j=09h;5rs44`>5<5sW;2j?09h;5rs44e>5<5sW;2j009h;5rs452>5<5sW=;<63:b`81`3=z{<=96=4={_535>;2jk09h;5rs450>5<5sW=;>63:bb81`3=z{<=?6=4={_537>;2jm09h;5rs456>5<5sW=;863:bd81`3=z{<==6=4={_531>;2jo09h;5rs454>5<5sW=;:63:c181`3=z{<=36=4={_533>;2k809h;5rs45b>5<5sW=;563:c281`3=z{<=i6=4={_53e>;2k=09h;5rs45`>5<5sW=;n63:c481`3=z{<=o6=4={_53g>;2k?09h;5rs45f>5<5sW=;h63:c681`3=z{<=m6=4={_53a>;2k109h;5rs4:3>5<5sW=;j63:c881`3=z{<2:6=4={_524>;2kh09h;5rs4:1>5<5sW=:=63:cc81`3=z{<286=4={_526>;2kj09h;5rs4:6>5<5sW=:863:cd81`3=z{<2=6=4={_521>;2ko09h;5rs4:4>5<5sW=::63:d181`3=z{<236=4={_523>;2l809h;5rs4::>5<5sW=:463:d381`3=z{<2j6=4={_52=>;2l:09h;5rs4:a>5<5sW=:m63:d581`3=z{<2h6=4={_52f>;2l<09h;5rs4:g>5<5sW=:o63:d781`3=z{<2n6=4={_52`>;2l>09h;5rs4;3>5<5sW=:j63:d881`3=z{<3:6=4={_515>;2lh09h;5rs713>5<3s4>i57?58c9>266=:m<0q~8=e;296~;1:l09h;5249d960`523y>00g=:m>01;=8:3f5?826132<70:>a;:4?826j32<70:>c;:4?826l32<70:>e;:4?826n32<70:=0;:4?825932<70:=2;:4?820=32<70:86;:4?820?32<70:88;:4?820132<70:8a;:4?820j32<70:8c;:4?82?>32<70:77;:4?82?032<70:79;:4?82?i32<70:7b;:4?82?k32<70:7d;:4?82?m32<70:=a;0g0>;3:k09h95243d9<2=:<;?14:5243:9<2=z{?986=4=5z?573<5l?16?5m5869>7=b=0>16?5k5869>7=`=0>16?4>5869>7<7=0>16?4<5869>7<5=0>16?4:5869>7d`=0>16?o>5869>7g7=0>16?o<5869>7g5=0>16?o:5869>7g3=0>16?o85869>7g1=0>16?885869>701=0>16?865869>70?=0>16?8o5869>70d=0>16?8m5869>70b=0>16?8k5869>77?=0>16??o5869>77d=0>16??m5869>77b=0>16??k5869>77`=0>16?>>5869>767=0>1v;==:187f~;1;<09h;5258796a1<5<3=6?j8;<7b5?4c?27>mn4=d69>1g1=:m=018m=:3f4?83dl38o;63:d981`2=:=mh1>i94=4f`>7b034?2;71694752e5890?f2;n<70;6b;0g3>;21j09h:5258f96a1<5<3n6?j8;<7:b?4c?27>m=4=d69>1d4=:m=018o<:3f4?83f<38o;63:a481`2=:=h<1>i94=4c4>7b034?j47169lo52e5890ge2;n<70;nd;0g3>;2il09h:525`d96a1<5n?4=d69>1g5=:m=018l;:3f4?83e=38o;63:b781`2=:=k21>i94=4`:>7b034?im7169om52e5890dc2;n<70;me;0g3>;2jo09h:525b296a1<5o94=d69>1f3=:m=018m9:3f4?83d?38o;63:c981`2=:=j31>i94=4ab>7b034?hn7169nk52e5890ea2;n<70;k0;0g3>;2l809h:525e096a1<5h84=d69>1a0=:m=018j8:3f4?83c138o;63:d`81`2=:>;?1>hk4=704>7043ty=?44?:31x935e2;n=70:id;:4?82am32<70:if;:4?837832<70;?1;:4?837:32<70;?3;:4?837<32<70;?5;:4?837>32<70;=1;0g0>;2:;03;63:228;3>;2:=03;63:248;3>;2:?03;63:268;3>;2:103;63:3381`1=:=:914:525269<2=:=:?14:525249<2=:=:=14:5252:9<2=:=:314:5252c9<2=:==814:525519<2=:==>14:525579<2=:==<14:525559<2=:==214:5rs71;>5<5=r7=?l4=d79>7g>=0>16?o75869>7gg=0>16?ol5869>7ge=0>16?oj5869>7gc=0>16?oh5869>7f6=0>16?5=5869>7=2=0>16?5;5869>7=0=0>16?595869>7=>=0>16?575869>7=g=0>16?5l5869>727=0>16?:<5869>725=0>16?::5869>723=0>16?:85869>721=0>16?:65869>72?=0>16?>l5869>76e=0>16?>j5869>76c=0>16?>h5869>716=0>16?9?5869>714=0>16?9=5869~w23>2908wS9:9:?642<5=o1685h52708yv13k3:19>uQ75a891d>2>:019ln:628934a2>:01;:;:628935f2>:01;=m:62893572>:01;:::62893562>:01;:=:628934b2>:01;<::6c891`c2>:019hj:62891`a2>:018>?:62890662>:018>=:62890642>:018>;:62890622>:018>9:62890462>:018<=:62890442>:018<;:62890422>:018<9:62890402>:018<7:62890552>:018=<:62890532>:018=::62890512>:018=8:628905?2>:018=6:628905f2>:018:=:62890242>:018:;:62890222>:018:9:62890202>:018:7:62896d?2>:01>l6:62896df2>:01>lm:62896dd2>:01>lk:62896db2>:01>li:62896e72>:01>6<:62896>32>:01>6::62896>12>:01>68:62896>?2>:01>66:62896>f2>:01>6m:62896162>:01>9=:62896142>:01>9;:62896122>:01>99:62896102>:01>97:628961>2>:01>=m:628965d2>:01>=k:628965b2>:01>=i:62896272>:01>:>:62896252>:01>:<:628yv13<3:14vP8459>07b=:?8019<<:341?825?38=>63;288124=:<<21>;<4=704>70534>987<:f:p361=833>wS9<7:?71d<0827=?h480:?570<0827=?;480:?572<0827=?k480:?57f<0827>58480:?6=3<0827>m<480:?6ef<0827>n:480:?6g7<0827>oi480:?6`=<0827>ho480:?6`f<0827>5:480:?6==<0827>54480:?6=d<0827>5o480:?6=f<0827>5i480:?6=`<0827>5k480:?6e5<0827>m?480:?6e6<0827>m9480:?6e0<0827>m;480:?6e2<0827>m5480:?6e<<0827>ml480:?6eg<0827>mi480:?6e`<0827>mk480:?6f5<0827>n<480:?6f7<0827>n>480:?6f1<0827>n8480:?6f3<0827>n5480:?6f<<0827>nl480:?6fg<0827>nn480:?6fa<0827>nh480:?6fc<0827>o=480:?6g4<0827>o>480:?6g1<0827>o8480:?6g3<0827>o:480:?6g=<0827>o4480:?6gd<0827>oo480:?6gf<0827>oh480:?6gc<0827>h=480:?6`4<0827>h?480:?6`6<0827>h9480:?6`0<0827>h;480:?6`2<0827>h4480:?6`d<0827=>848f:?75<<0827?=l480:?75g<0827?=n480:?75a<0827?=h480:?75c<0827?>=480:?764<0827?>?480:?730<0827?;;480:?732<0827?;5480:?73<<0827?;l480:?73g<0827?;n480:?7<3<0827?4:480:?7<=<0827?44480:?7l480:?76g<0827?>k480:?760<0827?>5480:?0480:?0=1<08278mk480:?0f5<08278n<480:?0f7<08278n>480:?0f1<08278n8480:?0f3<08278n:480:?013<082789:480:?01=<0827894480:?01d<082789o480:?01f<082789i480:?01`<08278>4480:?06d<08278>o480:?06f<08278>i480:?06`<08278>k480:?075<08278?<480:p2<5=838pR;7<;<411?b43ty=5h4?:3y]26ik4}r4b=?6=:rT=m4526379a<=z{?h?6=4={_4a0>;1:<0m86s|6cd94?4|V?hm708=5;de?xu1kh0;6?uQ6bc8934228:j7p}9d483>7}Y>m?01;<::036?xu1l00;6?uQ6e;8934228;27p}9d`83>7}Y>mk01;<::03b?xu1lk0;6?uQ6e`8934228;i7p}99583>7}Y>0>01;<::e68yv0>=3:1>vP9949>273=l<1v;79:181[0>>27=>84k6:p2<1=838pR;78;<411?b03ty=554?:3y]2<><5?8>6i64}r4:=?6=:rT=54526379`<=z{?3j6=4={_4:e>;1:<0om6s|68`94?4|V?3i708=5;fa?xu11j0;6?uQ68a893422mi0q~86d;296~X11m16:?;5de9~w3?a2909wS86f:?56050;0xZ3g734<997k?;|q5e4<72;qU:l?4=706>`77>52z\5e7=:>;?1i?5rs7c0>5<5sW{t>h>1<77}Y>h?01;<::d78yv0f>3:1>vP9a79>273=m?1v;o8:181[0f?27=>84j7:p2d>=838pR;o7;<411?c?3ty=ml4?:3y]2dg<5?8>6ho4}r4bf?6=:rT=mo526379ag=z{?kh6=4={_4bg>;1:<0no6s|6`f94?4|V?ko708=5;gg?xu1il0;6?uQ6`g893422lo0q~8nf;296~X1io16:?;5eg9~w3d72909wS8m0:?560;|q5f7<72;qU:o<4=706>c452z\5f6=:>;?1j>5rs7`6>5<5sW{t>k<1<77}Y>k=01;<::g58yv0e03:1>vP9b99>273=n11v;l6:181[0e127=>84i9:p2gg=838pR;ln;<411?`f3ty=no4?:3y]2gd<5?8>6kl4}r4ag?6=:rT=nn526379bf=z{?ho6=4={_4a`>;1:<0mh6s|6cg94?4|V?hn708=5;df?xu1k90;6?uQ6b28934228:;7p}9c083>7}Y>j;01;<::022?xu1k;0;6?uQ6b08934228:97p}9c283>7}Y>j901;<::020?xu1k=0;6?uQ6b68934228:?7p}9c483>7}Y>j?01;<::026?xu1k?0;6?uQ6b48934228:=7p}9c683>7}Y>j=01;<::024?xu1k10;6?uQ6b:8934228:37p}9c883>7}Y>j301;<::02:?xu1kk0;6?uQ6b`8934228:i7p}9cb83>7}Y>ji01;<::02`?xu1km0;6?uQ6bf8934228:o7p}9cd83>7}Y>jo01;<::02f?xu1ko0;6?uQ6bd8934228:m7p}9d183>7}Y>m:01;<::033?xu1l80;6?uQ6e38934228;:7p}9d383>7}Y>m801;<::031?xu1l:0;6?uQ6e18934228;87p}9d583>7}Y>m>01;<::037?xu1l?0;6?uQ6e48934228;=7p}9d983>7}Y>m201;<::03;?xu3:o0;6ou243d96a0<5=:?6?;i;<1ge?42n278hn4=619>7ab=:?:01>jm:37e?85an38>j638h4=52a>73a34>;47<:f:p073=83;9w0:=5;0g2>;38=09:=523ec9636<5:nh6?;i;<1g`?42n278ho4=619>07b=:?;019<<:342?825?38=<63;28811c=:<<21>;=4=2de>707349mi7<:f:?74<<5>9168=l5272893402;<:70:=4;055>;38109:=5rs57a>5<5s4>3:76m;<41f?4dk2wx8;;50;;x91122;n=70:77;:a?823l38>j63;638127=:<;?4=543>70634>>i7<91:?71a<5>81688m524d8yv21>3:14v3;7781`3=:<1214o5245d9637<5=<96?8>;<66b?41:27?:=4=639>00c=:?8019;k:37e?xu3>>0;6:u246596a0<5=2265l4=56e>73a34>=>7<93:?71c<5>:168;>52718913b2;?m7p};6983>3}:<>21>i84=5:b>=d<5=?:6?8>;<656?41<27?9k4=5g9>036=:?>0q~:99;291~;3?009h;5249`98h4=541>73a34>=<7<:f:p03g=83;3>8099k524719637<5=;|q72g<72c21h019;<:37e?821;38>j63;658127=z{=27?4h47b:?710<5=o168;:524d8yv22?3:1>v3;5`8;f>;3=109on5rs57:>5<5s4>?n727>?>47b:?7ea<5>9169=k52708966?2;?m70;?b;055>;28j09:<5251c9637<5<:26?8>;<7311e=:70534?;i7<91:?64g<5>;169=m52708906f2;<970;?9;06b>{t=891<79t=400>7b134?8976m;<6bb?41827>15d=:?9018>l:340?837i38>j6s|50694?0|5<8?6?j9;<702?>e34>i=7<92:?64`<5>=169=l524d8906d2;0}:=;?1>i84=414>=d<5=h:6?8?;<73a?42n27>;2;103n63;b28127=:=9n1>8h4=42e>70634?:<7<91:p141=83?p18<8:3f5?834132i70:m3;054>;28o099k52502963454z?66=<5l?169>o58c9>0g3=:?:018??:37e?xu2:00;6nu252096a0<5=3j6?8=;<6b`?41:27>75>=:?:018>m:343?837k38=<63:0`8125=:=931>;>4=42;>70734??m7<:e:?7`=<5>91v827>8?47b:?7=f<5>;1v8;31j09:=5rs40g>5<4s4?8:7;2<<03n63;9d8125=z{<8m6=4<{<7027>8;47b:?7e5<5>;1v8=?:180834138o:63:468;f>;3i909:=5rs412>5<4s4?8m72908w0:m9;0g2>;28>09:=5249d9637i;7>53z?7f<6?j;;<402?4c<27=?:4=d59>217=:ji0q~8;3;296~;1<;03n6392e81gf=z{?>=6=4<{<40e?4c<27=?o4=d59>211=:ji0q~853z?57c27e=:?:0q~8;i1>8h4=762>73a3ty=884?:3y>213=:m<01;:8:343?xu1<=0;6>u26579=>1>i84=70g>7073ty=8?4?:5y>212=0k16:9<52e48934c2;?m708;7;06b>{t>:;1<7=d<5?9:6?j9;|q56c<72;q6:>>58c9>27`=:m<0q~8=6;296~;1:<099=5263596fe52z?6=084=079~w0c?2909w0;66;:a?805=38:=6s|5g194?4|577d3ty>jh4?:3y>1de=0k16:?;52358yv0713:1>v3:b68;f>;1:<09??5rs737>5<5s4?h>76m;<411?44l2wx:;?1>9m4}r417?6=:r7>ho47b:?560<5{t=mo1<7=d<5?8>6?>8;|q6`c<72;q694658c9>273=:920q~;j0;296~;21003n63924814<=z{e34<9977}:=0i14o52637965e52z?6=a84=0e9~w0c22909w0;6e;:a?805=38;i6s|5d494?4|5<3m65l4=706>76a3ty>i:4?:3y>1d6=0k16:?;52028yv3b13:1>v3:a38;f>;1:<09=?5rs4gb>5<5s4?j?76m;<411?46;2wx9hl50;0x90g321h01;<::337?xu2mj0;6?u25`79;?1><;4}r7f`?6=:r7>m;47b:?560<59?1v8kj:18183f?32i708=5;023>{t=ll1<7=d<5?8>6??7;|q6b5<72;q69l758c9>273=:830q~;i1;296~;2ih03n63924815d=z{e34<997<>b:p1c2=838p18ok:9`893422;;o7p}:f483>7}:=ho14o52637964c52z?6ec84=1g9~w0`02909w0;m0;:a?805=389<6s|5g:94?4|57463ty>j44?:3y>1g4=0k16:?;52308yv3ai3:1>v3:b28;f>;1:<09>>5rs4da>5<5s4?i876m;<411?45<2wx9km50;0x90d221h01;<::306?xu2nm0;6?u25c49;?1>?84}r7eb?6=:r7>n547b:?560<5:11v;>?:18183e132i708=5;01=>{t>9;1<7=d<5?8>6?273=:;h0q~8?3;296~;2jj03n63924816f=z{?:?6=4={<7a`?>e34<997<=d:p253=838p18lj:9`893422;8n7p}90783>7}:=kl14o52637967`52z?6g584=319~w36?2909w0;l1;:a?805=388=6s|61c94?4|57543ty=1f2=0k16:?;52268yv07k3:1>v3:c48;f>;1:<09?85rs72g>5<5s4?h:76m;<411?44>2wx:=k50;0x90e021h01;<::314?xu18o0;6?u25b:9;?1>>64}r424?6=:r7>o447b:?560<5;01v;?>:18183di32i708=5;00e>{t>881<7=d<5?8>6?=m;|q556<72;q69nm58c9>273=::i0q~8>5;296~;2kl03n63924817`=z{?;=6=4={<7`b?>e34<997<;7p}91983>7}:=m;14o52637961752z?6`784=439~w37f2909w0;k3;:a?805=38??6s|60`94?4|57233ty==n4?:3y>1a3=0k16:?;52578yv06l3:1>v3:d78;f>;1:<098;5rs73f>5<5s4?o;76m;<411?43?2wx:?>50;0x90b>21h01;<::36:?xu1:80;6?u25ec9;?1>9l4}r6:7?6=:r7?55483:?7=2<5kj1v97=:18182>>38ho63;96847>{t<0;1<77ed34>2:79<;|q7=5<72;q684:52ba891?22>90q~:6f;296~;31>09j?524`296fe2h7>52z?7=3<5n;1684k52ba8yv2>j3:1>v3;9481b7=:<0i1>nm4}r6:=?6=:r7?594=f39>0j:7>52z?7eg<0;27?ml4=cb9~w1g22909w0:n9;0`g>;3ih04?:3y>0d1=:ji019o7:618yv2e:3:1>v3;a`81b7=:nm4}r6a4?6=:r7?m44=f39>0g7=:ji0q~:ne;296~;3i109j?524`d96fejo7>52z?7e2<5n;168lj52ba8yv2e<3:1>v3;ac81b7=:nm4}r607?6=:r7??5483:?772<5kj1v9==:181824>38ho63;36847>{t<:;1<77ed34>8:79<;|q775<72;q68>:52ba891522>90q~:09j?5245296fe8h7>52z?773<5n;168>k52ba8yv24j3:1>v3;3481b7=:<:i1>nm4}r60=?6=:r7??94=f39>06g=:ji0q~:;1;296~;3;109j?5245096fe?:7>52z?70g<0;27?8l4=cb9~w1222909w0:;9;0`g>;336?ml;<67=?143ty?8>4?:3y>011=:ji019:7:618yv22:3:1>v3;4`81b7=:<<91>nm4}r664?6=:r7?844=f39>007=:ji0q~:;e;296~;3<109j?5245d96fe?o7>52z?702<5n;1689j52ba8yv22<3:1>v3;4c81b7=:<nm4}r634?6=:r78ji4=cb9>052=:?>0q~=k9;297~;4n>09on5241`9637<5=:o6?;i;|q0`=<72=q6?k852ba8916>2;<:70:?b;056>;38m09:=5rs2f4>5<2s49m978168=752708916e2;<870:?d;055>{t;m<1<78t=2d7>7ed34>;87<93:?747<5>9168=75271891602;?m70:?d;056>{t;m?1<79t=2d0>7ed34>;87<92:?0bc<5>8168=<5273891612;?m70:?7;054>;38m09:>5rs2f7>57816?ij5273896`a2;;38?09:=524159637<5=:36?8>;|q0`6<720q6?k?52ba896`c2;<;70=kc;056>;4lm09:>523gd9635<5=:96?8<;<632?41927?<:4=639>05>=:?80q~=k2;29e~;4n909on523gf960`<5:nh6?8>;<1g`?41:278ho4=609>7c`=:?8019>=:347?827>38=>63;068126=:<921>;=4}r1fa?6=:r78ih4=cb9>7c1=:k>0q~=j5;296~;4m<09on523g5936=z{:oo6=4<{<1f`?4dk278i84=f39>7c0=:k>0q~=j4;297~;4m=09on523d7936=:;o<1;>5rs2g`>5<4s49no71;>523g7936=z{:oi6=4<{<1ff?4dk278i>4=f39>7c2=:k>0q~=j2;297~;4m;09on523d1936=:;o>1;>5rs2gb>5<4s49nm7523g1936=z{:o26=4<{<1f=?4dk278i<4=f39>7c4=:k>0q~=j0;297~;4m909on523d3936=:;o81;>5rs2g;>5<4s49n47523g3936=z{:o<6=4<{<1f3?4dk278hk4=f39>7c6=:k>0q~=ke;297~;4ll09on523ed936=:;o:1;>5rs2g5>5<4s49n:7v3;188;f>;4lh09on5rs2d:>5<5s4>:m76m;<1gf?4dk2wx?ko50;0x917e21h01>jl:3a`?xu4nk0;6?u240a9nm4}r1eg?6=:r7?=i47b:?0bc<5kj1v9>>:181826m32i70:?2;0`g>{t<991<7=d<5=:?6?ml;|q740<72;q68?>58c9>05?=:ji0q~:?a;296~;3:803n63;0c81gf=z{=:h6=4={<616?>e34>;h7ki:3a`?85ci38==6s|47394?2|5=<:6?8?;<657?41827?:94=619>034=:ji0q~:9d;296~;3?<03n63;5b81gf=z{=e34>>h77}:<>214o5244d96fe<=7>52z?73<7ed3ty?;94?:3y>02e=0k168;:52ba8yv25>3:1>v3;248;f>;3:>09on5rs50`>5<4s4>9m76m;<61f?>e34>9h7>4=619>071=:?;019<6:343?822038==639268125=z{=8j6=4={<61e?4c>27?>i4=5g9~w1e?2908w0:l9;0`g>;3ko09:9524cf9632io7>52z?643;3ko099k524cf960`h?7>54z?7a=<5kj168nk524d891ea2;<;70:md;054>{t7ed34>hh7<:f:?7g`<5>9168nh5273891dc2;<:7p};c083>3}:nm4=5a`>73a34>hh7<93:?7g`<5>8168nh5270891dc2;<97p};c183>2}:nm4=5`g>70434>ho7<93:?7ga<5>=168nk5270891ea2;<870:lb;056>{t7ed34>h;7<:f:?7g<<5=o168nm5272891ec2;<;70:le;057>;3kk099k5rs5`f>5n?78168n75273891e12;?m70:lc;056>;3km09:?524bc960`<5=ii6?8?;|q7fg<720q68h<52ba891e02;<;70:l9;054>;3k?09:=524b`9637<5=ih6?8>;<6``?41927?ol4=619>0f3=:o;7>52z?7`2<5kj168h75729~w1ba2908w0:kf;0`g>;3l>09j?524d:96g2o:7>53z?7`3<5kj168i95729>0`>=?:1v9jj:18082cm38ho63;d781b7=:o:4}r6g1?6=;r7?h84=cb9>0a0=?:168h95729~w1bc2908w0:kd;0`g>;3l<09j?524d496g2o87>53z?7`1<5kj168i;5729>0`0=?:1v9jl:18082ck38ho63;d581b7=:o:4}r6g7?6=;r7?h>4=cb9>0a2=?:168h;5729~w1be2908w0:kb;0`g>;3l:09j?524d696g2o>7>53z?7`7<5kj168i=5729>0`2=?:1v9jn:18082ci38ho63;d381b7=:o:4}r6g5?6=;r7?h<4=cb9>0a4=?:168h=5729~w1b>2908w0:k1;0e6>;3m;09n9524e;96feo<7>53z?7`5<5kj168i?5729>0`4=?:1v9j7:18082c838m>63;e081f1=:nm4}r6fe?6=:r7?jh47b:?7g0<5kj1v9km:18182an32i70:l6;0`g>{t=d<5=i<6?ml;|q7aa<72;q69=?58c9>0fd=:ji0q~:je;296~;28;03n63;cb81gf=z{=om6=4={<737?>e34>hh7;:9`891eb2;ih7p};f083>7}:=9?14o524bd96fem>7>52z?7a4<5kj168kj58c9~w06c290?w0;?d;054>;28o09:=525029636<5<:n6?ml;|q65<<72;q69??58c9>15>=:ji0q~;>a;296~;2:;03n63:0881gf=z{<;i6=4={<717?>e34?;m77}:=;?14o5251a96fe52z?6637ed3ty8o:4?:3y>7g6=0k16?n;52ba8yv5d<3:1>v3;4k:09on5rs2a1>5<5s49i>76m;<1`5?4dk2wx?i?50;0x96d421h01>j?:3a`?xu4ko0;6>u23c69nm4=2a;>7033ty8on4?:3y>7g3=0k16?nj52ba8yv5di3:1?v3;4kk09on523b1963252z?0<1n38ho6s|38g94?4|5:2=65l4=2;g>7ed3ty85n4?:3y>7=1=0k16?4l52ba8yv5>i3:1?v3<898;f>;41009on523`6963252z?0<<>38ho63<9g8121=z{:;;6=4={<1;g?>e349;<76k:9`896662;ih7p}<1383>7}:;1o14o5231096fe52z?04=cb9~w6732909w0=60;:a?857<38ho6s|30794?4|5:3:65l4=226>7ed3ty8=;4?:3y>7<4=0k16?=852ba8yv56?3:1>v3<928;f>;48>09on5rs23;>5<5s49i476m;<1321h01>>6:3a`?xu49h0;6?u23cc9nm4}r12f?6=:r78no47b:?04g<5kj1v>?l:18185ek32i70=?c;0`g>{t;8n1<7=d<5::o6?ml;|q05`<72;q6?ok58c9>75c=:ji0q~=>f;296~;4jo03n63<0g81gf=z{:i26=4={<1bb?>e349h476<:9`896g32;ih7p}<3383>7}:;:h14o5239a96a052z?07f7b13ty8?;4?:3y>76`=0k16?4>52e48yv54?3:1>v3<418;f>;41809h;5rs21;>5<5s49?=76m;<1:6?4c>2wx?>750;0x962521h01>7<:3f5?xu4;h0;6?u235191>i84}r17`?6=:r789;4=d79>7f>=:?90q~=;e;297~;4=>09h;523b:9636<5:i>6?8?;|q00c<72=q6?8652e4896e?2;?m70=l3;06b>;4k<099k5rs273>5<2s49>57{t;<;1<78t=27b>7b1349o<7<:f:?0g4<5>916?n65273896e42;<;70=l5;055>{t;<81<78t=27a>7b1349hi7<:f:?0`5<5>916?n?5273896e42;<870=l6;06b>{t;<91<79t=27`>7b1349hh7<91:?0g`<5>916?i>5273896e62;<970=l3;056>;4k<09:>5rs277>5<0s49>h7;4k809:>523b4963797>58z?0f27fd=:?:01>mk:343?85dm38=>63;:4=2a5>7073ty8894?:3y>700=0k16??752e48yv53=3:1>v3<568;f>;4:h09h;5rs265>5<5s49>476m;<11f?4c>2wx?9950;0x963>21h01>i84}r17=?6=:r789o47b:?06`<5l?1v>:n:181852k32i70==f;0g2>{t;=h1<7=d<5:9;6?j9;|q00f<72;q6?8k58c9>767=:m<0q~==0;296~;4:003n63e349i577}:;;i14o523c`96a052z?06a7b13ty8>:4?:3y>766=0k16?oh52e48yv5503:1>v3<308;f>;4k909h;5rs24;>5<5s49<=7:1v>86:180850:38o:63;>4}r15e?6=4=d79>7d2=:7i:37e?85f938>j6s|37`94?3|5:=?6?j9;<1:`?42n278m94=639>7<`=:?;01>o>:341?xu4>j0;6;u236796a0<5:3i6?;i;<1:`?418278m94=609>7<`=:?:01>o>:342?xu4>m0;6;u236496a0<5:326?;i;<1:f?4182785i4=609>7<`=:?901>o=:37e?xu4>l0;6:u236596a0<5:336?8>;<1:=?4182785o4=609>77i:341?85f938=?6s|37d94?1|5:=36?j9;<1:2?42n278554=5g9>77m:341?85>l38=?63e349<57916?465272896?>2;<970=6b;057>;41m09:9523`09636j7>52z?0347b13ty8:?4?:3y>722=0k16?>k52e48yv51;3:1>v3<748;f>;4;o09h;5rs247>5<5s49<:76m;<174?4c>2wx?;;50;0x961021h01>:>:3f5?xu4>?0;6?u236:9i84}r153?6=:r78;447b:?006<5l?1v8:6:187805=3>j70:k0;;3?834:32i70;;a;0`g>{t==h1<7=t=706>06<5=n:64>4=46`>7ed3ty9o44?:3y>7d4=:ji01>o>:347?xu5k:0;6?u23b496fe<5:i>6?8;;|q1g1<72;q68no52ba891eb2;7}:;oo1>nm4=521>73a3ty9o;4?:3y>050=:ji019>6:347?xu5k>0;6?u241596fe<5=:i6?8;;|q1g=<72;q68=652ba8916c2;37p`le283>4}O>=20qcmj4;296~N1<11vbnk::182M0302weoh850;3xL32?3tdhi:4?:0yK21>51zJ50==zfjo26=4>{I47<>{iklk1<7?tH76;?xhdmk0;65<6sA37p`lf283>4}O>=20qcmi4;295~N1<11vbnh::182M0302weok850;3xL32?3tdhj:4?:2yK21>51zJ50==zfjl26=4>{I47<>{ikok1<7?tH76;?xhdnk0;65<6sA37p`k0283>7}O>=20qcj?4;296~N1<11vbi>::181M0302weh=850;0xL32?3tdo<:4?:3yK21>52zJ50==zfm:26=4={I47<>{il9k1<7?tH76;?xhc8k0;65<6sA37p`k1283>4}O>=20qcj>4;295~N1<11vbi?::182M0302weh<850;3xL32?3tdo=:4?:0yK21>52zJ50==zfm;26=4={I47<>{il8k1<7vF9499~ja7c2909wE8;8:m`4c=838pD;:7;|lg5c<72;qC:964}of14?6=:rB=855rne02>5<6sA37p`k2283>4}O>=20qcj=4;295~N1<11vbi<::182M0302weh?850;3xL32?3tdo>:4?:0yK21>51zJ50==zfm826=4>{I47<>{il;k1<7?tH76;?xhc:k0;65<6sA37p`k3283>4}O>=20qcj<4;295~N1<11vbi=::182M0302weh>850;3xL32?3tdo?:4?:0yK21>51zJ50==zfm926=4>{I47<>{il:k1<7?tH76;?xhc;k0;65<6sA37p`k4283>4}O>=20qcj;4;295~N1<11vbi:::182M0302weh9850;3xL32?3tdo8:4?:0yK21>51zJ50==zfm>26=4>{I47<>{il=k1<7?tH76;?xhc5<6sA37p`k5283>4}O>=20qcj:4;295~N1<11vbi;::182M0302weh8850;3xL32?3tdo9:4?:0yK21>47>51zJ50==zfm?26=4>{I47<>{il5<6sA37p`k6283>4}O>=20qcj94;295~N1<11vbi8::182M0302weh;850;3xL32?3tdo::4?:0yK21>51zJ50==zfm<26=4>{I47<>{il?k1<7?tH76;?xhc>k0;65<5sA37p`k7283>7}O>=20qcj84;296~N1<11vbi9::181M0302weh:850;0xL32?3tdo;:4?:3yK21>52zJ50==zfm=26=4={I47<>{il>k1<7vF9499~ja1c2909wE8;8:m`2c=838pD;:7;|lg3c<72;qC:964}of;4?6=:rB=855rne:2>5<5sA37p`k8283>7}O>=20qcj74;296~N1<11vbi6::181M0302weh5850;0xL32?3tdo4:4?:3yK21>52zJ50==zfm226=4={I47<>{il1k1<7vF9499~ja>c2909wE8;8:m`=c=838pD;:7;|lg5<5sA37p`k9283>7}O>=20qcj64;296~N1<11vbi7::181M0302weh4850;0xL32?3tdo5:4?:3yK21>52zJ50==zfm326=4={I47<>{il0k1<7k3:1>vF9499~ja?c2909wE8;8:m`5<5sA37p`ka283>7}O>=20qcjn4;296~N1<11vbio::181M0302wehl850;0xL32?3tdom:4?:3yK21>52zJ50==zfmk26=4={I47<>{ilhk1<7vF9499~jagc2909wE8;8:m`dc=838pD;:7;|lgec<72;qC:964}ofa4?6=:rB=855rne`2>5<5sA37p`kb283>7}O>=20qcjm4;296~N1<11vbil::181M0302weho850;0xL32?3tdon:4?:3yK21>52zJ50==zfmh26=4={I47<>{ilkk1<75<6sA37p`kc283>4}O>=20qcjl4;295~N1<11vbim::182M0302wehn850;3xL32?3tdoo:4?:0yK21>51zJ50==zfmi26=4>{I47<>{iljk1<7?tH76;?xhckk0;65<6sA37p`kd283>4}O>=20qcjk4;295~N1<11vbij::182M0302wehi850;3xL32?3tdoh:4?:0yK21>51zJ50==zfmn26=4>{I47<>{ilmk1<7?tH76;?xhclk0;65<6sA37p`ke283>4}O>=20qcjj4;295~N1<11vbik::182M0302wehh850;3xL32?3tdoi:4?:0yK21>51zJ50==zfmo26=4>{I47<>{illk1<7?tH76;?xhcmk0;6?uG65:8ykbbk3:1>vF9499~jacc2909wE8;8:m``c=838pD;:7;|lgac<72;qC:964}ofe4?6=:rB=855rned2>5<5sA37p`kf283>7}O>=20qcji4;296~N1<11vbih::181M0302wehk850;0xL32?3tdoj:4?:3yK21>52zJ50==zfml26=4={I47<>{ilok1<7vF9499~ja`c2909wE8;8:m`cc=838pD;:7;|lgbc<72;qC:964}og34?6=:rB=855rnd22>5<5sA37p`j0283>7}O>=20qck?4;296~N1<11vbh>::181M0302wei=850;0xL32?3tdn<:4?:3yK21>52zJ50==zfl:26=4={I47<>{im9k1<7vF9499~j`6c2909wE8;8:ma5c=838pD;:7;|lf4c<72;qC:964}og24?6=:rB=855rnd32>5<5sA37p`j1283>7}O>=20qck>4;296~N1<11vbh?::181M0302wei<850;0xL32?3tdn=:4?:3yK21>52zJ50==zfl;26=4={I47<>{im8k1<7vF9499~j`7c2909wE8;8:ma4c=838pD;:7;|lf5c<72;qC:964}og14?6=:rB=855rnd02>5<5sA37p`j2283>7}O>=20qck=4;296~N1<11vbh<::181M0302wei?850;0xL32?3tdn>:4?:3yK21>52zJ50==zfl826=4={I47<>{im;k1<7vF9499~j`4c2909wE8;8:ma7c=838pD;:7;|lf6c<72;qC:964}og04?6=:rB=855rnd12>5<5sA37p`j3283>7}O>=20qck<4;295~N1<11vbh=::182M0302wei>850;3xL32?3tdn?:4?:0yK21>51zJ50==zfl926=4>{I47<>{im:k1<7?tH76;?xhb;k0;65<6sA37p`j4283>4}O>=20qck;4;295~N1<11vbh:::182M0302wei9850;3xL32?3tdn8:4?:0yK21>51zJ50==zfl>26=4>{I47<>{im=k1<7?tH76;?xhb5<6sA37p`j5283>4}O>=20qck:4;295~N1<11vbh;::182M0302wei8850;3xL32?3tdn9:4?:0yK21>47>51zJ50==zfl?26=4>{I47<>{im5<6sA37p`j6283>4}O>=20qck94;295~N1<11vbh8::182M0302wei;850;3xL32?3tdn::4?:0yK21>51zJ50==zfl<26=4>{I47<>{im?k1<7?tH76;?xhb>k0;65<6sA37p`j7283>4}O>=20qck84;295~N1<11vbh9::182M0302wei:850;3xL32?3tdn;:4?:0yK21>51zJ50==zfl=26=4>{I47<>{im>k1<7?tH76;?xhb?k0;65<6sA37p`j8283>4}O>=20qck74;295~N1<11vbh6::182M0302wei5850;3xL32?3tdn4:4?:0yK21>51zJ50==zfl226=4>{I47<>{im1k1<7?tH76;?xhb0k0;6c290:wE8;8:ma=c=83;pD;:7;|lf5<6sA37p`j9283>4}O>=20qck64;295~N1<11vbh7::182M0302wei4850;3xL32?3tdn5:4?:0yK21>51zJ50==zfl326=4>{I47<>{im0k1<7?tH76;?xhb1k0;6k3:1=vF9499~j`?c290:wE8;8:ma5<6sA37p`ja283>4}O>=20qckn4;295~N1<11vbho::182M0302weil850;3xL32?3tdnm:4?:0yK21>51zJ50==zflk26=4>{I47<>{imhk1<7?tH76;?xhbik0;65<6sA37p`jb283>4}O>=20qckm4;295~N1<11vbhl::182M0302weio850;3xL32?3tdnn:4?:0yK21>51zJ50==zflh26=4>{I47<>{imkk1<7?tH76;?xhbjk0;65<6sA37p`jc283>4}O>=20qckl4;295~N1<11vbhm::182M0302wein850;3xL32?3tdno:4?:0yK21>51zJ50==zfli26=4>{I47<>{imjk1<7?tH76;?xhbkk0;65<6sA37p`jd283>4}O>=20qckk4;295~N1<11vbhj::182M0302weii850;3xL32?3tdnh:4?:0yK21>51zJ50==zfln26=4>{I47<>{immk1<7?tH76;?xhblk0;65<6sA37p`je283>4}O>=20qckj4;295~N1<11vbhk::182M0302weih850;3xL32?3tdni:4?:0yK21>51zJ50==zflo26=4>{I47<>{imlk1<7?tH76;?xhbmk0;65<6sA37p`jf283>4}O>=20qcki4;295~N1<11vbhh::182M0302weik850;3xL32?3tdnj:4?:0yK21>51zJ50==zfll26=4>{I47<>{imok1<7?tH76;?xhbnk0;65<6sA37p`i0283>4}O>=20qch?4;295~N1<11vbk>::182M0302wej=850;3xL32?3tdm<:4?:0yK21>51zJ50==zfo:26=4>{I47<>{in9k1<7?tH76;?xha8k0;65<6sA37p`i1283>4}O>=20qch>4;295~N1<11vbk?::182M0302wej<850;3xL32?3td=?i4?:0yK21>7>3n8o;opNOBz2~DEV|uIJ \ No newline at end of file diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.v b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.v new file mode 100644 index 000000000..5724dd553 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.v @@ -0,0 +1,491 @@ +/******************************************************************************* +* This file is owned and controlled by Xilinx and must be used solely * +* for design, simulation, implementation and creation of design files * +* limited to Xilinx devices or technologies. Use with non-Xilinx * +* devices or technologies is expressly prohibited and immediately * +* terminates your license. * +* * +* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY * +* FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY * +* PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE * +* IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS * +* MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY * +* CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY * +* RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY * +* DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * +* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * +* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * +* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A * +* PARTICULAR PURPOSE. * +* * +* Xilinx products are not intended for use in life support appliances, * +* devices, or systems. Use in such applications are expressly * +* prohibited. * +* * +* (c) Copyright 1995-2013 Xilinx, Inc. * +* All rights reserved. * +*******************************************************************************/ +// You must compile the wrapper file fifo_4k_2clk.v when simulating +// the core, fifo_4k_2clk. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + +// The synthesis directives "translate_off/translate_on" specified below are +// supported by Xilinx, Mentor Graphics and Synplicity synthesis +// tools. Ensure they are correct for your synthesis tool(s). + +`timescale 1ns/1ps + +module fifo_4k_2clk( + rst, + wr_clk, + rd_clk, + din, + wr_en, + rd_en, + dout, + full, + empty, + rd_data_count, + wr_data_count +); + +input rst; +input wr_clk; +input rd_clk; +input [71 : 0] din; +input wr_en; +input rd_en; +output [71 : 0] dout; +output full; +output empty; +output [9 : 0] rd_data_count; +output [9 : 0] wr_data_count; + +// synthesis translate_off + + FIFO_GENERATOR_V9_3 #( + .C_ADD_NGC_CONSTRAINT(0), + .C_APPLICATION_TYPE_AXIS(0), + .C_APPLICATION_TYPE_RACH(0), + .C_APPLICATION_TYPE_RDCH(0), + .C_APPLICATION_TYPE_WACH(0), + .C_APPLICATION_TYPE_WDCH(0), + .C_APPLICATION_TYPE_WRCH(0), + .C_AXI_ADDR_WIDTH(32), + .C_AXI_ARUSER_WIDTH(1), + .C_AXI_AWUSER_WIDTH(1), + .C_AXI_BUSER_WIDTH(1), + .C_AXI_DATA_WIDTH(64), + .C_AXI_ID_WIDTH(4), + .C_AXI_RUSER_WIDTH(1), + .C_AXI_TYPE(0), + .C_AXI_WUSER_WIDTH(1), + .C_AXIS_TDATA_WIDTH(64), + .C_AXIS_TDEST_WIDTH(4), + .C_AXIS_TID_WIDTH(8), + .C_AXIS_TKEEP_WIDTH(4), + .C_AXIS_TSTRB_WIDTH(4), + .C_AXIS_TUSER_WIDTH(4), + .C_AXIS_TYPE(0), + .C_COMMON_CLOCK(0), + .C_COUNT_TYPE(0), + .C_DATA_COUNT_WIDTH(9), + .C_DEFAULT_VALUE("BlankString"), + .C_DIN_WIDTH(72), + .C_DIN_WIDTH_AXIS(1), + .C_DIN_WIDTH_RACH(32), + .C_DIN_WIDTH_RDCH(64), + .C_DIN_WIDTH_WACH(32), + .C_DIN_WIDTH_WDCH(64), + .C_DIN_WIDTH_WRCH(2), + .C_DOUT_RST_VAL("0"), + .C_DOUT_WIDTH(72), + .C_ENABLE_RLOCS(0), + .C_ENABLE_RST_SYNC(1), + .C_ERROR_INJECTION_TYPE(0), + .C_ERROR_INJECTION_TYPE_AXIS(0), + .C_ERROR_INJECTION_TYPE_RACH(0), + .C_ERROR_INJECTION_TYPE_RDCH(0), + .C_ERROR_INJECTION_TYPE_WACH(0), + .C_ERROR_INJECTION_TYPE_WDCH(0), + .C_ERROR_INJECTION_TYPE_WRCH(0), + .C_FAMILY("spartan6"), + .C_FULL_FLAGS_RST_VAL(1), + .C_HAS_ALMOST_EMPTY(0), + .C_HAS_ALMOST_FULL(0), + .C_HAS_AXI_ARUSER(0), + .C_HAS_AXI_AWUSER(0), + .C_HAS_AXI_BUSER(0), + .C_HAS_AXI_RD_CHANNEL(0), + .C_HAS_AXI_RUSER(0), + .C_HAS_AXI_WR_CHANNEL(0), + .C_HAS_AXI_WUSER(0), + .C_HAS_AXIS_TDATA(0), + .C_HAS_AXIS_TDEST(0), + .C_HAS_AXIS_TID(0), + .C_HAS_AXIS_TKEEP(0), + .C_HAS_AXIS_TLAST(0), + .C_HAS_AXIS_TREADY(1), + .C_HAS_AXIS_TSTRB(0), + .C_HAS_AXIS_TUSER(0), + .C_HAS_BACKUP(0), + .C_HAS_DATA_COUNT(0), + .C_HAS_DATA_COUNTS_AXIS(0), + .C_HAS_DATA_COUNTS_RACH(0), + .C_HAS_DATA_COUNTS_RDCH(0), + .C_HAS_DATA_COUNTS_WACH(0), + .C_HAS_DATA_COUNTS_WDCH(0), + .C_HAS_DATA_COUNTS_WRCH(0), + .C_HAS_INT_CLK(0), + .C_HAS_MASTER_CE(0), + .C_HAS_MEMINIT_FILE(0), + .C_HAS_OVERFLOW(0), + .C_HAS_PROG_FLAGS_AXIS(0), + .C_HAS_PROG_FLAGS_RACH(0), + .C_HAS_PROG_FLAGS_RDCH(0), + .C_HAS_PROG_FLAGS_WACH(0), + .C_HAS_PROG_FLAGS_WDCH(0), + .C_HAS_PROG_FLAGS_WRCH(0), + .C_HAS_RD_DATA_COUNT(1), + .C_HAS_RD_RST(0), + .C_HAS_RST(1), + .C_HAS_SLAVE_CE(0), + .C_HAS_SRST(0), + .C_HAS_UNDERFLOW(0), + .C_HAS_VALID(0), + .C_HAS_WR_ACK(0), + .C_HAS_WR_DATA_COUNT(1), + .C_HAS_WR_RST(0), + .C_IMPLEMENTATION_TYPE(2), + .C_IMPLEMENTATION_TYPE_AXIS(1), + .C_IMPLEMENTATION_TYPE_RACH(1), + .C_IMPLEMENTATION_TYPE_RDCH(1), + .C_IMPLEMENTATION_TYPE_WACH(1), + .C_IMPLEMENTATION_TYPE_WDCH(1), + .C_IMPLEMENTATION_TYPE_WRCH(1), + .C_INIT_WR_PNTR_VAL(0), + .C_INTERFACE_TYPE(0), + .C_MEMORY_TYPE(1), + .C_MIF_FILE_NAME("BlankString"), + .C_MSGON_VAL(1), + .C_OPTIMIZATION_MODE(0), + .C_OVERFLOW_LOW(0), + .C_PRELOAD_LATENCY(0), + .C_PRELOAD_REGS(1), + .C_PRIM_FIFO_TYPE("512x72"), + .C_PROG_EMPTY_THRESH_ASSERT_VAL(4), + .C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS(1022), + .C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH(1022), + .C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH(1022), + .C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH(1022), + .C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH(1022), + .C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH(1022), + .C_PROG_EMPTY_THRESH_NEGATE_VAL(5), + .C_PROG_EMPTY_TYPE(0), + .C_PROG_EMPTY_TYPE_AXIS(0), + .C_PROG_EMPTY_TYPE_RACH(0), + .C_PROG_EMPTY_TYPE_RDCH(0), + .C_PROG_EMPTY_TYPE_WACH(0), + .C_PROG_EMPTY_TYPE_WDCH(0), + .C_PROG_EMPTY_TYPE_WRCH(0), + .C_PROG_FULL_THRESH_ASSERT_VAL(511), + .C_PROG_FULL_THRESH_ASSERT_VAL_AXIS(1023), + .C_PROG_FULL_THRESH_ASSERT_VAL_RACH(1023), + .C_PROG_FULL_THRESH_ASSERT_VAL_RDCH(1023), + .C_PROG_FULL_THRESH_ASSERT_VAL_WACH(1023), + .C_PROG_FULL_THRESH_ASSERT_VAL_WDCH(1023), + .C_PROG_FULL_THRESH_ASSERT_VAL_WRCH(1023), + .C_PROG_FULL_THRESH_NEGATE_VAL(510), + .C_PROG_FULL_TYPE(0), + .C_PROG_FULL_TYPE_AXIS(0), + .C_PROG_FULL_TYPE_RACH(0), + .C_PROG_FULL_TYPE_RDCH(0), + .C_PROG_FULL_TYPE_WACH(0), + .C_PROG_FULL_TYPE_WDCH(0), + .C_PROG_FULL_TYPE_WRCH(0), + .C_RACH_TYPE(0), + .C_RD_DATA_COUNT_WIDTH(10), + .C_RD_DEPTH(512), + .C_RD_FREQ(1), + .C_RD_PNTR_WIDTH(9), + .C_RDCH_TYPE(0), + .C_REG_SLICE_MODE_AXIS(0), + .C_REG_SLICE_MODE_RACH(0), + .C_REG_SLICE_MODE_RDCH(0), + .C_REG_SLICE_MODE_WACH(0), + .C_REG_SLICE_MODE_WDCH(0), + .C_REG_SLICE_MODE_WRCH(0), + .C_SYNCHRONIZER_STAGE(2), + .C_UNDERFLOW_LOW(0), + .C_USE_COMMON_OVERFLOW(0), + .C_USE_COMMON_UNDERFLOW(0), + .C_USE_DEFAULT_SETTINGS(0), + .C_USE_DOUT_RST(1), + .C_USE_ECC(0), + .C_USE_ECC_AXIS(0), + .C_USE_ECC_RACH(0), + .C_USE_ECC_RDCH(0), + .C_USE_ECC_WACH(0), + .C_USE_ECC_WDCH(0), + .C_USE_ECC_WRCH(0), + .C_USE_EMBEDDED_REG(0), + .C_USE_FIFO16_FLAGS(0), + .C_USE_FWFT_DATA_COUNT(1), + .C_VALID_LOW(0), + .C_WACH_TYPE(0), + .C_WDCH_TYPE(0), + .C_WR_ACK_LOW(0), + .C_WR_DATA_COUNT_WIDTH(10), + .C_WR_DEPTH(512), + .C_WR_DEPTH_AXIS(1024), + .C_WR_DEPTH_RACH(16), + .C_WR_DEPTH_RDCH(1024), + .C_WR_DEPTH_WACH(16), + .C_WR_DEPTH_WDCH(1024), + .C_WR_DEPTH_WRCH(16), + .C_WR_FREQ(1), + .C_WR_PNTR_WIDTH(9), + .C_WR_PNTR_WIDTH_AXIS(10), + .C_WR_PNTR_WIDTH_RACH(4), + .C_WR_PNTR_WIDTH_RDCH(10), + .C_WR_PNTR_WIDTH_WACH(4), + .C_WR_PNTR_WIDTH_WDCH(10), + .C_WR_PNTR_WIDTH_WRCH(4), + .C_WR_RESPONSE_LATENCY(1), + .C_WRCH_TYPE(0) + ) + inst ( + .RST(rst), + .WR_CLK(wr_clk), + .RD_CLK(rd_clk), + .DIN(din), + .WR_EN(wr_en), + .RD_EN(rd_en), + .DOUT(dout), + .FULL(full), + .EMPTY(empty), + .RD_DATA_COUNT(rd_data_count), + .WR_DATA_COUNT(wr_data_count), + .BACKUP(), + .BACKUP_MARKER(), + .CLK(), + .SRST(), + .WR_RST(), + .RD_RST(), + .PROG_EMPTY_THRESH(), + .PROG_EMPTY_THRESH_ASSERT(), + .PROG_EMPTY_THRESH_NEGATE(), + .PROG_FULL_THRESH(), + .PROG_FULL_THRESH_ASSERT(), + .PROG_FULL_THRESH_NEGATE(), + .INT_CLK(), + .INJECTDBITERR(), + .INJECTSBITERR(), + .ALMOST_FULL(), + .WR_ACK(), + .OVERFLOW(), + .ALMOST_EMPTY(), + .VALID(), + .UNDERFLOW(), + .DATA_COUNT(), + .PROG_FULL(), + .PROG_EMPTY(), + .SBITERR(), + .DBITERR(), + .M_ACLK(), + .S_ACLK(), + .S_ARESETN(), + .M_ACLK_EN(), + .S_ACLK_EN(), + .S_AXI_AWID(), + .S_AXI_AWADDR(), + .S_AXI_AWLEN(), + .S_AXI_AWSIZE(), + .S_AXI_AWBURST(), + .S_AXI_AWLOCK(), + .S_AXI_AWCACHE(), + .S_AXI_AWPROT(), + .S_AXI_AWQOS(), + .S_AXI_AWREGION(), + .S_AXI_AWUSER(), + .S_AXI_AWVALID(), + .S_AXI_AWREADY(), + .S_AXI_WID(), + .S_AXI_WDATA(), + .S_AXI_WSTRB(), + .S_AXI_WLAST(), + .S_AXI_WUSER(), + .S_AXI_WVALID(), + .S_AXI_WREADY(), + .S_AXI_BID(), + .S_AXI_BRESP(), + .S_AXI_BUSER(), + .S_AXI_BVALID(), + .S_AXI_BREADY(), + .M_AXI_AWID(), + .M_AXI_AWADDR(), + .M_AXI_AWLEN(), + .M_AXI_AWSIZE(), + .M_AXI_AWBURST(), + .M_AXI_AWLOCK(), + .M_AXI_AWCACHE(), + .M_AXI_AWPROT(), + .M_AXI_AWQOS(), + .M_AXI_AWREGION(), + .M_AXI_AWUSER(), + .M_AXI_AWVALID(), + .M_AXI_AWREADY(), + .M_AXI_WID(), + .M_AXI_WDATA(), + .M_AXI_WSTRB(), + .M_AXI_WLAST(), + .M_AXI_WUSER(), + .M_AXI_WVALID(), + .M_AXI_WREADY(), + .M_AXI_BID(), + .M_AXI_BRESP(), + .M_AXI_BUSER(), + .M_AXI_BVALID(), + .M_AXI_BREADY(), + .S_AXI_ARID(), + .S_AXI_ARADDR(), + .S_AXI_ARLEN(), + .S_AXI_ARSIZE(), + .S_AXI_ARBURST(), + .S_AXI_ARLOCK(), + .S_AXI_ARCACHE(), + .S_AXI_ARPROT(), + .S_AXI_ARQOS(), + .S_AXI_ARREGION(), + .S_AXI_ARUSER(), + .S_AXI_ARVALID(), + .S_AXI_ARREADY(), + .S_AXI_RID(), + .S_AXI_RDATA(), + .S_AXI_RRESP(), + .S_AXI_RLAST(), + .S_AXI_RUSER(), + .S_AXI_RVALID(), + .S_AXI_RREADY(), + .M_AXI_ARID(), + .M_AXI_ARADDR(), + .M_AXI_ARLEN(), + .M_AXI_ARSIZE(), + .M_AXI_ARBURST(), + .M_AXI_ARLOCK(), + .M_AXI_ARCACHE(), + .M_AXI_ARPROT(), + .M_AXI_ARQOS(), + .M_AXI_ARREGION(), + .M_AXI_ARUSER(), + .M_AXI_ARVALID(), + .M_AXI_ARREADY(), + .M_AXI_RID(), + .M_AXI_RDATA(), + .M_AXI_RRESP(), + .M_AXI_RLAST(), + .M_AXI_RUSER(), + .M_AXI_RVALID(), + .M_AXI_RREADY(), + .S_AXIS_TVALID(), + .S_AXIS_TREADY(), + .S_AXIS_TDATA(), + .S_AXIS_TSTRB(), + .S_AXIS_TKEEP(), + .S_AXIS_TLAST(), + .S_AXIS_TID(), + .S_AXIS_TDEST(), + .S_AXIS_TUSER(), + .M_AXIS_TVALID(), + .M_AXIS_TREADY(), + .M_AXIS_TDATA(), + .M_AXIS_TSTRB(), + .M_AXIS_TKEEP(), + .M_AXIS_TLAST(), + .M_AXIS_TID(), + .M_AXIS_TDEST(), + .M_AXIS_TUSER(), + .AXI_AW_INJECTSBITERR(), + .AXI_AW_INJECTDBITERR(), + .AXI_AW_PROG_FULL_THRESH(), + .AXI_AW_PROG_EMPTY_THRESH(), + .AXI_AW_DATA_COUNT(), + .AXI_AW_WR_DATA_COUNT(), + .AXI_AW_RD_DATA_COUNT(), + .AXI_AW_SBITERR(), + .AXI_AW_DBITERR(), + .AXI_AW_OVERFLOW(), + .AXI_AW_UNDERFLOW(), + .AXI_AW_PROG_FULL(), + .AXI_AW_PROG_EMPTY(), + .AXI_W_INJECTSBITERR(), + .AXI_W_INJECTDBITERR(), + .AXI_W_PROG_FULL_THRESH(), + .AXI_W_PROG_EMPTY_THRESH(), + .AXI_W_DATA_COUNT(), + .AXI_W_WR_DATA_COUNT(), + .AXI_W_RD_DATA_COUNT(), + .AXI_W_SBITERR(), + .AXI_W_DBITERR(), + .AXI_W_OVERFLOW(), + .AXI_W_UNDERFLOW(), + .AXI_B_INJECTSBITERR(), + .AXI_W_PROG_FULL(), + .AXI_W_PROG_EMPTY(), + .AXI_B_INJECTDBITERR(), + .AXI_B_PROG_FULL_THRESH(), + .AXI_B_PROG_EMPTY_THRESH(), + .AXI_B_DATA_COUNT(), + .AXI_B_WR_DATA_COUNT(), + .AXI_B_RD_DATA_COUNT(), + .AXI_B_SBITERR(), + .AXI_B_DBITERR(), + .AXI_B_OVERFLOW(), + .AXI_B_UNDERFLOW(), + .AXI_AR_INJECTSBITERR(), + .AXI_B_PROG_FULL(), + .AXI_B_PROG_EMPTY(), + .AXI_AR_INJECTDBITERR(), + .AXI_AR_PROG_FULL_THRESH(), + .AXI_AR_PROG_EMPTY_THRESH(), + .AXI_AR_DATA_COUNT(), + .AXI_AR_WR_DATA_COUNT(), + .AXI_AR_RD_DATA_COUNT(), + .AXI_AR_SBITERR(), + .AXI_AR_DBITERR(), + .AXI_AR_OVERFLOW(), + .AXI_AR_UNDERFLOW(), + .AXI_AR_PROG_FULL(), + .AXI_AR_PROG_EMPTY(), + .AXI_R_INJECTSBITERR(), + .AXI_R_INJECTDBITERR(), + .AXI_R_PROG_FULL_THRESH(), + .AXI_R_PROG_EMPTY_THRESH(), + .AXI_R_DATA_COUNT(), + .AXI_R_WR_DATA_COUNT(), + .AXI_R_RD_DATA_COUNT(), + .AXI_R_SBITERR(), + .AXI_R_DBITERR(), + .AXI_R_OVERFLOW(), + .AXI_R_UNDERFLOW(), + .AXIS_INJECTSBITERR(), + .AXI_R_PROG_FULL(), + .AXI_R_PROG_EMPTY(), + .AXIS_INJECTDBITERR(), + .AXIS_PROG_FULL_THRESH(), + .AXIS_PROG_EMPTY_THRESH(), + .AXIS_DATA_COUNT(), + .AXIS_WR_DATA_COUNT(), + .AXIS_RD_DATA_COUNT(), + .AXIS_SBITERR(), + .AXIS_DBITERR(), + .AXIS_OVERFLOW(), + .AXIS_UNDERFLOW(), + .AXIS_PROG_FULL(), + .AXIS_PROG_EMPTY() + ); + +// synthesis translate_on + +endmodule diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.veo b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.veo new file mode 100644 index 000000000..12c025d5d --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.veo @@ -0,0 +1,79 @@ +/******************************************************************************* +* This file is owned and controlled by Xilinx and must be used solely * +* for design, simulation, implementation and creation of design files * +* limited to Xilinx devices or technologies. Use with non-Xilinx * +* devices or technologies is expressly prohibited and immediately * +* terminates your license. * +* * +* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY * +* FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY * +* PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE * +* IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS * +* MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY * +* CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY * +* RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY * +* DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * +* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * +* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * +* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A * +* PARTICULAR PURPOSE. * +* * +* Xilinx products are not intended for use in life support appliances, * +* devices, or systems. Use in such applications are expressly * +* prohibited. * +* * +* (c) Copyright 1995-2013 Xilinx, Inc. * +* All rights reserved. * +*******************************************************************************/ + +/******************************************************************************* +* Generated from core with identifier: xilinx.com:ip:fifo_generator:9.3 * +* * +* Rev 1. The FIFO Generator is a parameterizable first-in/first-out * +* memory queue generator. Use it to generate resource and performance * +* optimized FIFOs with common or independent read/write clock domains, * +* and optional fixed or programmable full and empty flags and * +* handshaking signals. Choose from a selection of memory resource * +* types for implementation. Optional Hamming code based error * +* detection and correction as well as error injection capability for * +* system test help to insure data integrity. FIFO width and depth are * +* parameterizable, and for native interface FIFOs, asymmetric read and * +* write port widths are also supported. * +*******************************************************************************/ + +// Interfaces: +// AXI4Stream_MASTER_M_AXIS +// AXI4Stream_SLAVE_S_AXIS +// AXI4_MASTER_M_AXI +// AXI4_SLAVE_S_AXI +// AXI4Lite_MASTER_M_AXI +// AXI4Lite_SLAVE_S_AXI +// master_aclk +// slave_aclk +// slave_aresetn + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +fifo_4k_2clk your_instance_name ( + .rst(rst), // input rst + .wr_clk(wr_clk), // input wr_clk + .rd_clk(rd_clk), // input rd_clk + .din(din), // input [71 : 0] din + .wr_en(wr_en), // input wr_en + .rd_en(rd_en), // input rd_en + .dout(dout), // output [71 : 0] dout + .full(full), // output full + .empty(empty), // output empty + .rd_data_count(rd_data_count), // output [9 : 0] rd_data_count + .wr_data_count(wr_data_count) // output [9 : 0] wr_data_count +); +// INST_TAG_END ------ End INSTANTIATION Template --------- + +// You must compile the wrapper file fifo_4k_2clk.v when simulating +// the core, fifo_4k_2clk. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.xco b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.xco new file mode 100644 index 000000000..f617ee440 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.xco @@ -0,0 +1,213 @@ +############################################################## +# +# Xilinx Core Generator version 14.4 +# Date: Fri Jan 25 19:58:19 2013 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:fifo_generator:9.3 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx75 +SET devicefamily = spartan6 +SET flowvendor = Other +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = csg484 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -2 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT FIFO_Generator xilinx.com:ip:fifo_generator:9.3 +# END Select +# BEGIN Parameters +CSET add_ngc_constraint_axi=false +CSET almost_empty_flag=false +CSET almost_full_flag=false +CSET aruser_width=1 +CSET awuser_width=1 +CSET axi_address_width=32 +CSET axi_data_width=64 +CSET axi_type=AXI4_Stream +CSET axis_type=FIFO +CSET buser_width=1 +CSET clock_enable_type=Slave_Interface_Clock_Enable +CSET clock_type_axi=Common_Clock +CSET component_name=fifo_4k_2clk +CSET data_count=false +CSET data_count_width=9 +CSET disable_timing_violations=false +CSET disable_timing_violations_axi=false +CSET dout_reset_value=0 +CSET empty_threshold_assert_value=4 +CSET empty_threshold_assert_value_axis=1022 +CSET empty_threshold_assert_value_rach=1022 +CSET empty_threshold_assert_value_rdch=1022 +CSET empty_threshold_assert_value_wach=1022 +CSET empty_threshold_assert_value_wdch=1022 +CSET empty_threshold_assert_value_wrch=1022 +CSET empty_threshold_negate_value=5 +CSET enable_aruser=false +CSET enable_awuser=false +CSET enable_buser=false +CSET enable_common_overflow=false +CSET enable_common_underflow=false +CSET enable_data_counts_axis=false +CSET enable_data_counts_rach=false +CSET enable_data_counts_rdch=false +CSET enable_data_counts_wach=false +CSET enable_data_counts_wdch=false +CSET enable_data_counts_wrch=false +CSET enable_ecc=false +CSET enable_ecc_axis=false +CSET enable_ecc_rach=false +CSET enable_ecc_rdch=false +CSET enable_ecc_wach=false +CSET enable_ecc_wdch=false +CSET enable_ecc_wrch=false +CSET enable_read_channel=false +CSET enable_read_pointer_increment_by2=false +CSET enable_reset_synchronization=true +CSET enable_ruser=false +CSET enable_tdata=false +CSET enable_tdest=false +CSET enable_tid=false +CSET enable_tkeep=false +CSET enable_tlast=false +CSET enable_tready=true +CSET enable_tstrobe=false +CSET enable_tuser=false +CSET enable_write_channel=false +CSET enable_wuser=false +CSET fifo_application_type_axis=Data_FIFO +CSET fifo_application_type_rach=Data_FIFO +CSET fifo_application_type_rdch=Data_FIFO +CSET fifo_application_type_wach=Data_FIFO +CSET fifo_application_type_wdch=Data_FIFO +CSET fifo_application_type_wrch=Data_FIFO +CSET fifo_implementation=Independent_Clocks_Block_RAM +CSET fifo_implementation_axis=Common_Clock_Block_RAM +CSET fifo_implementation_rach=Common_Clock_Block_RAM +CSET fifo_implementation_rdch=Common_Clock_Block_RAM +CSET fifo_implementation_wach=Common_Clock_Block_RAM +CSET fifo_implementation_wdch=Common_Clock_Block_RAM +CSET fifo_implementation_wrch=Common_Clock_Block_RAM +CSET full_flags_reset_value=1 +CSET full_threshold_assert_value=511 +CSET full_threshold_assert_value_axis=1023 +CSET full_threshold_assert_value_rach=1023 +CSET full_threshold_assert_value_rdch=1023 +CSET full_threshold_assert_value_wach=1023 +CSET full_threshold_assert_value_wdch=1023 +CSET full_threshold_assert_value_wrch=1023 +CSET full_threshold_negate_value=510 +CSET id_width=4 +CSET inject_dbit_error=false +CSET inject_dbit_error_axis=false +CSET inject_dbit_error_rach=false +CSET inject_dbit_error_rdch=false +CSET inject_dbit_error_wach=false +CSET inject_dbit_error_wdch=false +CSET inject_dbit_error_wrch=false +CSET inject_sbit_error=false +CSET inject_sbit_error_axis=false +CSET inject_sbit_error_rach=false +CSET inject_sbit_error_rdch=false +CSET inject_sbit_error_wach=false +CSET inject_sbit_error_wdch=false +CSET inject_sbit_error_wrch=false +CSET input_data_width=72 +CSET input_depth=512 +CSET input_depth_axis=1024 +CSET input_depth_rach=16 +CSET input_depth_rdch=1024 +CSET input_depth_wach=16 +CSET input_depth_wdch=1024 +CSET input_depth_wrch=16 +CSET interface_type=Native +CSET output_data_width=72 +CSET output_depth=512 +CSET overflow_flag=false +CSET overflow_flag_axi=false +CSET overflow_sense=Active_High +CSET overflow_sense_axi=Active_High +CSET performance_options=First_Word_Fall_Through +CSET programmable_empty_type=No_Programmable_Empty_Threshold +CSET programmable_empty_type_axis=No_Programmable_Empty_Threshold +CSET programmable_empty_type_rach=No_Programmable_Empty_Threshold +CSET programmable_empty_type_rdch=No_Programmable_Empty_Threshold +CSET programmable_empty_type_wach=No_Programmable_Empty_Threshold +CSET programmable_empty_type_wdch=No_Programmable_Empty_Threshold +CSET programmable_empty_type_wrch=No_Programmable_Empty_Threshold +CSET programmable_full_type=No_Programmable_Full_Threshold +CSET programmable_full_type_axis=No_Programmable_Full_Threshold +CSET programmable_full_type_rach=No_Programmable_Full_Threshold +CSET programmable_full_type_rdch=No_Programmable_Full_Threshold +CSET programmable_full_type_wach=No_Programmable_Full_Threshold +CSET programmable_full_type_wdch=No_Programmable_Full_Threshold +CSET programmable_full_type_wrch=No_Programmable_Full_Threshold +CSET rach_type=FIFO +CSET rdch_type=FIFO +CSET read_clock_frequency=1 +CSET read_data_count=true +CSET read_data_count_width=10 +CSET register_slice_mode_axis=Fully_Registered +CSET register_slice_mode_rach=Fully_Registered +CSET register_slice_mode_rdch=Fully_Registered +CSET register_slice_mode_wach=Fully_Registered +CSET register_slice_mode_wdch=Fully_Registered +CSET register_slice_mode_wrch=Fully_Registered +CSET reset_pin=true +CSET reset_type=Asynchronous_Reset +CSET ruser_width=1 +CSET synchronization_stages=2 +CSET synchronization_stages_axi=2 +CSET tdata_width=64 +CSET tdest_width=4 +CSET tid_width=8 +CSET tkeep_width=4 +CSET tstrb_width=4 +CSET tuser_width=4 +CSET underflow_flag=false +CSET underflow_flag_axi=false +CSET underflow_sense=Active_High +CSET underflow_sense_axi=Active_High +CSET use_clock_enable=false +CSET use_dout_reset=true +CSET use_embedded_registers=false +CSET use_extra_logic=true +CSET valid_flag=false +CSET valid_sense=Active_High +CSET wach_type=FIFO +CSET wdch_type=FIFO +CSET wrch_type=FIFO +CSET write_acknowledge_flag=false +CSET write_acknowledge_sense=Active_High +CSET write_clock_frequency=1 +CSET write_data_count=true +CSET write_data_count_width=10 +CSET wuser_width=1 +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2012-11-19T12:39:56Z +# END Extra information +GENERATE +# CRC: 372c204f diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_readme.txt b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_readme.txt new file mode 100644 index 000000000..7853ebde8 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_readme.txt @@ -0,0 +1,236 @@ +CHANGE LOG for LogiCORE FIFO Generator V9.3 Rev 1 + + Release Date: December 18, 2012 +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURE HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm + +For system requirements: + + http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm + +This file contains release notes for the Xilinx LogiCORE IP FIFO Generator v9.3 Rev 1 +solution. For the latest core updates, see the product page at: + + http://www.xilinx.com/products/ipcenter/FIFO_Generator.htm + +................................................................................ + + +2. DEVICE SUPPORT + + + 2.1 ISE + + The following device families are supported by the core for this release. + + + All 7 Series devices + Zynq-7000 devices + All Virtex-6 devices + All Spartan-6 devices + All Virtex-5 devices + All Spartan-3 devices + All Virtex-4 devices + + + 2.2 Vivado + + All 7 Series devices + Zynq-7000 devices + +................................................................................ + + +3. NEW FEATURE HISTORY + + + 3.1 ISE + + - ISE 14.4 software support + + + 3.2 Vivado + + - 2012.4 software support + - IP level constraint for Built-in FIFO reset synchronizer + +................................................................................ + + +4. RESOLVED ISSUES + + + 4.1 ISE + + - N/A + + + 4.2 Vivado + + - N/A + + +................................................................................ + + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + The following are known issues for v9.3 Rev 1 of this core at time of release: + + 1. Importing an XCO file alters the XCO configurations + + Description: In the FIFO Generator GUI, after importing an XCO file (Independent clock, distributed memory configuration) + into a Virtex-4 CORE Generator project, if the FIFO type is changed to "Independent Clocks, Built-in FIFO" in page 1, + page 2 does not correctly offer the Read Clock Frequency and Write Clock Frequency options as it should. + + CR 467240 + AR 31379 + + 2. Status flags after the first write to Common Clock Built-in FIFO not guaranteed + + Description: When using Common Clock Built-in FIFO configuration with asynchronous reset for Virtex-6 FPGA, + correct behavior of the FIFO status flags cannot be guaranteed after the first write. + + Workaround: To work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK. + For more information and additional workaround see Answer Record 41099. + + 5.2 Vivado + + The following are known issues for v9.3 Rev 1 of this core at time of release: + + 1. Description: When Trying to upgrade to latest version of FIFO Generator from older verions, following error message is seen + ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work. + + CR 665836 + +The most recent information, including known issues, workarounds, and +resolutions for this version is provided in the IP Release Notes User Guide +located at + + www.xilinx.com/support/documentation/user_guides/xtp025.pdf + +................................................................................ + + +6. TECHNICAL SUPPORT & FEEDBACK + +To obtain technical support, create a WebCase at www.xilinx.com/support. +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + +................................................................................ + + +7. CORE RELEASE HISTORY + +Date By Version Description +================================================================================ +12/18/2012 Xilinx, Inc. 9.3 Rev 1 ISE 14.4 and Vivado 2012.4 support; IP level constraint for Built-in FIFO reset synchronizer +10/16/2012 Xilinx, Inc. 9.3 ISE 14.3 and Vivado 2012.3 support; Clock Enable support for AXI4 Stream FIFO +07/25/2012 Xilinx, Inc. 9.2 ISE 14.2 and Vivado 2012.2 support; Accurate data count support for AXI4 Stream Packet FIFO +04/24/2012 Xilinx, Inc. 9.1 ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support + AXI FIFO data width support up to 4096; Programmable Full/Empty as sideband signals for AXI FIFO +01/18/2012 Xilinx, Inc. 8.4 ISE 13.4 support and Packet FIFO feature addition; Artix-7 Lower Power and Automotive Artix-7 device support +10/19/2011 Xilinx, Inc. 8.3 ISE 13.3 support and QVirtex-6L device support +06/22/2011 Xilinx, Inc. 8.2 ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support +03/01/2011 Xilinx, Inc. 8.1 ISE 13.1 support and Virtex-7 and Kintex-7 device support; Wiring Logic and Register Slice Support +10/29/2010 Xilinx, Inc. 7.3 ISE 13.0.2 support +09/21/2010 Xilinx, Inc. 7.2 ISE 12.3 support; AXI4 Support +07/30/2010 Xilinx, Inc. 7.1 ISE 13.0.1 support +06/18/2010 Xilinx, Inc. 6.2 ISE 12.2 support +04/19/2010 Xilinx, Inc. 6.1 ISE 12.1 support +12/02/2009 Xilinx, Inc. 5.3 rev 1 ISE 11.4 support; Spartan-6 Low Power and Automotive Spartan-6 Device support +09/16/2009 Xilinx, Inc. 5.3 Update to add 11.3; Virtex-6 Low Power and Virtex-6 HXT Device support +06/24/2009 Xilinx, Inc. 5.2 Update to add 11.2 and Virtex-6 CXT device support +04/24/2009 Xilinx, Inc. 5.1 Update to add 11.1 and Virtex-6 and Spartan-6 device support +09/19/2008 Xilinx, Inc. 4.4 Update to add 10.1 SP3 and Virtex-5 TXT device support and miscellaneous bug fixes +03/24/2008 Xilinx, Inc. 4.3 Update to add 10.1 support and miscellaneous bug fixes +10/03/2007 Xilinx, Inc. 4.2 Support for FWFT for Block RAM and Distributed RAM Common Clock FIFOs +08/08/2007 Xilinx, Inc. 4.1 Update to add 9.2i support; Revised to v4.1; ECC support for block RAM FIFO +04/02/2007 Xilinx, Inc. 3.3 Update to add 9.1i support; Revised to v3.3; Spartan-3A and Spartan-3A DSP support; ECC support +09/21/2006 Xilinx, Inc. 3.2 Revised to v3.2; Spartan-3 and Virtex-4 automotive device support +07/13/2006 Xilinx, Inc. 3.1 Update to add 8.2i support; Revised to v3.1; Virtex-5 support +01/11/2006 Xilinx, Inc. 2.3 Update to add 8.1i support; Revised to v2.3 +08/31/2005 Xilinx, Inc. 2.2 Update to add 7.1i SP4 support; Revised to v2.2 +04/28/2005 Xilinx, Inc. 2.1 Update to add 7.1i SP1 support; Revised to v2.1 +11/04/2004 Xilinx, Inc. 2.0 Update to add 6.3i support; Revised to v2.0 +05/21/2004 Xilinx, Inc. 1.1 Revised to v1.1; Virtex-4 support +04/23/2004 Xilinx, Inc. 1.0 Update to add 6.2i support; First release +================================================================================ + +................................................................................ + + +8. LEGAL DISCLAIMER + +(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved. + + This file contains confidential and proprietary information + of Xilinx, Inc. and is protected under U.S. and + international copyright and other intellectual property + laws. + + DISCLAIMER + This disclaimer is not a license and does not grant any + rights to the materials distributed herewith. Except as + otherwise provided in a valid license issued to you by + Xilinx, and to the maximum extent permitted by applicable + law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND + WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES + AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING + BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- + INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and + (2) Xilinx shall not be liable (whether in contract or tort, + including negligence, or under any other theory of + liability) for any loss or damage of any kind or nature + related to, arising under or in connection with these + materials, including for any direct, or any indirect, + special, incidental, or consequential loss or damage + (including loss of data, profits, goodwill, or any type of + loss or damage suffered as a result of any action brought + by a third party) even if such damage or loss was + reasonably foreseeable or Xilinx had been advised of the + possibility of the same. + + CRITICAL APPLICATIONS + Xilinx products are not designed or intended to be fail- + safe, or for use in any application requiring fail-safe + performance, such as life-support or safety devices or + systems, Class III medical devices, nuclear facilities, + applications related to the deployment of airbags, or any + other applications that could lead to death, personal + injury, or severe property or environmental damage + (individually and collectively, "Critical + Applications"). Customer assumes the sole risk and + liability of any use of Xilinx products in Critical + Applications, subject only to applicable laws and + regulations governing limitations on product liability. + + THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS + PART OF THIS FILE AT ALL TIMES. diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_vinfo.html b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_vinfo.html new file mode 100644 index 000000000..fefce62a3 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_vinfo.html @@ -0,0 +1,247 @@ + + +fifo_generator_v9_3_vinfo + + + +


+CHANGE LOG for LogiCORE FIFO Generator V9.3 Rev 1
+
+                    Release Date: December 18, 2012
+--------------------------------------------------------------------------------
+
+Table of Contents
+
+1. INTRODUCTION 
+2. DEVICE SUPPORT    
+3. NEW FEATURE HISTORY   
+4. RESOLVED ISSUES 
+5. KNOWN ISSUES & LIMITATIONS 
+6. TECHNICAL SUPPORT & FEEDBACK
+7. CORE RELEASE HISTORY 
+8. LEGAL DISCLAIMER 
+
+--------------------------------------------------------------------------------  
+
+
+1. INTRODUCTION
+
+For installation instructions for this release, please go to:
+
+   www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm
+
+For system requirements:
+
+   www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm
+
+This file contains release notes for the Xilinx LogiCORE IP FIFO Generator v9.3 Rev 1
+solution. For the latest core updates, see the product page at:
+ 
+   www.xilinx.com/products/ipcenter/FIFO_Generator.htm
+
+................................................................................
+
+
+2. DEVICE SUPPORT
+
+
+  2.1 ISE 
+   
+    The following device families are supported by the core for this release.
+    
+    
+    All 7 Series devices
+    Zynq-7000 devices
+    All Virtex-6 devices
+    All Spartan-6 devices
+    All Virtex-5 devices
+    All Spartan-3 devices
+    All Virtex-4 devices
+  
+  
+  2.2 Vivado 
+  
+    All 7 Series devices
+    Zynq-7000 devices
+
+................................................................................
+
+
+3. NEW FEATURE HISTORY
+
+
+  3.1 ISE 
+  
+    - ISE 14.4 software support
+
+  
+  3.2 Vivado
+  
+    - 2012.4 software support
+    - IP level constraint for Built-in FIFO reset synchronizer
+
+................................................................................
+
+
+4. RESOLVED ISSUES 
+
+
+  4.1 ISE 
+
+    - N/A
+
+
+  4.2 Vivado 
+
+    - N/A
+
+
+................................................................................
+
+
+5. KNOWN ISSUES & LIMITATIONS 
+
+
+  5.1 ISE 
+  
+    The following are known issues for v9.3 Rev 1 of this core at time of release:
+  
+    1. Importing an XCO file alters the XCO configurations
+  
+       Description: In the FIFO Generator GUI, after importing an XCO file (Independent clock, distributed memory configuration)
+       into a Virtex-4 CORE Generator project, if the FIFO type is changed to "Independent Clocks, Built-in FIFO" in page 1, 
+       page 2 does not correctly offer the Read Clock Frequency and Write Clock Frequency options as it should.
+    
+       CR 467240
+       AR 31379
+  
+    2. Status flags after the first write to Common Clock Built-in FIFO not guaranteed
+  
+       Description: When using Common Clock Built-in FIFO configuration with asynchronous reset for Virtex-6 FPGA,
+       correct behavior of the FIFO status flags cannot be guaranteed after the first write.
+    
+       Workaround: To work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK.
+       For more information and additional workaround see Answer Record 41099.
+  
+  5.2 Vivado 
+
+    The following are known issues for v9.3 Rev 1 of this core at time of release:
+     
+    1. Description: When Trying to upgrade to latest version of FIFO Generator from older verions, following error message is seen 
+       ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work.
+      
+       CR 665836
+
+The most recent information, including known issues, workarounds, and
+resolutions for this version is provided in the IP Release Notes User Guide
+located at 
+
+   www.xilinx.com/support/documentation/user_guides/xtp025.pdf 
+
+................................................................................
+
+
+6. TECHNICAL SUPPORT & FEEDBACK
+
+To obtain technical support, create a WebCase at www.xilinx.com/support.
+Questions are routed to a team with expertise using this product.  
+
+Xilinx provides technical support for use of this product when used
+according to the guidelines described in the core documentation, and
+cannot guarantee timing, functionality, or support of this product for
+designs that do not follow specified guidelines.
+
+................................................................................
+
+
+7. CORE RELEASE HISTORY 
+
+Date        By            Version      Description
+================================================================================
+12/18/2012  Xilinx, Inc.  9.3 Rev 1    ISE 14.4 and Vivado 2012.4 support; IP level constraint for Built-in FIFO reset synchronizer
+10/16/2012  Xilinx, Inc.  9.3          ISE 14.3 and Vivado 2012.3 support; Clock Enable support for AXI4 Stream FIFO
+07/25/2012  Xilinx, Inc.  9.2          ISE 14.2 and Vivado 2012.2 support; Accurate data count support for AXI4 Stream Packet FIFO
+04/24/2012  Xilinx, Inc.  9.1          ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support
+                                       AXI FIFO data width support up to 4096; Programmable Full/Empty as sideband signals for AXI FIFO
+01/18/2012  Xilinx, Inc.  8.4          ISE 13.4 support and Packet FIFO feature addition; Artix-7 Lower Power and Automotive Artix-7 device support
+10/19/2011  Xilinx, Inc.  8.3          ISE 13.3 support and QVirtex-6L device support
+06/22/2011  Xilinx, Inc.  8.2          ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support
+03/01/2011  Xilinx, Inc.  8.1          ISE 13.1 support and Virtex-7 and Kintex-7 device support; Wiring Logic and Register Slice Support
+10/29/2010  Xilinx, Inc.  7.3          ISE 13.0.2 support
+09/21/2010  Xilinx, Inc.  7.2          ISE 12.3 support; AXI4 Support
+07/30/2010  Xilinx, Inc.  7.1          ISE 13.0.1 support
+06/18/2010  Xilinx, Inc.  6.2          ISE 12.2 support
+04/19/2010  Xilinx, Inc.  6.1          ISE 12.1 support
+12/02/2009  Xilinx, Inc.  5.3 rev 1    ISE 11.4 support; Spartan-6 Low Power and Automotive Spartan-6 Device support
+09/16/2009  Xilinx, Inc.  5.3          Update to add 11.3; Virtex-6 Low Power and Virtex-6 HXT Device support
+06/24/2009  Xilinx, Inc.  5.2          Update to add 11.2 and Virtex-6 CXT device support
+04/24/2009  Xilinx, Inc.  5.1          Update to add 11.1 and Virtex-6 and Spartan-6 device support
+09/19/2008  Xilinx, Inc.  4.4          Update to add 10.1 SP3 and Virtex-5 TXT device support and miscellaneous bug fixes
+03/24/2008  Xilinx, Inc.  4.3          Update to add 10.1 support and miscellaneous bug fixes
+10/03/2007  Xilinx, Inc.  4.2          Support for FWFT for Block RAM and Distributed RAM Common Clock FIFOs
+08/08/2007  Xilinx, Inc.  4.1          Update to add 9.2i support; Revised to v4.1; ECC support for block RAM FIFO
+04/02/2007  Xilinx, Inc.  3.3          Update to add 9.1i support; Revised to v3.3; Spartan-3A and Spartan-3A DSP support; ECC support
+09/21/2006  Xilinx, Inc.  3.2          Revised to v3.2; Spartan-3 and Virtex-4 automotive device support
+07/13/2006  Xilinx, Inc.  3.1          Update to add 8.2i support; Revised to v3.1; Virtex-5 support
+01/11/2006  Xilinx, Inc.  2.3          Update to add 8.1i support; Revised to v2.3
+08/31/2005  Xilinx, Inc.  2.2          Update to add 7.1i SP4 support; Revised to v2.2
+04/28/2005  Xilinx, Inc.  2.1          Update to add 7.1i SP1 support; Revised to v2.1
+11/04/2004  Xilinx, Inc.  2.0          Update to add 6.3i support; Revised to v2.0
+05/21/2004  Xilinx, Inc.  1.1          Revised to v1.1; Virtex-4 support
+04/23/2004  Xilinx, Inc.  1.0          Update to add 6.2i support; First release
+================================================================================
+
+................................................................................
+
+
+8. LEGAL DISCLAIMER
+
+(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved.
+
+  This file contains confidential and proprietary information
+  of Xilinx, Inc. and is protected under U.S. and
+  international copyright and other intellectual property
+  laws.
+
+  DISCLAIMER
+  This disclaimer is not a license and does not grant any
+  rights to the materials distributed herewith. Except as
+  otherwise provided in a valid license issued to you by
+  Xilinx, and to the maximum extent permitted by applicable
+  law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+  WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+  AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+  BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+  INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+  (2) Xilinx shall not be liable (whether in contract or tort,
+  including negligence, or under any other theory of
+  liability) for any loss or damage of any kind or nature
+  related to, arising under or in connection with these
+  materials, including for any direct, or any indirect,
+  special, incidental, or consequential loss or damage
+  (including loss of data, profits, goodwill, or any type of
+  loss or damage suffered as a result of any action brought
+  by a third party) even if such damage or loss was
+  reasonably foreseeable or Xilinx had been advised of the
+  possibility of the same. 
+
+  CRITICAL APPLICATIONS
+  Xilinx products are not designed or intended to be fail-
+  safe, or for use in any application requiring fail-safe
+  performance, such as life-support or safety devices or
+  systems, Class III medical devices, nuclear facilities,
+  applications related to the deployment of airbags, or any
+  other applications that could lead to death, personal
+  injury, or severe property or environmental damage
+  (individually and collectively, "Critical 
+  Applications"). Customer assumes the sole risk and 
+  liability of any use of Xilinx products in Critical 
+  Applications, subject only to applicable laws and 
+  regulations governing limitations on product liability. 
+ 
+  THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+  PART OF THIS FILE AT ALL TIMES.
+
+
+ + diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/doc/pg057-fifo-generator.pdf b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/doc/pg057-fifo-generator.pdf new file mode 100644 index 000000000..5ec45fbcb Binary files /dev/null and b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/doc/pg057-fifo-generator.pdf differ diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf new file mode 100755 index 000000000..62e5058ab --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf @@ -0,0 +1,56 @@ +################################################################################ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +################################################################################ + +# Core Period Constraint. This constraint can be modified, and is +# valid as long as it is met after place and route. + NET "RD_CLK" TNM_NET = "RD_CLK"; + NET "WR_CLK" TNM_NET = "WR_CLK"; + TIMESPEC "TS_RD_CLK" = PERIOD "RD_CLK" 50 MHZ; + TIMESPEC "TS_WR_CLK" = PERIOD "WR_CLK" 50 MHZ; +################################################################################ diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd new file mode 100755 index 000000000..2674443c8 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd @@ -0,0 +1,145 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core - core top file for implementation +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_exdes.vhd +-- +-- Description: +-- This is the FIFO core wrapper with BUFG instances for clock connections. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; + +library unisim; +use unisim.vcomponents.all; + +-------------------------------------------------------------------------------- +-- Entity Declaration +-------------------------------------------------------------------------------- +entity fifo_4k_2clk_exdes is + PORT ( + WR_CLK : IN std_logic; + RD_CLK : IN std_logic; + WR_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); + RD_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); + RST : IN std_logic; + WR_EN : IN std_logic; + RD_EN : IN std_logic; + DIN : IN std_logic_vector(72-1 DOWNTO 0); + DOUT : OUT std_logic_vector(72-1 DOWNTO 0); + FULL : OUT std_logic; + EMPTY : OUT std_logic); + +end fifo_4k_2clk_exdes; + + + +architecture xilinx of fifo_4k_2clk_exdes is + + signal wr_clk_i : std_logic; + signal rd_clk_i : std_logic; + + + + component fifo_4k_2clk is + PORT ( + WR_CLK : IN std_logic; + RD_CLK : IN std_logic; + WR_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); + RD_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); + RST : IN std_logic; + WR_EN : IN std_logic; + RD_EN : IN std_logic; + DIN : IN std_logic_vector(72-1 DOWNTO 0); + DOUT : OUT std_logic_vector(72-1 DOWNTO 0); + FULL : OUT std_logic; + EMPTY : OUT std_logic); + + end component; + + +begin + + wr_clk_buf: bufg + PORT map( + i => WR_CLK, + o => wr_clk_i + ); + + rd_clk_buf: bufg + PORT map( + i => RD_CLK, + o => rd_clk_i + ); + + + exdes_inst : fifo_4k_2clk + PORT MAP ( + WR_CLK => wr_clk_i, + RD_CLK => rd_clk_i, + WR_DATA_COUNT => wr_data_count, + RD_DATA_COUNT => rd_data_count, + RST => rst, + WR_EN => wr_en, + RD_EN => rd_en, + DIN => din, + DOUT => dout, + FULL => full, + EMPTY => empty); + +end xilinx; diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/fifo_generator_v9_3_readme.txt b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/fifo_generator_v9_3_readme.txt new file mode 100644 index 000000000..7853ebde8 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/fifo_generator_v9_3_readme.txt @@ -0,0 +1,236 @@ +CHANGE LOG for LogiCORE FIFO Generator V9.3 Rev 1 + + Release Date: December 18, 2012 +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURE HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm + +For system requirements: + + http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm + +This file contains release notes for the Xilinx LogiCORE IP FIFO Generator v9.3 Rev 1 +solution. For the latest core updates, see the product page at: + + http://www.xilinx.com/products/ipcenter/FIFO_Generator.htm + +................................................................................ + + +2. DEVICE SUPPORT + + + 2.1 ISE + + The following device families are supported by the core for this release. + + + All 7 Series devices + Zynq-7000 devices + All Virtex-6 devices + All Spartan-6 devices + All Virtex-5 devices + All Spartan-3 devices + All Virtex-4 devices + + + 2.2 Vivado + + All 7 Series devices + Zynq-7000 devices + +................................................................................ + + +3. NEW FEATURE HISTORY + + + 3.1 ISE + + - ISE 14.4 software support + + + 3.2 Vivado + + - 2012.4 software support + - IP level constraint for Built-in FIFO reset synchronizer + +................................................................................ + + +4. RESOLVED ISSUES + + + 4.1 ISE + + - N/A + + + 4.2 Vivado + + - N/A + + +................................................................................ + + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + The following are known issues for v9.3 Rev 1 of this core at time of release: + + 1. Importing an XCO file alters the XCO configurations + + Description: In the FIFO Generator GUI, after importing an XCO file (Independent clock, distributed memory configuration) + into a Virtex-4 CORE Generator project, if the FIFO type is changed to "Independent Clocks, Built-in FIFO" in page 1, + page 2 does not correctly offer the Read Clock Frequency and Write Clock Frequency options as it should. + + CR 467240 + AR 31379 + + 2. Status flags after the first write to Common Clock Built-in FIFO not guaranteed + + Description: When using Common Clock Built-in FIFO configuration with asynchronous reset for Virtex-6 FPGA, + correct behavior of the FIFO status flags cannot be guaranteed after the first write. + + Workaround: To work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK. + For more information and additional workaround see Answer Record 41099. + + 5.2 Vivado + + The following are known issues for v9.3 Rev 1 of this core at time of release: + + 1. Description: When Trying to upgrade to latest version of FIFO Generator from older verions, following error message is seen + ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work. + + CR 665836 + +The most recent information, including known issues, workarounds, and +resolutions for this version is provided in the IP Release Notes User Guide +located at + + www.xilinx.com/support/documentation/user_guides/xtp025.pdf + +................................................................................ + + +6. TECHNICAL SUPPORT & FEEDBACK + +To obtain technical support, create a WebCase at www.xilinx.com/support. +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + +................................................................................ + + +7. CORE RELEASE HISTORY + +Date By Version Description +================================================================================ +12/18/2012 Xilinx, Inc. 9.3 Rev 1 ISE 14.4 and Vivado 2012.4 support; IP level constraint for Built-in FIFO reset synchronizer +10/16/2012 Xilinx, Inc. 9.3 ISE 14.3 and Vivado 2012.3 support; Clock Enable support for AXI4 Stream FIFO +07/25/2012 Xilinx, Inc. 9.2 ISE 14.2 and Vivado 2012.2 support; Accurate data count support for AXI4 Stream Packet FIFO +04/24/2012 Xilinx, Inc. 9.1 ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support + AXI FIFO data width support up to 4096; Programmable Full/Empty as sideband signals for AXI FIFO +01/18/2012 Xilinx, Inc. 8.4 ISE 13.4 support and Packet FIFO feature addition; Artix-7 Lower Power and Automotive Artix-7 device support +10/19/2011 Xilinx, Inc. 8.3 ISE 13.3 support and QVirtex-6L device support +06/22/2011 Xilinx, Inc. 8.2 ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support +03/01/2011 Xilinx, Inc. 8.1 ISE 13.1 support and Virtex-7 and Kintex-7 device support; Wiring Logic and Register Slice Support +10/29/2010 Xilinx, Inc. 7.3 ISE 13.0.2 support +09/21/2010 Xilinx, Inc. 7.2 ISE 12.3 support; AXI4 Support +07/30/2010 Xilinx, Inc. 7.1 ISE 13.0.1 support +06/18/2010 Xilinx, Inc. 6.2 ISE 12.2 support +04/19/2010 Xilinx, Inc. 6.1 ISE 12.1 support +12/02/2009 Xilinx, Inc. 5.3 rev 1 ISE 11.4 support; Spartan-6 Low Power and Automotive Spartan-6 Device support +09/16/2009 Xilinx, Inc. 5.3 Update to add 11.3; Virtex-6 Low Power and Virtex-6 HXT Device support +06/24/2009 Xilinx, Inc. 5.2 Update to add 11.2 and Virtex-6 CXT device support +04/24/2009 Xilinx, Inc. 5.1 Update to add 11.1 and Virtex-6 and Spartan-6 device support +09/19/2008 Xilinx, Inc. 4.4 Update to add 10.1 SP3 and Virtex-5 TXT device support and miscellaneous bug fixes +03/24/2008 Xilinx, Inc. 4.3 Update to add 10.1 support and miscellaneous bug fixes +10/03/2007 Xilinx, Inc. 4.2 Support for FWFT for Block RAM and Distributed RAM Common Clock FIFOs +08/08/2007 Xilinx, Inc. 4.1 Update to add 9.2i support; Revised to v4.1; ECC support for block RAM FIFO +04/02/2007 Xilinx, Inc. 3.3 Update to add 9.1i support; Revised to v3.3; Spartan-3A and Spartan-3A DSP support; ECC support +09/21/2006 Xilinx, Inc. 3.2 Revised to v3.2; Spartan-3 and Virtex-4 automotive device support +07/13/2006 Xilinx, Inc. 3.1 Update to add 8.2i support; Revised to v3.1; Virtex-5 support +01/11/2006 Xilinx, Inc. 2.3 Update to add 8.1i support; Revised to v2.3 +08/31/2005 Xilinx, Inc. 2.2 Update to add 7.1i SP4 support; Revised to v2.2 +04/28/2005 Xilinx, Inc. 2.1 Update to add 7.1i SP1 support; Revised to v2.1 +11/04/2004 Xilinx, Inc. 2.0 Update to add 6.3i support; Revised to v2.0 +05/21/2004 Xilinx, Inc. 1.1 Revised to v1.1; Virtex-4 support +04/23/2004 Xilinx, Inc. 1.0 Update to add 6.2i support; First release +================================================================================ + +................................................................................ + + +8. LEGAL DISCLAIMER + +(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved. + + This file contains confidential and proprietary information + of Xilinx, Inc. and is protected under U.S. and + international copyright and other intellectual property + laws. + + DISCLAIMER + This disclaimer is not a license and does not grant any + rights to the materials distributed herewith. Except as + otherwise provided in a valid license issued to you by + Xilinx, and to the maximum extent permitted by applicable + law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND + WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES + AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING + BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- + INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and + (2) Xilinx shall not be liable (whether in contract or tort, + including negligence, or under any other theory of + liability) for any loss or damage of any kind or nature + related to, arising under or in connection with these + materials, including for any direct, or any indirect, + special, incidental, or consequential loss or damage + (including loss of data, profits, goodwill, or any type of + loss or damage suffered as a result of any action brought + by a third party) even if such damage or loss was + reasonably foreseeable or Xilinx had been advised of the + possibility of the same. + + CRITICAL APPLICATIONS + Xilinx products are not designed or intended to be fail- + safe, or for use in any application requiring fail-safe + performance, such as life-support or safety devices or + systems, Class III medical devices, nuclear facilities, + applications related to the deployment of airbags, or any + other applications that could lead to death, personal + injury, or severe property or environmental damage + (individually and collectively, "Critical + Applications"). Customer assumes the sole risk and + liability of any use of Xilinx products in Critical + Applications, subject only to applicable laws and + regulations governing limitations on product liability. + + THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS + PART OF THIS FILE AT ALL TIMES. diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement.bat b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement.bat new file mode 100755 index 000000000..f5fcf0a2b --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement.bat @@ -0,0 +1,88 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +rem Clean up the results directory +rmdir /S /Q results +mkdir results + +rem Synthesize the VHDL Wrapper Files + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with XST'; +xst -ifn xst.scr +copy fifo_4k_2clk_exdes.ngc .\results\ + + +rem Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +copy ..\..\fifo_4k_2clk.ngc results\ + + +rem Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +copy ..\example_design\fifo_4k_2clk_exdes.ucf results\ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-csg484-2 -sd ../../../ fifo_4k_2clk_exdes + +echo 'Running map' +map fifo_4k_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_4k_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement.sh b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement.sh new file mode 100755 index 000000000..9cb53f5c7 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement.sh @@ -0,0 +1,87 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +# Clean up the results directory +rm -rf results +mkdir results + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with XST'; +xst -ifn xst.scr +cp fifo_4k_2clk_exdes.ngc ./results/ + + +# Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +cp ../../fifo_4k_2clk.ngc results/ + +# Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +cp ../example_design/fifo_4k_2clk_exdes.ucf results/ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-csg484-2 -sd ../../../ fifo_4k_2clk_exdes + +echo 'Running map' +map fifo_4k_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_4k_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v + diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement_synplify.bat b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement_synplify.bat new file mode 100755 index 000000000..9d99aaa5c --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement_synplify.bat @@ -0,0 +1,87 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +rem Clean up the results directory +rmdir /S /Q results +mkdir results + +rem Synthesize the VHDL Wrapper Files + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with Synplify' +synplify_pro -batch synplify.prj -licensetype synplifypro_xilinx + + +rem Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +copy ..\..\fifo_4k_2clk.ngc results\ + + +rem Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +copy ..\example_design\fifo_4k_2clk_exdes.ucf results\ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-csg484-2 -sd ../../../ fifo_4k_2clk_exdes + +echo 'Running map' +map fifo_4k_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_4k_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement_synplify.sh b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement_synplify.sh new file mode 100755 index 000000000..db245edbb --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement_synplify.sh @@ -0,0 +1,86 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +# Clean up the results directory +rm -rf results +mkdir results + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with Synplify' +synplify_pro -batch synplify.prj -licensetype synplifypro_xilinx + + +# Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +cp ../../fifo_4k_2clk.ngc results/ + +# Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +cp ../example_design/fifo_4k_2clk_exdes.ucf results/ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-csg484-2 -sd ../../../ fifo_4k_2clk_exdes + +echo 'Running map' +map fifo_4k_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_4k_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v + diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/planAhead_ise.bat b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/planAhead_ise.bat new file mode 100755 index 000000000..a48fea790 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/planAhead_ise.bat @@ -0,0 +1,54 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +rem ----------------------------------------------------------------------------- +rem Script to synthesize and implement the Coregen FIFO Generator +rem ----------------------------------------------------------------------------- +rmdir /S /Q results +mkdir results +cd results +copy ..\..\..\fifo_4k_2clk.ngc . +planAhead -mode batch -source ..\planAhead_ise.tcl diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/planAhead_ise.sh b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/planAhead_ise.sh new file mode 100755 index 000000000..7171a0e5e --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/planAhead_ise.sh @@ -0,0 +1,55 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +#----------------------------------------------------------------------------- +# Script to synthesize and implement the Coregen FIFO Generator +#----------------------------------------------------------------------------- +rm -rf results +mkdir results +cd results +cp ../../../fifo_4k_2clk.ngc . +planAhead -mode batch -source ../planAhead_ise.tcl diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/planAhead_ise.tcl b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/planAhead_ise.tcl new file mode 100755 index 000000000..6af923b85 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/planAhead_ise.tcl @@ -0,0 +1,67 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + + +set device xc6slx75csg484-2 +set projName fifo_4k_2clk +set design fifo_4k_2clk +set projDir [file dirname [info script]] +create_project $projName $projDir/results/$projName -part $device -force +set_property design_mode RTL [current_fileset -srcset] +set top_module fifo_4k_2clk_exdes +add_files -norecurse {../../example_design/fifo_4k_2clk_exdes.vhd} +add_files -norecurse {./fifo_4k_2clk.ngc} +import_files -fileset [get_filesets constrs_1] -force -norecurse {../../example_design/fifo_4k_2clk_exdes.xdc} +set_property top fifo_4k_2clk_exdes [get_property srcset [current_run]] +synth_design +opt_design +place_design +route_design +write_sdf -rename_top_module fifo_4k_2clk_exdes -file routed.sdf +write_verilog -nolib -mode timesim -sdf_anno false -rename_top_module fifo_4k_2clk_exdes routed.v +report_timing -nworst 30 -path_type full -file routed.twr +report_drc -file report.drc +write_bitstream -bitgen_options {-g UnconstrainedPins:Allow} diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/xst.prj b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/xst.prj new file mode 100755 index 000000000..573a1716f --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/xst.prj @@ -0,0 +1 @@ +work ../example_design/fifo_4k_2clk_exdes.vhd diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/xst.scr b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/xst.scr new file mode 100755 index 000000000..bff287f78 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/xst.scr @@ -0,0 +1,13 @@ +run +-ifmt VHDL +-ent fifo_4k_2clk_exdes +-p xc6slx75-csg484-2 +-ifn xst.prj +-write_timing_constraints No +-iobuf YES +-max_fanout 100 +-ofn fifo_4k_2clk_exdes +-ofmt NGC +-bus_delimiter () +-hierarchy_separator / +-case Maintain diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd new file mode 100755 index 000000000..d14bb7a51 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd @@ -0,0 +1,123 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_dgen.vhd +-- +-- Description: +-- Used for write interface stimulus generation +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +LIBRARY work; +USE work.fifo_4k_2clk_pkg.ALL; + +ENTITY fifo_4k_2clk_dgen IS + GENERIC ( + C_DIN_WIDTH : INTEGER := 32; + C_DOUT_WIDTH : INTEGER := 32; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT ( + RESET : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + PRC_WR_EN : IN STD_LOGIC; + FULL : IN STD_LOGIC; + WR_EN : OUT STD_LOGIC; + WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) + ); +END ENTITY; + + +ARCHITECTURE fg_dg_arch OF fifo_4k_2clk_dgen IS + + CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); + CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); + + SIGNAL pr_w_en : STD_LOGIC := '0'; + SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); + SIGNAL wr_data_i : STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); + BEGIN + + WR_EN <= PRC_WR_EN ; + WR_DATA <= wr_data_i AFTER 100 ns; + + ---------------------------------------------- + -- Generation of DATA + ---------------------------------------------- + gen_stim:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE + rd_gen_inst1:fifo_4k_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED+N + ) + PORT MAP( + CLK => WR_CLK, + RESET => RESET, + RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), + ENABLE => pr_w_en + ); + END GENERATE; + + pr_w_en <= PRC_WR_EN AND NOT FULL; + wr_data_i <= rand_num(C_DIN_WIDTH-1 DOWNTO 0); + + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd new file mode 100755 index 000000000..0a7c2aa87 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd @@ -0,0 +1,150 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_dverif.vhd +-- +-- Description: +-- Used for FIFO read interface stimulus generation and data checking +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +LIBRARY work; +USE work.fifo_4k_2clk_pkg.ALL; + +ENTITY fifo_4k_2clk_dverif IS + GENERIC( + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_USE_EMBEDDED_REG : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + PRC_RD_EN : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + RD_EN : OUT STD_LOGIC; + DOUT_CHK : OUT STD_LOGIC + ); +END ENTITY; + + +ARCHITECTURE fg_dv_arch OF fifo_4k_2clk_dverif IS + + CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); + CONSTANT EXTRA_WIDTH : INTEGER := if_then_else(C_CH_TYPE = 2,1,0); + CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH+EXTRA_WIDTH,8); + + SIGNAL expected_dout : STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); + SIGNAL data_chk : STD_LOGIC := '1'; + SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 downto 0); + SIGNAL rd_en_i : STD_LOGIC := '0'; + SIGNAL pr_r_en : STD_LOGIC := '0'; + SIGNAL rd_en_d1 : STD_LOGIC := '1'; +BEGIN + + + DOUT_CHK <= data_chk; + RD_EN <= rd_en_i; + rd_en_i <= PRC_RD_EN; + rd_en_d1 <= '1'; + + + data_fifo_chk:IF(C_CH_TYPE /=2) GENERATE + ------------------------------------------------------- + -- Expected data generation and checking for data_fifo + ------------------------------------------------------- + + pr_r_en <= rd_en_i AND NOT EMPTY AND rd_en_d1; + expected_dout <= rand_num(C_DOUT_WIDTH-1 DOWNTO 0); + + gen_num:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE + rd_gen_inst2:fifo_4k_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED+N + ) + PORT MAP( + CLK => RD_CLK, + RESET => RESET, + RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), + ENABLE => pr_r_en + ); + END GENERATE; + + PROCESS (RD_CLK,RESET) + BEGIN + IF(RESET = '1') THEN + data_chk <= '0'; + ELSIF (RD_CLK'event AND RD_CLK='1') THEN + IF(EMPTY = '0') THEN + IF(DATA_OUT = expected_dout) THEN + data_chk <= '0'; + ELSE + data_chk <= '1'; + END IF; + END IF; + END IF; + END PROCESS; + END GENERATE data_fifo_chk; + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd new file mode 100755 index 000000000..fa32e4781 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd @@ -0,0 +1,541 @@ + +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_pctrl.vhd +-- +-- Description: +-- Used for protocol control on write and read interface stimulus and status generation +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +LIBRARY work; +USE work.fifo_4k_2clk_pkg.ALL; + +ENTITY fifo_4k_2clk_pctrl IS + GENERIC( + AXI_CHANNEL : STRING :="NONE"; + C_APPLICATION_TYPE : INTEGER := 0; + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_WR_PNTR_WIDTH : INTEGER := 0; + C_RD_PNTR_WIDTH : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 2; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET_WR : IN STD_LOGIC; + RESET_RD : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + FULL : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + ALMOST_FULL : IN STD_LOGIC; + ALMOST_EMPTY : IN STD_LOGIC; + DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + DOUT_CHK : IN STD_LOGIC; + PRC_WR_EN : OUT STD_LOGIC; + PRC_RD_EN : OUT STD_LOGIC; + RESET_EN : OUT STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); +END ENTITY; + + +ARCHITECTURE fg_pc_arch OF fifo_4k_2clk_pctrl IS + + CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); + CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); + CONSTANT D_WIDTH_DIFF : INTEGER := log2roundup(C_DOUT_WIDTH/C_DIN_WIDTH); + + SIGNAL data_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); + SIGNAL full_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); + SIGNAL empty_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); + SIGNAL status_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); + SIGNAL status_d1_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); + SIGNAL wr_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); + SIGNAL rd_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); + SIGNAL wr_cntr : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); + SIGNAL full_as_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); + SIGNAL full_ds_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); + SIGNAL rd_cntr : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); + SIGNAL empty_as_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); + SIGNAL empty_ds_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0):= (OTHERS => '0'); + SIGNAL wr_en_i : STD_LOGIC := '0'; + SIGNAL rd_en_i : STD_LOGIC := '0'; + SIGNAL state : STD_LOGIC := '0'; + SIGNAL wr_control : STD_LOGIC := '0'; + SIGNAL rd_control : STD_LOGIC := '0'; + SIGNAL stop_on_err : STD_LOGIC := '0'; + SIGNAL sim_stop_cntr : STD_LOGIC_VECTOR(7 DOWNTO 0):= conv_std_logic_vector(if_then_else(C_CH_TYPE=2,64,TB_STOP_CNT),8); + SIGNAL sim_done_i : STD_LOGIC := '0'; + SIGNAL rdw_gt_wrw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); + SIGNAL wrw_gt_rdw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); + SIGNAL rd_activ_cont : STD_LOGIC_VECTOR(25 downto 0):= (OTHERS => '0'); + SIGNAL prc_we_i : STD_LOGIC := '0'; + SIGNAL prc_re_i : STD_LOGIC := '0'; + SIGNAL reset_en_i : STD_LOGIC := '0'; + SIGNAL sim_done_d1 : STD_LOGIC := '0'; + SIGNAL sim_done_wr1 : STD_LOGIC := '0'; + SIGNAL sim_done_wr2 : STD_LOGIC := '0'; + SIGNAL empty_d1 : STD_LOGIC := '0'; + SIGNAL empty_wr_dom1 : STD_LOGIC := '0'; + SIGNAL state_d1 : STD_LOGIC := '0'; + SIGNAL state_rd_dom1 : STD_LOGIC := '0'; + SIGNAL rd_en_d1 : STD_LOGIC := '0'; + SIGNAL rd_en_wr1 : STD_LOGIC := '0'; + SIGNAL wr_en_d1 : STD_LOGIC := '0'; + SIGNAL wr_en_rd1 : STD_LOGIC := '0'; + SIGNAL full_chk_d1 : STD_LOGIC := '0'; + SIGNAL full_chk_rd1 : STD_LOGIC := '0'; + SIGNAL empty_wr_dom2 : STD_LOGIC := '0'; + + SIGNAL state_rd_dom2 : STD_LOGIC := '0'; + SIGNAL state_rd_dom3 : STD_LOGIC := '0'; + SIGNAL rd_en_wr2 : STD_LOGIC := '0'; + SIGNAL wr_en_rd2 : STD_LOGIC := '0'; + SIGNAL full_chk_rd2 : STD_LOGIC := '0'; + SIGNAL reset_en_d1 : STD_LOGIC := '0'; + SIGNAL reset_en_rd1 : STD_LOGIC := '0'; + SIGNAL reset_en_rd2 : STD_LOGIC := '0'; + + SIGNAL data_chk_wr_d1 : STD_LOGIC := '0'; + SIGNAL data_chk_rd1 : STD_LOGIC := '0'; + SIGNAL data_chk_rd2 : STD_LOGIC := '0'; + SIGNAL post_rst_dly_wr : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); + SIGNAL post_rst_dly_rd : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); +BEGIN + status_i <= data_chk_i & full_chk_rd2 & empty_chk_i & '0' & '0'; + STATUS <= status_d1_i & '0' & '0' & rd_activ_cont(rd_activ_cont'high); + + prc_we_i <= wr_en_i WHEN sim_done_wr2 = '0' ELSE '0'; + prc_re_i <= rd_en_i WHEN sim_done_i = '0' ELSE '0'; + + SIM_DONE <= sim_done_i; + rdw_gt_wrw <= (OTHERS => '1'); + wrw_gt_rdw <= (OTHERS => '1'); + + PROCESS(RD_CLK) + BEGIN + IF (RD_CLK'event AND RD_CLK='1') THEN + IF(prc_re_i = '1') THEN + rd_activ_cont <= rd_activ_cont + "1"; + END IF; + END IF; + END PROCESS; + + + PROCESS(sim_done_i) + BEGIN + assert sim_done_i = '0' + report "Simulation Complete for:" & AXI_CHANNEL + severity note; + END PROCESS; + +----------------------------------------------------- +-- SIM_DONE SIGNAL GENERATION +----------------------------------------------------- +PROCESS (RD_CLK,RESET_RD) +BEGIN + IF(RESET_RD = '1') THEN + --sim_done_i <= '0'; + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF((OR_REDUCE(sim_stop_cntr) = '0' AND TB_STOP_CNT /= 0) OR stop_on_err = '1') THEN + sim_done_i <= '1'; + END IF; + END IF; +END PROCESS; + + -- TB Timeout/Stop + fifo_tb_stop_run:IF(TB_STOP_CNT /= 0) GENERATE + PROCESS (RD_CLK) + BEGIN + IF (RD_CLK'event AND RD_CLK='1') THEN + IF(state_rd_dom2 = '0' AND state_rd_dom3 = '1') THEN + sim_stop_cntr <= sim_stop_cntr - "1"; + END IF; + END IF; + END PROCESS; + END GENERATE fifo_tb_stop_run; + + + -- Stop when error found + PROCESS (RD_CLK) + BEGIN + IF (RD_CLK'event AND RD_CLK='1') THEN + IF(sim_done_i = '0') THEN + status_d1_i <= status_i OR status_d1_i; + END IF; + IF(FREEZEON_ERROR = 1 AND status_i /= "0") THEN + stop_on_err <= '1'; + END IF; + END IF; + END PROCESS; + ----------------------------------------------------- + + ----------------------------------------------------- + -- CHECKS FOR FIFO + ----------------------------------------------------- + + + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + post_rst_dly_rd <= (OTHERS => '1'); + ELSIF (RD_CLK'event AND RD_CLK='1') THEN + post_rst_dly_rd <= post_rst_dly_rd-post_rst_dly_rd(4); + END IF; + END PROCESS; + + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + post_rst_dly_wr <= (OTHERS => '1'); + ELSIF (WR_CLK'event AND WR_CLK='1') THEN + post_rst_dly_wr <= post_rst_dly_wr-post_rst_dly_wr(4); + END IF; + END PROCESS; + + + -- FULL de-assert Counter + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + full_ds_timeout <= (OTHERS => '0'); + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(state = '1') THEN + IF(rd_en_wr2 = '1' AND wr_en_i = '0' AND FULL = '1' AND AND_REDUCE(wrw_gt_rdw) = '1') THEN + full_ds_timeout <= full_ds_timeout + '1'; + END IF; + ELSE + full_ds_timeout <= (OTHERS => '0'); + END IF; + END IF; + END PROCESS; + + + -- EMPTY deassert counter + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + empty_ds_timeout <= (OTHERS => '0'); + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(state = '0') THEN + IF(wr_en_rd2 = '1' AND rd_en_i = '0' AND EMPTY = '1' AND AND_REDUCE(rdw_gt_wrw) = '1') THEN + empty_ds_timeout <= empty_ds_timeout + '1'; + END IF; + ELSE + empty_ds_timeout <= (OTHERS => '0'); + END IF; + END IF; + END PROCESS; + + -- Full check signal generation + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + full_chk_i <= '0'; + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN + full_chk_i <= '0'; + ELSE + full_chk_i <= AND_REDUCE(full_as_timeout) OR + AND_REDUCE(full_ds_timeout); + END IF; + END IF; + END PROCESS; + + -- Empty checks + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + empty_chk_i <= '0'; + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN + empty_chk_i <= '0'; + ELSE + empty_chk_i <= AND_REDUCE(empty_as_timeout) OR + AND_REDUCE(empty_ds_timeout); + END IF; + END IF; + END PROCESS; + + fifo_d_chk:IF(C_CH_TYPE /= 2) GENERATE + PRC_WR_EN <= prc_we_i AFTER 100 ns; + PRC_RD_EN <= prc_re_i AFTER 50 ns; + data_chk_i <= dout_chk; + END GENERATE fifo_d_chk; + ----------------------------------------------------- + + + ----------------------------------------------------- + -- SYNCHRONIZERS B/W WRITE AND READ DOMAINS + ----------------------------------------------------- + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + empty_wr_dom1 <= '1'; + empty_wr_dom2 <= '1'; + state_d1 <= '0'; + wr_en_d1 <= '0'; + rd_en_wr1 <= '0'; + rd_en_wr2 <= '0'; + full_chk_d1 <= '0'; + reset_en_d1 <= '0'; + sim_done_wr1 <= '0'; + sim_done_wr2 <= '0'; + ELSIF (WR_CLK'event AND WR_CLK='1') THEN + sim_done_wr1 <= sim_done_d1; + sim_done_wr2 <= sim_done_wr1; + reset_en_d1 <= reset_en_i; + state_d1 <= state; + empty_wr_dom1 <= empty_d1; + empty_wr_dom2 <= empty_wr_dom1; + wr_en_d1 <= wr_en_i; + rd_en_wr1 <= rd_en_d1; + rd_en_wr2 <= rd_en_wr1; + full_chk_d1 <= full_chk_i; + END IF; + END PROCESS; + + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + empty_d1 <= '1'; + state_rd_dom1 <= '0'; + state_rd_dom2 <= '0'; + state_rd_dom3 <= '0'; + wr_en_rd1 <= '0'; + wr_en_rd2 <= '0'; + rd_en_d1 <= '0'; + full_chk_rd1 <= '0'; + full_chk_rd2 <= '0'; + reset_en_rd1 <= '0'; + reset_en_rd2 <= '0'; + sim_done_d1 <= '0'; + ELSIF (RD_CLK'event AND RD_CLK='1') THEN + sim_done_d1 <= sim_done_i; + reset_en_rd1 <= reset_en_d1; + reset_en_rd2 <= reset_en_rd1; + empty_d1 <= EMPTY; + rd_en_d1 <= rd_en_i; + state_rd_dom1 <= state_d1; + state_rd_dom2 <= state_rd_dom1; + state_rd_dom3 <= state_rd_dom2; + wr_en_rd1 <= wr_en_d1; + wr_en_rd2 <= wr_en_rd1; + full_chk_rd1 <= full_chk_d1; + full_chk_rd2 <= full_chk_rd1; + END IF; + END PROCESS; + + RESET_EN <= reset_en_rd2; + + + data_fifo_en:IF(C_CH_TYPE /= 2) GENERATE + ----------------------------------------------------- + -- WR_EN GENERATION + ----------------------------------------------------- + gen_rand_wr_en:fifo_4k_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED+1 + ) + PORT MAP( + CLK => WR_CLK, + RESET => RESET_WR, + RANDOM_NUM => wr_en_gen, + ENABLE => '1' + ); + + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + wr_en_i <= '0'; + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(state = '1') THEN + wr_en_i <= wr_en_gen(0) AND wr_en_gen(7) AND wr_en_gen(2) AND wr_control; + ELSE + wr_en_i <= (wr_en_gen(3) OR wr_en_gen(4) OR wr_en_gen(2)) AND (NOT post_rst_dly_wr(4)); + END IF; + END IF; + END PROCESS; + + ----------------------------------------------------- + -- WR_EN CONTROL + ----------------------------------------------------- + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + wr_cntr <= (OTHERS => '0'); + wr_control <= '1'; + full_as_timeout <= (OTHERS => '0'); + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(state = '1') THEN + IF(wr_en_i = '1') THEN + wr_cntr <= wr_cntr + "1"; + END IF; + full_as_timeout <= (OTHERS => '0'); + ELSE + wr_cntr <= (OTHERS => '0'); + IF(rd_en_wr2 = '0') THEN + IF(wr_en_i = '1') THEN + full_as_timeout <= full_as_timeout + "1"; + END IF; + ELSE + full_as_timeout <= (OTHERS => '0'); + END IF; + END IF; + + wr_control <= NOT wr_cntr(wr_cntr'high); + + END IF; + END PROCESS; + + ----------------------------------------------------- + -- RD_EN GENERATION + ----------------------------------------------------- + gen_rand_rd_en:fifo_4k_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED + ) + PORT MAP( + CLK => RD_CLK, + RESET => RESET_RD, + RANDOM_NUM => rd_en_gen, + ENABLE => '1' + ); + + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + rd_en_i <= '0'; + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(state_rd_dom2 = '0') THEN + rd_en_i <= rd_en_gen(1) AND rd_en_gen(5) AND rd_en_gen(3) AND rd_control AND (NOT post_rst_dly_rd(4)); + ELSE + rd_en_i <= rd_en_gen(0) OR rd_en_gen(6); + END IF; + END IF; + END PROCESS; + + ----------------------------------------------------- + -- RD_EN CONTROL + ----------------------------------------------------- + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + rd_cntr <= (OTHERS => '0'); + rd_control <= '1'; + empty_as_timeout <= (OTHERS => '0'); + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(state_rd_dom2 = '0') THEN + IF(rd_en_i = '1') THEN + rd_cntr <= rd_cntr + "1"; + END IF; + empty_as_timeout <= (OTHERS => '0'); + ELSE + rd_cntr <= (OTHERS => '0'); + IF(wr_en_rd2 = '0') THEN + IF(rd_en_i = '1') THEN + empty_as_timeout <= empty_as_timeout + "1"; + END IF; + ELSE + empty_as_timeout <= (OTHERS => '0'); + END IF; + END IF; + + rd_control <= NOT rd_cntr(rd_cntr'high); + + END IF; + END PROCESS; + + ----------------------------------------------------- + -- STIMULUS CONTROL + ----------------------------------------------------- + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + state <= '0'; + reset_en_i <= '0'; + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + CASE state IS + WHEN '0' => + IF(FULL = '1' AND empty_wr_dom2 = '0') THEN + state <= '1'; + reset_en_i <= '0'; + END IF; + WHEN '1' => + IF(empty_wr_dom2 = '1' AND FULL = '0') THEN + state <= '0'; + reset_en_i <= '1'; + END IF; + WHEN OTHERS => state <= state; + END CASE; + END IF; + END PROCESS; + END GENERATE data_fifo_en; + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd new file mode 100755 index 000000000..46d4ac9cf --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd @@ -0,0 +1,350 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_pkg.vhd +-- +-- Description: +-- This is the demo testbench package file for FIFO Generator core. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE ieee.std_logic_arith.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +PACKAGE fifo_4k_2clk_pkg IS + + FUNCTION divroundup ( + data_value : INTEGER; + divisor : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : INTEGER; + false_case : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : STD_LOGIC; + false_case : STD_LOGIC) + RETURN STD_LOGIC; + ------------------------ + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : TIME; + false_case : TIME) + RETURN TIME; + ------------------------ + FUNCTION log2roundup ( + data_value : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION hexstr_to_std_logic_vec( + arg1 : string; + size : integer ) + RETURN std_logic_vector; + ------------------------ + COMPONENT fifo_4k_2clk_rng IS + GENERIC (WIDTH : integer := 8; + SEED : integer := 3); + PORT ( + CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + ENABLE : IN STD_LOGIC; + RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + + COMPONENT fifo_4k_2clk_dgen IS + GENERIC ( + C_DIN_WIDTH : INTEGER := 32; + C_DOUT_WIDTH : INTEGER := 32; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT ( + RESET : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + PRC_WR_EN : IN STD_LOGIC; + FULL : IN STD_LOGIC; + WR_EN : OUT STD_LOGIC; + WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + + COMPONENT fifo_4k_2clk_dverif IS + GENERIC( + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_USE_EMBEDDED_REG : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + PRC_RD_EN : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + RD_EN : OUT STD_LOGIC; + DOUT_CHK : OUT STD_LOGIC + ); + END COMPONENT; + ------------------------ + + COMPONENT fifo_4k_2clk_pctrl IS + GENERIC( + AXI_CHANNEL : STRING := "NONE"; + C_APPLICATION_TYPE : INTEGER := 0; + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_WR_PNTR_WIDTH : INTEGER := 0; + C_RD_PNTR_WIDTH : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 2; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET_WR : IN STD_LOGIC; + RESET_RD : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + FULL : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + ALMOST_FULL : IN STD_LOGIC; + ALMOST_EMPTY : IN STD_LOGIC; + DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + DOUT_CHK : IN STD_LOGIC; + PRC_WR_EN : OUT STD_LOGIC; + PRC_RD_EN : OUT STD_LOGIC; + RESET_EN : OUT STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + COMPONENT fifo_4k_2clk_synth IS + GENERIC( + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 0; + TB_SEED : INTEGER := 1 + ); + PORT( + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + COMPONENT fifo_4k_2clk_exdes IS + PORT ( + WR_CLK : IN std_logic; + RD_CLK : IN std_logic; + WR_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); + RD_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); + RST : IN std_logic; + WR_EN : IN std_logic; + RD_EN : IN std_logic; + DIN : IN std_logic_vector(72-1 DOWNTO 0); + DOUT : OUT std_logic_vector(72-1 DOWNTO 0); + FULL : OUT std_logic; + EMPTY : OUT std_logic); + + END COMPONENT; + ------------------------ + + +END fifo_4k_2clk_pkg; + + + +PACKAGE BODY fifo_4k_2clk_pkg IS + + FUNCTION divroundup ( + data_value : INTEGER; + divisor : INTEGER) + RETURN INTEGER IS + VARIABLE div : INTEGER; + BEGIN + div := data_value/divisor; + IF ( (data_value MOD divisor) /= 0) THEN + div := div+1; + END IF; + RETURN div; + END divroundup; + --------------------------------- + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : INTEGER; + false_case : INTEGER) + RETURN INTEGER IS + VARIABLE retval : INTEGER := 0; + BEGIN + IF condition=false THEN + retval:=false_case; + ELSE + retval:=true_case; + END IF; + RETURN retval; + END if_then_else; + --------------------------------- + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : STD_LOGIC; + false_case : STD_LOGIC) + RETURN STD_LOGIC IS + VARIABLE retval : STD_LOGIC := '0'; + BEGIN + IF condition=false THEN + retval:=false_case; + ELSE + retval:=true_case; + END IF; + RETURN retval; + END if_then_else; + --------------------------------- + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : TIME; + false_case : TIME) + RETURN TIME IS + VARIABLE retval : TIME := 0 ps; + BEGIN + IF condition=false THEN + retval:=false_case; + ELSE + retval:=true_case; + END IF; + RETURN retval; + END if_then_else; + ------------------------------- + FUNCTION log2roundup ( + data_value : INTEGER) + RETURN INTEGER IS + + VARIABLE width : INTEGER := 0; + VARIABLE cnt : INTEGER := 1; + BEGIN + IF (data_value <= 1) THEN + width := 1; + ELSE + WHILE (cnt < data_value) LOOP + width := width + 1; + cnt := cnt *2; + END LOOP; + END IF; + + RETURN width; + END log2roundup; + ------------------------------------------------------------------------------ + -- hexstr_to_std_logic_vec + -- This function converts a hex string to a std_logic_vector + ------------------------------------------------------------------------------ + FUNCTION hexstr_to_std_logic_vec( + arg1 : string; + size : integer ) + RETURN std_logic_vector IS + VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0'); + VARIABLE bin : std_logic_vector(3 DOWNTO 0); + VARIABLE index : integer := 0; + BEGIN + FOR i IN arg1'reverse_range LOOP + CASE arg1(i) IS + WHEN '0' => bin := (OTHERS => '0'); + WHEN '1' => bin := (0 => '1', OTHERS => '0'); + WHEN '2' => bin := (1 => '1', OTHERS => '0'); + WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0'); + WHEN '4' => bin := (2 => '1', OTHERS => '0'); + WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0'); + WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0'); + WHEN '7' => bin := (3 => '0', OTHERS => '1'); + WHEN '8' => bin := (3 => '1', OTHERS => '0'); + WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0'); + WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1'); + WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1'); + WHEN 'B' => bin := (2 => '0', OTHERS => '1'); + WHEN 'b' => bin := (2 => '0', OTHERS => '1'); + WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1'); + WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1'); + WHEN 'D' => bin := (1 => '0', OTHERS => '1'); + WHEN 'd' => bin := (1 => '0', OTHERS => '1'); + WHEN 'E' => bin := (0 => '0', OTHERS => '1'); + WHEN 'e' => bin := (0 => '0', OTHERS => '1'); + WHEN 'F' => bin := (OTHERS => '1'); + WHEN 'f' => bin := (OTHERS => '1'); + WHEN OTHERS => + FOR j IN 0 TO 3 LOOP + bin(j) := 'X'; + END LOOP; + END CASE; + FOR j IN 0 TO 3 LOOP + IF (index*4)+j < size THEN + result((index*4)+j) := bin(j); + END IF; + END LOOP; + index := index + 1; + END LOOP; + RETURN result; + END hexstr_to_std_logic_vec; + +END fifo_4k_2clk_pkg; diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd new file mode 100755 index 000000000..bed58d88e --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd @@ -0,0 +1,100 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_rng.vhd +-- +-- Description: +-- Used for generation of pseudo random numbers +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +ENTITY fifo_4k_2clk_rng IS + GENERIC ( + WIDTH : integer := 8; + SEED : integer := 3); + PORT ( + CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + ENABLE : IN STD_LOGIC; + RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0)); +END ENTITY; + +ARCHITECTURE rg_arch OF fifo_4k_2clk_rng IS +BEGIN +PROCESS (CLK,RESET) + VARIABLE rand_temp : STD_LOGIC_VECTOR(width-1 DOWNTO 0):=conv_std_logic_vector(SEED,width); + VARIABLE temp : STD_LOGIC := '0'; +BEGIN + IF(RESET = '1') THEN + rand_temp := conv_std_logic_vector(SEED,width); + temp := '0'; + ELSIF (CLK'event AND CLK = '1') THEN + IF (ENABLE = '1') THEN + temp := rand_temp(width-1) xnor rand_temp(width-3) xnor rand_temp(width-4) xnor rand_temp(width-5); + rand_temp(width-1 DOWNTO 1) := rand_temp(width-2 DOWNTO 0); + rand_temp(0) := temp; + END IF; + END IF; + + RANDOM_NUM <= rand_temp; + +END PROCESS; + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd new file mode 100755 index 000000000..4149735c5 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd @@ -0,0 +1,300 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_synth.vhd +-- +-- Description: +-- This is the demo testbench for fifo_generator core. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + + +LIBRARY ieee; +USE ieee.STD_LOGIC_1164.ALL; +USE ieee.STD_LOGIC_unsigned.ALL; +USE IEEE.STD_LOGIC_arith.ALL; +USE ieee.numeric_std.ALL; +USE ieee.STD_LOGIC_misc.ALL; + +LIBRARY std; +USE std.textio.ALL; + +LIBRARY work; +USE work.fifo_4k_2clk_pkg.ALL; + +-------------------------------------------------------------------------------- +-- Entity Declaration +-------------------------------------------------------------------------------- +ENTITY fifo_4k_2clk_synth IS + GENERIC( + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 0; + TB_SEED : INTEGER := 1 + ); + PORT( + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); +END ENTITY; + +ARCHITECTURE simulation_arch OF fifo_4k_2clk_synth IS + + -- FIFO interface signal declarations + SIGNAL wr_clk_i : STD_LOGIC; + SIGNAL rd_clk_i : STD_LOGIC; + SIGNAL wr_data_count : STD_LOGIC_VECTOR(10-1 DOWNTO 0); + SIGNAL rd_data_count : STD_LOGIC_VECTOR(10-1 DOWNTO 0); + SIGNAL rst : STD_LOGIC; + SIGNAL wr_en : STD_LOGIC; + SIGNAL rd_en : STD_LOGIC; + SIGNAL din : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL dout : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL full : STD_LOGIC; + SIGNAL empty : STD_LOGIC; + -- TB Signals + SIGNAL wr_data : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL dout_i : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL wr_en_i : STD_LOGIC := '0'; + SIGNAL rd_en_i : STD_LOGIC := '0'; + SIGNAL full_i : STD_LOGIC := '0'; + SIGNAL empty_i : STD_LOGIC := '0'; + SIGNAL almost_full_i : STD_LOGIC := '0'; + SIGNAL almost_empty_i : STD_LOGIC := '0'; + SIGNAL prc_we_i : STD_LOGIC := '0'; + SIGNAL prc_re_i : STD_LOGIC := '0'; + SIGNAL dout_chk_i : STD_LOGIC := '0'; + SIGNAL rst_int_rd : STD_LOGIC := '0'; + SIGNAL rst_int_wr : STD_LOGIC := '0'; + SIGNAL rst_s_wr1 : STD_LOGIC := '0'; + SIGNAL rst_s_wr2 : STD_LOGIC := '0'; + SIGNAL rst_gen_rd : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); + SIGNAL rst_s_wr3 : STD_LOGIC := '0'; + SIGNAL rst_s_rd : STD_LOGIC := '0'; + SIGNAL reset_en : STD_LOGIC := '0'; + SIGNAL rst_async_wr1 : STD_LOGIC := '0'; + SIGNAL rst_async_wr2 : STD_LOGIC := '0'; + SIGNAL rst_async_wr3 : STD_LOGIC := '0'; + SIGNAL rst_async_rd1 : STD_LOGIC := '0'; + SIGNAL rst_async_rd2 : STD_LOGIC := '0'; + SIGNAL rst_async_rd3 : STD_LOGIC := '0'; + + + BEGIN + + ---- Reset generation logic ----- + rst_int_wr <= rst_async_wr3 OR rst_s_wr3; + rst_int_rd <= rst_async_rd3 OR rst_s_rd; + + --Testbench reset synchronization + PROCESS(rd_clk_i,RESET) + BEGIN + IF(RESET = '1') THEN + rst_async_rd1 <= '1'; + rst_async_rd2 <= '1'; + rst_async_rd3 <= '1'; + ELSIF(rd_clk_i'event AND rd_clk_i='1') THEN + rst_async_rd1 <= RESET; + rst_async_rd2 <= rst_async_rd1; + rst_async_rd3 <= rst_async_rd2; + END IF; + END PROCESS; + + PROCESS(wr_clk_i,RESET) + BEGIN + IF(RESET = '1') THEN + rst_async_wr1 <= '1'; + rst_async_wr2 <= '1'; + rst_async_wr3 <= '1'; + ELSIF(wr_clk_i'event AND wr_clk_i='1') THEN + rst_async_wr1 <= RESET; + rst_async_wr2 <= rst_async_wr1; + rst_async_wr3 <= rst_async_wr2; + END IF; + END PROCESS; + + --Soft reset for core and testbench + PROCESS(rd_clk_i) + BEGIN + IF(rd_clk_i'event AND rd_clk_i='1') THEN + rst_gen_rd <= rst_gen_rd + "1"; + IF(reset_en = '1' AND AND_REDUCE(rst_gen_rd) = '1') THEN + rst_s_rd <= '1'; + assert false + report "Reset applied..Memory Collision checks are not valid" + severity note; + ELSE + IF(AND_REDUCE(rst_gen_rd) = '1' AND rst_s_rd = '1') THEN + rst_s_rd <= '0'; + END IF; + END IF; + END IF; + END PROCESS; + + PROCESS(wr_clk_i) + BEGIN + IF(wr_clk_i'event AND wr_clk_i='1') THEN + rst_s_wr1 <= rst_s_rd; + rst_s_wr2 <= rst_s_wr1; + rst_s_wr3 <= rst_s_wr2; + IF(rst_s_wr3 = '1' AND rst_s_wr2 = '0') THEN + assert false + report "Reset removed..Memory Collision checks are valid" + severity note; + END IF; + END IF; + END PROCESS; + ------------------ + + ---- Clock buffers for testbench ---- + wr_clk_i <= WR_CLK; + rd_clk_i <= RD_CLK; + ------------------ + + rst <= RESET OR rst_s_rd AFTER 12 ns; + din <= wr_data; + dout_i <= dout; + wr_en <= wr_en_i; + rd_en <= rd_en_i; + full_i <= full; + empty_i <= empty; + + fg_dg_nv: fifo_4k_2clk_dgen + GENERIC MAP ( + C_DIN_WIDTH => 72, + C_DOUT_WIDTH => 72, + TB_SEED => TB_SEED, + C_CH_TYPE => 0 + ) + PORT MAP ( -- Write Port + RESET => rst_int_wr, + WR_CLK => wr_clk_i, + PRC_WR_EN => prc_we_i, + FULL => full_i, + WR_EN => wr_en_i, + WR_DATA => wr_data + ); + + fg_dv_nv: fifo_4k_2clk_dverif + GENERIC MAP ( + C_DOUT_WIDTH => 72, + C_DIN_WIDTH => 72, + C_USE_EMBEDDED_REG => 0, + TB_SEED => TB_SEED, + C_CH_TYPE => 0 + ) + PORT MAP( + RESET => rst_int_rd, + RD_CLK => rd_clk_i, + PRC_RD_EN => prc_re_i, + RD_EN => rd_en_i, + EMPTY => empty_i, + DATA_OUT => dout_i, + DOUT_CHK => dout_chk_i + ); + + fg_pc_nv: fifo_4k_2clk_pctrl + GENERIC MAP ( + AXI_CHANNEL => "Native", + C_APPLICATION_TYPE => 0, + C_DOUT_WIDTH => 72, + C_DIN_WIDTH => 72, + C_WR_PNTR_WIDTH => 9, + C_RD_PNTR_WIDTH => 9, + C_CH_TYPE => 0, + FREEZEON_ERROR => FREEZEON_ERROR, + TB_SEED => TB_SEED, + TB_STOP_CNT => TB_STOP_CNT + ) + PORT MAP( + RESET_WR => rst_int_wr, + RESET_RD => rst_int_rd, + RESET_EN => reset_en, + WR_CLK => wr_clk_i, + RD_CLK => rd_clk_i, + PRC_WR_EN => prc_we_i, + PRC_RD_EN => prc_re_i, + FULL => full_i, + ALMOST_FULL => almost_full_i, + ALMOST_EMPTY => almost_empty_i, + DOUT_CHK => dout_chk_i, + EMPTY => empty_i, + DATA_IN => wr_data, + DATA_OUT => dout, + SIM_DONE => SIM_DONE, + STATUS => STATUS + ); + + + + + + fifo_4k_2clk_inst : fifo_4k_2clk_exdes + PORT MAP ( + WR_CLK => wr_clk_i, + RD_CLK => rd_clk_i, + WR_DATA_COUNT => wr_data_count, + RD_DATA_COUNT => rd_data_count, + RST => rst, + WR_EN => wr_en, + RD_EN => rd_en, + DIN => din, + DOUT => dout, + FULL => full, + EMPTY => empty); + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd new file mode 100755 index 000000000..51d699e21 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd @@ -0,0 +1,208 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_tb.vhd +-- +-- Description: +-- This is the demo testbench top file for fifo_generator core. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +LIBRARY std; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.ALL; +USE IEEE.std_logic_arith.ALL; +USE IEEE.std_logic_misc.ALL; +USE ieee.numeric_std.ALL; +USE ieee.std_logic_textio.ALL; +USE std.textio.ALL; + +LIBRARY work; +USE work.fifo_4k_2clk_pkg.ALL; + +ENTITY fifo_4k_2clk_tb IS +END ENTITY; + + +ARCHITECTURE fifo_4k_2clk_arch OF fifo_4k_2clk_tb IS + SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000"; + SIGNAL wr_clk : STD_LOGIC; + SIGNAL rd_clk : STD_LOGIC; + SIGNAL reset : STD_LOGIC; + SIGNAL sim_done : STD_LOGIC := '0'; + SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); + -- Write and Read clock periods + CONSTANT wr_clk_period_by_2 : TIME := 200 ns; + CONSTANT rd_clk_period_by_2 : TIME := 100 ns; + -- Procedures to display strings + PROCEDURE disp_str(CONSTANT str:IN STRING) IS + variable dp_l : line := null; + BEGIN + write(dp_l,str); + writeline(output,dp_l); + END PROCEDURE; + + PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS + variable dp_lx : line := null; + BEGIN + hwrite(dp_lx,hex); + writeline(output,dp_lx); + END PROCEDURE; + +BEGIN + + -- Generation of clock + + PROCESS BEGIN + WAIT FOR 400 ns; -- Wait for global reset + WHILE 1 = 1 LOOP + wr_clk <= '0'; + WAIT FOR wr_clk_period_by_2; + wr_clk <= '1'; + WAIT FOR wr_clk_period_by_2; + END LOOP; + END PROCESS; + + PROCESS BEGIN + WAIT FOR 200 ns;-- Wait for global reset + WHILE 1 = 1 LOOP + rd_clk <= '0'; + WAIT FOR rd_clk_period_by_2; + rd_clk <= '1'; + WAIT FOR rd_clk_period_by_2; + END LOOP; + END PROCESS; + + -- Generation of Reset + + PROCESS BEGIN + reset <= '1'; + WAIT FOR 4200 ns; + reset <= '0'; + WAIT; + END PROCESS; + + + -- Error message printing based on STATUS signal from fifo_4k_2clk_synth + + PROCESS(status) + BEGIN + IF(status /= "0" AND status /= "1") THEN + disp_str("STATUS:"); + disp_hex(status); + END IF; + + IF(status(7) = '1') THEN + assert false + report "Data mismatch found" + severity error; + END IF; + + IF(status(1) = '1') THEN + END IF; + + IF(status(5) = '1') THEN + assert false + report "Empty flag Mismatch/timeout" + severity error; + END IF; + + IF(status(6) = '1') THEN + assert false + report "Full Flag Mismatch/timeout" + severity error; + END IF; + END PROCESS; + + + PROCESS + BEGIN + wait until sim_done = '1'; + IF(status /= "0" AND status /= "1") THEN + assert false + report "Simulation failed" + severity failure; + ELSE + assert false + report "Test Completed Successfully" + severity failure; + END IF; + END PROCESS; + + PROCESS + BEGIN + wait for 400 ms; + assert false + report "Test bench timed out" + severity failure; + END PROCESS; + + -- Instance of fifo_4k_2clk_synth + + fifo_4k_2clk_synth_inst:fifo_4k_2clk_synth + GENERIC MAP( + FREEZEON_ERROR => 0, + TB_STOP_CNT => 2, + TB_SEED => 76 + ) + PORT MAP( + WR_CLK => wr_clk, + RD_CLK => rd_clk, + RESET => reset, + SIM_DONE => sim_done, + STATUS => status + ); + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.bat b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.bat new file mode 100755 index 000000000..3d0783055 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.bat @@ -0,0 +1,63 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ..\\..\\..\\fifo_4k_2clk.v +vhpcomp -work work ..\\..\\example_design\\fifo_4k_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vhpcomp -work work ..\\fifo_4k_2clk_pkg.vhd +vhpcomp -work work ..\\fifo_4k_2clk_rng.vhd +vhpcomp -work work ..\\fifo_4k_2clk_dgen.vhd +vhpcomp -work work ..\\fifo_4k_2clk_dverif.vhd +vhpcomp -work work ..\\fifo_4k_2clk_pctrl.vhd +vhpcomp -work work ..\\fifo_4k_2clk_synth.vhd +vhpcomp -work work ..\\fifo_4k_2clk_tb.vhd + +vlogcomp -work work $XILINX\\verilog\\src\\glbl.v +fuse work.fifo_4k_2clk_tb work.glbl -L xilinxcorelib_ver -L unisims_ver -o fifo_4k_2clk_tb.exe + +.\\fifo_4k_2clk_tb.exe -gui -tclbatch .\\wave_isim.tcl diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.sh b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.sh new file mode 100755 index 000000000..c3abd5a51 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.sh @@ -0,0 +1,65 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ../../../fifo_4k_2clk.v +vhpcomp -work work ../../example_design/fifo_4k_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vhpcomp -work work ../fifo_4k_2clk_pkg.vhd +vhpcomp -work work ../fifo_4k_2clk_rng.vhd +vhpcomp -work work ../fifo_4k_2clk_dgen.vhd +vhpcomp -work work ../fifo_4k_2clk_dverif.vhd +vhpcomp -work work ../fifo_4k_2clk_pctrl.vhd +vhpcomp -work work ../fifo_4k_2clk_synth.vhd +vhpcomp -work work ../fifo_4k_2clk_tb.vhd + +vlogcomp -work work $XILINX/verilog/src/glbl.v +fuse work.fifo_4k_2clk_tb work.glbl -L xilinxcorelib_ver -L unisims_ver -o fifo_4k_2clk_tb.exe + +./fifo_4k_2clk_tb.exe -gui -tclbatch ./wave_isim.tcl diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.bat b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.bat new file mode 100755 index 000000000..35375ce20 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.bat @@ -0,0 +1,47 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.do b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.do new file mode 100755 index 000000000..af53abefb --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.do @@ -0,0 +1,74 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vlib work +vmap work work + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlog -work work ../../../fifo_4k_2clk.v +vcom -work work ../../example_design/fifo_4k_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vcom -work work ../fifo_4k_2clk_pkg.vhd +vcom -work work ../fifo_4k_2clk_rng.vhd +vcom -work work ../fifo_4k_2clk_dgen.vhd +vcom -work work ../fifo_4k_2clk_dverif.vhd +vcom -work work ../fifo_4k_2clk_pctrl.vhd +vcom -work work ../fifo_4k_2clk_synth.vhd +vcom -work work ../fifo_4k_2clk_tb.vhd + +vlog -work work $env(XILINX)/verilog/src/glbl.v +vsim -t ps -voptargs="+acc" -L XilinxCoreLib_ver -L unisims_ver glbl work.fifo_4k_2clk_tb + +add log -r /* +do wave_mti.do +#Ignore integer warnings at time 0 +set StdArithNoWarnings 1 +run 0 +set StdArithNoWarnings 0 + +run -all diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.sh b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.sh new file mode 100755 index 000000000..edb1b0dd9 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.sh @@ -0,0 +1,49 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_ncsim.sh b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_ncsim.sh new file mode 100755 index 000000000..0d3376452 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_ncsim.sh @@ -0,0 +1,69 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +mkdir work + +echo "Compiling Core Verilog UNISIM/Behavioral model" +ncvlog -work work ../../../fifo_4k_2clk.v +ncvhdl -v93 -work work ../../example_design/fifo_4k_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +ncvhdl -v93 -work work ../fifo_4k_2clk_pkg.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_rng.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_dgen.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_dverif.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_pctrl.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_synth.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_tb.vhd + +echo "Elaborating Design" +ncvlog -work work $XILINX/verilog/src/glbl.v +ncelab -access +rwc glbl work.fifo_4k_2clk_tb + +echo "Simulating Design" +ncsim -gui -input @"simvision -input wave_ncsim.sv" work.fifo_4k_2clk_tb + diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_vcs.sh b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_vcs.sh new file mode 100755 index 000000000..8c26af20c --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_vcs.sh @@ -0,0 +1,69 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +rm -rf simv* csrc DVEfiles AN.DB + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogan +v2k ../../../fifo_4k_2clk.v +vhdlan ../../example_design/fifo_4k_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vhdlan ../fifo_4k_2clk_pkg.vhd +vhdlan ../fifo_4k_2clk_rng.vhd +vhdlan ../fifo_4k_2clk_dgen.vhd +vhdlan ../fifo_4k_2clk_dverif.vhd +vhdlan ../fifo_4k_2clk_pctrl.vhd +vhdlan ../fifo_4k_2clk_synth.vhd +vhdlan ../fifo_4k_2clk_tb.vhd + +echo "Elaborating Design" +vlogan +v2k $XILINX/verilog/src/glbl.v +vcs -time_res 1ps +vcs+lic+wait -debug fifo_4k_2clk_tb glbl + +echo "Simulating Design" +./simv -ucli -i ucli_commands.key +dve -session vcs_session.tcl diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/ucli_commands.key b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/ucli_commands.key new file mode 100755 index 000000000..9c95a2ade --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/ucli_commands.key @@ -0,0 +1,4 @@ +dump -file fifo_4k_2clk.vpd -type VPD +dump -add fifo_4k_2clk_tb +run +quit diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/vcs_session.tcl b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/vcs_session.tcl new file mode 100755 index 000000000..b9ef9837a --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/vcs_session.tcl @@ -0,0 +1,77 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: vcs_session.tcl +# +# Description: +# This is the VCS wave form file. +# +#-------------------------------------------------------------------------------- +if { ![gui_is_db_opened -db {fifo_4k_2clk.vpd}] } { + gui_open_db -design V1 -file fifo_4k_2clk.vpd -nosource +} +gui_set_precision 1ps +gui_set_time_units 1ps + + +gui_open_window Wave +gui_sg_create fifo_4k_2clk_Group +gui_list_add_group -id Wave.1 {fifo_4k_2clk_Group} + +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +gui_sg_addsignal -group fifo_4k_2clk_Group WRITE -divider +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +gui_sg_addsignal -group fifo_4k_2clk_Group READ -divider +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +gui_zoom -window Wave.1 -full diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/wave_isim.tcl b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/wave_isim.tcl new file mode 100755 index 000000000..10be1a965 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/wave_isim.tcl @@ -0,0 +1,68 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_isim.tcl +# +# Description: +# This is the ISIM wave form file. +# +#-------------------------------------------------------------------------------- +wcfg new +isim set radix hex +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +run all +quit + diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/wave_mti.do b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/wave_mti.do new file mode 100755 index 000000000..adc4cb8d7 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/wave_mti.do @@ -0,0 +1,88 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +# Filename: wave_mti.do +# +# Description: +# This is the modelsim wave form file. +# +#-------------------------------------------------------------------------------- + +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +add wave -noupdate -divider WRITE +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +add wave -noupdate -radix hexadecimal /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/DIN +add wave -noupdate -divider READ +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +add wave -noupdate -radix hexadecimal /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/DOUT + +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {2164886 ps} 0} +configure wave -namecolwidth 197 +configure wave -valuecolwidth 106 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {0 ps} {9464063 ps} diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/wave_ncsim.sv b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/wave_ncsim.sv new file mode 100755 index 000000000..51819bad9 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/wave_ncsim.sv @@ -0,0 +1,70 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_ncsim.sv +# +# Description: +# This is the IUS wave form file. +# +#-------------------------------------------------------------------------------- + +window new WaveWindow -name "Waves for FIFO Generator Example Design" +waveform using "Waves for FIFO Generator Example Design" + +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +waveform add -label WRITE +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +waveform add -label READ +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +console submit -using simulator -wait no "run" diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.bat b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.bat new file mode 100755 index 000000000..a6f8ce91f --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.bat @@ -0,0 +1,61 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ..\\..\\implement\\results\\routed.v + +echo "Compiling Test Bench Files" +vhpcomp -work work ..\\fifo_4k_2clk_pkg.vhd +vhpcomp -work work ..\\fifo_4k_2clk_rng.vhd +vhpcomp -work work ..\\fifo_4k_2clk_dgen.vhd +vhpcomp -work work ..\\fifo_4k_2clk_dverif.vhd +vhpcomp -work work ..\\fifo_4k_2clk_pctrl.vhd +vhpcomp -work work ..\\fifo_4k_2clk_synth.vhd +vhpcomp -work work ..\\fifo_4k_2clk_tb.vhd + +fuse work.fifo_4k_2clk_tb work.glbl -L simprims_ver -o fifo_4k_2clk_tb.exe + +.\\fifo_4k_2clk_tb.exe -sdfmax /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst=..\\..\\implement\\results\\routed.sdf -gui -tclbatch .\\wave_isim.tcl diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.sh b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.sh new file mode 100755 index 000000000..f5ad36b7e --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.sh @@ -0,0 +1,63 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +vhpcomp -work work ../fifo_4k_2clk_pkg.vhd +vhpcomp -work work ../fifo_4k_2clk_rng.vhd +vhpcomp -work work ../fifo_4k_2clk_dgen.vhd +vhpcomp -work work ../fifo_4k_2clk_dverif.vhd +vhpcomp -work work ../fifo_4k_2clk_pctrl.vhd +vhpcomp -work work ../fifo_4k_2clk_synth.vhd +vhpcomp -work work ../fifo_4k_2clk_tb.vhd + +fuse work.fifo_4k_2clk_tb work.glbl -L simprims_ver -o fifo_4k_2clk_tb.exe + +./fifo_4k_2clk_tb.exe -sdfmax /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst=../../implement/results/routed.sdf -gui -tclbatch ./wave_isim.tcl diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.bat b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.bat new file mode 100755 index 000000000..35375ce20 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.bat @@ -0,0 +1,47 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.do b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.do new file mode 100755 index 000000000..74e930a13 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.do @@ -0,0 +1,72 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vlib work +vmap work work + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlog -work work ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +vcom -work work ../fifo_4k_2clk_pkg.vhd +vcom -work work ../fifo_4k_2clk_rng.vhd +vcom -work work ../fifo_4k_2clk_dgen.vhd +vcom -work work ../fifo_4k_2clk_dverif.vhd +vcom -work work ../fifo_4k_2clk_pctrl.vhd +vcom -work work ../fifo_4k_2clk_synth.vhd +vcom -work work ../fifo_4k_2clk_tb.vhd + +vsim -t ps -voptargs="+acc" +transport_int_delays -L simprims_ver glbl -sdfmax /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst=../../implement/results/routed.sdf work.fifo_4k_2clk_tb + +add log -r /* +do wave_mti.do +#Ignore integer warnings at time 0 +set StdArithNoWarnings 1 +run 0 +set StdArithNoWarnings 0 + +run -all diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.sh b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.sh new file mode 100755 index 000000000..edb1b0dd9 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.sh @@ -0,0 +1,49 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_ncsim.sh b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_ncsim.sh new file mode 100755 index 000000000..a1967adcf --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_ncsim.sh @@ -0,0 +1,73 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +mkdir work +echo "Compiling Core Verilog UNISIM/Behavioral model" +ncvlog -work work ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +ncvhdl -v93 -work work ../fifo_4k_2clk_pkg.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_rng.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_dgen.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_dverif.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_pctrl.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_synth.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_tb.vhd + +echo "Compiling SDF file" +ncsdfc ../../implement/results/routed.sdf -output ./routed.sdf.X + +echo "Generating SDF command file" +echo 'COMPILED_SDF_FILE = "routed.sdf.X",' > sdf.cmd +echo 'SCOPE = :fifo_4k_2clk_synth_inst:fifo_4k_2clk_inst,' >> sdf.cmd +echo 'MTM_CONTROL = "MAXIMUM";' >> sdf.cmd + +echo "Elaborating Design" +ncelab -access +rwc glbl -sdf_cmd_file sdf.cmd work.fifo_4k_2clk_tb + +echo "Simulating Design" +ncsim -gui -input @"simvision -input wave_ncsim.sv" work.fifo_4k_2clk_tb diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_vcs.sh b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_vcs.sh new file mode 100755 index 000000000..f62f540be --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_vcs.sh @@ -0,0 +1,67 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +rm -rf simv* csrc DVEfiles AN.DB + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogan +v2k ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +vhdlan ../fifo_4k_2clk_pkg.vhd +vhdlan ../fifo_4k_2clk_rng.vhd +vhdlan ../fifo_4k_2clk_dgen.vhd +vhdlan ../fifo_4k_2clk_dverif.vhd +vhdlan ../fifo_4k_2clk_pctrl.vhd +vhdlan ../fifo_4k_2clk_synth.vhd +vhdlan ../fifo_4k_2clk_tb.vhd + +echo "Elaborating Design" +vcs -time_res 1ps +neg_tchk -sdf max:/fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst:../../implement/results/routed.sdf +vcs+lic+wait -debug fifo_4k_2clk_tb glbl + +echo "Simulating Design" +./simv -ucli -i ucli_commands.key +dve -session vcs_session.tcl diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/ucli_commands.key b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/ucli_commands.key new file mode 100755 index 000000000..9c95a2ade --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/ucli_commands.key @@ -0,0 +1,4 @@ +dump -file fifo_4k_2clk.vpd -type VPD +dump -add fifo_4k_2clk_tb +run +quit diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/vcs_session.tcl b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/vcs_session.tcl new file mode 100755 index 000000000..28ebc1163 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/vcs_session.tcl @@ -0,0 +1,76 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: vcs_session.tcl +# +# Description: +# This is the VCS wave form file. +# +#-------------------------------------------------------------------------------- +if { ![gui_is_db_opened -db {fifo_4k_2clk.vpd}] } { + gui_open_db -design V1 -file fifo_4k_2clk.vpd -nosource +} +gui_set_precision 1ps +gui_set_time_units 1ps + +gui_open_window Wave +gui_sg_create fifo_4k_2clk_Group +gui_list_add_group -id Wave.1 {fifo_4k_2clk_Group} + +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +gui_sg_addsignal -group fifo_4k_2clk_Group WRITE -divider +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +gui_sg_addsignal -group fifo_4k_2clk_Group READ -divider +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +gui_zoom -window Wave.1 -full diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/wave_isim.tcl b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/wave_isim.tcl new file mode 100755 index 000000000..10be1a965 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/wave_isim.tcl @@ -0,0 +1,68 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_isim.tcl +# +# Description: +# This is the ISIM wave form file. +# +#-------------------------------------------------------------------------------- +wcfg new +isim set radix hex +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +run all +quit + diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/wave_mti.do b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/wave_mti.do new file mode 100755 index 000000000..adc4cb8d7 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/wave_mti.do @@ -0,0 +1,88 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +# Filename: wave_mti.do +# +# Description: +# This is the modelsim wave form file. +# +#-------------------------------------------------------------------------------- + +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +add wave -noupdate -divider WRITE +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +add wave -noupdate -radix hexadecimal /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/DIN +add wave -noupdate -divider READ +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +add wave -noupdate -radix hexadecimal /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/DOUT + +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {2164886 ps} 0} +configure wave -namecolwidth 197 +configure wave -valuecolwidth 106 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {0 ps} {9464063 ps} diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/wave_ncsim.sv b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/wave_ncsim.sv new file mode 100755 index 000000000..51819bad9 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/wave_ncsim.sv @@ -0,0 +1,70 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_ncsim.sv +# +# Description: +# This is the IUS wave form file. +# +#-------------------------------------------------------------------------------- + +window new WaveWindow -name "Waves for FIFO Generator Example Design" +waveform using "Waves for FIFO Generator Example Design" + +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +waveform add -label WRITE +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +waveform add -label READ +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +console submit -using simulator -wait no "run" diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk_flist.txt b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk_flist.txt new file mode 100644 index 000000000..e33a4de1c --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk_flist.txt @@ -0,0 +1,56 @@ +# Output products list for +fifo_4k_2clk/doc/fifo_generator_v9_3_readme.txt +fifo_4k_2clk/doc/fifo_generator_v9_3_vinfo.html +fifo_4k_2clk/doc/pg057-fifo-generator.pdf +fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf +fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd +fifo_4k_2clk/fifo_generator_v9_3_readme.txt +fifo_4k_2clk/implement/implement.bat +fifo_4k_2clk/implement/implement.sh +fifo_4k_2clk/implement/implement_synplify.bat +fifo_4k_2clk/implement/implement_synplify.sh +fifo_4k_2clk/implement/planAhead_ise.bat +fifo_4k_2clk/implement/planAhead_ise.sh +fifo_4k_2clk/implement/planAhead_ise.tcl +fifo_4k_2clk/implement/xst.prj +fifo_4k_2clk/implement/xst.scr +fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd +fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd +fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd +fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd +fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd +fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd +fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd +fifo_4k_2clk/simulation/functional/simulate_isim.bat +fifo_4k_2clk/simulation/functional/simulate_isim.sh +fifo_4k_2clk/simulation/functional/simulate_mti.bat +fifo_4k_2clk/simulation/functional/simulate_mti.do +fifo_4k_2clk/simulation/functional/simulate_mti.sh +fifo_4k_2clk/simulation/functional/simulate_ncsim.sh +fifo_4k_2clk/simulation/functional/simulate_vcs.sh +fifo_4k_2clk/simulation/functional/ucli_commands.key +fifo_4k_2clk/simulation/functional/vcs_session.tcl +fifo_4k_2clk/simulation/functional/wave_isim.tcl +fifo_4k_2clk/simulation/functional/wave_mti.do +fifo_4k_2clk/simulation/functional/wave_ncsim.sv +fifo_4k_2clk/simulation/timing/simulate_isim.bat +fifo_4k_2clk/simulation/timing/simulate_isim.sh +fifo_4k_2clk/simulation/timing/simulate_mti.bat +fifo_4k_2clk/simulation/timing/simulate_mti.do +fifo_4k_2clk/simulation/timing/simulate_mti.sh +fifo_4k_2clk/simulation/timing/simulate_ncsim.sh +fifo_4k_2clk/simulation/timing/simulate_vcs.sh +fifo_4k_2clk/simulation/timing/ucli_commands.key +fifo_4k_2clk/simulation/timing/vcs_session.tcl +fifo_4k_2clk/simulation/timing/wave_isim.tcl +fifo_4k_2clk/simulation/timing/wave_mti.do +fifo_4k_2clk/simulation/timing/wave_ncsim.sv +fifo_4k_2clk.asy +fifo_4k_2clk.gise +fifo_4k_2clk.ngc +fifo_4k_2clk.v +fifo_4k_2clk.veo +fifo_4k_2clk.xco +fifo_4k_2clk.xise +fifo_4k_2clk_flist.txt +fifo_4k_2clk_xmdf.tcl diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk_xmdf.tcl b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk_xmdf.tcl new file mode 100644 index 000000000..bdc5c355d --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk_xmdf.tcl @@ -0,0 +1,251 @@ +# The package naming convention is _xmdf +package provide fifo_4k_2clk_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::fifo_4k_2clk_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::fifo_4k_2clk_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name fifo_4k_2clk +} +# ::fifo_4k_2clk_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::fifo_4k_2clk_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/doc/fifo_generator_v9_3_readme.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/doc/fifo_generator_v9_3_vinfo.html +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/doc/pg057-fifo-generator.pdf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/fifo_generator_v9_3_readme.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/implement.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/implement.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/implement_synplify.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/implement_synplify.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/planAhead_ise.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/planAhead_ise.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/planAhead_ise.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/xst.prj +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/xst.scr +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_isim.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_isim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_mti.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_mti.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_ncsim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_vcs.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/ucli_commands.key +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/vcs_session.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/wave_isim.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/wave_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/wave_ncsim.sv +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_isim.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_isim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_mti.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_mti.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_ncsim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_vcs.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/ucli_commands.key +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/vcs_session.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/wave_isim.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/wave_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/wave_ncsim.sv +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module fifo_4k_2clk +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk.asy b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.asy new file mode 100644 index 000000000..1c03599f3 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.asy @@ -0,0 +1,49 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 fifo_short_2clk +RECTANGLE Normal 32 32 800 4064 +LINE Normal 0 112 32 112 +PIN 0 112 LEFT 36 +PINATTR PinName rst +PINATTR Polarity IN +LINE Normal 0 208 32 208 +PIN 0 208 LEFT 36 +PINATTR PinName wr_clk +PINATTR Polarity IN +LINE Wide 0 240 32 240 +PIN 0 240 LEFT 36 +PINATTR PinName din[71:0] +PINATTR Polarity IN +LINE Normal 0 272 32 272 +PIN 0 272 LEFT 36 +PINATTR PinName wr_en +PINATTR Polarity IN +LINE Normal 0 464 32 464 +PIN 0 464 LEFT 36 +PINATTR PinName full +PINATTR Polarity OUT +LINE Wide 0 624 32 624 +PIN 0 624 LEFT 36 +PINATTR PinName wr_data_count[5:0] +PINATTR Polarity OUT +LINE Normal 832 240 800 240 +PIN 832 240 RIGHT 36 +PINATTR PinName rd_clk +PINATTR Polarity IN +LINE Wide 832 272 800 272 +PIN 832 272 RIGHT 36 +PINATTR PinName dout[71:0] +PINATTR Polarity OUT +LINE Normal 832 304 800 304 +PIN 832 304 RIGHT 36 +PINATTR PinName rd_en +PINATTR Polarity IN +LINE Normal 832 496 800 496 +PIN 832 496 RIGHT 36 +PINATTR PinName empty +PINATTR Polarity OUT +LINE Wide 832 656 800 656 +PIN 832 656 RIGHT 36 +PINATTR PinName rd_data_count[5:0] +PINATTR Polarity OUT + diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk.ncf b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.ncf new file mode 100644 index 000000000..e69de29bb diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk.ngc b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.ngc new file mode 100644 index 000000000..ec6b0ff10 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$5a044<,[o}e~g`n;"2*73>(-80!?012355=78123<=6?892;<56682:34=>7092;4=6?09;;7=6789:;<=6789:;<<5>8:3305668=;0>95=32124>4=AGZ^X7JFA=394;773;0BB][[:EKA84<768:0>7GAPTV9@LE;93:5==5=:HLSQQ1:09KPRW]]0OCL2>:1<25>4=G\^[YY4KOC>2>5869281CXZ_UU8GKF:6294:=6<5OTVSQQ;8=:?54234567991>9LO69746=D0>I???78;K5028123A?OLM<<>:147657=22@D[YY4NDEPB81<7688097GAPTV9EABUJ5>1<3?=;48JJUSS2HNO^N2;:1<26>3=AGZ^X7OKDSF?0?69991>M;ON5876100FIH3:7;:4681@<>0>>?32:4:468;55g=12F__\XZ5re]geqgXkfex1;50?3a?312:4B0>0FIH20:NMLCBA@a>0tdq=>jji;85/05>16:2=96D@_UU8gmk:093:5=9582;MVPUSS2me~x19>:1<24>1>MOLMJK691123457731:;<=>?0123456788:04=>7812;<56?812;==570521446709>;>=?;;90BE46<0?;;<=>?01234567<22N4L?4999:456780:?74>?9028=56>89:;<=>?912:0>?4>J805;??;84523011?<=:;86999:23?11070920M886687B6>G1<2KJ:L64A@CB230F02KOH_O30?:8EABUI5;546OKDSC?6;>18:CG@WD;9720MIJ]B=0=<>GCL[H7?3o4AEFQF92=8720MIJ]B=6=<>GCL[I7<364AEFQG97902KOH_M32?:8EABUK595m6OKDSA?0?6902KOH_M34?:8EABUL5:546OKDSF?5;>GBIHK9ML74AR[MGZTBO;1I<55M4D3;37B?3K_XSD@IO09@<>E1KJIHON<4CD31?FNBKBUGENKASD]W]UC33JF@<;5LLJ3;43=DDB8<<85LLJ0[<>EKC;R:4=;4CMI:40=DDBK:;6MCK@3G62=DDBK:H994CMIB5A>23JF@N<;4CMI@50=DDBN:96MCKET`?FJLL_UOE[GKE49@HN@_02IGGKV>8118GIT>3JEFADZ[EE37?FIUMVMNBH\NTHMM[LHAG>1H^HO[EE38@7=CN?1OEL2?>99GMD:6294=7IGN<0<5?AOE49437IGM<083:3=CAK6:2;5KIB>3:==CAJ6:6=09;EK@84813MCO0=07;EKG84<76?1OEI2>>79GKD:7611OCL2>:1<5?AIF484=7IAM<1<;?AIE480;2;5KOC>2:3=CGJ6;255KOB>2>5813MEH0<09;EMG858?3MEO0<4?>79GKA:6681N86KL8133?@@BN1<<5:776022446F2523457:2LO?6HKC59E@FC43ON[86HKPD68B@@A92M87J@K1:K1?L653@;97D<=;H11?L253@?27D@FTRVBP@0JR\;>0@XZ<4:NVP1286BZT778IVAHF<1FYUH8c:ObnjtQm{ybccm4MhllvScu{`ee46C}al]nah5H69:1E=?=4N010?K72;2D::?5A639M<7=I1>1EIYY@RJ38K2=W&=3oSA:4P@PWe>VNFVH^_DJWb:RJJZDR[GKFI45_K^JOQQHJ;2ZYI;5_SEMMA4=V>2XJA>UOZLMTIUZ]ABV\JBEb3ZBYIJQBIO]PM_C13ZE^^NK9;RVBPPU33]X^I95[YQG5b>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%Ftb|PRE]SJQT\8TUYHR^ATSY2YZ^HZV;3SbQwo=2=53`<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#@v`r^PG[UHSZR;VS_JPPOVQ_7[XPFXT=:Q`_ym?4;71n2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!Bxnp\VAYWF]XP>PQ]D^RMPW]4UVRD^R?9_n]{k9699?l0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/LzlvZTCWYD_^V=R_SF\TKRUS=WTTB\P14]l[}i;87;=i6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-N|jtX_[U[BY\T0\]TVZVI\[Q:QRV@R^6\kZ~h494::h5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,I}iuW^XT\CZ][0_\SWYWF]XP>PQWOS]0[jYg5:5=;k4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+H~hzV]YS]@[RZ0^[RTXXG^YW>SPXNP\6ZiXpf6;2<8j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*Kg{U\^R^ATSY0YZQUWYD_^V:R_YMQ[4YhWqe7<3?93:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ULVZEX_U?]^PG[UHSZR;VSUA]_0:\k35<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#_JPPOVQ_4[XZMU[BY\T2\][KWY6?Ve=?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-Q@ZVI\[Q9QR\K_QLWV^5ZWQEYS<8Po718Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'[NT\CZ][2_\VAYWF]XP8PQWOS]21Zi1:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!XR^RMPW]7UV]YS]@[RZ3^[]IUW=Ud:?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,SWYWF]XP=PQXR^RMPW]5UVRD^R=Po708Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'^XT\CZ][3_\SWYWF]XP?PQWOS]1[j053\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"Y]_QLWV^5ZW^XT\CZ][5_\\JTX9Ve=86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU>]/pg[vr`W`dxx"J30?47?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^7Z&{nTyiPioqw+A:66?>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP=P }d^qwcZoi{}%ZSkh_044?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^7Z&{nTyiPioqw+TYumnU9::5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT1\,q`ZusoVcey!^_sgd[6003\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR;V"jPsue\mkus'XUyijQ;659V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX5X(pzVykRgasu-G8581<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ:Q#y}_rvd[lht|&N7=38;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ3^*rtX{}mTec}{/E>1:32<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS8W%{Q|tf]jjvr(L595:95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT1\,tvZusoVcey!K<5<53>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]6U'}yS~zh_hlpp*WXzlmT<;94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[0_-swYt|nUbb~z Q^pfcZ71?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ:Q#y}_rvd[lht|&[T~hiP2758Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_4[){UxxjQfnrv,UZtboV9=;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU>]/uq[vr`W`dxx"_Prde\032<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%~iQ|tf]jjvr(L5:5:95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT2\,q`ZusoVcey!K<0<50>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'xoS~zh_hlpp*B;:7 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[)zmUxxjQfnrv,@929>11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P }d^qwcZoi{}%ZSkh<1<5<>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'xoS~zh_hlpp*WXzlm7=387;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ0^*wbX{}mTec}{/P]qab:56?20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!re]ppbYnfz~$]R|jg=1=2==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$yhR}{g^kmwq)VW{ol09097:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+tcWz~lSd`|t.S\v`aX8?=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!re]ppbYnfz~$]R|jg^353>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'xoS~zh_hlpp*WXzlmT>;94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-vaYt|nUbb~z Q^pfcZ51?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ9Q#|k_rvd[lht|&[T~hiP4768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[){UxxjQfnrv,@969>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P xr^qwcZoi{}%O0<094:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+quWz~lSd`|t.F?6;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"z|Psue\mkus'M682;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-swYt|nUbb~z D=6=2==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$|~R}{g^kmwq)VW{ol0=098:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+quWz~lSd`|t.S\v`a;97<37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV1:3><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%{Q|tf]jjvr(YVxnk1=1699V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX6X(pzVykRgasu-R[wc`4=4=;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/uq[vr`W`dxx"_Prde\431<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%{Q|tf]jjvr(YVxnkR?97:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+quWz~lSd`|t.S\v`aX:?=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!ws]ppbYnfz~$]R|jg^153>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'}yS~zh_hlpp*WXzlmT88=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+wbXxg~ySoga<1<67>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%yhR~ats]amk:66<90Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWkce0?0:3:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ulVzexQmio>0:05<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[goi4=4>>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-q`Zvi|{UiecQ?539V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pbhl\504<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[goiW;?97X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVhbbR=:2:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ulVzexQmio]714=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\cfY7=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv re]sjqtXojU:9<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,vaYwf}xTknQ=509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pgb]014=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\cfY3>m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv re]sjqtXojUjkh_mcpp^2ZW{ooynkPxnp\57Yh>l1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv re]sjqtXojUjkh_mcpp^2ZW{ooynkPxnp\57Yh9?n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniTm~|jg^nbwq]3UVxnhxmj_ymq[45Xg?o0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniTm~|jg^nbwq]3UVxnhxmj_ymq[45Xg8=87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVmhSl}}ef]oevr\6e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pgb]bwwc`WekxxV:R_sggqfcXpfxT=9Q`729V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pgb]bwwc`WekxxV:R_sggqfcXpfxT=8?Pxn>3:40c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"|k_qlwvZadWhyyijQcarvX0XYummhiRv`r^36[j343\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"y}_qlwvZdnf5:59>5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTnd`31?70?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&}yS}`{r^`jj949=:1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXj`d7?3;<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Rlfn=6=17=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\flhX8<80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWkceS<;=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Rlfn^066>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]amkY4=;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXj`dT88?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySjmP0438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_fa\507<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#z|Ppovq[beX:<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWniT?8?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySjmP47a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_fa\evtboVfjyU;]^pf`pebWqeyS;Q`6e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~({U{by|Pgb]bwwc`WekxxV:R_sggqfcXpfxT:Ra>6b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~({U{by|Pgb]bwwc`WekxxV:R_sggqfcXpfxT;Ra9d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})pzVzexQhc^cpv`aXdhyW9SPrdfvg`Yg{US7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]dgZgtzlmT`l}{[5_\v`brklUscQ61^zl8586>j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXojUjkh_mcpp^2ZW{ooynkPxnp\=Zi0k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%FmijPdhde[rtXzmQ>QRIAD^12[jYflmU8SB[[_b{?5;1c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GjhiQkigd\swYulR?VSJ@K_23\kZgclV9TCXZPltv?6;1c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GjhiQkigd\swYulR?VSJ@K_23\kZgclV9TCXZPltv?7;1c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GjhiQkigd\swYulR?VSJ@K_23\kZgclV9TCXZPltv?0;1?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GfyuQkigd\swYulR?VSJ@K_23\kZkrpV?TCXZ>16:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+HkrpVnbjkQxr^pg_0[XOGNT?.fpgg7(ulj%FaxvPdhde[rtXzmQ>QRIAD^12[jYj}qU>SB[[405`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*Kj}qUoekhPws]q`^3ZWNDOS>?Po^ov|Z3XG\^?=R]X06a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+HkrpVnbjkQxr^pg_0[XOGNT?:S^Y>799V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhnS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)J{|hThdhi_vp\vaYseyUhu1>1104a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*Kt}kUoekhPws]q`ZrjxVir0>0>6b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhn!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[iss484::o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im Mrwa[aoanV}ySjPtlr\hpr;:7 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"C|uc]gmc`X{UyhRzbp^nvp9499?h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_mww8681k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%FxlPdhde[rtXzmUa}Qcuu>0:40c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GxyoQkigd\swYulV~f|Rv`r=3=540c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GxyoQkigd\swYulV~f|Rv`r=0=540c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GxyoQkigd\swYulV~f|Rv`r=1=540a3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GxyoQkigd\swYulV~f|Rv`r=1=54YA>o1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pxnp?7;76WN xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"C|uc]gmc`X{UyhRzbp^zlv92998=?7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"jffg]tvZtcS668Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+aoanV}ySjT5\]DJAY49VeTaxvP5^MVP979?=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$hdhi_vp\va]2UVMEHR=>_n]nq}Y2WF__0?084:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-gmc`X{UyhV;R_FLG[67XgVg~tR;POTV?7;133\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&nbjkQxr^pg_0[XOGNT?!re-dv4(`zmi9"jl/ekebZquW{nP9PQHNE]05ZiXe|rT9RAZT=7=1g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(zmUooRg30?7a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*tcWmiTe1?15c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhn3;m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)o{nh>#|kc.pg[aeXa5959o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im re]ggZo;<7?i7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"|k_ea\m939=h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$~iQkc^k\40g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'{nThnQf_07b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*tcWmiTeR<:a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-q`ZbdW`U89l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im re]ggZoX<_bgskq0b3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!rguep*Kj}qUYM@Q]D^GM[CQA\8;Toh~`t^KMRZ6112_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.sdtbq)Je|rT^LCPRE]FJZ@PN];:S}{pnv6f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"hxfu-QEHYUMNE^XRKA1778Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$yjzh{/bwqvZ`pn}Uxxlzj_GQN[C@c9?20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,qbr`s'jy~Rhxfu]ppdrbWOYFSKHk1,Km2<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#|iwgv,gptuWo}mxR}{aug\BVKXNOn:!D`>649V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'xm{kz ctpq[cqa|VymykPFRO\BCb5>01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/pescr(k|xySkyit^qweqcXNZGTJKj=-Hl21d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#|iwgv,ahvsqVl|jyQib4;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$yjzh{/dosp|Yao~Te:94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(un~l#jafnf]fiur~Wo}mxRbjawkmcZcjx}sTjzh{_NP\1Zi002_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.sdtbq)`g`dlShctx]escrXdlk}eciPelrw}Z`pn}UD^R;Po07e?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%~kyit.lf|qYao~Tyo{e=2=12=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#|iwgv,qvcuWkgei;i;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwgt&{y"m`mq2\gjkw9VEYS>Q`619V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*auiz$yy} cnos4Zehey;TC_Q<_n350>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxj#||tr-`khv7Wjef| xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gscp*wus{&ida}>Pcnos5ZIUW:Ud>R]X1768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zhy%~~z|/bmnt5Ydgdz:SB\P3^m1[VQ5>91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(kfg{1:472=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|d0=0:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw8482=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|d0?0:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw8682=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|d0909b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw_1[XOGNT>>Q`_`fg[5YH]]6:2;l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznuY7YZAILV88SbQnde]3[JSS4;4=n6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{[5_\CKBX::UdSljk_1]LQQ:46?i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byU;]^EM@Z44WfUjhiQ?_NWW8686>k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexV:R_FLG[75XgVkohR>POTV?0;0d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~P8PQHNE]17ZiXimnT!re-qtkru'ni;"naznu]71==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|Vn:0<0:8:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7;:7?37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0>0:0><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=1:1569V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmpZb6W8?27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0]2[4303\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th!cnwmpZb6W;U:9:5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^f2[63>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~ThS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}j#y|tr-Nip~Xkfg{=RIAD^16[jYJ]QU2>Ra>47`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`hy%{~z|/Lov|Zehey;TKCJP34]l[HS_W08Tc<;9b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqf{'}xx~!Bmtz\gjkw9VMEHR=:_n]NQ]Y>:Ve::;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsdu)z~x#nabp0]DJAY4=VeTAXVP93]l1d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|m~ xsuq,vdkXn|fgSklPi458Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`hy%{~z|/scn[cskdVcn0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$Aljk_ekebZquW{nP9PQHNE]03ZiXimnT8RAZT^az81869>i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$Aljk_ekebZquW{nP9PQHNE]03ZiXimnT8RAZT^nvp959?j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixdb3-saebp}%FmijPdhde[rtXzmQ>QRIAD^14[jYflmU?SB[[_mww8180m2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&GjhiQkigd\swYulR?VSJ@K_25\kZgclV>TCXZPxnp?5;76?l1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixdb3-saebp}%FmijPdhde[rtXzmQ>QRIAD^14[jYflmU?SB[[_ymq87869>o0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$Aljk_ekebZquW{nP9PQHNE]03ZiXimnT8RAZT^zlv95998=n7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#@okd^fjbcYpzVxoW8SPGOF\72YhWhnoS9Q@UU]{kw:368;=56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"C|uc]gmc`X{UyhRzbp^az8581j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rmv<1<253g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(EziSigif^uq[wbX|dzTot2<>04a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWjs7?3?>6`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-NwpdXl`lmSz|Pre]wiuYk}}692;l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz Mrwa[aoanV}ySjPtlr\hpr;:7;=o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"C|uc]gmc`X{UyhRzbp^zlv96998 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_ymq86869>:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$A~{m_ekebZquW{nTx`~Pxnp?7;76WZ];;=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!Bst`\`l`aW~xT~iQ{mq]{kw:468;T_Z?80:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.OpqgYcaolT{Q}d^vntZ~hz595=1618Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,`l`aW~xT~iU:]^EM@Z50WfUjhiQ;_NWW8480;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&nbjkQxr^pg_0[XOGNT?:Q`_`fg[1YH]]692:=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz dhde[rtXzmQ>QRIAD^14[jYflmU?SB[[<2<47>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}oo< xdbg{p*bnnoU|~R|k[4_\CKBX;>UdSljk_5]LQQ:36?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$hdhi_vp\vaYsey6;2;<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz ws]geqgXkfexRg30?41?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+rtXlh~jSnaznu]j8481:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&}ySio{a^alqkrXa585:?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!xr^fbpdYdg|dSd2<>708Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,swYci}kTob{at^k?0;053\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'~xThlzn_bmvjqYn4<4==6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"y}_ecweZeh}g~TeR>91:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.uq[agsiVidyczPi^355>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}oo< xdbg{p*quWmkmRm`uov\mZ4192_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&}ySio{a^alqkrXaV9==6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"y}_ecweZeh}g~TeR:91:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.uq[agsiVidyczPi^77b>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'{kfSz|Peo]j52d<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/Lcg`Zank9$lo= lotlw_1[XOGNT?>Q`_`fg[5YH]]Usc2>>034f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!Baef\cle7∋"naznuY7YZAILV98SbQnde]3[JSSWqey0?0>14c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#jgl0/e`4+eh}g~7=3;n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphs4;4>m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmp959=h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu>7:26<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fk`4+ad8'idyczT4\]DJAY4;VeTmijP0^MVP979?91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznuY7YZAILV98SbQnde]3[JSS4;4<<6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmp^2ZWNDOS>=Po^cg`Z6XG\^7?39>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsS=WTKCJP32]l[dbcW9UDYY2<>053?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ifc1,dg5(dg|dW9SPGOF\76YhWhnoS=Q@UU>7:27<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fk`4+ad8'idyczT4\]DJAY4;VeTmijP0^MVP9299<30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bod8'mh<#m`uov\50?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fk`4+ad8'idyczP24;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#jgl0/e`4+eh}g~T?874U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nch<#il0/alqkrX<S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj=_27`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ifc1,dg5(dg|dSii6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vp\tkruWyf~<2?>^kmr4YNF_U;9:5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.uq[uhszVzgy~<31?]jjs3b3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${Qnup\tist:5;5Sd`y1^KMRZ6312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<1<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0<>14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5;:29o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:6:7>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?5683i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<06=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1?:>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6::3:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;9>4?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j84>9>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`69<3:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;:84?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j874980;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4;<58l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9406=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>1<;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg328<7=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0?0;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4::58l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9566=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>06;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg332<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0>:14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa59>29o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:4>7>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?7283i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<2:=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1=6>5;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6829o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:387>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?0483i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<50=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1:<>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6?83:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;<<4?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j81090;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4<>58l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9326=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>62;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg356<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub08614`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5?22974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:26=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>54;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg360<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0;<14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5<829o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:1<7>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?2083i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<74=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te188>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6=43:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;>04?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j8383i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<62=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te19>>5;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6<2974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:?6=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>::1><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ?499V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV;?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[46312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_037=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS<<;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW89?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[42312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_077=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS<8;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW8=?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[4>312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_0;7<>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS?:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX:9>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\642>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP236:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT>>:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX:=>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\602>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP276:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT>::6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX:1>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\6<2?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP35;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U8<974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY49=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]061?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ<35;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U88974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY4==30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]021?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ<75;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U84974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY41=20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]70<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR:?489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV>:845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ25<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^600<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR:;489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV>>845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ21<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^640<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR:7489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV>2855Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ3312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_427=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS8?;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW<8?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[05312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_467=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS8;;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW<S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS87;8:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW?>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\252>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP606:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT:?:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX>:>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\212>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP646:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT:;:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX>>>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\2=2>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP686;?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT;974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY08=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]451><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ7499V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV3><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+HtfeVXJA<;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(E{kfS_OB1172?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Aob_SCN54363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic Mscn[WGJ9;?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,IwgjW[KF>8>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)JzhgT^LC<519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Gym`Q]AL664>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#@|nm^PBI0373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic Mscn[WGJ><:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-NvdkXZHG<9=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*KuidUYM@6:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'DxjaR\NM873?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Sc>?15>3:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn12209776<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<5;:28?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9::81?=>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>4=30:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn12209736<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<5;>28?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9::81?9>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>4=34:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn122097?6<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<5;228>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9::81?1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?;<32=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa01378779=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.]m45734;859<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*Yi89;?0?=1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?;<36=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa01378739=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.]m45734;<59<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*Yi89;?0?91509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?;<3:=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa013787?9=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.]m45734;4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+Zh788>7?=0:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'Vd;<<:330<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#R`?006?778292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/^l3442;;:4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+Zh788>7?90:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'Vd;<<:334<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#R`?006?738292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/^l3442;;>4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+Zh788>7?50:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'Vd;<<:338<64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#R`?006?7;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic _o2351:387?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679=6?=3;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(Wg:;=92;2?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Sc>?15>77;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic _o2351:3<7?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679=6?93;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(Wg:;=92;6?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Sc>?15>73;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic _o2351:307?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679=6?53;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(Wg:;=92;>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>4=73:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn12209366<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<5?928?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9::81;<>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>4=77:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn12209326<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<5?=28?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9::81;8>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>4=7;:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn122093>6<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<5?59<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*Yi89;?0;>1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?;<73=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa01378349=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.]m45734?959<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*Yi89;?0;:1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?;<77=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa01378309=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.]m45734?=59<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*Yi89;?0;61509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?;<7;=15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa0137838292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/^l3442;?94>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+Zh788>7;<0:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'Vd;<<:37?73?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Sc>?15>;:06<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn12209?9=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYn494>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7==0:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg310<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?578292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;9:4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7=90:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg314<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?538292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;9>4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7=50:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg318<64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?5;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:587?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`69=3;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSd2=2?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h>17;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:5<7?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`6993;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSd2=6?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h>13;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:507?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`6953;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSd2=>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi=13:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\m9566<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXa59928?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~Te1=<>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi=17:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\m9526<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXa59=28?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~Te1=8>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi=1;:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\m95>6<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXa5959<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}Ub09>1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<53=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j8149=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYn4=959<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}Ub09:1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<57=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j8109=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYn4==59<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}Ub0961509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<5;=15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j818292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;=94>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc79<0:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg353<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?168292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;==4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7980:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg357<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?128292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;=14>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7940:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg35?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h>54;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:197?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`6=>3;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSd293?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h>50;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:1=7?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`6=:3;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSd297?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h>5<;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:117?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`6=28?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~Te19?>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi=52:06<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\m919=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYn414><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc753:i;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ?559V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_1]gtjr3n2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX9<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV;;985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS<>Pdqmw15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[472=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX98Uo|bz:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP1376?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]26Zbwg}?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U:?8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR?<_erlp06<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ73=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW8>Th}a{519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_0761>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\50Ycxf~><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT=;;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ>6^fskq373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY6?Xlye9=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS<7:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP18]gtjr2<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX9Vn{cy:i;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ=519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_3261>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\65Ycxf~><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT><;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ=1^fskq373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY5:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U99Rjou73?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]1203<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ41Wmzdx8>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR<8549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_35\`uis=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW;2>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT>5Qkpnv64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\6<323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY51Vn{cy;;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ=_erlp1`<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ5282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX;9?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U84U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR==549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_20\`uis=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW:9>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT?>Qkpnv64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\71323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY48Pdqmw15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[612=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX;>Uo|bz:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP3976?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]0 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U858;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR=6_erlp02<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ5Xlye8k5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS9;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ;0478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^63[avh|<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV>:985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS9?Pdqmw15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[142=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX<;Uo|bz:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP4276?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]77Zbwg}?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U?88;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR:;_erlp06<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ22=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW=?Th}a{519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_5461>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\03Ycxf~><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT8:;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ;7^fskq373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY303Si~`t428Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^6:10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[1?Xlye995Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS9Qkpnv7b>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\106<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ37=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW<:Th}a{519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_4361>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\14Ycxf~><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT9?;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ:2^fskq373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY2;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U>:Rjou73?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]6303<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ30Wmzdx8>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR;7549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_4:\`uis=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW<3>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT94Qkpnv60>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\1Zbwg}>m7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U=9=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS;>:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP61]gtjr282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX>8?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U==Rjou73?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]5603<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ05Wmzdx8>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR8<549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_71\`uis=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW?>>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT:9Qkpnv64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\20323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY1=Vn{cy;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ96478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^45[avh|<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV<<985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS;9Pdqmw15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[3>2=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX>1Uo|bz:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP6876?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]5=Zbwg}??7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U=Si~`t5d8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^564>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\35323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY08Vn{cy;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ81478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^52[avh|<>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV=Th}a{4g9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_977?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h];[avh|=l0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV3>86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT5Rjou6a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+wgjW{nTicQf369V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#ob_sgdkprXmg987X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)uidU|~Rka549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,IhsW{nT~~zParpfcZAILV;9SbQBUY]1[j76=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$A`{w_vp\vvrXizxnkRIAD^31[jYJ]QU:Sb?>3e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,VVRXN\FGSJKA499V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,cwusl8$l~~zPftno*wusWm;?46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!hrrvg5+au{}Umyab!rrv\`72?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&myyj>.fpppZ`rde$yyQk35a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+kapzmxxx#i}su,q`Ztt|Vxnk1>14b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,jbqul{y"j||t/pg[wusW{ol0<0;c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~~zmlj-mcrtczz~%k}{.sf\vvrXzlm7>3:m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.ldswbu{}$l~~z!re]qwqYumnU;8o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{ynae nfuq`wus&nxxx#|k_sqw[wc`W8>i7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)u{}hgg"`hwsfqwq(`zz~%~iQ}su]qabY5S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)ulVxxxRo|rde\`4273\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&xoS}{_`qqabYc::i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tt|kf`#jPrrv\gjke;m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$~iQ}su]`khd6;m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea${Q}su]bwwc`1S_YQHNE`8\ZEHZLUBBKA9;Yfa[Lba3QncS]|fmWgqwlii991Sh`QBakmqR`ttafd:<6Vkm^OjjjtQm{ybcc=4Xrv0?\ct0h1TSRVCNL]\[5YXW\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GfyuQkigd\swYulR?VSJ@K_23\kZkrpV?TCXZ;1^QT4=1Sb?8a:]\[]JIEVUT=!cnwmp^2ZWNDOS?=Po^cg`Z6XG\^Ttb|32?323a=XWVRGB@QP_01\[ZS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%yhR~ats]dgZgtzlmT`l}{[5_\v`brklUscQ>2^m23f=XWVRGB@QP_06\[ZS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]dgZgtzlmT`l}{[5_\v`brklUscQ9_n34e>YXWQFEARQP14]\[P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWjs7<3?>7c9\[Z^KFDUTS<8P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-NwpdXl`lmSz|Pre]wiuYdq5:5=<9n;^]\\IHJWVU:;RQPU1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsdu)z~x#@czx^aliu7XOGNT?8Q`_LW[[<4Xg89 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.O{kwYULVZEX_U<]^PG[UHSZR>VSUA]_07\kZ~h494:;i5P_^ZOJHYXW83TSR[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-N|jtX_[U[BY\T3\]TVZVI\[Q?QRV@R^3\kZ~h494:;h5P_^ZOJHYXW8UTSX> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_ymq86869VY\<:k4_^][HKKXWV8;SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,I}iuW[NT\CZ][3_\VAYWF]XP?PQWOS]22ZiXpf6;2<9k;^]\\IHJWVU9=RQPU1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+H~hzV]YS]@[RZ2^[RTXXG^YWRQPU1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsdu)z~x#@czx^aliu7XOGNT?8Q`_LW[[<4Xg8>=86QP_YNMIZYX::UTSX> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)u{}hgg"Cbuy]tvZtt|Vkx~hiPGOF\57YhWD_SSRaPMTZ\6Zi69>80SRQWLOO\[Z4XWV_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|ns/pppv)dgdz;Snabp0]LVZ5Xg;UX[<9i;^]\\IHJWVU8SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(o`i;"jm?.bmvjq]3UVMEHR=<_n]b`aY7WF__0>0>809\[Z^KFDUTS9QP_T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*tcWyd~Ril_`qqabYkiz~P8PQ}eew`aZ~hzV;>=Rv`<1<23d=XWVRGB@QP_4]\[P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkr\0>1^QT62d>0343>YXWQFEARQP9^]\Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$yjzh{/bwqvZ`pn}Uxxlzj_GQN[C@c9$Ce=o5llj]{[uhszz=0obcasge?fsuzVl|jyQ|t`vf7>bce?1oec2?>69gmk:687=0hd`310<4?aoi4885;6jfn=30:2=cag6:8394dhl?50803mce0<817:fjj9706>1oec2>8?58`lh;904=7iga<0<4?aoi4;:5;6jfn=02:2=cag69>394dhl?66803mce0?:17:fjj9426>1oec2=6?58`lh;:>4<7iga<3:=3>bnf5822;5kio>1:2=cag68<394dhl?74803mce0><17:fjj9546>1oec2<4?58`lh;;<4<7iga<24=3>bnf59<2:5kio>0<;117:fjj9266>1oec2;2?58`lh;<:4<7iga<56=3>bnf5>>2:5kio>72;19?2nbb1:6>79gmk:36>1oec2:0?58`lh;=84<7iga<40=3>bnf5?82:5kio>60;169gmk:207=0hd`358<5?aoi4<4<7iga<72=3>bnf5<:2:5kio>56;108;ekm8329?2nbb18:>69gmk:1>7=0hd`366<4?aoi4?25;6jfn=4::3=cag6=2:5kio>44;?69gmk:097<0hd`37?48`lh;07<0hd`39?68`hcj?2ndyy2?>99gkpr;99437iazt=32:==cg|~7=?07;emvp974611ocxz315<;?air|5;>255kotv?538?3me~x1?8>99gkpr;91437iazt=3::2=cg|~7=364dnww876902ndyy2=1?:8`jss4;8546j`uu>17;>bh}}69;364dnww87>902ndyy2=9?58`jss4;437iazt=13:==cg|~7?<07;emvp955611ocxz332<;?air|59?255kotv?708?3me~x1=9>99gkpr;;>437iazt=1;:==cg|~7?408;emvp95902ndyy2;0?:8`jss4=;546j`uu>76;>bh}}6?:364dnww811902ndyy2;8?:8`jss4=35;6j`uu>7:==cg|~79=07;emvp936611ocxz353<;?air|5?8255kotv?118?3me~x1;:>99gkpr;=?437iazt=74:==cg|~79507;emvp93>6>1ocxz35?:8`jss4?:546j`uu>55;>bh}}6=9364dnww830902ndyy297?:8`jss4?2546j`uu>5=;169gkpr;17?0i`~{y048bl`hWnoeio{os]qeh`nnf;97kgio^efj`tf|fxTxb~>3:djbjY`mgoymya}_w;\77`W:&poRokd^ldgZehfz~ymd`{=1.`[dvwd`ijxdaa_u{saZgaz7; nQnpqnjgdrnggUu}kPr`ak95*dWhz{`dmnthmm[qwmVxooe3?,b]btujnkh~bccQ{yqg\sdeo59&hSl~lhabpliiW}s{iRykci?3(fYfxyfbolzfoo]w}ucX{ic1="l_`zj[dbczV}bhyf233.`[d~nWhx~h|Pwhfwl87+kVkseRoxurgq[roc|a7: nQnxh]aqvcuW~coxe3>,b]b|lYci}kT{dj{h<47(fYfp`UbhRyfduj>0)eXiqcT~x}jr^uj`qn:9%iTmugPtxrf95*dWhrbSz{|es]tmaro58&hSlvfs^vgeqgX`nd08;,b]b|luX|moxxRyfduj>0)eXiqcxSygk_vkgpm;?$jUjtd}PtjgftZqnl}b68!mPaykp[quszkU|eizg=5.`[d~n{V~~h|Pwhfwl82+kVkse~Q{yqg>4)eXkfgfccQllnah95*dWje~byQ{yqg>4)eXlh~jSnaznu]tmaro5<&hSikiatnw[sgk}l7I`l`dSupjjb*dWmceSzgkti?46)eXl`dT{dj{h^c{mv;6$jUoecQxievk[wgd`499 nQkio]tmaroW{nhd08;,b]gmkYpam~cSzolh<11(fYcagU|eizg_vf`l803$jUoecQxievk[rtd`48'oRj`uu]qwqYqie7; nQkotv\slbs`4=9 nQjn``oaZtkgjy6#c^jbwZgaVx~h|20-a\lduXiqcT{Qlh`lmai;7$jUcm~Qnxh]tqvcu59&hSeo|_`zjwZrci}k64)eX`hyThlzn_bmvjquXzmic1="l_icp[agsiVidycz|_vc`l86+kVbjRjnt`]`kphs{V}ooe3?,b]kevYci}kTob{atr]tvfn:8%iTdl}Piov\gim:8%iTdl}Pm`qwawYdm4:'oRfns^ofilhn|Vlb`h3?,b]kevYh~lxm`by20-a\lduXx{elSkbngr]b|lu:8%iTdl}Ppsmd[cjfozUymnf20-a\lduXx{elSkbngr]q`fn:8%iTdl}Ppsmd[cjfozU|mnf20-a\lduXx{elSkbngr]t`fn:8%iTdl}Ppsmd[cjfozU|~nf20-a\lduXzmUomyoPcnwmp87+kVbjR|k_sqw95*dWakxS}{=0.`[mgtWzfjzhQle<2/gZnf{Vyyy3?,b]kevYrfmoyjaax=1.`[mgtWkgei3?,b]kevYpzVkhg0>#c^jbwZquWmkmRm`uov>5)eX`hyT{Q}su?3(fYneyfnah`{aukljZr~xl79 nQfmqnfi`hsi}cdbRzvpd]b|lu:9%iTe`~celgmpdrnggUu}kPr`ak94*dW`g{`hcjnucwmjhX|pznSjlh<3/gZojxeoficznthmm[qwmV}joe3>,b]jiujbeldmyg`n^vzt`Ypljb6=!mPilroahci|h~bccQ{yqg\sweo58&hSd`ft^uq[uhszV|j`0>#c^kmp`taijoTxt~j=1.`[hcjg{sTxt~j=3.`[hoaWocgiR`nmd?Ahdhl[}xbbj"l_lqdkkYqie7: nQ`puknmgsafdTabjj=1.`[jpbzofd{Rb`w<2/gZvumeejhRbntdl`}86+kVzyiaand^pfcv;6$jU{~dcPfhdl[qwm4?:>u9=,b]svjaXmdzuRzgrdqk[dutm{~Tzlb24-a\twi`Wlg{xtQ{hsgplZgt{lxS{oc_`zjw877:;&hS}|`g^gntqX|axneQnsrgqpZpfdVxjoe3>030/gZvugnUna}zv_ujqavnXizyn~yQyam]q`fn:9989 nQrne\ahvsqV~c~h}g_`qpawrX~hfT{lmg=0216)eXx{elShctx]wlwct`Vkxh|{_wco[rbd`4;;>?"l_qplcZcjx}sTxe|jsi]bwvcu|V|j`Ry}ci?2474+kVzycjQjmqvz[qnumzbTbhintd]uei;2$jU{~biPelrw}Zr~xl7; nQrne\ahvsqV~r|hQnxhq>4)eXx{elShctx]w}ucXzhic1="l_qplcZcjx}sTxt~j_sf`l86+kVzycjQjmqvz[qwmV}joe3?,b]svjaXmdzuRzvpd]t`fn:8%iT|ah_dosp|YsqyoT{mg=1.`[uthoVl~`aQ{hsgplZgt{lxS{oc=23/gZvugnUmyabPtipfwmYf{zoyxRxnl^c{mv;68;9'oR~}of]eqijX|axneQnsrgqpZpfdVxjoe3>031/gZvugnUmyabPtipfwmYf{zoyxRxnl^pggm;68;9'oR~}of]eqijX|axneQnsrgqpZpfdV}joe3>031/gZvugnUmyabPtipfwmYf{zoyxRxnl^uggm;68;9'oR~}of]eqijX|axneQnsrgqpZpfdV}yoe3>031/gZvugnUmyabPtipfwmYimnkiRxnl<13(fYwzfmTjxbc_u{sa86+kVzycjQiumn\p|vbWhrb0>#c^rqkbYa}efTxt~j_sc`l86+kVzycjQiumn\p|vbW{nhd0>#c^rqkbYa}efTxt~j_vc`l86+kVzycjQiumn\p|vbW~nhd0>#c^rqkbYa}efTxt~j_vp`l86+kVxjoeQ{yqg>4)eXzmUomyoPcnwmpZqnl}b6:!mPre]gauro5:8'oR|k_gpfu87+kVxoS}`{r^uj`qn:=%iT~img_u{sa86+kVxnkR}cibg\ijbbWhrb0>#c^pfcZukajoTabjj_sc`l86+kVxnkR}cibg\ijbbW{nhd0>#c^pfcZukajoTabjj_vc`l86+kVxnkR}cibg\ijbbW~nhd0>#c^pfcZukajoTabjj_vp`l86+kVyrbnf}ookyawYt|hmn1?"l_tlgaw`kg~Ugcz3?,b]vw`YdgdgdbRayesdokr;7$jU~hQlololjZsillxm`by20-a\qvcXllljyazPsdvwmkat59&hSx}j_emvpZtt|4;'oR{|e^g`g86+kVxiRklc^c{mv;7$jU~hQjcb]qefn:8%iTy~kPeba\vaeo59&hSx}j_da`[rgd`4:'oR{|e^g`gZqcka7; nQzsd]fgfYpzjb6036/gZquWmo{xeQ}abj>53*dW~xThh~{h^pggm;68;>'oRy}_egspmYpijb6=;"l_vp\``vs`V}ooe3>036/gZquWmo{xeQxrbj>53*dW~xTjk~=0.`[rtXxg~ySzgkti?6(fYpzVzexQxievk[d~n{4;; nQxr^rmpwYpam~cSolh<6/gZquWyd~Ryfduj\vaeo58:'oRy}_qlwvZqnl}bT{lmg=5.`[rtXxg~ySzgkti]t`fn:99&hSz|Ppovq[roc|aU|~nf24-a\swYumzzdb~kPl`vfjf:9%iT{mg_u{sa86z:?1mekaPsimqpZ4ddb&mekaPgdlfvdrhzV|2S>"t}59eqij>3`d{yyQmlj48i`khzp20bjmmuhnge>ir|ySobdb:pg[goiWjd~;5}d^aoo463??;sf\`drfWje~by2<>028vaYci}kTob{at=6=57=ulVnjxlQlotlw80<768:0~iQkauc\gjsi|5?5=<5}d^f`[cqa|VosxRo|5:pg[`h03{nTjzh{8:pg[ii`aj;97jPsucwqvYadhmxSl}>1:pfw`rXjeaT~lciigm0?wusn2yrbnf}ookyawYank1|~Rlfn^ampw0<{Uh`f??;vp\`drfWje~by2?>028swYci}kTob{at=3=55=pzVnjxlQlotlw878682}ySio{a^alqkr;;7;;7z|Pd`vb[firf}6?2<<4ws]geqgXkfex1;50?33?rtXlh~jSnaznu>6:47<{UooRhxfu]f|qYf{<1|~Rka8:uq[ii`aj;97z|PsucwqvYadhmxSl}r@Ar24476n:51211e52=:j?9hv`k>:2fg?xU0<39n97m;:0106d632;i>?95\a`87e6<72898>l>;:3a620=T?=0?m>4?:0106d632;i>:;5k48f94?7=9rY<:7=j5;a7>454:h:?6?m:339uPa6=83;1=7<=6zQ42?5b=3i?6<=<2`27>7e2;;1/?io5ab9U7`4=:ri87?4uc794>{#k<09:6l;9e83>d5=90n1>?8tH2f:?!b42=3o7W;k:5y12;;1>?4r$2g3>1?e3-<:697i;%56>1?b3-im6<5+cd80a3=n<=31<7*l7;67<>hd>3:07d:;7;29 f1=<=20bn851:9j013=83.h;7:;8:l`2?4<3`>?87>5$b5901>?46`l6;68?l23:3:1(n9545:8jf0==21b89?50;&`3?2302dh:784;h674?6=,j=18964nb493>=n<:l1<7*l7;67<>hd>3207d:8o7>5$b5901>?46`l6;a8?l2413:1(n9545:8jf0=l21b8>650;&`3?2302dh:7k4;h603?6=,j=18964nb49b>=n<:<1<7*l7;67<>hd>3;;76g;3483>!e02=>37cm9:038?l24<3:1(n9545:8jf0=9;10e9=<:18'g2<3<11eo;4>3:9j064=83.h;7:;8:l`2?7332c??<4?:%a4>12?3gi=6<;4;h61b?6=,j=18964nb4953=?46`l6;3;?>o3:j0;6)m8:56;?ke128307d:=b;29 f1=<=20bn851`98m14f290/o:4;499mg3<6j21b8?750;&`3?2302dh:7?l;:k76=<72-i<69:7;oa5>4b<3`>9;7>5$b5901>5<#k>0?855ac782b>=n<;>1<7*l7;67<>hd>38;76g;2283>!e02=>37cm9:338?l25:3:1(n9545:8jf0=:;10e9<>:18'g2<3<11eo;4=3:9j076=83.h;7:;8:l`2?4332c?=k4?:%a4>12?3gi=6?;4;h62a?6=,j=18964nb4963=?46`l6;0;?>o39k0;6)m8:56;?ke12;307d:>9;29 f1=<=20bn852`98m17?290/o:4;499mg3<5j21b8<950;&`3?2302dh:77b<3`>:97>5$b5901>h54i537>5<#k>0?855ac781b>=n<891<7*l7;67<>hd>39;76g;1383>!e02=>37cm9:238?l2693:1(n9545:8jf0=;;10e9??:18'g2<3<11eo;4<3:9j05c=83.h;7:;8:l`2?5332c?12?3gi=6>;4;h63g?6=,j=18964nb4973=?46`l6;1;?>o3800;6)m8:56;?ke12:307d:?8;29 f1=<=20bn853`98m160290/o:4;499mg3<4j21b8=850;&`3?2302dh:7=l;:k740<72-i<69:7;oa5>6b<3`>?o7>5$b5901>5<#k>0?855ac780b>=n<=k1<7*l7;67<>hd>3>;76g;4783>!e02=>37cm9:538?l24j3:1(n9545:8jf0=<;10e9=?:18'g2<3<11eo;4;3:9j073=83.h;7:;8:l`2?2332c?=l4?:%a4>12?3gi=69;4;h63b?6=,j=18964nb4903=hd>3:07b:61;29 f1=<080bn851:9l0=`=83.h;7:62:l`2?4<3f>3i7>5$b590<42>6`l6;68?j2?k3:1(n954808jf0==21d85l50;&`3?2>:2dh:784;n6;e?6=,j=184<4nb493>=h<131<7*l7;6:6>hd>3207b:78;29 f1=<080bn859:9l0=1=83.h;7:62:l`2?g<3f>3:7>5$b590<42>6`l6;a8?j2?;3:1(n954808jf0=l21d85<50;&`3?2>:2dh:7k4;n6;5?6=,j=184<4nb49b>=h<1:1<7*l7;6:6>hd>3;;76a;7g83>!e02=397cm9:038?j20m3:1(n954808jf0=9;10c99k:18'g2<31;1eo;4>3:9l02e=83.h;7:62:l`2?7332e?;o4?:%a4>1?53gi=6<;4;n64=?6=,j=184<4nb4953=2>6`l6;3;?>i3??0;6)m8:5;1?ke128307b:85;29 f1=<080bn851`98k113290/o:4;939mg3<6j21d8:=50;&`3?2>:2dh:7?l;:m737<72-i<697=;oa5>4b<3f><=7>5$b590<45<#k>0?5?5ac782b>=hhd>38;76a;6e83>!e02=397cm9:338?j21k3:1(n954808jf0=:;10c98m:18'g2<31;1eo;4=3:9l03g=83.h;7:62:l`2?4332e?:44?:%a4>1?53gi=6?;4;n652>6`l6;0;?>i3><0;6)m8:5;1?ke12;307b:93;29 f1=<080bn852`98k105290/o:4;939mg3<5j21d8;?50;&`3?2>:2dh:77b<3f>>j7>5$b590<4h54o57f>5<#k>0?5?5ac781b>=h<hd>39;76a;5b83>!e02=397cm9:238?j22j3:1(n954808jf0=;;10c9;n:18'g2<31;1eo;4<3:9l00>=83.h;7:62:l`2?5332e?9:4?:%a4>1?53gi=6>;4;n662?6=,j=184<4nb4973=6=4+c687=7=ik?08;65`44694?"d?3>2>6`l6;1;?>i3=:0;6)m8:5;1?ke12:307b::2;29 f1=<080bn853`98k136290/o:4;939mg3<4j21d88>50;&`3?2>:2dh:7=l;:m70c<72-i<697=;oa5>6b<3f>2:7>5$b590<45<#k>0?5?5ac780b>=h<0>1<7*l7;6:6>hd>3>;76a;9183>!e02=397cm9:538?j2?=3:1(n954808jf0=<;10c99n:18'g2<31;1eo;4;3:9l03`=83.h;7:62:l`2?2332e?:94?:%a4>1?53gi=69;4;n66=?6=,j=184<4nb4903=n6=4+c687=7=ik?0?;65`4`f94?"d?3>jo6`l6;28?j2fj3:1(n954`a8jf0=921d8lo50;&`3?2fk2dh:7<4;n6b=?6=,j=18lm4nb497>=hhd>3>07b:n7;29 f1=io7>5$b590gbih6`l6;08?j2ei3:1(n954cf8jf0=;21d8o750;&`3?2el2dh:7:4;n6a=hN4l01/m948;h7f>5<=1<75fc883>>idj3:17pl>b283>1<729q/h>468:J0``=O;m30(l:57:k6a?6=3`=<6=44ib;94?=hkk0;66sm1c094?2=83:p(i=5999K7ac<@:n27)o;:69j1`<722c<;7>5;ha:>5<5<3290;w)j<:848L6bb3A9o56*n4;08m0c=831b;>4?::k43?6=3fii6=44}c1``?6=<3:1i7>5;h54>5<>{e;m91<7:50;2x a5=111C?ik4H2f:?M3f3-h96i<4i4g94?=n?>0;66gl9;29?jee2900qo=l2;290?6=8r.o?777;I1ga>N4l01C9l5+b38g6>"f<3=0e8k50;9j32<722ch57>5;naa>5<53;294~"c;33>7E=ke:J0`<=O=h1/n?4k2:&b0?4>idj3:17pl6<729q/h>465:J0``=O;m30D8o4$c09`7=#i=097d;j:188m21=831doo4?::a7f7=83>1<7>t$e19===O;mo0D>j6;I7b?!d52m80(l:57:k6a?6=3`=<6=44ib;94?=hkk0;66sm3b:94?2=83:p(i=5999K7ac<@:n27)o;:69j1`<722c<;7>5;ha:>5<5<3290;w)j<:8:8L6bb3A9o56*n4;58m0c=831b;:4?::k`=?6=3fii6=44}c1`2?6=<3:15;|`0gc<72:0;6=u+d28:1>N4ll1C?i74H4c8 g4=l;1/m94=;h7f>5<=1<75`cc83>>{e;ji1<7:50;2x a5=111C?ik4H2f:?!g32>1b9h4?::k43?6=3`i26=44ob`94?=zj:ii6=4;:183!b42020D>jj;I1g=>"f<3=0e8k50;9j32<722ch57>5;naa>5<53;294~"c;33>7E=ke:J0`<=O=h1/n?4k2:&b0?4>idj3:17pl1<729q/h>468:J0``=O;m30D8o4$c09`7=#i=0<7d;j:188m21=831bo44?::m`f?6=3th94o4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`1N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9454?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`1<2<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th94;4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`1<0<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9494?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`1<6<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th94?4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`1<4<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th94=4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`13c<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9;i4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`13f<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9;o4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`13d<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9;44?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`13=<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9;:4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`133<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9;84?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`131<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9;?4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`134<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9;=4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`12c<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9:h4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`12a<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9:n4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`12g<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9:l4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`12<<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9::4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`123<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9:84?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`121<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9:>4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`127<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9:<4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`125<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th99k4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`11`<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th99n4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`11g<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th99l4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`11<<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9954?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`112<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th99;4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`110<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9994?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`116<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th99<4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`115<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th98k4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`10`<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th98i4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`10f<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th98o4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`10d<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9844?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`10=<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th94i4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`1N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9444?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`13`<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9;>4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`12=<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th99i4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`117<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th98:4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`103<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th95=4?:3494?6|,m91on5G3eg8L6b>3S?o6nu>8;3:>4g=9k0i6n4k:d8e>46=980:>7s+a480?!g12:1/m:4<;%c;>6=n;mh1<75f3ea94?=n?k0;6)m8:6c8jf0=821b;44?:%a4>2g!e02>n0bn850:9j3f<72-i<6:j4nb495>=n080;6)m8:928jf0=821b;k4?:%a4>=6!e02190bn850:9j<7<72-i<65=4nb495>=n<<0;6)m8:568jf0=821b8>4?:%a4>12!e02=>0bn852:9j04<72-i<69:4nb497>=n<90;6)m8:568jf0=<21b8o4?:%a4>1g!e02=k0bn851:9j0=<72-i<69o4nb496>=n<>0;6)m8:5c8jf0=;21b8;4?:%a4>1g!e02<:0bn850:9j0c<72-i<68>4nb495>=n06!e02<:0bn854:9j12<72-i<6884nb494>=n=<0;6)m8:448jf0=921b994?:%a4>0065f5283>!e02<<0bn853:9j17<72-i<6884nb490>=h0>0;6)m8:948jf0=821d484?:%a4>=0!e02130bn850:9l<=<72-i<6574nb495>=h0m0;6)m8:9a8jf0=821d4o4?:%a4>=e!e021l0bn850:9l<`<72-i<65h4nb495>=zj;2m6=4=6;294~"c;3ih7E=ke:J0`<=]=m0hw<651882e?7e2k0h6i4j:g824?762881q)o::29'e3<43-k<6>5+a980?l5cj3:17d=kc;29?l1e290/o:48a:l`2?6<3`=26=4+c684e>hd>3;07d9j:18'g2<0l2dh:7>4;h5`>5<#k>06290/o:470:l`2?6<3`=m6=4+c68;4>hd>3;07d6;:18'g24;h:1>5<#k>03?6`l6;38?l22290/o:4;4:l`2?6<3`>86=4+c6870>hd>3;07d:=:18'g2<3<2dh:7<4;h62>5<#k>0?86`l6;18?l27290/o:4;4:l`2?2<3`>i6=4+c687e>hd>3:07d:6:18'g2<3i2dh:7?4;h6;>5<#k>0?m6`l6;08?l20290/o:4;a:l`2?5<3`>=6=4+c687e>hd>3>07d;>:18'g2<282dh:7>4;h6e>5<#k>0><6`l6;38?l2b290/o:4:0:l`2?4<3`>o6=4+c6864>hd>3907d:l:18'g2<282dh:7:4;h74>5<#k>0>:6`l6;28?l32290/o:4:6:l`2?7<3`??6=4+c6862>hd>3807d;<:18'g2<2>2dh:7=4;h71>5<#k>0>:6`l6;68?j>0290/o:476:l`2?6<3f2>6=4+c68;2>hd>3;07b6n:18'g24;n:;>5<#k>0356`l6;38?j>c290/o:47c:l`2?6<3f2i6=4+c68;g>hd>3;07b7?:18'g24;n:f>5<#k>03j6`l6;38?xd5180;6?850;2x a5=kj1C?ik4H2f:?_3c2jq:47?6:0c95g6=#i>087)o7:29j7ad=831b?im50;9j3g<72-i<6:o4nb494>=n?00;6)m8:6c8jf0=921b;h4?:%a4>2b!e02>n0bn851:9j<4<72-i<65>4nb494>=n?o0;6)m8:928jf0=921b494?:%a4>=5!e02190bn851:9j00<72-i<69:4nb494>=n<:0;6)m8:568jf0=921b8?4?:%a4>1265f4083>!e02=>0bn853:9j05<72-i<69:4nb490>=n1g!e02=k0bn852:9j02<72-i<69o4nb497>=n06!e02<:0bn851:9j0`<72-i<68>4nb496>=n06!e02<<0bn850:9j10<72-i<6884nb495>=n==0;6)m8:448jf0=:21b9>4?:%a4>00!e02<<0bn854:9l<2<72-i<6584nb494>=h0<0;6)m8:948jf0=921d4l4?:%a4>=?!e02130bn851:9l=h0k0;6)m8:9a8jf0=921d5=4?:%a4>=`!e021l0bn851:9~f7??2909:7>50z&g7?ed3A9oi6Fa;3a>g0;32>44=u-k>6>5+a780?!g02:1/m54<;h1gf?6=3`9oo7>5;h5a>5<#k>0290/o:48a:l`2?7<3`=n6=4+c684`>hd>3:07d9l:18'g2<0l2dh:7?4;h:2>5<#k>03<6`l6;28?l1a290/o:470:l`2?7<3`2?6=4+c68;7>hd>3:07d6=:18'g25<#k>0?86`l6;28?l24290/o:4;4:l`2?7<3`>96=4+c6870>hd>3807d:>:18'g2<3<2dh:7=4;h63>5<#k>0?86`l6;68?l2e290/o:4;a:l`2?6<3`>26=4+c687e>hd>3;07d:7:18'g2<3i2dh:7<4;h64>5<#k>0?m6`l6;18?l21290/o:4;a:l`2?2<3`?:6=4+c6864>hd>3:07d:i:18'g2<282dh:7?4;h6f>5<#k>0><6`l6;08?l2c290/o:4:0:l`2?5<3`>h6=4+c6864>hd>3>07d;8:18'g2<2>2dh:7>4;h76>5<#k>0>:6`l6;38?l33290/o:4:6:l`2?4<3`?86=4+c6862>hd>3907d;=:18'g2<2>2dh:7:4;n:4>5<#k>03:6`l6;28?j>2290/o:476:l`2?7<3f2j6=4+c68;=>hd>3:07b67:18'g25<#k>03o6`l6;28?j>e290/o:47c:l`2?7<3f3;6=4+c68;b>hd>3:07b6j:18'g2t$e19gf=O;mo0D>j6;[7g>f}603;26f510826?{#i<087)o9:29'e2<43-k36>5f3e`94?=n;mi1<75f7c83>!e02>k0bn850:9j3<<72-i<6:o4nb495>=n?l0;6)m8:6f8jf0=821b;n4?:%a4>2b!e021:0bn850:9j3c<72-i<65>4nb495>=n0=0;6)m8:918jf0=821b4?4?:%a4>=5!e02=>0bn850:9j06<72-i<69:4nb495>=n<;0;6)m8:568jf0=:21b8<4?:%a4>12!e02=>0bn854:9j0g<72-i<69o4nb494>=n<00;6)m8:5c8jf0=921b854?:%a4>1g65f4683>!e02=k0bn853:9j03<72-i<69o4nb490>=n=80;6)m8:428jf0=821b8k4?:%a4>06!e02<:0bn852:9j0a<72-i<68>4nb497>=n00!e02<<0bn851:9j11<72-i<6884nb496>=n=:0;6)m8:448jf0=;21b9?4?:%a4>00!e021<0bn850:9l<0<72-i<6584nb495>=h0h0;6)m8:9;8jf0=821d454?:%a4>=?!e021i0bn850:9l=h190;6)m8:9d8jf0=821d4h4?:%a4>=`5<5>3:1=900:m7?m:c8`>a:009y!g22:1/m;4<;%c4>6=#i1087d=kb;29?l5ck3:17d9m:18'g2<0i2dh:7>4;h5:>5<#k>0hd>3;07d6>:18'g24;h5e>5<#k>03<6`l6;38?l>3290/o:473:l`2?6<3`296=4+c68;7>hd>3;07d:::18'g2<3<2dh:7>4;h60>5<#k>0?86`l6;38?l25290/o:4;4:l`2?4<3`>:6=4+c6870>hd>3907d:?:18'g2<3<2dh:7:4;h6a>5<#k>0?m6`l6;28?l2>290/o:4;a:l`2?7<3`>36=4+c687e>hd>3807d:8:18'g2<3i2dh:7=4;h65>5<#k>0?m6`l6;68?l36290/o:4:0:l`2?6<3`>m6=4+c6864>hd>3;07d:j:18'g2<282dh:7<4;h6g>5<#k>0><6`l6;18?l2d290/o:4:0:l`2?2<3`?<6=4+c6862>hd>3:07d;::18'g2<2>2dh:7?4;h77>5<#k>0>:6`l6;08?l34290/o:4:6:l`2?5<3`?96=4+c6862>hd>3>07b68:18'g22dh:7>4;n:6>5<#k>03:6`l6;38?j>f290/o:479:l`2?6<3f236=4+c68;=>hd>3;07b6k:18'g24;n:a>5<#k>03o6`l6;38?j?7290/o:47f:l`2?6<3f2n6=4+c68;b>hd>3;07pl=9483>70=83:p(i=5cb9K7ac<@:n27W;k:by228k1=o4m:b8g>`2;'e0<43-k=6>5+a680?!g?2:1b?il50;9j7ae=831b;o4?:%a4>2g!e02>k0bn851:9j3`<72-i<6:j4nb494>=n?j0;6)m8:6f8jf0=921b4<4?:%a4>=6!e021:0bn851:9j<1<72-i<65=4nb494>=n0;0;6)m8:918jf0=921b884?:%a4>12!e02=>0bn851:9j07<72-i<69:4nb496>=n<80;6)m8:568jf0=;21b8=4?:%a4>12!e02=k0bn850:9j0<<72-i<69o4nb495>=n<10;6)m8:5c8jf0=:21b8:4?:%a4>1g!e02=k0bn854:9j14<72-i<68>4nb494>=n0665f4e83>!e02<:0bn853:9j0f<72-i<68>4nb490>=n=>0;6)m8:448jf0=821b984?:%a4>00!e02<<0bn852:9j16<72-i<6884nb497>=n=;0;6)m8:448jf0=<21d4:4?:%a4>=0!e021<0bn851:9l=h010;6)m8:9;8jf0=921d4i4?:%a4>=e!e021i0bn851:9l=5<72-i<65h4nb494>=h0l0;6)m8:9d8jf0=921vn?7;:1812?6=8r.o?7ml;I1ga>N4l01Q9i4l{0:95<<6i3;i6o4l:e8f>c<683;:6<<5}%c6>6=#i?087)o8:29'e=<43`9on7>5;h1gg?6=3`=i6=4+c684e>hd>3:07d96:18'g2<0i2dh:7?4;h5f>5<#k>0hd>3:07d9i:18'g25<#k>03?6`l6;28?l>5290/o:473:l`2?7<3`>>6=4+c6870>hd>3:07d:<:18'g2<3<2dh:7?4;h61>5<#k>0?86`l6;08?l26290/o:4;4:l`2?5<3`>;6=4+c6870>hd>3>07d:m:18'g2<3i2dh:7>4;h6:>5<#k>0?m6`l6;38?l2?290/o:4;a:l`2?4<3`><6=4+c687e>hd>3907d:9:18'g2<3i2dh:7:4;h72>5<#k>0><6`l6;28?l2a290/o:4:0:l`2?7<3`>n6=4+c6864>hd>3807d:k:18'g2<282dh:7=4;h6`>5<#k>0><6`l6;68?l30290/o:4:6:l`2?6<3`?>6=4+c6862>hd>3;07d;;:18'g2<2>2dh:7<4;h70>5<#k>0>:6`l6;18?l35290/o:4:6:l`2?2<3f2<6=4+c68;2>hd>3:07b6::18'g22dh:7?4;n:b>5<#k>0356`l6;28?j>?290/o:479:l`2?7<3f2o6=4+c68;g>hd>3:07b6m:18'g25<#k>03j6`l6;28?j>b290/o:47f:l`2?7<3th95;4?:3494?6|,m91on5G3eg8L6b>3S?o6nu>8;3:>4g=9k0i6n4k:d8e>46=980:>7s+a480?!g12:1/m:4<;%c;>6=n;mh1<75f3ea94?=n?k0;6)m8:6c8jf0=821b;44?:%a4>2g!e02>n0bn850:9j3f<72-i<6:j4nb495>=n080;6)m8:928jf0=821b;k4?:%a4>=6!e02190bn850:9j<7<72-i<65=4nb495>=n<<0;6)m8:568jf0=821b8>4?:%a4>12!e02=>0bn852:9j04<72-i<69:4nb497>=n<90;6)m8:568jf0=<21b8o4?:%a4>1g!e02=k0bn851:9j0=<72-i<69o4nb496>=n<>0;6)m8:5c8jf0=;21b8;4?:%a4>1g!e02<:0bn850:9j0c<72-i<68>4nb495>=n06!e02<:0bn854:9j12<72-i<6884nb494>=n=<0;6)m8:448jf0=921b994?:%a4>0065f5283>!e02<<0bn853:9j17<72-i<6884nb490>=h0>0;6)m8:948jf0=821d484?:%a4>=0!e02130bn850:9l<=<72-i<6574nb495>=h0m0;6)m8:9a8jf0=821d4o4?:%a4>=e!e021l0bn850:9l<`<72-i<65h4nb495>=zj;396=4=6;294~"c;3ih7E=ke:J0`<=]=m0hw<651882e?7e2k0h6i4j:g824?762881q)o::29'e3<43-k<6>5+a980?l5cj3:17d=kc;29?l1e290/o:48a:l`2?6<3`=26=4+c684e>hd>3;07d9j:18'g2<0l2dh:7>4;h5`>5<#k>06290/o:470:l`2?6<3`=m6=4+c68;4>hd>3;07d6;:18'g24;h:1>5<#k>03?6`l6;38?l22290/o:4;4:l`2?6<3`>86=4+c6870>hd>3;07d:=:18'g2<3<2dh:7<4;h62>5<#k>0?86`l6;18?l27290/o:4;4:l`2?2<3`>i6=4+c687e>hd>3:07d:6:18'g2<3i2dh:7?4;h6;>5<#k>0?m6`l6;08?l20290/o:4;a:l`2?5<3`>=6=4+c687e>hd>3>07d;>:18'g2<282dh:7>4;h6e>5<#k>0><6`l6;38?l2b290/o:4:0:l`2?4<3`>o6=4+c6864>hd>3907d:l:18'g2<282dh:7:4;h74>5<#k>0>:6`l6;28?l32290/o:4:6:l`2?7<3`??6=4+c6862>hd>3807d;<:18'g2<2>2dh:7=4;h71>5<#k>0>:6`l6;68?j>0290/o:476:l`2?6<3f2>6=4+c68;2>hd>3;07b6n:18'g24;n:;>5<#k>0356`l6;38?j>c290/o:47c:l`2?6<3f2i6=4+c68;g>hd>3;07b7?:18'g24;n:f>5<#k>03j6`l6;38?xd50l0;6?850;2x a5=kj1C?ik4H2f:?_3c2jq:47?6:0c95g6=#i>087)o7:29j7ad=831b?im50;9j3g<72-i<6:o4nb494>=n?00;6)m8:6c8jf0=921b;h4?:%a4>2b!e02>n0bn851:9j<4<72-i<65>4nb494>=n?o0;6)m8:928jf0=921b494?:%a4>=5!e02190bn851:9j00<72-i<69:4nb494>=n<:0;6)m8:568jf0=921b8?4?:%a4>1265f4083>!e02=>0bn853:9j05<72-i<69:4nb490>=n1g!e02=k0bn852:9j02<72-i<69o4nb497>=n06!e02<:0bn851:9j0`<72-i<68>4nb496>=n06!e02<<0bn850:9j10<72-i<6884nb495>=n==0;6)m8:448jf0=:21b9>4?:%a4>00!e02<<0bn854:9l<2<72-i<6584nb494>=h0<0;6)m8:948jf0=921d4l4?:%a4>=?!e02130bn851:9l=h0k0;6)m8:9a8jf0=921d5=4?:%a4>=`!e021l0bn851:9~f7?42909:7>50z&g7?ed3A9oi6Fa;3a>g0;32>44=u-k>6>5+a780?!g02:1/m54<;h1gf?6=3`9oo7>5;h5a>5<#k>0290/o:48a:l`2?7<3`=n6=4+c684`>hd>3:07d9l:18'g2<0l2dh:7?4;h:2>5<#k>03<6`l6;28?l1a290/o:470:l`2?7<3`2?6=4+c68;7>hd>3:07d6=:18'g25<#k>0?86`l6;28?l24290/o:4;4:l`2?7<3`>96=4+c6870>hd>3807d:>:18'g2<3<2dh:7=4;h63>5<#k>0?86`l6;68?l2e290/o:4;a:l`2?6<3`>26=4+c687e>hd>3;07d:7:18'g2<3i2dh:7<4;h64>5<#k>0?m6`l6;18?l21290/o:4;a:l`2?2<3`?:6=4+c6864>hd>3:07d:i:18'g2<282dh:7?4;h6f>5<#k>0><6`l6;08?l2c290/o:4:0:l`2?5<3`>h6=4+c6864>hd>3>07d;8:18'g2<2>2dh:7>4;h76>5<#k>0>:6`l6;38?l33290/o:4:6:l`2?4<3`?86=4+c6862>hd>3907d;=:18'g2<2>2dh:7:4;n:4>5<#k>03:6`l6;28?j>2290/o:476:l`2?7<3f2j6=4+c68;=>hd>3:07b67:18'g25<#k>03o6`l6;28?j>e290/o:47c:l`2?7<3f3;6=4+c68;b>hd>3:07b6j:18'g2N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8n<4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`0ea<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8mo4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`0e<<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8m:4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`0e0<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8m>4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`0e4<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th85k4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`0=a<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th85o4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`0=2<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8584?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`0=6<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th85<4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`0N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th84i4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`0N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8444?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`0<2<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8484?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`0<4<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8;k4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`03a<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8;o4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`03<<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8;:4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`030<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8;>4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`034<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8:k4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`02g<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8:44?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`022<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8:84?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`026<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8:<4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`01c<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th89i4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`01g<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8944?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`010<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th89>4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`014<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th88k4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`00a<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th88o4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`00<<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th88:4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`000<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th88>4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`07c<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8?i4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`07g<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8?44?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`072<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8?84?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`076<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8?<4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`06c<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8>i4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`0f=<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8n;4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`0f5<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th85l4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`0<1<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8:h4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`01=<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th88?4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`06f<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8>l4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`234<72=0;6=u+d28:2>N4ll1C?i74$`696>o2m3:17d9<:188m21=831doo4?::a526=83>1<7>t$e19=3=O;mo0D>j6;%c7>7=n=l0;66g83;29?l102900cnl50;9~f40a290?6=4?{%f0><0<@:nn7E=k9:&b0?4>o0?3:17bmm:188yg71m3:187>50z&g7??13A9oi6F0;66alb;29?xd6>m0;694?:1y'`6<>>2B8hh5G3e;8 d2=:2c>i7>5;h50>5<=1<75`cc83>>{e9?i1<7:50;2x a5=1?1C?ik4H2f:?!g32;1b9h4?::k47?6=3`=<6=44ob`94?=zj8k26=4::183!b420=0D>jj;I1g=>"f<380e8k50;9j25<722c5;h54>5<5<2290;w)j<:858L6bb3A9o56*n4;08m0c=831b:=4?::k47?6=3`=<6=44ob`94?=zj8k>6=4::183!b420=0D>jj;I1g=>"f<380e8k50;9j25<722c5;h54>5<5<2290;w)j<:858L6bb3A9o56*n4;08m0c=831b:=4?::k47?6=3`=<6=44ob`94?=zj83n6=4::183!b420=0D>jj;I1g=>"f<380e8k50;9j25<722c5;h54>5<5<2290;w)j<:858L6bb3A9o56*n4;08m0c=831b:=4?::k47?6=3`=<6=44ob`94?=zj83h6=4::183!b420=0D>jj;I1g=>"f<380e8k50;9j25<722c5;h54>5<5<2290;w)j<:858L6bb3A9o56*n4;08m0c=831b:=4?::k47?6=3`=<6=44ob`94?=zj8326=4::183!b42030D>jj;I1g=>"f<3=0e8k50;9j25<722c<;7>5;ha:>5<5<3290;w)j<:848L6bb3A9o56*n4;08m0c=831b;>4?::k43?6=3fii6=44}c343?6=<3:15;|`2<4<72=0;6=u+d28:2>N4ll1C?i74$`696>o2m3:17d9<:188m21=831doo4?::a52b=83>1<7>t$e19===O;mo0D>j6;%c7>2=n=l0;66g87;29?le>2900cnl50;9~f41d290?6=4?{%f0><><@:nn7E=k9:&b0?1>od13:17bmm:188yg7c03:187>50z&g7??13A9oi6F0;66alb;29?xd6l>0;694?:1y'`6<>>2B8hh5G3e;8 d2=:2c>i7>5;h50>5<=1<75`cc83>>{e9m<1<7:50;2x a5=1?1C?ik4H2f:?!g32;1b9h4?::k47?6=3`=<6=44ob`94?=zj8n>6=4;:183!b420<0D>jj;I1g=>"f<380e8k50;9j36<722c<;7>5;naa>5<54;294~"c;33=7E=ke:J0`<=#i=097d;j:188m25=831b;:4?::m`f?6=3th:h>4?:583>5}#l:02:6F1>6g:e;29?l142900e:950;9lgg<722wi=k750;794?6|,m915:5G3eg8L6b>3-k?6?5f5d83>>o183:17d9<:188m21=831doo4?::a5c>=83?1<7>t$e19=2=O;mo0D>j6;%c7>7=n=l0;66g90;29?l142900e:950;9lgg<722wi=k950;794?6|,m915:5G3eg8L6b>3-k?6?5f5d83>>o183:17d9<:188m21=831doo4?::a5c5=83?1<7>t$e19=2=O;mo0D>j6;%c7>7=n=l0;66g90;29?l142900e:950;9lgg<722wi=k<50;794?6|,m915:5G3eg8L6b>3-k?6?5f5d83>>o183:17d9<:188m21=831doo4?::a5c7=83?1<7>t$e19=2=O;mo0D>j6;%c7>7=n=l0;66g90;29?l142900e:950;9lgg<722wi=k>50;794?6|,m915:5G3eg8L6b>3-k?6?5f5d83>>o183:17d9<:188m21=831doo4?::a5``=83?1<7>t$e19=<=O;mo0D>j6;%c7>2=n=l0;66g90;29?l102900en750;9lgg<722wi=h750;794?6|,m915:5G3eg8L6b>3-k?6?5f5d83>>o183:17d9<:188m21=831doo4?::a5`>=83?1<7>t$e19=2=O;mo0D>j6;%c7>7=n=l0;66g90;29?l142900e:950;9lgg<722wi=h950;794?6|,m915:5G3eg8L6b>3-k?6?5f5d83>>o183:17d9<:188m21=831doo4?::a5`0=83?1<7>t$e19=<=O;mo0D>j6;%c7>2=n=l0;66g90;29?l102900en750;9lgg<722wij>4?:583>5}#l:02:6F0;66alb;29?xda<3:187>50z&g7??13A9oi6F6*n4;08m0c=831b;>4?::k43?6=3fii6=44}cd6>5<3290;w)j<:848L6bb3A9o56F:a:&a6?b53-k?6?5f5d83>>o0;3:17d98:188kfd=831vnk850;694?6|,m915;5G3eg8L6b>3A?j7)l=:e08 d2=:2c>i7>5;h50>5<=1<75`cc83>>{en>0;694?:1y'`6<>>2B8hh5G3e;8L0g<,k81h?5+a581?l3b2900e:=50;9j32<722ehn7>5;|`254<72=0;6=u+d28:2>N4ll1C?i74H4c8 g4=l;1/m94=;h7f>5<91<75f7683>>idj3:17pl>1383>1<729q/h>466:J0``=O;m30D8o4$c09`7=#i=097d;j:188m25=831b;:4?::m`f?6=3th:=>4?:583>5}#l:02:6F0;66alb;29?xd69=0;694?:1y'`6<>>2B8hh5G3e;8L0g<,k81h?5+a581?l3b2900e:=50;9j32<722ehn7>5;|`250<72=0;6=u+d28:2>N4ll1C?i74H4c8 g4=l;1/m94=;h7f>5<91<75f7683>>idj3:17plj9;290?6=8r.o?779;I1ga>N4l01C9l5+b38g6>"f<380e8k50;9j36<722c<;7>5;naa>5<jj;I1g=>N2i2.i>7j=;%c7>7=n=l0;66g83;29?l102900cnl50;9~f`d=83>1<7>t$e19=3=O;mo0D>j6;I7b?!d52m80(l:52:k6a?6=3`=86=44i6594?=hkk0;66smeb83>1<729q/h>466:J0``=O;m30D8o4$c09`7=#i=097d;j:188m25=831b;:4?::m`f?6=3thnh7>54;294~"c;33=7E=ke:J0`<=O=h1/n?4k2:&b0?4>o0?3:17bmm:188yg77:3:187>50z&g7??13A9oi6F6*n4;08m0c=831b;>4?::k43?6=3fii6=44}c337?6=<3:1N4l01C9l5+b38g6>"f<380e8k50;9j36<722c<;7>5;naa>5<54;294~"c;33=7E=ke:J0`<=O=h1/n?4k2:&b0?4>o0?3:17bmm:188yg77>3:187>50z&g7??13A9oi6F6*n4;08m0c=831b;>4?::k43?6=3fii6=44}c302?6=<3:15;|`270<72=0;6=u+d28:2>N4ll1C?i74$`696>o2m3:17d9<:188m21=831doo4?::a562=83>1<7>t$e19=3=O;mo0D>j6;%c7>7=n=l0;66g83;29?l102900cnl50;9~f454290?6=4?{%f0><0<@:nn7E=k9:&b0?4>o0?3:17bmm:188yg74:3:187>50z&g7??13A9oi6F0;66alb;29?xd69o0;694?:1y'`6<>>2B8hh5G3e;8 d2=:2c>i7>5;h50>5<=1<75`cc83>>{e98o1<7:50;2x a5=1?1C?ik4H2f:?!g32;1b9h4?::k47?6=3`=<6=44ob`94?=zj8;o6=4;:183!b420<0D>jj;I1g=>"f<380e8k50;9j36<722c<;7>5;naa>5<54;294~"c;33=7E=ke:J0`<=#i=097d;j:188m25=831b;:4?::m`f?6=3th:=o4?:583>5}#l:02:6F1>6g:e;29?l142900e:950;9lgg<722wi=?:50;694?6|,m915;5G3eg8L6b>3-k?6?5f5d83>>o0;3:17d98:188kfd=831vn<<<:187>5<7s-n86484H2ff?M5c12.j87<4i4g94?=n?:0;66g87;29?jee2900qo?=2;290?6=8r.o?779;I1ga>N4l01/m94=;h7f>5<91<75f7683>>idj3:17pl>2083>1<729q/h>466:J0``=O;m30(l:52:k6a?6=3`=86=44i6594?=hkk0;66sm13294?2=83:p(i=5979K7ac<@:n27)o;:39j1`<722c5;h54>5<5<3290;w)j<:848L6bb3A9o56*n4;08m0c=831b;>4?::k43?6=3fii6=44}c30e?6=<3:15;|`27<<72=0;6=u+d28:2>N4ll1C?i74$`696>o2m3:17d9<:188m21=831doo4?::a56>=83>1<7>t$e19=3=O;mo0D>j6;%c7>7=n=l0;66g83;29?l102900cnl50;9~f450290?6=4?{%f0><0<@:nn7E=k9:&b0?4>o0?3:17bmm:188yg7c13:1?7>50z&g7?gc3A9oi6F3`3n6=44i8d94?=hk:0;66sm3cf94?5=83:p(i=5ae9K7ac<@:n27)o;:89'g=<4n>1b5h4?::k:b?6=3fi86=44}c1aa?6=;3:14?::a7a4=8391<7>t$e19ea=O;mo0D>j6;%c7><=#k108j:5f9d83>>o>n3:17bm<:188yg5c03:1?7>50z&g7?gc3A9oi6F3-i36>h9;h;f>5<>{e9=o1<7950;2x a5=j81C?ik4H2f:?!g32?1b5h4?::k:b?6=3`k;6=44i`394?=ni;0;66gn3;29?je42900qo?;f;293?6=8r.o?7l>;I1ga>N4l01/m94=b:k:a?6=3`3m6=44i`294?=ni80;66gn2;29?lg42900cn=50;9~f43>29086=4?{%f0>db<@:nn7E=k9:&b0?563`3n6=44i8d94?=hk:0;66sm14594?5=83:p(i=5ae9K7ac<@:n27)o;:238m5}#l:0jh6F1?<5f9d83>>o>n3:17bm<:188yg7>>3:197>50z&g7?ga3A9oi6F5;hc3>5<>id;3:17pl>8e83>1<729q/h>4ne:J0``=O;m30(l:5229'g=<4mh1b5h4?::k:b?6=3`k;6=44ob194?=zj82h6=4<:183!b42hn0D>jj;I1g=>"f<3;o7)m7:2gb?l?b2900e4h50;9lg6<722wi=:850;694?6|,m91mh5G3eg8L6b>3-k?68l4i8g94?=n1o0;66gn0;29?je42900qo?8f;291?6=8r.o?7oi;I1ga>N4l01/m94<0:&`5;h;e>5<>id;3:17pl>7383>0<729q/h>4nf:J0``=O;m30(l:5a:k:a?6=3`3m6=44i`294?=ni80;66al3;29?xd6?00;684?:1y'`65;hc3>5<>{e9>h1<7:50;2x a5=il1C?ik4H2f:?!g32<20(n653gd8m"d039n56g6e;29?l?a2900cn=50;9~f44129086=4?{%f0>db<@:nn7E=k9:&b0?7c3-i36>km;h;f>5<>{e9;21<7:50;2x a5=il1C?ik4H2f:?!g32:<0(n653d`8m"d039no6g6e;29?l?a2900cn=50;9~f45a290?6=4?{%f0>dc<@:nn7E=k9:&b0?513-i36>kl;h;f>5<>id;3:17plkb;297?6=8r.o?7ok;I1ga>N4l01/m94>d:&`5;h;e>5<53;294~"c;3ko7E=ke:J0`<=#i=0:h6*l8;1e4>o>m3:17d7i:188kf5=831vni850;194?6|,m91mi5G3eg8L6b>3-k?6>id;3:17plk5;297?6=8r.o?7ok;I1ga>N4l01/m94>d:&`5;h;e>5<5<1290;w)j<:c28L6bb3A9o56*n4;1;?!e?2:lj7d7j:188m<`=831bm=4?::kb5?6=3`k96=44ob194?=zj8>96=49:183!b42k:0D>jj;I1g=>"f<3937)m7:2d`?l?b2900e4h50;9je5<722cj=7>5;hc1>5<5<2290;w)j<:`d8L6bb3A9o56*n4;03?!e?2:lj7d7j:188m<`=831bm=4?::kb5?6=3fi86=44}c374?6==3:1"d039mo6g6e;29?l?a2900el>50;9je4<722eh?7>5;|`2<6<72>0;6=u+d28a5>N4ll1C?i74$`6971=n1l0;66g6f;29?lg72900el?50;9je7<722cj?7>5;na0>5<57;294~"c;3h:7E=ke:J0`<=#i=09h6g6e;29?l?a2900el>50;9je4<722cj>7>5;hc0>5<5<2290;w)j<:`d8L6bb3A9o56*n4;10?l?b2900e4h50;9je5<722cj=7>5;na0>5<57;294~"c;3h:7E=ke:J0`<=#i=0886g6e;29?l?a2900el>50;9je4<722cj>7>5;hc0>5<5<4290;w)j<:`f8L6bb3A9o56*n4;12?!e?2:l>7d7j:188m<`=831do>4?::a5d`=83<1<7>t$e19f5=O;mo0D>j6;%c7>64>of83:17do>:188md4=831do>4?::a5g6=83=1<7>t$e19f4=O;mo0D>j6;%c7>42>of83:17do>:188md4=831bm>4?::m`7?6=3th:8l4?:683>5}#l:0i=6F196g6e;29?l?a2900el>50;9je4<722cj>7>5;hc0>5<5<0290;w)j<:c38L6bb3A9o56*n4;;7?l?b2900e4h50;9je5<722cj=7>5;hc1>5<>{e9kl1<7950;2x a5=j81C?ik4H2f:?!g328=0e4k50;9j=c<722cj<7>5;hc2>5<>id;3:17pl>5083>6<729q/h>4nd:J0``=O;m30(l:5909'g=<4ml1b5h4?::k:b?6=3fi86=44}c37f?6=?3:15;hc1>5<>{e9=;o;0e4k50;9j=c<722cj<7>5;hc2>5<>{e9j<1<7;50;2x a5=io1C?ik4H2f:?!g32080(n653gf8mjj;I1g=>"f<39h7)m7:2g:?l?b2900e4h50;9je5<722cj=7>5;hc1>5<5<0290;w)j<:c38L6bb3A9o56*n4;3`?l?b2900e4h50;9je5<722cj=7>5;hc1>5<>{e9=i1<7850;2x a5=j91C?ik4H2f:?!g32:l0(n653d58m=;l=0e4k50;9j=c<722cj<7>5;hc2>5<5<2290;w)j<:`d8L6bb3A9o56*n4;30?!e?2:ln7d7j:188m<`=831bm=4?::kb5?6=3fi86=44}c3b`?6=>3:1jj;I1g=>"f<38<7)m7:2gg?l?b2900e4h50;9lg6<722wi=5:50;794?6|,m91mk5G3eg8L6b>3-k?6>o4$b:97cc>of83:17do>:188kf5=831vn<6::186>5<7s-n86lh4H2ff?M5c12.j87=<;%a;>6`?3`3n6=44i8d94?=ni90;66gn1;29?je42900qo?76;292?6=8r.o?7l?;I1ga>N4l01/m94:c:&`5;h;e>5<>of:3:17bm<:188yg7?03:1;7>50z&g7?d63A9oi6F5;h;e>5<>of:3:17do<:188kf5=831vn5<7s-n86o?4H2ff?M5c12.j875<>of93:17do=:188md5=831do>4?::a5g3=83=1<7>t$e19f4=O;mo0D>j6;%c7>7`>of83:17do>:188md4=831bm>4?::m`7?6=3th:o94?:483>5}#l:0jj6F1=h5+c980b7=n1l0;66g6f;29?lg72900el?50;9lg6<722wi=n;50;794?6|,m91mk5G3eg8L6b>3-k?6>74$b:97c4>of83:17do>:188kf5=831vn5<7s-n86o?4H2ff?M5c12.j877<;h;f>5<>of93:17do=:188md5=831do>4?::a`=<72:0;6=u+d28b`>N4ll1C?i74$`695a=#k108j95f9d83>>o>n3:17bm<:188yg7313:1;7>50z&g7?d63A9oi6F5;h;e>5<>of:3:17do<:188kf5=831vn5<7s-n86lh4H2ff?M5c12.j87=:;%a;>6`c3`3n6=44i8d94?=ni90;66gn1;29?je42900qo?;8;291?6=8r.o?7oi;I1ga>N4l01/m94=e:&`5;h;e>5<>id;3:17pl>c383>6<729q/h>4nd:J0``=O;m30(l:5309'g=<4mm1b5h4?::k:b?6=3fi86=44}c3f7?6==3:1"d039m56g6e;29?l?a2900el>50;9je4<722eh?7>5;|`2a0<72?0;6=u+d28a4>N4ll1C?i74$`691c=#k108j45f9d83>>o>n3:17do?:188md7=831bm?4?::m`7?6=3th:ho4?:583>5}#l:0ji6F1?i5+c980b0=n1l0;66g6f;29?lg72900cn=50;9~f4bf29086=4?{%f0>db<@:nn7E=k9:&b0?563-i36>ki;h;f>5<>{el00;6>4?:1y'`65;na0>5<jj;I1g=>"f<39:7d7j:188m<`=831do>4?::a5g?=83=1<7>t$e19f4=O;mo0D>j6;%c7>61>of83:17do>:188md4=831bm>4?::m`7?6=3th:m94?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`2e=<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th:m;4?:483>5}#l:02;6F1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`230<72=0;6=u+d28ba>N4ll1C?i74$`693==#k108i55f9d83>>o>n3:17do?:188kf5=831vn>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>:>:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>;8:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>8k:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>6<:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>76:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>oi:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>l::185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>l8:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>l6:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>=?:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>==:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>=;:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>=9:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>=7:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>=n:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>=l:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>=j:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>:?:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>:;:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>:9:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>:7:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>:n:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>:l:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>:j:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>;?:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>;=:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>;;:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>;9:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>;n:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>;l:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>;j:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>8?:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>8=:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>8;:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>89:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>87:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>8n:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>8l:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>9?:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>9=:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>9;:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>99:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>97:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>9n:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>9l:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>9j:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>6?:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>6=:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>69:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>67:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>6n:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>6l:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>6j:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>7?:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>7=:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>7;:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>79:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>77:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>7l:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>7j:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>o?:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>o=:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>o;:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>o9:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>o7:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>on:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>ol:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>oj:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>l=:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn>l;:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<>of93:17do=:188kf5=831vn<7n:180>5<7s-n86lj4H2ff?M5c12.j87?k;h;f>5<>{e91h1<7<50;2x a5=i01C?ik4H2f:?l?c2900cn=50;9~f4`e29096=4?{%f0>d?<@:nn7E=k9:k:`?6=3fi86=44}c3e`?6=:3:1>id;3:17pl>7583>7<729q/h>4n9:J0``=O;m30e4j50;9lg6<722wi=8m50;694?6|,m91n>5G3eg8L6b>3`3n6=44i8d94?=nkm0;66al3;29?xd6=m0;6;4?:1y'`65c89>7f7=k016?n<5c89>7a5=k016?nj5c89>7g`=?:1vo650;3e85cn33i70=l0;54?85dj3=<70=lc;54?85d>3=<70=l7;54?85d03=<70<63;:1?84>;32?70<7e;:1?84?m32?70<62;:1?84>:32?70<66;:1?84>>32?70<64;:1?84><32?70<65;:1?84>=32?70<69;:1?84>132?70<67;:1?84>?32?70<68;:1?84>032?70<61;:1?84>932?70<7f;:1?84?n32?70<60;:1?84>832?7p}>1783><}:98h1oo521``9e4=:9hn15k521cf9=`=:9k215h521b09=c=:9k31m>521cd9=c=:9j<15h5rs034>554b=kk16=lm59g9>5dc=1o16=n=5a09>5f2=i816=n;5a09>5g?=1o16=n?59d9>5g`=1l1v1`83>1}:98l1oo521`a9e4=:9hl15k521b59=c=z{88o6=4k{<306?ee34;>=77i;<37g?g734;?h77j;<3;0??a34;?57o=;<37i7o<;<367?g634;>n77i;<36e??b34;397o?;<3;2??a3ty:>h4?:ey>565=kk16=9o5a29>51b=i916=5:5a19>5=0=i916=975a19>50b=i916=8;59g9>505=i;16=8l5a19>51e=1o16=8o59g9>5=3=1o1v<3183>2}:9:?1oo5214;9=`=:95<3s4;8:7mm;<36b??b34;3?77j;<3;3??b3ty8nn4?:0;x97?42:nh70<7e;1gg>;51;08hn5228497ae<5;3?6>jl;<0:1?5ck2795446<1=;mi01?77:2f`?84>939oo63=8g80`f=::0:1?im4=0g5>36<58o<6;>4=0g;>36<58o26;>4=0ge>36<58l;6;>4=0d2>36<58l96;>4=0d0>36<58l<6;>4=0d;>36<58l26;>4=0f:>f552z?2f65<5sW>i463>d28`f>{t9jo1<7cg83>7}YvP;bc9>5a0=kk1v:181[2ek27:h:4lb:p5a4=838pR9lj;<3g01?6j:46897?52<>01?79:46897?32<>01?7::46897?>2<>01?78:46897??2<>01?7>:46897>a2<>01?7?:46894`02jh01io59d9>5f5=1o16=n:59d9>5f3=i916h446f:?2f<<>m27:o<46f:?2fc;3?>70<7e;76?84>:3?>70<66;76?84><3?>70<65;76?84>13?>70<67;76?84>03?>70<61;76?84?n3?>70<60;76?87a03ii70jm:8g89ag=1o16=n95a19>5f5=1l16=n:59g9>5f3=1l1v;63=8d863>;51;0>;63=97863>;51=0>;63=94863>;5100>;63=96863>;5110>;63=90863>;50o0>;63=91863>;6n00hn63>3c843>;cj33m70?l7;;f?xu4jk0;697t=365>36<5;><6;>4=371>36<5;?o6;>4=34;>36<5;=86;>4=35f>36<5;226;>4=3:`>36<5;2o6;>4=36;>36<5;>26;>4=36b>36<5;>i6;>4=36`>36<5;>o6;>4=36f>36<5;>m6;>4=373>36<5;?:6;>4=370>36<5;??6;>4=376>36<5;?=6;>4=374>36<5;?36;>4=37:>36<5;?j6;>4=37a>36<5;?h6;>4=37f>36<5;?m6;>4=343>36<5;<:6;>4=341>36<5;<86;>4=347>36<5;<>6;>4=345>36<5;<<6;>4=34:>36<5;4=34a>36<5;4=34g>36<5;4=34e>36<5;=;6;>4=352>36<5;=96;>4=357>36<5;=>6;>4=355>36<5;=<6;>4=35;>36<5;=26;>4=35b>36<5;=i6;>4=35`>36<5;=o6;>4=35e>36<5;2;6;>4=3:2>36<5;296;>4=3:0>36<5;2?6;>4=3:6>36<5;2=6;>4=3:4>36<5;236;>4=3:b>36<5;2i6;>4=055>f554z?2<<l27:;?46f:p52b=838pR978;<34`?ee3ty::;4?:3y]0d1<58;6>l0hn6s|17;94?4|V=kj70?9f;aa?xu6>h0;6?uQ4``894172jh0q~?9b;296~X3im16=:?5cc9~w4?a2909iv3=92875>;51:0?;63=9287`>;50l0?=63=8d873>;50l0?h63=93875>;51;0?;63=9387`>;51?0?=63=97873>;51?0?h63=95875>;51=0?;63=9587`>;51<0?=63=94873>;51<0?h63=98875>;5100?;63=9887`>;51>0?=63=96873>;51>0?h63=99875>;5110?;63=9987`>;5180?=63=90873>;5180?h63=8g875>;50o0?;63=8g87`>;5190?=63=91873>;5190?h63>a28`f>;c=33n70?;d;c2?87?<3k:70?;9;c2?8b320l01<;m:`18942d2h801<;n:`3894>12h80q~?n0;296<}::0918?5228190==::0918h5229g907=::1o1855229g90`=::0818?5228090==::0818h52284907=::0<1855228490`=::0>18?5228690==::0>18h52287907=::0?1855228790`=::0318?5228;90==::0318h52285907=::0=1855228590`=::0218?5228:90==::0218h52283907=::0;1855228390`=::1l18?5229d90==::1l18h52282907=::0:1855228290`=:9h?1oo52d78:a>;c=33m70?77;c0?872j3k97p}>a083>7?|5;3869=4=3;0>1?<5;3869h4=3:f>15<5;2n6974=3:f>1`<5;3969=4=3;1>1?<5;3969h4=3;5>15<5;3=6974=3;5>1`<5;3?69=4=3;7>1?<5;3?69h4=3;6>15<5;3>6974=3;6>1`<5;3269=4=3;:>1?<5;3269h4=3;4>15<5;3<6974=3;4>1`<5;3369=4=3;;>1?<5;3369h4=3;2>15<5;3:6974=3;2>1`<5;2m69=4=3:e>1?<5;2m69h4=3;3>15<5;3;6974=3;3>1`<58k<6nl4=e59=`=:l?02j63>868b6>;6=o0j=6s|1`094?4>s482?7::;<0:7?2e3482?7;>;<0;a?223483i7:m;<0;a?363482>7::;<0:6?2e3482>7;>;<0:2?223482:7:m;<0:2?36348287::;<0:0?2e348287;>;<0:1?22348297:m;<0:1?36348257::;<0:=?2e348257;>;<0:3?223482;7:m;<0:3?36348247::;<0:;<0:5?223482=7:m;<0:5?363483j7::;<0;b?2e3483j7;>;<0:4?223482<7:m;<0:4?3634;j57mm;<310?1034n<64h4=07e><`<582<6l?4}r3ea?6=:rT?8h522549gg=z{;:26=4={_66=>;5<>0hn6s|20694?4|V=;65cc9~w7522909wS:75:?1369>50;0xZ1?7348fd52z\7=0=::1i1oo5rs366>5<5sW>2:63=8e8`f>{t9ol1<77}Y<<:01?:6:b`8yv4793:1>vP;509>61g=kk1v?>=:181[22:2798o4lb:p655=838pR9;<;<07g?ee3ty9<94?:3y]002<5;>o6nl4}r031?6=:rT?985225g9gg=z{;:=6=4={_662>;58=5cc9~w76e2909wS::b:?111=m50;0xZ13d348>97mm;|q14a<72;qU88j4=375>fd52z\71`=::<=1oo5rs32e>5<5sW>>j63=598`f>{t:8:1<77}YvP;639>60d=kk1v??<:181[21;2799n4lb:p643=838pR98:;<06a?ee3ty9=;4?:3y]030<5;?m6nl4}r023?6=:rT?::522729gg=z{;;36=4={_65<>;5>80hn6s|20;94?4|V=<270<92;aa?xu59h0;6?uQ47c897042jh0q~<>b;296~X3>k16>;:5cc9~w77d2909wS:9c:?120fd52z\735=::?31oo5rs302>5<5sW><=63=6`8`f>{t:;81<77}Y<>901?8l:b`8yv45<3:1>vP;759>63b=kk1v?<::181[20=279:h4lb:p670=838pR999;<05b?ee3ty9>:4?:3y]021<5;=;6nl4}r01;5?;0hn6s|23`94?4|V==i70<84;aa?xu5:j0;6?uQ46a897122jh0q~<=d;296~X3?m16>:85cc9~w74b2909wS:8e:?132?h50;0xZ11a348<47mm;|q175<72;qU85>4=35:>fd52z\7<4=::>k1oo5rs311>5<5sW>3>63=7c8`f>{t::91<77}Y<1>01?9k:b`8yv44>3:1>vP;879>62`=kk1v?=8:181[2??2794=4lb:p66>=838pR967;<0;5?ee3ty9?44?:3y]0=?<5;296nl4}r00e?6=:rT?4l522919gg=z{;9i6=4={_6;f>;50=0hn6s|22a94?4|V=2h70<75;aa?xu5;m0;6?uQ49f897>12jh0q~<595cc9~w75a2909wS:7f:?1<=9?50;0xZ1?63483m7mm;|q107<72;qU84=4=3:a>fd54z?2f74l9:?0g7<0?278o<4lb:p7g`=838p1>li:b`894d720o0q~=l5;295<}:9131o4523b:9gg=:9?i1;>5217f936=:9?o1;>5217d936=:9>:1;>52163936=:9031o45218`936=:90i1;>5218f936=:90o1;>521`1936=:9h?1;>521`5936=:9h31;>5216a9g<=:9>n1o452193936=:9>=1;>5216c936=:9h>1;>521`:936=:9h<1;>5rs2a7>5<6=r78o:4lb:?265<0;27:><483:?267<0;27:>>483:?261<0;27:??483:?276<0;27:?9483:?270<0;27:?;483:?247<0;27:<>483:?241<0;27:<8483:?243<0;27n579<;25<5lh1;>52eb847>;bl3=87p}<7|5:i=6nl4=365>25<5;><6:=4=371>25<5;?o6:=4=34;>25<5;=86:=4=35f>25<5;226:=4=3:`>25<5;2o6:=4=36;>25<5;>26:=4=36b>25<5;>i6:=4=36`>25<5;>o6:=4=36f>25<5;>m6:=4=373>25<5;?:6:=4=370>25<5;??6:=4=376>25<5;?=6:=4=374>25<5;?36:=4=37:>25<5;?j6:=4=37a>25<5;?h6:=4=37f>25<5;?m6:=4=343>25<5;<:6:=4=341>25<5;<86:=4=347>25<5;<>6:=4=345>25<5;<<6:=4=34:>25<5;25<5;25<5;25<5;=;6:=4=352>25<5;=96:=4=357>25<5;=>6:=4=355>25<5;=<6:=4=35;>25<5;=26:=4=35b>25<5;=i6:=4=35`>25<5;=o6:=4=35e>25<5;2;6:=4=3:2>25<5;296:=4=3:0>25<5;2?6:=4=3:6>25<5;2=6:=4=3:4>25<5;236:=4=3:b>25<5;2i6:=4=20b>25<5:8h6:=4=261>25<5:?36:=4=24f>25<5:2?6:=4=2;b>25<5:h;6:=4=2`5>25<5:h36:=4=20g>25<5:8m6:=4=212>25<5:986:=4=216>25<5:9<6:=4=21:>25<5:9i6:=4=21g>25<5:9m6:=4=260>25<5:>>6:=4=264>25<5:>26:=4=26a>25<5:>o6:=4=26e>25<5:?:6:=4=270>25<5:?>6:=4=27:>25<5:?i6:=4=27g>25<5:?m6:=4=242>25<5:<86:=4=246>25<5:<<6:=4=24:>25<5:25<5:=:6:=4=250>25<5:=>6:=4=254>25<5:=26:=4=25a>25<5:=o6:=4=25e>25<5:2:6:=4=2:6>25<5:2<6:=4=2::>25<5:2i6:=4=2:g>25<5:2m6:=4=2;2>25<5:386:=4=2;6>25<5:3<6:=4=2;a>25<5:3o6:=4=2;e>25<5:k:6:=4=2c0>25<5:k>6:=4=2c4>25<5:k26:=4=2ca>25<5:ko6:=4=2`2>25<5:h86:=4}r1`e?6=9:q6?nm5cc9>5a5=?:16=i:5729>5a3=?:16=i85729>5a1=?:16=i65729>5`0=k016=h95729>5`>=?:16=h75729>5``=k016=k>5729>5c7=?:16=k<5729>5c5=?:16=k95729>5c>=?:16=k75729~w6e>290:9v3;6;>039847>;6;003`847>;6;k01c847>;69j01e847>;69l01g847>;698013847>;69:015847>;69<0901k;5729>b3<0;27m;79<;|q7g5<72:qU8n>4=0f:>4}r6a3?6=;lqU8o94=0`1>0c<58h868k4=2a3>0c<5:n>68k4=2aa>0c<5:ih68k4=2a2>0c<5:n=68k4=2a1>0c<5:n868k4=2`e>0c<5;386>jm;<0;a?5cj2795?46<0=;mh01?7;:2fa?84>=39on63=9880`g=::0=1?il4=3;;>6be3482=7=kb:?14>53e`894b422b1<2m27m97;j;0c<5o=19h5rs5ce>5<3nrT?mk5216d9e4=:9>31m<5216`9e5=:9>?15k5233`9e5=:;=;1m=523459e5=:;?n1m=523919e5=:;031m=523`d9e5=:;k?1m=523c59e5=:;k31m=5233g9e5=:;::1m=523209e5=:;:>1m=523249e5=:;:21m=5232c9e5=:;:i1m=5232g9e5=:;=:1m=523569e5=:;=<1m=5235:9e5=:;=k1m=5235a9e5=:;=o1m=523429e5=:;<81m=523469e5=:;<<1m=5234c9e5=:;1m=523749e5=:;?21m=5237c9e5=:;?i1m=523629e5=:;>81m=523669e5=:;><1m=5236:9e5=:;>k1m=5236a9e5=:;>o1m=523929e5=:;181m=523949e5=:;121m=5239c9e5=:;1i1m=5239g9e5=:;0:1m=523809e5=:;0>1m=523849e5=:;021m=5238a9e5=:;0o1m=523`29e5=:;h81m=523`69e5=:;h<1m=523`:9e5=:;hk1m=523`a9e5=:;ho1m=523c09e5=:;k>1m=521649=`=:9>815h5rs5c5>5o19h5229;91`=::1i19h5229f91`=::=219h5225;91`=::=k19h5225`91`=::=i19h5225f91`=::=o19h5225d91`=::<:19h5224391`=::<919h5224691`=::19h5227791`=::?<19h5227591`=::?319h5227c91`=::?h19h5227a91`=::?n19h5227g91`=::?l19h5226291`=::>;19h5226091`=::>>19h5226791`=::><19h5226591`=::>219h5226;91`=::>k19h5226`91`=::>i19h5226f91`=::>l19h5229291`=::1;19h5229091`=::1919h5229691`=::1?19h5229491`=::1=19h5229:91`=::1k19h5229`91`=:;;k19h5233a91`=:;=819h5234:91`=:;?o19h5239691`=:;0k19h523c291`=:;k<19h523c:91`=:;;n19h5233d91`=:;:;19h5232191`=:;:?19h5232591`=:;:319h5232`91`=:;:n19h5232d91`=:;=919h5235791`=:;==19h5235;91`=:;=h19h5235f91`=:;=l19h5234391`=:;<919h5234791`=:;<319h5234`91`=:;919h5236791`=:;>=19h5236;91`=:;>h19h5236f91`=:;>l19h5239391`=:;1?19h5239591`=:;1319h5239`91`=:;1n19h5239d91`=:;0;19h5238191`=:;0?19h5238591`=:;0h19h5238f91`=:;0l19h523`391`=:;h919h523`791`=:;h=19h523`;91`=:;hh19h523`f91`=:;k;19h523c191`=:9?i19h5217f91`=:9?o19h5217d91`=:9>:19h5216391`=:90319h5218`91`=:90i19h5218f91`=:90o19h521`191`=:9h?19h521`591`=:9h319h5216a91`=:9>n19h5219391`=:9>=19h5216c91`=:9;:19h5213391`=:9;819h5213191`=:9;>19h5212091`=:9:919h5212691`=:9:?19h5212491`=:99819h5211191`=:99>19h5211791`=:99<19h52e886a>;bi3?n70km:4g89`e==l16ii4:e:?2e1<2m27:m54:e:?2e3<2m2wx8=:50;0xZ1633483i796;|q74c<72;qU8=h4=3:f>2d:m7>52z\75d=::1o1;n5rs506>5<5sW>9963=8d84a>{t<::1<77}Y<:h01?6j:938yv23>3:1>vP;479>6<4=?01v9:n:181[23i2795?48b:p01d=838pR9:m;<0:6?1d3ty?8n4?:3y]01e<5;396:k4}r631?6=:rT?<85228093c=z{=:=6=4={_632>;51;03=6s|41594?4|V=:<70<63;5:?xu3810;6?uQ41:897?42>h0q~:?9;296~X38016>4=57b9~w16f2909wS:?a:?1=6<0m2wx8=l50;0xZ16e3482?79i;|q74f<72;qU8=m4=3;0>=7;h7>52z\74a=::0>1;45rs52f>5<5sW>;i63=9584f>{t<8:1<7<3=h7p};1083>7}Y<8;01?7;:6g8yv26:3:1>vP;139>6<2=?o1v9?<:181[26;27959471:p042=838pR9?;;<0:1?1>3ty?=84?:3y]043<5;3>6:l4}r622?6=:rT?=;5228793f=z{=;<6=4={_623>;51<0b;296~X39k16>485789~w17d2909wS:>c:?1=3<0j2wx82c:j7>52z\75c=::0<1;k5rs503>5<5sW>9<63=978;5>{t<;;1<7?3=27p};2383>7}Y<;801?78:6`8yv25;3:1>vP;229>6<1=?j1v9<;:181[25<2795:48e:p070=838pR9<9;<0:3?1a3ty?>:4?:3y]071<5;3<65?4}r6155228:93<=z{=826=4={_61=>;5110o0q~:=c;296~X3:j16>4657g9~w14c2909wS:=d:?1==2d8=7>52z\774=::031;n5rs511>5<5sW>8>63=9884a>{t<:91<713=m7p};3583>7}Y<:>01?76:938yv24=3:1>vP;349>6=`=?01v9=9:181[24>2794k48b:p061=838pR9=8;<0;b?1d3ty??54?:3y]06><5;2m6:k4}r60=?6=:rT??45229d93c=z{=9j6=4={_60e>;50o03=6s|42a94?4|V=9h70<60;5:?xu3;m0;6?uQ42f897?72>h0q~:4>57b9~w15a2909wS:50;0xZ1273482<79i;|q704<72;qU89?4=3;3>=7?>7>52z\707=::0;1;45rs560>5<5sW>??63=9084f>{t<=>1<793=h7p};4483>7}Y<=?01?7>:6g8yv23?3:1>vP;469>6<7=?o1v9:6:181[2312795<471:p5=7=83=p1<6>:b`8942a20o01<:n:8d8942e20l01<:6:8g8942?20o01<:j:8g8yv70?3:198u21659gg=:9=l15k5216d9e5=:9>315k5216`9=`=:9=k15h5215`9=`=:9=315k5215:9e4=:9>?1m=5233`9e4=:;=;1m<523459e4=:;?n1m<523919e4=:;031m<523`d9e4=:;k?1m<523c59e4=:;k31m<5233g9e4=:;::1m<523209e4=:;:>1m<523249e4=:;:21m<5232c9e4=:;:i1m<5232g9e4=:;=:1m<523569e4=:;=<1m<5235:9e4=:;=k1m<5235a9e4=:;=o1m<523429e4=:;<81m<523469e4=:;<<1m<5234c9e4=:;1m<523749e4=:;?21m<5237c9e4=:;?i1m<523629e4=:;>81m<523669e4=:;><1m<5236:9e4=:;>k1m<5236a9e4=:;>o1m<523929e4=:;181m<523949e4=:;121m<5239c9e4=:;1i1m<5239g9e4=:;0:1m<523809e4=:;0>1m<523849e4=:;021m<5238a9e4=:;0o1m<523`29e4=:;h81m<523`69e4=:;h<1m<523`:9e4=:;hk1m<523`a9e4=:;ho1m<523c09e4=:;k>1m<5215g9=c=:9><1m=521609e4=z{82n6=4>4z?2=<n27:9<46e:?20a<>n27:4946e:?20<i7o?;<367?g434;>n7o>;<37g?g634;>m7o?;<3;1?g634;3:7o>;|q25d5=?>16=485a19>5<>=i816=5j5a19>5=e=1l16=l:5769>5=3=1l16=5859d9~w4?729003k970?7d;;f?87f>3=<70?73;c1?xu6180;6;u218f9gg=:9h=1;:521849=`=:90215h521`:932=:9191m=5rs0;1>5<3s4;2i7mm;<3b=?1034;2477i;<3;7??a3ty:4?4?:3y>5=?=?>16=565c29~w4bd290:=v3>e78`f>;6n90<;63>c78b5>;6jk02j63k8;;f?87e03k:70?j3;c3?87b=3k:70?kb;;e?87ci33n70j6:8g894d>2h;01e68`f>;6n80<;63>ad8:a>;6m:02j63>e48b6>;6lk02i6s|1eg94?3|58o36nl4=0d1>21<58km6l?4=0g0>64k4}r3gb?6=n2wx=ho50;dx94ca2jh015g>=i916=n<59d9>5`5=i816=h;5a19>5ad=i916=io59g9>5g?=i916=kl59e9>5g`=i816=n85a19>5db=i81vu21g39gg=:9o=1;:521`a9=`=z{8oo6=4<{<3e6?ee34;m4798;<3bg?g53ty:ih4?:2y>5c5=kk16=k75769>5de=i91vb083>6}:9k81;:521c1932=:9k:1o>5rs2af>5<5s49hh798;<1a`?e43ty8h<4?:5y>7f0=k016?n95c89>7f>=k016?i<5c29~w6b32909w0=k3;54?85em3i87p}6}:;jh1o4523ba9g<=:;m21o>5rs2f3>5<5s49o<7mm;<1g6??a3ty8ok4?:2y>7a6=?>16?nh5cc9>7gb=1o1v>mk:18785dn3=<70=ld;aa?85el33n70=k2;;f?xu4l?0;6?u23e49gg=:;m215k5rs2f6>5<4s49o:798;<1g1?ee349ii77i;|q0`6<72=q6?i;5769>7a5=kk16?ok59d9>7a>=1l1v>m=:18185en3=<70=l2;aa?xu4k90;6?u23b3932=:;j:1oo5rs2`b>57m<;<3b0?0734;j478?;<3b2?0734;347o?;|q1a7<72:q6>985769>77g=kk16??l5a39~w7cc2908w0<;7;54?855k3ii70=;1;c1?xu5n10;6>u2240932=:;=81oo523459e7=z{::86=4<{<06`?10349>47mm;<15`?g53ty863>=?>16?;k5cc9>7=5=i;1v>?6:180840;3=<70=74;aa?85>13k97p}<2583>6}::>o1;:5238c9gg=:;hl1m?5rs204>5<4s4835798;<1a4?ee349i97o=;|q06=<72:q6>5m5769>7g0=kk16?o95a39~w64>2908w0<7d;54?85e03ii70=m9;c1?xu5m:0;6>u225:932=:;;n1oo5233g9e7=z{;o?6=4<{<07=?103499j7mm;<104?g53ty9i84?:2y>61g=?>16?>?5cc9>764=i;1v?k9:180843j3=<70=<3;aa?854<3k97p}=e683>6}::=i1;:523279gg=:;:<1m?5rs3g;>5<4s48?h798;<103?ee349847o=;|q1a<<72:q6>9k5769>76?=kk16?>o5a39~w7cf2908w0<;f;54?854j3ii70=u2242932=:;:n1oo5232g9e7=z{;oh6=4<{<065?103498j7mm;<174?g53ty9ih4?:2y>605=?>16?9=5cc9>712=i;1v?ki:180842<3=<70=;5;aa?853>3k97p}=f183>6}::5<4s48>:798;<17=?ee349?m7o=;|q1b7<72:q6>895769>71d=kk16?9m5a39~w7`42908w0<:8;54?853l3ii70=;e;c1?xu5n=0;6>u224;932=:;=l1oo523429e7=z{;l>6=4<{<06e?10349>=7mm;<166?g53ty9j;4?:2y>60d=?>16?8=5cc9>702=i;1v?h8:180842k3=<70=:5;aa?852>3k97p}=f883>6}::5<4s48>j798;<16f?ee349>o7o=;|q1bg<72:q6>;>5769>70b=kk16?8k5a39~w7`d2908w0<91;54?852n3ii70=90;c1?xu5nm0;6>u2270932=:;?;1oo523709e7=z{;ln6=4<{<057?10349=?7mm;<150?g53ty9jk4?:2y>632=?>16?;;5cc9>730=i;1v>>?:180841=3=<70=97;aa?85103k97p}<0083>6}::?<1;:5237;9gg=:;?k1m?5rs221>5<4s48=;798;<15f?ee349=o7o=;|q041<72:q6>;75769>73`=kk16?:>5a39~w6622908w0<9a;54?85093ii70=82;c1?xu48?0;6>u227`932=:;>91oo523669e7=z{::<6=4<{<05g?10349<97mm;<142?g53ty8<54?:2y>63b=?>16?:95cc9>72>=i;1v>>6:180841m3=<70=89;aa?850i3k97p}<0`83>6}::?l1;:5236`9gg=:;>i1m?5rs22a>5<4s48<<798;<14`?ee349:?5769>72`=kk16?5>5a39~w66c2908w0<82;54?85?93ii70=72;c1?xu48o0;6>u2266932=:;1?1oo523949e7=z{:;;6=4<{<041?103493;7mm;<1;620=?>16?575cc9>7=g=i;1v>?=:180840?3=<70=7b;aa?85?k3k97p}<1283>6}::>21;:5239f9gg=:;1o1m?5rs237>5<4s48<5798;<1;b?ee3492<7o=;|q050<72:q6>:o5769>7<7=kk16?4<5a39~w6712908w0<8b;54?85>;3ii70=64;c1?xu49>0;6>u226a932=:;0?1oo523849e7=z{:;36=4<{<04`?103492;7mm;<1:62`=?>16?4l5cc9>7?m:18084?83=<70=6d;aa?85>m3k97p}<1b83>6}::1;1;:5238d9gg=:;h:1m?5rs23g>5<4s483>798;<1b5?ee349j>7o=;|q05`<72:q6>5=5769>7d5=kk16?l:5a39~w67a2908w0<74;54?85f=3ii70=n6;c1?xu4:90;6>u2297932=:;h=1oo523`:9e7=z{:8:6=4<{<0;2?10349j57mm;<1be?g53ty8>?4?:2y>6=1=?>16?ll5cc9>7de=i;1v><<:18084?03=<70=nd;aa?85fm3k97p}<2483>6}::1k1;:523c39gg=:;k81m?5rs205>5<4s483n798;<1a7?ee349i87o=;|q1=d<72;q6>5k5849>77d=1l1v?o::18184?m32<70=;1;;f?xu5j90;6?u229g9<==:;<=15h5rs3`a>5<5s483i76n;<15`??b3ty9o;4?:3y>6=c=0k16?5=59d9~w7b62909w0<7e;:g?85>133n7p}=db83>7}::08148523`d9=`=z{;nm6=4={<0:6?>0349i977j;|q1a5<72;q6>4<5899>7g1=1l1v?k>:18184>:32j70=m9;;f?xu51k0;6?u228095<5s482>76k;<104??b3ty95i4?:3y>6<5=0<16?><59d9~w7?b2909w0<63;:4?854<33n7p}=9g83>7}::09145523249=`=z{;k;6=4={<0:7?>f3498477j;|q1e4<72;q6>4=58c9>76g=1l1v?o=:18184>;32o70=5<5s4828768;<174??b3ty9m;4?:3y>6<2=0116?9:59d9~w7g02909w0<64;:b?853>33n7p}=a983>7}::0>14o5235:9=`=z{;k26=4={<0:0?>c349?m77j;|q1ed<72;q6>4;5849>71e=1l1v?om:18184>=32<70=;e;;f?xu5ij0;6?u22879<==:;<:15h5rs3cg>5<5s482976n;<166??b3ty9mh4?:3y>6<3=0k16?8:59d9~w7ga2909w0<65;:g?852>33n7p}=b083>7}::0<1485234c9=`=z{;h96=4={<0:2?>0349>o77j;|q1f6<72;q6>485899>70c=1l1v?l;:18184>>32j70=90;;f?xu5j<0;6?u228495<5s482:76k;<150??b3ty9n:4?:3y>6<1=0<16?;859d9~w7d?2909w0<67;:4?851033n7p}=b883>7}::0=1455237c9=`=z{;hj6=4={<0:3?>f349=o77j;|q1ff<72;q6>4958c9>726=1l1v?lk:18184>?32o70=82;;f?xu5jl0;6?u228:9<0=:;>>15h5rs3`e>5<5s4824768;<142??b3ty9o=4?:3y>6<>=0116?:659d9~w7e62909w0<68;:b?850i33n7p}=c383>7}::0214o5236a9=`=z{;i86=4={<0:c349475849>7=6=1l1v?m::18184>132<70=72;;f?xu5k>0;6?u228;9<==:;1<15h5rs3a;>5<5s482576n;<1;67}::1l1485239g9=`=z{;ih6=4={<0;b?>03492<77j;|q1ga<72;q6>5h5899>7<4=1l1v?mj:18184?n32j70=64;;f?xu5ko0;6?u229d95<5s483j76k;<1:6<6=0<16?4m59d9~w7b42909w0<60;:4?85>m33n7p}=d583>7}::0:145523`29=`=z{;n>6=4={<0:4?>f349j>77j;|q1`3<72;q6>4>58c9>7d2=1l1v?j8:18184>832o70=n6;;f?xu5l10;6?u22839<0=:;h215h5rs3f:>5<5s482=768;<1be??b3ty9hl4?:3y>6<7=0116?lm59d9~w7be2909w0<61;:b?85fm33n7p}=de83>7}::0;14o523c09=`=z{;nn6=4={<0:5?>c349i877j;|q202<72:q6=8h5c29>51c=i:16=9h5a29~w4212908w0?;f;c2?872k3i870?;e;c2?xu6<<0;6>u215g9e7=:9=l1m?5214`9g6=z{8>?6=4<{<36e?e434;?i7o?;<37b?g73ty:954?:3y>50?=k:16=9l5a09~w4312908w0?:7;a0?873i3k;70?;b;c3?xu6==0;6>u21479g6=:9=k1m<5214a9ga=z{8?;6=4={<37e?g534;>=7m<;|q225<72;q6=;m5769>51>=k:1v<8>:181871l3=<70?;9;a0?xu6>;0;6?u217g932=:9=k1o>5rs040>5<5s4;=j798;<37f?e43ty::94?:3y>526=?>16=9k5c29~w4022909w0?81;54?873n3i87p}>9283>7}:90h1;:5219a9g6=z{83?6=4={<3:g?1034;3h7m<;|q2=0<72;q6=4j5769>5<0=k:1v<78:18187>m3=<70?68;a0?xu6?10;6?u2165932=:9>31o>5rs05f>5<4s4;52g=?>16=:l5c29~w41f290?jv3>7`8`f>;6??02j63>7g8:b>;6?00j<63>7c8:b>;6?<02i63<2c8:b>;4<802j63<568:b>;4>m02j63<828:b>;41002j63;4j<02j63;4j002j63<2d8:b>;4;902j63<338:b>;4;=02j63<378:b>;4;102j63<3`8:b>;4;j02j63<3d8:b>;4<902j63<458:b>;4;4;4;4=;02j63<558:b>;4=?02j63<5`8:b>;4=j02j63<5d8:b>;4>902j63<638:b>;4>=02j63<678:b>;4>102j63<6`8:b>;4>j02j63<718:b>;4?;02j63<758:b>;4??02j63<798:b>;4?h02j63<7b8:b>;4?l02j63<818:b>;40;02j63<878:b>;40102j63<8`8:b>;40j02j63<8d8:b>;41902j63<938:b>;41=02j63<978:b>;41102j63<9b8:b>;41l02j63;4i;02j63;4i?02j63;4ih02j63;4il02j63;4j=02j63>738b4>{t9>i1<7fd<58=m64k4}r3a0?6=:r7:h5487:?2f0bc8b6>;6j<0j>6s|1b294?5|58i:6n=4=0`a>d7<58h>6l?4}r3ag?6==01v3>d4843>;6j00h?6s|1bc94?4|58n=6:94=0`b>f552z?2`2<0?27:no4l3:p5fe=838p1d`8`7>{t9l;1<721<58ni6n=4}r3f6?6=:r7:i5487:?2a62>=01v3>32843>;6<90h?6s|12g94?4|589?6:94=01e>f552z?270<0?27:?i4l3:p57g=838p12j90q~?=7;296~;69m0<;63>298`7>{t9;?1<721<588=6n=4}rf`>5<5s4;9<798;f56n=4}rff>5<5s4;9>798;f55<5s4;8;798;f55<5s4;85798;f5=01<=01<<>:b`8yv`72909w0h::65894452jh0q~h>:1818`12>=01<<<:b`8yv`52909w0h8:65894432jh0q~hk:181877:3ii70?;2;;f?xuam3:1?v3>028`f>;6<;02j63>418:a>{tno0;69u21169gg=:9:l1m=521509e5=:9=:15k5rs023>5<2s4;;97mm;<30`??b34;8j77j;<376?g634;?<7o?;|q244<72?q6=>85769>550=kk16=>j59g9>56`=1o16=9<5a39>516=i81vk650;0x94652>=01h75cc9~wc?=838p1<><:6589`g=kk1vko50;0x94632>=01hl5cc9~wcd=838p1<>::6589`e=kk1vkm50;0x94612>=01hj5cc9~w`2=838p1h75769>561=kk1vh;50;0x9`g=?>16=>65cc9~w`0=838p1hl5769>56?=kk1vh950;0x9`e=?>16=>o5cc9~w`>=838p1hj5769>56d=kk1v<>l:18187693ii70?=b;;f?xu68m0;6>u21009gg=:9;h15k5213;9=`=z{8:n6=4;{<327?ee34;947o?;<31f?g734;9577i;|q24c<72570=1l16=?659d9>57d=i816=?75a19~w477290=w0?>f;54?876=3ii70?=6;;e?875033m70?=b;c1?87513k:7p}>0683>7}:98;1;:52f28`f>{t9921<721<5o>1oo5rs02:>5<5s4;:?798;fd52z?251<0?27m:7mm;|q24g<72;q6=<;5769>b22<801?78:40897??2<801?7>:40897>a2<801?7?:40894ca2>=01;50l0>?63=93867>;51?0>?63=95867>;51<0>?63=98867>;51>0>?63=99867>;5180>?63=8g867>;5190>?63>fe8`7>{t91k1<7<:{<0:7?273482?7:9;<0:7?2d3483i7:?;<0;a?213483i7:l;<0:6?273482>7:9;<0:6?2d3482:7:?;<0:2?213482:7:l;<0:0?27348287:9;<0:0?2d348297:?;<0:1?21348297:l;<0:=?27348257:9;<0:=?2d3482;7:?;<0:3?213482;7:l;<0:4?:3y>5=5=k:16=5659d9~w4>02909w0?77;a0?87?03k87p}>a`83>7}:9hh1o>521c29e4=z{8ki6=4={<3bg?e434;i<7o=;|q2ef<72;q6=lj5c29>5g6=i:1v5<5s4;>?7m<;<36b?g53ty:nh4?:3y>5g`=k:16=n95a39~wg1=838p1<:m:`18942d2j90q~ln:181873j3k970?;d;a0?xuen3:1?v3>c78`7>;6k:0j>63>b`8b5>{tk90;6?u21969g6=:9121m?5rsb394?4|582>6n=4=0:;><`;|qaf?6=:r7:o94l3:?2fd5f3=k:16=oo5a39~w4g32908w0?n4;aa?872=33n70?:3;;f?xu6i10;69u21`:9gg=:9<315k5214f9=`=:95<2s4;j:7mm;<363??a34;>h7o>;<36a??a34;>?77i;|q230<72;q6=:;5c29>5;4<;0=<63<59854>;4>l0=<63<85854>;41h0=<63;4j?0=<63;4:m0=<63<2g854>;4;80=<63<32854>;4;<0=<63<36854>;4;00=<63<3c854>;4;m0=<63<3g854>;4<:0=<63<44854>;4<>0=<63<48854>;4;4;4=:0=<63<54854>;4=00=<63<5c854>;4=m0=<63<5g854>;4>80=<63<62854>;4><0=<63<66854>;4>00=<63<6c854>;4>o0=<63<70854>;4?:0=<63<74854>;4?>0=<63<78854>;4?k0=<63<7e854>;4?o0=<63<80854>;40<0=<63<86854>;4000=<63<8c854>;40m0=<63<8g854>;4180=<63<92854>;41<0=<63<96854>;41k0=<63<9e854>;41o0=<63;4i:0=<63;4i>0=<63;4ik0=<63;4j80=<63;6100=<63>758`7>{t;;h1<721<5:8i6n=4}r175?6=:r78>n487:?004=01>;8:b18yv51l3:1>v3<59843>;4>m0h?6s|39194?4|5:f552z?0<1<0?278544l3:p7d`=838p1>7n:65896ga2j90q~=m5;296~;4j90<;63{t;k=1<721<5:h<6n=4}r1a=?6=:r78n5487:?0f<=01>v3<2g843>;4;90h?6s|32094?4|5:9:6:94=211>f552z?076<0?278?94l3:p760=838p1>=::65896512j90q~=<8;296~;4;>0<;63<398`7>{t;:k1<721<5:9j6n=4}r10g?6=:r78?o487:?07fk50;0x965c2>=01>=j:b18yv5383:1>v3<3g843>;4<90h?6s|35694?4|5:>86:94=267>f552z?000<0?2788;4l3:p71>=838p1>:8:658962?2j90q~=;a;296~;4<00<;63<4`8`7>{t;=i1<721<5:>h6n=4}r17a?6=:r788i487:?00`50;0x962a2>=01>;?:b18yv52:3:1>v3<50843>;4=;0h?6s|34694?4|5:?86:94=277>f5:7>52z?010<0?2789;4l3:p70g=838p1>;6:658963f2j90q~=:c;296~;4=k0<;63<5b8`7>{t;21<5:?n6n=4}r154?6=:r789k487:?025=01>8=:b18yv51<3:1>v3<62843>;4>=0h?6s|37494?4|5:<>6:94=245>f552z?022<0?278:54l3:p73g=838p1>86:658960f2j90q~=9c;296~;4>k0<;63<6b8`7>{t;>:1<721<5:=;6n=4}r146?6=:r78;<487:?037=01>9;:b18yv50>3:1>v3<74843>;4??0h?6s|36:94?4|5:=<6:94=25;>f552z?03<<0?278;l4l3:p72e=838p1>9m:658961d2j90q~=8e;296~;4?m0<;63<7d8`7>{t;1:1<721<5:2;6n=4}r1;6?6=:r784<487:?0<722>=01>69:b18yv5?03:1>v3<86843>;4010h?6s|39c94?4|5:226:94=2:b>f552z?06k:65896>b2j90q~=60;296~;40o0<;63<918`7>{t;081<721<5:396n=4}r1:0?6=:r785>487:?0=1=01>79:b18yv5>03:1>v3<96843>;4110h?6s|38a94?4|5:3i6:94=2;`>f552z?0=a<0?2785h4l3:p7d6=838p1>7i:65896g72j90q~=n2;296~;4i80<;63{t;h>1<721<5:k?6n=4}r1b2?6=:r78m8487:?0e3=01>o7:b18yv5fi3:1>v3;4ih0h?6s|3`a94?4|5:ki6:94=2c`>f552z?0ea<0?278mh4l3:p7g4=838p1>l>:65896d52j90q~=m4;296~;4j:0<;63{t90k1<721<583j6n=4}r`g>5<5s4;>h7m<;<36g??b3tyii7>52z?21`j6;|lag2<728qC?i74}o``5<6sA9o56sabbc94?7|@:n27p`mcc83>4}O;m30qcllc;295~N4l01vbomk:182M5c12wennk50;3xL6b>3tdiok4?:0yK7a?51zJ0`<=zfkn:6=4>{I1g=>{ijm81<7?tH2f:?xhel:0;6j6;|la`2<728qC?i74}o`g5<6sA9o56sabec94?7|@:n27p`mdc83>4}O;m30qclkc;295~N4l01vbojk:182M5c12wenik50;3xL6b>3tdihk4?:0yK7a?51zJ0`<=zfko:6=4>{I1g=>{ijl81<7?tH2f:?xhem:0;6j6;|laa2<728qC?i74}o`f5<6sA9o56sabdc94?7|@:n27p`mec83>4}O;m30qcljc;295~N4l01vbokk:182M5c12wenhk50;3xL6b>3tdiik4?:0yK7a?51zJ0`<=zfkl:6=4>{I1g=>{ijo81<7?tH2f:?xhen:0;6j6;|lab2<728qC?i74}o`e5<6sA9o56sabgc94?7|@:n27p`mfc83>4}O;m30qclic;295~N4l01vbohk:182M5c12wenkk50;3xL6b>3tdijk4?:0yK7a?51zJ0`<=zfj::6=4>{I1g=>{ik981<7?tH2f:?xhd8:0;6j6;|l`42<728qC?i74}oa35<6sA9o56sac1c94?7|@:n27p`l0c83>4}O;m30qcm?c;295~N4l01vbn>k:182M5c12weo=k50;3xL6b>3tdh51zJ0`<=zfj;:6=4>{I1g=>{ik881<7?tH2f:?xhd9:0;6j6;|l`52<728qC?i74}oa25<6sA9o56sac0c94?7|@:n27p`l1c83>4}O;m30qcm>c;295~N4l01vbn?k:182M5c12weo3tdh=k4?:0yK7a?51zJ0`<=zfj8:6=4>{I1g=>{ik;81<7?tH2f:?xhd::0;6j6;|l`62<728qC?i74}oa15<6sA9o56sac3c94?7|@:n27p`l2c83>4}O;m30qcm=c;295~N4l01vbn3tdh>k4?:0yK7a?51zJ0`<=zfj9:6=4>{I1g=>{ik:81<7?tH2f:?xhd;:0;6j6;|l`72<728qC?i74}oa05<6sA9o56sac2c94?7|@:n27p`l3c83>4}O;m30qcmk50;3xL6b>3tdh?k4?:0yK7a?51zJ0`<=zfj>:6=4>{I1g=>{ik=81<7?tH2f:?xhd<:0;6j6;|l`02<728qC?i74}oa75<6sA9o56sac5c94?7|@:n27p`l4c83>4}O;m30qcm;c;295~N4l01vbn:k:182M5c12weo9k50;3xL6b>3tdh8k4?:0yK7a?<7>51zJ0`<=zfj?:6=4>{I1g=>{ik<81<7?tH2f:?xhd=:0;6j6;|l`12<728qC?i74}oa65<6sA9o56sac4c94?7|@:n27p`l5c83>4}O;m30qcm:c;295~N4l01vbn;k:182M5c12weo8k50;3xL6b>3tdh9k4?:0yK7a?51zJ0`<=zfj<:6=4>{I1g=>{ik?81<7?tH2f:?xhd>:0;6j6;|l`22<728qC?i74}oa55<6sA9o56sac7c94?7|@:n27p`l6c83>4}O;m30qcm9c;295~N4l01vbn8k:182M5c12weo;k50;3xL6b>3tdh:k4?:0yK7a?51zJ0`<=zfj=:6=4>{I1g=>{ik>81<7?tH2f:?xhd?:0;6j6;|l`32<728qC?i74}oa45<6sA9o56sac6c94?7|@:n27p`l7c83>4}O;m30qcm8c;295~N4l01vbn9k:182M5c12weo:k50;3xL6b>3tdh;k4?:0yK7a?51zJ0`<=zfj2:6=4>{I1g=>{ik181<7?tH2f:?xhd0:0;62290:wE=k9:mg=0=83;pD>j6;|l`<2<728qC?i74}oa;5<6sA9o56sac9c94?7|@:n27p`l8c83>4}O;m30qcm7c;295~N4l01vbn6k:182M5c12weo5k50;3xL6b>3tdh4k4?:0yK7a?51zJ0`<=zfj3:6=4>{I1g=>{ik081<7?tH2f:?xhd1:0;6<3:1=vFj6;|l`=2<728qC?i74}oa:5<6sA9o56sac8c94?7|@:n27p`l9c83>4}O;m30qcm6c;295~N4l01vbn7k:182M5c12weo4k50;3xL6b>3tdh5k4?:0yK7a?51zJ0`<=zfjk:6=4>{I1g=>{ikh81<7?tH2f:?xhdi:0;6j6;|l`e2<728qC?i74}oab5<6sA9o56sac`c94?7|@:n27p`lac83>4}O;m30qcmnc;295~N4l01vbnok:182M5c12weolk50;3xL6b>3tdhmk4?:0yK7a?51zJ0`<=zfjh:6=4>{I1g=>{ikk81<7?tH2f:?xhdj:0;6j6;|l`f2<728qC?i74}oaa5<6sA9o56saccc94?7|@:n27p`lbc83>4}O;m30qcmmc;295~N4l01vbnlk:182M5c12weook50;3xL6b>3tdhnk4?:0yK7a?51zJ0`<=zfji:6=4>{I1g=>{ikj81<7?tH2f:?xhdk:0;6j6;|l`g2<728qC?i74}oa`5<6sA9o56sacbc94?7|@:n27p`lcc83>4}O;m30qcmlc;295~N4l01vbnmk:182M5c12weonk50;3xL6b>3tdhok4?:0yK7a?51zJ0`<=zfjn:6=4>{I1g=>{ikm81<7?tH2f:?xhdl:0;6j6;|l``2<728qC?i74}oag5<6sA9o56sacec94?7|@:n27p`ldc83>4}O;m30qcmkc;295~N4l01vbnjk:182M5c12weoik50;3xL6b>3tdhhk4?:0yK7a?51zJ0`<=zfjo:6=4>{I1g=>{ikl81<7?tH2f:?xhdm:0;6j6;|l`a2<728qC?i74}oaf5<6sA9o56sacdc94?7|@:n27p`lec83>4}O;m30qcmjc;295~N4l01vbnkk:182M5c12weohk50;3xL6b>3tdhik4?:0yK7a?51zJ0`<=zfjl:6=4>{I1g=>{iko81<7?tH2f:?xhdn:0;6j6;|l`b2<728qC?i74}oae5<6sA9o56sacgc94?7|@:n27p`lfc83>4}O;m30qcmic;295~N4l01vbnhk:182M5c12weokk50;3xL6b>3tdhjk4?:0yK7a?51zJ0`<=zfm::6=4>{I1g=>{il981<7?tH2f:?xhc8:0;6j6;|lg42<728qC?i74}of35<6sA9o56sad1c94?7|@:n27p`k0c83>4}O;m30qcj?c;295~N4l01vbi>k:182M5c12weh=k50;3xL6b>3tdo51zJ0`<=zfm;:6=4>{I1g=>{il881<7?tH2f:?xhc9:0;6j6;|lg52<728qC?i74}of25<6sA9o56sad0c94?7|@:n27p`k1c83>4}O;m30qcj>c;295~N4l01vbi?k:182M5c12weh3tdo=k4?:0yK7a?51zJ0`<=zfm8:6=4>{I1g=>{il;81<7?tH2f:?xhc::0;6j6;|lg62<728qC?i74}of15<6sA9o56sad3c94?7|@:n27p`k2c83>4}O;m30qcj=c;295~N4l01vbi3tdo>k4?:0yK7a?51zJ0`<=zfm9:6=4>{I1g=>{il:81<7?tH2f:?xhc;:0;6j6;|lg72<728qC?i74}of05<6sA9o56sad2c94?7|@:n27p`k3c83>4}O;m30qcjk50;3xL6b>3tdo?k4?:0yK7a?51zJ0`<=zfm>:6=4>{I1g=>{il=81<7?tH2f:?xhc<:0;6j6;|lg02<728qC?i74}of75<6sA9o56sad5c94?7|@:n27p`k4c83>4}O;m30qcj;c;295~N4l01vbi:k:182M5c12weh9k50;3xL6b>3tdo8k4?:0yK7a?<7>51zJ0`<=zfm?:6=4>{I1g=>{il<81<7?tH2f:?xhc=:0;6j6;|lg12<728qC?i74}of65<6sA9o56sad4c94?7|@:n27p`k5c83>4}O;m30qcj:c;295~N4l01vbi;k:182M5c12weh8k50;3xL6b>3tdo9k4?:0yK7a?51zJ0`<=zfm<:6=4>{I1g=>{il?81<7?tH2f:?xhc>:0;6j6;|lg22<728qC?i74}of55<6sA9o56sad7c94?7|@:n27p`k6c83>4}O;m30qcj9c;295~N4l01vbi8k:182M5c12weh;k50;3xL6b>3tdo:k4?:0yK7a?51zJ0`<=zfm=:6=4>{I1g=>{il>81<7?tH2f:?xhc?:0;6j6;|lg32<728qC?i74}of45<6sA9o56sad6c94?7|@:n27p`k7c83>4}O;m30qcj8c;295~N4l01vbi9k:182M5c12weh:k50;3xL6b>3tdo;k4?:0yK7a?51zJ0`<=zfm2:6=4>{I1g=>{il181<7?tH2f:?xhc0:0;62290:wE=k9:m`=0=83;pD>j6;|lg<2<728qC?i74}of;5<6sA9o56sad9c94?7|@:n27p`k8c83>4}O;m30qcj7c;295~N4l01vbi6k:182M5c12weh5k50;3xL6b>3tdo4k4?:0yK7a?51zJ0`<=zfm3:6=4>{I1g=>{il081<7?tH2f:?xhc1:0;6<3:1=vFj6;|lg=2<728qC?i74}of:5<6sA9o56sad8c94?7|@:n27p`k9c83>4}O;m30qcj6c;295~N4l01vbi7k:182M5c12weh4k50;3xL6b>3tdo5k4?:0yK7a?51zJ0`<=zfmk:6=4>{I1g=>{ilh81<7?tH2f:?xhci:0;6j6;|lge2<728qC?i74}ofb5<6sA9o56sad`c94?7|@:n27p`kac83>4}O;m30qcjnc;295~N4l01vbiok:182M5c12wehlk50;3xL6b>3tdomk4?:0yK7a?51zJ0`<=zfmh:6=4>{I1g=>{ilk81<7?tH2f:?xhcj:0;6j6;|lgf2<728qC?i74}ofa5<6sA9o56sadcc94?7|@:n27p`kbc83>4}O;m30qcjmc;295~N4l01vbilk:182M5c12wehok50;3xL6b>3tdonk4?:0yK7a?51zJ0`<=zfmi:6=4>{I1g=>{ilj81<7?tH2f:?xhck:0;6j6;|lgg2<728qC?i74}of`5<6sA9o56sadbc94?7|@:n27p`kcc83>4}O;m30qcjlc;295~N4l01vbimk:182M5c12wehnk50;3xL6b>3tdook4?:0yK7a?51zJ0`<=zfmn:6=4>{I1g=>{ilm81<7?tH2f:?xhcl:0;6j6;|lg`2<728qC?i74}ofg5<6sA9o56sadec94?7|@:n27p`kdc83>4}O;m30qcjkc;295~N4l01vbijk:182M5c12wehik50;3xL6b>3tdohk4?:0yK7a?51zJ0`<=zfmo:6=4>{I1g=>{ill81<7?tH2f:?xhcm:0;6j6;|lga2<728qC?i74}off5<6sA9o56saddc94?7|@:n27psr}AB@4669339;i;jb5CDG}7uIJ[wpNO \ No newline at end of file diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk.v b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.v new file mode 100644 index 000000000..82200a502 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.v @@ -0,0 +1,491 @@ +/******************************************************************************* +* This file is owned and controlled by Xilinx and must be used solely * +* for design, simulation, implementation and creation of design files * +* limited to Xilinx devices or technologies. Use with non-Xilinx * +* devices or technologies is expressly prohibited and immediately * +* terminates your license. * +* * +* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY * +* FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY * +* PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE * +* IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS * +* MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY * +* CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY * +* RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY * +* DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * +* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * +* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * +* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A * +* PARTICULAR PURPOSE. * +* * +* Xilinx products are not intended for use in life support appliances, * +* devices, or systems. Use in such applications are expressly * +* prohibited. * +* * +* (c) Copyright 1995-2013 Xilinx, Inc. * +* All rights reserved. * +*******************************************************************************/ +// You must compile the wrapper file fifo_short_2clk.v when simulating +// the core, fifo_short_2clk. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + +// The synthesis directives "translate_off/translate_on" specified below are +// supported by Xilinx, Mentor Graphics and Synplicity synthesis +// tools. Ensure they are correct for your synthesis tool(s). + +`timescale 1ns/1ps + +module fifo_short_2clk( + rst, + wr_clk, + rd_clk, + din, + wr_en, + rd_en, + dout, + full, + empty, + rd_data_count, + wr_data_count +); + +input rst; +input wr_clk; +input rd_clk; +input [71 : 0] din; +input wr_en; +input rd_en; +output [71 : 0] dout; +output full; +output empty; +output [5 : 0] rd_data_count; +output [5 : 0] wr_data_count; + +// synthesis translate_off + + FIFO_GENERATOR_V9_3 #( + .C_ADD_NGC_CONSTRAINT(0), + .C_APPLICATION_TYPE_AXIS(0), + .C_APPLICATION_TYPE_RACH(0), + .C_APPLICATION_TYPE_RDCH(0), + .C_APPLICATION_TYPE_WACH(0), + .C_APPLICATION_TYPE_WDCH(0), + .C_APPLICATION_TYPE_WRCH(0), + .C_AXI_ADDR_WIDTH(32), + .C_AXI_ARUSER_WIDTH(1), + .C_AXI_AWUSER_WIDTH(1), + .C_AXI_BUSER_WIDTH(1), + .C_AXI_DATA_WIDTH(64), + .C_AXI_ID_WIDTH(4), + .C_AXI_RUSER_WIDTH(1), + .C_AXI_TYPE(0), + .C_AXI_WUSER_WIDTH(1), + .C_AXIS_TDATA_WIDTH(64), + .C_AXIS_TDEST_WIDTH(4), + .C_AXIS_TID_WIDTH(8), + .C_AXIS_TKEEP_WIDTH(4), + .C_AXIS_TSTRB_WIDTH(4), + .C_AXIS_TUSER_WIDTH(4), + .C_AXIS_TYPE(0), + .C_COMMON_CLOCK(0), + .C_COUNT_TYPE(0), + .C_DATA_COUNT_WIDTH(5), + .C_DEFAULT_VALUE("BlankString"), + .C_DIN_WIDTH(72), + .C_DIN_WIDTH_AXIS(1), + .C_DIN_WIDTH_RACH(32), + .C_DIN_WIDTH_RDCH(64), + .C_DIN_WIDTH_WACH(32), + .C_DIN_WIDTH_WDCH(64), + .C_DIN_WIDTH_WRCH(2), + .C_DOUT_RST_VAL("0"), + .C_DOUT_WIDTH(72), + .C_ENABLE_RLOCS(0), + .C_ENABLE_RST_SYNC(1), + .C_ERROR_INJECTION_TYPE(0), + .C_ERROR_INJECTION_TYPE_AXIS(0), + .C_ERROR_INJECTION_TYPE_RACH(0), + .C_ERROR_INJECTION_TYPE_RDCH(0), + .C_ERROR_INJECTION_TYPE_WACH(0), + .C_ERROR_INJECTION_TYPE_WDCH(0), + .C_ERROR_INJECTION_TYPE_WRCH(0), + .C_FAMILY("spartan6"), + .C_FULL_FLAGS_RST_VAL(1), + .C_HAS_ALMOST_EMPTY(0), + .C_HAS_ALMOST_FULL(0), + .C_HAS_AXI_ARUSER(0), + .C_HAS_AXI_AWUSER(0), + .C_HAS_AXI_BUSER(0), + .C_HAS_AXI_RD_CHANNEL(0), + .C_HAS_AXI_RUSER(0), + .C_HAS_AXI_WR_CHANNEL(0), + .C_HAS_AXI_WUSER(0), + .C_HAS_AXIS_TDATA(0), + .C_HAS_AXIS_TDEST(0), + .C_HAS_AXIS_TID(0), + .C_HAS_AXIS_TKEEP(0), + .C_HAS_AXIS_TLAST(0), + .C_HAS_AXIS_TREADY(1), + .C_HAS_AXIS_TSTRB(0), + .C_HAS_AXIS_TUSER(0), + .C_HAS_BACKUP(0), + .C_HAS_DATA_COUNT(0), + .C_HAS_DATA_COUNTS_AXIS(0), + .C_HAS_DATA_COUNTS_RACH(0), + .C_HAS_DATA_COUNTS_RDCH(0), + .C_HAS_DATA_COUNTS_WACH(0), + .C_HAS_DATA_COUNTS_WDCH(0), + .C_HAS_DATA_COUNTS_WRCH(0), + .C_HAS_INT_CLK(0), + .C_HAS_MASTER_CE(0), + .C_HAS_MEMINIT_FILE(0), + .C_HAS_OVERFLOW(0), + .C_HAS_PROG_FLAGS_AXIS(0), + .C_HAS_PROG_FLAGS_RACH(0), + .C_HAS_PROG_FLAGS_RDCH(0), + .C_HAS_PROG_FLAGS_WACH(0), + .C_HAS_PROG_FLAGS_WDCH(0), + .C_HAS_PROG_FLAGS_WRCH(0), + .C_HAS_RD_DATA_COUNT(1), + .C_HAS_RD_RST(0), + .C_HAS_RST(1), + .C_HAS_SLAVE_CE(0), + .C_HAS_SRST(0), + .C_HAS_UNDERFLOW(0), + .C_HAS_VALID(0), + .C_HAS_WR_ACK(0), + .C_HAS_WR_DATA_COUNT(1), + .C_HAS_WR_RST(0), + .C_IMPLEMENTATION_TYPE(2), + .C_IMPLEMENTATION_TYPE_AXIS(1), + .C_IMPLEMENTATION_TYPE_RACH(1), + .C_IMPLEMENTATION_TYPE_RDCH(1), + .C_IMPLEMENTATION_TYPE_WACH(1), + .C_IMPLEMENTATION_TYPE_WDCH(1), + .C_IMPLEMENTATION_TYPE_WRCH(1), + .C_INIT_WR_PNTR_VAL(0), + .C_INTERFACE_TYPE(0), + .C_MEMORY_TYPE(2), + .C_MIF_FILE_NAME("BlankString"), + .C_MSGON_VAL(1), + .C_OPTIMIZATION_MODE(0), + .C_OVERFLOW_LOW(0), + .C_PRELOAD_LATENCY(0), + .C_PRELOAD_REGS(1), + .C_PRIM_FIFO_TYPE("512x72"), + .C_PROG_EMPTY_THRESH_ASSERT_VAL(4), + .C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS(1022), + .C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH(1022), + .C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH(1022), + .C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH(1022), + .C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH(1022), + .C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH(1022), + .C_PROG_EMPTY_THRESH_NEGATE_VAL(5), + .C_PROG_EMPTY_TYPE(0), + .C_PROG_EMPTY_TYPE_AXIS(0), + .C_PROG_EMPTY_TYPE_RACH(0), + .C_PROG_EMPTY_TYPE_RDCH(0), + .C_PROG_EMPTY_TYPE_WACH(0), + .C_PROG_EMPTY_TYPE_WDCH(0), + .C_PROG_EMPTY_TYPE_WRCH(0), + .C_PROG_FULL_THRESH_ASSERT_VAL(31), + .C_PROG_FULL_THRESH_ASSERT_VAL_AXIS(1023), + .C_PROG_FULL_THRESH_ASSERT_VAL_RACH(1023), + .C_PROG_FULL_THRESH_ASSERT_VAL_RDCH(1023), + .C_PROG_FULL_THRESH_ASSERT_VAL_WACH(1023), + .C_PROG_FULL_THRESH_ASSERT_VAL_WDCH(1023), + .C_PROG_FULL_THRESH_ASSERT_VAL_WRCH(1023), + .C_PROG_FULL_THRESH_NEGATE_VAL(30), + .C_PROG_FULL_TYPE(0), + .C_PROG_FULL_TYPE_AXIS(0), + .C_PROG_FULL_TYPE_RACH(0), + .C_PROG_FULL_TYPE_RDCH(0), + .C_PROG_FULL_TYPE_WACH(0), + .C_PROG_FULL_TYPE_WDCH(0), + .C_PROG_FULL_TYPE_WRCH(0), + .C_RACH_TYPE(0), + .C_RD_DATA_COUNT_WIDTH(6), + .C_RD_DEPTH(32), + .C_RD_FREQ(1), + .C_RD_PNTR_WIDTH(5), + .C_RDCH_TYPE(0), + .C_REG_SLICE_MODE_AXIS(0), + .C_REG_SLICE_MODE_RACH(0), + .C_REG_SLICE_MODE_RDCH(0), + .C_REG_SLICE_MODE_WACH(0), + .C_REG_SLICE_MODE_WDCH(0), + .C_REG_SLICE_MODE_WRCH(0), + .C_SYNCHRONIZER_STAGE(2), + .C_UNDERFLOW_LOW(0), + .C_USE_COMMON_OVERFLOW(0), + .C_USE_COMMON_UNDERFLOW(0), + .C_USE_DEFAULT_SETTINGS(0), + .C_USE_DOUT_RST(1), + .C_USE_ECC(0), + .C_USE_ECC_AXIS(0), + .C_USE_ECC_RACH(0), + .C_USE_ECC_RDCH(0), + .C_USE_ECC_WACH(0), + .C_USE_ECC_WDCH(0), + .C_USE_ECC_WRCH(0), + .C_USE_EMBEDDED_REG(0), + .C_USE_FIFO16_FLAGS(0), + .C_USE_FWFT_DATA_COUNT(1), + .C_VALID_LOW(0), + .C_WACH_TYPE(0), + .C_WDCH_TYPE(0), + .C_WR_ACK_LOW(0), + .C_WR_DATA_COUNT_WIDTH(6), + .C_WR_DEPTH(32), + .C_WR_DEPTH_AXIS(1024), + .C_WR_DEPTH_RACH(16), + .C_WR_DEPTH_RDCH(1024), + .C_WR_DEPTH_WACH(16), + .C_WR_DEPTH_WDCH(1024), + .C_WR_DEPTH_WRCH(16), + .C_WR_FREQ(1), + .C_WR_PNTR_WIDTH(5), + .C_WR_PNTR_WIDTH_AXIS(10), + .C_WR_PNTR_WIDTH_RACH(4), + .C_WR_PNTR_WIDTH_RDCH(10), + .C_WR_PNTR_WIDTH_WACH(4), + .C_WR_PNTR_WIDTH_WDCH(10), + .C_WR_PNTR_WIDTH_WRCH(4), + .C_WR_RESPONSE_LATENCY(1), + .C_WRCH_TYPE(0) + ) + inst ( + .RST(rst), + .WR_CLK(wr_clk), + .RD_CLK(rd_clk), + .DIN(din), + .WR_EN(wr_en), + .RD_EN(rd_en), + .DOUT(dout), + .FULL(full), + .EMPTY(empty), + .RD_DATA_COUNT(rd_data_count), + .WR_DATA_COUNT(wr_data_count), + .BACKUP(), + .BACKUP_MARKER(), + .CLK(), + .SRST(), + .WR_RST(), + .RD_RST(), + .PROG_EMPTY_THRESH(), + .PROG_EMPTY_THRESH_ASSERT(), + .PROG_EMPTY_THRESH_NEGATE(), + .PROG_FULL_THRESH(), + .PROG_FULL_THRESH_ASSERT(), + .PROG_FULL_THRESH_NEGATE(), + .INT_CLK(), + .INJECTDBITERR(), + .INJECTSBITERR(), + .ALMOST_FULL(), + .WR_ACK(), + .OVERFLOW(), + .ALMOST_EMPTY(), + .VALID(), + .UNDERFLOW(), + .DATA_COUNT(), + .PROG_FULL(), + .PROG_EMPTY(), + .SBITERR(), + .DBITERR(), + .M_ACLK(), + .S_ACLK(), + .S_ARESETN(), + .M_ACLK_EN(), + .S_ACLK_EN(), + .S_AXI_AWID(), + .S_AXI_AWADDR(), + .S_AXI_AWLEN(), + .S_AXI_AWSIZE(), + .S_AXI_AWBURST(), + .S_AXI_AWLOCK(), + .S_AXI_AWCACHE(), + .S_AXI_AWPROT(), + .S_AXI_AWQOS(), + .S_AXI_AWREGION(), + .S_AXI_AWUSER(), + .S_AXI_AWVALID(), + .S_AXI_AWREADY(), + .S_AXI_WID(), + .S_AXI_WDATA(), + .S_AXI_WSTRB(), + .S_AXI_WLAST(), + .S_AXI_WUSER(), + .S_AXI_WVALID(), + .S_AXI_WREADY(), + .S_AXI_BID(), + .S_AXI_BRESP(), + .S_AXI_BUSER(), + .S_AXI_BVALID(), + .S_AXI_BREADY(), + .M_AXI_AWID(), + .M_AXI_AWADDR(), + .M_AXI_AWLEN(), + .M_AXI_AWSIZE(), + .M_AXI_AWBURST(), + .M_AXI_AWLOCK(), + .M_AXI_AWCACHE(), + .M_AXI_AWPROT(), + .M_AXI_AWQOS(), + .M_AXI_AWREGION(), + .M_AXI_AWUSER(), + .M_AXI_AWVALID(), + .M_AXI_AWREADY(), + .M_AXI_WID(), + .M_AXI_WDATA(), + .M_AXI_WSTRB(), + .M_AXI_WLAST(), + .M_AXI_WUSER(), + .M_AXI_WVALID(), + .M_AXI_WREADY(), + .M_AXI_BID(), + .M_AXI_BRESP(), + .M_AXI_BUSER(), + .M_AXI_BVALID(), + .M_AXI_BREADY(), + .S_AXI_ARID(), + .S_AXI_ARADDR(), + .S_AXI_ARLEN(), + .S_AXI_ARSIZE(), + .S_AXI_ARBURST(), + .S_AXI_ARLOCK(), + .S_AXI_ARCACHE(), + .S_AXI_ARPROT(), + .S_AXI_ARQOS(), + .S_AXI_ARREGION(), + .S_AXI_ARUSER(), + .S_AXI_ARVALID(), + .S_AXI_ARREADY(), + .S_AXI_RID(), + .S_AXI_RDATA(), + .S_AXI_RRESP(), + .S_AXI_RLAST(), + .S_AXI_RUSER(), + .S_AXI_RVALID(), + .S_AXI_RREADY(), + .M_AXI_ARID(), + .M_AXI_ARADDR(), + .M_AXI_ARLEN(), + .M_AXI_ARSIZE(), + .M_AXI_ARBURST(), + .M_AXI_ARLOCK(), + .M_AXI_ARCACHE(), + .M_AXI_ARPROT(), + .M_AXI_ARQOS(), + .M_AXI_ARREGION(), + .M_AXI_ARUSER(), + .M_AXI_ARVALID(), + .M_AXI_ARREADY(), + .M_AXI_RID(), + .M_AXI_RDATA(), + .M_AXI_RRESP(), + .M_AXI_RLAST(), + .M_AXI_RUSER(), + .M_AXI_RVALID(), + .M_AXI_RREADY(), + .S_AXIS_TVALID(), + .S_AXIS_TREADY(), + .S_AXIS_TDATA(), + .S_AXIS_TSTRB(), + .S_AXIS_TKEEP(), + .S_AXIS_TLAST(), + .S_AXIS_TID(), + .S_AXIS_TDEST(), + .S_AXIS_TUSER(), + .M_AXIS_TVALID(), + .M_AXIS_TREADY(), + .M_AXIS_TDATA(), + .M_AXIS_TSTRB(), + .M_AXIS_TKEEP(), + .M_AXIS_TLAST(), + .M_AXIS_TID(), + .M_AXIS_TDEST(), + .M_AXIS_TUSER(), + .AXI_AW_INJECTSBITERR(), + .AXI_AW_INJECTDBITERR(), + .AXI_AW_PROG_FULL_THRESH(), + .AXI_AW_PROG_EMPTY_THRESH(), + .AXI_AW_DATA_COUNT(), + .AXI_AW_WR_DATA_COUNT(), + .AXI_AW_RD_DATA_COUNT(), + .AXI_AW_SBITERR(), + .AXI_AW_DBITERR(), + .AXI_AW_OVERFLOW(), + .AXI_AW_UNDERFLOW(), + .AXI_AW_PROG_FULL(), + .AXI_AW_PROG_EMPTY(), + .AXI_W_INJECTSBITERR(), + .AXI_W_INJECTDBITERR(), + .AXI_W_PROG_FULL_THRESH(), + .AXI_W_PROG_EMPTY_THRESH(), + .AXI_W_DATA_COUNT(), + .AXI_W_WR_DATA_COUNT(), + .AXI_W_RD_DATA_COUNT(), + .AXI_W_SBITERR(), + .AXI_W_DBITERR(), + .AXI_W_OVERFLOW(), + .AXI_W_UNDERFLOW(), + .AXI_B_INJECTSBITERR(), + .AXI_W_PROG_FULL(), + .AXI_W_PROG_EMPTY(), + .AXI_B_INJECTDBITERR(), + .AXI_B_PROG_FULL_THRESH(), + .AXI_B_PROG_EMPTY_THRESH(), + .AXI_B_DATA_COUNT(), + .AXI_B_WR_DATA_COUNT(), + .AXI_B_RD_DATA_COUNT(), + .AXI_B_SBITERR(), + .AXI_B_DBITERR(), + .AXI_B_OVERFLOW(), + .AXI_B_UNDERFLOW(), + .AXI_AR_INJECTSBITERR(), + .AXI_B_PROG_FULL(), + .AXI_B_PROG_EMPTY(), + .AXI_AR_INJECTDBITERR(), + .AXI_AR_PROG_FULL_THRESH(), + .AXI_AR_PROG_EMPTY_THRESH(), + .AXI_AR_DATA_COUNT(), + .AXI_AR_WR_DATA_COUNT(), + .AXI_AR_RD_DATA_COUNT(), + .AXI_AR_SBITERR(), + .AXI_AR_DBITERR(), + .AXI_AR_OVERFLOW(), + .AXI_AR_UNDERFLOW(), + .AXI_AR_PROG_FULL(), + .AXI_AR_PROG_EMPTY(), + .AXI_R_INJECTSBITERR(), + .AXI_R_INJECTDBITERR(), + .AXI_R_PROG_FULL_THRESH(), + .AXI_R_PROG_EMPTY_THRESH(), + .AXI_R_DATA_COUNT(), + .AXI_R_WR_DATA_COUNT(), + .AXI_R_RD_DATA_COUNT(), + .AXI_R_SBITERR(), + .AXI_R_DBITERR(), + .AXI_R_OVERFLOW(), + .AXI_R_UNDERFLOW(), + .AXIS_INJECTSBITERR(), + .AXI_R_PROG_FULL(), + .AXI_R_PROG_EMPTY(), + .AXIS_INJECTDBITERR(), + .AXIS_PROG_FULL_THRESH(), + .AXIS_PROG_EMPTY_THRESH(), + .AXIS_DATA_COUNT(), + .AXIS_WR_DATA_COUNT(), + .AXIS_RD_DATA_COUNT(), + .AXIS_SBITERR(), + .AXIS_DBITERR(), + .AXIS_OVERFLOW(), + .AXIS_UNDERFLOW(), + .AXIS_PROG_FULL(), + .AXIS_PROG_EMPTY() + ); + +// synthesis translate_on + +endmodule diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk.veo b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.veo new file mode 100644 index 000000000..06d74a77c --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.veo @@ -0,0 +1,79 @@ +/******************************************************************************* +* This file is owned and controlled by Xilinx and must be used solely * +* for design, simulation, implementation and creation of design files * +* limited to Xilinx devices or technologies. Use with non-Xilinx * +* devices or technologies is expressly prohibited and immediately * +* terminates your license. * +* * +* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY * +* FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY * +* PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE * +* IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS * +* MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY * +* CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY * +* RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY * +* DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * +* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * +* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * +* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A * +* PARTICULAR PURPOSE. * +* * +* Xilinx products are not intended for use in life support appliances, * +* devices, or systems. Use in such applications are expressly * +* prohibited. * +* * +* (c) Copyright 1995-2013 Xilinx, Inc. * +* All rights reserved. * +*******************************************************************************/ + +/******************************************************************************* +* Generated from core with identifier: xilinx.com:ip:fifo_generator:9.3 * +* * +* Rev 1. The FIFO Generator is a parameterizable first-in/first-out * +* memory queue generator. Use it to generate resource and performance * +* optimized FIFOs with common or independent read/write clock domains, * +* and optional fixed or programmable full and empty flags and * +* handshaking signals. Choose from a selection of memory resource * +* types for implementation. Optional Hamming code based error * +* detection and correction as well as error injection capability for * +* system test help to insure data integrity. FIFO width and depth are * +* parameterizable, and for native interface FIFOs, asymmetric read and * +* write port widths are also supported. * +*******************************************************************************/ + +// Interfaces: +// AXI4Stream_MASTER_M_AXIS +// AXI4Stream_SLAVE_S_AXIS +// AXI4_MASTER_M_AXI +// AXI4_SLAVE_S_AXI +// AXI4Lite_MASTER_M_AXI +// AXI4Lite_SLAVE_S_AXI +// master_aclk +// slave_aclk +// slave_aresetn + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +fifo_short_2clk your_instance_name ( + .rst(rst), // input rst + .wr_clk(wr_clk), // input wr_clk + .rd_clk(rd_clk), // input rd_clk + .din(din), // input [71 : 0] din + .wr_en(wr_en), // input wr_en + .rd_en(rd_en), // input rd_en + .dout(dout), // output [71 : 0] dout + .full(full), // output full + .empty(empty), // output empty + .rd_data_count(rd_data_count), // output [5 : 0] rd_data_count + .wr_data_count(wr_data_count) // output [5 : 0] wr_data_count +); +// INST_TAG_END ------ End INSTANTIATION Template --------- + +// You must compile the wrapper file fifo_short_2clk.v when simulating +// the core, fifo_short_2clk. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk.xco b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.xco new file mode 100644 index 000000000..0f256d496 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.xco @@ -0,0 +1,213 @@ +############################################################## +# +# Xilinx Core Generator version 14.4 +# Date: Fri Mar 8 18:15:07 2013 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:fifo_generator:9.3 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx75 +SET devicefamily = spartan6 +SET flowvendor = Other +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = fgg484 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT FIFO_Generator xilinx.com:ip:fifo_generator:9.3 +# END Select +# BEGIN Parameters +CSET add_ngc_constraint_axi=false +CSET almost_empty_flag=false +CSET almost_full_flag=false +CSET aruser_width=1 +CSET awuser_width=1 +CSET axi_address_width=32 +CSET axi_data_width=64 +CSET axi_type=AXI4_Stream +CSET axis_type=FIFO +CSET buser_width=1 +CSET clock_enable_type=Slave_Interface_Clock_Enable +CSET clock_type_axi=Common_Clock +CSET component_name=fifo_short_2clk +CSET data_count=false +CSET data_count_width=5 +CSET disable_timing_violations=false +CSET disable_timing_violations_axi=false +CSET dout_reset_value=0 +CSET empty_threshold_assert_value=4 +CSET empty_threshold_assert_value_axis=1022 +CSET empty_threshold_assert_value_rach=1022 +CSET empty_threshold_assert_value_rdch=1022 +CSET empty_threshold_assert_value_wach=1022 +CSET empty_threshold_assert_value_wdch=1022 +CSET empty_threshold_assert_value_wrch=1022 +CSET empty_threshold_negate_value=5 +CSET enable_aruser=false +CSET enable_awuser=false +CSET enable_buser=false +CSET enable_common_overflow=false +CSET enable_common_underflow=false +CSET enable_data_counts_axis=false +CSET enable_data_counts_rach=false +CSET enable_data_counts_rdch=false +CSET enable_data_counts_wach=false +CSET enable_data_counts_wdch=false +CSET enable_data_counts_wrch=false +CSET enable_ecc=false +CSET enable_ecc_axis=false +CSET enable_ecc_rach=false +CSET enable_ecc_rdch=false +CSET enable_ecc_wach=false +CSET enable_ecc_wdch=false +CSET enable_ecc_wrch=false +CSET enable_read_channel=false +CSET enable_read_pointer_increment_by2=false +CSET enable_reset_synchronization=true +CSET enable_ruser=false +CSET enable_tdata=false +CSET enable_tdest=false +CSET enable_tid=false +CSET enable_tkeep=false +CSET enable_tlast=false +CSET enable_tready=true +CSET enable_tstrobe=false +CSET enable_tuser=false +CSET enable_write_channel=false +CSET enable_wuser=false +CSET fifo_application_type_axis=Data_FIFO +CSET fifo_application_type_rach=Data_FIFO +CSET fifo_application_type_rdch=Data_FIFO +CSET fifo_application_type_wach=Data_FIFO +CSET fifo_application_type_wdch=Data_FIFO +CSET fifo_application_type_wrch=Data_FIFO +CSET fifo_implementation=Independent_Clocks_Distributed_RAM +CSET fifo_implementation_axis=Common_Clock_Block_RAM +CSET fifo_implementation_rach=Common_Clock_Block_RAM +CSET fifo_implementation_rdch=Common_Clock_Block_RAM +CSET fifo_implementation_wach=Common_Clock_Block_RAM +CSET fifo_implementation_wdch=Common_Clock_Block_RAM +CSET fifo_implementation_wrch=Common_Clock_Block_RAM +CSET full_flags_reset_value=1 +CSET full_threshold_assert_value=31 +CSET full_threshold_assert_value_axis=1023 +CSET full_threshold_assert_value_rach=1023 +CSET full_threshold_assert_value_rdch=1023 +CSET full_threshold_assert_value_wach=1023 +CSET full_threshold_assert_value_wdch=1023 +CSET full_threshold_assert_value_wrch=1023 +CSET full_threshold_negate_value=30 +CSET id_width=4 +CSET inject_dbit_error=false +CSET inject_dbit_error_axis=false +CSET inject_dbit_error_rach=false +CSET inject_dbit_error_rdch=false +CSET inject_dbit_error_wach=false +CSET inject_dbit_error_wdch=false +CSET inject_dbit_error_wrch=false +CSET inject_sbit_error=false +CSET inject_sbit_error_axis=false +CSET inject_sbit_error_rach=false +CSET inject_sbit_error_rdch=false +CSET inject_sbit_error_wach=false +CSET inject_sbit_error_wdch=false +CSET inject_sbit_error_wrch=false +CSET input_data_width=72 +CSET input_depth=32 +CSET input_depth_axis=1024 +CSET input_depth_rach=16 +CSET input_depth_rdch=1024 +CSET input_depth_wach=16 +CSET input_depth_wdch=1024 +CSET input_depth_wrch=16 +CSET interface_type=Native +CSET output_data_width=72 +CSET output_depth=32 +CSET overflow_flag=false +CSET overflow_flag_axi=false +CSET overflow_sense=Active_High +CSET overflow_sense_axi=Active_High +CSET performance_options=First_Word_Fall_Through +CSET programmable_empty_type=No_Programmable_Empty_Threshold +CSET programmable_empty_type_axis=No_Programmable_Empty_Threshold +CSET programmable_empty_type_rach=No_Programmable_Empty_Threshold +CSET programmable_empty_type_rdch=No_Programmable_Empty_Threshold +CSET programmable_empty_type_wach=No_Programmable_Empty_Threshold +CSET programmable_empty_type_wdch=No_Programmable_Empty_Threshold +CSET programmable_empty_type_wrch=No_Programmable_Empty_Threshold +CSET programmable_full_type=No_Programmable_Full_Threshold +CSET programmable_full_type_axis=No_Programmable_Full_Threshold +CSET programmable_full_type_rach=No_Programmable_Full_Threshold +CSET programmable_full_type_rdch=No_Programmable_Full_Threshold +CSET programmable_full_type_wach=No_Programmable_Full_Threshold +CSET programmable_full_type_wdch=No_Programmable_Full_Threshold +CSET programmable_full_type_wrch=No_Programmable_Full_Threshold +CSET rach_type=FIFO +CSET rdch_type=FIFO +CSET read_clock_frequency=1 +CSET read_data_count=true +CSET read_data_count_width=6 +CSET register_slice_mode_axis=Fully_Registered +CSET register_slice_mode_rach=Fully_Registered +CSET register_slice_mode_rdch=Fully_Registered +CSET register_slice_mode_wach=Fully_Registered +CSET register_slice_mode_wdch=Fully_Registered +CSET register_slice_mode_wrch=Fully_Registered +CSET reset_pin=true +CSET reset_type=Asynchronous_Reset +CSET ruser_width=1 +CSET synchronization_stages=2 +CSET synchronization_stages_axi=2 +CSET tdata_width=64 +CSET tdest_width=4 +CSET tid_width=8 +CSET tkeep_width=4 +CSET tstrb_width=4 +CSET tuser_width=4 +CSET underflow_flag=false +CSET underflow_flag_axi=false +CSET underflow_sense=Active_High +CSET underflow_sense_axi=Active_High +CSET use_clock_enable=false +CSET use_dout_reset=true +CSET use_embedded_registers=false +CSET use_extra_logic=true +CSET valid_flag=false +CSET valid_sense=Active_High +CSET wach_type=FIFO +CSET wdch_type=FIFO +CSET wrch_type=FIFO +CSET write_acknowledge_flag=false +CSET write_acknowledge_sense=Active_High +CSET write_clock_frequency=1 +CSET write_data_count=true +CSET write_data_count_width=6 +CSET wuser_width=1 +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2012-11-19T12:39:56Z +# END Extra information +GENERATE +# CRC: 8cc2c19d diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_readme.txt b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_readme.txt new file mode 100644 index 000000000..7853ebde8 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_readme.txt @@ -0,0 +1,236 @@ +CHANGE LOG for LogiCORE FIFO Generator V9.3 Rev 1 + + Release Date: December 18, 2012 +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURE HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm + +For system requirements: + + http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm + +This file contains release notes for the Xilinx LogiCORE IP FIFO Generator v9.3 Rev 1 +solution. For the latest core updates, see the product page at: + + http://www.xilinx.com/products/ipcenter/FIFO_Generator.htm + +................................................................................ + + +2. DEVICE SUPPORT + + + 2.1 ISE + + The following device families are supported by the core for this release. + + + All 7 Series devices + Zynq-7000 devices + All Virtex-6 devices + All Spartan-6 devices + All Virtex-5 devices + All Spartan-3 devices + All Virtex-4 devices + + + 2.2 Vivado + + All 7 Series devices + Zynq-7000 devices + +................................................................................ + + +3. NEW FEATURE HISTORY + + + 3.1 ISE + + - ISE 14.4 software support + + + 3.2 Vivado + + - 2012.4 software support + - IP level constraint for Built-in FIFO reset synchronizer + +................................................................................ + + +4. RESOLVED ISSUES + + + 4.1 ISE + + - N/A + + + 4.2 Vivado + + - N/A + + +................................................................................ + + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + The following are known issues for v9.3 Rev 1 of this core at time of release: + + 1. Importing an XCO file alters the XCO configurations + + Description: In the FIFO Generator GUI, after importing an XCO file (Independent clock, distributed memory configuration) + into a Virtex-4 CORE Generator project, if the FIFO type is changed to "Independent Clocks, Built-in FIFO" in page 1, + page 2 does not correctly offer the Read Clock Frequency and Write Clock Frequency options as it should. + + CR 467240 + AR 31379 + + 2. Status flags after the first write to Common Clock Built-in FIFO not guaranteed + + Description: When using Common Clock Built-in FIFO configuration with asynchronous reset for Virtex-6 FPGA, + correct behavior of the FIFO status flags cannot be guaranteed after the first write. + + Workaround: To work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK. + For more information and additional workaround see Answer Record 41099. + + 5.2 Vivado + + The following are known issues for v9.3 Rev 1 of this core at time of release: + + 1. Description: When Trying to upgrade to latest version of FIFO Generator from older verions, following error message is seen + ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work. + + CR 665836 + +The most recent information, including known issues, workarounds, and +resolutions for this version is provided in the IP Release Notes User Guide +located at + + www.xilinx.com/support/documentation/user_guides/xtp025.pdf + +................................................................................ + + +6. TECHNICAL SUPPORT & FEEDBACK + +To obtain technical support, create a WebCase at www.xilinx.com/support. +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + +................................................................................ + + +7. CORE RELEASE HISTORY + +Date By Version Description +================================================================================ +12/18/2012 Xilinx, Inc. 9.3 Rev 1 ISE 14.4 and Vivado 2012.4 support; IP level constraint for Built-in FIFO reset synchronizer +10/16/2012 Xilinx, Inc. 9.3 ISE 14.3 and Vivado 2012.3 support; Clock Enable support for AXI4 Stream FIFO +07/25/2012 Xilinx, Inc. 9.2 ISE 14.2 and Vivado 2012.2 support; Accurate data count support for AXI4 Stream Packet FIFO +04/24/2012 Xilinx, Inc. 9.1 ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support + AXI FIFO data width support up to 4096; Programmable Full/Empty as sideband signals for AXI FIFO +01/18/2012 Xilinx, Inc. 8.4 ISE 13.4 support and Packet FIFO feature addition; Artix-7 Lower Power and Automotive Artix-7 device support +10/19/2011 Xilinx, Inc. 8.3 ISE 13.3 support and QVirtex-6L device support +06/22/2011 Xilinx, Inc. 8.2 ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support +03/01/2011 Xilinx, Inc. 8.1 ISE 13.1 support and Virtex-7 and Kintex-7 device support; Wiring Logic and Register Slice Support +10/29/2010 Xilinx, Inc. 7.3 ISE 13.0.2 support +09/21/2010 Xilinx, Inc. 7.2 ISE 12.3 support; AXI4 Support +07/30/2010 Xilinx, Inc. 7.1 ISE 13.0.1 support +06/18/2010 Xilinx, Inc. 6.2 ISE 12.2 support +04/19/2010 Xilinx, Inc. 6.1 ISE 12.1 support +12/02/2009 Xilinx, Inc. 5.3 rev 1 ISE 11.4 support; Spartan-6 Low Power and Automotive Spartan-6 Device support +09/16/2009 Xilinx, Inc. 5.3 Update to add 11.3; Virtex-6 Low Power and Virtex-6 HXT Device support +06/24/2009 Xilinx, Inc. 5.2 Update to add 11.2 and Virtex-6 CXT device support +04/24/2009 Xilinx, Inc. 5.1 Update to add 11.1 and Virtex-6 and Spartan-6 device support +09/19/2008 Xilinx, Inc. 4.4 Update to add 10.1 SP3 and Virtex-5 TXT device support and miscellaneous bug fixes +03/24/2008 Xilinx, Inc. 4.3 Update to add 10.1 support and miscellaneous bug fixes +10/03/2007 Xilinx, Inc. 4.2 Support for FWFT for Block RAM and Distributed RAM Common Clock FIFOs +08/08/2007 Xilinx, Inc. 4.1 Update to add 9.2i support; Revised to v4.1; ECC support for block RAM FIFO +04/02/2007 Xilinx, Inc. 3.3 Update to add 9.1i support; Revised to v3.3; Spartan-3A and Spartan-3A DSP support; ECC support +09/21/2006 Xilinx, Inc. 3.2 Revised to v3.2; Spartan-3 and Virtex-4 automotive device support +07/13/2006 Xilinx, Inc. 3.1 Update to add 8.2i support; Revised to v3.1; Virtex-5 support +01/11/2006 Xilinx, Inc. 2.3 Update to add 8.1i support; Revised to v2.3 +08/31/2005 Xilinx, Inc. 2.2 Update to add 7.1i SP4 support; Revised to v2.2 +04/28/2005 Xilinx, Inc. 2.1 Update to add 7.1i SP1 support; Revised to v2.1 +11/04/2004 Xilinx, Inc. 2.0 Update to add 6.3i support; Revised to v2.0 +05/21/2004 Xilinx, Inc. 1.1 Revised to v1.1; Virtex-4 support +04/23/2004 Xilinx, Inc. 1.0 Update to add 6.2i support; First release +================================================================================ + +................................................................................ + + +8. LEGAL DISCLAIMER + +(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved. + + This file contains confidential and proprietary information + of Xilinx, Inc. and is protected under U.S. and + international copyright and other intellectual property + laws. + + DISCLAIMER + This disclaimer is not a license and does not grant any + rights to the materials distributed herewith. Except as + otherwise provided in a valid license issued to you by + Xilinx, and to the maximum extent permitted by applicable + law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND + WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES + AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING + BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- + INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and + (2) Xilinx shall not be liable (whether in contract or tort, + including negligence, or under any other theory of + liability) for any loss or damage of any kind or nature + related to, arising under or in connection with these + materials, including for any direct, or any indirect, + special, incidental, or consequential loss or damage + (including loss of data, profits, goodwill, or any type of + loss or damage suffered as a result of any action brought + by a third party) even if such damage or loss was + reasonably foreseeable or Xilinx had been advised of the + possibility of the same. + + CRITICAL APPLICATIONS + Xilinx products are not designed or intended to be fail- + safe, or for use in any application requiring fail-safe + performance, such as life-support or safety devices or + systems, Class III medical devices, nuclear facilities, + applications related to the deployment of airbags, or any + other applications that could lead to death, personal + injury, or severe property or environmental damage + (individually and collectively, "Critical + Applications"). Customer assumes the sole risk and + liability of any use of Xilinx products in Critical + Applications, subject only to applicable laws and + regulations governing limitations on product liability. + + THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS + PART OF THIS FILE AT ALL TIMES. diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_vinfo.html b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_vinfo.html new file mode 100644 index 000000000..fefce62a3 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_vinfo.html @@ -0,0 +1,247 @@ + + +fifo_generator_v9_3_vinfo + + + +

+CHANGE LOG for LogiCORE FIFO Generator V9.3 Rev 1
+
+                    Release Date: December 18, 2012
+--------------------------------------------------------------------------------
+
+Table of Contents
+
+1. INTRODUCTION 
+2. DEVICE SUPPORT    
+3. NEW FEATURE HISTORY   
+4. RESOLVED ISSUES 
+5. KNOWN ISSUES & LIMITATIONS 
+6. TECHNICAL SUPPORT & FEEDBACK
+7. CORE RELEASE HISTORY 
+8. LEGAL DISCLAIMER 
+
+--------------------------------------------------------------------------------  
+
+
+1. INTRODUCTION
+
+For installation instructions for this release, please go to:
+
+   www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm
+
+For system requirements:
+
+   www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm
+
+This file contains release notes for the Xilinx LogiCORE IP FIFO Generator v9.3 Rev 1
+solution. For the latest core updates, see the product page at:
+ 
+   www.xilinx.com/products/ipcenter/FIFO_Generator.htm
+
+................................................................................
+
+
+2. DEVICE SUPPORT
+
+
+  2.1 ISE 
+   
+    The following device families are supported by the core for this release.
+    
+    
+    All 7 Series devices
+    Zynq-7000 devices
+    All Virtex-6 devices
+    All Spartan-6 devices
+    All Virtex-5 devices
+    All Spartan-3 devices
+    All Virtex-4 devices
+  
+  
+  2.2 Vivado 
+  
+    All 7 Series devices
+    Zynq-7000 devices
+
+................................................................................
+
+
+3. NEW FEATURE HISTORY
+
+
+  3.1 ISE 
+  
+    - ISE 14.4 software support
+
+  
+  3.2 Vivado
+  
+    - 2012.4 software support
+    - IP level constraint for Built-in FIFO reset synchronizer
+
+................................................................................
+
+
+4. RESOLVED ISSUES 
+
+
+  4.1 ISE 
+
+    - N/A
+
+
+  4.2 Vivado 
+
+    - N/A
+
+
+................................................................................
+
+
+5. KNOWN ISSUES & LIMITATIONS 
+
+
+  5.1 ISE 
+  
+    The following are known issues for v9.3 Rev 1 of this core at time of release:
+  
+    1. Importing an XCO file alters the XCO configurations
+  
+       Description: In the FIFO Generator GUI, after importing an XCO file (Independent clock, distributed memory configuration)
+       into a Virtex-4 CORE Generator project, if the FIFO type is changed to "Independent Clocks, Built-in FIFO" in page 1, 
+       page 2 does not correctly offer the Read Clock Frequency and Write Clock Frequency options as it should.
+    
+       CR 467240
+       AR 31379
+  
+    2. Status flags after the first write to Common Clock Built-in FIFO not guaranteed
+  
+       Description: When using Common Clock Built-in FIFO configuration with asynchronous reset for Virtex-6 FPGA,
+       correct behavior of the FIFO status flags cannot be guaranteed after the first write.
+    
+       Workaround: To work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK.
+       For more information and additional workaround see Answer Record 41099.
+  
+  5.2 Vivado 
+
+    The following are known issues for v9.3 Rev 1 of this core at time of release:
+     
+    1. Description: When Trying to upgrade to latest version of FIFO Generator from older verions, following error message is seen 
+       ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work.
+      
+       CR 665836
+
+The most recent information, including known issues, workarounds, and
+resolutions for this version is provided in the IP Release Notes User Guide
+located at 
+
+   www.xilinx.com/support/documentation/user_guides/xtp025.pdf 
+
+................................................................................
+
+
+6. TECHNICAL SUPPORT & FEEDBACK
+
+To obtain technical support, create a WebCase at www.xilinx.com/support.
+Questions are routed to a team with expertise using this product.  
+
+Xilinx provides technical support for use of this product when used
+according to the guidelines described in the core documentation, and
+cannot guarantee timing, functionality, or support of this product for
+designs that do not follow specified guidelines.
+
+................................................................................
+
+
+7. CORE RELEASE HISTORY 
+
+Date        By            Version      Description
+================================================================================
+12/18/2012  Xilinx, Inc.  9.3 Rev 1    ISE 14.4 and Vivado 2012.4 support; IP level constraint for Built-in FIFO reset synchronizer
+10/16/2012  Xilinx, Inc.  9.3          ISE 14.3 and Vivado 2012.3 support; Clock Enable support for AXI4 Stream FIFO
+07/25/2012  Xilinx, Inc.  9.2          ISE 14.2 and Vivado 2012.2 support; Accurate data count support for AXI4 Stream Packet FIFO
+04/24/2012  Xilinx, Inc.  9.1          ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support
+                                       AXI FIFO data width support up to 4096; Programmable Full/Empty as sideband signals for AXI FIFO
+01/18/2012  Xilinx, Inc.  8.4          ISE 13.4 support and Packet FIFO feature addition; Artix-7 Lower Power and Automotive Artix-7 device support
+10/19/2011  Xilinx, Inc.  8.3          ISE 13.3 support and QVirtex-6L device support
+06/22/2011  Xilinx, Inc.  8.2          ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support
+03/01/2011  Xilinx, Inc.  8.1          ISE 13.1 support and Virtex-7 and Kintex-7 device support; Wiring Logic and Register Slice Support
+10/29/2010  Xilinx, Inc.  7.3          ISE 13.0.2 support
+09/21/2010  Xilinx, Inc.  7.2          ISE 12.3 support; AXI4 Support
+07/30/2010  Xilinx, Inc.  7.1          ISE 13.0.1 support
+06/18/2010  Xilinx, Inc.  6.2          ISE 12.2 support
+04/19/2010  Xilinx, Inc.  6.1          ISE 12.1 support
+12/02/2009  Xilinx, Inc.  5.3 rev 1    ISE 11.4 support; Spartan-6 Low Power and Automotive Spartan-6 Device support
+09/16/2009  Xilinx, Inc.  5.3          Update to add 11.3; Virtex-6 Low Power and Virtex-6 HXT Device support
+06/24/2009  Xilinx, Inc.  5.2          Update to add 11.2 and Virtex-6 CXT device support
+04/24/2009  Xilinx, Inc.  5.1          Update to add 11.1 and Virtex-6 and Spartan-6 device support
+09/19/2008  Xilinx, Inc.  4.4          Update to add 10.1 SP3 and Virtex-5 TXT device support and miscellaneous bug fixes
+03/24/2008  Xilinx, Inc.  4.3          Update to add 10.1 support and miscellaneous bug fixes
+10/03/2007  Xilinx, Inc.  4.2          Support for FWFT for Block RAM and Distributed RAM Common Clock FIFOs
+08/08/2007  Xilinx, Inc.  4.1          Update to add 9.2i support; Revised to v4.1; ECC support for block RAM FIFO
+04/02/2007  Xilinx, Inc.  3.3          Update to add 9.1i support; Revised to v3.3; Spartan-3A and Spartan-3A DSP support; ECC support
+09/21/2006  Xilinx, Inc.  3.2          Revised to v3.2; Spartan-3 and Virtex-4 automotive device support
+07/13/2006  Xilinx, Inc.  3.1          Update to add 8.2i support; Revised to v3.1; Virtex-5 support
+01/11/2006  Xilinx, Inc.  2.3          Update to add 8.1i support; Revised to v2.3
+08/31/2005  Xilinx, Inc.  2.2          Update to add 7.1i SP4 support; Revised to v2.2
+04/28/2005  Xilinx, Inc.  2.1          Update to add 7.1i SP1 support; Revised to v2.1
+11/04/2004  Xilinx, Inc.  2.0          Update to add 6.3i support; Revised to v2.0
+05/21/2004  Xilinx, Inc.  1.1          Revised to v1.1; Virtex-4 support
+04/23/2004  Xilinx, Inc.  1.0          Update to add 6.2i support; First release
+================================================================================
+
+................................................................................
+
+
+8. LEGAL DISCLAIMER
+
+(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved.
+
+  This file contains confidential and proprietary information
+  of Xilinx, Inc. and is protected under U.S. and
+  international copyright and other intellectual property
+  laws.
+
+  DISCLAIMER
+  This disclaimer is not a license and does not grant any
+  rights to the materials distributed herewith. Except as
+  otherwise provided in a valid license issued to you by
+  Xilinx, and to the maximum extent permitted by applicable
+  law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+  WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+  AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+  BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+  INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+  (2) Xilinx shall not be liable (whether in contract or tort,
+  including negligence, or under any other theory of
+  liability) for any loss or damage of any kind or nature
+  related to, arising under or in connection with these
+  materials, including for any direct, or any indirect,
+  special, incidental, or consequential loss or damage
+  (including loss of data, profits, goodwill, or any type of
+  loss or damage suffered as a result of any action brought
+  by a third party) even if such damage or loss was
+  reasonably foreseeable or Xilinx had been advised of the
+  possibility of the same. 
+
+  CRITICAL APPLICATIONS
+  Xilinx products are not designed or intended to be fail-
+  safe, or for use in any application requiring fail-safe
+  performance, such as life-support or safety devices or
+  systems, Class III medical devices, nuclear facilities,
+  applications related to the deployment of airbags, or any
+  other applications that could lead to death, personal
+  injury, or severe property or environmental damage
+  (individually and collectively, "Critical 
+  Applications"). Customer assumes the sole risk and 
+  liability of any use of Xilinx products in Critical 
+  Applications, subject only to applicable laws and 
+  regulations governing limitations on product liability. 
+ 
+  THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+  PART OF THIS FILE AT ALL TIMES.
+
+
+ + diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/doc/pg057-fifo-generator.pdf b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/doc/pg057-fifo-generator.pdf new file mode 100644 index 000000000..5ec45fbcb Binary files /dev/null and b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/doc/pg057-fifo-generator.pdf differ diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf new file mode 100755 index 000000000..62e5058ab --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf @@ -0,0 +1,56 @@ +################################################################################ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +################################################################################ + +# Core Period Constraint. This constraint can be modified, and is +# valid as long as it is met after place and route. + NET "RD_CLK" TNM_NET = "RD_CLK"; + NET "WR_CLK" TNM_NET = "WR_CLK"; + TIMESPEC "TS_RD_CLK" = PERIOD "RD_CLK" 50 MHZ; + TIMESPEC "TS_WR_CLK" = PERIOD "WR_CLK" 50 MHZ; +################################################################################ diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd new file mode 100755 index 000000000..9e38bcb7c --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd @@ -0,0 +1,145 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core - core top file for implementation +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_exdes.vhd +-- +-- Description: +-- This is the FIFO core wrapper with BUFG instances for clock connections. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; + +library unisim; +use unisim.vcomponents.all; + +-------------------------------------------------------------------------------- +-- Entity Declaration +-------------------------------------------------------------------------------- +entity fifo_short_2clk_exdes is + PORT ( + WR_CLK : IN std_logic; + RD_CLK : IN std_logic; + WR_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0); + RD_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0); + RST : IN std_logic; + WR_EN : IN std_logic; + RD_EN : IN std_logic; + DIN : IN std_logic_vector(72-1 DOWNTO 0); + DOUT : OUT std_logic_vector(72-1 DOWNTO 0); + FULL : OUT std_logic; + EMPTY : OUT std_logic); + +end fifo_short_2clk_exdes; + + + +architecture xilinx of fifo_short_2clk_exdes is + + signal wr_clk_i : std_logic; + signal rd_clk_i : std_logic; + + + + component fifo_short_2clk is + PORT ( + WR_CLK : IN std_logic; + RD_CLK : IN std_logic; + WR_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0); + RD_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0); + RST : IN std_logic; + WR_EN : IN std_logic; + RD_EN : IN std_logic; + DIN : IN std_logic_vector(72-1 DOWNTO 0); + DOUT : OUT std_logic_vector(72-1 DOWNTO 0); + FULL : OUT std_logic; + EMPTY : OUT std_logic); + + end component; + + +begin + + wr_clk_buf: bufg + PORT map( + i => WR_CLK, + o => wr_clk_i + ); + + rd_clk_buf: bufg + PORT map( + i => RD_CLK, + o => rd_clk_i + ); + + + exdes_inst : fifo_short_2clk + PORT MAP ( + WR_CLK => wr_clk_i, + RD_CLK => rd_clk_i, + WR_DATA_COUNT => wr_data_count, + RD_DATA_COUNT => rd_data_count, + RST => rst, + WR_EN => wr_en, + RD_EN => rd_en, + DIN => din, + DOUT => dout, + FULL => full, + EMPTY => empty); + +end xilinx; diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/fifo_generator_v9_3_readme.txt b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/fifo_generator_v9_3_readme.txt new file mode 100644 index 000000000..7853ebde8 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/fifo_generator_v9_3_readme.txt @@ -0,0 +1,236 @@ +CHANGE LOG for LogiCORE FIFO Generator V9.3 Rev 1 + + Release Date: December 18, 2012 +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURE HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm + +For system requirements: + + http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm + +This file contains release notes for the Xilinx LogiCORE IP FIFO Generator v9.3 Rev 1 +solution. For the latest core updates, see the product page at: + + http://www.xilinx.com/products/ipcenter/FIFO_Generator.htm + +................................................................................ + + +2. DEVICE SUPPORT + + + 2.1 ISE + + The following device families are supported by the core for this release. + + + All 7 Series devices + Zynq-7000 devices + All Virtex-6 devices + All Spartan-6 devices + All Virtex-5 devices + All Spartan-3 devices + All Virtex-4 devices + + + 2.2 Vivado + + All 7 Series devices + Zynq-7000 devices + +................................................................................ + + +3. NEW FEATURE HISTORY + + + 3.1 ISE + + - ISE 14.4 software support + + + 3.2 Vivado + + - 2012.4 software support + - IP level constraint for Built-in FIFO reset synchronizer + +................................................................................ + + +4. RESOLVED ISSUES + + + 4.1 ISE + + - N/A + + + 4.2 Vivado + + - N/A + + +................................................................................ + + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + The following are known issues for v9.3 Rev 1 of this core at time of release: + + 1. Importing an XCO file alters the XCO configurations + + Description: In the FIFO Generator GUI, after importing an XCO file (Independent clock, distributed memory configuration) + into a Virtex-4 CORE Generator project, if the FIFO type is changed to "Independent Clocks, Built-in FIFO" in page 1, + page 2 does not correctly offer the Read Clock Frequency and Write Clock Frequency options as it should. + + CR 467240 + AR 31379 + + 2. Status flags after the first write to Common Clock Built-in FIFO not guaranteed + + Description: When using Common Clock Built-in FIFO configuration with asynchronous reset for Virtex-6 FPGA, + correct behavior of the FIFO status flags cannot be guaranteed after the first write. + + Workaround: To work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK. + For more information and additional workaround see Answer Record 41099. + + 5.2 Vivado + + The following are known issues for v9.3 Rev 1 of this core at time of release: + + 1. Description: When Trying to upgrade to latest version of FIFO Generator from older verions, following error message is seen + ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work. + + CR 665836 + +The most recent information, including known issues, workarounds, and +resolutions for this version is provided in the IP Release Notes User Guide +located at + + www.xilinx.com/support/documentation/user_guides/xtp025.pdf + +................................................................................ + + +6. TECHNICAL SUPPORT & FEEDBACK + +To obtain technical support, create a WebCase at www.xilinx.com/support. +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + +................................................................................ + + +7. CORE RELEASE HISTORY + +Date By Version Description +================================================================================ +12/18/2012 Xilinx, Inc. 9.3 Rev 1 ISE 14.4 and Vivado 2012.4 support; IP level constraint for Built-in FIFO reset synchronizer +10/16/2012 Xilinx, Inc. 9.3 ISE 14.3 and Vivado 2012.3 support; Clock Enable support for AXI4 Stream FIFO +07/25/2012 Xilinx, Inc. 9.2 ISE 14.2 and Vivado 2012.2 support; Accurate data count support for AXI4 Stream Packet FIFO +04/24/2012 Xilinx, Inc. 9.1 ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support + AXI FIFO data width support up to 4096; Programmable Full/Empty as sideband signals for AXI FIFO +01/18/2012 Xilinx, Inc. 8.4 ISE 13.4 support and Packet FIFO feature addition; Artix-7 Lower Power and Automotive Artix-7 device support +10/19/2011 Xilinx, Inc. 8.3 ISE 13.3 support and QVirtex-6L device support +06/22/2011 Xilinx, Inc. 8.2 ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support +03/01/2011 Xilinx, Inc. 8.1 ISE 13.1 support and Virtex-7 and Kintex-7 device support; Wiring Logic and Register Slice Support +10/29/2010 Xilinx, Inc. 7.3 ISE 13.0.2 support +09/21/2010 Xilinx, Inc. 7.2 ISE 12.3 support; AXI4 Support +07/30/2010 Xilinx, Inc. 7.1 ISE 13.0.1 support +06/18/2010 Xilinx, Inc. 6.2 ISE 12.2 support +04/19/2010 Xilinx, Inc. 6.1 ISE 12.1 support +12/02/2009 Xilinx, Inc. 5.3 rev 1 ISE 11.4 support; Spartan-6 Low Power and Automotive Spartan-6 Device support +09/16/2009 Xilinx, Inc. 5.3 Update to add 11.3; Virtex-6 Low Power and Virtex-6 HXT Device support +06/24/2009 Xilinx, Inc. 5.2 Update to add 11.2 and Virtex-6 CXT device support +04/24/2009 Xilinx, Inc. 5.1 Update to add 11.1 and Virtex-6 and Spartan-6 device support +09/19/2008 Xilinx, Inc. 4.4 Update to add 10.1 SP3 and Virtex-5 TXT device support and miscellaneous bug fixes +03/24/2008 Xilinx, Inc. 4.3 Update to add 10.1 support and miscellaneous bug fixes +10/03/2007 Xilinx, Inc. 4.2 Support for FWFT for Block RAM and Distributed RAM Common Clock FIFOs +08/08/2007 Xilinx, Inc. 4.1 Update to add 9.2i support; Revised to v4.1; ECC support for block RAM FIFO +04/02/2007 Xilinx, Inc. 3.3 Update to add 9.1i support; Revised to v3.3; Spartan-3A and Spartan-3A DSP support; ECC support +09/21/2006 Xilinx, Inc. 3.2 Revised to v3.2; Spartan-3 and Virtex-4 automotive device support +07/13/2006 Xilinx, Inc. 3.1 Update to add 8.2i support; Revised to v3.1; Virtex-5 support +01/11/2006 Xilinx, Inc. 2.3 Update to add 8.1i support; Revised to v2.3 +08/31/2005 Xilinx, Inc. 2.2 Update to add 7.1i SP4 support; Revised to v2.2 +04/28/2005 Xilinx, Inc. 2.1 Update to add 7.1i SP1 support; Revised to v2.1 +11/04/2004 Xilinx, Inc. 2.0 Update to add 6.3i support; Revised to v2.0 +05/21/2004 Xilinx, Inc. 1.1 Revised to v1.1; Virtex-4 support +04/23/2004 Xilinx, Inc. 1.0 Update to add 6.2i support; First release +================================================================================ + +................................................................................ + + +8. LEGAL DISCLAIMER + +(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved. + + This file contains confidential and proprietary information + of Xilinx, Inc. and is protected under U.S. and + international copyright and other intellectual property + laws. + + DISCLAIMER + This disclaimer is not a license and does not grant any + rights to the materials distributed herewith. Except as + otherwise provided in a valid license issued to you by + Xilinx, and to the maximum extent permitted by applicable + law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND + WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES + AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING + BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- + INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and + (2) Xilinx shall not be liable (whether in contract or tort, + including negligence, or under any other theory of + liability) for any loss or damage of any kind or nature + related to, arising under or in connection with these + materials, including for any direct, or any indirect, + special, incidental, or consequential loss or damage + (including loss of data, profits, goodwill, or any type of + loss or damage suffered as a result of any action brought + by a third party) even if such damage or loss was + reasonably foreseeable or Xilinx had been advised of the + possibility of the same. + + CRITICAL APPLICATIONS + Xilinx products are not designed or intended to be fail- + safe, or for use in any application requiring fail-safe + performance, such as life-support or safety devices or + systems, Class III medical devices, nuclear facilities, + applications related to the deployment of airbags, or any + other applications that could lead to death, personal + injury, or severe property or environmental damage + (individually and collectively, "Critical + Applications"). Customer assumes the sole risk and + liability of any use of Xilinx products in Critical + Applications, subject only to applicable laws and + regulations governing limitations on product liability. + + THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS + PART OF THIS FILE AT ALL TIMES. diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement.bat b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement.bat new file mode 100755 index 000000000..f846d4485 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement.bat @@ -0,0 +1,88 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +rem Clean up the results directory +rmdir /S /Q results +mkdir results + +rem Synthesize the VHDL Wrapper Files + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with XST'; +xst -ifn xst.scr +copy fifo_short_2clk_exdes.ngc .\results\ + + +rem Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +copy ..\..\fifo_short_2clk.ngc results\ + + +rem Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +copy ..\example_design\fifo_short_2clk_exdes.ucf results\ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-fgg484-3 -sd ../../../ fifo_short_2clk_exdes + +echo 'Running map' +map fifo_short_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_short_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement.sh b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement.sh new file mode 100755 index 000000000..e2453c4a1 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement.sh @@ -0,0 +1,87 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +# Clean up the results directory +rm -rf results +mkdir results + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with XST'; +xst -ifn xst.scr +cp fifo_short_2clk_exdes.ngc ./results/ + + +# Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +cp ../../fifo_short_2clk.ngc results/ + +# Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +cp ../example_design/fifo_short_2clk_exdes.ucf results/ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-fgg484-3 -sd ../../../ fifo_short_2clk_exdes + +echo 'Running map' +map fifo_short_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_short_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v + diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement_synplify.bat b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement_synplify.bat new file mode 100755 index 000000000..4fe498bff --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement_synplify.bat @@ -0,0 +1,87 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +rem Clean up the results directory +rmdir /S /Q results +mkdir results + +rem Synthesize the VHDL Wrapper Files + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with Synplify' +synplify_pro -batch synplify.prj -licensetype synplifypro_xilinx + + +rem Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +copy ..\..\fifo_short_2clk.ngc results\ + + +rem Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +copy ..\example_design\fifo_short_2clk_exdes.ucf results\ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-fgg484-3 -sd ../../../ fifo_short_2clk_exdes + +echo 'Running map' +map fifo_short_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_short_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement_synplify.sh b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement_synplify.sh new file mode 100755 index 000000000..882036474 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement_synplify.sh @@ -0,0 +1,86 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +# Clean up the results directory +rm -rf results +mkdir results + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with Synplify' +synplify_pro -batch synplify.prj -licensetype synplifypro_xilinx + + +# Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +cp ../../fifo_short_2clk.ngc results/ + +# Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +cp ../example_design/fifo_short_2clk_exdes.ucf results/ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-fgg484-3 -sd ../../../ fifo_short_2clk_exdes + +echo 'Running map' +map fifo_short_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_short_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v + diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/planAhead_ise.bat b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/planAhead_ise.bat new file mode 100755 index 000000000..49053fd63 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/planAhead_ise.bat @@ -0,0 +1,54 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +rem ----------------------------------------------------------------------------- +rem Script to synthesize and implement the Coregen FIFO Generator +rem ----------------------------------------------------------------------------- +rmdir /S /Q results +mkdir results +cd results +copy ..\..\..\fifo_short_2clk.ngc . +planAhead -mode batch -source ..\planAhead_ise.tcl diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/planAhead_ise.sh b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/planAhead_ise.sh new file mode 100755 index 000000000..42916c2bc --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/planAhead_ise.sh @@ -0,0 +1,55 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +#----------------------------------------------------------------------------- +# Script to synthesize and implement the Coregen FIFO Generator +#----------------------------------------------------------------------------- +rm -rf results +mkdir results +cd results +cp ../../../fifo_short_2clk.ngc . +planAhead -mode batch -source ../planAhead_ise.tcl diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/planAhead_ise.tcl b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/planAhead_ise.tcl new file mode 100755 index 000000000..9f39b3e13 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/planAhead_ise.tcl @@ -0,0 +1,67 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + + +set device xc6slx75fgg484-3 +set projName fifo_short_2clk +set design fifo_short_2clk +set projDir [file dirname [info script]] +create_project $projName $projDir/results/$projName -part $device -force +set_property design_mode RTL [current_fileset -srcset] +set top_module fifo_short_2clk_exdes +add_files -norecurse {../../example_design/fifo_short_2clk_exdes.vhd} +add_files -norecurse {./fifo_short_2clk.ngc} +import_files -fileset [get_filesets constrs_1] -force -norecurse {../../example_design/fifo_short_2clk_exdes.xdc} +set_property top fifo_short_2clk_exdes [get_property srcset [current_run]] +synth_design +opt_design +place_design +route_design +write_sdf -rename_top_module fifo_short_2clk_exdes -file routed.sdf +write_verilog -nolib -mode timesim -sdf_anno false -rename_top_module fifo_short_2clk_exdes routed.v +report_timing -nworst 30 -path_type full -file routed.twr +report_drc -file report.drc +write_bitstream -bitgen_options {-g UnconstrainedPins:Allow} diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/xst.prj b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/xst.prj new file mode 100755 index 000000000..f16af4308 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/xst.prj @@ -0,0 +1 @@ +work ../example_design/fifo_short_2clk_exdes.vhd diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/xst.scr b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/xst.scr new file mode 100755 index 000000000..b5a9091c7 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/xst.scr @@ -0,0 +1,13 @@ +run +-ifmt VHDL +-ent fifo_short_2clk_exdes +-p xc6slx75-fgg484-3 +-ifn xst.prj +-write_timing_constraints No +-iobuf YES +-max_fanout 100 +-ofn fifo_short_2clk_exdes +-ofmt NGC +-bus_delimiter () +-hierarchy_separator / +-case Maintain diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd new file mode 100755 index 000000000..bc7a1b525 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd @@ -0,0 +1,123 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_dgen.vhd +-- +-- Description: +-- Used for write interface stimulus generation +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +LIBRARY work; +USE work.fifo_short_2clk_pkg.ALL; + +ENTITY fifo_short_2clk_dgen IS + GENERIC ( + C_DIN_WIDTH : INTEGER := 32; + C_DOUT_WIDTH : INTEGER := 32; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT ( + RESET : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + PRC_WR_EN : IN STD_LOGIC; + FULL : IN STD_LOGIC; + WR_EN : OUT STD_LOGIC; + WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) + ); +END ENTITY; + + +ARCHITECTURE fg_dg_arch OF fifo_short_2clk_dgen IS + + CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); + CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); + + SIGNAL pr_w_en : STD_LOGIC := '0'; + SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); + SIGNAL wr_data_i : STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); + BEGIN + + WR_EN <= PRC_WR_EN ; + WR_DATA <= wr_data_i AFTER 100 ns; + + ---------------------------------------------- + -- Generation of DATA + ---------------------------------------------- + gen_stim:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE + rd_gen_inst1:fifo_short_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED+N + ) + PORT MAP( + CLK => WR_CLK, + RESET => RESET, + RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), + ENABLE => pr_w_en + ); + END GENERATE; + + pr_w_en <= PRC_WR_EN AND NOT FULL; + wr_data_i <= rand_num(C_DIN_WIDTH-1 DOWNTO 0); + + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd new file mode 100755 index 000000000..b0465d84f --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd @@ -0,0 +1,150 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_dverif.vhd +-- +-- Description: +-- Used for FIFO read interface stimulus generation and data checking +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +LIBRARY work; +USE work.fifo_short_2clk_pkg.ALL; + +ENTITY fifo_short_2clk_dverif IS + GENERIC( + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_USE_EMBEDDED_REG : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + PRC_RD_EN : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + RD_EN : OUT STD_LOGIC; + DOUT_CHK : OUT STD_LOGIC + ); +END ENTITY; + + +ARCHITECTURE fg_dv_arch OF fifo_short_2clk_dverif IS + + CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); + CONSTANT EXTRA_WIDTH : INTEGER := if_then_else(C_CH_TYPE = 2,1,0); + CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH+EXTRA_WIDTH,8); + + SIGNAL expected_dout : STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); + SIGNAL data_chk : STD_LOGIC := '1'; + SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 downto 0); + SIGNAL rd_en_i : STD_LOGIC := '0'; + SIGNAL pr_r_en : STD_LOGIC := '0'; + SIGNAL rd_en_d1 : STD_LOGIC := '1'; +BEGIN + + + DOUT_CHK <= data_chk; + RD_EN <= rd_en_i; + rd_en_i <= PRC_RD_EN; + rd_en_d1 <= '1'; + + + data_fifo_chk:IF(C_CH_TYPE /=2) GENERATE + ------------------------------------------------------- + -- Expected data generation and checking for data_fifo + ------------------------------------------------------- + + pr_r_en <= rd_en_i AND NOT EMPTY AND rd_en_d1; + expected_dout <= rand_num(C_DOUT_WIDTH-1 DOWNTO 0); + + gen_num:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE + rd_gen_inst2:fifo_short_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED+N + ) + PORT MAP( + CLK => RD_CLK, + RESET => RESET, + RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), + ENABLE => pr_r_en + ); + END GENERATE; + + PROCESS (RD_CLK,RESET) + BEGIN + IF(RESET = '1') THEN + data_chk <= '0'; + ELSIF (RD_CLK'event AND RD_CLK='1') THEN + IF(EMPTY = '0') THEN + IF(DATA_OUT = expected_dout) THEN + data_chk <= '0'; + ELSE + data_chk <= '1'; + END IF; + END IF; + END IF; + END PROCESS; + END GENERATE data_fifo_chk; + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd new file mode 100755 index 000000000..5d6506398 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd @@ -0,0 +1,541 @@ + +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_pctrl.vhd +-- +-- Description: +-- Used for protocol control on write and read interface stimulus and status generation +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +LIBRARY work; +USE work.fifo_short_2clk_pkg.ALL; + +ENTITY fifo_short_2clk_pctrl IS + GENERIC( + AXI_CHANNEL : STRING :="NONE"; + C_APPLICATION_TYPE : INTEGER := 0; + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_WR_PNTR_WIDTH : INTEGER := 0; + C_RD_PNTR_WIDTH : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 2; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET_WR : IN STD_LOGIC; + RESET_RD : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + FULL : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + ALMOST_FULL : IN STD_LOGIC; + ALMOST_EMPTY : IN STD_LOGIC; + DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + DOUT_CHK : IN STD_LOGIC; + PRC_WR_EN : OUT STD_LOGIC; + PRC_RD_EN : OUT STD_LOGIC; + RESET_EN : OUT STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); +END ENTITY; + + +ARCHITECTURE fg_pc_arch OF fifo_short_2clk_pctrl IS + + CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); + CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); + CONSTANT D_WIDTH_DIFF : INTEGER := log2roundup(C_DOUT_WIDTH/C_DIN_WIDTH); + + SIGNAL data_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); + SIGNAL full_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); + SIGNAL empty_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); + SIGNAL status_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); + SIGNAL status_d1_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); + SIGNAL wr_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); + SIGNAL rd_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); + SIGNAL wr_cntr : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); + SIGNAL full_as_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); + SIGNAL full_ds_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); + SIGNAL rd_cntr : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); + SIGNAL empty_as_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); + SIGNAL empty_ds_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0):= (OTHERS => '0'); + SIGNAL wr_en_i : STD_LOGIC := '0'; + SIGNAL rd_en_i : STD_LOGIC := '0'; + SIGNAL state : STD_LOGIC := '0'; + SIGNAL wr_control : STD_LOGIC := '0'; + SIGNAL rd_control : STD_LOGIC := '0'; + SIGNAL stop_on_err : STD_LOGIC := '0'; + SIGNAL sim_stop_cntr : STD_LOGIC_VECTOR(7 DOWNTO 0):= conv_std_logic_vector(if_then_else(C_CH_TYPE=2,64,TB_STOP_CNT),8); + SIGNAL sim_done_i : STD_LOGIC := '0'; + SIGNAL rdw_gt_wrw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); + SIGNAL wrw_gt_rdw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); + SIGNAL rd_activ_cont : STD_LOGIC_VECTOR(25 downto 0):= (OTHERS => '0'); + SIGNAL prc_we_i : STD_LOGIC := '0'; + SIGNAL prc_re_i : STD_LOGIC := '0'; + SIGNAL reset_en_i : STD_LOGIC := '0'; + SIGNAL sim_done_d1 : STD_LOGIC := '0'; + SIGNAL sim_done_wr1 : STD_LOGIC := '0'; + SIGNAL sim_done_wr2 : STD_LOGIC := '0'; + SIGNAL empty_d1 : STD_LOGIC := '0'; + SIGNAL empty_wr_dom1 : STD_LOGIC := '0'; + SIGNAL state_d1 : STD_LOGIC := '0'; + SIGNAL state_rd_dom1 : STD_LOGIC := '0'; + SIGNAL rd_en_d1 : STD_LOGIC := '0'; + SIGNAL rd_en_wr1 : STD_LOGIC := '0'; + SIGNAL wr_en_d1 : STD_LOGIC := '0'; + SIGNAL wr_en_rd1 : STD_LOGIC := '0'; + SIGNAL full_chk_d1 : STD_LOGIC := '0'; + SIGNAL full_chk_rd1 : STD_LOGIC := '0'; + SIGNAL empty_wr_dom2 : STD_LOGIC := '0'; + + SIGNAL state_rd_dom2 : STD_LOGIC := '0'; + SIGNAL state_rd_dom3 : STD_LOGIC := '0'; + SIGNAL rd_en_wr2 : STD_LOGIC := '0'; + SIGNAL wr_en_rd2 : STD_LOGIC := '0'; + SIGNAL full_chk_rd2 : STD_LOGIC := '0'; + SIGNAL reset_en_d1 : STD_LOGIC := '0'; + SIGNAL reset_en_rd1 : STD_LOGIC := '0'; + SIGNAL reset_en_rd2 : STD_LOGIC := '0'; + + SIGNAL data_chk_wr_d1 : STD_LOGIC := '0'; + SIGNAL data_chk_rd1 : STD_LOGIC := '0'; + SIGNAL data_chk_rd2 : STD_LOGIC := '0'; + SIGNAL post_rst_dly_wr : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); + SIGNAL post_rst_dly_rd : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); +BEGIN + status_i <= data_chk_i & full_chk_rd2 & empty_chk_i & '0' & '0'; + STATUS <= status_d1_i & '0' & '0' & rd_activ_cont(rd_activ_cont'high); + + prc_we_i <= wr_en_i WHEN sim_done_wr2 = '0' ELSE '0'; + prc_re_i <= rd_en_i WHEN sim_done_i = '0' ELSE '0'; + + SIM_DONE <= sim_done_i; + rdw_gt_wrw <= (OTHERS => '1'); + wrw_gt_rdw <= (OTHERS => '1'); + + PROCESS(RD_CLK) + BEGIN + IF (RD_CLK'event AND RD_CLK='1') THEN + IF(prc_re_i = '1') THEN + rd_activ_cont <= rd_activ_cont + "1"; + END IF; + END IF; + END PROCESS; + + + PROCESS(sim_done_i) + BEGIN + assert sim_done_i = '0' + report "Simulation Complete for:" & AXI_CHANNEL + severity note; + END PROCESS; + +----------------------------------------------------- +-- SIM_DONE SIGNAL GENERATION +----------------------------------------------------- +PROCESS (RD_CLK,RESET_RD) +BEGIN + IF(RESET_RD = '1') THEN + --sim_done_i <= '0'; + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF((OR_REDUCE(sim_stop_cntr) = '0' AND TB_STOP_CNT /= 0) OR stop_on_err = '1') THEN + sim_done_i <= '1'; + END IF; + END IF; +END PROCESS; + + -- TB Timeout/Stop + fifo_tb_stop_run:IF(TB_STOP_CNT /= 0) GENERATE + PROCESS (RD_CLK) + BEGIN + IF (RD_CLK'event AND RD_CLK='1') THEN + IF(state_rd_dom2 = '0' AND state_rd_dom3 = '1') THEN + sim_stop_cntr <= sim_stop_cntr - "1"; + END IF; + END IF; + END PROCESS; + END GENERATE fifo_tb_stop_run; + + + -- Stop when error found + PROCESS (RD_CLK) + BEGIN + IF (RD_CLK'event AND RD_CLK='1') THEN + IF(sim_done_i = '0') THEN + status_d1_i <= status_i OR status_d1_i; + END IF; + IF(FREEZEON_ERROR = 1 AND status_i /= "0") THEN + stop_on_err <= '1'; + END IF; + END IF; + END PROCESS; + ----------------------------------------------------- + + ----------------------------------------------------- + -- CHECKS FOR FIFO + ----------------------------------------------------- + + + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + post_rst_dly_rd <= (OTHERS => '1'); + ELSIF (RD_CLK'event AND RD_CLK='1') THEN + post_rst_dly_rd <= post_rst_dly_rd-post_rst_dly_rd(4); + END IF; + END PROCESS; + + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + post_rst_dly_wr <= (OTHERS => '1'); + ELSIF (WR_CLK'event AND WR_CLK='1') THEN + post_rst_dly_wr <= post_rst_dly_wr-post_rst_dly_wr(4); + END IF; + END PROCESS; + + + -- FULL de-assert Counter + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + full_ds_timeout <= (OTHERS => '0'); + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(state = '1') THEN + IF(rd_en_wr2 = '1' AND wr_en_i = '0' AND FULL = '1' AND AND_REDUCE(wrw_gt_rdw) = '1') THEN + full_ds_timeout <= full_ds_timeout + '1'; + END IF; + ELSE + full_ds_timeout <= (OTHERS => '0'); + END IF; + END IF; + END PROCESS; + + + -- EMPTY deassert counter + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + empty_ds_timeout <= (OTHERS => '0'); + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(state = '0') THEN + IF(wr_en_rd2 = '1' AND rd_en_i = '0' AND EMPTY = '1' AND AND_REDUCE(rdw_gt_wrw) = '1') THEN + empty_ds_timeout <= empty_ds_timeout + '1'; + END IF; + ELSE + empty_ds_timeout <= (OTHERS => '0'); + END IF; + END IF; + END PROCESS; + + -- Full check signal generation + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + full_chk_i <= '0'; + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN + full_chk_i <= '0'; + ELSE + full_chk_i <= AND_REDUCE(full_as_timeout) OR + AND_REDUCE(full_ds_timeout); + END IF; + END IF; + END PROCESS; + + -- Empty checks + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + empty_chk_i <= '0'; + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN + empty_chk_i <= '0'; + ELSE + empty_chk_i <= AND_REDUCE(empty_as_timeout) OR + AND_REDUCE(empty_ds_timeout); + END IF; + END IF; + END PROCESS; + + fifo_d_chk:IF(C_CH_TYPE /= 2) GENERATE + PRC_WR_EN <= prc_we_i AFTER 100 ns; + PRC_RD_EN <= prc_re_i AFTER 50 ns; + data_chk_i <= dout_chk; + END GENERATE fifo_d_chk; + ----------------------------------------------------- + + + ----------------------------------------------------- + -- SYNCHRONIZERS B/W WRITE AND READ DOMAINS + ----------------------------------------------------- + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + empty_wr_dom1 <= '1'; + empty_wr_dom2 <= '1'; + state_d1 <= '0'; + wr_en_d1 <= '0'; + rd_en_wr1 <= '0'; + rd_en_wr2 <= '0'; + full_chk_d1 <= '0'; + reset_en_d1 <= '0'; + sim_done_wr1 <= '0'; + sim_done_wr2 <= '0'; + ELSIF (WR_CLK'event AND WR_CLK='1') THEN + sim_done_wr1 <= sim_done_d1; + sim_done_wr2 <= sim_done_wr1; + reset_en_d1 <= reset_en_i; + state_d1 <= state; + empty_wr_dom1 <= empty_d1; + empty_wr_dom2 <= empty_wr_dom1; + wr_en_d1 <= wr_en_i; + rd_en_wr1 <= rd_en_d1; + rd_en_wr2 <= rd_en_wr1; + full_chk_d1 <= full_chk_i; + END IF; + END PROCESS; + + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + empty_d1 <= '1'; + state_rd_dom1 <= '0'; + state_rd_dom2 <= '0'; + state_rd_dom3 <= '0'; + wr_en_rd1 <= '0'; + wr_en_rd2 <= '0'; + rd_en_d1 <= '0'; + full_chk_rd1 <= '0'; + full_chk_rd2 <= '0'; + reset_en_rd1 <= '0'; + reset_en_rd2 <= '0'; + sim_done_d1 <= '0'; + ELSIF (RD_CLK'event AND RD_CLK='1') THEN + sim_done_d1 <= sim_done_i; + reset_en_rd1 <= reset_en_d1; + reset_en_rd2 <= reset_en_rd1; + empty_d1 <= EMPTY; + rd_en_d1 <= rd_en_i; + state_rd_dom1 <= state_d1; + state_rd_dom2 <= state_rd_dom1; + state_rd_dom3 <= state_rd_dom2; + wr_en_rd1 <= wr_en_d1; + wr_en_rd2 <= wr_en_rd1; + full_chk_rd1 <= full_chk_d1; + full_chk_rd2 <= full_chk_rd1; + END IF; + END PROCESS; + + RESET_EN <= reset_en_rd2; + + + data_fifo_en:IF(C_CH_TYPE /= 2) GENERATE + ----------------------------------------------------- + -- WR_EN GENERATION + ----------------------------------------------------- + gen_rand_wr_en:fifo_short_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED+1 + ) + PORT MAP( + CLK => WR_CLK, + RESET => RESET_WR, + RANDOM_NUM => wr_en_gen, + ENABLE => '1' + ); + + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + wr_en_i <= '0'; + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(state = '1') THEN + wr_en_i <= wr_en_gen(0) AND wr_en_gen(7) AND wr_en_gen(2) AND wr_control; + ELSE + wr_en_i <= (wr_en_gen(3) OR wr_en_gen(4) OR wr_en_gen(2)) AND (NOT post_rst_dly_wr(4)); + END IF; + END IF; + END PROCESS; + + ----------------------------------------------------- + -- WR_EN CONTROL + ----------------------------------------------------- + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + wr_cntr <= (OTHERS => '0'); + wr_control <= '1'; + full_as_timeout <= (OTHERS => '0'); + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(state = '1') THEN + IF(wr_en_i = '1') THEN + wr_cntr <= wr_cntr + "1"; + END IF; + full_as_timeout <= (OTHERS => '0'); + ELSE + wr_cntr <= (OTHERS => '0'); + IF(rd_en_wr2 = '0') THEN + IF(wr_en_i = '1') THEN + full_as_timeout <= full_as_timeout + "1"; + END IF; + ELSE + full_as_timeout <= (OTHERS => '0'); + END IF; + END IF; + + wr_control <= NOT wr_cntr(wr_cntr'high); + + END IF; + END PROCESS; + + ----------------------------------------------------- + -- RD_EN GENERATION + ----------------------------------------------------- + gen_rand_rd_en:fifo_short_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED + ) + PORT MAP( + CLK => RD_CLK, + RESET => RESET_RD, + RANDOM_NUM => rd_en_gen, + ENABLE => '1' + ); + + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + rd_en_i <= '0'; + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(state_rd_dom2 = '0') THEN + rd_en_i <= rd_en_gen(1) AND rd_en_gen(5) AND rd_en_gen(3) AND rd_control AND (NOT post_rst_dly_rd(4)); + ELSE + rd_en_i <= rd_en_gen(0) OR rd_en_gen(6); + END IF; + END IF; + END PROCESS; + + ----------------------------------------------------- + -- RD_EN CONTROL + ----------------------------------------------------- + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + rd_cntr <= (OTHERS => '0'); + rd_control <= '1'; + empty_as_timeout <= (OTHERS => '0'); + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(state_rd_dom2 = '0') THEN + IF(rd_en_i = '1') THEN + rd_cntr <= rd_cntr + "1"; + END IF; + empty_as_timeout <= (OTHERS => '0'); + ELSE + rd_cntr <= (OTHERS => '0'); + IF(wr_en_rd2 = '0') THEN + IF(rd_en_i = '1') THEN + empty_as_timeout <= empty_as_timeout + "1"; + END IF; + ELSE + empty_as_timeout <= (OTHERS => '0'); + END IF; + END IF; + + rd_control <= NOT rd_cntr(rd_cntr'high); + + END IF; + END PROCESS; + + ----------------------------------------------------- + -- STIMULUS CONTROL + ----------------------------------------------------- + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + state <= '0'; + reset_en_i <= '0'; + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + CASE state IS + WHEN '0' => + IF(FULL = '1' AND empty_wr_dom2 = '0') THEN + state <= '1'; + reset_en_i <= '0'; + END IF; + WHEN '1' => + IF(empty_wr_dom2 = '1' AND FULL = '0') THEN + state <= '0'; + reset_en_i <= '1'; + END IF; + WHEN OTHERS => state <= state; + END CASE; + END IF; + END PROCESS; + END GENERATE data_fifo_en; + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd new file mode 100755 index 000000000..4c0e4035b --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd @@ -0,0 +1,350 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_pkg.vhd +-- +-- Description: +-- This is the demo testbench package file for FIFO Generator core. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE ieee.std_logic_arith.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +PACKAGE fifo_short_2clk_pkg IS + + FUNCTION divroundup ( + data_value : INTEGER; + divisor : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : INTEGER; + false_case : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : STD_LOGIC; + false_case : STD_LOGIC) + RETURN STD_LOGIC; + ------------------------ + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : TIME; + false_case : TIME) + RETURN TIME; + ------------------------ + FUNCTION log2roundup ( + data_value : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION hexstr_to_std_logic_vec( + arg1 : string; + size : integer ) + RETURN std_logic_vector; + ------------------------ + COMPONENT fifo_short_2clk_rng IS + GENERIC (WIDTH : integer := 8; + SEED : integer := 3); + PORT ( + CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + ENABLE : IN STD_LOGIC; + RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + + COMPONENT fifo_short_2clk_dgen IS + GENERIC ( + C_DIN_WIDTH : INTEGER := 32; + C_DOUT_WIDTH : INTEGER := 32; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT ( + RESET : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + PRC_WR_EN : IN STD_LOGIC; + FULL : IN STD_LOGIC; + WR_EN : OUT STD_LOGIC; + WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + + COMPONENT fifo_short_2clk_dverif IS + GENERIC( + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_USE_EMBEDDED_REG : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + PRC_RD_EN : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + RD_EN : OUT STD_LOGIC; + DOUT_CHK : OUT STD_LOGIC + ); + END COMPONENT; + ------------------------ + + COMPONENT fifo_short_2clk_pctrl IS + GENERIC( + AXI_CHANNEL : STRING := "NONE"; + C_APPLICATION_TYPE : INTEGER := 0; + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_WR_PNTR_WIDTH : INTEGER := 0; + C_RD_PNTR_WIDTH : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 2; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET_WR : IN STD_LOGIC; + RESET_RD : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + FULL : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + ALMOST_FULL : IN STD_LOGIC; + ALMOST_EMPTY : IN STD_LOGIC; + DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + DOUT_CHK : IN STD_LOGIC; + PRC_WR_EN : OUT STD_LOGIC; + PRC_RD_EN : OUT STD_LOGIC; + RESET_EN : OUT STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + COMPONENT fifo_short_2clk_synth IS + GENERIC( + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 0; + TB_SEED : INTEGER := 1 + ); + PORT( + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + COMPONENT fifo_short_2clk_exdes IS + PORT ( + WR_CLK : IN std_logic; + RD_CLK : IN std_logic; + WR_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0); + RD_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0); + RST : IN std_logic; + WR_EN : IN std_logic; + RD_EN : IN std_logic; + DIN : IN std_logic_vector(72-1 DOWNTO 0); + DOUT : OUT std_logic_vector(72-1 DOWNTO 0); + FULL : OUT std_logic; + EMPTY : OUT std_logic); + + END COMPONENT; + ------------------------ + + +END fifo_short_2clk_pkg; + + + +PACKAGE BODY fifo_short_2clk_pkg IS + + FUNCTION divroundup ( + data_value : INTEGER; + divisor : INTEGER) + RETURN INTEGER IS + VARIABLE div : INTEGER; + BEGIN + div := data_value/divisor; + IF ( (data_value MOD divisor) /= 0) THEN + div := div+1; + END IF; + RETURN div; + END divroundup; + --------------------------------- + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : INTEGER; + false_case : INTEGER) + RETURN INTEGER IS + VARIABLE retval : INTEGER := 0; + BEGIN + IF condition=false THEN + retval:=false_case; + ELSE + retval:=true_case; + END IF; + RETURN retval; + END if_then_else; + --------------------------------- + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : STD_LOGIC; + false_case : STD_LOGIC) + RETURN STD_LOGIC IS + VARIABLE retval : STD_LOGIC := '0'; + BEGIN + IF condition=false THEN + retval:=false_case; + ELSE + retval:=true_case; + END IF; + RETURN retval; + END if_then_else; + --------------------------------- + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : TIME; + false_case : TIME) + RETURN TIME IS + VARIABLE retval : TIME := 0 ps; + BEGIN + IF condition=false THEN + retval:=false_case; + ELSE + retval:=true_case; + END IF; + RETURN retval; + END if_then_else; + ------------------------------- + FUNCTION log2roundup ( + data_value : INTEGER) + RETURN INTEGER IS + + VARIABLE width : INTEGER := 0; + VARIABLE cnt : INTEGER := 1; + BEGIN + IF (data_value <= 1) THEN + width := 1; + ELSE + WHILE (cnt < data_value) LOOP + width := width + 1; + cnt := cnt *2; + END LOOP; + END IF; + + RETURN width; + END log2roundup; + ------------------------------------------------------------------------------ + -- hexstr_to_std_logic_vec + -- This function converts a hex string to a std_logic_vector + ------------------------------------------------------------------------------ + FUNCTION hexstr_to_std_logic_vec( + arg1 : string; + size : integer ) + RETURN std_logic_vector IS + VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0'); + VARIABLE bin : std_logic_vector(3 DOWNTO 0); + VARIABLE index : integer := 0; + BEGIN + FOR i IN arg1'reverse_range LOOP + CASE arg1(i) IS + WHEN '0' => bin := (OTHERS => '0'); + WHEN '1' => bin := (0 => '1', OTHERS => '0'); + WHEN '2' => bin := (1 => '1', OTHERS => '0'); + WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0'); + WHEN '4' => bin := (2 => '1', OTHERS => '0'); + WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0'); + WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0'); + WHEN '7' => bin := (3 => '0', OTHERS => '1'); + WHEN '8' => bin := (3 => '1', OTHERS => '0'); + WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0'); + WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1'); + WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1'); + WHEN 'B' => bin := (2 => '0', OTHERS => '1'); + WHEN 'b' => bin := (2 => '0', OTHERS => '1'); + WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1'); + WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1'); + WHEN 'D' => bin := (1 => '0', OTHERS => '1'); + WHEN 'd' => bin := (1 => '0', OTHERS => '1'); + WHEN 'E' => bin := (0 => '0', OTHERS => '1'); + WHEN 'e' => bin := (0 => '0', OTHERS => '1'); + WHEN 'F' => bin := (OTHERS => '1'); + WHEN 'f' => bin := (OTHERS => '1'); + WHEN OTHERS => + FOR j IN 0 TO 3 LOOP + bin(j) := 'X'; + END LOOP; + END CASE; + FOR j IN 0 TO 3 LOOP + IF (index*4)+j < size THEN + result((index*4)+j) := bin(j); + END IF; + END LOOP; + index := index + 1; + END LOOP; + RETURN result; + END hexstr_to_std_logic_vec; + +END fifo_short_2clk_pkg; diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd new file mode 100755 index 000000000..80c201c3b --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd @@ -0,0 +1,100 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_rng.vhd +-- +-- Description: +-- Used for generation of pseudo random numbers +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +ENTITY fifo_short_2clk_rng IS + GENERIC ( + WIDTH : integer := 8; + SEED : integer := 3); + PORT ( + CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + ENABLE : IN STD_LOGIC; + RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0)); +END ENTITY; + +ARCHITECTURE rg_arch OF fifo_short_2clk_rng IS +BEGIN +PROCESS (CLK,RESET) + VARIABLE rand_temp : STD_LOGIC_VECTOR(width-1 DOWNTO 0):=conv_std_logic_vector(SEED,width); + VARIABLE temp : STD_LOGIC := '0'; +BEGIN + IF(RESET = '1') THEN + rand_temp := conv_std_logic_vector(SEED,width); + temp := '0'; + ELSIF (CLK'event AND CLK = '1') THEN + IF (ENABLE = '1') THEN + temp := rand_temp(width-1) xnor rand_temp(width-3) xnor rand_temp(width-4) xnor rand_temp(width-5); + rand_temp(width-1 DOWNTO 1) := rand_temp(width-2 DOWNTO 0); + rand_temp(0) := temp; + END IF; + END IF; + + RANDOM_NUM <= rand_temp; + +END PROCESS; + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd new file mode 100755 index 000000000..e9139fc96 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd @@ -0,0 +1,300 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_synth.vhd +-- +-- Description: +-- This is the demo testbench for fifo_generator core. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + + +LIBRARY ieee; +USE ieee.STD_LOGIC_1164.ALL; +USE ieee.STD_LOGIC_unsigned.ALL; +USE IEEE.STD_LOGIC_arith.ALL; +USE ieee.numeric_std.ALL; +USE ieee.STD_LOGIC_misc.ALL; + +LIBRARY std; +USE std.textio.ALL; + +LIBRARY work; +USE work.fifo_short_2clk_pkg.ALL; + +-------------------------------------------------------------------------------- +-- Entity Declaration +-------------------------------------------------------------------------------- +ENTITY fifo_short_2clk_synth IS + GENERIC( + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 0; + TB_SEED : INTEGER := 1 + ); + PORT( + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); +END ENTITY; + +ARCHITECTURE simulation_arch OF fifo_short_2clk_synth IS + + -- FIFO interface signal declarations + SIGNAL wr_clk_i : STD_LOGIC; + SIGNAL rd_clk_i : STD_LOGIC; + SIGNAL wr_data_count : STD_LOGIC_VECTOR(6-1 DOWNTO 0); + SIGNAL rd_data_count : STD_LOGIC_VECTOR(6-1 DOWNTO 0); + SIGNAL rst : STD_LOGIC; + SIGNAL wr_en : STD_LOGIC; + SIGNAL rd_en : STD_LOGIC; + SIGNAL din : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL dout : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL full : STD_LOGIC; + SIGNAL empty : STD_LOGIC; + -- TB Signals + SIGNAL wr_data : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL dout_i : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL wr_en_i : STD_LOGIC := '0'; + SIGNAL rd_en_i : STD_LOGIC := '0'; + SIGNAL full_i : STD_LOGIC := '0'; + SIGNAL empty_i : STD_LOGIC := '0'; + SIGNAL almost_full_i : STD_LOGIC := '0'; + SIGNAL almost_empty_i : STD_LOGIC := '0'; + SIGNAL prc_we_i : STD_LOGIC := '0'; + SIGNAL prc_re_i : STD_LOGIC := '0'; + SIGNAL dout_chk_i : STD_LOGIC := '0'; + SIGNAL rst_int_rd : STD_LOGIC := '0'; + SIGNAL rst_int_wr : STD_LOGIC := '0'; + SIGNAL rst_s_wr1 : STD_LOGIC := '0'; + SIGNAL rst_s_wr2 : STD_LOGIC := '0'; + SIGNAL rst_gen_rd : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); + SIGNAL rst_s_wr3 : STD_LOGIC := '0'; + SIGNAL rst_s_rd : STD_LOGIC := '0'; + SIGNAL reset_en : STD_LOGIC := '0'; + SIGNAL rst_async_wr1 : STD_LOGIC := '0'; + SIGNAL rst_async_wr2 : STD_LOGIC := '0'; + SIGNAL rst_async_wr3 : STD_LOGIC := '0'; + SIGNAL rst_async_rd1 : STD_LOGIC := '0'; + SIGNAL rst_async_rd2 : STD_LOGIC := '0'; + SIGNAL rst_async_rd3 : STD_LOGIC := '0'; + + + BEGIN + + ---- Reset generation logic ----- + rst_int_wr <= rst_async_wr3 OR rst_s_wr3; + rst_int_rd <= rst_async_rd3 OR rst_s_rd; + + --Testbench reset synchronization + PROCESS(rd_clk_i,RESET) + BEGIN + IF(RESET = '1') THEN + rst_async_rd1 <= '1'; + rst_async_rd2 <= '1'; + rst_async_rd3 <= '1'; + ELSIF(rd_clk_i'event AND rd_clk_i='1') THEN + rst_async_rd1 <= RESET; + rst_async_rd2 <= rst_async_rd1; + rst_async_rd3 <= rst_async_rd2; + END IF; + END PROCESS; + + PROCESS(wr_clk_i,RESET) + BEGIN + IF(RESET = '1') THEN + rst_async_wr1 <= '1'; + rst_async_wr2 <= '1'; + rst_async_wr3 <= '1'; + ELSIF(wr_clk_i'event AND wr_clk_i='1') THEN + rst_async_wr1 <= RESET; + rst_async_wr2 <= rst_async_wr1; + rst_async_wr3 <= rst_async_wr2; + END IF; + END PROCESS; + + --Soft reset for core and testbench + PROCESS(rd_clk_i) + BEGIN + IF(rd_clk_i'event AND rd_clk_i='1') THEN + rst_gen_rd <= rst_gen_rd + "1"; + IF(reset_en = '1' AND AND_REDUCE(rst_gen_rd) = '1') THEN + rst_s_rd <= '1'; + assert false + report "Reset applied..Memory Collision checks are not valid" + severity note; + ELSE + IF(AND_REDUCE(rst_gen_rd) = '1' AND rst_s_rd = '1') THEN + rst_s_rd <= '0'; + END IF; + END IF; + END IF; + END PROCESS; + + PROCESS(wr_clk_i) + BEGIN + IF(wr_clk_i'event AND wr_clk_i='1') THEN + rst_s_wr1 <= rst_s_rd; + rst_s_wr2 <= rst_s_wr1; + rst_s_wr3 <= rst_s_wr2; + IF(rst_s_wr3 = '1' AND rst_s_wr2 = '0') THEN + assert false + report "Reset removed..Memory Collision checks are valid" + severity note; + END IF; + END IF; + END PROCESS; + ------------------ + + ---- Clock buffers for testbench ---- + wr_clk_i <= WR_CLK; + rd_clk_i <= RD_CLK; + ------------------ + + rst <= RESET OR rst_s_rd AFTER 12 ns; + din <= wr_data; + dout_i <= dout; + wr_en <= wr_en_i; + rd_en <= rd_en_i; + full_i <= full; + empty_i <= empty; + + fg_dg_nv: fifo_short_2clk_dgen + GENERIC MAP ( + C_DIN_WIDTH => 72, + C_DOUT_WIDTH => 72, + TB_SEED => TB_SEED, + C_CH_TYPE => 0 + ) + PORT MAP ( -- Write Port + RESET => rst_int_wr, + WR_CLK => wr_clk_i, + PRC_WR_EN => prc_we_i, + FULL => full_i, + WR_EN => wr_en_i, + WR_DATA => wr_data + ); + + fg_dv_nv: fifo_short_2clk_dverif + GENERIC MAP ( + C_DOUT_WIDTH => 72, + C_DIN_WIDTH => 72, + C_USE_EMBEDDED_REG => 0, + TB_SEED => TB_SEED, + C_CH_TYPE => 0 + ) + PORT MAP( + RESET => rst_int_rd, + RD_CLK => rd_clk_i, + PRC_RD_EN => prc_re_i, + RD_EN => rd_en_i, + EMPTY => empty_i, + DATA_OUT => dout_i, + DOUT_CHK => dout_chk_i + ); + + fg_pc_nv: fifo_short_2clk_pctrl + GENERIC MAP ( + AXI_CHANNEL => "Native", + C_APPLICATION_TYPE => 0, + C_DOUT_WIDTH => 72, + C_DIN_WIDTH => 72, + C_WR_PNTR_WIDTH => 5, + C_RD_PNTR_WIDTH => 5, + C_CH_TYPE => 0, + FREEZEON_ERROR => FREEZEON_ERROR, + TB_SEED => TB_SEED, + TB_STOP_CNT => TB_STOP_CNT + ) + PORT MAP( + RESET_WR => rst_int_wr, + RESET_RD => rst_int_rd, + RESET_EN => reset_en, + WR_CLK => wr_clk_i, + RD_CLK => rd_clk_i, + PRC_WR_EN => prc_we_i, + PRC_RD_EN => prc_re_i, + FULL => full_i, + ALMOST_FULL => almost_full_i, + ALMOST_EMPTY => almost_empty_i, + DOUT_CHK => dout_chk_i, + EMPTY => empty_i, + DATA_IN => wr_data, + DATA_OUT => dout, + SIM_DONE => SIM_DONE, + STATUS => STATUS + ); + + + + + + fifo_short_2clk_inst : fifo_short_2clk_exdes + PORT MAP ( + WR_CLK => wr_clk_i, + RD_CLK => rd_clk_i, + WR_DATA_COUNT => wr_data_count, + RD_DATA_COUNT => rd_data_count, + RST => rst, + WR_EN => wr_en, + RD_EN => rd_en, + DIN => din, + DOUT => dout, + FULL => full, + EMPTY => empty); + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd new file mode 100755 index 000000000..6d86157db --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd @@ -0,0 +1,208 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_tb.vhd +-- +-- Description: +-- This is the demo testbench top file for fifo_generator core. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +LIBRARY std; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.ALL; +USE IEEE.std_logic_arith.ALL; +USE IEEE.std_logic_misc.ALL; +USE ieee.numeric_std.ALL; +USE ieee.std_logic_textio.ALL; +USE std.textio.ALL; + +LIBRARY work; +USE work.fifo_short_2clk_pkg.ALL; + +ENTITY fifo_short_2clk_tb IS +END ENTITY; + + +ARCHITECTURE fifo_short_2clk_arch OF fifo_short_2clk_tb IS + SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000"; + SIGNAL wr_clk : STD_LOGIC; + SIGNAL rd_clk : STD_LOGIC; + SIGNAL reset : STD_LOGIC; + SIGNAL sim_done : STD_LOGIC := '0'; + SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); + -- Write and Read clock periods + CONSTANT wr_clk_period_by_2 : TIME := 200 ns; + CONSTANT rd_clk_period_by_2 : TIME := 100 ns; + -- Procedures to display strings + PROCEDURE disp_str(CONSTANT str:IN STRING) IS + variable dp_l : line := null; + BEGIN + write(dp_l,str); + writeline(output,dp_l); + END PROCEDURE; + + PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS + variable dp_lx : line := null; + BEGIN + hwrite(dp_lx,hex); + writeline(output,dp_lx); + END PROCEDURE; + +BEGIN + + -- Generation of clock + + PROCESS BEGIN + WAIT FOR 400 ns; -- Wait for global reset + WHILE 1 = 1 LOOP + wr_clk <= '0'; + WAIT FOR wr_clk_period_by_2; + wr_clk <= '1'; + WAIT FOR wr_clk_period_by_2; + END LOOP; + END PROCESS; + + PROCESS BEGIN + WAIT FOR 200 ns;-- Wait for global reset + WHILE 1 = 1 LOOP + rd_clk <= '0'; + WAIT FOR rd_clk_period_by_2; + rd_clk <= '1'; + WAIT FOR rd_clk_period_by_2; + END LOOP; + END PROCESS; + + -- Generation of Reset + + PROCESS BEGIN + reset <= '1'; + WAIT FOR 4200 ns; + reset <= '0'; + WAIT; + END PROCESS; + + + -- Error message printing based on STATUS signal from fifo_short_2clk_synth + + PROCESS(status) + BEGIN + IF(status /= "0" AND status /= "1") THEN + disp_str("STATUS:"); + disp_hex(status); + END IF; + + IF(status(7) = '1') THEN + assert false + report "Data mismatch found" + severity error; + END IF; + + IF(status(1) = '1') THEN + END IF; + + IF(status(5) = '1') THEN + assert false + report "Empty flag Mismatch/timeout" + severity error; + END IF; + + IF(status(6) = '1') THEN + assert false + report "Full Flag Mismatch/timeout" + severity error; + END IF; + END PROCESS; + + + PROCESS + BEGIN + wait until sim_done = '1'; + IF(status /= "0" AND status /= "1") THEN + assert false + report "Simulation failed" + severity failure; + ELSE + assert false + report "Test Completed Successfully" + severity failure; + END IF; + END PROCESS; + + PROCESS + BEGIN + wait for 400 ms; + assert false + report "Test bench timed out" + severity failure; + END PROCESS; + + -- Instance of fifo_short_2clk_synth + + fifo_short_2clk_synth_inst:fifo_short_2clk_synth + GENERIC MAP( + FREEZEON_ERROR => 0, + TB_STOP_CNT => 2, + TB_SEED => 108 + ) + PORT MAP( + WR_CLK => wr_clk, + RD_CLK => rd_clk, + RESET => reset, + SIM_DONE => sim_done, + STATUS => status + ); + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_isim.bat b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_isim.bat new file mode 100755 index 000000000..d40cb85cf --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_isim.bat @@ -0,0 +1,63 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ..\\..\\..\\fifo_short_2clk.v +vhpcomp -work work ..\\..\\example_design\\fifo_short_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vhpcomp -work work ..\\fifo_short_2clk_pkg.vhd +vhpcomp -work work ..\\fifo_short_2clk_rng.vhd +vhpcomp -work work ..\\fifo_short_2clk_dgen.vhd +vhpcomp -work work ..\\fifo_short_2clk_dverif.vhd +vhpcomp -work work ..\\fifo_short_2clk_pctrl.vhd +vhpcomp -work work ..\\fifo_short_2clk_synth.vhd +vhpcomp -work work ..\\fifo_short_2clk_tb.vhd + +vlogcomp -work work $XILINX\\verilog\\src\\glbl.v +fuse work.fifo_short_2clk_tb work.glbl -L xilinxcorelib_ver -L unisims_ver -o fifo_short_2clk_tb.exe + +.\\fifo_short_2clk_tb.exe -gui -tclbatch .\\wave_isim.tcl diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_isim.sh b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_isim.sh new file mode 100755 index 000000000..78f9ce588 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_isim.sh @@ -0,0 +1,65 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ../../../fifo_short_2clk.v +vhpcomp -work work ../../example_design/fifo_short_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vhpcomp -work work ../fifo_short_2clk_pkg.vhd +vhpcomp -work work ../fifo_short_2clk_rng.vhd +vhpcomp -work work ../fifo_short_2clk_dgen.vhd +vhpcomp -work work ../fifo_short_2clk_dverif.vhd +vhpcomp -work work ../fifo_short_2clk_pctrl.vhd +vhpcomp -work work ../fifo_short_2clk_synth.vhd +vhpcomp -work work ../fifo_short_2clk_tb.vhd + +vlogcomp -work work $XILINX/verilog/src/glbl.v +fuse work.fifo_short_2clk_tb work.glbl -L xilinxcorelib_ver -L unisims_ver -o fifo_short_2clk_tb.exe + +./fifo_short_2clk_tb.exe -gui -tclbatch ./wave_isim.tcl diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_mti.bat b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_mti.bat new file mode 100755 index 000000000..35375ce20 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_mti.bat @@ -0,0 +1,47 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_mti.do b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_mti.do new file mode 100755 index 000000000..8deb52187 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_mti.do @@ -0,0 +1,74 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vlib work +vmap work work + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlog -work work ../../../fifo_short_2clk.v +vcom -work work ../../example_design/fifo_short_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vcom -work work ../fifo_short_2clk_pkg.vhd +vcom -work work ../fifo_short_2clk_rng.vhd +vcom -work work ../fifo_short_2clk_dgen.vhd +vcom -work work ../fifo_short_2clk_dverif.vhd +vcom -work work ../fifo_short_2clk_pctrl.vhd +vcom -work work ../fifo_short_2clk_synth.vhd +vcom -work work ../fifo_short_2clk_tb.vhd + +vlog -work work $env(XILINX)/verilog/src/glbl.v +vsim -t ps -voptargs="+acc" -L XilinxCoreLib_ver -L unisims_ver glbl work.fifo_short_2clk_tb + +add log -r /* +do wave_mti.do +#Ignore integer warnings at time 0 +set StdArithNoWarnings 1 +run 0 +set StdArithNoWarnings 0 + +run -all diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_mti.sh b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_mti.sh new file mode 100755 index 000000000..edb1b0dd9 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_mti.sh @@ -0,0 +1,49 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_ncsim.sh b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_ncsim.sh new file mode 100755 index 000000000..749f9db06 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_ncsim.sh @@ -0,0 +1,69 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +mkdir work + +echo "Compiling Core Verilog UNISIM/Behavioral model" +ncvlog -work work ../../../fifo_short_2clk.v +ncvhdl -v93 -work work ../../example_design/fifo_short_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +ncvhdl -v93 -work work ../fifo_short_2clk_pkg.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_rng.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_dgen.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_dverif.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_pctrl.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_synth.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_tb.vhd + +echo "Elaborating Design" +ncvlog -work work $XILINX/verilog/src/glbl.v +ncelab -access +rwc glbl work.fifo_short_2clk_tb + +echo "Simulating Design" +ncsim -gui -input @"simvision -input wave_ncsim.sv" work.fifo_short_2clk_tb + diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_vcs.sh b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_vcs.sh new file mode 100755 index 000000000..71a568e24 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_vcs.sh @@ -0,0 +1,69 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +rm -rf simv* csrc DVEfiles AN.DB + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogan +v2k ../../../fifo_short_2clk.v +vhdlan ../../example_design/fifo_short_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vhdlan ../fifo_short_2clk_pkg.vhd +vhdlan ../fifo_short_2clk_rng.vhd +vhdlan ../fifo_short_2clk_dgen.vhd +vhdlan ../fifo_short_2clk_dverif.vhd +vhdlan ../fifo_short_2clk_pctrl.vhd +vhdlan ../fifo_short_2clk_synth.vhd +vhdlan ../fifo_short_2clk_tb.vhd + +echo "Elaborating Design" +vlogan +v2k $XILINX/verilog/src/glbl.v +vcs -time_res 1ps +vcs+lic+wait -debug fifo_short_2clk_tb glbl + +echo "Simulating Design" +./simv -ucli -i ucli_commands.key +dve -session vcs_session.tcl diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/ucli_commands.key b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/ucli_commands.key new file mode 100755 index 000000000..f90cccb67 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/ucli_commands.key @@ -0,0 +1,4 @@ +dump -file fifo_short_2clk.vpd -type VPD +dump -add fifo_short_2clk_tb +run +quit diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/vcs_session.tcl b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/vcs_session.tcl new file mode 100755 index 000000000..7f3170d74 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/vcs_session.tcl @@ -0,0 +1,77 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: vcs_session.tcl +# +# Description: +# This is the VCS wave form file. +# +#-------------------------------------------------------------------------------- +if { ![gui_is_db_opened -db {fifo_short_2clk.vpd}] } { + gui_open_db -design V1 -file fifo_short_2clk.vpd -nosource +} +gui_set_precision 1ps +gui_set_time_units 1ps + + +gui_open_window Wave +gui_sg_create fifo_short_2clk_Group +gui_list_add_group -id Wave.1 {fifo_short_2clk_Group} + +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +gui_sg_addsignal -group fifo_short_2clk_Group WRITE -divider +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +gui_sg_addsignal -group fifo_short_2clk_Group READ -divider +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +gui_zoom -window Wave.1 -full diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/wave_isim.tcl b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/wave_isim.tcl new file mode 100755 index 000000000..156aad172 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/wave_isim.tcl @@ -0,0 +1,68 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_isim.tcl +# +# Description: +# This is the ISIM wave form file. +# +#-------------------------------------------------------------------------------- +wcfg new +isim set radix hex +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +run all +quit + diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/wave_mti.do b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/wave_mti.do new file mode 100755 index 000000000..eafc64cf2 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/wave_mti.do @@ -0,0 +1,88 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +# Filename: wave_mti.do +# +# Description: +# This is the modelsim wave form file. +# +#-------------------------------------------------------------------------------- + +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +add wave -noupdate -divider WRITE +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +add wave -noupdate -radix hexadecimal /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/DIN +add wave -noupdate -divider READ +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +add wave -noupdate -radix hexadecimal /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/DOUT + +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {2164886 ps} 0} +configure wave -namecolwidth 197 +configure wave -valuecolwidth 106 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {0 ps} {9464063 ps} diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/wave_ncsim.sv b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/wave_ncsim.sv new file mode 100755 index 000000000..655d5c65a --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/wave_ncsim.sv @@ -0,0 +1,70 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_ncsim.sv +# +# Description: +# This is the IUS wave form file. +# +#-------------------------------------------------------------------------------- + +window new WaveWindow -name "Waves for FIFO Generator Example Design" +waveform using "Waves for FIFO Generator Example Design" + +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +waveform add -label WRITE +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +waveform add -label READ +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +console submit -using simulator -wait no "run" diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_isim.bat b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_isim.bat new file mode 100755 index 000000000..536a7cfee --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_isim.bat @@ -0,0 +1,61 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ..\\..\\implement\\results\\routed.v + +echo "Compiling Test Bench Files" +vhpcomp -work work ..\\fifo_short_2clk_pkg.vhd +vhpcomp -work work ..\\fifo_short_2clk_rng.vhd +vhpcomp -work work ..\\fifo_short_2clk_dgen.vhd +vhpcomp -work work ..\\fifo_short_2clk_dverif.vhd +vhpcomp -work work ..\\fifo_short_2clk_pctrl.vhd +vhpcomp -work work ..\\fifo_short_2clk_synth.vhd +vhpcomp -work work ..\\fifo_short_2clk_tb.vhd + +fuse work.fifo_short_2clk_tb work.glbl -L simprims_ver -o fifo_short_2clk_tb.exe + +.\\fifo_short_2clk_tb.exe -sdfmax /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst=..\\..\\implement\\results\\routed.sdf -gui -tclbatch .\\wave_isim.tcl diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_isim.sh b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_isim.sh new file mode 100755 index 000000000..2d9404294 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_isim.sh @@ -0,0 +1,63 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +vhpcomp -work work ../fifo_short_2clk_pkg.vhd +vhpcomp -work work ../fifo_short_2clk_rng.vhd +vhpcomp -work work ../fifo_short_2clk_dgen.vhd +vhpcomp -work work ../fifo_short_2clk_dverif.vhd +vhpcomp -work work ../fifo_short_2clk_pctrl.vhd +vhpcomp -work work ../fifo_short_2clk_synth.vhd +vhpcomp -work work ../fifo_short_2clk_tb.vhd + +fuse work.fifo_short_2clk_tb work.glbl -L simprims_ver -o fifo_short_2clk_tb.exe + +./fifo_short_2clk_tb.exe -sdfmax /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst=../../implement/results/routed.sdf -gui -tclbatch ./wave_isim.tcl diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_mti.bat b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_mti.bat new file mode 100755 index 000000000..35375ce20 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_mti.bat @@ -0,0 +1,47 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_mti.do b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_mti.do new file mode 100755 index 000000000..7ec785533 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_mti.do @@ -0,0 +1,72 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vlib work +vmap work work + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlog -work work ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +vcom -work work ../fifo_short_2clk_pkg.vhd +vcom -work work ../fifo_short_2clk_rng.vhd +vcom -work work ../fifo_short_2clk_dgen.vhd +vcom -work work ../fifo_short_2clk_dverif.vhd +vcom -work work ../fifo_short_2clk_pctrl.vhd +vcom -work work ../fifo_short_2clk_synth.vhd +vcom -work work ../fifo_short_2clk_tb.vhd + +vsim -t ps -voptargs="+acc" +transport_int_delays -L simprims_ver glbl -sdfmax /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst=../../implement/results/routed.sdf work.fifo_short_2clk_tb + +add log -r /* +do wave_mti.do +#Ignore integer warnings at time 0 +set StdArithNoWarnings 1 +run 0 +set StdArithNoWarnings 0 + +run -all diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_mti.sh b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_mti.sh new file mode 100755 index 000000000..edb1b0dd9 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_mti.sh @@ -0,0 +1,49 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_ncsim.sh b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_ncsim.sh new file mode 100755 index 000000000..e9dc952cf --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_ncsim.sh @@ -0,0 +1,73 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +mkdir work +echo "Compiling Core Verilog UNISIM/Behavioral model" +ncvlog -work work ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +ncvhdl -v93 -work work ../fifo_short_2clk_pkg.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_rng.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_dgen.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_dverif.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_pctrl.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_synth.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_tb.vhd + +echo "Compiling SDF file" +ncsdfc ../../implement/results/routed.sdf -output ./routed.sdf.X + +echo "Generating SDF command file" +echo 'COMPILED_SDF_FILE = "routed.sdf.X",' > sdf.cmd +echo 'SCOPE = :fifo_short_2clk_synth_inst:fifo_short_2clk_inst,' >> sdf.cmd +echo 'MTM_CONTROL = "MAXIMUM";' >> sdf.cmd + +echo "Elaborating Design" +ncelab -access +rwc glbl -sdf_cmd_file sdf.cmd work.fifo_short_2clk_tb + +echo "Simulating Design" +ncsim -gui -input @"simvision -input wave_ncsim.sv" work.fifo_short_2clk_tb diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_vcs.sh b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_vcs.sh new file mode 100755 index 000000000..bbd548a74 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_vcs.sh @@ -0,0 +1,67 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +rm -rf simv* csrc DVEfiles AN.DB + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogan +v2k ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +vhdlan ../fifo_short_2clk_pkg.vhd +vhdlan ../fifo_short_2clk_rng.vhd +vhdlan ../fifo_short_2clk_dgen.vhd +vhdlan ../fifo_short_2clk_dverif.vhd +vhdlan ../fifo_short_2clk_pctrl.vhd +vhdlan ../fifo_short_2clk_synth.vhd +vhdlan ../fifo_short_2clk_tb.vhd + +echo "Elaborating Design" +vcs -time_res 1ps +neg_tchk -sdf max:/fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst:../../implement/results/routed.sdf +vcs+lic+wait -debug fifo_short_2clk_tb glbl + +echo "Simulating Design" +./simv -ucli -i ucli_commands.key +dve -session vcs_session.tcl diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/ucli_commands.key b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/ucli_commands.key new file mode 100755 index 000000000..f90cccb67 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/ucli_commands.key @@ -0,0 +1,4 @@ +dump -file fifo_short_2clk.vpd -type VPD +dump -add fifo_short_2clk_tb +run +quit diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/vcs_session.tcl b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/vcs_session.tcl new file mode 100755 index 000000000..e27baa9d8 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/vcs_session.tcl @@ -0,0 +1,76 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: vcs_session.tcl +# +# Description: +# This is the VCS wave form file. +# +#-------------------------------------------------------------------------------- +if { ![gui_is_db_opened -db {fifo_short_2clk.vpd}] } { + gui_open_db -design V1 -file fifo_short_2clk.vpd -nosource +} +gui_set_precision 1ps +gui_set_time_units 1ps + +gui_open_window Wave +gui_sg_create fifo_short_2clk_Group +gui_list_add_group -id Wave.1 {fifo_short_2clk_Group} + +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +gui_sg_addsignal -group fifo_short_2clk_Group WRITE -divider +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +gui_sg_addsignal -group fifo_short_2clk_Group READ -divider +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +gui_zoom -window Wave.1 -full diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/wave_isim.tcl b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/wave_isim.tcl new file mode 100755 index 000000000..156aad172 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/wave_isim.tcl @@ -0,0 +1,68 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_isim.tcl +# +# Description: +# This is the ISIM wave form file. +# +#-------------------------------------------------------------------------------- +wcfg new +isim set radix hex +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +run all +quit + diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/wave_mti.do b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/wave_mti.do new file mode 100755 index 000000000..eafc64cf2 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/wave_mti.do @@ -0,0 +1,88 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +# Filename: wave_mti.do +# +# Description: +# This is the modelsim wave form file. +# +#-------------------------------------------------------------------------------- + +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +add wave -noupdate -divider WRITE +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +add wave -noupdate -radix hexadecimal /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/DIN +add wave -noupdate -divider READ +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +add wave -noupdate -radix hexadecimal /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/DOUT + +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {2164886 ps} 0} +configure wave -namecolwidth 197 +configure wave -valuecolwidth 106 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {0 ps} {9464063 ps} diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/wave_ncsim.sv b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/wave_ncsim.sv new file mode 100755 index 000000000..655d5c65a --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/wave_ncsim.sv @@ -0,0 +1,70 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_ncsim.sv +# +# Description: +# This is the IUS wave form file. +# +#-------------------------------------------------------------------------------- + +window new WaveWindow -name "Waves for FIFO Generator Example Design" +waveform using "Waves for FIFO Generator Example Design" + +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +waveform add -label WRITE +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +waveform add -label READ +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +console submit -using simulator -wait no "run" diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk_flist.txt b/fpga/usrp3/top/b200/coregen/fifo_short_2clk_flist.txt new file mode 100644 index 000000000..4ab119a52 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk_flist.txt @@ -0,0 +1,57 @@ +# Output products list for +_xmsgs/pn_parser.xmsgs +fifo_short_2clk/doc/fifo_generator_v9_3_readme.txt +fifo_short_2clk/doc/fifo_generator_v9_3_vinfo.html +fifo_short_2clk/doc/pg057-fifo-generator.pdf +fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf +fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd +fifo_short_2clk/fifo_generator_v9_3_readme.txt +fifo_short_2clk/implement/implement.bat +fifo_short_2clk/implement/implement.sh +fifo_short_2clk/implement/implement_synplify.bat +fifo_short_2clk/implement/implement_synplify.sh +fifo_short_2clk/implement/planAhead_ise.bat +fifo_short_2clk/implement/planAhead_ise.sh +fifo_short_2clk/implement/planAhead_ise.tcl +fifo_short_2clk/implement/xst.prj +fifo_short_2clk/implement/xst.scr +fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd +fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd +fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd +fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd +fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd +fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd +fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd +fifo_short_2clk/simulation/functional/simulate_isim.bat +fifo_short_2clk/simulation/functional/simulate_isim.sh +fifo_short_2clk/simulation/functional/simulate_mti.bat +fifo_short_2clk/simulation/functional/simulate_mti.do +fifo_short_2clk/simulation/functional/simulate_mti.sh +fifo_short_2clk/simulation/functional/simulate_ncsim.sh +fifo_short_2clk/simulation/functional/simulate_vcs.sh +fifo_short_2clk/simulation/functional/ucli_commands.key +fifo_short_2clk/simulation/functional/vcs_session.tcl +fifo_short_2clk/simulation/functional/wave_isim.tcl +fifo_short_2clk/simulation/functional/wave_mti.do +fifo_short_2clk/simulation/functional/wave_ncsim.sv +fifo_short_2clk/simulation/timing/simulate_isim.bat +fifo_short_2clk/simulation/timing/simulate_isim.sh +fifo_short_2clk/simulation/timing/simulate_mti.bat +fifo_short_2clk/simulation/timing/simulate_mti.do +fifo_short_2clk/simulation/timing/simulate_mti.sh +fifo_short_2clk/simulation/timing/simulate_ncsim.sh +fifo_short_2clk/simulation/timing/simulate_vcs.sh +fifo_short_2clk/simulation/timing/ucli_commands.key +fifo_short_2clk/simulation/timing/vcs_session.tcl +fifo_short_2clk/simulation/timing/wave_isim.tcl +fifo_short_2clk/simulation/timing/wave_mti.do +fifo_short_2clk/simulation/timing/wave_ncsim.sv +fifo_short_2clk.asy +fifo_short_2clk.gise +fifo_short_2clk.ngc +fifo_short_2clk.v +fifo_short_2clk.veo +fifo_short_2clk.xco +fifo_short_2clk.xise +fifo_short_2clk_flist.txt +fifo_short_2clk_xmdf.tcl diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk_xmdf.tcl b/fpga/usrp3/top/b200/coregen/fifo_short_2clk_xmdf.tcl new file mode 100644 index 000000000..0abe2bb7c --- /dev/null +++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk_xmdf.tcl @@ -0,0 +1,251 @@ +# The package naming convention is _xmdf +package provide fifo_short_2clk_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::fifo_short_2clk_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::fifo_short_2clk_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name fifo_short_2clk +} +# ::fifo_short_2clk_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::fifo_short_2clk_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/doc/fifo_generator_v9_3_readme.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/doc/fifo_generator_v9_3_vinfo.html +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/doc/pg057-fifo-generator.pdf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/fifo_generator_v9_3_readme.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/implement.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/implement.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/implement_synplify.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/implement_synplify.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/planAhead_ise.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/planAhead_ise.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/planAhead_ise.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/xst.prj +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/xst.scr +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_isim.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_isim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_mti.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_mti.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_ncsim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_vcs.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/ucli_commands.key +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/vcs_session.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/wave_isim.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/wave_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/wave_ncsim.sv +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_isim.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_isim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_mti.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_mti.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_ncsim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_vcs.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/ucli_commands.key +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/vcs_session.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/wave_isim.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/wave_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/wave_ncsim.sv +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module fifo_short_2clk +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp3/top/b200/coregen_dsp/.gitignore b/fpga/usrp3/top/b200/coregen_dsp/.gitignore new file mode 100644 index 000000000..f9823bca9 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/.gitignore @@ -0,0 +1,6 @@ +_xmsgs +*.log +*.ncf +*.xise +*.gise + diff --git a/fpga/usrp3/top/b200/coregen_dsp/Makefile.srcs b/fpga/usrp3/top/b200/coregen_dsp/Makefile.srcs new file mode 100644 index 000000000..180686b89 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/Makefile.srcs @@ -0,0 +1,15 @@ +# +# Copyright 2012 Ettus Research LLC +# + +################################################## +# Coregen Sources +################################################## + +COREGEN_DSP_SRCS = $(abspath $(addprefix $(BASE_DIR)/../top/b200/coregen_dsp/, \ +hbdec1.v \ +hbdec1.xco \ +hbdec2.v \ +hbdec2.xco \ +)) + diff --git a/fpga/usrp3/top/b200/coregen_dsp/coregen.cgp b/fpga/usrp3/top/b200/coregen_dsp/coregen.cgp new file mode 100644 index 000000000..52a3a53e7 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/coregen.cgp @@ -0,0 +1,9 @@ +SET busformat = BusFormatAngleBracketNotRipped +SET designentry = Verilog +SET device = xc6slx75 +SET devicefamily = spartan6 +SET flowvendor = Other +SET package = fgg484 +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false diff --git a/fpga/usrp3/top/b200/coregen_dsp/filt2.coe b/fpga/usrp3/top/b200/coregen_dsp/filt2.coe new file mode 100644 index 000000000..e18b5daa7 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/filt2.coe @@ -0,0 +1,36 @@ + Radix=10; +CoefData = 282, + -0, + -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581, + -0, + 282; diff --git a/fpga/usrp3/top/b200/coregen_dsp/hb31.coe b/fpga/usrp3/top/b200/coregen_dsp/hb31.coe new file mode 100644 index 000000000..37f5a180e --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hb31.coe @@ -0,0 +1,32 @@ +Radix=10; +CoefData = -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581; diff --git a/fpga/usrp3/top/b200/coregen_dsp/hb35.coe b/fpga/usrp3/top/b200/coregen_dsp/hb35.coe new file mode 100644 index 000000000..18078085d --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hb35.coe @@ -0,0 +1,36 @@ +Radix=10; +CoefData = 282, + -0, + -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581, + -0, + 282; diff --git a/fpga/usrp3/top/b200/coregen_dsp/hb39.coe b/fpga/usrp3/top/b200/coregen_dsp/hb39.coe new file mode 100644 index 000000000..1d99fa946 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hb39.coe @@ -0,0 +1,40 @@ +Radix=10; +CoefData = -102, + 0, + 282, + -0, + -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581, + -0, + 282, + 0, + -102; diff --git a/fpga/usrp3/top/b200/coregen_dsp/hb43.coe b/fpga/usrp3/top/b200/coregen_dsp/hb43.coe new file mode 100644 index 000000000..c56c4c928 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hb43.coe @@ -0,0 +1,44 @@ +Radix=10; +CoefData = 100, +0, +-102, + 0, + 282, + -0, + -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581, + -0, + 282, + 0, + -102, +0, +100; diff --git a/fpga/usrp3/top/b200/coregen_dsp/hb47.coe b/fpga/usrp3/top/b200/coregen_dsp/hb47.coe new file mode 100644 index 000000000..85b9a0f3d --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hb47.coe @@ -0,0 +1,51 @@ +; Generated with round((2^18-2)*halfgen_test(.21,12,1)) +; 83% effective BW, 64dB to 85dB rolloff +Radix=10; +CoefData = +-62, + 0, + 194, + 0, +-440, + 0, + 855, + 0, +-1505, + 0, + 2478, + 0, +-3900, + 0, + 5990, + 0, +-9187, + 0, + 14632, + 0, +-26536, + 0, + 83009, + 131071, + 83009, + 0, +-26536, + 0, + 14632, + 0, +-9187, + 0, + 5990, + 0, +-3900, + 0, + 2478, + 0, +-1505, + 0, + 855, + 0, +-440, + 0, + 194, + 0, +-62; diff --git a/fpga/usrp3/top/b200/coregen_dsp/hb51.coe b/fpga/usrp3/top/b200/coregen_dsp/hb51.coe new file mode 100644 index 000000000..ebfddb7fb --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hb51.coe @@ -0,0 +1,52 @@ +Radix=10; +CoefData = 300, +0, +-200, +0, +100, +0, +-102, + 0, + 282, + -0, + -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581, + -0, + 282, + 0, + -102, +0, +100, +0, +-200, +0, +300; diff --git a/fpga/usrp3/top/b200/coregen_dsp/hb55.coe b/fpga/usrp3/top/b200/coregen_dsp/hb55.coe new file mode 100644 index 000000000..07f861818 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hb55.coe @@ -0,0 +1,56 @@ +Radix=10; +CoefData = -400, +0, +300, +0, +-200, +0, +100, +0, +-102, + 0, + 282, + -0, + -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581, + -0, + 282, + 0, + -102, +0, +100, +0, +-200, +0, +300, +0, +-400; diff --git a/fpga/usrp3/top/b200/coregen_dsp/hb59.coe b/fpga/usrp3/top/b200/coregen_dsp/hb59.coe new file mode 100644 index 000000000..79f1e362b --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hb59.coe @@ -0,0 +1,60 @@ +Radix=10; +CoefData = 500, +0, +-400, +0, +300, +0, +-200, +0, +100, +0, +-102, + 0, + 282, + -0, + -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581, + -0, + 282, + 0, + -102, +0, +100, +0, +-200, +0, +300, +0, +-400, +0 +500; diff --git a/fpga/usrp3/top/b200/coregen_dsp/hb63.coe b/fpga/usrp3/top/b200/coregen_dsp/hb63.coe new file mode 100644 index 000000000..3b312036d --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hb63.coe @@ -0,0 +1,67 @@ +; Generated with round((2^18-2)*halfgen_test(.22,16,1)) +; 88% effective BW, 64dB to 87dB rolloff +Radix=10; +CoefData = +-35, + 0, + 95, + 0, +-195, + 0, + 352, + 0, +-582, + 0, + 907, + 0, +-1354, + 0, + 1953, + 0, +-2751, + 0, + 3813, + 0, +-5249, + 0, + 7264, + 0, +-10296, + 0, + 15494, + 0, +-27083, + 0, + 83196, + 131071, + 83196, + 0, +-27083, + 0, + 15494, + 0, +-10296, + 0, + 7264, + 0, +-5249, + 0, + 3813, + 0, +-2751, + 0, + 1953, + 0, +-1354, + 0, + 907, + 0, +-582, + 0, + 352, + 0, + -195, + 0 + 95, + 0 +-35; diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec1.asy b/fpga/usrp3/top/b200/coregen_dsp/hbdec1.asy new file mode 100644 index 000000000..7644e7bbe --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec1.asy @@ -0,0 +1,61 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 hbdec1 +RECTANGLE Normal 32 32 544 2048 +LINE Normal 0 144 32 144 +PIN 0 144 LEFT 36 +PINATTR PinName nd +PINATTR Polarity IN +LINE Wide 0 240 32 240 +PIN 0 240 LEFT 36 +PINATTR PinName din_1[23:0] +PINATTR Polarity IN +LINE Wide 0 272 32 272 +PIN 0 272 LEFT 36 +PINATTR PinName din_2[23:0] +PINATTR Polarity IN +LINE Normal 0 848 32 848 +PIN 0 848 LEFT 36 +PINATTR PinName coef_ld +PINATTR Polarity IN +LINE Normal 0 880 32 880 +PIN 0 880 LEFT 36 +PINATTR PinName coef_we +PINATTR Polarity IN +LINE Wide 0 912 32 912 +PIN 0 912 LEFT 36 +PINATTR PinName coef_din[17:0] +PINATTR Polarity IN +LINE Normal 0 1008 32 1008 +PIN 0 1008 LEFT 36 +PINATTR PinName clk +PINATTR Polarity IN +LINE Normal 0 1040 32 1040 +PIN 0 1040 LEFT 36 +PINATTR PinName ce +PINATTR Polarity IN +LINE Normal 0 1072 32 1072 +PIN 0 1072 LEFT 36 +PINATTR PinName sclr +PINATTR Polarity IN +LINE Wide 576 240 544 240 +PIN 576 240 RIGHT 36 +PINATTR PinName dout_1[46:0] +PINATTR Polarity OUT +LINE Wide 576 272 544 272 +PIN 576 272 RIGHT 36 +PINATTR PinName dout_2[46:0] +PINATTR Polarity OUT +LINE Normal 576 1840 544 1840 +PIN 576 1840 RIGHT 36 +PINATTR PinName rfd +PINATTR Polarity OUT +LINE Normal 576 1872 544 1872 +PIN 576 1872 RIGHT 36 +PINATTR PinName rdy +PINATTR Polarity OUT +LINE Normal 576 1904 544 1904 +PIN 576 1904 RIGHT 36 +PINATTR PinName data_valid +PINATTR Polarity OUT + diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec1.mif b/fpga/usrp3/top/b200/coregen_dsp/hbdec1.mif new file mode 100644 index 000000000..78d2dd901 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec1.mif @@ -0,0 +1,47 @@ +111111111111000010 +000000000000000000 +000000000011000010 +000000000000000000 +111111111001001000 +000000000000000000 +000000001101010111 +000000000000000000 +111111101000011111 +000000000000000000 +000000100110101110 +000000000000000000 +111111000011000100 +000000000000000000 +000001011101100110 +000000000000000000 +111101110000011101 +000000000000000000 +000011100100101000 +000000000000000000 +111001100001011000 +000000000000000000 +010100010001000001 +011111111111111111 +010100010001000001 +000000000000000000 +111001100001011000 +000000000000000000 +000011100100101000 +000000000000000000 +111101110000011101 +000000000000000000 +000001011101100110 +000000000000000000 +111111000011000100 +000000000000000000 +000000100110101110 +000000000000000000 +111111101000011111 +000000000000000000 +000000001101010111 +000000000000000000 +111111111001001000 +000000000000000000 +000000000011000010 +000000000000000000 +111111111111000010 diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc b/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc new file mode 100644 index 000000000..68581229e --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$26b<~753-Xnzd}foo8#5+421).97 ?>;168456702:;<=63:2:2=6?<;0,36>77<2;;<=?9;049MKVR\3NJXLQFN=36>58602;=6B[[PTV9@DRFWF__0<;50?33?4>=AGZ^X7O31683:46<910BB][[:C>23?699:1:47GAPTV9FFOI48=1<3??;0:9MKVR\3N7=:4?>0685=23?699>1:47GAPTV9gjcaWmce0<950?36?4>=G\^[YY4MCNWW841=87;=7<65OTVSQQ7?2F__\XZ5DC]LQQ:6?3:5=<5>:HLSQQ11392>LHW]]0JHI\N<183:44<93CE\XZ5AEFQF96=87;h7<4FNQWW>fibnVlb`yk}_rgo85<768=0=7GAPTV9bljsm{Uxia2?:1<21>7=G\^[YY4lh`l\mk:7294::6?5OTVSQQ453;:37?>NA321444<:=0BB][[:ekm875=87;>7?:5IORVP?bnfV;;0?=50?36?72=AGZ^X7jfn^32875=87;>7?:5IORVP?bnfV;90?=50?36?72=AGZ^X7jfn^30875=87;>7?:5IORVP?bnfV;?0?=50?36?72=AGZ^X7jfn^36875=87;>7?:5IORVP?bnfV;=0?=50?37?72=AGZ^X7jfn^3?66<768>0>94FNQWW>aoiW;69?7>115910?OIX\^1hd`P3=00>586<28?6D@_UU8gmkY34;91<3?;;369MKVR\3nbbR;32283:42<:=0BB][[:ekm[3:5;3:5=95=4;KMTPR=l`dT;1<<:1<20>432@D[YY4kio];875=87;?7?:5IORVP?bnfV37>>4?>048613;>1CXZ_UU8gkprXaV;:0?=50?3:?72=G\^[YY4kotv\mZ754;91<3?6;369KPRW]]0ocxzPi^30875=87;27?:5OTVSQQ3;>1CXZ_UU8gkprXaV;>0?=50?3:?72=G\^[YY4kotv\mZ714;91<3?7;369KPRW]]0ocxzPi^3?66<76820>94@UURVP?bh}}UbS?2=3;2=5==5<3E^X][[:emvpZoX;5886=0>8:07>JSSX\^1hb{{_h]7875=87;37?:5OTVSQQ<:=0DYY^ZT;flqqYnW?69?7>119910?IR\Y__6iazt^k\3944294:46<;:NWWTPR=lfSdQ7<3194;7?3;>1CXZ_UU8gkprXaV37>>4?>0086?OIX\^1MIJ]A=394;753;0BB][[:@FGVG:6294:=6=9:NWWTPR=E59>6=0>2:19MKVR\3KOH_O32;2=57=42@D[YY4NDEPA87<768>08:4@UURVP?bh}}6?:7>116973?IR\Y__6iazt^33810=87;<7995OTVSQQ72?699>1?;7AZTQWW>air|V;?09850?34?11=G\^[YY4kotv\50:3>3:5=:5;7;MVPUSS2me~xR?9<5494;713==1CXZ_UU8gkprX95>=6=0>6:64>JSSX\^1hb{{_3>72?699?1?;7AZTQWW>air|V978;4?>04802202F__\XZ5dnww[3:3>3:5=;5;7;MVPUSS2me~xR934783:40<<>0DYY^ZT;flqqY?4=<1<3?9;559KPRW]]0ocxzP9=65>586>2><6B[[PTV9`jssWx6?:7>118973?IR\Y__6iazt^s\55:3>3:5=45;7;MVPUSS2me~xRP10>72?69901?;7AZTQWW>air|V{T=?2;6;2=5<=3?3E^X][[:emvpZwX9:6?:7>118973?IR\Y__6iazt^s\51:3>3:5=45;7;MVPUSS2me~xRP14>72?69901?;7AZTQWW>air|V{T=;2;6;2=5==3?3E^X][[:emvpZwX95>=6=0>8:64>JSSX\^1hb{{_p]1810=87;37995OTVSQQ<<>0DYY^ZT;flqqYvW=6?:7>119973?IR\Y__6iazt^s\1921294:46:8:NWWTPR=lfS|Q9<5494;7?3==1CXZ_UU8gkprXyV=78;4?>0:8023:5=55;7;MVPUSS2me~xRP9=65>58682>36D@_UU8@811=87;?7965IORVP?BFW@D78:4?>0180=586>2>36B[[PTV9@DYH]]6?;7>117970;2<;4498LQQVR\3ZHCXZ34683:==3N=>?89:;;43741=2==::7;:46@CB6>0D991=av>51vecb2?<$9:7:<473083C>?1::7?=>708>047GAPTV9@DRFW@D7;7>1129;>LHW]]0D\@AKE=594;71310DYY^ZT;FBPDYH]]6<6=0=;8C1?D623H:YIJ<4A078E4TBO;1J>?5N359B85823H6:<3;4A=32:0=F488596O312<6?D:6<7?0M1?:>49B8409?2K7=:4?>49B8419<2K7=3:4A=0=0>G;;7>0M1:14:C?1;29<2K75374AEFQ85<76>1JHI\30?c8EABUI5:1<364AEFQE969i2KOH_O31;2=<>GCL[K7=3o4AEFQE94=8720MIJ]A=0=e>GCL[H7<7>18:CG@WD;87k0MIJ]B=394;>D;87?0N1??>49A8479=2H7=?0:;C>27;3D;9?4<7O2>7;2=1>D;9>4?7O2>>59A87833K68295M<5<7?G:26=1I0;0;;C>4:1=E414?7O26>69AGLH;8720NNGA<02=<>DDAG6:=364BBKM844902HHEC2>3?:8FFOI48>546LLIO>21;>23;169AGLH;<7=0NNGA<4<4?GENF5<5;6LLIO>4:2=EK@D74394BBKM8<8?3KIDYY2?>89AGJSS48:556LLOTV?548>3KIDYY2>2?;8FFIR\5;8245MCNWW842912HHCXZ314<:?GEH]]6::3l4BBMVP97029427OM@UU>23;>DDG\^78364BBMVP93902HHCXZ36?:8FFIR\5=546LLOTV?<;>DR[VCEJB?4C59@85823J6:<3;4C=32:0=D488596M312<6?F:6<7?0O1?:>49@8409=2I7=:0:;B>2<;3>49@8749=2I7>>0:;B>10;3285L<34=1>E;:>4>7N2=8?78G94>6=1H0?0:;B>04;3E;;:4>7N2<4?78G9526<1H0>815:A?72823J6843;4C=1::1=D4:4>7N2;0?78G9266<1H09<15:A?06823J6?83;4C=66:0=D4=<5;6M34683:0=D4==586M34?68G939<2I7:3:4C=5=0>E;07>0O1717:ABVW_NFh1HM_\VIOPFCd=DI[XREC]JL99@EWT^G\^27NO]RXMVPCd5LLJ;8GJKJA]^NH<:4CNPF[BCIM[K_EB@PIODL3>EUMH^NH95LRDE2?A40H1>15:F?55823M6:=3;4D=31:0=C489596J315<6?A:6=7?0H1?9>69G841=87?0H1?8>59G84833M69295K<2<7?A:36=1O080;;E>5:1=C4>4?7I27>59G8<853MK?7IONA`9GEQGXAG6;2o5KAUC\MK:687h0HLZN_HL?548e3MK_MRGA<00=f>BF\HUBB1?<>c9GEQGXAG6:83j4D@VB[LH;9<0;2o5KAUC\MK:6=7k0HLZN_HL?5;g1a:FBPDYNF5=5m6JNT@]JJ9>9i2NJXLQFN=;=f>BF\HUDYY2?>b9GEQGXG\^7==0l;ECWEZIR\5;:2n5KAUC\KPR;9;4h7IO[A^MVP9746j1OMYOPOTV?518b3MK_MRAZT=36>58d3MK_MRAZT=36:g=CI]KTCXZ31?`8@DRFWF__0?0m;ECWEZIR\595n6JNT@]LQQ:36k1OMYOPOTV?1;d611OMRGA<1<:?AGXAG6:<374D@]JJ976601OMRGA<00==>BFW@D7=>06;EC\MK:6<730HLQFN=36:<=CIVCE0<81b:FB[LH;9>0;245KA^KM841912NJSD@319<:?AGXAG6:5364D@]JJ97912NJSD@321<:?AGXAG69=374D@]JJ945601OMRGA<31==>BFW@D7>906;EC\MK:5=730HLQFN=05:<=CIVCE0?919:FB[LH;:1427IOPIO>1=;>05;?89GEZOI4:9556JN_HL?718>3MKTEC2<5?;8@DYNF59=245KA^KM861912NJSD@339<:?AGXAG685364D@]JJ95912NJSD@341<:?AGXAG6?=374D@]JJ925601OMRGA<51==>BFW@D78906;EC\MK:3=730HLQFN=65:g=CIVCE09950?;8@DYNF5><255KA^KM818?3MKTEC2:>99GEZOI4?437IOPIO>4:==CIVCE0507;EC\MK:>601OMRAZT=2=e>BFWF__0<>1a:FB[JSS48;5m6JN_NWW8449i2NJSB[[<01=e>BFWF__0<:1a:FB[JSS48?5m6JN_NWW8409k2NJSB[[<0594;g7?c8@DYH]]6:43o4D@]LQQ:61730HLQ@UU>2:d=CIVE^X1`9GEZIR\58:2l5KA^MVP9456h1OMRAZT=00:d=CIVE^X1<;>`9GEZIR\58>2l5KA^MVP9416h1OMRAZT=04:d=CIVE^X1<7>`9GEZIR\582245KA^MVP949i2NJSB[[<22=e>BFWF__0>?1a:FB[JSS4:85m6JN_NWW8659i2NJSB[[<26=e>BFWF__0>;1a:FB[JSS4:<5m6JN_NWW8619i2NJSB[[<2:=e>BFWF__0>719:FB[JSS4:4j7IOPOTV?058f3MKTCXZ3400;2l5KA^MVP920601OMRAZT=6==>BFWF__0806;EC\KPR;>730HLQ@UU>4:<=CIVE^X1619:FB[JSS40427ILPOTV?4;g0?c8@GYH]]6:=3o4DC]LQQ:6:7k0HOQ@UU>27;g4?c8@GYH]]6:93o4DC]LQQ:6>7i0HOQ@UU>23?69i2NISB[[<05=e>BEWF__0<61a:FA[JSS483556JM_NWW848f3MHTCXZ321<0n;E@\KPR;:;4j7ILPOTV?668f3MHTCXZ32580n;E@\KPR;:?4j7ILPOTV?628f3MHTCXZ329406;E@\KPR;:7k0HOQ@UU>04;g3o4DC]LQQ:4;7k0HOQ@UU>00;g0<;g`9GFZIR\5>82l5KB^MVP9236h1ONRAZT=66:d=CJVE^X1:9>b9GFZIR\5><6=0n;E@\KPR;<>427ILPOTV?0;?89GFZIR\5<556JM_NWW828>3MHTCXZ38?;8@GYH]]622?5KE39GM6=CXF?0H]\N049GTWG6=2N[^L<:;ERQE626H72:DG0>@CI190JIK<;GFQ0>@CZL>0JI]J4:DELON1:E0?BHC92C97D>=;H31?L453@997D:6;HLJPVRF\L90ECX=;MK1?II13EEJHHJ8;MMDMFGK<2F^X<:4LTV10>JR\:>0@XZ;4:NVP0249N8459=2G7=90:;L>21;3K;914>7@2>9?68I979=2G7>=0:;L>15;3K;:=4>7@2=5?78I9416<1F0?915:O?6=823D6953:4M=0=1>K;;94>7@2<1?78I9556<1F0>=15:O?71803D6897>15:O?70833D68295B<5<7?H:26=1F0;0;;L>4:1=J414?7@26>59NV@A23D_SOT94MTZ@]ZB03D_SOTQCc:ObnjtQm{ybccm4MhllvScu{`ee?6@>029M545H6?<>0B<994:L23227818J4>33G;3<95A1937?K7?:=1E=5=;;O3;01=I91??7C?7659M5=133G;3495A19;0?K7><2D:5=:4N0;20>H61;>0B<7<4:L2=1286@>9768J4?0<2D:55:4N0;:6>H5;2D9<95A2127?K479=1E>=<;;O0371=I:9>?7C<:4N3330>H598>0B??=4:L1562H59090B?<;;O0141=I:;;?7C<=229M66587C<:3:L126=I:190B?7=;O10?K57:2D?>6@:4:L61<2:>:4N4470>H2><>0B8894:L6222;<:4N4510>H2?:>0B89;4:L6302;4:4N4:30>H208>0B86=4:L6<621<2D>4::4N4:;0>H200>0B87?4:L6=4258:4N4;50>H21>>0B8774:L6=<2:4N7270>H18<>0B;984:L53=26<2D=4?:4N7:00>H10=>0B;6:4:L5<32><2D=5=:4N7;20>H11;>0B;7<4:L5=1286@99768J3?0<2D=55:4N7;:6>H0<2D<<=:4N6220>H08;>0B:><4:L4412:>86@80768J260<2D<<5:4N62:0>H099>0B:?>4:L4572;886@81568J272<2D<=;:4N6340>H091>0B:?64:L46528:86@82368J244:2D3>6@6f:LA[GSTX@DT\_A_S69MAQQHZB=0BBCJRFG2?J4IWEFNN0>06;NRNKAC;<730C]C@DD>6:<=HXDEOI181b:MSIJBB4>0;245@PLMGA91912E[ABJJRDE23>IW\@GBVHQ_RHOJPLPB[VDLOl5@bgwpgdrhz81[86^30?78T9776<1[049S84?9<2Z7=3;4P=03:0=W4;;596^323<6?U:5;7?0\1<;>49S8739=2Z7>;0:;Q>13;3V;:7?0\1=?>49S8679=2Z7??0:;Q>07;3V;;?4>7]2<7?78T95?6<1[0>714:R?7;3;285_<53=1>V;<;4>7]2;3?78T9236<1[09;15:R?03803Y6?;7>15:R?02833Y6?295_<4<7?U:16=1[0:0;;Q>;:1=W404?7]O]T69SGLH;8720\NGA<02=<>VDAG6:=364PBKM844902ZHEC2>3?:8TFOI48>546^LIO>21;>VDAG6:5394PBKM848?3YIBB199SGLH;:8437]MFN=01:==WK@D7>>07;QAJJ943611[OD@324<;?UENF58=255_CHL?628?3YIBB1<7>99SGLH;:04<7]MFN=0=<>VDAG68<364PBKM867902ZHEC2<2?:8TFOI4:9546^LIO>00;>;18:R@MK:4>720\NGA<25=<>VDAG684364PBKM86?9?2ZHEC2<>99SGLH;<9437]MFN=62:==WK@D78?07;QAJJ924611[OD@345<;?UENF5>>255_CHL?038f3YIBB1:8:1<;?UENF5><2:5_CHL?0;169SGLH;07=0\NGA<8<;?UEH]]6;245_CNWW846912ZHCXZ310<:?UEH]]6:>374PBMVP974601[OB[[<06==>VDG\^7=806;QALQQ:6>730\NAZT=34:<=WKF__0<619:R@KPR;90437]M@UU>2:<=WKF__0?>19:R@KPR;:8427]M@UU>16;?89SGJSS4;>556^LOTV?608>3YIDYY2=6?;8TFIR\58<245_CNWW87>912ZHCXZ328<;?UEH]]69245_CNWW866912ZHCXZ330<:?UEH]]68>374PBMVP954601[OB[[<26==>VDG\^7?806;QALQQ:4>730\NAZT=14:<=WKF__0>619:R@KPR;;0437]M@UU>0:<=WKF__09>19:R@KPR;<8427]M@UU>76;?89SGJSS4=>556^LOTV?008>3YIDYY2;6?`8TFIR\5><6=06;QALQQ:3?720\NAZT=6=<>VDG\^79364PBMVP90902ZHCXZ37?:8TFIR\52546^LOTV?=;g0\_KH1:S0?T7292X37_OB17Z2@1=U[]K?7_][B59QWQEf3[Y_OL\]YHL7?WUSL=1Y_YC6;SQWKUKHLL>0^^Z_7:PPPQ_WM81X86]LLS32?VNUMNUNTY\NCU]MCFc<[AXNKRCFN^QJ^@><[BC[S]GA6:QLQWEB;2Y[C:5\RMA22@2<[PDH86ZVPDc8QVCUWHFBM^m4URGQ[SOTAKFN?6XLC89UM@QX\PZN86YLLJ08S@5<_LK:h6VFLHL[)]BE(9$:,^ZZB!2-5%GTK@C>7UA]CX48\VRKAKh0TRM@RD]JJCI13QniSDji;Yfk[Utne_oydaa119[`hYJiceyZh||inl24>^ceVGbbb|Yesqjkk56QP_YNMIZYX8VUTY=!h_lc`*hgd'ocyS~kcebv,c`hXmq~nbikk_lwop+`nz&lb~R}jldaw+bciWakgjooad^ffglkf|`ee"kg}/fgm[wckghn%~hb`ae]`jqtk'{ogcljPeocah`YumeejhRfm_dlbficXG[U:=Ra>e99\[Z^KFDUTS<>P_^W3+bYjij$fmn!iis]paicd|&mnbRkwtdlgaaYj}e~%jd| fhp\w`jbk}%licQgamdaekbXllibalzfoo,emw)`mgUmeazjr^`okfm(oldTecjjpdlgekrXkffP>P heo]ptiosWjegW=S!gdl\pdvtS;W%kh`Pd`vb[vjWjkxo#ijn^llvh(ci}kTtcPc`q`[aj~'JOT[HQNNE]21=Yh9V8n:6QP_YNMIZYX98UTSX> g^obg+kfk&lb~R}jldaw+bciWlricjjd^ovhq(aa{%meQ|emg`p*abfVbj`klnne]gafoji}cdb#hfr.efjZ`nd}oySob`cj-dakYnfmo{icjnnu]`ki]5U'mnbR}lhv\gjj\8T$licQ{aqqX2X(`mgUomyoPsxo\gdud&noeSca}m/fbpdYtqdUhm~mPdm{,G@YPMVKEHR?:8^m2a==XWVRGB@QP_06\[ZS7'nUfmn bab-emwYtmeohx"ijn^g{p`hcmmUfyaz!fhp,bltX{lfnoy!heo]kei`eignThhmfm`vjkk(aa{%licQiimvfvZdkgja$kh`Piofft`hcig~TobbT2\,dakYtxecSnac[1_-c`hX|hzxW>S!gdl\`drfWzsfSno|c/efjZhhzd$omyoPsxo\gdudWmfr#NKPWD]BJAY6=1Ud=R829\[Z^KFDUTS919\[Z^KFDUTS?=P_^W3+bYjij$fmn!iis]paicd|&mnbRkwtdlgaaYj}e~%jd| fhp\w`jbk}%licQgamdaekbXllibalzfoo,emw)`mgUhicz}e^vbtZbf|hUgmymgee-@AZQBWHDOS??:_n3;5>YXWQFEARQP2^]\Q5)`Wdkh"`ol/gkq[vckmj~$kh`PeyvfjaccWdgx#hfr.djvZubdli#jka_icobggilVnnodcnthmm*cou'`dTmij}/fgm[vru}j~%|lij_sqwtjr>j2UTSUBAM^]\7ZYX]9%lS`ol.lc`+couWzoginz gdl\a}rbfmooS`{ct/djv*`nzVyn`hm{/fgm[mgknkkehRjjchobplii&ocy#jka_sgokdb)zlfdmiQlnupo+wckghnTobcldvf[FIBNVFOSB\P13]l520SRQZ0.e\ide)ehi$jd|Psdnfgq)`mgUntykaddf\ipjs&ocy#kg}_rgoafr(oldTdlbib`lg[acdadkeb`!fhp,c`hXjekegR|jg.efjZdkigaT~hi|[1_-figicVxnkRv rde\mkvr|8=i7RQPXMLN[ZY1WVU^<"iPm`a-ide(n`xThbjcu-dakYbp}oehhjPmtnw*cou'ocyS~kcebv,c`hX`hfmnl`k_eg`mhgsafd%jd| oqal``Ydf}xgSibv<0<\ath6081TSRVCNL]\[2YXW\:$kRcnc/obg*`nzVyn`hm{/fgm[`~smgnnhRczlu,emw)aa{Uxiaklt.efjZnfdohjbiQkebkneqohf'lb~"\jsdv\KWYCz`|n~L@KCmm`o@hfjeo:i:5P_^ZOJHYXW1UTSX> g^obg+kfk&lb~R}jldaw+bciWlricjjd^ovhq(aa{%meQ|emg`p*abfVbj`klnne]gafoji}cdb#hfr.efjZ`nd}oySob`cj-dakYnfmo{icjnnu]`ki]5U'mnbR}lhv\gjj\8T$licQ{aqqX6X(`mgUomyoPsxo\gdud&noeSca}m/fbpdYtqdUhm~mPdm{,G@YPMVKEHR?:8^m2[1c03VUTTA@B_^]:[ZYR8&mTalm!m`a,bltX{lfnoy!heo]f|qcillnTaxb{.gkq+couWzoginz gdl\ldjajhdoSiklilcwmjh)n`x$kh`PfhnwawYedfi`#jka_hlgaucilhdSnac[3_-c`hX{yfbxRm`lZ2^*bciW}k{V?0127f>dkc9:;<=>?5c9ahn6789:;<;l4bmi3456789=i7obd0123456?j2hgg=>?0123=g=edb:;<=>?0``8fim789:;<=lm;cnh456789:hn6lck1234567lk1i`f>?01234`d?01da?gjl89:;<=??b:`oo56789::=o5mlj2345679;h0nae?0123445e3kf`<=>?0137f>dkc9:;<=>>5c9ahn6789:;=;l4bmi3456788=i7obd0123457?j2hgg=>?0122=g=edb:;<=>?1``8fim789:;<?01235`d?00da?gjl89:;<=?0107f>dkc9:;<=>=5c9ahn6789:;>;l4bmi345678;=i7obd0123454?j2hgg=>?0121=g=edb:;<=>?2``8fim789:;?01236`d?03da?gjl89:;<==?b:`oo56789:8=o5mlj234567;;h0nae?0123465e3kf`<=>?0117f>dkc9:;<=><5c9ahn6789:;?;l4bmi345678:=i7obd0123455?j2hgg=>?0120=g=edb:;<=>?3``8fim789:;<>lm;cnh4567899hn6lck1234564lk1i`f>?01237`d?02da?gjl89:;<=:?b:`oo56789:?=o5mlj234567<;h0nae?0123415e3kf`<=>?0167f>dkc9:;<=>;5c9ahn6789:;8;l4bmi345678==i7obd0123452?j2hgg=>?0127=g=edb:;<=>?4``8fim789:;<9lm;cnh456789>hn6lck1234563lk1i`f>?01230`d?05da?gjl89:;<=;?b:`oo56789:>=o5mlj234567=;h0nae?0123405e3kf`<=>?0177f>dkc9:;<=>:5c9ahn6789:;9;l4bmi345678<=i7obd0123453?j2hgg=>?0126=g=edb:;<=>?5``8fim789:;<8lm;cnh456789?hn6lck1234562lk1i`f>?01231`d?04da?gjl89:;<=8?b:`oo56789:==o5mlj234567>;h0nae?0123435e3kf`<=>?0147f>dkc9:;<=>95c9ahn6789:;:;l4bmi345678?=i7obd0123450?j2hgg=>?0125=g=edb:;<=>?6``8fim789:;<;lm;cnh456789?01232`d?07da?gjl89:;<=9?b:`oo56789:<=o5mlj234567?;h0nae?0123425e3kf`<=>?0157f>dkc9:;<=>85c9ahn6789:;;;l4bmi345678>=i7obd0123451?j2hgg=>?0124=g=edb:;<=>?7``8fim789:;<:lm;cnh456789=hn6lck1234560lk1i`f>?01233`d?06da?gjl89:;<=6?b:`oo56789:3=o5mlj2345670;h0nae?01234=5e3kf`<=>?01:7f>dkc9:;<=>75c9ahn6789:;4;l4bmi3456781=i7obd012345>?j2hgg=>?012;=g=edb:;<=>?8``8fim789:;<5lm;cnh4567892hn6lck123456?lk1i`f>?0123<`d?09da?gjl89:;<=7?b:`oo56789:2=o5mlj2345671;h0nae?01234<5e3kf`<=>?01;7f>dkc9:;<=>65c9ahn6789:;5;l4bmi3456780=i7obd012345??j2hgg=>?012:=g=edb:;<=>?9``8fim789:;<4lm;cnh4567893hn6lck123456>lk1i`f>?0123=`d?08da?gjl89:;<=o?b:`oo56789:j=o5mlj234567i;h0nae?01234d5e3kf`<=>?01c7f>dkc9:;<=>n5c9ahn6789:;m;l4bmi345678h=i7obd012345g?j2hgg=>?012b=g=edb:;<=>?a``8fim789:;?0123e`d?0`da?gjl89:;<=l?b:`oo56789:i=o5mlj234567j;h0nae?01234g5e3kf`<=>?01`7f>dkc9:;<=>m5c9ahn6789:;n;l4bmi345678k=i7obd012345d?j2hgg=>?012a=g=edb:;<=>?b``8fim789:;?0123f`d?0cda?gjl89:;<=m?b:`oo56789:h=o5mlj234567k;h0nae?01234f5e3kf`<=>?01a7f>dkc9:;<=>l5c9ahn6789:;o;l4bmi345678j=i7obd012345e?j2hgg=>?012`=g=edb:;<=>?c``8fim789:;?0123g`d?0bda?gjl89:;<=j?b:`oo56789:o=o5mlj234567l;h0nae?01234a5e3kf`<=>?01f7f>dkc9:;<=>k5c9ahn6789:;h;l4bmi345678m=i7obd012345b?j2hgg=>?012g=g=edb:;<=>?d``8fim789:;?0123``d?0eda?gjl89:;<=k?b:`oo56789:n=o5mlj234567m;h0nae?01234`5e3kf`<=>?01g7f>dkc9:;<=>j5c9ahn6789:;i;l4bmi345678l=i7obd012345c?j2hgg=>?012f=g=edb:;<=>?e``8fim789:;?0123a`d?0dda?gjl89:;<=h?b:`oo56789:m=o5mlj234567n;h0nae?01234c5e3kf`<=>?01d7f>dkc9:;<=>i5c9ahn6789:;j;l4bmi345678o=i7obd012345`?j2hgg=>?012e=g=edb:;<=>?f``8fim789:;?0123b`d?0gda?gjl89:;<<>?b:`oo56789;;=o5mlj2345668;h0nae?0123555e3kf`<=>?0027f>dkc9:;<=??5c9ahn6789::<;l4bmi3456799=i7obd0123446?j2hgg=>?0133=g=edb:;<=>>0``8fim789:;==lm;cnh456788:hn6lck1234577lk1i`f>?01224`d?11da?gjl89:;<?0037f>dkc9:;<=?>5c9ahn6789::=;l4bmi3456798=i7obd0123447?j2hgg=>?0132=g=edb:;<=>>1``8fim789:;=?01225`d?10da?gjl89:;<<?0007f>dkc9:;<=?=5c9ahn6789::>;l4bmi345679;=i7obd0123444?j2hgg=>?0131=g=edb:;<=>>2``8fim789:;=?lm;cnh4567888hn6lck1234575lk1i`f>?01226`d?13da?gjl89:;<<=?b:`oo56789;8=o5mlj234566;;h0nae?0123565e3kf`<=>?0017f>dkc9:;<=?<5c9ahn6789::?;l4bmi345679:=i7obd0123445?j2hgg=>?0130=g=edb:;<=>>3``8fim789:;=>lm;cnh4567889hn6lck1234574lk1i`f>?01227`d?12da?gjl89:;<<:?b:`oo56789;?=o5mlj234566<;h0nae?0123515e3kf`<=>?0067f>dkc9:;<=?;5c9ahn6789::8;l4bmi345679==i7obd0123442?j2hgg=>?0137=g=edb:;<=>>4``8fim789:;=9lm;cnh456788>hn6lck1234573lk1i`f>?01220`d?15da?gjl89:;<<;?b:`oo56789;>=o5mlj234566=;h0nae?0123505e3kf`<=>?0077f>dkc9:;<=?:5c9ahn6789::9;l4bmi345679<=i7obd0123443?j2hgg=>?0136=g=edb:;<=>>5``8fim789:;=8lm;cnh456788?hn6lck1234572lk1i`f>?01221`d?14da?gjl89:;<<8?b:`oo56789;==o5mlj234566>;h0nae?0123535e3kf`<=>?0047f>dkc9:;<=?95c9ahn6789:::;l4bmi345679?=i7obd0123440?j2hgg=>?0135=g=edb:;<=>>6``8fim789:;=;lm;cnh456788?01222`d?17da?gjl89:;<<9?b:`oo56789;<=o5mlj234566?;h0nae?0123525e3kf`<=>?0057f>dkc9:;<=?85c9ahn6789::;;l4bmi345679>=i7obd0123441?j2hgg=>?0134=g=edb:;<=>>7``8fim789:;=:lm;cnh456788=hn6lck1234570lk1i`f>?01223`d?16da?gjl89:;<<6?b:`oo56789;3=o5mlj2345660;h0nae?01235=5e3kf`<=>?00:7f>dkc9:;<=?75c9ahn6789::4;l4bmi3456791=i7obd012344>?j2hgg=>?013;=g=edb:;<=>>8``8fim789:;=5lm;cnh4567882hn6lck123457?lk1i`f>?0122<`d?19da?gjl89:;<<7?b:`oo56789;2=o5mlj2345661;h0nae?01235<5e3kf`<=>?00;7f>dkc9:;<=?65c9ahn6789::5;l4bmi3456790=i7obd012344??j2hgg=>?013:=g=edb:;<=>>9``8fim789:;=4lm;cnh4567883hn6lck123457>lk1i`f>?0122=`d?18da?gjl89:;<?00c7f>dkc9:;<=?n5c9ahn6789::m;l4bmi345679h=i7obd012344g?j2hgg=>?013b=g=edb:;<=>>a``8fim789:;=llm;cnh456788khn6lck123457flk1i`f>?0122e`d?1`da?gjl89:;<?00`7f>dkc9:;<=?m5c9ahn6789::n;l4bmi345679k=i7obd012344d?j2hgg=>?013a=g=edb:;<=>>b``8fim789:;=olm;cnh456788hhn6lck123457elk1i`f>?0122f`d?1cda?gjl89:;<?00a7f>dkc9:;<=?l5c9ahn6789::o;l4bmi345679j=i7obd012344e?j2hgg=>?013`=g=edb:;<=>>c``8fim789:;=nlm;cnh456788ihn6lck123457dlk1i`f>?0122g`d?1bda?gjl89:;<?00f7f>dkc9:;<=?k5c9ahn6789::h;l4bmi345679m=i7obd012344b?j2hgg=>?013g=g=edb:;<=>>d``8fim789:;=ilm;cnh456788nhn6lck123457clk1i`f>?0122``d?1eda?gjl89:;<?00g7f>dkc9:;<=?j5c9ahn6789::i;l4bmi345679l=i7obd012344c?j2hgg=>?013f=g=edb:;<=>>e``8fim789:;=hlm;cnh456788ohn6lck123457blk1i`f>?0122a`d?1dda?gjl89:;<?00d7f>dkc9:;<=?i5c9ahn6789::j;l4bmi345679o=i7obd012344`?j2hgg=>?013e=g=edb:;<=>>f``8fim789:;=klm;cnh456788lhn6lck123457alk1i`f>?0122b`d?1gda?gjl89:;?b:`oo567898;=o5mlj2345658;h0nae?0123655e3kf`<=>?0327f>dkc9:;<=?0103=g=edb:;<=>=0``8fim789:;>=lm;cnh45678;:hn6lck1234547lk1i`f>?01214`d?21da?gjl89:;?0337f>dkc9:;<=<>5c9ahn6789:9=;l4bmi34567:8=i7obd0123477?j2hgg=>?0102=g=edb:;<=>=1``8fim789:;>?01215`d?20da?gjl89:;?0307f>dkc9:;<=<=5c9ahn6789:9>;l4bmi34567:;=i7obd0123474?j2hgg=>?0101=g=edb:;<=>=2``8fim789:;>?lm;cnh45678;8hn6lck1234545lk1i`f>?01216`d?23da?gjl89:;?0317f>dkc9:;<=<<5c9ahn6789:9?;l4bmi34567::=i7obd0123475?j2hgg=>?0100=g=edb:;<=>=3``8fim789:;>>lm;cnh45678;9hn6lck1234544lk1i`f>?01217`d?22da?gjl89:;?0367f>dkc9:;<=<;5c9ahn6789:98;l4bmi34567:==i7obd0123472?j2hgg=>?0107=g=edb:;<=>=4``8fim789:;>9lm;cnh45678;>hn6lck1234543lk1i`f>?01210`d?25da?gjl89:;=o5mlj234565=;h0nae?0123605e3kf`<=>?0377f>dkc9:;<=<:5c9ahn6789:99;l4bmi34567:<=i7obd0123473?j2hgg=>?0106=g=edb:;<=>=5``8fim789:;>8lm;cnh45678;?hn6lck1234542lk1i`f>?01211`d?24da?gjl89:;;h0nae?0123635e3kf`<=>?0347f>dkc9:;<=<95c9ahn6789:9:;l4bmi34567:?=i7obd0123470?j2hgg=>?0105=g=edb:;<=>=6``8fim789:;>;lm;cnh45678;?01212`d?27da?gjl89:;?0357f>dkc9:;<=<85c9ahn6789:9;;l4bmi34567:>=i7obd0123471?j2hgg=>?0104=g=edb:;<=>=7``8fim789:;>:lm;cnh45678;=hn6lck1234540lk1i`f>?01213`d?26da?gjl89:;?03:7f>dkc9:;<=<75c9ahn6789:94;l4bmi34567:1=i7obd012347>?j2hgg=>?010;=g=edb:;<=>=8``8fim789:;>5lm;cnh45678;2hn6lck123454?lk1i`f>?0121<`d?29da?gjl89:;?03;7f>dkc9:;<=<65c9ahn6789:95;l4bmi34567:0=i7obd012347??j2hgg=>?010:=g=edb:;<=>=9``8fim789:;>4lm;cnh45678;3hn6lck123454>lk1i`f>?0121=`d?28da?gjl89:;?03c7f>dkc9:;<=?010b=g=edb:;<=>=a``8fim789:;>llm;cnh45678;khn6lck123454flk1i`f>?0121e`d?2`da?gjl89:;?03`7f>dkc9:;<=?010a=g=edb:;<=>=b``8fim789:;>olm;cnh45678;hhn6lck123454elk1i`f>?0121f`d?2cda?gjl89:;?03a7f>dkc9:;<=?010`=g=edb:;<=>=c``8fim789:;>nlm;cnh45678;ihn6lck123454dlk1i`f>?0121g`d?2bda?gjl89:;?03f7f>dkc9:;<=?010g=g=edb:;<=>=d``8fim789:;>ilm;cnh45678;nhn6lck123454clk1i`f>?0121``d?2eda?gjl89:;?03g7f>dkc9:;<=?010f=g=edb:;<=>=e``8fim789:;>hlm;cnh45678;ohn6lck123454blk1i`f>?0121a`d?2dda?gjl89:;?03d7f>dkc9:;<=?010e=g=edb:;<=>=f``8fim789:;>klm;cnh45678;lhn6lck123454alk1i`f>?0121b`d?2gda?gjl89:;<>>?b:`oo567899;=o5mlj2345648;h0nae?0123755e3kf`<=>?0227f>dkc9:;<==?5c9ahn6789:8<;l4bmi34567;9=i7obd0123466?j2hgg=>?0113=g=edb:;<=><0``8fim789:;?=lm;cnh45678::hn6lck1234557lk1i`f>?01204`d?31da?gjl89:;<>??b:`oo567899:=o5mlj2345649;h0nae?0123745e3kf`<=>?0237f>dkc9:;<==>5c9ahn6789:8=;l4bmi34567;8=i7obd0123467?j2hgg=>?0112=g=edb:;<=><1``8fim789:;??01205`d?30da?gjl89:;<>?0207f>dkc9:;<===5c9ahn6789:8>;l4bmi34567;;=i7obd0123464?j2hgg=>?0111=g=edb:;<=><2``8fim789:;??lm;cnh45678:8hn6lck1234555lk1i`f>?01206`d?33da?gjl89:;<>=?b:`oo5678998=o5mlj234564;;h0nae?0123765e3kf`<=>?0217f>dkc9:;<==<5c9ahn6789:8?;l4bmi34567;:=i7obd0123465?j2hgg=>?0110=g=edb:;<=><3``8fim789:;?>lm;cnh45678:9hn6lck1234554lk1i`f>?01207`d?32da?gjl89:;<>:?b:`oo567899?=o5mlj234564<;h0nae?0123715e3kf`<=>?0267f>dkc9:;<==;5c9ahn6789:88;l4bmi34567;==i7obd0123462?j2hgg=>?0117=g=edb:;<=><4``8fim789:;?9lm;cnh45678:>hn6lck1234553lk1i`f>?01200`d?35da?gjl89:;<>;?b:`oo567899>=o5mlj234564=;h0nae?0123705e3kf`<=>?0277f>dkc9:;<==:5c9ahn6789:89;l4bmi34567;<=i7obd0123463?j2hgg=>?0116=g=edb:;<=><5``8fim789:;?8lm;cnh45678:?hn6lck1234552lk1i`f>?01201`d?34da?gjl89:;<>8?b:`oo567899==o5mlj234564>;h0nae?0123735e3kf`<=>?0247f>dkc9:;<==95c9ahn6789:8:;l4bmi34567;?=i7obd0123460?j2hgg=>?0115=g=edb:;<=><6``8fim789:;?;lm;cnh45678:?01202`d?37da?gjl89:;<>9?b:`oo567899<=o5mlj234564?;h0nae?0123725e3kf`<=>?0257f>dkc9:;<==85c9ahn6789:8;;l4bmi34567;>=i7obd0123461?j2hgg=>?0114=g=edb:;<=><7``8fim789:;?:lm;cnh45678:=hn6lck1234550lk1i`f>?01203`d?36da?gjl89:;<>6?b:`oo5678993=o5mlj2345640;h0nae?01237=5e3kf`<=>?02:7f>dkc9:;<==75c9ahn6789:84;l4bmi34567;1=i7obd012346>?j2hgg=>?011;=g=edb:;<=><8``8fim789:;?5lm;cnh45678:2hn6lck123455?lk1i`f>?0120<`d?39da?gjl89:;<>7?b:`oo5678992=o5mlj2345641;h0nae?01237<5e3kf`<=>?02;7f>dkc9:;<==65c9ahn6789:85;l4bmi34567;0=i7obd012346??j2hgg=>?011:=g=edb:;<=><9``8fim789:;?4lm;cnh45678:3hn6lck123455>lk1i`f>?0120=`d?38da?gjl89:;<>o?b:`oo567899j=o5mlj234564i;h0nae?01237d5e3kf`<=>?02c7f>dkc9:;<==n5c9ahn6789:8m;l4bmi34567;h=i7obd012346g?j2hgg=>?011b=g=edb:;<=>?0120e`d?3`da?gjl89:;<>l?b:`oo567899i=o5mlj234564j;h0nae?01237g5e3kf`<=>?02`7f>dkc9:;<==m5c9ahn6789:8n;l4bmi34567;k=i7obd012346d?j2hgg=>?011a=g=edb:;<=>?0120f`d?3cda?gjl89:;<>m?b:`oo567899h=o5mlj234564k;h0nae?01237f5e3kf`<=>?02a7f>dkc9:;<==l5c9ahn6789:8o;l4bmi34567;j=i7obd012346e?j2hgg=>?011`=g=edb:;<=>?0120g`d?3bda?gjl89:;<>j?b:`oo567899o=o5mlj234564l;h0nae?01237a5e3kf`<=>?02f7f>dkc9:;<==k5c9ahn6789:8h;l4bmi34567;m=i7obd012346b?j2hgg=>?011g=g=edb:;<=>?0120``d?3eda?gjl89:;<>k?b:`oo567899n=o5mlj234564m;h0nae?01237`5e3kf`<=>?02g7f>dkc9:;<==j5c9ahn6789:8i;l4bmi34567;l=i7obd012346c?j2hgg=>?011f=g=edb:;<=>?0120a`d?3dda?gjl89:;<>h?b:`oo567899m=o5mlj234564n;h0nae?01237c5e3kf`<=>?02d7f>dkc9:;<==i5c9ahn6789:8j;l4bmi34567;o=i7obd012346`?j2hgg=>?011e=g=edb:;<=>?0120b`d?3gda?gjl89:;<9>?b:`oo56789>;=o5mlj2345638;h0nae?0123055e3kf`<=>?0527f>dkc9:;<=:?5c9ahn6789:?<;l4bmi34567<9=i7obd0123416?j2hgg=>?0163=g=edb:;<=>;0``8fim789:;8=lm;cnh45678=:hn6lck1234527lk1i`f>?01274`d?41da?gjl89:;<9??b:`oo56789>:=o5mlj2345639;h0nae?0123045e3kf`<=>?0537f>dkc9:;<=:>5c9ahn6789:?=;l4bmi34567<8=i7obd0123417?j2hgg=>?0162=g=edb:;<=>;1``8fim789:;8?01275`d?40da?gjl89:;<99=o5mlj234563:;h0nae?0123075e3kf`<=>?0507f>dkc9:;<=:=5c9ahn6789:?>;l4bmi34567<;=i7obd0123414?j2hgg=>?0161=g=edb:;<=>;2``8fim789:;8?lm;cnh45678=8hn6lck1234525lk1i`f>?01276`d?43da?gjl89:;<9=?b:`oo56789>8=o5mlj234563;;h0nae?0123065e3kf`<=>?0517f>dkc9:;<=:<5c9ahn6789:??;l4bmi34567<:=i7obd0123415?j2hgg=>?0160=g=edb:;<=>;3``8fim789:;8>lm;cnh45678=9hn6lck1234524lk1i`f>?01277`d?42da?gjl89:;<9:?b:`oo56789>?=o5mlj234563<;h0nae?0123015e3kf`<=>?0567f>dkc9:;<=:;5c9ahn6789:?8;l4bmi34567<==i7obd0123412?j2hgg=>?0167=g=edb:;<=>;4``8fim789:;89lm;cnh45678=>hn6lck1234523lk1i`f>?01270`d?45da?gjl89:;<9;?b:`oo56789>>=o5mlj234563=;h0nae?0123005e3kf`<=>?0577f>dkc9:;<=::5c9ahn6789:?9;l4bmi34567<<=i7obd0123413?j2hgg=>?0166=g=edb:;<=>;5``8fim789:;88lm;cnh45678=?hn6lck1234522lk1i`f>?01271`d?44da?gjl89:;<98?b:`oo56789>==o5mlj234563>;h0nae?0123035e3kf`<=>?0547f>dkc9:;<=:95c9ahn6789:?:;l4bmi34567?0165=g=edb:;<=>;6``8fim789:;8;lm;cnh45678=?01272`d?47da?gjl89:;<99?b:`oo56789><=o5mlj234563?;h0nae?0123025e3kf`<=>?0557f>dkc9:;<=:85c9ahn6789:?;;l4bmi34567<>=i7obd0123411?j2hgg=>?0164=g=edb:;<=>;7``8fim789:;8:lm;cnh45678==hn6lck1234520lk1i`f>?01273`d?46da?gjl89:;<96?b:`oo56789>3=o5mlj2345630;h0nae?01230=5e3kf`<=>?05:7f>dkc9:;<=:75c9ahn6789:?4;l4bmi34567<1=i7obd012341>?j2hgg=>?016;=g=edb:;<=>;8``8fim789:;85lm;cnh45678=2hn6lck123452?lk1i`f>?0127<`d?49da?gjl89:;<97?b:`oo56789>2=o5mlj2345631;h0nae?01230<5e3kf`<=>?05;7f>dkc9:;<=:65c9ahn6789:?5;l4bmi34567<0=i7obd012341??j2hgg=>?016:=g=edb:;<=>;9``8fim789:;84lm;cnh45678=3hn6lck123452>lk1i`f>?0127=`d?48da?gjl89:;<9o?b:`oo56789>j=o5mlj234563i;h0nae?01230d5e3kf`<=>?05c7f>dkc9:;<=:n5c9ahn6789:?m;l4bmi34567?016b=g=edb:;<=>;a``8fim789:;8llm;cnh45678=khn6lck123452flk1i`f>?0127e`d?4`da?gjl89:;<9l?b:`oo56789>i=o5mlj234563j;h0nae?01230g5e3kf`<=>?05`7f>dkc9:;<=:m5c9ahn6789:?n;l4bmi34567?016a=g=edb:;<=>;b``8fim789:;8olm;cnh45678=hhn6lck123452elk1i`f>?0127f`d?4cda?gjl89:;<9m?b:`oo56789>h=o5mlj234563k;h0nae?01230f5e3kf`<=>?05a7f>dkc9:;<=:l5c9ahn6789:?o;l4bmi34567?016`=g=edb:;<=>;c``8fim789:;8nlm;cnh45678=ihn6lck123452dlk1i`f>?0127g`d?4bda?gjl89:;<9j?b:`oo56789>o=o5mlj234563l;h0nae?01230a5e3kf`<=>?05f7f>dkc9:;<=:k5c9ahn6789:?h;l4bmi34567?016g=g=edb:;<=>;d``8fim789:;8ilm;cnh45678=nhn6lck123452clk1i`f>?0127``d?4eda?gjl89:;<9k?b:`oo56789>n=o5mlj234563m;h0nae?01230`5e3kf`<=>?05g7f>dkc9:;<=:j5c9ahn6789:?i;l4bmi34567?016f=g=edb:;<=>;e``8fim789:;8hlm;cnh45678=ohn6lck123452blk1i`f>?0127a`d?4dda?gjl89:;<9h?b:`oo56789>m=o5mlj234563n;h0nae?01230c5e3kf`<=>?05d7f>dkc9:;<=:i5c9ahn6789:?j;l4bmi34567?016e=g=edb:;<=>;f``8fim789:;8klm;cnh45678=lhn6lck123452alk1i`f>?0127b`d?4gda?gjl89:;<8>?b:`oo56789?;=o5mlj2345628;h0nae?0123155e3kf`<=>?0427f>dkc9:;<=;?5c9ahn6789:><;l4bmi34567=9=i7obd0123406?j2hgg=>?0173=g=edb:;<=>:0``8fim789:;9=lm;cnh45678<:hn6lck1234537lk1i`f>?01264`d?51da?gjl89:;<8??b:`oo56789?:=o5mlj2345629;h0nae?0123145e3kf`<=>?0437f>dkc9:;<=;>5c9ahn6789:>=;l4bmi34567=8=i7obd0123407?j2hgg=>?0172=g=edb:;<=>:1``8fim789:;9?01265`d?50da?gjl89:;<8?0407f>dkc9:;<=;=5c9ahn6789:>>;l4bmi34567=;=i7obd0123404?j2hgg=>?0171=g=edb:;<=>:2``8fim789:;9?lm;cnh45678<8hn6lck1234535lk1i`f>?01266`d?53da?gjl89:;<8=?b:`oo56789?8=o5mlj234562;;h0nae?0123165e3kf`<=>?0417f>dkc9:;<=;<5c9ahn6789:>?;l4bmi34567=:=i7obd0123405?j2hgg=>?0170=g=edb:;<=>:3``8fim789:;9>lm;cnh45678<9hn6lck1234534lk1i`f>?01267`d?52da?gjl89:;<8:?b:`oo56789??=o5mlj234562<;h0nae?0123115e3kf`<=>?0467f>dkc9:;<=;;5c9ahn6789:>8;l4bmi34567===i7obd0123402?j2hgg=>?0177=g=edb:;<=>:4``8fim789:;99lm;cnh45678<>hn6lck1234533lk1i`f>?01260`d?55da?gjl89:;<8;?b:`oo56789?>=o5mlj234562=;h0nae?0123105e3kf`<=>?0477f>dkc9:;<=;:5c9ahn6789:>9;l4bmi34567=<=i7obd0123403?j2hgg=>?0176=g=edb:;<=>:5``8fim789:;98lm;cnh45678?01261`d?54da?gjl89:;<88?b:`oo56789?==o5mlj234562>;h0nae?0123135e3kf`<=>?0447f>dkc9:;<=;95c9ahn6789:>:;l4bmi34567=?=i7obd0123400?j2hgg=>?0175=g=edb:;<=>:6``8fim789:;9;lm;cnh45678<?01262`d?57da?gjl89:;<89?b:`oo56789?<=o5mlj234562?;h0nae?0123125e3kf`<=>?0457f>dkc9:;<=;85c9ahn6789:>;;l4bmi34567=>=i7obd0123401?j2hgg=>?0174=g=edb:;<=>:7``8fim789:;9:lm;cnh45678<=hn6lck1234530lk1i`f>?01263`d?56da?gjl89:;<86?b:`oo56789?3=o5mlj2345620;h0nae?01231=5e3kf`<=>?04:7f>dkc9:;<=;75c9ahn6789:>4;l4bmi34567=1=i7obd012340>?j2hgg=>?017;=g=edb:;<=>:8``8fim789:;95lm;cnh45678<2hn6lck123453?lk1i`f>?0126<`d?59da?gjl89:;<87?b:`oo56789?2=o5mlj2345621;h0nae?01231<5e3kf`<=>?04;7f>dkc9:;<=;65c9ahn6789:>5;l4bmi34567=0=i7obd012340??j2hgg=>?017:=g=edb:;<=>:9``8fim789:;94lm;cnh45678<3hn6lck123453>lk1i`f>?0126=`d?58da?gjl89:;<8o?b:`oo56789?j=o5mlj234562i;h0nae?01231d5e3kf`<=>?04c7f>dkc9:;<=;n5c9ahn6789:>m;l4bmi34567=h=i7obd012340g?j2hgg=>?017b=g=edb:;<=>:a``8fim789:;9llm;cnh45678?0126e`d?5`da?gjl89:;<8l?b:`oo56789?i=o5mlj234562j;h0nae?01231g5e3kf`<=>?04`7f>dkc9:;<=;m5c9ahn6789:>n;l4bmi34567=k=i7obd012340d?j2hgg=>?017a=g=edb:;<=>:b``8fim789:;9olm;cnh45678?0126f`d?5cda?gjl89:;<8m?b:`oo56789?h=o5mlj234562k;h0nae?01231f5e3kf`<=>?04a7f>dkc9:;<=;l5c9ahn6789:>o;l4bmi34567=j=i7obd012340e?j2hgg=>?017`=g=edb:;<=>:c``8fim789:;9nlm;cnh45678?0126g`d?5bda?gjl89:;<8j?b:`oo56789?o=o5mlj234562l;h0nae?01231a5e3kf`<=>?04f7f>dkc9:;<=;k5c9ahn6789:>h;l4bmi34567=m=i7obd012340b?j2hgg=>?017g=g=edb:;<=>:d``8fim789:;9ilm;cnh45678?0126``d?5eda?gjl89:;<8k?b:`oo56789?n=o5mlj234562m;h0nae?01231`5e3kf`<=>?04g7f>dkc9:;<=;j5c9ahn6789:>i;l4bmi34567=l=i7obd012340c?j2hgg=>?017f=g=edb:;<=>:e``8fim789:;9hlm;cnh45678?0126a`d?5dda?gjl89:;<8h?b:`oo56789?m=o5mlj234562n;h0nae?01231c5e3kf`<=>?04d7f>dkc9:;<=;i5c9ahn6789:>j;l4bmi34567=o=i7obd012340`?j2hgg=>?017e=g=edb:;<=>:f``8fim789:;9klm;cnh45678?0126b`d?5gda?gjl89:;<;>?b:`oo56789<;=o5mlj2345618;h0nae?0123255e3kf`<=>?0727f>dkc9:;<=8?5c9ahn6789:=<;l4bmi34567>9=i7obd0123436?j2hgg=>?0143=g=edb:;<=>90``8fim789:;:=lm;cnh45678?:hn6lck1234507lk1i`f>?01254`d?61da?gjl89:;<;??b:`oo56789<:=o5mlj2345619;h0nae?0123245e3kf`<=>?0737f>dkc9:;<=8>5c9ahn6789:==;l4bmi34567>8=i7obd0123437?j2hgg=>?0142=g=edb:;<=>91``8fim789:;:?01255`d?60da?gjl89:;<;?0707f>dkc9:;<=8=5c9ahn6789:=>;l4bmi34567>;=i7obd0123434?j2hgg=>?0141=g=edb:;<=>92``8fim789:;:?lm;cnh45678?8hn6lck1234505lk1i`f>?01256`d?63da?gjl89:;<;=?b:`oo56789<8=o5mlj234561;;h0nae?0123265e3kf`<=>?0717f>dkc9:;<=8<5c9ahn6789:=?;l4bmi34567>:=i7obd0123435?j2hgg=>?0140=g=edb:;<=>93``8fim789:;:>lm;cnh45678?9hn6lck1234504lk1i`f>?01257`d?62da?gjl89:;<;:?b:`oo56789?0767f>dkc9:;<=8;5c9ahn6789:=8;l4bmi34567>==i7obd0123432?j2hgg=>?0147=g=edb:;<=>94``8fim789:;:9lm;cnh45678?>hn6lck1234503lk1i`f>?01250`d?65da?gjl89:;<;;?b:`oo56789<>=o5mlj234561=;h0nae?0123205e3kf`<=>?0777f>dkc9:;<=8:5c9ahn6789:=9;l4bmi34567><=i7obd0123433?j2hgg=>?0146=g=edb:;<=>95``8fim789:;:8lm;cnh45678??hn6lck1234502lk1i`f>?01251`d?64da?gjl89:;<;8?b:`oo56789<==o5mlj234561>;h0nae?0123235e3kf`<=>?0747f>dkc9:;<=895c9ahn6789:=:;l4bmi34567>?=i7obd0123430?j2hgg=>?0145=g=edb:;<=>96``8fim789:;:;lm;cnh45678??01252`d?67da?gjl89:;<;9?b:`oo56789<<=o5mlj234561?;h0nae?0123225e3kf`<=>?0757f>dkc9:;<=885c9ahn6789:=;;l4bmi34567>>=i7obd0123431?j2hgg=>?0144=g=edb:;<=>97``8fim789:;::lm;cnh45678?=hn6lck1234500lk1i`f>?01253`d?66da?gjl89:;<;6?b:`oo56789<3=o5mlj2345610;h0nae?01232=5e3kf`<=>?07:7f>dkc9:;<=875c9ahn6789:=4;l4bmi34567>1=i7obd012343>?j2hgg=>?014;=g=edb:;<=>98``8fim789:;:5lm;cnh45678?2hn6lck123450?lk1i`f>?0125<`d?69da?gjl89:;<;7?b:`oo56789<2=o5mlj2345611;h0nae?01232<5e3kf`<=>?07;7f>dkc9:;<=865c9ahn6789:=5;l4bmi34567>0=i7obd012343??j2hgg=>?014:=g=edb:;<=>99``8fim789:;:4lm;cnh45678?3hn6lck123450>lk1i`f>?0125=`d?68da?gjl89:;<;o?b:`oo56789?07c7f>dkc9:;<=8n5c9ahn6789:=m;l4bmi34567>h=i7obd012343g?j2hgg=>?014b=g=edb:;<=>9a``8fim789:;:llm;cnh45678?khn6lck123450flk1i`f>?0125e`d?6`da?gjl89:;<;l?b:`oo56789?07`7f>dkc9:;<=8m5c9ahn6789:=n;l4bmi34567>k=i7obd012343d?j2hgg=>?014a=g=edb:;<=>9b``8fim789:;:olm;cnh45678?hhn6lck123450elk1i`f>?0125f`d?6cda?gjl89:;<;m?b:`oo56789?07a7f>dkc9:;<=8l5c9ahn6789:=o;l4bmi34567>j=i7obd012343e?j2hgg=>?014`=g=edb:;<=>9c``8fim789:;:nlm;cnh45678?ihn6lck123450dlk1i`f>?0125g`d?6bda?gjl89:;<;j?b:`oo56789?07f7f>dkc9:;<=8k5c9ahn6789:=h;l4bmi34567>m=i7obd012343b?j2hgg=>?014g=g=edb:;<=>9d``8fim789:;:ilm;cnh45678?nhn6lck123450clk1i`f>?0125``d?6eda?gjl89:;<;k?b:`oo56789?07g7f>dkc9:;<=8j5c9ahn6789:=i;l4bmi34567>l=i7obd012343c?j2hgg=>?014f=g=edb:;<=>9e``8fim789:;:hlm;cnh45678?ohn6lck123450blk1i`f>?0125a`d?6dda?gjl89:;<;h?b:`oo56789?07d7f>dkc9:;<=8i5c9ahn6789:=j;l4bmi34567>o=i7obd012343`?j2hgg=>?014e=g=edb:;<=>9f``8fim789:;:klm;cnh45678?lhn6lck123450alk1i`f>?0125b`d?6gda?gjl89:;<:>?b:`oo56789=;=o5mlj2345608;h0nae?0123355e3kf`<=>?0627f>dkc9:;<=9?5c9ahn6789:<<;l4bmi34567?9=i7obd0123426?j2hgg=>?0153=g=edb:;<=>80``8fim789:;;=lm;cnh45678>:hn6lck1234517lk1i`f>?01244`d?71da?gjl89:;<:??b:`oo56789=:=o5mlj2345609;h0nae?0123345e3kf`<=>?0637f>dkc9:;<=9>5c9ahn6789:<=;l4bmi34567?8=i7obd0123427?j2hgg=>?0152=g=edb:;<=>81``8fim789:;;;hn6lck1234516lk1i`f>?01245`d?70da?gjl89:;<:?0607f>dkc9:;<=9=5c9ahn6789:<>;l4bmi34567?;=i7obd0123424?j2hgg=>?0151=g=edb:;<=>82``8fim789:;;?lm;cnh45678>8hn6lck1234515lk1i`f>?01246`d?73da?gjl89:;<:=?b:`oo56789=8=o5mlj234560;;h0nae?0123365e3kf`<=>?0617f>dkc9:;<=9<5c9ahn6789:?0150=g=edb:;<=>83``8fim789:;;>lm;cnh45678>9hn6lck1234514lk1i`f>?01247`d?72da?gjl89:;<::?b:`oo56789=?=o5mlj234560<;h0nae?0123315e3kf`<=>?0667f>dkc9:;<=9;5c9ahn6789:<8;l4bmi34567?==i7obd0123422?j2hgg=>?0157=g=edb:;<=>84``8fim789:;;9lm;cnh45678>>hn6lck1234513lk1i`f>?01240`d?75da?gjl89:;<:;?b:`oo56789=>=o5mlj234560=;h0nae?0123305e3kf`<=>?0677f>dkc9:;<=9:5c9ahn6789:<9;l4bmi34567?<=i7obd0123423?j2hgg=>?0156=g=edb:;<=>85``8fim789:;;8lm;cnh45678>?hn6lck1234512lk1i`f>?01241`d?74da?gjl89:;<:8?b:`oo56789===o5mlj234560>;h0nae?0123335e3kf`<=>?0647f>dkc9:;<=995c9ahn6789:<:;l4bmi34567??=i7obd0123420?j2hgg=>?0155=g=edb:;<=>86``8fim789:;;;lm;cnh45678>?01242`d?77da?gjl89:;<:9?b:`oo56789=<=o5mlj234560?;h0nae?0123325e3kf`<=>?0657f>dkc9:;<=985c9ahn6789:<;;l4bmi34567?>=i7obd0123421?j2hgg=>?0154=g=edb:;<=>87``8fim789:;;:lm;cnh45678>=hn6lck1234510lk1i`f>?01243`d?76da?gjl89:;<:6?b:`oo56789=3=o5mlj2345600;h0nae?01233=5e3kf`<=>?06:7f>dkc9:;<=975c9ahn6789:<4;l4bmi34567?1=i7obd012342>?j2hgg=>?015;=g=edb:;<=>88``8fim789:;;5lm;cnh45678>2hn6lck123451?lk1i`f>?0124<`d?79da?gjl89:;<:7?b:`oo56789=2=o5mlj2345601;h0nae?01233<5e3kf`<=>?06;7f>dkc9:;<=965c9ahn6789:<5;l4bmi34567?0=i7obd012342??j2hgg=>?015:=g=edb:;<=>89``8fim789:;;4lm;cnh45678>3hn6lck123451>lk1i`f>?0124=`d?78da?gjl89:;<:o?b:`oo56789=j=o5mlj234560i;h0nae?01233d5e3kf`<=>?06c7f>dkc9:;<=9n5c9ahn6789:?015b=g=edb:;<=>8a``8fim789:;;llm;cnh45678>khn6lck123451flk1i`f>?0124e`d?7`da?gjl89:;<:l?b:`oo56789=i=o5mlj234560j;h0nae?01233g5e3kf`<=>?06`7f>dkc9:;<=9m5c9ahn6789:?015a=g=edb:;<=>8b``8fim789:;;olm;cnh45678>hhn6lck123451elk1i`f>?0124f`d?7cda?gjl89:;<:m?b:`oo56789=h=o5mlj234560k;h0nae?01233f5e3kf`<=>?06a7f>dkc9:;<=9l5c9ahn6789:?015`=g=edb:;<=>8c``8fim789:;;nlm;cnh45678>ihn6lck123451dlk1i`f>?0124g`d?7bda?gjl89:;<:j?b:`oo56789=o=o5mlj234560l;h0nae?01233a5e3kf`<=>?06f7f>dkc9:;<=9k5c9ahn6789:?015g=g=edb:;<=>8d``8fim789:;;ilm;cnh45678>nhn6lck123451clk1i`f>?0124``d?7eda?gjl89:;<:k?b:`oo56789=n=o5mlj234560m;h0nae?01233`5e3kf`<=>?06g7f>dkc9:;<=9j5c9ahn6789:?015f=g=edb:;<=>8e``8fim789:;;hlm;cnh45678>ohn6lck123451blk1i`f>?0124a`d?7dda?gjl89:;<:h?b:`oo56789=m=o5mlj234560n;h0nae?01233c5e3kf`<=>?06d7f>dkc9:;<=9i5c9ahn6789:?015e=g=edb:;<=>8f``8fim789:;;klm;cnh45678>lhn6lck123451alk1i`f>?0124b`d?7gda?gjl89:;<5>?b:`oo567892;=o5mlj23456?8;h0nae?0123<55e3kf`<=>?0927f>dkc9:;<=6?5c9ahn6789:3<;l4bmi3456709=i7obd01234=6?j2hgg=>?01:3=g=edb:;<=>70``8fim789:;4=lm;cnh456781:hn6lck12345>7lk1i`f>?012;4`d?81da?gjl89:;<5??b:`oo567892:=o5mlj23456?9;h0nae?0123<45e3kf`<=>?0937f>dkc9:;<=6>5c9ahn6789:3=;l4bmi3456708=i7obd01234=7?j2hgg=>?01:2=g=edb:;<=>71``8fim789:;46lk1i`f>?012;5`d?80da?gjl89:;<5?0907f>dkc9:;<=6=5c9ahn6789:3>;l4bmi345670;=i7obd01234=4?j2hgg=>?01:1=g=edb:;<=>72``8fim789:;4?lm;cnh4567818hn6lck12345>5lk1i`f>?012;6`d?83da?gjl89:;<5=?b:`oo5678928=o5mlj23456?;;h0nae?0123<65e3kf`<=>?0917f>dkc9:;<=6<5c9ahn6789:3?;l4bmi345670:=i7obd01234=5?j2hgg=>?01:0=g=edb:;<=>73``8fim789:;4>lm;cnh4567819hn6lck12345>4lk1i`f>?012;7`d?82da?gjl89:;<5:?b:`oo567892?=o5mlj23456?<;h0nae?0123<15e3kf`<=>?0967f>dkc9:;<=6;5c9ahn6789:38;l4bmi345670==i7obd01234=2?j2hgg=>?01:7=g=edb:;<=>74``8fim789:;49lm;cnh456781>hn6lck12345>3lk1i`f>?012;0`d?85da?gjl89:;<5;?b:`oo567892>=o5mlj23456?=;h0nae?0123<05e3kf`<=>?0977f>dkc9:;<=6:5c9ahn6789:39;l4bmi345670<=i7obd01234=3?j2hgg=>?01:6=g=edb:;<=>75``8fim789:;48lm;cnh456781?hn6lck12345>2lk1i`f>?012;1`d?84da?gjl89:;<58?b:`oo567892==o5mlj23456?>;h0nae?0123<35e3kf`<=>?0947f>dkc9:;<=695c9ahn6789:3:;l4bmi345670?=i7obd01234=0?j2hgg=>?01:5=g=edb:;<=>76``8fim789:;4;lm;cnh4567811lk1i`f>?012;2`d?87da?gjl89:;<59?b:`oo567892<=o5mlj23456??;h0nae?0123<25e3kf`<=>?0957f>dkc9:;<=685c9ahn6789:3;;l4bmi345670>=i7obd01234=1?j2hgg=>?01:4=g=edb:;<=>77``8fim789:;4:lm;cnh456781=hn6lck12345>0lk1i`f>?012;3`d?86da?gjl89:;<56?b:`oo5678923=o5mlj23456?0;h0nae?0123<=5e3kf`<=>?09:7f>dkc9:;<=675c9ahn6789:34;l4bmi3456701=i7obd01234=>?j2hgg=>?01:;=g=edb:;<=>78``8fim789:;45lm;cnh4567812hn6lck12345>?lk1i`f>?012;<`d?89da?gjl89:;<57?b:`oo5678922=o5mlj23456?1;h0nae?0123<<5e3kf`<=>?09;7f>dkc9:;<=665c9ahn6789:35;l4bmi3456700=i7obd01234=??j2hgg=>?01::=g=edb:;<=>79``8fim789:;44lm;cnh4567813hn6lck12345>>lk1i`f>?012;=`d?88da?gjl89:;<5o?b:`oo567892j=o5mlj23456?i;h0nae?0123?09c7f>dkc9:;<=6n5c9ahn6789:3m;l4bmi345670h=i7obd01234=g?j2hgg=>?01:b=g=edb:;<=>7a``8fim789:;4llm;cnh456781khn6lck12345>flk1i`f>?012;e`d?8`da?gjl89:;<5l?b:`oo567892i=o5mlj23456?j;h0nae?0123?09`7f>dkc9:;<=6m5c9ahn6789:3n;l4bmi345670k=i7obd01234=d?j2hgg=>?01:a=g=edb:;<=>7b``8fim789:;4olm;cnh456781hhn6lck12345>elk1i`f>?012;f`d?8cda?gjl89:;<5m?b:`oo567892h=o5mlj23456?k;h0nae?0123?09a7f>dkc9:;<=6l5c9ahn6789:3o;l4bmi345670j=i7obd01234=e?j2hgg=>?01:`=g=edb:;<=>7c``8fim789:;4nlm;cnh456781ihn6lck12345>dlk1i`f>?012;g`d?8bda?gjl89:;<5j?b:`oo567892o=o5mlj23456?l;h0nae?0123?09f7f>dkc9:;<=6k5c9ahn6789:3h;l4bmi345670m=i7obd01234=b?j2hgg=>?01:g=g=edb:;<=>7d``8fim789:;4ilm;cnh456781nhn6lck12345>clk1i`f>?012;``d?8eda?gjl89:;<5k?b:`oo567892n=o5mlj23456?m;h0nae?0123<`5e3kf`<=>?09g7f>dkc9:;<=6j5c9ahn6789:3i;l4bmi345670l=i7obd01234=c?j2hgg=>?01:f=g=edb:;<=>7e``8fim789:;4hlm;cnh456781ohn6lck12345>blk1i`f>?012;a`d?8dda?gjl89:;<5h?b:`oo567892m=o5mlj23456?n;h0nae?0123?09d7f>dkc9:;<=6i5c9ahn6789:3j;l4bmi345670o=i7obd01234=`?j2hgg=>?01:e=g=edb:;<=>7f``8fim789:;4klm;cnh456781lhn6lck12345>alk1i`f>?012;b`d?8gda?gjl89:;<4>?b:`oo567893;=o5mlj23456>8;h0nae?0123=55e3kf`<=>?0827f>dkc9:;<=7?5c9ahn6789:2<;l4bmi3456719=i7obd01234<6?j2hgg=>?01;3=g=edb:;<=>60``8fim789:;5=lm;cnh456780:hn6lck12345?7lk1i`f>?012:4`d?91da?gjl89:;<4??b:`oo567893:=o5mlj23456>9;h0nae?0123=45e3kf`<=>?0837f>dkc9:;<=7>5c9ahn6789:2=;l4bmi3456718=i7obd01234<7?j2hgg=>?01;2=g=edb:;<=>61``8fim789:;5?012:5`d?90da?gjl89:;<4:;h0nae?0123=75e3kf`<=>?0807f>dkc9:;<=7=5c9ahn6789:2>;l4bmi345671;=i7obd01234<4?j2hgg=>?01;1=g=edb:;<=>62``8fim789:;5?lm;cnh4567808hn6lck12345?5lk1i`f>?012:6`d?93da?gjl89:;<4=?b:`oo5678938=o5mlj23456>;;h0nae?0123=65e3kf`<=>?0817f>dkc9:;<=7<5c9ahn6789:2?;l4bmi345671:=i7obd01234<5?j2hgg=>?01;0=g=edb:;<=>63``8fim789:;5>lm;cnh4567809hn6lck12345?4lk1i`f>?012:7`d?92da?gjl89:;<4:?b:`oo567893?=o5mlj23456><;h0nae?0123=15e3kf`<=>?0867f>dkc9:;<=7;5c9ahn6789:28;l4bmi345671==i7obd01234<2?j2hgg=>?01;7=g=edb:;<=>64``8fim789:;59lm;cnh456780>hn6lck12345?3lk1i`f>?012:0`d?95da?gjl89:;<4;?b:`oo567893>=o5mlj23456>=;h0nae?0123=05e3kf`<=>?0877f>dkc9:;<=7:5c9ahn6789:29;l4bmi345671<=i7obd01234<3?j2hgg=>?01;6=g=edb:;<=>65``8fim789:;58lm;cnh456780?hn6lck12345?2lk1i`f>?012:1`d?94da?gjl89:;<48?b:`oo567893==o5mlj23456>>;h0nae?0123=35e3kf`<=>?0847f>dkc9:;<=795c9ahn6789:2:;l4bmi345671?=i7obd01234<0?j2hgg=>?01;5=g=edb:;<=>66``8fim789:;5;lm;cnh456780?012:2`d?97da?gjl89:;<49?b:`oo567893<=o5mlj23456>?;h0nae?0123=25e3kf`<=>?0857f>dkc9:;<=785c9ahn6789:2;;l4bmi345671>=i7obd01234<1?j2hgg=>?01;4=g=edb:;<=>67``8fim789:;5:lm;cnh456780=hn6lck12345?0lk1i`f>?012:3`d?96da?gjl89:;<46?b:`oo5678933=o5mlj23456>0;h0nae?0123==5e3kf`<=>?08:7f>dkc9:;<=775c9ahn6789:24;l4bmi3456711=i7obd01234<>?j2hgg=>?01;;=g=edb:;<=>68``8fim789:;55lm;cnh4567802hn6lck12345??lk1i`f>?012:<`d?99da?gjl89:;<47?b:`oo5678932=o5mlj23456>1;h0nae?0123=<5e3kf`<=>?08;7f>dkc9:;<=765c9ahn6789:25;l4bmi3456710=i7obd01234?01;:=g=edb:;<=>69``8fim789:;54lm;cnh4567803hn6lck12345?>lk1i`f>?012:=`d?98da?gjl89:;<4o?b:`oo567893j=o5mlj23456>i;h0nae?0123=d5e3kf`<=>?08c7f>dkc9:;<=7n5c9ahn6789:2m;l4bmi345671h=i7obd01234?01;b=g=edb:;<=>6a``8fim789:;5llm;cnh456780khn6lck12345?flk1i`f>?012:e`d?9`da?gjl89:;<4l?b:`oo567893i=o5mlj23456>j;h0nae?0123=g5e3kf`<=>?08`7f>dkc9:;<=7m5c9ahn6789:2n;l4bmi345671k=i7obd01234?01;a=g=edb:;<=>6b``8fim789:;5olm;cnh456780hhn6lck12345?elk1i`f>?012:f`d?9cda?gjl89:;<4m?b:`oo567893h=o5mlj23456>k;h0nae?0123=f5e3kf`<=>?08a7f>dkc9:;<=7l5c9ahn6789:2o;l4bmi345671j=i7obd01234?01;`=g=edb:;<=>6c``8fim789:;5nlm;cnh456780ihn6lck12345?dlk1i`f>?012:g`d?9bda?gjl89:;<4j?b:`oo567893o=o5mlj23456>l;h0nae?0123=a5e3kf`<=>?08f7f>dkc9:;<=7k5c9ahn6789:2h;l4bmi345671m=i7obd01234?01;g=g=edb:;<=>6d``8fim789:;5ilm;cnh456780nhn6lck12345?clk1i`f>?012:``d?9eda?gjl89:;<4k?b:`oo567893n=o5mlj23456>m;h0nae?0123=`5e3kf`<=>?08g7f>dkc9:;<=7j5c9ahn6789:2i;l4bmi345671l=i7obd01234?01;f=g=edb:;<=>6e``8fim789:;5hlm;cnh456780ohn6lck12345?blk1i`f>?012:a`d?9dda?gjl89:;<4h?b:`oo567893m=o5mlj23456>n;h0nae?0123=c5e3kf`<=>?08d7f>dkc9:;<=7i5c9ahn6789:2j;l4bmi345671o=i7obd01234<`?j2hgg=>?01;e=g=edb:;<=>6f``8fim789:;5klm;cnh456780lhn6lck12345?alk1i`f>?012:b`d?9gda?gjl89:;?b:`oo56789k;=o5mlj23456f8;h0nae?0123e55e3kf`<=>?0`27f>dkc9:;<=o?5c9ahn6789:j<;l4bmi34567i9=i7obd01234d6?j2hgg=>?01c3=g=edb:;<=>n0``8fim789:;m=lm;cnh45678h:hn6lck12345g7lk1i`f>?012b4`d?a1da?gjl89:;?0`37f>dkc9:;<=o>5c9ahn6789:j=;l4bmi34567i8=i7obd01234d7?j2hgg=>?01c2=g=edb:;<=>n1``8fim789:;m?012b5`d?a0da?gjl89:;?0`07f>dkc9:;<=o=5c9ahn6789:j>;l4bmi34567i;=i7obd01234d4?j2hgg=>?01c1=g=edb:;<=>n2``8fim789:;m?lm;cnh45678h8hn6lck12345g5lk1i`f>?012b6`d?a3da?gjl89:;?0`17f>dkc9:;<=o<5c9ahn6789:j?;l4bmi34567i:=i7obd01234d5?j2hgg=>?01c0=g=edb:;<=>n3``8fim789:;m>lm;cnh45678h9hn6lck12345g4lk1i`f>?012b7`d?a2da?gjl89:;?0`67f>dkc9:;<=o;5c9ahn6789:j8;l4bmi34567i==i7obd01234d2?j2hgg=>?01c7=g=edb:;<=>n4``8fim789:;m9lm;cnh45678h>hn6lck12345g3lk1i`f>?012b0`d?a5da?gjl89:;=o5mlj23456f=;h0nae?0123e05e3kf`<=>?0`77f>dkc9:;<=o:5c9ahn6789:j9;l4bmi34567i<=i7obd01234d3?j2hgg=>?01c6=g=edb:;<=>n5``8fim789:;m8lm;cnh45678h?hn6lck12345g2lk1i`f>?012b1`d?a4da?gjl89:;;h0nae?0123e35e3kf`<=>?0`47f>dkc9:;<=o95c9ahn6789:j:;l4bmi34567i?=i7obd01234d0?j2hgg=>?01c5=g=edb:;<=>n6``8fim789:;m;lm;cnh45678h?012b2`d?a7da?gjl89:;?0`57f>dkc9:;<=o85c9ahn6789:j;;l4bmi34567i>=i7obd01234d1?j2hgg=>?01c4=g=edb:;<=>n7``8fim789:;m:lm;cnh45678h=hn6lck12345g0lk1i`f>?012b3`d?a6da?gjl89:;?0`:7f>dkc9:;<=o75c9ahn6789:j4;l4bmi34567i1=i7obd01234d>?j2hgg=>?01c;=g=edb:;<=>n8``8fim789:;m5lm;cnh45678h2hn6lck12345g?lk1i`f>?012b<`d?a9da?gjl89:;?0`;7f>dkc9:;<=o65c9ahn6789:j5;l4bmi34567i0=i7obd01234d??j2hgg=>?01c:=g=edb:;<=>n9``8fim789:;m4lm;cnh45678h3hn6lck12345g>lk1i`f>?012b=`d?a8da?gjl89:;?0`c7f>dkc9:;<=on5c9ahn6789:jm;l4bmi34567ih=i7obd01234dg?j2hgg=>?01cb=g=edb:;<=>na``8fim789:;mllm;cnh45678hkhn6lck12345gflk1i`f>?012be`d?a`da?gjl89:;?0``7f>dkc9:;<=om5c9ahn6789:jn;l4bmi34567ik=i7obd01234dd?j2hgg=>?01ca=g=edb:;<=>nb``8fim789:;molm;cnh45678hhhn6lck12345gelk1i`f>?012bf`d?acda?gjl89:;?0`a7f>dkc9:;<=ol5c9ahn6789:jo;l4bmi34567ij=i7obd01234de?j2hgg=>?01c`=g=edb:;<=>nc``8fim789:;mnlm;cnh45678hihn6lck12345gdlk1i`f>?012bg`d?abda?gjl89:;?0`f7f>dkc9:;<=ok5c9ahn6789:jh;l4bmi34567im=i7obd01234db?j2hgg=>?01cg=g=edb:;<=>nd``8fim789:;milm;cnh45678hnhn6lck12345gclk1i`f>?012b``d?aeda?gjl89:;?0`g7f>dkc9:;<=oj5c9ahn6789:ji;l4bmi34567il=i7obd01234dc?j2hgg=>?01cf=g=edb:;<=>ne``8fim789:;mhlm;cnh45678hohn6lck12345gblk1i`f>?012ba`d?adda?gjl89:;?0`d7f>dkc9:;<=oi5c9ahn6789:jj;l4bmi34567io=i7obd01234d`?j2hgg=>?01ce=g=edb:;<=>nf``8fim789:;mklm;cnh45678hlhn6lck12345galk1i`f>?012bb`d?agda?gjl89:;?b:`oo56789h;=o5mlj23456e8;h0nae?0123f55e3kf`<=>?0c27f>dkc9:;<=l?5c9ahn6789:i<;l4bmi34567j9=i7obd01234g6?j2hgg=>?01`3=g=edb:;<=>m0``8fim789:;n=lm;cnh45678k:hn6lck12345d7lk1i`f>?012a4`d?b1da?gjl89:;?0c37f>dkc9:;<=l>5c9ahn6789:i=;l4bmi34567j8=i7obd01234g7?j2hgg=>?01`2=g=edb:;<=>m1``8fim789:;n?012a5`d?b0da?gjl89:;?0c07f>dkc9:;<=l=5c9ahn6789:i>;l4bmi34567j;=i7obd01234g4?j2hgg=>?01`1=g=edb:;<=>m2``8fim789:;n?lm;cnh45678k8hn6lck12345d5lk1i`f>?012a6`d?b3da?gjl89:;?0c17f>dkc9:;<=l<5c9ahn6789:i?;l4bmi34567j:=i7obd01234g5?j2hgg=>?01`0=g=edb:;<=>m3``8fim789:;n>lm;cnh45678k9hn6lck12345d4lk1i`f>?012a7`d?b2da?gjl89:;?0c67f>dkc9:;<=l;5c9ahn6789:i8;l4bmi34567j==i7obd01234g2?j2hgg=>?01`7=g=edb:;<=>m4``8fim789:;n9lm;cnh45678k>hn6lck12345d3lk1i`f>?012a0`d?b5da?gjl89:;=o5mlj23456e=;h0nae?0123f05e3kf`<=>?0c77f>dkc9:;<=l:5c9ahn6789:i9;l4bmi34567j<=i7obd01234g3?j2hgg=>?01`6=g=edb:;<=>m5``8fim789:;n8lm;cnh45678k?hn6lck12345d2lk1i`f>?012a1`d?b4da?gjl89:;;h0nae?0123f35e3kf`<=>?0c47f>dkc9:;<=l95c9ahn6789:i:;l4bmi34567j?=i7obd01234g0?j2hgg=>?01`5=g=edb:;<=>m6``8fim789:;n;lm;cnh45678k?012a2`d?b7da?gjl89:;?0c57f>dkc9:;<=l85c9ahn6789:i;;l4bmi34567j>=i7obd01234g1?j2hgg=>?01`4=g=edb:;<=>m7``8fim789:;n:lm;cnh45678k=hn6lck12345d0lk1i`f>?012a3`d?b6da?gjl89:;?0c:7f>dkc9:;<=l75c9ahn6789:i4;l4bmi34567j1=i7obd01234g>?j2hgg=>?01`;=g=edb:;<=>m8``8fim789:;n5lm;cnh45678k2hn6lck12345d?lk1i`f>?012a<`d?b9da?gjl89:;?0c;7f>dkc9:;<=l65c9ahn6789:i5;l4bmi34567j0=i7obd01234g??j2hgg=>?01`:=g=edb:;<=>m9``8fim789:;n4lm;cnh45678k3hn6lck12345d>lk1i`f>?012a=`d?b8da?gjl89:;?0cc7f>dkc9:;<=ln5c9ahn6789:im;l4bmi34567jh=i7obd01234gg?j2hgg=>?01`b=g=edb:;<=>ma``8fim789:;nllm;cnh45678kkhn6lck12345dflk1i`f>?012ae`d?b`da?gjl89:;?0c`7f>dkc9:;<=lm5c9ahn6789:in;l4bmi34567jk=i7obd01234gd?j2hgg=>?01`a=g=edb:;<=>mb``8fim789:;nolm;cnh45678khhn6lck12345delk1i`f>?012af`d?bcda?gjl89:;?0ca7f>dkc9:;<=ll5c9ahn6789:io;l4bmi34567jj=i7obd01234ge?j2hgg=>?01``=g=edb:;<=>mc``8fim789:;nnlm;cnh45678kihn6lck12345ddlk1i`f>?012ag`d?bbda?gjl89:;?0cf7f>dkc9:;<=lk5c9ahn6789:ih;l4bmi34567jm=i7obd01234gb?j2hgg=>?01`g=g=edb:;<=>md``8fim789:;nilm;cnh45678knhn6lck12345dclk1i`f>?012a``d?beda?gjl89:;?0cg7f>dkc9:;<=lj5c9ahn6789:ii;l4bmi34567jl=i7obd01234gc?j2hgg=>?01`f=g=edb:;<=>me``8fim789:;nhlm;cnh45678kohn6lck12345dblk1i`f>?012aa`d?bdda?gjl89:;?0cd7f>dkc9:;<=li5c9ahn6789:ij;l4bmi34567jo=i7obd01234g`?j2hgg=>?01`e=g=edb:;<=>mf``8fim789:;nklm;cnh45678klhn6lck12345dalk1i`f>?012ab`d?bgda?gjl89:;?b:`oo56789i;=o5mlj23456d8;h0nae?0123g55e3kf`<=>?0b27f>dkc9:;<=m?5c9ahn6789:h<;l4bmi34567k9=i7obd01234f6?j2hgg=>?01a3=g=edb:;<=>l0``8fim789:;o=lm;cnh45678j:hn6lck12345e7lk1i`f>?012`4`d?c1da?gjl89:;?0b37f>dkc9:;<=m>5c9ahn6789:h=;l4bmi34567k8=i7obd01234f7?j2hgg=>?01a2=g=edb:;<=>l1``8fim789:;o?012`5`d?c0da?gjl89:;?0b07f>dkc9:;<=m=5c9ahn6789:h>;l4bmi34567k;=i7obd01234f4?j2hgg=>?01a1=g=edb:;<=>l2``8fim789:;o?lm;cnh45678j8hn6lck12345e5lk1i`f>?012`6`d?c3da?gjl89:;?0b17f>dkc9:;<=m<5c9ahn6789:h?;l4bmi34567k:=i7obd01234f5?j2hgg=>?01a0=g=edb:;<=>l3``8fim789:;o>lm;cnh45678j9hn6lck12345e4lk1i`f>?012`7`d?c2da?gjl89:;?0b67f>dkc9:;<=m;5c9ahn6789:h8;l4bmi34567k==i7obd01234f2?j2hgg=>?01a7=g=edb:;<=>l4``8fim789:;o9lm;cnh45678j>hn6lck12345e3lk1i`f>?012`0`d?c5da?gjl89:;=o5mlj23456d=;h0nae?0123g05e3kf`<=>?0b77f>dkc9:;<=m:5c9ahn6789:h9;l4bmi34567k<=i7obd01234f3?j2hgg=>?01a6=g=edb:;<=>l5``8fim789:;o8lm;cnh45678j?hn6lck12345e2lk1i`f>?012`1`d?c4da?gjl89:;;h0nae?0123g35e3kf`<=>?0b47f>dkc9:;<=m95c9ahn6789:h:;l4bmi34567k?=i7obd01234f0?j2hgg=>?01a5=g=edb:;<=>l6``8fim789:;o;lm;cnh45678j?012`2`d?c7da?gjl89:;?0b57f>dkc9:;<=m85c9ahn6789:h;;l4bmi34567k>=i7obd01234f1?j2hgg=>?01a4=g=edb:;<=>l7``8fim789:;o:lm;cnh45678j=hn6lck12345e0lk1i`f>?012`3`d?c6da?gjl89:;?0b:7f>dkc9:;<=m75c9ahn6789:h4;l4bmi34567k1=i7obd01234f>?j2hgg=>?01a;=g=edb:;<=>l8``8fim789:;o5lm;cnh45678j2hn6lck12345e?lk1i`f>?012`<`d?c9da?gjl89:;?0b;7f>dkc9:;<=m65c9ahn6789:h5;l4bmi34567k0=i7obd01234f??j2hgg=>?01a:=g=edb:;<=>l9``8fim789:;o4lm;cnh45678j3hn6lck12345e>lk1i`f>?012`=`d?c8da?gjl89:;?0bc7f>dkc9:;<=mn5c9ahn6789:hm;l4bmi34567kh=i7obd01234fg?j2hgg=>?01ab=g=edb:;<=>la``8fim789:;ollm;cnh45678jkhn6lck12345eflk1i`f>?012`e`d?c`da?gjl89:;?0b`7f>dkc9:;<=mm5c9ahn6789:hn;l4bmi34567kk=i7obd01234fd?j2hgg=>?01aa=g=edb:;<=>lb``8fim789:;oolm;cnh45678jhhn6lck12345eelk1i`f>?012`f`d?ccda?gjl89:;?0ba76>ebi2icmcQfn)2*g>eoigUbb1>50?`8gmgiWf$='k;bjbjZir|5:1<3=4cmia?fibnVnbb%>&b:alacYcag":%n5lodd\`lh/99#h7najf^fjj-76!j1hchhPdhl+57/d3jenjRjfn)30-f=dgllThd`'15+`?fibnVnbb%?:)b9`k``Xl`d#=;'l;bmfbZbnf!;<%o5lodd\`lh/: h0obki_ekm,6/e3jenjRjfn)6*f>ehmoUoec&:)c9`k``Xl`d#:$l4cnge[aoi >#i7najf^fjj->.j2idikQkio*:-g=dgllThd`30?a8gjcaWmce0<>1c:alacYcag6:=3m4cnge[aoi4885o6m`eg]gmk:6;7i0obki_ekm8429k2idikQkio>21;eehmoUoec2>7;2=g>ehmoUoec2>7?`8gjcaWmce0<0m;bmfbZbnf585n6m`eg]gmk:46k1hchhPdhl?0;d)69gmk.68 =0hd`'10+4?aoi 88";6jfn)30-2=cag":8$94dhl+50/03mce$<8&7:fjj-70!>1oec&>8(58`lh/90#=7iga(3+4?aoi ;:";6jfn)02-2=cag"9>$94dhl+66/13mce$>'9;ekm,1/13mce$8'9;ekm,3/13mce$:'9;ekm,=/13mce$4'6;ekm875=8720hd`P1)2*<>bnfV;#=$74dhl\5-77!01oecQ>(03*=>bnfV;#=?'6;ekm[4.6; 30hd`P1)37-<=cagU:$<;&9:fjjZ7/9?#27iga_0*23,?3mceS<&=3(:8`lhX9!9"46jfn^3+0,> 20hd`P1)5*<>bnfV;#4$64dhl\5-?.12nbbR??(1+:?aoiW8:#=$o4dhl\55.68 k0hd`P11*25,g2(c8`lhX99":?$o4dhl\55.6< k0hd`P11*21,g6(c8`lhX99":;$o4dhl\55.60 k0hd`P11*2=,?0)01-d=cagU:<%<<)89gmkY68!9"56jfn^33,1/>3mceS<>'5(;8`lhX99"=%45kio]24-1.12nbbR??(9+:?aoiW8:#5$m4dhl\55:5;3:556jfn^32,5/>3mceS3(c8`lhX98":8$o4dhl\54.6= k0hd`P10*22,g7(c8`lhX98":4$o4dhl\54.61 30hd`P10*1-d=cagU:=%1)00-<=cagU:=%=&9:fjjZ76 =#27iga_03+1,?3mceS19:fjjZ75 9#27iga_00+5,g0(c8`lhX9;":=$o4dhl\57.6: k0hd`P13*27,g4(c8`lhX9;":9$o4dhl\57.6> k0hd`P13*23,g8(c8`lhX9;":5$74dhl\57.5!h1oecQ>2)03-d=cagU:>%<>)`9gmkY6:!89%l5kio]26-44!01oecQ>2)1*=>bnfV;9$9'6;ekm[44/= 30hd`P13*5-<=cagU:>%9&9:fjjZ75 1#27iga_00+=,ebnfV;8$='6;ekm[45/9 k0hd`P12*24,g&>1(c8`lhX9:":>$o4dhl\56.6; k0hd`P12*20,g&>5(c8`lhX9:"::$o4dhl\56.6? k0hd`P12*2<,g&>9(;8`lhX9:"9%l5kio]27-47!h1oecQ>3)02-d=cagU:?%<=)`9gmkY6;!88%45kio]27-5.12nbbR?<(5+:?aoiW89#9$74dhl\56.1!01oecQ>3)5*=>bnfV;8$5'6;ekm[45/1 i0hd`P12>17?6912nbbR?;(1+:?aoiW8>#=$o4dhl\51.68 k0hd`P15*25,g2(c8`lhX9=":?$o4dhl\51.6< k0hd`P15*21,g6(c8`lhX9=":;$o4dhl\51.60 k0hd`P15*2=,?4)01-d=cagU:8%<<)89gmkY63mceS<:'5(;8`lhX9="=%45kio]20-1.12nbbR?;(9+:?aoiW8>#5$m4dhl\51:5;3:556jfn^36,5/>3mceS<;'1(c8`lhX9<":<$o4dhl\50.69 k0hd`P14*26,g3(c8`lhX9<":8$o4dhl\50.6= k0hd`P14*22,g7(c8`lhX9<":4$o4dhl\50.61 30hd`P14*1-d=cagU:9%5)00-<=cagU:9%=&9:fjjZ72 =#27iga_07+1,?3mceS<;'9(a8`lhX9<69?7>19:fjjZ71 9#27iga_04+5,g0(c8`lhX9?":=$o4dhl\53.6: k0hd`P17*27,g4(c8`lhX9?":9$o4dhl\53.6> k0hd`P17*23,g8(c8`lhX9?":5$74dhl\53.5!h1oecQ>6)03-d=cagU::%<>)`9gmkY6>!89%l5kio]22-44!01oecQ>6)1*=>bnfV;=$9'6;ekm[40/= 30hd`P17*5-<=cagU::%9&9:fjjZ71 1#27iga_04+=,ebnfV;7<374dhl\5977601oecQ><03==>bnfV;7=?06;ekm[4:6;730hd`P1=37:<=cagU:0<;19:fjjZ7;9?427iga_0>23;?89gmkY6483546jfn^3?5;?89gmkY64;;556jfn^3?678e3mceS<2=3;2==>bnfV;7>>07;ekm[4:5611oecQ><2<;?aoiW86?255kio]2808?3mceS<29>99gmkY64>437iga_0>;:==cagU:0407;ekm[7.7!11oecQ=(0+:?aoiW;":<$74dhl\6-76!01oecQ=(00*=>bnfV8#=>'6;ekm[7.6< 30hd`P2)36-<=cagU9$<8&9:fjjZ4/9>#27iga_3*2<,?%?6)99gmkY5 ;#27iga_3*14,?%<>)89gmkY5 ;8"56jfn^0+66/?3mceS?&<)99gmkY5 =#37iga_3*6-==cagU9$;'7;ekm[7.0!11oecQ=(9+;?aoiW;"2%55kio]1858>3mceS?2>0?;8`lhX:5;:245kio]1844912nbbR<312<:?aoiW;6:8374dhl\6972601oecQ=<04==>bnfV87=:06;ekm[7:60730hd`P2=3::==cagU90<06;ekm[7:58730hd`P2=02:<=cagU90?<1b:fjjZ4;::0;245kio]1875902nbbR<32?:8`lhX:59546jfn^0?0;>1;18:fjjZ4;>720hd`P2=5=<>bnfV874364dhl\69?902nbbR='0(:8`lhX;!;"56jfn^1+55/>3mceS>&>1(;8`lhX;!;9%45kio]0,45.12nbbR='15+:?aoiW:":9$74dhl\7-71!01oecQ<(05*=>bnfV9#=5'6;ekm[6.61 20hd`P3)0*=>bnfV9#>='6;ekm[6.59 30hd`P3)01-<=cagU8$?=&8:fjjZ5/; 20hd`P3)6*<>bnfV9#9$64dhl\7-0.02nbbR='7(:8`lhX;!2"46jfn^1+=,d3mceS9&>0(;8`lhXbnfV>#=:'6;ekm[1.60 30hd`P4)3:-==cagU?$?'6;ekm[1.58 30hd`P4)02-<=cagU?$?<&9:fjjZ2/::#37iga_5*0-==cagU?$9'7;ekm[1.2!11oecQ;(7+;?aoiW="<%55kio]7,=/?3mceS9&6)c9gmkY34;91<364dhl\1-6.02nbbR;'1(;8`lhX=!;;%45kio]6,47.12nbbR;'13+:?aoiW<":?$74dhl\1-73!01oecQ:(07*=>bnfV?#=;'6;ekm[0.6? 30hd`P5)3;-<=cagU>$<7&8:fjjZ3/: 30hd`P5)03-<=cagU>$??&9:fjjZ3/:;#27iga_4*17,>bnfV?#:$64dhl\1-1.02nbbR;'8(:8`lhX=!3"n6jfn^7?66<7611oecQ9(1+;?aoiW?":%45kio]5,46.12nbbR8'10+:?aoiW?":>$74dhl\2-74!01oecQ9(06*=>bnfV<#=8'6;ekm[3.6> 30hd`P6)34-<=cagU=$<6&9:fjjZ0/90#37iga_7*1-<=cagU=$?>&9:fjjZ0/:8#27iga_7*16,?bnfV=#<$64dhl\3-7.12nbbR9'11+:?aoiW>":=$74dhl\3-75!01oecQ8(01*=>bnfV=#=9'6;ekm[2.6= 30hd`P7)35-<=cagU<$<9&9:fjjZ1/91#27iga_6*2=,>bnfV=#;$64dhl\3->.02nbbR9'9(`8`lhX?5886=07;ekm[=.7!11oecQ7(0+:?aoiW1":<$74dhl\<-76!01oecQ7(00*=>bnfV2#=>'6;ekm[=.6< 30hd`P8)36-<=cagU3$<8&9:fjjZ>/9>#27iga_9*2<,?)89gmkY? ;8"56jfn^:+66/?3mceS5&<)99gmkY? =#37iga_9*6-==cagU3$;'7;ekm[=.0!11oecQ7(9+;?aoiW1"2%o5kio];875=8720hd`P9)2*<>bnfV3#=$74dhl\=-77!01oecQ6(03*=>bnfV3#=?'6;ekm[<.6; 30hd`P9)37-<=cagU2$<;&9:fjjZ?/9?#27iga_8*23,? 83"46jfn^;+6,? ;;"56jfn^;+67/>3mceS4&=3(:8`lhX1!9"46jfn^;+0,> 20hd`P9)5*<>bnfV3#4$64dhl\=-?.j2nbbR732283:2=cg|~#<$94dnww,4/?3me~x%??)99gkpr/98#37iazt)31-==cg|~#=>'7;emvp-73!11ocxz'14+;?air|!;=%55kotv+52/?3me~x%?7)99gkpr/90#<7iazt)0*<>bh}}"9<$64dnww,77.02ndyy&=2(:8`jss ;9"46j`uu*10,> 20hb{{(35*<>bh}}"94$64dnww,7?.?2ndyy&<)99gkpr/;9#37iazt)12-==cg|~#??'7;emvp-54!11ocxz'35+;?air|!9>%55kotv+73/?3me~x%=8)99gkpr/;1#37iazt)1:-2=cg|~#8$64dnww,16.02ndyy&;1(:8`jss =8"46j`uu*77,>bh}}">%:5kotv+2,1.?2ndyy&6)`9gkpr;(0+b?air|V;#=='n;emvpZ7/98#j7iazt^3+57/f3me~xR?'12+b?air|V;#=9'n;emvpZ7/9<#j7iazt^3+53/f3me~xR?'16+b?air|V;#=5'n;emvpZ7/90#27iazt^3+6,g k0hb{{_0*13,g)`9gkprX9!99%l5kotv\5-54!h1ocxzP1)17-d=cg|~T=%=:)`9gkprX9!9=%l5kotv\5-50!h1ocxzP1)1;-d=cg|~T=%=6)89gkprX9!>"m6j`uu]2,16.i2ndyyQ>(53*e>bh}}U:$9<&a:flqqY6 =9"m6j`uu]2,12.i2ndyyQ>(57*e>bh}}U:$98&9:flqqY6 <#27iazt^3+2,?'12+a?air|V;;$<:&b:flqqY68!;>%o5kotv\55.6> h0hb{{_02+52/e3me~xR??(0:*f>bh}}U:<%?6)`9gkprX99"9%o5kotv\55.58 h0hb{{_02+64/e3me~xR??(30*f>bh}}U:<%<<)c9gkprX99"98$l4dnww[46/:<#i7iazt^33,70.j2ndyyQ>0)04-g=cg|~T==&=8(`8`jssW8:#>4'n;emvpZ77 :#i7iazt^33,66.j2ndyyQ>0)12-g=cg|~T==&<2(`8`jssW8:#?>'m;emvpZ77 :>"n6j`uu]24-52!k1ocxzP11*02,d'36+a?air|V;;$>6&b:flqqY68!92%l5kotv\55.3!k1ocxzP11*74,d'40+a?air|V;;$9<&b:flqqY68!>8%o5kotv\55.3< h0hb{{_02+00/e3me~xR??(54*e>bh}}U:<%;&a:flqqY68!<"m6j`uu]24-1.i2ndyyQ>0):*e>bh}}U:<%7&d:flqqY685>=6=0n;emvpZ76 9#j7iazt^32,4/e3me~xR?>(02*f>bh}}U:=%?>)c9gkprX98":>$l4dnww[47/9:#i7iazt^32,42.j2ndyyQ>1)36-g=cg|~T=<&>6(`8`jssW8;#=:'m;emvpZ76 82"n6j`uu]25-7>!h1ocxzP10*1-g=cg|~T=<&=0(`8`jssW8;#><'m;emvpZ76 ;8"n6j`uu]25-44!k1ocxzP10*10,d(2+a?air|V;:$>>&b:flqqY69!9:%o5kotv\54.4: h0hb{{_03+76/e3me~xR?>(26*f>bh}}U:=%=:)c9gkprX98"8:$l4dnww[47/;>#i7iazt^32,6>.j2ndyyQ>1)1:-d=cg|~T=<&;)c9gkprX98"?<$l4dnww[47/<8#i7iazt^32,14.j2ndyyQ>1)60-g=cg|~T=<&;4(`8`jssW8;#88'm;emvpZ76 =<"m6j`uu]25-3.i2ndyyQ>1)4*e>bh}}U:=%9&a:flqqY69!2"m6j`uu]25-?.l2ndyyQ>1=65>58f3me~xR?=(1+b?air|V;9$<'m;emvpZ75 8:"n6j`uu]26-76!k1ocxzP13*26,d%o5kotv\57.6> h0hb{{_00+52/e3me~xR?=(0:*f>bh}}U:>%?6)`9gkprX9;"9%o5kotv\57.58 h0hb{{_00+64/e3me~xR?=(30*f>bh}}U:>%<<)c9gkprX9;"98$l4dnww[44/:<#i7iazt^31,70.j2ndyyQ>2)04-g=cg|~T=?&=8(`8`jssW88#>4'n;emvpZ75 :#i7iazt^31,66.j2ndyyQ>2)12-g=cg|~T=?&<2(`8`jssW88#?>'m;emvpZ75 :>"n6j`uu]26-52!k1ocxzP13*02,d6&b:flqqY6:!92%l5kotv\57.3!k1ocxzP13*74,d8%o5kotv\57.3< h0hb{{_00+00/e3me~xR?=(54*e>bh}}U:>%;&a:flqqY6:!<"m6j`uu]26-1.i2ndyyQ>2):*e>bh}}U:>%7&d:flqqY6:5>=6=0n;emvpZ74 9#j7iazt^30,4/e3me~xR?<(02*f>bh}}U:?%?>)c9gkprX9:":>$l4dnww[45/9:#i7iazt^30,42.j2ndyyQ>3)36-g=cg|~T=>&>6(`8`jssW89#=:'m;emvpZ74 82"n6j`uu]27-7>!h1ocxzP12*1-g=cg|~T=>&=0(`8`jssW89#><'m;emvpZ74 ;8"n6j`uu]27-44!k1ocxzP12*10,d>&b:flqqY6;!9:%o5kotv\56.4: h0hb{{_01+76/e3me~xR?<(26*f>bh}}U:?%=:)c9gkprX9:"8:$l4dnww[45/;>#i7iazt^30,6>.j2ndyyQ>3)1:-d=cg|~T=>&;)c9gkprX9:"?<$l4dnww[45/<8#i7iazt^30,14.j2ndyyQ>3)60-g=cg|~T=>&;4(`8`jssW89#88'm;emvpZ74 =<"m6j`uu]27-3.i2ndyyQ>3)4*e>bh}}U:?%9&a:flqqY6;!2"m6j`uu]27-?.l2ndyyQ>3=65>58f3me~xR?;(1+b?air|V;?$<'m;emvpZ73 8:"n6j`uu]20-76!k1ocxzP15*26,d%o5kotv\51.6> h0hb{{_06+52/e3me~xR?;(0:*f>bh}}U:8%?6)`9gkprX9="9%o5kotv\51.58 h0hb{{_06+64/e3me~xR?;(30*f>bh}}U:8%<<)c9gkprX9="98$l4dnww[42/:<#i7iazt^37,70.j2ndyyQ>4)04-g=cg|~T=9&=8(`8`jssW8>#>4'n;emvpZ73 :#i7iazt^37,66.j2ndyyQ>4)12-g=cg|~T=9&<2(`8`jssW8>#?>'m;emvpZ73 :>"n6j`uu]20-52!k1ocxzP15*02,d6&b:flqqY68%o5kotv\51.3< h0hb{{_06+00/e3me~xR?;(54*e>bh}}U:8%;&a:flqqY64):*e>bh}}U:8%7&d:flqqY6<5>=6=0n;emvpZ72 9#j7iazt^36,4/e3me~xR?:(02*f>bh}}U:9%?>)c9gkprX9<":>$l4dnww[43/9:#i7iazt^36,42.j2ndyyQ>5)36-g=cg|~T=8&>6(`8`jssW8?#=:'m;emvpZ72 82"n6j`uu]21-7>!h1ocxzP14*1-g=cg|~T=8&=0(`8`jssW8?#><'m;emvpZ72 ;8"n6j`uu]21-44!k1ocxzP14*10,d$?8&b:flqqY6=!8<%o5kotv\50.50 h0hb{{_07+6$>>&b:flqqY6=!9:%o5kotv\50.4: h0hb{{_07+76/e3me~xR?:(26*f>bh}}U:9%=:)c9gkprX9<"8:$l4dnww[43/;>#i7iazt^36,6>.j2ndyyQ>5)1:-d=cg|~T=8&;)c9gkprX9<"?<$l4dnww[43/<8#i7iazt^36,14.j2ndyyQ>5)60-g=cg|~T=8&;4(`8`jssW8?#88'm;emvpZ72 =<"m6j`uu]21-3.i2ndyyQ>5)4*e>bh}}U:9%9&a:flqqY6=!2"m6j`uu]21-?.l2ndyyQ>5=65>58f3me~xR?9(1+b?air|V;=$<'m;emvpZ71 8:"n6j`uu]22-76!k1ocxzP17*26,d!;>%o5kotv\53.6> h0hb{{_04+52/e3me~xR?9(0:*f>bh}}U::%?6)`9gkprX9?"9%o5kotv\53.58 h0hb{{_04+64/e3me~xR?9(30*f>bh}}U::%<<)c9gkprX9?"98$l4dnww[40/:<#i7iazt^35,70.j2ndyyQ>6)04-g=cg|~T=;&=8(`8`jssW8<#>4'n;emvpZ71 :#i7iazt^35,66.j2ndyyQ>6)12-g=cg|~T=;&<2(`8`jssW8<#?>'m;emvpZ71 :>"n6j`uu]22-52!k1ocxzP17*02,d6&b:flqqY6>!92%l5kotv\53.3!k1ocxzP17*74,d!>8%o5kotv\53.3< h0hb{{_04+00/e3me~xR?9(54*e>bh}}U::%;&a:flqqY6>!<"m6j`uu]22-1.i2ndyyQ>6):*e>bh}}U::%7&d:flqqY6>5>=6=06;emvpZ7;87k0hb{{_0>24;g1?c8`jssW86:>3o4dnww[4:6;7k0hb{{_0>20;g5?c8`jssW86::3o4dnww[4:6?7k0hb{{_0>2<;g9?;8`jssW86:2l5kotv\59476h1ocxzP1=02:d=cg|~T=1<=>`9gkprX95882l5kotv\59436h1ocxzP1=06:d=cg|~T=1<9>`9gkprX958<2l5kotv\594?6h1ocxzP1=0::<=cg|~T=1<1a:flqqY64::5m6j`uu]28679i2ndyyQ><20=e>bh}}U:0>=1a:flqqY64:>5m6j`uu]28639i2ndyyQ><24=e>bh}}U:0>91a:flqqY64:25m6j`uu]286?912ndyyQ><289gkprX952556j`uu]28<8>3me~xR<'0(;8`jssW;":%l5kotv\6-77!h1ocxzP2)32-d=cg|~T>%?=)`9gkprX:!;8%l5kotv\6-73!h1ocxzP2)36-d=cg|~T>%?9)`9gkprX:!;<%l5kotv\6-7?!h1ocxzP2)3:-<=cg|~T>%<&a:flqqY5 ;:"m6j`uu]1,77.i2ndyyQ=(30*e>bh}}U9$?=&a:flqqY5 ;>"m6j`uu]1,73.i2ndyyQ=(34*e>bh}}U9$?9&a:flqqY5 ;2"m6j`uu]1,7?.12ndyyQ=(2+b?air|V8#?='n;emvpZ4/;8#j7iazt^0+77/f3me~xR<'32+b?air|V8#?9'n;emvpZ4/;<#j7iazt^0+73/f3me~xR<'36+b?air|V8#?5'n;emvpZ4/;0#27iazt^0+0,g 30hb{{_3*6-<=cg|~T>%8&9:flqqY5 >#27iazt^0+<,?bh}}U90<<1a:flqqY54895m6j`uu]18429i2ndyyQ=<07=e>bh}}U90<81a:flqqY548=5m6j`uu]184>9i2ndyyQ=<0;==>bh}}U90<0n;emvpZ4;:94j7iazt^0?648f3me~xR<323>0n;emvpZ4;:=4j7iazt^0?608f3me~xR<327:0n;emvpZ4;:14j7iazt^0?6<8>3me~xR<32?c8`jssW;68<3o4dnww[7:497k0hb{{_3>06;g02;g0:d=cg|~T>1:?>`9gkprX:5>:2l5kotv\69256h1ocxzP2=60:d=cg|~T>1:;>`9gkprX:5>>2n5kotv\6921294j7iazt^0?038>3me~xR<34?;8`jssW;6>245kotv\690912ndyyQ=<6<:?air|V874374dnww[7:>601ocxzP3)2*=>bh}}U8$<'n;emvpZ5/99#j7iazt^1+54/f3me~xR='13+b?air|V9#=>'n;emvpZ5/9=#j7iazt^1+50/f3me~xR='17+b?air|V9#=:'n;emvpZ5/91#j7iazt^1+53me~xR='2(c8`jssW:"9<$o4dnww[6.59 k0hb{{_2*16,g&=3(c8`jssW:"98$o4dnww[6.5= k0hb{{_2*12,g&=7(c8`jssW:"94$o4dnww[6.51 30hb{{_2*0-d=cg|~T?%=?)`9gkprX;!9:%l5kotv\7-55!h1ocxzP3)10-d=cg|~T?%=;)`9gkprX;!9>%l5kotv\7-51!h1ocxzP3)14-d=cg|~T?%=7)`9gkprX;!92%45kotv\7-2.i2ndyyQ<(52*e>bh}}U8$9?&a:flqqY4 =8"m6j`uu]0,15.i2ndyyQ<(56*e>bh}}U8$9;&a:flqqY4 =<"56j`uu]0,0/>3me~xR='6(;8`jssW:"<%45kotv\7->.12ndyyQ<(8+`?air|V978;4?>89gkprX#=<'n;emvpZ2/9;#j7iazt^6+56/f3me~xR:'15+b?air|V>#=8'n;emvpZ2/9?#j7iazt^6+52/f3me~xR:'19+b?air|V>#=4'6;emvpZ2/: k0hb{{_5*14,g$o4dnww[1.5; k0hb{{_5*10,gbh}}U?$9=&a:flqqY3 =>"m6j`uu]7,13.i2ndyyQ;(54*=>bh}}U?$8'6;emvpZ2/> 30hb{{_5*4-<=cg|~T8%6&9:flqqY3 0#h7iazt^6?03<7601ocxzP5)2*=>bh}}U>$<'n;emvpZ3/99#j7iazt^7+54/f3me~xR;'13+b?air|V?#=>'n;emvpZ3/9=#j7iazt^7+50/f3me~xR;'17+b?air|V?#=:'n;emvpZ3/91#j7iazt^7+53me~xR;'2(c8`jssW<"9<$o4dnww[0.59 k0hb{{_4*16,g%l5kotv\1-51!h1ocxzP5)14-d=cg|~T9%=7)`9gkprX=!92%45kotv\1-2.i2ndyyQ:(52*e>bh}}U>$9?&a:flqqY2 =8"m6j`uu]6,15.i2ndyyQ:(56*e>bh}}U>$9;&a:flqqY2 =<"56j`uu]6,0/>3me~xR;'6(;8`jssW<"<%45kotv\1->.12ndyyQ:(8+`?air|V?78;4?>89gkprX>!:"56j`uu]5,4/f3me~xR8'11+b?air|V<#=<'n;emvpZ0/9;#j7iazt^4+56/f3me~xR8'15+b?air|V<#=8'n;emvpZ0/9?#j7iazt^4+52/f3me~xR8'19+b?air|V<#=4'6;emvpZ0/: k0hb{{_7*14,g$o4dnww[3.5; k0hb{{_7*10,g!98%l5kotv\2-53!h1ocxzP6)16-d=cg|~T:%=9)`9gkprX>!9<%l5kotv\2-5?!h1ocxzP6)1:-<=cg|~T:%:&a:flqqY1 =:"m6j`uu]5,17.i2ndyyQ9(50*e>bh}}U=$9=&a:flqqY1 =>"m6j`uu]5,13.i2ndyyQ9(54*=>bh}}U=$8'6;emvpZ0/> 30hb{{_7*4-<=cg|~T:%6&9:flqqY1 0#h7iazt^4?03<7601ocxzP7)2*=>bh}}U<$<'n;emvpZ1/99#j7iazt^5+54/f3me~xR9'13+b?air|V=#=>'n;emvpZ1/9=#j7iazt^5+50/f3me~xR9'17+b?air|V=#=:'n;emvpZ1/91#j7iazt^5+53me~xR9'2(c8`jssW>"9<$o4dnww[2.59 k0hb{{_6*16,g"98$o4dnww[2.5= k0hb{{_6*12,g"94$o4dnww[2.51 30hb{{_6*0-d=cg|~T;%=?)`9gkprX?!9:%l5kotv\3-55!h1ocxzP7)10-d=cg|~T;%=;)`9gkprX?!9>%l5kotv\3-51!h1ocxzP7)14-d=cg|~T;%=7)`9gkprX?!92%45kotv\3-2.i2ndyyQ8(52*e>bh}}U<$9?&a:flqqY0 =8"m6j`uu]4,15.i2ndyyQ8(56*e>bh}}U<$9;&a:flqqY0 =<"56j`uu]4,0/>3me~xR9'6(;8`jssW>"<%45kotv\3->.12ndyyQ8(8+`?air|V=78;4?>89gkprX0!:"56j`uu];,4/f3me~xR6'11+b?air|V2#=<'n;emvpZ>/9;#j7iazt^:+56/f3me~xR6'15+b?air|V2#=8'n;emvpZ>/9?#j7iazt^:+52/f3me~xR6'19+b?air|V2#=4'6;emvpZ>/: k0hb{{_9*14,g$o4dnww[=.5; k0hb{{_9*10,gbh}}U3$9=&a:flqqY? =>"m6j`uu];,13.i2ndyyQ7(54*=>bh}}U3$8'6;emvpZ>/> 30hb{{_9*4-<=cg|~T4%6&9:flqqY? 0#h7iazt^:?03<7601ocxzP9)2*=>bh}}U2$<'n;emvpZ?/99#j7iazt^;+54/f3me~xR7'13+b?air|V3#=>'n;emvpZ?/9=#j7iazt^;+50/f3me~xR7'17+b?air|V3#=:'n;emvpZ?/91#j7iazt^;+53me~xR7'2(c8`jssW0"9<$o4dnww[<.59 k0hb{{_8*16,g%l5kotv\=-51!h1ocxzP9)14-d=cg|~T5%=7)`9gkprX1!92%45kotv\=-2.i2ndyyQ6(52*e>bh}}U2$9?&a:flqqY> =8"m6j`uu]:,15.i2ndyyQ6(56*e>bh}}U2$9;&a:flqqY> =<"56j`uu]:,0/>3me~xR7'6(;8`jssW0"<%45kotv\=->.12ndyyQ6(8+`?air|V378;4?>89gkprXa!:"56j`uu]j,4/f3me~xRg'11+b?air|Vc#=<'n;emvpZo/9;#j7iazt^k+56/f3me~xRg'15+b?air|Vc#=8'n;emvpZo/9?#j7iazt^k+52/f3me~xRg'19+b?air|Vc#=4'6;emvpZo/: k0hb{{_h*14,g$o4dnww[l.5; 30hb{{_h*0-<=cg|~Te%:&9:flqqYn <#27iazt^k+2,?(33*g>bh}}UbS<&=2(a8`jssW`U:$?=&b:flqqYnW8"8%o5kotv\mZ7/< h0hb{{_h]2,0/e3me~xRgP1)4*f>bh}}UbS<&8)c9gkprXaV;#4$l4dnww[lY6 0#h7iazt^k\55.7!j1ocxzPi^33,4/c3me~xRgP11*24,b0)32-a=cg|~TeR??(00*`>bh}}UbS<>'12+g?air|VcT==&>4(f8`jssW`U:<%?:)e9gkprXaV;;$<8&d:flqqYnW8:#=:'k;emvpZoX99":4$j4dnww[lY68!;2%n5kotv\mZ77 ;#o7iazt^k\55.58 n0hb{{_h]24-46!m1ocxzPi^33,74.l2ndyyQf_02+66/d3me~xRgP11*0-f=cg|~TeR??(5+`?air|VcT==&:)b9gkprXaV;;$;'l;emvpZoX99"<%n5kotv\mZ77 1#h7iazt^k\55.>!o1ocxzPi^33875=87i0hb{{_h]25-6.k2ndyyQf_03+5,b1)33-a=cg|~TeR?>(03*`>bh}}UbS3(f8`jssW`U:=%?;)e9gkprXaV;:$<;&d:flqqYnW8;#=;'k;emvpZoX98":;$j4dnww[lY69!;3%i5kotv\mZ76 83"o6j`uu]j[47/: n0hb{{_h]25-47!m1ocxzPi^32,77.l2ndyyQf_03+67/c3me~xRgP10*17,e1)1*g>bh}}UbSbh}}UbS<<'10+g?air|VcT=?&>2(f8`jssW`U:>%?<)e9gkprXaV;9$<:&d:flqqYnW88#=8'k;emvpZoX9;"::$j4dnww[lY6:!;<%i5kotv\mZ75 82"h6j`uu]j[44/90#h7iazt^k\57.5!m1ocxzPi^31,76.l2ndyyQf_00+64/c3me~xRgP13*16,b2)00-f=cg|~TeR?=(2+`?air|VcT=?&;)b9gkprXaV;9$8'l;emvpZoX9;"=%n5kotv\mZ75 >#h7iazt^k\57.?!j1ocxzPi^31,17?69k2ndyyQf_01+4,e3)3*`>bh}}UbS<='11+g?air|VcT=>&>1(f8`jssW`U:?%?=)e9gkprXaV;8$<=&d:flqqYnW89#=9'k;emvpZoX9:":9$j4dnww[lY6;!;=%i5kotv\mZ74 8="h6j`uu]j[45/91#o7iazt^k\56.61 i0hb{{_h]27-4.l2ndyyQf_01+65/c3me~xRgP12*15,b3)01-a=cg|~TeR?<(31*g>bh}}UbS<='3(a8`jssW`U:?%:&c:flqqYnW89#9$m4dnww[lY6;!<"o6j`uu]j[45/? i0hb{{_h]27->.k2ndyyQf_01+=,`3=00>58d3me~xRgP15*3-f=cg|~TeR?;(0+g?air|VcT=9&>0(f8`jssW`U:8%?>)e9gkprXaV;?$<<&d:flqqYnW8>#=>'k;emvpZoX9=":8$j4dnww[lY6%i5kotv\mZ73 8<"h6j`uu]j[42/9>#o7iazt^k\51.60 n0hb{{_h]20-7>!j1ocxzPi^37,7/c3me~xRgP15*14,b4)02-a=cg|~TeR?;(30*`>bh}}UbS<:'22+`?air|VcT=9&<)b9gkprXaV;?$9'l;emvpZoX9=">%n5kotv\mZ73 ?#h7iazt^k\51.0!j1ocxzPi^37,=/d3me~xRgP15*:-c=cg|~TeR?;<3194;e5)2*g>bh}}UbS<;'1(f8`jssW`U:9%??)e9gkprXaV;>$5)03-a=cg|~TeR?:(33*`>bh}}UbS<;'23+g?air|VcT=8&=3(a8`jssW`U:9%=&c:flqqYnW8?#8$m4dnww[lY6=!?"o6j`uu]j[43/> i0hb{{_h]21-1.k2ndyyQf_07+<,e5);*b>bh}}UbS<;32283:f=cg|~TeR?9(1+`?air|VcT=;&>)e9gkprXaV;=$<>&d:flqqYnW8<#=<'k;emvpZoX9?":>$j4dnww[lY6>!;8%i5kotv\mZ71 8>"h6j`uu]j[40/9<#o7iazt^k\53.6> n0hb{{_h]22-70!m1ocxzPi^35,4>.l2ndyyQf_04+5bh}}UbS<8'20+g?air|VcT=;&=2(f8`jssW`U::%<<)b9gkprXaV;=$>'l;emvpZoX9?"?%n5kotv\mZ71 <#h7iazt^k\53.1!j1ocxzPi^35,2/d3me~xRgP17*;-f=cg|~TeR?9(8+e?air|VcT=;2=3;2=a>bh}}UbS<2=3;2=f>bh}}UbS?&?)c9gkprXaV8#=$m4dnww[lY5 8:"o6j`uu]j[7.69 i0hb{{_h]1,44.k2ndyyQf_3*27,ebh}}UbS?&>5(a8`jssW`U9$<8&c:flqqYnW;":;$m4dnww[lY5 82"o6j`uu]j[7.61 h0hb{{_h]1,7/d3me~xRgP2)03-f=cg|~TeR<'20+`?air|VcT>%<=)b9gkprXaV8#>>'m;emvpZoX:!9"n6j`uu]j[7.3!k1ocxzPi^0+1,d%9&b:flqqYnW;"3%o5kotv\mZ4/1 o0hb{{_h]1875=87h0hb{{_h]0,5/e3me~xRgP3)3*g>bh}}UbS>&>0(a8`jssW`U8$$m4dnww[lY4 89"o6j`uu]j[6.6< i0hb{{_h]0,43.k2ndyyQf_2*22,ebh}}UbS>&>8(a8`jssW`U8$<7&b:flqqYnW:"9%n5kotv\mZ5/:9#h7iazt^k\7-46!j1ocxzPi^1+67/d3me~xRgP3)00-g=cg|~TeR='3(`8`jssW`U8$9'm;emvpZoX;!?"n6j`uu]j[6.1!k1ocxzPi^1+3,d1b:flqqYnW=";%o5kotv\mZ2/9 i0hb{{_h]7,46.k2ndyyQf_5*25,ebh}}UbS9&>3(a8`jssW`U?$<:&c:flqqYnW=":9$m4dnww[lY3 8<"o6j`uu]j[1.6? i0hb{{_h]7,4>.k2ndyyQf_5*2=,d#><'l;emvpZoXbh}}UbS8&>6(a8`jssW`U>$<9&c:flqqYnW<":4$m4dnww[lY2 83"n6j`uu]j[0.5!j1ocxzPi^7+65/d3me~xRgP5)02-f=cg|~TeR;'23+`?air|VcT9%<<)c9gkprXaV?#?$l4dnww[lY2 =#i7iazt^k\1-3.j2ndyyQf_4*5-g=cg|~TeR;'7(`8`jssW`U>$5'm;emvpZoX=!3"i6j`uu]j[0:5;3:5n6j`uu]j[3.7!k1ocxzPi^4+5,ebh}}UbS;&>1(a8`jssW`U=$<<&c:flqqYnW?":?$m4dnww[lY1 8>"o6j`uu]j[3.6= i0hb{{_h]5,40.k2ndyyQf_7*23,ebh}}UbS;&>9(`8`jssW`U=$?'l;emvpZoX>!8;%n5kotv\mZ0/:8#h7iazt^k\2-45!j1ocxzPi^4+66/e3me~xRgP6)1*f>bh}}UbS;&;)c9gkprXaV<#9$l4dnww[lY1 ?#i7iazt^k\2-1.j2ndyyQf_7*;-g=cg|~TeR8'9(g8`jssW`U=0?=50?`8`jssW`U<$='m;emvpZoX?!;"o6j`uu]j[2.68 i0hb{{_h]4,47.k2ndyyQf_6*26,ebh}}UbS:&>4(a8`jssW`U<$<;&c:flqqYnW>"::$m4dnww[lY0 8="o6j`uu]j[2.60 i0hb{{_h]4,4?.j2ndyyQf_6*1-f=cg|~TeR9'21+`?air|VcT;%<>)b9gkprXaV=#>?'l;emvpZoX?!88%o5kotv\mZ1/; h0hb{{_h]4,1/e3me~xRgP7)7*f>bh}}UbS:&9)c9gkprXaV=#;$l4dnww[lY0 1#i7iazt^k\3-?.m2ndyyQf_6>17?69j2ndyyQf_9*3-g=cg|~TeR6'1(a8`jssW`U3$<>&c:flqqYnW1":=$m4dnww[lY? 88"o6j`uu]j[=.6; i0hb{{_h];,42.k2ndyyQf_9*21,ebh}}UbS5&>7(a8`jssW`U3$<6&c:flqqYnW1":5$l4dnww[lY? ;#h7iazt^k\<-47!j1ocxzPi^:+64/d3me~xRgP8)01-f=cg|~TeR6'22+a?air|VcT4%=&b:flqqYnW1"?%o5kotv\mZ>/= h0hb{{_h];,3/e3me~xRgP8)5*f>bh}}UbS5&7)c9gkprXaV2#5$k4dnww[lY?4;91<3l4dnww[lY> 9#i7iazt^k\=-7.k2ndyyQf_8*24,ebh}}UbS4&>2(a8`jssW`U2$<=&c:flqqYnW0":8$m4dnww[lY> 8?"o6j`uu]j[<.6> i0hb{{_h]:,41.k2ndyyQf_8*2<,ebh}}UbS4&=)b9gkprXaV3#>='l;emvpZoX1!8:%n5kotv\mZ?/:;#h7iazt^k\=-44!k1ocxzPi^;+7,dbh}}UbS42=3;2==>bh}}Uz$='6;emvpZw/9 k0hb{{_p*24,g1(c8`jssWx":>$o4dnww[t.6; k0hb{{_p*20,g5(c8`jssWx"::$o4dnww[t.6? k0hb{{_p*2<,g9(;8`jssWx"9%l5kotv\u-47!h1ocxzPq)02-d=cg|~T}%<=)`9gkprXy!88%l5kotv\u-43!h1ocxzPq)06-d=cg|~T}%<9)`9gkprXy!8<%l5kotv\u-4?!h1ocxzPq)0:-<=cg|~T}%=&a:flqqYv ::"m6j`uu]r,67.i2ndyyQ~(20*e>bh}}Uz$>=&a:flqqYv :>"m6j`uu]r,63.i2ndyyQ~(24*e>bh}}Uz$>9&a:flqqYv :2"m6j`uu]r,6?.12ndyyQ~(5+b?air|V{#8='n;emvpZw/<8#j7iazt^s+07/f3me~xR'42+b?air|V{#89'n;emvpZw/<<#j7iazt^s+03/>3me~xR'5(;8`jssWx"=%45kotv\u-1.12ndyyQ~(9+:?air|V{#5$m4dnww[t:3>3:5n6j`uu]r[4.7!k1ocxzPq^3+5,e(02*g>bh}}UzS<&>1(a8`jssWxU:$<<&c:flqqYvW8":?$m4dnww[tY6 8>"o6j`uu]r[4.6= i0hb{{_p]2,40.k2ndyyQ~_0*23,e(0:*g>bh}}UzS<&>9(`8`jssWxU:$?'l;emvpZwX9!8;%n5kotv\uZ7/:8#h7iazt^s\5-45!j1ocxzPq^3+66/d3me~xRP1)07-f=cg|~T}R?'24+`?air|V{T=%<9)b9gkprXyV;#>:'l;emvpZwX9!83%n5kotv\uZ7/:0#i7iazt^s\5-5.k2ndyyQ~_0*04,e(23*g>bh}}UzS<&<2(a8`jssWxU:$>=&c:flqqYvW8"88$m4dnww[tY6 :?"o6j`uu]r[4.4> i0hb{{_p]2,61.k2ndyyQ~_0*0<,e(2;*f>bh}}UzS<&;)b9gkprXyV;#8='l;emvpZwX9!>:%n5kotv\uZ7/<;#h7iazt^s\5-24!j1ocxzPq^3+01/d3me~xRP1)66-f=cg|~T}R?'47+a?air|V{T=%;&b:flqqYvW8"=%o5kotv\uZ7/? h0hb{{_p]2,=/e3me~xRP1);*g>bh}}UzS<>'0(a8`jssWxU:<%?&d:flqqYvW8:#=='k;emvpZwX99":=$j4dnww[tY68!;9%i5kotv\uZ77 89"h6j`uu]r[46/9=#o7iazt^s\55.6= n0hb{{_p]24-71!m1ocxzPq^33,41.l2ndyyQ~_02+5=/c3me~xRP11*2=,e0)0*`>bh}}UzS<>'21+g?air|V{T==&=1(f8`jssWxU:<%<=)e9gkprXyV;;$?=&d:flqqYvW8:#>9'k;emvpZwX99"99$j4dnww[tY68!8=%i5kotv\uZ77 ;="h6j`uu]r[46/:1#o7iazt^s\55.51 i0hb{{_p]24-5.l2ndyyQ~_02+75/c3me~xRP11*05,b0)11-a=cg|~T}R??(21*`>bh}}UzS<>'35+g?air|V{T==&<5(f8`jssWxU:<%=9)e9gkprXyV;;$>9&d:flqqYvW8:#?5'k;emvpZwX99"85$m4dnww[tY68!>"h6j`uu]r[46/<9#o7iazt^s\55.39 n0hb{{_p]24-25!m1ocxzPq^33,15.l2ndyyQ~_02+01/c3me~xRP11*71,b0)65-f=cg|~T}R??(4+`?air|V{T==&9)b9gkprXyV;;$:'l;emvpZwX99"3%n5kotv\uZ77 0#m7iazt^s\55:3>3:5o6j`uu]r[47/8 i0hb{{_p]25-7.l2ndyyQ~_03+55/c3me~xRP10*25,b1)31-a=cg|~T}R?>(01*`>bh}}UzS5(f8`jssWxU:=%?9)e9gkprXyV;:$<9&d:flqqYvW8;#=5'k;emvpZwX98":5$m4dnww[tY69!8"h6j`uu]r[47/:9#o7iazt^s\54.59 n0hb{{_p]25-45!m1ocxzPq^32,75.l2ndyyQ~_03+61/c3me~xRP10*11,b1)05-a=cg|~T}R?>(35*`>bh}}UzS1)6*`>bh}}UzS=%n5kotv\uZ76 <#h7iazt^s\54.1!j1ocxzPq^32,2/d3me~xRP10*;-f=cg|~T}R?>(8+e?air|V{T=<2;6;2=g>bh}}UzS<<'0(a8`jssWxU:>%?&d:flqqYvW88#=='k;emvpZwX9;":=$j4dnww[tY6:!;9%i5kotv\uZ75 89"h6j`uu]r[44/9=#o7iazt^s\57.6= n0hb{{_p]26-71!m1ocxzPq^31,41.l2ndyyQ~_00+5=/c3me~xRP13*2=,e2)0*`>bh}}UzS<<'21+g?air|V{T=?&=1(f8`jssWxU:>%<=)e9gkprXyV;9$?=&d:flqqYvW88#>9'k;emvpZwX9;"99$j4dnww[tY6:!8=%i5kotv\uZ75 ;="h6j`uu]r[44/:1#o7iazt^s\57.51 i0hb{{_p]26-5.l2ndyyQ~_00+75/c3me~xRP13*05,b2)11-a=cg|~T}R?=(21*`>bh}}UzS<<'35+g?air|V{T=?&<5(f8`jssWxU:>%=9)e9gkprXyV;9$>9&d:flqqYvW88#?5'k;emvpZwX9;"85$m4dnww[tY6:!>"h6j`uu]r[44/<9#o7iazt^s\57.39 n0hb{{_p]26-25!m1ocxzPq^31,15.l2ndyyQ~_00+01/c3me~xRP13*71,b2)65-f=cg|~T}R?=(4+`?air|V{T=?&9)b9gkprXyV;9$:'l;emvpZwX9;"3%n5kotv\uZ75 0#m7iazt^s\57:3>3:5o6j`uu]r[45/8 i0hb{{_p]27-7.l2ndyyQ~_01+55/c3me~xRP12*25,b3)31-a=cg|~T}R?<(01*`>bh}}UzS<='15+g?air|V{T=>&>5(f8`jssWxU:?%?9)e9gkprXyV;8$<9&d:flqqYvW89#=5'k;emvpZwX9:":5$m4dnww[tY6;!8"h6j`uu]r[45/:9#o7iazt^s\56.59 n0hb{{_p]27-45!m1ocxzPq^30,75.l2ndyyQ~_01+61/c3me~xRP12*11,b3)05-a=cg|~T}R?<(35*`>bh}}UzS<='29+g?air|V{T=>&=9(a8`jssWxU:?%=&d:flqqYvW89#?='k;emvpZwX9:"8=$j4dnww[tY6;!99%i5kotv\uZ74 :9"h6j`uu]r[45/;=#o7iazt^s\56.4= n0hb{{_p]27-51!m1ocxzPq^30,61.l2ndyyQ~_01+7=/c3me~xRP12*0=,e3)6*`>bh}}UzS<='41+g?air|V{T=>&;1(f8`jssWxU:?%:=)e9gkprXyV;8$9=&d:flqqYvW89#89'k;emvpZwX9:"?9$j4dnww[tY6;!>=%n5kotv\uZ74 <#h7iazt^s\56.1!j1ocxzPq^30,2/d3me~xRP12*;-f=cg|~T}R?<(8+e?air|V{T=>2;6;2=g>bh}}UzS<:'0(a8`jssWxU:8%?&d:flqqYvW8>#=='k;emvpZwX9=":=$j4dnww[tY64)0*`>bh}}UzS<:'21+g?air|V{T=9&=1(f8`jssWxU:8%<=)e9gkprXyV;?$?=&d:flqqYvW8>#>9'k;emvpZwX9="99$j4dnww[tY64)11-a=cg|~T}R?;(21*`>bh}}UzS<:'35+g?air|V{T=9&<5(f8`jssWxU:8%=9)e9gkprXyV;?$>9&d:flqqYvW8>#?5'k;emvpZwX9="85$m4dnww[tY6"h6j`uu]r[42/<9#o7iazt^s\51.39 n0hb{{_p]20-25!m1ocxzPq^37,15.l2ndyyQ~_06+01/c3me~xRP15*71,b4)65-f=cg|~T}R?;(4+`?air|V{T=9&9)b9gkprXyV;?$:'l;emvpZwX9="3%n5kotv\uZ73 0#m7iazt^s\51:3>3:5o6j`uu]r[43/8 i0hb{{_p]21-7.l2ndyyQ~_07+55/c3me~xRP14*25,b5)31-a=cg|~T}R?:(01*`>bh}}UzS<;'15+g?air|V{T=8&>5(f8`jssWxU:9%?9)e9gkprXyV;>$<9&d:flqqYvW8?#=5'k;emvpZwX9<":5$m4dnww[tY6=!8"h6j`uu]r[43/:9#o7iazt^s\50.59 n0hb{{_p]21-45!m1ocxzPq^36,75.l2ndyyQ~_07+61/c3me~xRP14*11,b5)05-a=cg|~T}R?:(35*`>bh}}UzS<;'29+g?air|V{T=8&=9(a8`jssWxU:9%=&d:flqqYvW8?#?='k;emvpZwX9<"8=$j4dnww[tY6=!99%i5kotv\uZ72 :9"h6j`uu]r[43/;=#o7iazt^s\50.4= n0hb{{_p]21-51!m1ocxzPq^36,61.l2ndyyQ~_07+7=/c3me~xRP14*0=,e5)6*`>bh}}UzS<;'41+g?air|V{T=8&;1(f8`jssWxU:9%:=)e9gkprXyV;>$9=&d:flqqYvW8?#89'k;emvpZwX9<"?9$j4dnww[tY6=!>=%n5kotv\uZ72 <#h7iazt^s\50.1!j1ocxzPq^36,2/d3me~xRP14*;-f=cg|~T}R?:(8+e?air|V{T=82;6;2=g>bh}}UzS<8'0(a8`jssWxU::%?&d:flqqYvW8<#=='k;emvpZwX9?":=$j4dnww[tY6>!;9%i5kotv\uZ71 89"h6j`uu]r[40/9=#o7iazt^s\53.6= n0hb{{_p]22-71!m1ocxzPq^35,41.l2ndyyQ~_04+5=/c3me~xRP17*2=,e6)0*`>bh}}UzS<8'21+g?air|V{T=;&=1(f8`jssWxU::%<=)e9gkprXyV;=$?=&d:flqqYvW8<#>9'k;emvpZwX9?"99$j4dnww[tY6>!8=%i5kotv\uZ71 ;="h6j`uu]r[40/:1#o7iazt^s\53.51 i0hb{{_p]22-5.l2ndyyQ~_04+75/c3me~xRP17*05,b6)11-a=cg|~T}R?9(21*`>bh}}UzS<8'35+g?air|V{T=;&<5(f8`jssWxU::%=9)e9gkprXyV;=$>9&d:flqqYvW8<#?5'k;emvpZwX9?"85$m4dnww[tY6>!>"h6j`uu]r[40/<9#o7iazt^s\53.39 n0hb{{_p]22-25!m1ocxzPq^35,15.l2ndyyQ~_04+01/c3me~xRP17*71,b6)65-f=cg|~T}R?9(4+`?air|V{T=;&9)b9gkprXyV;=$:'l;emvpZwX9?"3%n5kotv\uZ71 0#m7iazt^s\53:3>3:5i6j`uu]r[4:3>3:5n6j`uu]r[7.7!k1ocxzPq^0+5,ebh}}UzS?&>1(a8`jssWxU9$<<&c:flqqYvW;":?$m4dnww[tY5 8>"o6j`uu]r[7.6= i0hb{{_p]1,40.k2ndyyQ~_3*23,ebh}}UzS?&>9(`8`jssWxU9$?'l;emvpZwX:!8;%n5kotv\uZ4/:8#h7iazt^s\6-45!j1ocxzPq^0+66/d3me~xRP2)07-f=cg|~T}R<'24+`?air|V{T>%<9)b9gkprXyV8#>:'l;emvpZwX:!83%n5kotv\uZ4/:0#i7iazt^s\6-5.k2ndyyQ~_3*04,ebh}}UzS?&<2(a8`jssWxU9$>=&c:flqqYvW;"88$m4dnww[tY5 :?"o6j`uu]r[7.4> i0hb{{_p]1,61.k2ndyyQ~_3*0<,ebh}}UzS?&;)b9gkprXyV8#8='l;emvpZwX:!>:%n5kotv\uZ4/<;#h7iazt^s\6-24!j1ocxzPq^0+01/d3me~xRP2)66-f=cg|~T}R<'47+a?air|V{T>%;&b:flqqYvW;"=%o5kotv\uZ4/? h0hb{{_p]1,=/e3me~xRP2);*a>bh}}UzS?2;6;2=f>bh}}UzS>&?)c9gkprXyV9#=$m4dnww[tY4 8:"o6j`uu]r[6.69 i0hb{{_p]0,44.k2ndyyQ~_2*27,ebh}}UzS>&>5(a8`jssWxU8$<8&c:flqqYvW:":;$m4dnww[tY4 82"o6j`uu]r[6.61 h0hb{{_p]0,7/d3me~xRP3)03-f=cg|~T}R='20+`?air|V{T?%<=)b9gkprXyV9#>>'l;emvpZwX;!8?%n5kotv\uZ5/:<#h7iazt^s\7-41!j1ocxzPq^1+62/d3me~xRP3)0;-f=cg|~T}R='28+a?air|V{T?%=&c:flqqYvW:"8<$m4dnww[tY4 :;"o6j`uu]r[6.4: i0hb{{_p]0,65.k2ndyyQ~_2*00,ebh}}UzS>&<6(a8`jssWxU8$>9&c:flqqYvW:"84$m4dnww[tY4 :3"n6j`uu]r[6.3!j1ocxzPq^1+05/d3me~xRP3)62-f=cg|~T}R='43+`?air|V{T?%:<)b9gkprXyV9#89'l;emvpZwX;!>>%n5kotv\uZ5/3:5n6j`uu]r[1.7!k1ocxzPq^6+5,ebh}}UzS9&>1(a8`jssWxU?$<<&c:flqqYvW=":?$m4dnww[tY3 8>"o6j`uu]r[1.6= i0hb{{_p]7,40.k2ndyyQ~_5*23,ebh}}UzS9&>9(`8`jssWxU?$?'l;emvpZwX#>:'l;emvpZwXbh}}UzS9&<2(a8`jssWxU?$>=&c:flqqYvW="88$m4dnww[tY3 :?"o6j`uu]r[1.4> i0hb{{_p]7,61.k2ndyyQ~_5*0<,ebh}}UzS9&;)b9gkprXyV>#8='l;emvpZwX:%n5kotv\uZ2/<;#h7iazt^s\0-24!j1ocxzPq^6+01/d3me~xRP4)66-f=cg|~T}R:'47+a?air|V{T8%;&b:flqqYvW="=%o5kotv\uZ2/? h0hb{{_p]7,=/e3me~xRP4);*a>bh}}UzS92;6;2=f>bh}}UzS8&?)c9gkprXyV?#=$m4dnww[tY2 8:"o6j`uu]r[0.69 i0hb{{_p]6,44.k2ndyyQ~_4*27,ebh}}UzS8&>5(a8`jssWxU>$<8&c:flqqYvW<":;$m4dnww[tY2 82"o6j`uu]r[0.61 h0hb{{_p]6,7/d3me~xRP5)03-f=cg|~T}R;'20+`?air|V{T9%<=)b9gkprXyV?#>>'l;emvpZwX=!8?%n5kotv\uZ3/:<#h7iazt^s\1-41!j1ocxzPq^7+62/d3me~xRP5)0;-f=cg|~T}R;'28+a?air|V{T9%=&c:flqqYvW<"8<$m4dnww[tY2 :;"o6j`uu]r[0.4: i0hb{{_p]6,65.k2ndyyQ~_4*00,ebh}}UzS8&<6(a8`jssWxU>$>9&c:flqqYvW<"84$m4dnww[tY2 :3"n6j`uu]r[0.3!j1ocxzPq^7+05/d3me~xRP5)62-f=cg|~T}R;'43+`?air|V{T9%:<)b9gkprXyV?#89'l;emvpZwX=!>>%n5kotv\uZ3/$5'm;emvpZwX=!3"i6j`uu]r[0:3>3:5n6j`uu]r[3.7!k1ocxzPq^4+5,ebh}}UzS;&>1(a8`jssWxU=$<<&c:flqqYvW?":?$m4dnww[tY1 8>"o6j`uu]r[3.6= i0hb{{_p]5,40.k2ndyyQ~_7*23,ebh}}UzS;&>9(`8`jssWxU=$?'l;emvpZwX>!8;%n5kotv\uZ0/:8#h7iazt^s\2-45!j1ocxzPq^4+66/d3me~xRP6)07-f=cg|~T}R8'24+`?air|V{T:%<9)b9gkprXyV<#>:'l;emvpZwX>!83%n5kotv\uZ0/:0#i7iazt^s\2-5.k2ndyyQ~_7*04,ebh}}UzS;&<2(a8`jssWxU=$>=&c:flqqYvW?"88$m4dnww[tY1 :?"o6j`uu]r[3.4> i0hb{{_p]5,61.k2ndyyQ~_7*0<,ebh}}UzS;&;)b9gkprXyV<#8='l;emvpZwX>!>:%n5kotv\uZ0/<;#h7iazt^s\2-24!j1ocxzPq^4+01/d3me~xRP6)66-f=cg|~T}R8'47+a?air|V{T:%;&b:flqqYvW?"=%o5kotv\uZ0/? h0hb{{_p]5,=/e3me~xRP6);*a>bh}}UzS;2;6;2=f>bh}}UzS:&?)c9gkprXyV=#=$m4dnww[tY0 8:"o6j`uu]r[2.69 i0hb{{_p]4,44.k2ndyyQ~_6*27,ebh}}UzS:&>5(a8`jssWxU<$<8&c:flqqYvW>":;$m4dnww[tY0 82"o6j`uu]r[2.61 h0hb{{_p]4,7/d3me~xRP7)03-f=cg|~T}R9'20+`?air|V{T;%<=)b9gkprXyV=#>>'l;emvpZwX?!8?%n5kotv\uZ1/:<#h7iazt^s\3-41!j1ocxzPq^5+62/d3me~xRP7)0;-f=cg|~T}R9'28+a?air|V{T;%=&c:flqqYvW>"8<$m4dnww[tY0 :;"o6j`uu]r[2.4: i0hb{{_p]4,65.k2ndyyQ~_6*00,ebh}}UzS:&<6(a8`jssWxU<$>9&c:flqqYvW>"84$m4dnww[tY0 :3"n6j`uu]r[2.3!j1ocxzPq^5+05/d3me~xRP7)62-f=cg|~T}R9'43+`?air|V{T;%:<)b9gkprXyV=#89'l;emvpZwX?!>>%n5kotv\uZ1/3:5n6j`uu]r[=.7!k1ocxzPq^:+5,ebh}}UzS5&>1(a8`jssWxU3$<<&c:flqqYvW1":?$m4dnww[tY? 8>"o6j`uu]r[=.6= i0hb{{_p];,40.k2ndyyQ~_9*23,ebh}}UzS5&>9(`8`jssWxU3$?'l;emvpZwX0!8;%n5kotv\uZ>/:8#h7iazt^s\<-45!j1ocxzPq^:+66/d3me~xRP8)07-f=cg|~T}R6'24+`?air|V{T4%<9)b9gkprXyV2#>:'l;emvpZwX0!83%n5kotv\uZ>/:0#i7iazt^s\<-5.k2ndyyQ~_9*04,ebh}}UzS5&<2(a8`jssWxU3$>=&c:flqqYvW1"88$m4dnww[tY? :?"o6j`uu]r[=.4> i0hb{{_p];,61.k2ndyyQ~_9*0<,ebh}}UzS5&;)b9gkprXyV2#8='l;emvpZwX0!>:%n5kotv\uZ>/<;#h7iazt^s\<-24!j1ocxzPq^:+01/d3me~xRP8)66-f=cg|~T}R6'47+a?air|V{T4%;&b:flqqYvW1"=%o5kotv\uZ>/? h0hb{{_p];,=/e3me~xRP8);*a>bh}}UzS52;6;2=f>bh}}UzS4&?)c9gkprXyV3#=$m4dnww[tY> 8:"o6j`uu]r[<.69 i0hb{{_p]:,44.k2ndyyQ~_8*27,ebh}}UzS4&>5(a8`jssWxU2$<8&c:flqqYvW0":;$m4dnww[tY> 82"o6j`uu]r[<.61 h0hb{{_p]:,7/d3me~xRP9)03-f=cg|~T}R7'20+`?air|V{T5%<=)b9gkprXyV3#>>'l;emvpZwX1!8?%n5kotv\uZ?/:<#h7iazt^s\=-41!j1ocxzPq^;+62/d3me~xRP9)0;-f=cg|~T}R7'28+a?air|V{T5%=&c:flqqYvW0"8<$m4dnww[tY> :;"o6j`uu]r[<.4: i0hb{{_p]:,65.k2ndyyQ~_8*00,ebh}}UzS4&<6(a8`jssWxU2$>9&c:flqqYvW0"84$m4dnww[tY> :3"n6j`uu]r[<.3!j1ocxzPq^;+05/d3me~xRP9)62-f=cg|~T}R7'43+`?air|V{T5%:<)b9gkprXyV3#89'l;emvpZwX1!>>%n5kotv\uZ?/3:556jr^pbiZ7>3mzySob_3;8`utXzhgT?45kps]qehY312n{~R|nm^7:?avuW{kfS;74dqp\vdkX?01o|Q}al];<>ccao8ekij4fhnwawYtme";%k5iimvfvZubd5:1<3.lke(fYhx}cfewo{inl>5)eoigUbbRokv<2/gmgiWzogSzgkti?2(fjhkbUm~h265234567$jenjRcjmu{sa86+kfomSkco`f>5)ehmoUu}k20-alacYpam~c1<6#cnn\gjhaan7< nac_lmga86+kffT|d~j_mgm91*ci}kTahc{yqg>4)bf|hUu}k20-fbpdYpam~c1?:#d`vbtdroWdofxt~j=1.gafojW{ki0<#fhnwawYfzjb6=!hflugq[vckW~coxe3>,gkop`tX|pzn1;"fnugqtZtf|l7: d~mugd\i`ksqyo6#otvsqqYumn7: b{{ptv\slbs`4>< o{e^akekabW}s{i0>#rnwm`Zkhll7; ~obpmg\bwcv5?>;<=>?0-q`hwYcm}oyad`fsuk`94*tqdgnxw21-xfvjYwijabbjQiabvlv87+u8o0dojjc0]emwYdgdzb`h|Pv4]3[}usW880bi64nfaaqljc:2dd?6|ky29qba2<{jfyn6}fg12345679k1xej>?012347d<{`m;<=>?011a?vo`89:;<=>;b:qjc56789:;9o5|if2345678?h0di?0123451e3zcl<=>?012;f>uno9:;<=>?9c9pmb6789:;?0123`g=tan:;<=>?0d`8wla789:;<=hm;rkd456789;;n6}fg12345669k1xej>?012357d<{`m;<=>?001a?vo`89:;<=?;b:qjc56789::9o5|if2345679?h0di?0123441e3zcl<=>?013;f>uno9:;<=>>9c9pmb6789:;=ll4she3456788hi7~gh0123457dj2ybk=>?0122`g=tan:;<=>?1d`8wla789:;<?012367d<{`m;<=>?031a?vo`89:;<=<;b:qjc56789:99o5|if234567:?h0di?0123471e3zcl<=>?010;f>uno9:;<=>=9c9pmb6789:;>ll4she345678;hi7~gh0123454dj2ybk=>?0121`g=tan:;<=>?2d`8wla789:;?012377d<{`m;<=>?021a?vo`89:;<==;b:qjc56789:89o5|if234567;?h0di?0123461e3zcl<=>?011;f>uno9:;<=><9c9pmb6789:;?ll4she345678:hi7~gh0123455dj2ybk=>?0120`g=tan:;<=>?3d`8wla789:;<>hm;rkd456789>;n6}fg12345639k1xej>?012307d<{`m;<=>?051a?vo`89:;<=:;b:qjc56789:?9o5|if234567?016;f>uno9:;<=>;9c9pmb6789:;8ll4she345678=hi7~gh0123452dj2ybk=>?0127`g=tan:;<=>?4d`8wla789:;<9hm;rkd456789?;n6}fg12345629k1xej>?012317d<{`m;<=>?041a?vo`89:;<=;;b:qjc56789:>9o5|if234567=?h0di?0123401e3zcl<=>?017;f>uno9:;<=>:9c9pmb6789:;9ll4she345678?0126`g=tan:;<=>?5d`8wla789:;<8hm;rkd456789<;n6}fg12345619k1xej>?012327d<{`m;<=>?071a?vo`89:;<=8;b:qjc56789:=9o5|if234567>?h0di?0123431e3zcl<=>?014;f>uno9:;<=>99c9pmb6789:;:ll4she345678?hi7~gh0123450dj2ybk=>?0125`g=tan:;<=>?6d`8wla789:;<;hm;rkd456789=;n6}fg12345609k1xej>?012337d<{`m;<=>?061a?vo`89:;<=9;b:qjc56789:<9o5|if234567??h0di?0123421e3zcl<=>?015;f>uno9:;<=>89c9pmb6789:;;ll4she345678>hi7~gh0123451dj2ybk=>?0124`g=tan:;<=>?7d`8wla789:;<:hm;rkd4567892;n6}fg123456?9k1xej>?0123<7d<{`m;<=>?091a?vo`89:;<=6;b:qjc56789:39o5|if2345670?h0di?01234=1e3zcl<=>?01:;f>uno9:;<=>79c9pmb6789:;4ll4she3456781hi7~gh012345>dj2ybk=>?012;`g=tan:;<=>?8d`8wla789:;<5hm;rkd4567893;n6}fg123456>9k1xej>?0123=7d<{`m;<=>?081a?vo`89:;<=7;b:qjc56789:29o5|if2345671?h0di?01234<1e3zcl<=>?01;;f>uno9:;<=>69c9pmb6789:;5ll4she3456780hi7~gh012345?dj2ybk=>?012:`g=tan:;<=>?9d`8wla789:;<4hm;rkd456789k;n6}fg123456f9k1xej>?0123e7d<{`m;<=>?0`1a?vo`89:;<=o;b:qjc56789:j9o5|if234567i?h0di?01234d1e3zcl<=>?01c;f>uno9:;<=>n9c9pmb6789:;mll4she345678hhi7~gh012345gdj2ybk=>?012b`g=tan:;<=>?ad`8wla789:;?0123f7d<{`m;<=>?0c1a?vo`89:;<=l;b:qjc56789:i9o5|if234567j?h0di?01234g1e3zcl<=>?01`;f>uno9:;<=>m9c9pmb6789:;nll4she345678khi7~gh012345ddj2ybk=>?012a`g=tan:;<=>?bd`8wla789:;?0123g7d<{`m;<=>?0b1a?vo`89:;<=m;b:qjc56789:h9o5|if234567k?h0di?01234f1e3zcl<=>?01a;f>uno9:;<=>l9c9pmb6789:;oll4she345678jhi7~gh012345edj2ybk=>?012``g=tan:;<=>?cd`8wla789:;?0123`7d<{`m;<=>?0e1a?vo`89:;<=j;b:qjc56789:o9o5|if234567l?h0di?01234a1e3zcl<=>?01f;f>uno9:;<=>k9c9pmb6789:;hll4she345678mhi7~gh012345bdj2ybk=>?012g`g=tan:;<=>?dd`8wla789:;?0123a7d<{`m;<=>?0d1a?vo`89:;<=k;b:qjc56789:n9o5|if234567m?h0di?01234`1e3zcl<=>?01g;f>uno9:;<=>j9c9pmb6789:;ill4she345678lhi7~gh012345cdj2ybk=>?012f`g=tan:;<=>?ed`8wla789:;?0123b7d<{`m;<=>?0g1a?vo`89:;<=h;b:qjc56789:m9o5|if234567n?h0di?01234c1e3zcl<=>?01d;f>uno9:;<=>i9c9pmb6789:;jll4she345678ohi7~gh012345`dj2ybk=>?012e`g=tan:;<=>?fd`8wla789:;?012247d<{`m;<=>?111a?vo`89:;<<>;b:qjc56789;;9o5|if2345668?h0di?0123551e3zcl<=>?002;f>uno9:;<=??9c9pmb6789::?0133`g=tan:;<=>>0d`8wla789:;==hm;rkd456788;;n6}fg12345769k1xej>?012257d<{`m;<=>?101a?vo`89:;<?003;f>uno9:;<=?>9c9pmb6789::=ll4she3456798hi7~gh0123447dj2ybk=>?0132`g=tan:;<=>>1d`8wla789:;=?012267d<{`m;<=>?131a?vo`89:;<<<;b:qjc56789;99o5|if234566:?h0di?0123571e3zcl<=>?000;f>uno9:;<=?=9c9pmb6789::>ll4she345679;hi7~gh0123444dj2ybk=>?0131`g=tan:;<=>>2d`8wla789:;=?hm;rkd4567889;n6}fg12345749k1xej>?012277d<{`m;<=>?121a?vo`89:;<<=;b:qjc56789;89o5|if234566;?h0di?0123561e3zcl<=>?001;f>uno9:;<=?<9c9pmb6789::?ll4she345679:hi7~gh0123445dj2ybk=>?0130`g=tan:;<=>>3d`8wla789:;=>hm;rkd456788>;n6}fg12345739k1xej>?012207d<{`m;<=>?151a?vo`89:;<<:;b:qjc56789;?9o5|if234566?006;f>uno9:;<=?;9c9pmb6789::8ll4she345679=hi7~gh0123442dj2ybk=>?0137`g=tan:;<=>>4d`8wla789:;=9hm;rkd456788?;n6}fg12345729k1xej>?012217d<{`m;<=>?141a?vo`89:;<<;;b:qjc56789;>9o5|if234566=?h0di?0123501e3zcl<=>?007;f>uno9:;<=?:9c9pmb6789::9ll4she345679?0136`g=tan:;<=>>5d`8wla789:;=8hm;rkd456788<;n6}fg12345719k1xej>?012227d<{`m;<=>?171a?vo`89:;<<8;b:qjc56789;=9o5|if234566>?h0di?0123531e3zcl<=>?004;f>uno9:;<=?99c9pmb6789:::ll4she345679?hi7~gh0123440dj2ybk=>?0135`g=tan:;<=>>6d`8wla789:;=;hm;rkd456788=;n6}fg12345709k1xej>?012237d<{`m;<=>?161a?vo`89:;<<9;b:qjc56789;<9o5|if234566??h0di?0123521e3zcl<=>?005;f>uno9:;<=?89c9pmb6789::;ll4she345679>hi7~gh0123441dj2ybk=>?0134`g=tan:;<=>>7d`8wla789:;=:hm;rkd4567882;n6}fg123457?9k1xej>?0122<7d<{`m;<=>?191a?vo`89:;<<6;b:qjc56789;39o5|if2345660?h0di?01235=1e3zcl<=>?00:;f>uno9:;<=?79c9pmb6789::4ll4she3456791hi7~gh012344>dj2ybk=>?013;`g=tan:;<=>>8d`8wla789:;=5hm;rkd4567883;n6}fg123457>9k1xej>?0122=7d<{`m;<=>?181a?vo`89:;<<7;b:qjc56789;29o5|if2345661?h0di?01235<1e3zcl<=>?00;;f>uno9:;<=?69c9pmb6789::5ll4she3456790hi7~gh012344?dj2ybk=>?013:`g=tan:;<=>>9d`8wla789:;=4hm;rkd456788k;n6}fg123457f9k1xej>?0122e7d<{`m;<=>?1`1a?vo`89:;<?00c;f>uno9:;<=?n9c9pmb6789::mll4she345679hhi7~gh012344gdj2ybk=>?013b`g=tan:;<=>>ad`8wla789:;=lhm;rkd456788h;n6}fg123457e9k1xej>?0122f7d<{`m;<=>?1c1a?vo`89:;<?00`;f>uno9:;<=?m9c9pmb6789::nll4she345679khi7~gh012344ddj2ybk=>?013a`g=tan:;<=>>bd`8wla789:;=ohm;rkd456788i;n6}fg123457d9k1xej>?0122g7d<{`m;<=>?1b1a?vo`89:;<?00a;f>uno9:;<=?l9c9pmb6789::oll4she345679jhi7~gh012344edj2ybk=>?013``g=tan:;<=>>cd`8wla789:;=nhm;rkd456788n;n6}fg123457c9k1xej>?0122`7d<{`m;<=>?1e1a?vo`89:;<?00f;f>uno9:;<=?k9c9pmb6789::hll4she345679mhi7~gh012344bdj2ybk=>?013g`g=tan:;<=>>dd`8wla789:;=ihm;rkd456788o;n6}fg123457b9k1xej>?0122a7d<{`m;<=>?1d1a?vo`89:;<?00g;f>uno9:;<=?j9c9pmb6789::ill4she345679lhi7~gh012344cdj2ybk=>?013f`g=tan:;<=>>ed`8wla789:;=hhm;rkd456788l;n6}fg123457a9k1xej>?0122b7d<{`m;<=>?1g1a?vo`89:;<?00d;f>uno9:;<=?i9c9pmb6789::jll4she345679ohi7~gh012344`dj2ybk=>?013e`g=tan:;<=>>fd`8wla789:;=khm;rkd45678;:;n6}fg12345479k1xej>?012147d<{`m;<=>?211a?vo`89:;;b:qjc567898;9o5|if2345658?h0di?0123651e3zcl<=>?032;f>uno9:;<=?0103`g=tan:;<=>=0d`8wla789:;>=hm;rkd45678;;;n6}fg12345469k1xej>?012157d<{`m;<=>?201a?vo`89:;?033;f>uno9:;<=<>9c9pmb6789:9=ll4she34567:8hi7~gh0123477dj2ybk=>?0102`g=tan:;<=>=1d`8wla789:;>?012167d<{`m;<=>?231a?vo`89:;?030;f>uno9:;<=<=9c9pmb6789:9>ll4she34567:;hi7~gh0123474dj2ybk=>?0101`g=tan:;<=>=2d`8wla789:;>?hm;rkd45678;9;n6}fg12345449k1xej>?012177d<{`m;<=>?221a?vo`89:;?031;f>uno9:;<=<<9c9pmb6789:9?ll4she34567::hi7~gh0123475dj2ybk=>?0100`g=tan:;<=>=3d`8wla789:;>>hm;rkd45678;>;n6}fg12345439k1xej>?012107d<{`m;<=>?251a?vo`89:;?036;f>uno9:;<=<;9c9pmb6789:98ll4she34567:=hi7~gh0123472dj2ybk=>?0107`g=tan:;<=>=4d`8wla789:;>9hm;rkd45678;?;n6}fg12345429k1xej>?012117d<{`m;<=>?241a?vo`89:;9o5|if234565=?h0di?0123601e3zcl<=>?037;f>uno9:;<=<:9c9pmb6789:99ll4she34567:?0106`g=tan:;<=>=5d`8wla789:;>8hm;rkd45678;<;n6}fg12345419k1xej>?012127d<{`m;<=>?271a?vo`89:;?h0di?0123631e3zcl<=>?034;f>uno9:;<=<99c9pmb6789:9:ll4she34567:?hi7~gh0123470dj2ybk=>?0105`g=tan:;<=>=6d`8wla789:;>;hm;rkd45678;=;n6}fg12345409k1xej>?012137d<{`m;<=>?261a?vo`89:;?035;f>uno9:;<=<89c9pmb6789:9;ll4she34567:>hi7~gh0123471dj2ybk=>?0104`g=tan:;<=>=7d`8wla789:;>:hm;rkd45678;2;n6}fg123454?9k1xej>?0121<7d<{`m;<=>?291a?vo`89:;?03:;f>uno9:;<=<79c9pmb6789:94ll4she34567:1hi7~gh012347>dj2ybk=>?010;`g=tan:;<=>=8d`8wla789:;>5hm;rkd45678;3;n6}fg123454>9k1xej>?0121=7d<{`m;<=>?281a?vo`89:;?03;;f>uno9:;<=<69c9pmb6789:95ll4she34567:0hi7~gh012347?dj2ybk=>?010:`g=tan:;<=>=9d`8wla789:;>4hm;rkd45678;k;n6}fg123454f9k1xej>?0121e7d<{`m;<=>?2`1a?vo`89:;?03c;f>uno9:;<=?010b`g=tan:;<=>=ad`8wla789:;>lhm;rkd45678;h;n6}fg123454e9k1xej>?0121f7d<{`m;<=>?2c1a?vo`89:;?03`;f>uno9:;<=?010a`g=tan:;<=>=bd`8wla789:;>ohm;rkd45678;i;n6}fg123454d9k1xej>?0121g7d<{`m;<=>?2b1a?vo`89:;?03a;f>uno9:;<=?010``g=tan:;<=>=cd`8wla789:;>nhm;rkd45678;n;n6}fg123454c9k1xej>?0121`7d<{`m;<=>?2e1a?vo`89:;?03f;f>uno9:;<=?010g`g=tan:;<=>=dd`8wla789:;>ihm;rkd45678;o;n6}fg123454b9k1xej>?0121a7d<{`m;<=>?2d1a?vo`89:;?03g;f>uno9:;<=?010f`g=tan:;<=>=ed`8wla789:;>hhm;rkd45678;l;n6}fg123454a9k1xej>?0121b7d<{`m;<=>?2g1a?vo`89:;?03d;f>uno9:;<=?010e`g=tan:;<=>=fd`8wla789:;>khm;rkd45678::;n6}fg12345579k1xej>?012047d<{`m;<=>?311a?vo`89:;<>>;b:qjc567899;9o5|if2345648?h0di?0123751e3zcl<=>?022;f>uno9:;<==?9c9pmb6789:8?0113`g=tan:;<=><0d`8wla789:;?=hm;rkd45678:;;n6}fg12345569k1xej>?012057d<{`m;<=>?301a?vo`89:;<>?;b:qjc567899:9o5|if2345649?h0di?0123741e3zcl<=>?023;f>uno9:;<==>9c9pmb6789:8=ll4she34567;8hi7~gh0123467dj2ybk=>?0112`g=tan:;<=><1d`8wla789:;??012067d<{`m;<=>?331a?vo`89:;<><;b:qjc56789999o5|if234564:?h0di?0123771e3zcl<=>?020;f>uno9:;<===9c9pmb6789:8>ll4she34567;;hi7~gh0123464dj2ybk=>?0111`g=tan:;<=><2d`8wla789:;??hm;rkd45678:9;n6}fg12345549k1xej>?012077d<{`m;<=>?321a?vo`89:;<>=;b:qjc56789989o5|if234564;?h0di?0123761e3zcl<=>?021;f>uno9:;<==<9c9pmb6789:8?ll4she34567;:hi7~gh0123465dj2ybk=>?0110`g=tan:;<=><3d`8wla789:;?>hm;rkd45678:>;n6}fg12345539k1xej>?012007d<{`m;<=>?351a?vo`89:;<>:;b:qjc567899?9o5|if234564?026;f>uno9:;<==;9c9pmb6789:88ll4she34567;=hi7~gh0123462dj2ybk=>?0117`g=tan:;<=><4d`8wla789:;?9hm;rkd45678:?;n6}fg12345529k1xej>?012017d<{`m;<=>?341a?vo`89:;<>;;b:qjc567899>9o5|if234564=?h0di?0123701e3zcl<=>?027;f>uno9:;<==:9c9pmb6789:89ll4she34567;?0116`g=tan:;<=><5d`8wla789:;?8hm;rkd45678:<;n6}fg12345519k1xej>?012027d<{`m;<=>?371a?vo`89:;<>8;b:qjc567899=9o5|if234564>?h0di?0123731e3zcl<=>?024;f>uno9:;<==99c9pmb6789:8:ll4she34567;?hi7~gh0123460dj2ybk=>?0115`g=tan:;<=><6d`8wla789:;?;hm;rkd45678:=;n6}fg12345509k1xej>?012037d<{`m;<=>?361a?vo`89:;<>9;b:qjc567899<9o5|if234564??h0di?0123721e3zcl<=>?025;f>uno9:;<==89c9pmb6789:8;ll4she34567;>hi7~gh0123461dj2ybk=>?0114`g=tan:;<=><7d`8wla789:;?:hm;rkd45678:2;n6}fg123455?9k1xej>?0120<7d<{`m;<=>?391a?vo`89:;<>6;b:qjc56789939o5|if2345640?h0di?01237=1e3zcl<=>?02:;f>uno9:;<==79c9pmb6789:84ll4she34567;1hi7~gh012346>dj2ybk=>?011;`g=tan:;<=><8d`8wla789:;?5hm;rkd45678:3;n6}fg123455>9k1xej>?0120=7d<{`m;<=>?381a?vo`89:;<>7;b:qjc56789929o5|if2345641?h0di?01237<1e3zcl<=>?02;;f>uno9:;<==69c9pmb6789:85ll4she34567;0hi7~gh012346?dj2ybk=>?011:`g=tan:;<=><9d`8wla789:;?4hm;rkd45678:k;n6}fg123455f9k1xej>?0120e7d<{`m;<=>?3`1a?vo`89:;<>o;b:qjc567899j9o5|if234564i?h0di?01237d1e3zcl<=>?02c;f>uno9:;<==n9c9pmb6789:8mll4she34567;hhi7~gh012346gdj2ybk=>?011b`g=tan:;<=>?0120f7d<{`m;<=>?3c1a?vo`89:;<>l;b:qjc567899i9o5|if234564j?h0di?01237g1e3zcl<=>?02`;f>uno9:;<==m9c9pmb6789:8nll4she34567;khi7~gh012346ddj2ybk=>?011a`g=tan:;<=>?0120g7d<{`m;<=>?3b1a?vo`89:;<>m;b:qjc567899h9o5|if234564k?h0di?01237f1e3zcl<=>?02a;f>uno9:;<==l9c9pmb6789:8oll4she34567;jhi7~gh012346edj2ybk=>?011``g=tan:;<=>?0120`7d<{`m;<=>?3e1a?vo`89:;<>j;b:qjc567899o9o5|if234564l?h0di?01237a1e3zcl<=>?02f;f>uno9:;<==k9c9pmb6789:8hll4she34567;mhi7~gh012346bdj2ybk=>?011g`g=tan:;<=>?0120a7d<{`m;<=>?3d1a?vo`89:;<>k;b:qjc567899n9o5|if234564m?h0di?01237`1e3zcl<=>?02g;f>uno9:;<==j9c9pmb6789:8ill4she34567;lhi7~gh012346cdj2ybk=>?011f`g=tan:;<=>?0120b7d<{`m;<=>?3g1a?vo`89:;<>h;b:qjc567899m9o5|if234564n?h0di?01237c1e3zcl<=>?02d;f>uno9:;<==i9c9pmb6789:8jll4she34567;ohi7~gh012346`dj2ybk=>?011e`g=tan:;<=>?012747d<{`m;<=>?411a?vo`89:;<9>;b:qjc56789>;9o5|if2345638?h0di?0123051e3zcl<=>?052;f>uno9:;<=:?9c9pmb6789:??0163`g=tan:;<=>;0d`8wla789:;8=hm;rkd45678=;;n6}fg12345269k1xej>?012757d<{`m;<=>?401a?vo`89:;<9?;b:qjc56789>:9o5|if2345639?h0di?0123041e3zcl<=>?053;f>uno9:;<=:>9c9pmb6789:?=ll4she34567<8hi7~gh0123417dj2ybk=>?0162`g=tan:;<=>;1d`8wla789:;8?012767d<{`m;<=>?431a?vo`89:;<9<;b:qjc56789>99o5|if234563:?h0di?0123071e3zcl<=>?050;f>uno9:;<=:=9c9pmb6789:?>ll4she34567<;hi7~gh0123414dj2ybk=>?0161`g=tan:;<=>;2d`8wla789:;8?hm;rkd45678=9;n6}fg12345249k1xej>?012777d<{`m;<=>?421a?vo`89:;<9=;b:qjc56789>89o5|if234563;?h0di?0123061e3zcl<=>?051;f>uno9:;<=:<9c9pmb6789:??ll4she34567<:hi7~gh0123415dj2ybk=>?0160`g=tan:;<=>;3d`8wla789:;8>hm;rkd45678=>;n6}fg12345239k1xej>?012707d<{`m;<=>?451a?vo`89:;<9:;b:qjc56789>?9o5|if234563?056;f>uno9:;<=:;9c9pmb6789:?8ll4she34567<=hi7~gh0123412dj2ybk=>?0167`g=tan:;<=>;4d`8wla789:;89hm;rkd45678=?;n6}fg12345229k1xej>?012717d<{`m;<=>?441a?vo`89:;<9;;b:qjc56789>>9o5|if234563=?h0di?0123001e3zcl<=>?057;f>uno9:;<=::9c9pmb6789:?9ll4she34567<?0166`g=tan:;<=>;5d`8wla789:;88hm;rkd45678=<;n6}fg12345219k1xej>?012727d<{`m;<=>?471a?vo`89:;<98;b:qjc56789>=9o5|if234563>?h0di?0123031e3zcl<=>?054;f>uno9:;<=:99c9pmb6789:?:ll4she34567?0165`g=tan:;<=>;6d`8wla789:;8;hm;rkd45678==;n6}fg12345209k1xej>?012737d<{`m;<=>?461a?vo`89:;<99;b:qjc56789><9o5|if234563??h0di?0123021e3zcl<=>?055;f>uno9:;<=:89c9pmb6789:?;ll4she34567<>hi7~gh0123411dj2ybk=>?0164`g=tan:;<=>;7d`8wla789:;8:hm;rkd45678=2;n6}fg123452?9k1xej>?0127<7d<{`m;<=>?491a?vo`89:;<96;b:qjc56789>39o5|if2345630?h0di?01230=1e3zcl<=>?05:;f>uno9:;<=:79c9pmb6789:?4ll4she34567<1hi7~gh012341>dj2ybk=>?016;`g=tan:;<=>;8d`8wla789:;85hm;rkd45678=3;n6}fg123452>9k1xej>?0127=7d<{`m;<=>?481a?vo`89:;<97;b:qjc56789>29o5|if2345631?h0di?01230<1e3zcl<=>?05;;f>uno9:;<=:69c9pmb6789:?5ll4she34567<0hi7~gh012341?dj2ybk=>?016:`g=tan:;<=>;9d`8wla789:;84hm;rkd45678=k;n6}fg123452f9k1xej>?0127e7d<{`m;<=>?4`1a?vo`89:;<9o;b:qjc56789>j9o5|if234563i?h0di?01230d1e3zcl<=>?05c;f>uno9:;<=:n9c9pmb6789:?mll4she34567?016b`g=tan:;<=>;ad`8wla789:;8lhm;rkd45678=h;n6}fg123452e9k1xej>?0127f7d<{`m;<=>?4c1a?vo`89:;<9l;b:qjc56789>i9o5|if234563j?h0di?01230g1e3zcl<=>?05`;f>uno9:;<=:m9c9pmb6789:?nll4she34567?016a`g=tan:;<=>;bd`8wla789:;8ohm;rkd45678=i;n6}fg123452d9k1xej>?0127g7d<{`m;<=>?4b1a?vo`89:;<9m;b:qjc56789>h9o5|if234563k?h0di?01230f1e3zcl<=>?05a;f>uno9:;<=:l9c9pmb6789:?oll4she34567?016``g=tan:;<=>;cd`8wla789:;8nhm;rkd45678=n;n6}fg123452c9k1xej>?0127`7d<{`m;<=>?4e1a?vo`89:;<9j;b:qjc56789>o9o5|if234563l?h0di?01230a1e3zcl<=>?05f;f>uno9:;<=:k9c9pmb6789:?hll4she34567?016g`g=tan:;<=>;dd`8wla789:;8ihm;rkd45678=o;n6}fg123452b9k1xej>?0127a7d<{`m;<=>?4d1a?vo`89:;<9k;b:qjc56789>n9o5|if234563m?h0di?01230`1e3zcl<=>?05g;f>uno9:;<=:j9c9pmb6789:?ill4she34567?016f`g=tan:;<=>;ed`8wla789:;8hhm;rkd45678=l;n6}fg123452a9k1xej>?0127b7d<{`m;<=>?4g1a?vo`89:;<9h;b:qjc56789>m9o5|if234563n?h0di?01230c1e3zcl<=>?05d;f>uno9:;<=:i9c9pmb6789:?jll4she34567?016e`g=tan:;<=>;fd`8wla789:;8khm;rkd45678<:;n6}fg12345379k1xej>?012647d<{`m;<=>?511a?vo`89:;<8>;b:qjc56789?;9o5|if2345628?h0di?0123151e3zcl<=>?042;f>uno9:;<=;?9c9pmb6789:>?0173`g=tan:;<=>:0d`8wla789:;9=hm;rkd45678<;;n6}fg12345369k1xej>?012657d<{`m;<=>?501a?vo`89:;<8?;b:qjc56789?:9o5|if2345629?h0di?0123141e3zcl<=>?043;f>uno9:;<=;>9c9pmb6789:>=ll4she34567=8hi7~gh0123407dj2ybk=>?0172`g=tan:;<=>:1d`8wla789:;9?012667d<{`m;<=>?531a?vo`89:;<8<;b:qjc56789?99o5|if234562:?h0di?0123171e3zcl<=>?040;f>uno9:;<=;=9c9pmb6789:>>ll4she34567=;hi7~gh0123404dj2ybk=>?0171`g=tan:;<=>:2d`8wla789:;9?hm;rkd45678<9;n6}fg12345349k1xej>?012677d<{`m;<=>?521a?vo`89:;<8=;b:qjc56789?89o5|if234562;?h0di?0123161e3zcl<=>?041;f>uno9:;<=;<9c9pmb6789:>?ll4she34567=:hi7~gh0123405dj2ybk=>?0170`g=tan:;<=>:3d`8wla789:;9>hm;rkd45678<>;n6}fg12345339k1xej>?012607d<{`m;<=>?551a?vo`89:;<8:;b:qjc56789??9o5|if234562?046;f>uno9:;<=;;9c9pmb6789:>8ll4she34567==hi7~gh0123402dj2ybk=>?0177`g=tan:;<=>:4d`8wla789:;99hm;rkd45678?012617d<{`m;<=>?541a?vo`89:;<8;;b:qjc56789?>9o5|if234562=?h0di?0123101e3zcl<=>?047;f>uno9:;<=;:9c9pmb6789:>9ll4she34567=?0176`g=tan:;<=>:5d`8wla789:;98hm;rkd45678<<;n6}fg12345319k1xej>?012627d<{`m;<=>?571a?vo`89:;<88;b:qjc56789?=9o5|if234562>?h0di?0123131e3zcl<=>?044;f>uno9:;<=;99c9pmb6789:>:ll4she34567=?hi7~gh0123400dj2ybk=>?0175`g=tan:;<=>:6d`8wla789:;9;hm;rkd45678<=;n6}fg12345309k1xej>?012637d<{`m;<=>?561a?vo`89:;<89;b:qjc56789?<9o5|if234562??h0di?0123121e3zcl<=>?045;f>uno9:;<=;89c9pmb6789:>;ll4she34567=>hi7~gh0123401dj2ybk=>?0174`g=tan:;<=>:7d`8wla789:;9:hm;rkd45678<2;n6}fg123453?9k1xej>?0126<7d<{`m;<=>?591a?vo`89:;<86;b:qjc56789?39o5|if2345620?h0di?01231=1e3zcl<=>?04:;f>uno9:;<=;79c9pmb6789:>4ll4she34567=1hi7~gh012340>dj2ybk=>?017;`g=tan:;<=>:8d`8wla789:;95hm;rkd45678<3;n6}fg123453>9k1xej>?0126=7d<{`m;<=>?581a?vo`89:;<87;b:qjc56789?29o5|if2345621?h0di?01231<1e3zcl<=>?04;;f>uno9:;<=;69c9pmb6789:>5ll4she34567=0hi7~gh012340?dj2ybk=>?017:`g=tan:;<=>:9d`8wla789:;94hm;rkd45678?0126e7d<{`m;<=>?5`1a?vo`89:;<8o;b:qjc56789?j9o5|if234562i?h0di?01231d1e3zcl<=>?04c;f>uno9:;<=;n9c9pmb6789:>mll4she34567=hhi7~gh012340gdj2ybk=>?017b`g=tan:;<=>:ad`8wla789:;9lhm;rkd45678?0126f7d<{`m;<=>?5c1a?vo`89:;<8l;b:qjc56789?i9o5|if234562j?h0di?01231g1e3zcl<=>?04`;f>uno9:;<=;m9c9pmb6789:>nll4she34567=khi7~gh012340ddj2ybk=>?017a`g=tan:;<=>:bd`8wla789:;9ohm;rkd45678?0126g7d<{`m;<=>?5b1a?vo`89:;<8m;b:qjc56789?h9o5|if234562k?h0di?01231f1e3zcl<=>?04a;f>uno9:;<=;l9c9pmb6789:>oll4she34567=jhi7~gh012340edj2ybk=>?017``g=tan:;<=>:cd`8wla789:;9nhm;rkd45678?0126`7d<{`m;<=>?5e1a?vo`89:;<8j;b:qjc56789?o9o5|if234562l?h0di?01231a1e3zcl<=>?04f;f>uno9:;<=;k9c9pmb6789:>hll4she34567=mhi7~gh012340bdj2ybk=>?017g`g=tan:;<=>:dd`8wla789:;9ihm;rkd45678?0126a7d<{`m;<=>?5d1a?vo`89:;<8k;b:qjc56789?n9o5|if234562m?h0di?01231`1e3zcl<=>?04g;f>uno9:;<=;j9c9pmb6789:>ill4she34567=lhi7~gh012340cdj2ybk=>?017f`g=tan:;<=>:ed`8wla789:;9hhm;rkd45678?0126b7d<{`m;<=>?5g1a?vo`89:;<8h;b:qjc56789?m9o5|if234562n?h0di?01231c1e3zcl<=>?04d;f>uno9:;<=;i9c9pmb6789:>jll4she34567=ohi7~gh012340`dj2ybk=>?017e`g=tan:;<=>:fd`8wla789:;9khm;rkd45678?:;n6}fg12345079k1xej>?012547d<{`m;<=>?611a?vo`89:;<;>;b:qjc56789<;9o5|if2345618?h0di?0123251e3zcl<=>?072;f>uno9:;<=8?9c9pmb6789:=9hi7~gh0123436dj2ybk=>?0143`g=tan:;<=>90d`8wla789:;:=hm;rkd45678?;;n6}fg12345069k1xej>?012557d<{`m;<=>?601a?vo`89:;<;?;b:qjc56789<:9o5|if2345619?h0di?0123241e3zcl<=>?073;f>uno9:;<=8>9c9pmb6789:==ll4she34567>8hi7~gh0123437dj2ybk=>?0142`g=tan:;<=>91d`8wla789:;:?012567d<{`m;<=>?631a?vo`89:;<;<;b:qjc56789<99o5|if234561:?h0di?0123271e3zcl<=>?070;f>uno9:;<=8=9c9pmb6789:=>ll4she34567>;hi7~gh0123434dj2ybk=>?0141`g=tan:;<=>92d`8wla789:;:?hm;rkd45678?9;n6}fg12345049k1xej>?012577d<{`m;<=>?621a?vo`89:;<;=;b:qjc56789<89o5|if234561;?h0di?0123261e3zcl<=>?071;f>uno9:;<=8<9c9pmb6789:=?ll4she34567>:hi7~gh0123435dj2ybk=>?0140`g=tan:;<=>93d`8wla789:;:>hm;rkd45678?>;n6}fg12345039k1xej>?012507d<{`m;<=>?651a?vo`89:;<;:;b:qjc56789?076;f>uno9:;<=8;9c9pmb6789:=8ll4she34567>=hi7~gh0123432dj2ybk=>?0147`g=tan:;<=>94d`8wla789:;:9hm;rkd45678??;n6}fg12345029k1xej>?012517d<{`m;<=>?641a?vo`89:;<;;;b:qjc56789<>9o5|if234561=?h0di?0123201e3zcl<=>?077;f>uno9:;<=8:9c9pmb6789:=9ll4she34567>?0146`g=tan:;<=>95d`8wla789:;:8hm;rkd45678?<;n6}fg12345019k1xej>?012527d<{`m;<=>?671a?vo`89:;<;8;b:qjc56789<=9o5|if234561>?h0di?0123231e3zcl<=>?074;f>uno9:;<=899c9pmb6789:=:ll4she34567>?hi7~gh0123430dj2ybk=>?0145`g=tan:;<=>96d`8wla789:;:;hm;rkd45678?=;n6}fg12345009k1xej>?012537d<{`m;<=>?661a?vo`89:;<;9;b:qjc56789<<9o5|if234561??h0di?0123221e3zcl<=>?075;f>uno9:;<=889c9pmb6789:=;ll4she34567>>hi7~gh0123431dj2ybk=>?0144`g=tan:;<=>97d`8wla789:;::hm;rkd45678?2;n6}fg123450?9k1xej>?0125<7d<{`m;<=>?691a?vo`89:;<;6;b:qjc56789<39o5|if2345610?h0di?01232=1e3zcl<=>?07:;f>uno9:;<=879c9pmb6789:=4ll4she34567>1hi7~gh012343>dj2ybk=>?014;`g=tan:;<=>98d`8wla789:;:5hm;rkd45678?3;n6}fg123450>9k1xej>?0125=7d<{`m;<=>?681a?vo`89:;<;7;b:qjc56789<29o5|if2345611?h0di?01232<1e3zcl<=>?07;;f>uno9:;<=869c9pmb6789:=5ll4she34567>0hi7~gh012343?dj2ybk=>?014:`g=tan:;<=>99d`8wla789:;:4hm;rkd45678?k;n6}fg123450f9k1xej>?0125e7d<{`m;<=>?6`1a?vo`89:;<;o;b:qjc56789?07c;f>uno9:;<=8n9c9pmb6789:=mll4she34567>hhi7~gh012343gdj2ybk=>?014b`g=tan:;<=>9ad`8wla789:;:lhm;rkd45678?h;n6}fg123450e9k1xej>?0125f7d<{`m;<=>?6c1a?vo`89:;<;l;b:qjc56789?07`;f>uno9:;<=8m9c9pmb6789:=nll4she34567>khi7~gh012343ddj2ybk=>?014a`g=tan:;<=>9bd`8wla789:;:ohm;rkd45678?i;n6}fg123450d9k1xej>?0125g7d<{`m;<=>?6b1a?vo`89:;<;m;b:qjc56789?07a;f>uno9:;<=8l9c9pmb6789:=oll4she34567>jhi7~gh012343edj2ybk=>?014``g=tan:;<=>9cd`8wla789:;:nhm;rkd45678?n;n6}fg123450c9k1xej>?0125`7d<{`m;<=>?6e1a?vo`89:;<;j;b:qjc56789?07f;f>uno9:;<=8k9c9pmb6789:=hll4she34567>mhi7~gh012343bdj2ybk=>?014g`g=tan:;<=>9dd`8wla789:;:ihm;rkd45678?o;n6}fg123450b9k1xej>?0125a7d<{`m;<=>?6d1a?vo`89:;<;k;b:qjc56789?07g;f>uno9:;<=8j9c9pmb6789:=ill4she34567>lhi7~gh012343cdj2ybk=>?014f`g=tan:;<=>9ed`8wla789:;:hhm;rkd45678?l;n6}fg123450a9k1xej>?0125b7d<{`m;<=>?6g1a?vo`89:;<;h;b:qjc56789?07d;f>uno9:;<=8i9c9pmb6789:=jll4she34567>ohi7~gh012343`dj2ybk=>?014e`g=tan:;<=>9fd`8wla789:;:khm;rkd45678>:;n6}fg12345179k1xej>?012447d<{`m;<=>?711a?vo`89:;<:>;b:qjc56789=;9o5|if2345608?h0di?0123351e3zcl<=>?062;f>uno9:;<=9?9c9pmb6789:<?0153`g=tan:;<=>80d`8wla789:;;=hm;rkd45678>;;n6}fg12345169k1xej>?012457d<{`m;<=>?701a?vo`89:;<:?;b:qjc56789=:9o5|if2345609?h0di?0123341e3zcl<=>?063;f>uno9:;<=9>9c9pmb6789:<=ll4she34567?8hi7~gh0123427dj2ybk=>?0152`g=tan:;<=>81d`8wla789:;;8;n6}fg12345159k1xej>?012467d<{`m;<=>?731a?vo`89:;<:<;b:qjc56789=99o5|if234560:?h0di?0123371e3zcl<=>?060;f>uno9:;<=9=9c9pmb6789:<>ll4she34567?;hi7~gh0123424dj2ybk=>?0151`g=tan:;<=>82d`8wla789:;;?hm;rkd45678>9;n6}fg12345149k1xej>?012477d<{`m;<=>?721a?vo`89:;<:=;b:qjc56789=89o5|if234560;?h0di?0123361e3zcl<=>?061;f>uno9:;<=9<9c9pmb6789:?0150`g=tan:;<=>83d`8wla789:;;>hm;rkd45678>>;n6}fg12345139k1xej>?012407d<{`m;<=>?751a?vo`89:;<::;b:qjc56789=?9o5|if234560?066;f>uno9:;<=9;9c9pmb6789:<8ll4she34567?=hi7~gh0123422dj2ybk=>?0157`g=tan:;<=>84d`8wla789:;;9hm;rkd45678>?;n6}fg12345129k1xej>?012417d<{`m;<=>?741a?vo`89:;<:;;b:qjc56789=>9o5|if234560=?h0di?0123301e3zcl<=>?067;f>uno9:;<=9:9c9pmb6789:<9ll4she34567??0156`g=tan:;<=>85d`8wla789:;;8hm;rkd45678><;n6}fg12345119k1xej>?012427d<{`m;<=>?771a?vo`89:;<:8;b:qjc56789==9o5|if234560>?h0di?0123331e3zcl<=>?064;f>uno9:;<=999c9pmb6789:<:ll4she34567??hi7~gh0123420dj2ybk=>?0155`g=tan:;<=>86d`8wla789:;;;hm;rkd45678>=;n6}fg12345109k1xej>?012437d<{`m;<=>?761a?vo`89:;<:9;b:qjc56789=<9o5|if234560??h0di?0123321e3zcl<=>?065;f>uno9:;<=989c9pmb6789:<;ll4she34567?>hi7~gh0123421dj2ybk=>?0154`g=tan:;<=>87d`8wla789:;;:hm;rkd45678>2;n6}fg123451?9k1xej>?0124<7d<{`m;<=>?791a?vo`89:;<:6;b:qjc56789=39o5|if2345600?h0di?01233=1e3zcl<=>?06:;f>uno9:;<=979c9pmb6789:<4ll4she34567?1hi7~gh012342>dj2ybk=>?015;`g=tan:;<=>88d`8wla789:;;5hm;rkd45678>3;n6}fg123451>9k1xej>?0124=7d<{`m;<=>?781a?vo`89:;<:7;b:qjc56789=29o5|if2345601?h0di?01233<1e3zcl<=>?06;;f>uno9:;<=969c9pmb6789:<5ll4she34567?0hi7~gh012342?dj2ybk=>?015:`g=tan:;<=>89d`8wla789:;;4hm;rkd45678>k;n6}fg123451f9k1xej>?0124e7d<{`m;<=>?7`1a?vo`89:;<:o;b:qjc56789=j9o5|if234560i?h0di?01233d1e3zcl<=>?06c;f>uno9:;<=9n9c9pmb6789:?015b`g=tan:;<=>8ad`8wla789:;;lhm;rkd45678>h;n6}fg123451e9k1xej>?0124f7d<{`m;<=>?7c1a?vo`89:;<:l;b:qjc56789=i9o5|if234560j?h0di?01233g1e3zcl<=>?06`;f>uno9:;<=9m9c9pmb6789:?015a`g=tan:;<=>8bd`8wla789:;;ohm;rkd45678>i;n6}fg123451d9k1xej>?0124g7d<{`m;<=>?7b1a?vo`89:;<:m;b:qjc56789=h9o5|if234560k?h0di?01233f1e3zcl<=>?06a;f>uno9:;<=9l9c9pmb6789:?015``g=tan:;<=>8cd`8wla789:;;nhm;rkd45678>n;n6}fg123451c9k1xej>?0124`7d<{`m;<=>?7e1a?vo`89:;<:j;b:qjc56789=o9o5|if234560l?h0di?01233a1e3zcl<=>?06f;f>uno9:;<=9k9c9pmb6789:?015g`g=tan:;<=>8dd`8wla789:;;ihm;rkd45678>o;n6}fg123451b9k1xej>?0124a7d<{`m;<=>?7d1a?vo`89:;<:k;b:qjc56789=n9o5|if234560m?h0di?01233`1e3zcl<=>?06g;f>uno9:;<=9j9c9pmb6789:?015f`g=tan:;<=>8ed`8wla789:;;hhm;rkd45678>l;n6}fg123451a9k1xej>?0124b7d<{`m;<=>?7g1a?vo`89:;<:h;b:qjc56789=m9o5|if234560n?h0di?01233c1e3zcl<=>?06d;f>uno9:;<=9i9c9pmb6789:?015e`g=tan:;<=>8fd`8wla789:;;khm;rkd456781:;n6}fg12345>79k1xej>?012;47d<{`m;<=>?811a?vo`89:;<5>;b:qjc567892;9o5|if23456?8?h0di?0123<51e3zcl<=>?092;f>uno9:;<=6?9c9pmb6789:3?01:3`g=tan:;<=>70d`8wla789:;4=hm;rkd456781;;n6}fg12345>69k1xej>?012;57d<{`m;<=>?801a?vo`89:;<5?;b:qjc567892:9o5|if23456?9?h0di?0123<41e3zcl<=>?093;f>uno9:;<=6>9c9pmb6789:3=ll4she3456708hi7~gh01234=7dj2ybk=>?01:2`g=tan:;<=>71d`8wla789:;459k1xej>?012;67d<{`m;<=>?831a?vo`89:;<5<;b:qjc56789299o5|if23456?:?h0di?0123<71e3zcl<=>?090;f>uno9:;<=6=9c9pmb6789:3>ll4she345670;hi7~gh01234=4dj2ybk=>?01:1`g=tan:;<=>72d`8wla789:;4?hm;rkd4567819;n6}fg12345>49k1xej>?012;77d<{`m;<=>?821a?vo`89:;<5=;b:qjc56789289o5|if23456?;?h0di?0123<61e3zcl<=>?091;f>uno9:;<=6<9c9pmb6789:3?ll4she345670:hi7~gh01234=5dj2ybk=>?01:0`g=tan:;<=>73d`8wla789:;4>hm;rkd456781>;n6}fg12345>39k1xej>?012;07d<{`m;<=>?851a?vo`89:;<5:;b:qjc567892?9o5|if23456??096;f>uno9:;<=6;9c9pmb6789:38ll4she345670=hi7~gh01234=2dj2ybk=>?01:7`g=tan:;<=>74d`8wla789:;49hm;rkd456781?;n6}fg12345>29k1xej>?012;17d<{`m;<=>?841a?vo`89:;<5;;b:qjc567892>9o5|if23456?=?h0di?0123<01e3zcl<=>?097;f>uno9:;<=6:9c9pmb6789:39ll4she345670?01:6`g=tan:;<=>75d`8wla789:;48hm;rkd456781<;n6}fg12345>19k1xej>?012;27d<{`m;<=>?871a?vo`89:;<58;b:qjc567892=9o5|if23456?>?h0di?0123<31e3zcl<=>?094;f>uno9:;<=699c9pmb6789:3:ll4she345670?hi7~gh01234=0dj2ybk=>?01:5`g=tan:;<=>76d`8wla789:;4;hm;rkd456781=;n6}fg12345>09k1xej>?012;37d<{`m;<=>?861a?vo`89:;<59;b:qjc567892<9o5|if23456???h0di?0123<21e3zcl<=>?095;f>uno9:;<=689c9pmb6789:3;ll4she345670>hi7~gh01234=1dj2ybk=>?01:4`g=tan:;<=>77d`8wla789:;4:hm;rkd4567812;n6}fg12345>?9k1xej>?012;<7d<{`m;<=>?891a?vo`89:;<56;b:qjc56789239o5|if23456?0?h0di?0123<=1e3zcl<=>?09:;f>uno9:;<=679c9pmb6789:34ll4she3456701hi7~gh01234=>dj2ybk=>?01:;`g=tan:;<=>78d`8wla789:;45hm;rkd4567813;n6}fg12345>>9k1xej>?012;=7d<{`m;<=>?881a?vo`89:;<57;b:qjc56789229o5|if23456?1?h0di?0123<<1e3zcl<=>?09;;f>uno9:;<=669c9pmb6789:35ll4she3456700hi7~gh01234=?dj2ybk=>?01::`g=tan:;<=>79d`8wla789:;44hm;rkd456781k;n6}fg12345>f9k1xej>?012;e7d<{`m;<=>?8`1a?vo`89:;<5o;b:qjc567892j9o5|if23456?i?h0di?0123?09c;f>uno9:;<=6n9c9pmb6789:3mll4she345670hhi7~gh01234=gdj2ybk=>?01:b`g=tan:;<=>7ad`8wla789:;4lhm;rkd456781h;n6}fg12345>e9k1xej>?012;f7d<{`m;<=>?8c1a?vo`89:;<5l;b:qjc567892i9o5|if23456?j?h0di?0123?09`;f>uno9:;<=6m9c9pmb6789:3nll4she345670khi7~gh01234=ddj2ybk=>?01:a`g=tan:;<=>7bd`8wla789:;4ohm;rkd456781i;n6}fg12345>d9k1xej>?012;g7d<{`m;<=>?8b1a?vo`89:;<5m;b:qjc567892h9o5|if23456?k?h0di?0123?09a;f>uno9:;<=6l9c9pmb6789:3oll4she345670jhi7~gh01234=edj2ybk=>?01:``g=tan:;<=>7cd`8wla789:;4nhm;rkd456781n;n6}fg12345>c9k1xej>?012;`7d<{`m;<=>?8e1a?vo`89:;<5j;b:qjc567892o9o5|if23456?l?h0di?0123?09f;f>uno9:;<=6k9c9pmb6789:3hll4she345670mhi7~gh01234=bdj2ybk=>?01:g`g=tan:;<=>7dd`8wla789:;4ihm;rkd456781o;n6}fg12345>b9k1xej>?012;a7d<{`m;<=>?8d1a?vo`89:;<5k;b:qjc567892n9o5|if23456?m?h0di?0123<`1e3zcl<=>?09g;f>uno9:;<=6j9c9pmb6789:3ill4she345670lhi7~gh01234=cdj2ybk=>?01:f`g=tan:;<=>7ed`8wla789:;4hhm;rkd456781l;n6}fg12345>a9k1xej>?012;b7d<{`m;<=>?8g1a?vo`89:;<5h;b:qjc567892m9o5|if23456?n?h0di?0123?09d;f>uno9:;<=6i9c9pmb6789:3jll4she345670ohi7~gh01234=`dj2ybk=>?01:e`g=tan:;<=>7fd`8wla789:;4khm;rkd456780:;n6}fg12345?79k1xej>?012:47d<{`m;<=>?911a?vo`89:;<4>;b:qjc567893;9o5|if23456>8?h0di?0123=51e3zcl<=>?082;f>uno9:;<=7?9c9pmb6789:2?01;3`g=tan:;<=>60d`8wla789:;5=hm;rkd456780;;n6}fg12345?69k1xej>?012:57d<{`m;<=>?901a?vo`89:;<4?;b:qjc567893:9o5|if23456>9?h0di?0123=41e3zcl<=>?083;f>uno9:;<=7>9c9pmb6789:2=ll4she3456718hi7~gh01234<7dj2ybk=>?01;2`g=tan:;<=>61d`8wla789:;5?012:67d<{`m;<=>?931a?vo`89:;<4<;b:qjc56789399o5|if23456>:?h0di?0123=71e3zcl<=>?080;f>uno9:;<=7=9c9pmb6789:2>ll4she345671;hi7~gh01234<4dj2ybk=>?01;1`g=tan:;<=>62d`8wla789:;5?hm;rkd4567809;n6}fg12345?49k1xej>?012:77d<{`m;<=>?921a?vo`89:;<4=;b:qjc56789389o5|if23456>;?h0di?0123=61e3zcl<=>?081;f>uno9:;<=7<9c9pmb6789:2?ll4she345671:hi7~gh01234<5dj2ybk=>?01;0`g=tan:;<=>63d`8wla789:;5>hm;rkd456780>;n6}fg12345?39k1xej>?012:07d<{`m;<=>?951a?vo`89:;<4:;b:qjc567893?9o5|if23456>?086;f>uno9:;<=7;9c9pmb6789:28ll4she345671=hi7~gh01234<2dj2ybk=>?01;7`g=tan:;<=>64d`8wla789:;59hm;rkd456780?;n6}fg12345?29k1xej>?012:17d<{`m;<=>?941a?vo`89:;<4;;b:qjc567893>9o5|if23456>=?h0di?0123=01e3zcl<=>?087;f>uno9:;<=7:9c9pmb6789:29ll4she345671?01;6`g=tan:;<=>65d`8wla789:;58hm;rkd456780<;n6}fg12345?19k1xej>?012:27d<{`m;<=>?971a?vo`89:;<48;b:qjc567893=9o5|if23456>>?h0di?0123=31e3zcl<=>?084;f>uno9:;<=799c9pmb6789:2:ll4she345671?hi7~gh01234<0dj2ybk=>?01;5`g=tan:;<=>66d`8wla789:;5;hm;rkd456780=;n6}fg12345?09k1xej>?012:37d<{`m;<=>?961a?vo`89:;<49;b:qjc567893<9o5|if23456>??h0di?0123=21e3zcl<=>?085;f>uno9:;<=789c9pmb6789:2;ll4she345671>hi7~gh01234<1dj2ybk=>?01;4`g=tan:;<=>67d`8wla789:;5:hm;rkd4567802;n6}fg12345??9k1xej>?012:<7d<{`m;<=>?991a?vo`89:;<46;b:qjc56789339o5|if23456>0?h0di?0123==1e3zcl<=>?08:;f>uno9:;<=779c9pmb6789:24ll4she3456711hi7~gh01234<>dj2ybk=>?01;;`g=tan:;<=>68d`8wla789:;55hm;rkd4567803;n6}fg12345?>9k1xej>?012:=7d<{`m;<=>?981a?vo`89:;<47;b:qjc56789329o5|if23456>1?h0di?0123=<1e3zcl<=>?08;;f>uno9:;<=769c9pmb6789:25ll4she3456710hi7~gh01234?01;:`g=tan:;<=>69d`8wla789:;54hm;rkd456780k;n6}fg12345?f9k1xej>?012:e7d<{`m;<=>?9`1a?vo`89:;<4o;b:qjc567893j9o5|if23456>i?h0di?0123=d1e3zcl<=>?08c;f>uno9:;<=7n9c9pmb6789:2mll4she345671hhi7~gh01234?01;b`g=tan:;<=>6ad`8wla789:;5lhm;rkd456780h;n6}fg12345?e9k1xej>?012:f7d<{`m;<=>?9c1a?vo`89:;<4l;b:qjc567893i9o5|if23456>j?h0di?0123=g1e3zcl<=>?08`;f>uno9:;<=7m9c9pmb6789:2nll4she345671khi7~gh01234?01;a`g=tan:;<=>6bd`8wla789:;5ohm;rkd456780i;n6}fg12345?d9k1xej>?012:g7d<{`m;<=>?9b1a?vo`89:;<4m;b:qjc567893h9o5|if23456>k?h0di?0123=f1e3zcl<=>?08a;f>uno9:;<=7l9c9pmb6789:2oll4she345671jhi7~gh01234?01;``g=tan:;<=>6cd`8wla789:;5nhm;rkd456780n;n6}fg12345?c9k1xej>?012:`7d<{`m;<=>?9e1a?vo`89:;<4j;b:qjc567893o9o5|if23456>l?h0di?0123=a1e3zcl<=>?08f;f>uno9:;<=7k9c9pmb6789:2hll4she345671mhi7~gh01234?01;g`g=tan:;<=>6dd`8wla789:;5ihm;rkd456780o;n6}fg12345?b9k1xej>?012:a7d<{`m;<=>?9d1a?vo`89:;<4k;b:qjc567893n9o5|if23456>m?h0di?0123=`1e3zcl<=>?08g;f>uno9:;<=7j9c9pmb6789:2ill4she345671lhi7~gh01234?01;f`g=tan:;<=>6ed`8wla789:;5hhm;rkd456780l;n6}fg12345?a9k1xej>?012:b7d<{`m;<=>?9g1a?vo`89:;<4h;b:qjc567893m9o5|if23456>n?h0di?0123=c1e3zcl<=>?08d;f>uno9:;<=7i9c9pmb6789:2jll4she345671ohi7~gh01234<`dj2ybk=>?01;e`g=tan:;<=>6fd`8wla789:;5khm;rkd45678h:;n6}fg12345g79k1xej>?012b47d<{`m;<=>?a11a?vo`89:;;b:qjc56789k;9o5|if23456f8?h0di?0123e51e3zcl<=>?0`2;f>uno9:;<=o?9c9pmb6789:j?01c3`g=tan:;<=>n0d`8wla789:;m=hm;rkd45678h;;n6}fg12345g69k1xej>?012b57d<{`m;<=>?a01a?vo`89:;?0`3;f>uno9:;<=o>9c9pmb6789:j=ll4she34567i8hi7~gh01234d7dj2ybk=>?01c2`g=tan:;<=>n1d`8wla789:;m?012b67d<{`m;<=>?a31a?vo`89:;?0`0;f>uno9:;<=o=9c9pmb6789:j>ll4she34567i;hi7~gh01234d4dj2ybk=>?01c1`g=tan:;<=>n2d`8wla789:;m?hm;rkd45678h9;n6}fg12345g49k1xej>?012b77d<{`m;<=>?a21a?vo`89:;?0`1;f>uno9:;<=o<9c9pmb6789:j?ll4she34567i:hi7~gh01234d5dj2ybk=>?01c0`g=tan:;<=>n3d`8wla789:;m>hm;rkd45678h>;n6}fg12345g39k1xej>?012b07d<{`m;<=>?a51a?vo`89:;?0`6;f>uno9:;<=o;9c9pmb6789:j8ll4she34567i=hi7~gh01234d2dj2ybk=>?01c7`g=tan:;<=>n4d`8wla789:;m9hm;rkd45678h?;n6}fg12345g29k1xej>?012b17d<{`m;<=>?a41a?vo`89:;9o5|if23456f=?h0di?0123e01e3zcl<=>?0`7;f>uno9:;<=o:9c9pmb6789:j9ll4she34567i?01c6`g=tan:;<=>n5d`8wla789:;m8hm;rkd45678h<;n6}fg12345g19k1xej>?012b27d<{`m;<=>?a71a?vo`89:;?h0di?0123e31e3zcl<=>?0`4;f>uno9:;<=o99c9pmb6789:j:ll4she34567i?hi7~gh01234d0dj2ybk=>?01c5`g=tan:;<=>n6d`8wla789:;m;hm;rkd45678h=;n6}fg12345g09k1xej>?012b37d<{`m;<=>?a61a?vo`89:;?0`5;f>uno9:;<=o89c9pmb6789:j;ll4she34567i>hi7~gh01234d1dj2ybk=>?01c4`g=tan:;<=>n7d`8wla789:;m:hm;rkd45678h2;n6}fg12345g?9k1xej>?012b<7d<{`m;<=>?a91a?vo`89:;?0`:;f>uno9:;<=o79c9pmb6789:j4ll4she34567i1hi7~gh01234d>dj2ybk=>?01c;`g=tan:;<=>n8d`8wla789:;m5hm;rkd45678h3;n6}fg12345g>9k1xej>?012b=7d<{`m;<=>?a81a?vo`89:;?0`;;f>uno9:;<=o69c9pmb6789:j5ll4she34567i0hi7~gh01234d?dj2ybk=>?01c:`g=tan:;<=>n9d`8wla789:;m4hm;rkd45678hk;n6}fg12345gf9k1xej>?012be7d<{`m;<=>?a`1a?vo`89:;?0`c;f>uno9:;<=on9c9pmb6789:jmll4she34567ihhi7~gh01234dgdj2ybk=>?01cb`g=tan:;<=>nad`8wla789:;mlhm;rkd45678hh;n6}fg12345ge9k1xej>?012bf7d<{`m;<=>?ac1a?vo`89:;?0``;f>uno9:;<=om9c9pmb6789:jnll4she34567ikhi7~gh01234dddj2ybk=>?01ca`g=tan:;<=>nbd`8wla789:;mohm;rkd45678hi;n6}fg12345gd9k1xej>?012bg7d<{`m;<=>?ab1a?vo`89:;?0`a;f>uno9:;<=ol9c9pmb6789:joll4she34567ijhi7~gh01234dedj2ybk=>?01c``g=tan:;<=>ncd`8wla789:;mnhm;rkd45678hn;n6}fg12345gc9k1xej>?012b`7d<{`m;<=>?ae1a?vo`89:;?0`f;f>uno9:;<=ok9c9pmb6789:jhll4she34567imhi7~gh01234dbdj2ybk=>?01cg`g=tan:;<=>ndd`8wla789:;mihm;rkd45678ho;n6}fg12345gb9k1xej>?012ba7d<{`m;<=>?ad1a?vo`89:;?0`g;f>uno9:;<=oj9c9pmb6789:jill4she34567ilhi7~gh01234dcdj2ybk=>?01cf`g=tan:;<=>ned`8wla789:;mhhm;rkd45678hl;n6}fg12345ga9k1xej>?012bb7d<{`m;<=>?ag1a?vo`89:;?0`d;f>uno9:;<=oi9c9pmb6789:jjll4she34567iohi7~gh01234d`dj2ybk=>?01ce`g=tan:;<=>nfd`8wla789:;mkhm;rkd45678k:;n6}fg12345d79k1xej>?012a47d<{`m;<=>?b11a?vo`89:;;b:qjc56789h;9o5|if23456e8?h0di?0123f51e3zcl<=>?0c2;f>uno9:;<=l?9c9pmb6789:i?01`3`g=tan:;<=>m0d`8wla789:;n=hm;rkd45678k;;n6}fg12345d69k1xej>?012a57d<{`m;<=>?b01a?vo`89:;?0c3;f>uno9:;<=l>9c9pmb6789:i=ll4she34567j8hi7~gh01234g7dj2ybk=>?01`2`g=tan:;<=>m1d`8wla789:;n?012a67d<{`m;<=>?b31a?vo`89:;?0c0;f>uno9:;<=l=9c9pmb6789:i>ll4she34567j;hi7~gh01234g4dj2ybk=>?01`1`g=tan:;<=>m2d`8wla789:;n?hm;rkd45678k9;n6}fg12345d49k1xej>?012a77d<{`m;<=>?b21a?vo`89:;?0c1;f>uno9:;<=l<9c9pmb6789:i?ll4she34567j:hi7~gh01234g5dj2ybk=>?01`0`g=tan:;<=>m3d`8wla789:;n>hm;rkd45678k>;n6}fg12345d39k1xej>?012a07d<{`m;<=>?b51a?vo`89:;?0c6;f>uno9:;<=l;9c9pmb6789:i8ll4she34567j=hi7~gh01234g2dj2ybk=>?01`7`g=tan:;<=>m4d`8wla789:;n9hm;rkd45678k?;n6}fg12345d29k1xej>?012a17d<{`m;<=>?b41a?vo`89:;9o5|if23456e=?h0di?0123f01e3zcl<=>?0c7;f>uno9:;<=l:9c9pmb6789:i9ll4she34567j?01`6`g=tan:;<=>m5d`8wla789:;n8hm;rkd45678k<;n6}fg12345d19k1xej>?012a27d<{`m;<=>?b71a?vo`89:;?h0di?0123f31e3zcl<=>?0c4;f>uno9:;<=l99c9pmb6789:i:ll4she34567j?hi7~gh01234g0dj2ybk=>?01`5`g=tan:;<=>m6d`8wla789:;n;hm;rkd45678k=;n6}fg12345d09k1xej>?012a37d<{`m;<=>?b61a?vo`89:;?0c5;f>uno9:;<=l89c9pmb6789:i;ll4she34567j>hi7~gh01234g1dj2ybk=>?01`4`g=tan:;<=>m7d`8wla789:;n:hm;rkd45678k2;n6}fg12345d?9k1xej>?012a<7d<{`m;<=>?b91a?vo`89:;?0c:;f>uno9:;<=l79c9pmb6789:i4ll4she34567j1hi7~gh01234g>dj2ybk=>?01`;`g=tan:;<=>m8d`8wla789:;n5hm;rkd45678k3;n6}fg12345d>9k1xej>?012a=7d<{`m;<=>?b81a?vo`89:;?0c;;f>uno9:;<=l69c9pmb6789:i5ll4she34567j0hi7~gh01234g?dj2ybk=>?01`:`g=tan:;<=>m9d`8wla789:;n4hm;rkd45678kk;n6}fg12345df9k1xej>?012ae7d<{`m;<=>?b`1a?vo`89:;?0cc;f>uno9:;<=ln9c9pmb6789:imll4she34567jhhi7~gh01234ggdj2ybk=>?01`b`g=tan:;<=>mad`8wla789:;nlhm;rkd45678kh;n6}fg12345de9k1xej>?012af7d<{`m;<=>?bc1a?vo`89:;?0c`;f>uno9:;<=lm9c9pmb6789:inll4she34567jkhi7~gh01234gddj2ybk=>?01`a`g=tan:;<=>mbd`8wla789:;nohm;rkd45678ki;n6}fg12345dd9k1xej>?012ag7d<{`m;<=>?bb1a?vo`89:;?0ca;f>uno9:;<=ll9c9pmb6789:ioll4she34567jjhi7~gh01234gedj2ybk=>?01```g=tan:;<=>mcd`8wla789:;nnhm;rkd45678kn;n6}fg12345dc9k1xej>?012a`7d<{`m;<=>?be1a?vo`89:;?0cf;f>uno9:;<=lk9c9pmb6789:ihll4she34567jmhi7~gh01234gbdj2ybk=>?01`g`g=tan:;<=>mdd`8wla789:;nihm;rkd45678ko;n6}fg12345db9k1xej>?012aa7d<{`m;<=>?bd1a?vo`89:;?0cg;f>uno9:;<=lj9c9pmb6789:iill4she34567jlhi7~gh01234gcdj2ybk=>?01`f`g=tan:;<=>med`8wla789:;nhhm;rkd45678kl;n6}fg12345da9k1xej>?012ab7d<{`m;<=>?bg1a?vo`89:;?0cd;f>uno9:;<=li9c9pmb6789:ijll4she34567johi7~gh01234g`dj2ybk=>?01`e`g=tan:;<=>mfd`8wla789:;nkhm;rkd45678j:;n6}fg12345e79k1xej>?012`47d<{`m;<=>?c11a?vo`89:;;b:qjc56789i;9o5|if23456d8?h0di?0123g51e3zcl<=>?0b2;f>uno9:;<=m?9c9pmb6789:h?01a3`g=tan:;<=>l0d`8wla789:;o=hm;rkd45678j;;n6}fg12345e69k1xej>?012`57d<{`m;<=>?c01a?vo`89:;?0b3;f>uno9:;<=m>9c9pmb6789:h=ll4she34567k8hi7~gh01234f7dj2ybk=>?01a2`g=tan:;<=>l1d`8wla789:;o?012`67d<{`m;<=>?c31a?vo`89:;?0b0;f>uno9:;<=m=9c9pmb6789:h>ll4she34567k;hi7~gh01234f4dj2ybk=>?01a1`g=tan:;<=>l2d`8wla789:;o?hm;rkd45678j9;n6}fg12345e49k1xej>?012`77d<{`m;<=>?c21a?vo`89:;?0b1;f>uno9:;<=m<9c9pmb6789:h?ll4she34567k:hi7~gh01234f5dj2ybk=>?01a0`g=tan:;<=>l3d`8wla789:;o>hm;rkd45678j>;n6}fg12345e39k1xej>?012`07d<{`m;<=>?c51a?vo`89:;?0b6;f>uno9:;<=m;9c9pmb6789:h8ll4she34567k=hi7~gh01234f2dj2ybk=>?01a7`g=tan:;<=>l4d`8wla789:;o9hm;rkd45678j?;n6}fg12345e29k1xej>?012`17d<{`m;<=>?c41a?vo`89:;9o5|if23456d=?h0di?0123g01e3zcl<=>?0b7;f>uno9:;<=m:9c9pmb6789:h9ll4she34567k?01a6`g=tan:;<=>l5d`8wla789:;o8hm;rkd45678j<;n6}fg12345e19k1xej>?012`27d<{`m;<=>?c71a?vo`89:;?h0di?0123g31e3zcl<=>?0b4;f>uno9:;<=m99c9pmb6789:h:ll4she34567k?hi7~gh01234f0dj2ybk=>?01a5`g=tan:;<=>l6d`8wla789:;o;hm;rkd45678j=;n6}fg12345e09k1xej>?012`37d<{`m;<=>?c61a?vo`89:;?0b5;f>uno9:;<=m89c9pmb6789:h;ll4she34567k>hi7~gh01234f1dj2ybk=>?01a4`g=tan:;<=>l7d`8wla789:;o:hm;rkd45678j2;n6}fg12345e?9k1xej>?012`<7d<{`m;<=>?c91a?vo`89:;?0b:;f>uno9:;<=m79c9pmb6789:h4ll4she34567k1hi7~gh01234f>dj2ybk=>?01a;`g=tan:;<=>l8d`8wla789:;o5hm;rkd45678j3;n6}fg12345e>9k1xej>?012`=7d<{`m;<=>?c81a?vo`89:;?0b;;f>uno9:;<=m69c9pmb6789:h5ll4she34567k0hi7~gh01234f?dj2ybk=>?01a:`g=tan:;<=>l9d`8wla789:;o4hm;rkd45678jk;n6}fg12345ef9k1xej>?012`e7d<{`m;<=>?c`1a?vo`89:;?0bc;f>uno9:;<=mn9c9pmb6789:hmll4she34567khhi7~gh01234fgdj2ybk=>?01ab`g=tan:;<=>lad`8wla789:;olhm;rkd45678jh;n6}fg12345ee9k1xej>?012`f7d<{`m;<=>?cc1a?vo`89:;?0b`;f>uno9:;<=mm9c9pmb6789:hnll4she34567kkhi7~gh01234fddj2ybk=>?01aa`g=tan:;<=>lbd`8wla789:;oohm;rkd45678ji;n6}fg12345ed9k1xej>?012`g7d<{`m;<=>?cb1a?vo`89:;?0ba;f>uno9:;<=ml9c9pmb6789:holl4she34567kjhi7~gh01234fedj2ybk=>?01a``g=tan:;<=>lcd`8wla789:;onhm;rkd45678jn;n6}fg12345ec9k1xej>?012``7d<{`m;<=>?ce1a?vo`89:;?0bf;f>uno9:;<=mk9c9pmb6789:hhll4she34567kmhi7~gh01234fbdj2ybk=>?01ag`g=tan:;<=>ldd`8wla789:;oihm;rkd45678jo;n6}fg12345eb9k1xej>?012`a7d<{`m;<=>?cd1a?vo`89:;?0bg;f>uno9:;<=mj9c9pmb6789:hill4she34567klhi7~gh01234fcdj2ybk=>?01af`g=tan:;<=>led`8wla789:;ohhm;rkd45678jl;n6}fg12345ea9k1xej>?012`b7d<{`m;<=>?cg1a?vo`89:;?0bd;f>uno9:;<=mi9c9pmb6789:hjll4she34567kohi7~gh01234f`dj2ybk=>?01ae`g=tan:;<=>lfd`8wla789:;okhm;rkd45678m:;n6}fg12345b79k1xej>?012g47d<{`m;<=>?d11a?vo`89:;;b:qjc56789n;9o5|if23456c8?h0di?0123`51e3zcl<=>?0e2;f>uno9:;<=j?9c9pmb6789:o?01f3`g=tan:;<=>k0d`8wla789:;h=hm;rkd45678m;;n6}fg12345b69k1xej>?012g57d<{`m;<=>?d01a?vo`89:;?0e3;f>uno9:;<=j>9c9pmb6789:o=ll4she34567l8hi7~gh01234a7dj2ybk=>?01f2`g=tan:;<=>k1d`8wla789:;h?012g67d<{`m;<=>?d31a?vo`89:;?0e0;f>uno9:;<=j=9c9pmb6789:o>ll4she34567l;hi7~gh01234a4dj2ybk=>?01f1`g=tan:;<=>k2d`8wla789:;h?hm;rkd45678m9;n6}fg12345b49k1xej>?012g77d<{`m;<=>?d21a?vo`89:;?0e1;f>uno9:;<=j<9c9pmb6789:o?ll4she34567l:hi7~gh01234a5dj2ybk=>?01f0`g=tan:;<=>k3d`8wla789:;h>hm;rkd45678m>;n6}fg12345b39k1xej>?012g07d<{`m;<=>?d51a?vo`89:;?0e6;f>uno9:;<=j;9c9pmb6789:o8ll4she34567l=hi7~gh01234a2dj2ybk=>?01f7`g=tan:;<=>k4d`8wla789:;h9hm;rkd45678m?;n6}fg12345b29k1xej>?012g17d<{`m;<=>?d41a?vo`89:;9o5|if23456c=?h0di?0123`01e3zcl<=>?0e7;f>uno9:;<=j:9c9pmb6789:o9ll4she34567l?01f6`g=tan:;<=>k5d`8wla789:;h8hm;rkd45678m<;n6}fg12345b19k1xej>?012g27d<{`m;<=>?d71a?vo`89:;?h0di?0123`31e3zcl<=>?0e4;f>uno9:;<=j99c9pmb6789:o:ll4she34567l?hi7~gh01234a0dj2ybk=>?01f5`g=tan:;<=>k6d`8wla789:;h;hm;rkd45678m=;n6}fg12345b09k1xej>?012g37d<{`m;<=>?d61a?vo`89:;?0e5;f>uno9:;<=j89c9pmb6789:o;ll4she34567l>hi7~gh01234a1dj2ybk=>?01f4`g=tan:;<=>k7d`8wla789:;h:hm;rkd45678m2;n6}fg12345b?9k1xej>?012g<7d<{`m;<=>?d91a?vo`89:;?0e:;f>uno9:;<=j79c9pmb6789:o4ll4she34567l1hi7~gh01234a>dj2ybk=>?01f;`g=tan:;<=>k8d`8wla789:;h5hm;rkd45678m3;n6}fg12345b>9k1xej>?012g=7d<{`m;<=>?d81a?vo`89:;?0e;;f>uno9:;<=j69c9pmb6789:o5ll4she34567l0hi7~gh01234a?dj2ybk=>?01f:`g=tan:;<=>k9d`8wla789:;h4hm;rkd45678mk;n6}fg12345bf9k1xej>?012ge7d<{`m;<=>?d`1a?vo`89:;?0ec;f>uno9:;<=jn9c9pmb6789:omll4she34567lhhi7~gh01234agdj2ybk=>?01fb`g=tan:;<=>kad`8wla789:;hlhm;rkd45678mh;n6}fg12345be9k1xej>?012gf7d<{`m;<=>?dc1a?vo`89:;?0e`;f>uno9:;<=jm9c9pmb6789:onll4she34567lkhi7~gh01234addj2ybk=>?01fa`g=tan:;<=>kbd`8wla789:;hohm;rkd45678mi;n6}fg12345bd9k1xej>?012gg7d<{`m;<=>?db1a?vo`89:;?0ea;f>uno9:;<=jl9c9pmb6789:ooll4she34567ljhi7~gh01234aedj2ybk=>?01f``g=tan:;<=>kcd`8wla789:;hnhm;rkd45678mn;n6}fg12345bc9k1xej>?012g`7d<{`m;<=>?de1a?vo`89:;?0ef;f>uno9:;<=jk9c9pmb6789:ohll4she34567lmhi7~gh01234abdj2ybk=>?01fg`g=tan:;<=>kdd`8wla789:;hihm;rkd45678mo;n6}fg12345bb9k1xej>?012ga7d<{`m;<=>?dd1a?vo`89:;?0eg;f>uno9:;<=jj9c9pmb6789:oill4she34567llhi7~gh01234acdj2ybk=>?01ff`g=tan:;<=>ked`8wla789:;hhhm;rkd45678ml;n6}fg12345ba9k1xej>?012gb7d<{`m;<=>?dg1a?vo`89:;?0ed;f>uno9:;<=ji9c9pmb6789:ojll4she34567lohi7~gh01234a`dj2ybk=>?01fe`g=tan:;<=>kfd`8wla789:;hkhm;rkd45678l:;n6}fg12345c79k1xej>?012f47d<{`m;<=>?e11a?vo`89:;;b:qjc56789o;9o5|if23456b8?h0di?0123a51e3zcl<=>?0d2;f>uno9:;<=k?9c9pmb6789:n?01g3`g=tan:;<=>j0d`8wla789:;i=hm;rkd45678l;;n6}fg12345c69k1xej>?012f57d<{`m;<=>?e01a?vo`89:;?0d3;f>uno9:;<=k>9c9pmb6789:n=ll4she34567m8hi7~gh01234`7dj2ybk=>?01g2`g=tan:;<=>j1d`8wla789:;i?012f67d<{`m;<=>?e31a?vo`89:;?0d0;f>uno9:;<=k=9c9pmb6789:n>ll4she34567m;hi7~gh01234`4dj2ybk=>?01g1`g=tan:;<=>j2d`8wla789:;i?hm;rkd45678l9;n6}fg12345c49k1xej>?012f77d<{`m;<=>?e21a?vo`89:;?0d1;f>uno9:;<=k<9c9pmb6789:n?ll4she34567m:hi7~gh01234`5dj2ybk=>?01g0`g=tan:;<=>j3d`8wla789:;i>hm;rkd45678l>;n6}fg12345c39k1xej>?012f07d<{`m;<=>?e51a?vo`89:;?0d6;f>uno9:;<=k;9c9pmb6789:n8ll4she34567m=hi7~gh01234`2dj2ybk=>?01g7`g=tan:;<=>j4d`8wla789:;i9hm;rkd45678l?;n6}fg12345c29k1xej>?012f17d<{`m;<=>?e41a?vo`89:;9o5|if23456b=?h0di?0123a01e3zcl<=>?0d7;f>uno9:;<=k:9c9pmb6789:n9ll4she34567m?01g6`g=tan:;<=>j5d`8wla789:;i8hm;rkd45678l<;n6}fg12345c19k1xej>?012f27d<{`m;<=>?e71a?vo`89:;?h0di?0123a31e3zcl<=>?0d4;f>uno9:;<=k99c9pmb6789:n:ll4she34567m?hi7~gh01234`0dj2ybk=>?01g5`g=tan:;<=>j6d`8wla789:;i;hm;rkd45678l=;n6}fg12345c09k1xej>?012f37d<{`m;<=>?e61a?vo`89:;?0d5;f>uno9:;<=k89c9pmb6789:n;ll4she34567m>hi7~gh01234`1dj2ybk=>?01g4`g=tan:;<=>j7d`8wla789:;i:hm;rkd45678l2;n6}fg12345c?9k1xej>?012f<7d<{`m;<=>?e91a?vo`89:;?0d:;f>uno9:;<=k79c9pmb6789:n4ll4she34567m1hi7~gh01234`>dj2ybk=>?01g;`g=tan:;<=>j8d`8wla789:;i5hm;rkd45678l3;n6}fg12345c>9k1xej>?012f=7d<{`m;<=>?e81a?vo`89:;?0d;;f>uno9:;<=k69c9pmb6789:n5ll4she34567m0hi7~gh01234`?dj2ybk=>?01g:`g=tan:;<=>j9d`8wla789:;i4hm;rkd45678lk;n6}fg12345cf9k1xej>?012fe7d<{`m;<=>?e`1a?vo`89:;?0dc;f>uno9:;<=kn9c9pmb6789:nmll4she34567mhhi7~gh01234`gdj2ybk=>?01gb`g=tan:;<=>jad`8wla789:;ilhm;rkd45678lh;n6}fg12345ce9k1xej>?012ff7d<{`m;<=>?ec1a?vo`89:;?0d`;f>uno9:;<=km9c9pmb6789:nnll4she34567mkhi7~gh01234`ddj2ybk=>?01ga`g=tan:;<=>jbd`8wla789:;iohm;rkd45678li;n6}fg12345cd9k1xej>?012fg7d<{`m;<=>?eb1a?vo`89:;?0da;f>uno9:;<=kl9c9pmb6789:noll4she34567mjhi7~gh01234`edj2ybk=>?01g``g=tan:;<=>jcd`8wla789:;inhm;rkd45678ln;n6}fg12345cc9k1xej>?012f`7d<{`m;<=>?ee1a?vo`89:;?0df;f>uno9:;<=kk9c9pmb6789:nhll4she34567mmhi7~gh01234`bdj2ybk=>?01gg`g=tan:;<=>jdd`8wla789:;iihm;rkd45678lo;n6}fg12345cb9k1xej>?012fa7d<{`m;<=>?ed1a?vo`89:;?0dg;f>uno9:;<=kj9c9pmb6789:nill4she34567mlhi7~gh01234`cdj2ybk=>?01gf`g=tan:;<=>jed`8wla789:;ihhm;rkd45678ll;n6}fg12345ca9k1xej>?012fb7d<{`m;<=>?eg1a?vo`89:;?0dd;f>uno9:;<=ki9c9pmb6789:njll4she34567mohi7~gh01234``dj2ybk=>?01ge`g=tan:;<=>jfd`8wla789:;ikhm;rkd45678o:;n6}fg12345`79k1xej>?012e47d<{`m;<=>?f11a?vo`89:;;b:qjc56789l;9o5|if23456a8?h0di?0123b51e3zcl<=>?0g2;f>uno9:;<=h?9c9pmb6789:m?01d3`g=tan:;<=>i0d`8wla789:;j=hm;rkd45678o;;n6}fg12345`69k1xej>?012e57d<{`m;<=>?f01a?vo`89:;?0g3;f>uno9:;<=h>9c9pmb6789:m=ll4she34567n8hi7~gh01234c7dj2ybk=>?01d2`g=tan:;<=>i1d`8wla789:;j?012e67d<{`m;<=>?f31a?vo`89:;?0g0;f>uno9:;<=h=9c9pmb6789:m>ll4she34567n;hi7~gh01234c4dj2ybk=>?01d1`g=tan:;<=>i2d`8wla789:;j?hm;rkd45678o9;n6}fg12345`49k1xej>?012e77d<{`m;<=>?f21a?vo`89:;?0g1;f>uno9:;<=h<9c9pmb6789:m?ll4she34567n:hi7~gh01234c5dj2ybk=>?01d0`g=tan:;<=>i3d`8wla789:;j>hm;rkd45678o>;n6}fg12345`39k1xej>?012e07d<{`m;<=>?f51a?vo`89:;?0g6;f>uno9:;<=h;9c9pmb6789:m8ll4she34567n=hi7~gh01234c2dj2ybk=>?01d7`g=tan:;<=>i4d`8wla789:;j9hm;rkd45678o?;n6}fg12345`29k1xej>?012e17d<{`m;<=>?f41a?vo`89:;9o5|if23456a=?h0di?0123b01e3zcl<=>?0g7;f>uno9:;<=h:9c9pmb6789:m9ll4she34567n?01d6`g=tan:;<=>i5d`8wla789:;j8hm;rkd45678o<;n6}fg12345`19k1xej>?012e27d<{`m;<=>?f71a?vo`89:;?h0di?0123b31e3zcl<=>?0g4;f>uno9:;<=h99c9pmb6789:m:ll4she34567n?hi7~gh01234c0dj2ybk=>?01d5`g=tan:;<=>i6d`8wla789:;j;hm;rkd45678o=;n6}fg12345`09k1xej>?012e37d<{`m;<=>?f61a?vo`89:;?0g5;f>uno9:;<=h89c9pmb6789:m;ll4she34567n>hi7~gh01234c1dj2ybk=>?01d4`g=tan:;<=>i7d`8wla789:;j:hm;rkd45678o2;n6}fg12345`?9k1xej>?012e<7d<{`m;<=>?f91a?vo`89:;?0g:;f>uno9:;<=h79c9pmb6789:m4ll4she34567n1hi7~gh01234c>dj2ybk=>?01d;`g=tan:;<=>i8d`8wla789:;j5hm;rkd45678o3;n6}fg12345`>9k1xej>?012e=7d<{`m;<=>?f81a?vo`89:;?0g;;f>uno9:;<=h69c9pmb6789:m5ll4she34567n0hi7~gh01234c?dj2ybk=>?01d:`g=tan:;<=>i9d`8wla789:;j4hm;rkd45678ok;n6}fg12345`f9k1xej>?012ee7d<{`m;<=>?f`1a?vo`89:;?0gc;f>uno9:;<=hn9c9pmb6789:mmll4she34567nhhi7~gh01234cgdj2ybk=>?01db`g=tan:;<=>iad`8wla789:;jlhm;rkd45678oh;n6}fg12345`e9k1xej>?012ef7d<{`m;<=>?fc1a?vo`89:;?0g`;f>uno9:;<=hm9c9pmb6789:mnll4she34567nkhi7~gh01234cddj2ybk=>?01da`g=tan:;<=>ibd`8wla789:;johm;rkd45678oi;n6}fg12345`d9k1xej>?012eg7d<{`m;<=>?fb1a?vo`89:;?0ga;f>uno9:;<=hl9c9pmb6789:moll4she34567njhi7~gh01234cedj2ybk=>?01d``g=tan:;<=>icd`8wla789:;jnhm;rkd45678on;n6}fg12345`c9k1xej>?012e`7d<{`m;<=>?fe1a?vo`89:;?0gf;f>uno9:;<=hk9c9pmb6789:mhll4she34567nmhi7~gh01234cbdj2ybk=>?01dg`g=tan:;<=>idd`8wla789:;jihm;rkd45678oo;n6}fg12345`b9k1xej>?012ea7d<{`m;<=>?fd1a?vo`89:;?0gg;f>uno9:;<=hj9c9pmb6789:mill4she34567nlhi7~gh01234ccdj2ybk=>?01df`g=tan:;<=>ied`8wla789:;jhhm;rkd45678ol;n6}fg12345`a9k1xej>?012eb7d<{`m;<=>?fg1a?vo`89:;?0gd;f>uno9:;<=hi9c9pmb6789:mjll4she34567nohi7~gh01234c`dj2ybk=>?01de`g=tan:;<=>ifd`8wla789:;jkhm;rkd456799:;n6}fg12344679k1xej>?013347d<{`m;<=>>011a?vo`89:;==>;b:qjc56788:;9o5|if2345778?h0di?0122451e3zcl<=>?112;f>uno9:;<<>?9c9pmb6789;;?0023`g=tan:;<=??0d`8wla789::<=hm;rkd456799;;n6}fg12344669k1xej>?013357d<{`m;<=>>001a?vo`89:;==?;b:qjc56788::9o5|if2345779?h0di?0122441e3zcl<=>?113;f>uno9:;<<>>9c9pmb6789;;=ll4she3456688hi7~gh0123557dj2ybk=>?0022`g=tan:;<=??1d`8wla789::<?013367d<{`m;<=>>031a?vo`89:;==<;b:qjc56788:99o5|if234577:?h0di?0122471e3zcl<=>?110;f>uno9:;<<>=9c9pmb6789;;>ll4she345668;hi7~gh0123554dj2ybk=>?0021`g=tan:;<=??2d`8wla789::?013377d<{`m;<=>>021a?vo`89:;===;b:qjc56788:89o5|if234577;?h0di?0122461e3zcl<=>?111;f>uno9:;<<><9c9pmb6789;;?ll4she345668:hi7~gh0123555dj2ybk=>?0020`g=tan:;<=??3d`8wla789::<>hm;rkd456799>;n6}fg12344639k1xej>?013307d<{`m;<=>>051a?vo`89:;==:;b:qjc56788:?9o5|if234577?116;f>uno9:;<<>;9c9pmb6789;;8ll4she345668=hi7~gh0123552dj2ybk=>?0027`g=tan:;<=??4d`8wla789::<9hm;rkd456799?;n6}fg12344629k1xej>?013317d<{`m;<=>>041a?vo`89:;==;;b:qjc56788:>9o5|if234577=?h0di?0122401e3zcl<=>?117;f>uno9:;<<>:9c9pmb6789;;9ll4she345668?0026`g=tan:;<=??5d`8wla789::<8hm;rkd456799<;n6}fg12344619k1xej>?013327d<{`m;<=>>071a?vo`89:;==8;b:qjc56788:=9o5|if234577>?h0di?0122431e3zcl<=>?114;f>uno9:;<<>99c9pmb6789;;:ll4she345668?hi7~gh0123550dj2ybk=>?0025`g=tan:;<=??6d`8wla789::<;hm;rkd456799=;n6}fg12344609k1xej>?013337d<{`m;<=>>061a?vo`89:;==9;b:qjc56788:<9o5|if234577??h0di?0122421e3zcl<=>?115;f>uno9:;<<>89c9pmb6789;;;ll4she345668>hi7~gh0123551dj2ybk=>?0024`g=tan:;<=??7d`8wla789::<:hm;rkd4567992;n6}fg123446?9k1xej>?0133<7d<{`m;<=>>091a?vo`89:;==6;b:qjc56788:39o5|if2345770?h0di?01224=1e3zcl<=>?11:;f>uno9:;<<>79c9pmb6789;;4ll4she3456681hi7~gh012355>dj2ybk=>?002;`g=tan:;<=??8d`8wla789::<5hm;rkd4567993;n6}fg123446>9k1xej>?0133=7d<{`m;<=>>081a?vo`89:;==7;b:qjc56788:29o5|if2345771?h0di?01224<1e3zcl<=>?11;;f>uno9:;<<>69c9pmb6789;;5ll4she3456680hi7~gh012355?dj2ybk=>?002:`g=tan:;<=??9d`8wla789::<4hm;rkd456799k;n6}fg123446f9k1xej>?0133e7d<{`m;<=>>0`1a?vo`89:;==o;b:qjc56788:j9o5|if234577i?h0di?01224d1e3zcl<=>?11c;f>uno9:;<<>n9c9pmb6789;;mll4she345668hhi7~gh012355gdj2ybk=>?002b`g=tan:;<=??ad`8wla789::?0133f7d<{`m;<=>>0c1a?vo`89:;==l;b:qjc56788:i9o5|if234577j?h0di?01224g1e3zcl<=>?11`;f>uno9:;<<>m9c9pmb6789;;nll4she345668khi7~gh012355ddj2ybk=>?002a`g=tan:;<=??bd`8wla789::?0133g7d<{`m;<=>>0b1a?vo`89:;==m;b:qjc56788:h9o5|if234577k?h0di?01224f1e3zcl<=>?11a;f>uno9:;<<>l9c9pmb6789;;oll4she345668jhi7~gh012355edj2ybk=>?002``g=tan:;<=??cd`8wla789::?0133`7d<{`m;<=>>0e1a?vo`89:;==j;b:qjc56788:o9o5|if234577l?h0di?01224a1e3zcl<=>?11f;f>uno9:;<<>k9c9pmb6789;;hll4she345668mhi7~gh012355bdj2ybk=>?002g`g=tan:;<=??dd`8wla789::?0133a7d<{`m;<=>>0d1a?vo`89:;==k;b:qjc56788:n9o5|if234577m?h0di?01224`1e3zcl<=>?11g;f>uno9:;<<>j9c9pmb6789;;ill4she345668lhi7~gh012355cdj2ybk=>?002f`g=tan:;<=??ed`8wla789::?0133b7d<{`m;<=>>0g1a?vo`89:;==h;b:qjc56788:m9o5|if234577n?h0di?01224c1e3zcl<=>?11d;f>uno9:;<<>i9c9pmb6789;;jll4she345668ohi7~gh012355`dj2ybk=>?002e`g=tan:;<=??fd`8wla789::?013247d<{`m;<=>>111a?vo`89:;=<>;b:qjc56788;;9o5|if2345768?h0di?0122551e3zcl<=>?102;f>uno9:;<?0033`g=tan:;<=?>0d`8wla789::==hm;rkd456798;;n6}fg12344769k1xej>?013257d<{`m;<=>>101a?vo`89:;=?103;f>uno9:;<9c9pmb6789;:=ll4she3456698hi7~gh0123547dj2ybk=>?0032`g=tan:;<=?>1d`8wla789::=?013267d<{`m;<=>>131a?vo`89:;=<<;b:qjc56788;99o5|if234576:?h0di?0122571e3zcl<=>?100;f>uno9:;<ll4she345669;hi7~gh0123544dj2ybk=>?0031`g=tan:;<=?>2d`8wla789::=?hm;rkd4567989;n6}fg12344749k1xej>?013277d<{`m;<=>>121a?vo`89:;=<=;b:qjc56788;89o5|if234576;?h0di?0122561e3zcl<=>?101;f>uno9:;<?0030`g=tan:;<=?>3d`8wla789::=>hm;rkd456798>;n6}fg12344739k1xej>?013207d<{`m;<=>>151a?vo`89:;=<:;b:qjc56788;?9o5|if234576?106;f>uno9:;<?0037`g=tan:;<=?>4d`8wla789::=9hm;rkd456798?;n6}fg12344729k1xej>?013217d<{`m;<=>>141a?vo`89:;=<;;b:qjc56788;>9o5|if234576=?h0di?0122501e3zcl<=>?107;f>uno9:;<?0036`g=tan:;<=?>5d`8wla789::=8hm;rkd456798<;n6}fg12344719k1xej>?013227d<{`m;<=>>171a?vo`89:;=<8;b:qjc56788;=9o5|if234576>?h0di?0122531e3zcl<=>?104;f>uno9:;<?0035`g=tan:;<=?>6d`8wla789::=;hm;rkd456798=;n6}fg12344709k1xej>?013237d<{`m;<=>>161a?vo`89:;=<9;b:qjc56788;<9o5|if234576??h0di?0122521e3zcl<=>?105;f>uno9:;<hi7~gh0123541dj2ybk=>?0034`g=tan:;<=?>7d`8wla789::=:hm;rkd4567982;n6}fg123447?9k1xej>?0132<7d<{`m;<=>>191a?vo`89:;=<6;b:qjc56788;39o5|if2345760?h0di?01225=1e3zcl<=>?10:;f>uno9:;<dj2ybk=>?003;`g=tan:;<=?>8d`8wla789::=5hm;rkd4567983;n6}fg123447>9k1xej>?0132=7d<{`m;<=>>181a?vo`89:;=<7;b:qjc56788;29o5|if2345761?h0di?01225<1e3zcl<=>?10;;f>uno9:;<?003:`g=tan:;<=?>9d`8wla789::=4hm;rkd456798k;n6}fg123447f9k1xej>?0132e7d<{`m;<=>>1`1a?vo`89:;=?10c;f>uno9:;<?003b`g=tan:;<=?>ad`8wla789::=lhm;rkd456798h;n6}fg123447e9k1xej>?0132f7d<{`m;<=>>1c1a?vo`89:;=?10`;f>uno9:;<?003a`g=tan:;<=?>bd`8wla789::=ohm;rkd456798i;n6}fg123447d9k1xej>?0132g7d<{`m;<=>>1b1a?vo`89:;=?10a;f>uno9:;<?003``g=tan:;<=?>cd`8wla789::=nhm;rkd456798n;n6}fg123447c9k1xej>?0132`7d<{`m;<=>>1e1a?vo`89:;=?10f;f>uno9:;<?003g`g=tan:;<=?>dd`8wla789::=ihm;rkd456798o;n6}fg123447b9k1xej>?0132a7d<{`m;<=>>1d1a?vo`89:;=?10g;f>uno9:;<?003f`g=tan:;<=?>ed`8wla789::=hhm;rkd456798l;n6}fg123447a9k1xej>?0132b7d<{`m;<=>>1g1a?vo`89:;=?10d;f>uno9:;<?003e`g=tan:;<=?>fd`8wla789::=khm;rkd45679;:;n6}fg12344479k1xej>?013147d<{`m;<=>>211a?vo`89:;=?>;b:qjc567888;9o5|if2345758?h0di?0122651e3zcl<=>?132;f>uno9:;<<?0003`g=tan:;<=?=0d`8wla789::>=hm;rkd45679;;;n6}fg12344469k1xej>?013157d<{`m;<=>>201a?vo`89:;=??;b:qjc567888:9o5|if2345759?h0di?0122641e3zcl<=>?133;f>uno9:;<<<>9c9pmb6789;9=ll4she34566:8hi7~gh0123577dj2ybk=>?0002`g=tan:;<=?=1d`8wla789::>?013167d<{`m;<=>>231a?vo`89:;=?<;b:qjc56788899o5|if234575:?h0di?0122671e3zcl<=>?130;f>uno9:;<<<=9c9pmb6789;9>ll4she34566:;hi7~gh0123574dj2ybk=>?0001`g=tan:;<=?=2d`8wla789::>?hm;rkd45679;9;n6}fg12344449k1xej>?013177d<{`m;<=>>221a?vo`89:;=?=;b:qjc56788889o5|if234575;?h0di?0122661e3zcl<=>?131;f>uno9:;<<<<9c9pmb6789;9?ll4she34566::hi7~gh0123575dj2ybk=>?0000`g=tan:;<=?=3d`8wla789::>>hm;rkd45679;>;n6}fg12344439k1xej>?013107d<{`m;<=>>251a?vo`89:;=?:;b:qjc567888?9o5|if234575?136;f>uno9:;<<<;9c9pmb6789;98ll4she34566:=hi7~gh0123572dj2ybk=>?0007`g=tan:;<=?=4d`8wla789::>9hm;rkd45679;?;n6}fg12344429k1xej>?013117d<{`m;<=>>241a?vo`89:;=?;;b:qjc567888>9o5|if234575=?h0di?0122601e3zcl<=>?137;f>uno9:;<<<:9c9pmb6789;99ll4she34566:?0006`g=tan:;<=?=5d`8wla789::>8hm;rkd45679;<;n6}fg12344419k1xej>?013127d<{`m;<=>>271a?vo`89:;=?8;b:qjc567888=9o5|if234575>?h0di?0122631e3zcl<=>?134;f>uno9:;<<<99c9pmb6789;9:ll4she34566:?hi7~gh0123570dj2ybk=>?0005`g=tan:;<=?=6d`8wla789::>;hm;rkd45679;=;n6}fg12344409k1xej>?013137d<{`m;<=>>261a?vo`89:;=?9;b:qjc567888<9o5|if234575??h0di?0122621e3zcl<=>?135;f>uno9:;<<<89c9pmb6789;9;ll4she34566:>hi7~gh0123571dj2ybk=>?0004`g=tan:;<=?=7d`8wla789::>:hm;rkd45679;2;n6}fg123444?9k1xej>?0131<7d<{`m;<=>>291a?vo`89:;=?6;b:qjc56788839o5|if2345750?h0di?01226=1e3zcl<=>?13:;f>uno9:;<<<79c9pmb6789;94ll4she34566:1hi7~gh012357>dj2ybk=>?000;`g=tan:;<=?=8d`8wla789::>5hm;rkd45679;3;n6}fg123444>9k1xej>?0131=7d<{`m;<=>>281a?vo`89:;=?7;b:qjc56788829o5|if2345751?h0di?01226<1e3zcl<=>?13;;f>uno9:;<<<69c9pmb6789;95ll4she34566:0hi7~gh012357?dj2ybk=>?000:`g=tan:;<=?=9d`8wla789::>4hm;rkd45679;k;n6}fg123444f9k1xej>?0131e7d<{`m;<=>>2`1a?vo`89:;=?o;b:qjc567888j9o5|if234575i?h0di?01226d1e3zcl<=>?13c;f>uno9:;<<?000b`g=tan:;<=?=ad`8wla789::>lhm;rkd45679;h;n6}fg123444e9k1xej>?0131f7d<{`m;<=>>2c1a?vo`89:;=?l;b:qjc567888i9o5|if234575j?h0di?01226g1e3zcl<=>?13`;f>uno9:;<<?000a`g=tan:;<=?=bd`8wla789::>ohm;rkd45679;i;n6}fg123444d9k1xej>?0131g7d<{`m;<=>>2b1a?vo`89:;=?m;b:qjc567888h9o5|if234575k?h0di?01226f1e3zcl<=>?13a;f>uno9:;<<?000``g=tan:;<=?=cd`8wla789::>nhm;rkd45679;n;n6}fg123444c9k1xej>?0131`7d<{`m;<=>>2e1a?vo`89:;=?j;b:qjc567888o9o5|if234575l?h0di?01226a1e3zcl<=>?13f;f>uno9:;<<?000g`g=tan:;<=?=dd`8wla789::>ihm;rkd45679;o;n6}fg123444b9k1xej>?0131a7d<{`m;<=>>2d1a?vo`89:;=?k;b:qjc567888n9o5|if234575m?h0di?01226`1e3zcl<=>?13g;f>uno9:;<<?000f`g=tan:;<=?=ed`8wla789::>hhm;rkd45679;l;n6}fg123444a9k1xej>?0131b7d<{`m;<=>>2g1a?vo`89:;=?h;b:qjc567888m9o5|if234575n?h0di?01226c1e3zcl<=>?13d;f>uno9:;<<?000e`g=tan:;<=?=fd`8wla789::>khm;rkd45679::;n6}fg12344579k1xej>?013047d<{`m;<=>>311a?vo`89:;=>>;b:qjc567889;9o5|if2345748?h0di?0122751e3zcl<=>?122;f>uno9:;<<=?9c9pmb6789;8?0013`g=tan:;<=?<0d`8wla789::?=hm;rkd45679:;;n6}fg12344569k1xej>?013057d<{`m;<=>>301a?vo`89:;=>?;b:qjc567889:9o5|if2345749?h0di?0122741e3zcl<=>?123;f>uno9:;<<=>9c9pmb6789;8=ll4she34566;8hi7~gh0123567dj2ybk=>?0012`g=tan:;<=?<1d`8wla789::??013067d<{`m;<=>>331a?vo`89:;=><;b:qjc56788999o5|if234574:?h0di?0122771e3zcl<=>?120;f>uno9:;<<==9c9pmb6789;8>ll4she34566;;hi7~gh0123564dj2ybk=>?0011`g=tan:;<=?<2d`8wla789::??hm;rkd45679:9;n6}fg12344549k1xej>?013077d<{`m;<=>>321a?vo`89:;=>=;b:qjc56788989o5|if234574;?h0di?0122761e3zcl<=>?121;f>uno9:;<<=<9c9pmb6789;8?ll4she34566;:hi7~gh0123565dj2ybk=>?0010`g=tan:;<=?<3d`8wla789::?>hm;rkd45679:>;n6}fg12344539k1xej>?013007d<{`m;<=>>351a?vo`89:;=>:;b:qjc567889?9o5|if234574?126;f>uno9:;<<=;9c9pmb6789;88ll4she34566;=hi7~gh0123562dj2ybk=>?0017`g=tan:;<=?<4d`8wla789::?9hm;rkd45679:?;n6}fg12344529k1xej>?013017d<{`m;<=>>341a?vo`89:;=>;;b:qjc567889>9o5|if234574=?h0di?0122701e3zcl<=>?127;f>uno9:;<<=:9c9pmb6789;89ll4she34566;?0016`g=tan:;<=?<5d`8wla789::?8hm;rkd45679:<;n6}fg12344519k1xej>?013027d<{`m;<=>>371a?vo`89:;=>8;b:qjc567889=9o5|if234574>?h0di?0122731e3zcl<=>?124;f>uno9:;<<=99c9pmb6789;8:ll4she34566;?hi7~gh0123560dj2ybk=>?0015`g=tan:;<=?<6d`8wla789::?;hm;rkd45679:=;n6}fg12344509k1xej>?013037d<{`m;<=>>361a?vo`89:;=>9;b:qjc567889<9o5|if234574??h0di?0122721e3zcl<=>?125;f>uno9:;<<=89c9pmb6789;8;ll4she34566;>hi7~gh0123561dj2ybk=>?0014`g=tan:;<=?<7d`8wla789::?:hm;rkd45679:2;n6}fg123445?9k1xej>?0130<7d<{`m;<=>>391a?vo`89:;=>6;b:qjc56788939o5|if2345740?h0di?01227=1e3zcl<=>?12:;f>uno9:;<<=79c9pmb6789;84ll4she34566;1hi7~gh012356>dj2ybk=>?001;`g=tan:;<=?<8d`8wla789::?5hm;rkd45679:3;n6}fg123445>9k1xej>?0130=7d<{`m;<=>>381a?vo`89:;=>7;b:qjc56788929o5|if2345741?h0di?01227<1e3zcl<=>?12;;f>uno9:;<<=69c9pmb6789;85ll4she34566;0hi7~gh012356?dj2ybk=>?001:`g=tan:;<=?<9d`8wla789::?4hm;rkd45679:k;n6}fg123445f9k1xej>?0130e7d<{`m;<=>>3`1a?vo`89:;=>o;b:qjc567889j9o5|if234574i?h0di?01227d1e3zcl<=>?12c;f>uno9:;<<=n9c9pmb6789;8mll4she34566;hhi7~gh012356gdj2ybk=>?001b`g=tan:;<=??0130f7d<{`m;<=>>3c1a?vo`89:;=>l;b:qjc567889i9o5|if234574j?h0di?01227g1e3zcl<=>?12`;f>uno9:;<<=m9c9pmb6789;8nll4she34566;khi7~gh012356ddj2ybk=>?001a`g=tan:;<=??0130g7d<{`m;<=>>3b1a?vo`89:;=>m;b:qjc567889h9o5|if234574k?h0di?01227f1e3zcl<=>?12a;f>uno9:;<<=l9c9pmb6789;8oll4she34566;jhi7~gh012356edj2ybk=>?001``g=tan:;<=??0130`7d<{`m;<=>>3e1a?vo`89:;=>j;b:qjc567889o9o5|if234574l?h0di?01227a1e3zcl<=>?12f;f>uno9:;<<=k9c9pmb6789;8hll4she34566;mhi7~gh012356bdj2ybk=>?001g`g=tan:;<=??0130a7d<{`m;<=>>3d1a?vo`89:;=>k;b:qjc567889n9o5|if234574m?h0di?01227`1e3zcl<=>?12g;f>uno9:;<<=j9c9pmb6789;8ill4she34566;lhi7~gh012356cdj2ybk=>?001f`g=tan:;<=??0130b7d<{`m;<=>>3g1a?vo`89:;=>h;b:qjc567889m9o5|if234574n?h0di?01227c1e3zcl<=>?12d;f>uno9:;<<=i9c9pmb6789;8jll4she34566;ohi7~gh012356`dj2ybk=>?001e`g=tan:;<=??013747d<{`m;<=>>411a?vo`89:;=9>;b:qjc56788>;9o5|if2345738?h0di?0122051e3zcl<=>?152;f>uno9:;<<:?9c9pmb6789;??0063`g=tan:;<=?;0d`8wla789::8=hm;rkd45679=;;n6}fg12344269k1xej>?013757d<{`m;<=>>401a?vo`89:;=9?;b:qjc56788>:9o5|if2345739?h0di?0122041e3zcl<=>?153;f>uno9:;<<:>9c9pmb6789;?=ll4she34566<8hi7~gh0123517dj2ybk=>?0062`g=tan:;<=?;1d`8wla789::8?013767d<{`m;<=>>431a?vo`89:;=9<;b:qjc56788>99o5|if234573:?h0di?0122071e3zcl<=>?150;f>uno9:;<<:=9c9pmb6789;?>ll4she34566<;hi7~gh0123514dj2ybk=>?0061`g=tan:;<=?;2d`8wla789::8?hm;rkd45679=9;n6}fg12344249k1xej>?013777d<{`m;<=>>421a?vo`89:;=9=;b:qjc56788>89o5|if234573;?h0di?0122061e3zcl<=>?151;f>uno9:;<<:<9c9pmb6789;??ll4she34566<:hi7~gh0123515dj2ybk=>?0060`g=tan:;<=?;3d`8wla789::8>hm;rkd45679=>;n6}fg12344239k1xej>?013707d<{`m;<=>>451a?vo`89:;=9:;b:qjc56788>?9o5|if234573?156;f>uno9:;<<:;9c9pmb6789;?8ll4she34566<=hi7~gh0123512dj2ybk=>?0067`g=tan:;<=?;4d`8wla789::89hm;rkd45679=?;n6}fg12344229k1xej>?013717d<{`m;<=>>441a?vo`89:;=9;;b:qjc56788>>9o5|if234573=?h0di?0122001e3zcl<=>?157;f>uno9:;<<::9c9pmb6789;?9ll4she34566<?0066`g=tan:;<=?;5d`8wla789::88hm;rkd45679=<;n6}fg12344219k1xej>?013727d<{`m;<=>>471a?vo`89:;=98;b:qjc56788>=9o5|if234573>?h0di?0122031e3zcl<=>?154;f>uno9:;<<:99c9pmb6789;?:ll4she34566?0065`g=tan:;<=?;6d`8wla789::8;hm;rkd45679==;n6}fg12344209k1xej>?013737d<{`m;<=>>461a?vo`89:;=99;b:qjc56788><9o5|if234573??h0di?0122021e3zcl<=>?155;f>uno9:;<<:89c9pmb6789;?;ll4she34566<>hi7~gh0123511dj2ybk=>?0064`g=tan:;<=?;7d`8wla789::8:hm;rkd45679=2;n6}fg123442?9k1xej>?0137<7d<{`m;<=>>491a?vo`89:;=96;b:qjc56788>39o5|if2345730?h0di?01220=1e3zcl<=>?15:;f>uno9:;<<:79c9pmb6789;?4ll4she34566<1hi7~gh012351>dj2ybk=>?006;`g=tan:;<=?;8d`8wla789::85hm;rkd45679=3;n6}fg123442>9k1xej>?0137=7d<{`m;<=>>481a?vo`89:;=97;b:qjc56788>29o5|if2345731?h0di?01220<1e3zcl<=>?15;;f>uno9:;<<:69c9pmb6789;?5ll4she34566<0hi7~gh012351?dj2ybk=>?006:`g=tan:;<=?;9d`8wla789::84hm;rkd45679=k;n6}fg123442f9k1xej>?0137e7d<{`m;<=>>4`1a?vo`89:;=9o;b:qjc56788>j9o5|if234573i?h0di?01220d1e3zcl<=>?15c;f>uno9:;<<:n9c9pmb6789;?mll4she34566?006b`g=tan:;<=?;ad`8wla789::8lhm;rkd45679=h;n6}fg123442e9k1xej>?0137f7d<{`m;<=>>4c1a?vo`89:;=9l;b:qjc56788>i9o5|if234573j?h0di?01220g1e3zcl<=>?15`;f>uno9:;<<:m9c9pmb6789;?nll4she34566?006a`g=tan:;<=?;bd`8wla789::8ohm;rkd45679=i;n6}fg123442d9k1xej>?0137g7d<{`m;<=>>4b1a?vo`89:;=9m;b:qjc56788>h9o5|if234573k?h0di?01220f1e3zcl<=>?15a;f>uno9:;<<:l9c9pmb6789;?oll4she34566?006``g=tan:;<=?;cd`8wla789::8nhm;rkd45679=n;n6}fg123442c9k1xej>?0137`7d<{`m;<=>>4e1a?vo`89:;=9j;b:qjc56788>o9o5|if234573l?h0di?01220a1e3zcl<=>?15f;f>uno9:;<<:k9c9pmb6789;?hll4she34566?006g`g=tan:;<=?;dd`8wla789::8ihm;rkd45679=o;n6}fg123442b9k1xej>?0137a7d<{`m;<=>>4d1a?vo`89:;=9k;b:qjc56788>n9o5|if234573m?h0di?01220`1e3zcl<=>?15g;f>uno9:;<<:j9c9pmb6789;?ill4she34566?006f`g=tan:;<=?;ed`8wla789::8hhm;rkd45679=l;n6}fg123442a9k1xej>?0137b7d<{`m;<=>>4g1a?vo`89:;=9h;b:qjc56788>m9o5|if234573n?h0di?01220c1e3zcl<=>?15d;f>uno9:;<<:i9c9pmb6789;?jll4she34566?006e`g=tan:;<=?;fd`8wla789::8khm;rkd45679<:;n6}fg12344379k1xej>?013647d<{`m;<=>>511a?vo`89:;=8>;b:qjc56788?;9o5|if2345728?h0di?0122151e3zcl<=>?142;f>uno9:;<<;?9c9pmb6789;>?0073`g=tan:;<=?:0d`8wla789::9=hm;rkd45679<;;n6}fg12344369k1xej>?013657d<{`m;<=>>501a?vo`89:;=8?;b:qjc56788?:9o5|if2345729?h0di?0122141e3zcl<=>?143;f>uno9:;<<;>9c9pmb6789;>=ll4she34566=8hi7~gh0123507dj2ybk=>?0072`g=tan:;<=?:1d`8wla789::9?013667d<{`m;<=>>531a?vo`89:;=8<;b:qjc56788?99o5|if234572:?h0di?0122171e3zcl<=>?140;f>uno9:;<<;=9c9pmb6789;>>ll4she34566=;hi7~gh0123504dj2ybk=>?0071`g=tan:;<=?:2d`8wla789::9?hm;rkd45679<9;n6}fg12344349k1xej>?013677d<{`m;<=>>521a?vo`89:;=8=;b:qjc56788?89o5|if234572;?h0di?0122161e3zcl<=>?141;f>uno9:;<<;<9c9pmb6789;>?ll4she34566=:hi7~gh0123505dj2ybk=>?0070`g=tan:;<=?:3d`8wla789::9>hm;rkd45679<>;n6}fg12344339k1xej>?013607d<{`m;<=>>551a?vo`89:;=8:;b:qjc56788??9o5|if234572?146;f>uno9:;<<;;9c9pmb6789;>8ll4she34566==hi7~gh0123502dj2ybk=>?0077`g=tan:;<=?:4d`8wla789::99hm;rkd45679?013617d<{`m;<=>>541a?vo`89:;=8;;b:qjc56788?>9o5|if234572=?h0di?0122101e3zcl<=>?147;f>uno9:;<<;:9c9pmb6789;>9ll4she34566=?0076`g=tan:;<=?:5d`8wla789::98hm;rkd45679<<;n6}fg12344319k1xej>?013627d<{`m;<=>>571a?vo`89:;=88;b:qjc56788?=9o5|if234572>?h0di?0122131e3zcl<=>?144;f>uno9:;<<;99c9pmb6789;>:ll4she34566=?hi7~gh0123500dj2ybk=>?0075`g=tan:;<=?:6d`8wla789::9;hm;rkd45679<=;n6}fg12344309k1xej>?013637d<{`m;<=>>561a?vo`89:;=89;b:qjc56788?<9o5|if234572??h0di?0122121e3zcl<=>?145;f>uno9:;<<;89c9pmb6789;>;ll4she34566=>hi7~gh0123501dj2ybk=>?0074`g=tan:;<=?:7d`8wla789::9:hm;rkd45679<2;n6}fg123443?9k1xej>?0136<7d<{`m;<=>>591a?vo`89:;=86;b:qjc56788?39o5|if2345720?h0di?01221=1e3zcl<=>?14:;f>uno9:;<<;79c9pmb6789;>4ll4she34566=1hi7~gh012350>dj2ybk=>?007;`g=tan:;<=?:8d`8wla789::95hm;rkd45679<3;n6}fg123443>9k1xej>?0136=7d<{`m;<=>>581a?vo`89:;=87;b:qjc56788?29o5|if2345721?h0di?01221<1e3zcl<=>?14;;f>uno9:;<<;69c9pmb6789;>5ll4she34566=0hi7~gh012350?dj2ybk=>?007:`g=tan:;<=?:9d`8wla789::94hm;rkd45679?0136e7d<{`m;<=>>5`1a?vo`89:;=8o;b:qjc56788?j9o5|if234572i?h0di?01221d1e3zcl<=>?14c;f>uno9:;<<;n9c9pmb6789;>mll4she34566=hhi7~gh012350gdj2ybk=>?007b`g=tan:;<=?:ad`8wla789::9lhm;rkd45679?0136f7d<{`m;<=>>5c1a?vo`89:;=8l;b:qjc56788?i9o5|if234572j?h0di?01221g1e3zcl<=>?14`;f>uno9:;<<;m9c9pmb6789;>nll4she34566=khi7~gh012350ddj2ybk=>?007a`g=tan:;<=?:bd`8wla789::9ohm;rkd45679?0136g7d<{`m;<=>>5b1a?vo`89:;=8m;b:qjc56788?h9o5|if234572k?h0di?01221f1e3zcl<=>?14a;f>uno9:;<<;l9c9pmb6789;>oll4she34566=jhi7~gh012350edj2ybk=>?007``g=tan:;<=?:cd`8wla789::9nhm;rkd45679?0136`7d<{`m;<=>>5e1a?vo`89:;=8j;b:qjc56788?o9o5|if234572l?h0di?01221a1e3zcl<=>?14f;f>uno9:;<<;k9c9pmb6789;>hll4she34566=mhi7~gh012350bdj2ybk=>?007g`g=tan:;<=?:dd`8wla789::9ihm;rkd45679?0136a7d<{`m;<=>>5d1a?vo`89:;=8k;b:qjc56788?n9o5|if234572m?h0di?01221`1e3zcl<=>?14g;f>uno9:;<<;j9c9pmb6789;>ill4she34566=lhi7~gh012350cdj2ybk=>?007f`g=tan:;<=?:ed`8wla789::9hhm;rkd45679?0136b7d<{`m;<=>>5g1a?vo`89:;=8h;b:qjc56788?m9o5|if234572n?h0di?01221c1e3zcl<=>?14d;f>uno9:;<<;i9c9pmb6789;>jll4she34566=ohi7~gh012350`dj2ybk=>?007e`g=tan:;<=?:fd`8wla789::9khm;rkd45679?:;n6}fg12344079k1xej>?013547d<{`m;<=>>611a?vo`89:;=;>;b:qjc56788<;9o5|if2345718?h0di?0122251e3zcl<=>?172;f>uno9:;<<8?9c9pmb6789;=9hi7~gh0123536dj2ybk=>?0043`g=tan:;<=?90d`8wla789:::=hm;rkd45679?;;n6}fg12344069k1xej>?013557d<{`m;<=>>601a?vo`89:;=;?;b:qjc56788<:9o5|if2345719?h0di?0122241e3zcl<=>?173;f>uno9:;<<8>9c9pmb6789;==ll4she34566>8hi7~gh0123537dj2ybk=>?0042`g=tan:;<=?91d`8wla789:::?013567d<{`m;<=>>631a?vo`89:;=;<;b:qjc56788<99o5|if234571:?h0di?0122271e3zcl<=>?170;f>uno9:;<<8=9c9pmb6789;=>ll4she34566>;hi7~gh0123534dj2ybk=>?0041`g=tan:;<=?92d`8wla789:::?hm;rkd45679?9;n6}fg12344049k1xej>?013577d<{`m;<=>>621a?vo`89:;=;=;b:qjc56788<89o5|if234571;?h0di?0122261e3zcl<=>?171;f>uno9:;<<8<9c9pmb6789;=?ll4she34566>:hi7~gh0123535dj2ybk=>?0040`g=tan:;<=?93d`8wla789:::>hm;rkd45679?>;n6}fg12344039k1xej>?013507d<{`m;<=>>651a?vo`89:;=;:;b:qjc56788?176;f>uno9:;<<8;9c9pmb6789;=8ll4she34566>=hi7~gh0123532dj2ybk=>?0047`g=tan:;<=?94d`8wla789:::9hm;rkd45679??;n6}fg12344029k1xej>?013517d<{`m;<=>>641a?vo`89:;=;;;b:qjc56788<>9o5|if234571=?h0di?0122201e3zcl<=>?177;f>uno9:;<<8:9c9pmb6789;=9ll4she34566>?0046`g=tan:;<=?95d`8wla789:::8hm;rkd45679?<;n6}fg12344019k1xej>?013527d<{`m;<=>>671a?vo`89:;=;8;b:qjc56788<=9o5|if234571>?h0di?0122231e3zcl<=>?174;f>uno9:;<<899c9pmb6789;=:ll4she34566>?hi7~gh0123530dj2ybk=>?0045`g=tan:;<=?96d`8wla789:::;hm;rkd45679?=;n6}fg12344009k1xej>?013537d<{`m;<=>>661a?vo`89:;=;9;b:qjc56788<<9o5|if234571??h0di?0122221e3zcl<=>?175;f>uno9:;<<889c9pmb6789;=;ll4she34566>>hi7~gh0123531dj2ybk=>?0044`g=tan:;<=?97d`8wla789::::hm;rkd45679?2;n6}fg123440?9k1xej>?0135<7d<{`m;<=>>691a?vo`89:;=;6;b:qjc56788<39o5|if2345710?h0di?01222=1e3zcl<=>?17:;f>uno9:;<<879c9pmb6789;=4ll4she34566>1hi7~gh012353>dj2ybk=>?004;`g=tan:;<=?98d`8wla789:::5hm;rkd45679?3;n6}fg123440>9k1xej>?0135=7d<{`m;<=>>681a?vo`89:;=;7;b:qjc56788<29o5|if2345711?h0di?01222<1e3zcl<=>?17;;f>uno9:;<<869c9pmb6789;=5ll4she34566>0hi7~gh012353?dj2ybk=>?004:`g=tan:;<=?99d`8wla789:::4hm;rkd45679?k;n6}fg123440f9k1xej>?0135e7d<{`m;<=>>6`1a?vo`89:;=;o;b:qjc56788?17c;f>uno9:;<<8n9c9pmb6789;=mll4she34566>hhi7~gh012353gdj2ybk=>?004b`g=tan:;<=?9ad`8wla789:::lhm;rkd45679?h;n6}fg123440e9k1xej>?0135f7d<{`m;<=>>6c1a?vo`89:;=;l;b:qjc56788?17`;f>uno9:;<<8m9c9pmb6789;=nll4she34566>khi7~gh012353ddj2ybk=>?004a`g=tan:;<=?9bd`8wla789:::ohm;rkd45679?i;n6}fg123440d9k1xej>?0135g7d<{`m;<=>>6b1a?vo`89:;=;m;b:qjc56788?17a;f>uno9:;<<8l9c9pmb6789;=oll4she34566>jhi7~gh012353edj2ybk=>?004``g=tan:;<=?9cd`8wla789:::nhm;rkd45679?n;n6}fg123440c9k1xej>?0135`7d<{`m;<=>>6e1a?vo`89:;=;j;b:qjc56788?17f;f>uno9:;<<8k9c9pmb6789;=hll4she34566>mhi7~gh012353bdj2ybk=>?004g`g=tan:;<=?9dd`8wla789:::ihm;rkd45679?o;n6}fg123440b9k1xej>?0135a7d<{`m;<=>>6d1a?vo`89:;=;k;b:qjc56788?17g;f>uno9:;<<8j9c9pmb6789;=ill4she34566>lhi7~gh012353cdj2ybk=>?004f`g=tan:;<=?9ed`8wla789:::hhm;rkd45679?l;n6}fg123440a9k1xej>?0135b7d<{`m;<=>>6g1a?vo`89:;=;h;b:qjc56788?17d;f>uno9:;<<8i9c9pmb6789;=jll4she34566>ohi7~gh012353`dj2ybk=>?004e`g=tan:;<=?9fd`8wla789:::khm;rkd45679>:;n6}fg12344179k1xej>?013447d<{`m;<=>>711a?vo`89:;=:>;b:qjc56788=;9o5|if2345708?h0di?0122351e3zcl<=>?162;f>uno9:;<<9?9c9pmb6789;<?0053`g=tan:;<=?80d`8wla789::;=hm;rkd45679>;;n6}fg12344169k1xej>?013457d<{`m;<=>>701a?vo`89:;=:?;b:qjc56788=:9o5|if2345709?h0di?0122341e3zcl<=>?163;f>uno9:;<<9>9c9pmb6789;<=ll4she34566?8hi7~gh0123527dj2ybk=>?0052`g=tan:;<=?81d`8wla789::;8;n6}fg12344159k1xej>?013467d<{`m;<=>>731a?vo`89:;=:<;b:qjc56788=99o5|if234570:?h0di?0122371e3zcl<=>?160;f>uno9:;<<9=9c9pmb6789;<>ll4she34566?;hi7~gh0123524dj2ybk=>?0051`g=tan:;<=?82d`8wla789::;?hm;rkd45679>9;n6}fg12344149k1xej>?013477d<{`m;<=>>721a?vo`89:;=:=;b:qjc56788=89o5|if234570;?h0di?0122361e3zcl<=>?161;f>uno9:;<<9<9c9pmb6789;?0050`g=tan:;<=?83d`8wla789::;>hm;rkd45679>>;n6}fg12344139k1xej>?013407d<{`m;<=>>751a?vo`89:;=::;b:qjc56788=?9o5|if234570?166;f>uno9:;<<9;9c9pmb6789;<8ll4she34566?=hi7~gh0123522dj2ybk=>?0057`g=tan:;<=?84d`8wla789::;9hm;rkd45679>?;n6}fg12344129k1xej>?013417d<{`m;<=>>741a?vo`89:;=:;;b:qjc56788=>9o5|if234570=?h0di?0122301e3zcl<=>?167;f>uno9:;<<9:9c9pmb6789;<9ll4she34566??0056`g=tan:;<=?85d`8wla789::;8hm;rkd45679><;n6}fg12344119k1xej>?013427d<{`m;<=>>771a?vo`89:;=:8;b:qjc56788==9o5|if234570>?h0di?0122331e3zcl<=>?164;f>uno9:;<<999c9pmb6789;<:ll4she34566??hi7~gh0123520dj2ybk=>?0055`g=tan:;<=?86d`8wla789::;;hm;rkd45679>=;n6}fg12344109k1xej>?013437d<{`m;<=>>761a?vo`89:;=:9;b:qjc56788=<9o5|if234570??h0di?0122321e3zcl<=>?165;f>uno9:;<<989c9pmb6789;<;ll4she34566?>hi7~gh0123521dj2ybk=>?0054`g=tan:;<=?87d`8wla789::;:hm;rkd45679>2;n6}fg123441?9k1xej>?0134<7d<{`m;<=>>791a?vo`89:;=:6;b:qjc56788=39o5|if2345700?h0di?01223=1e3zcl<=>?16:;f>uno9:;<<979c9pmb6789;<4ll4she34566?1hi7~gh012352>dj2ybk=>?005;`g=tan:;<=?88d`8wla789::;5hm;rkd45679>3;n6}fg123441>9k1xej>?0134=7d<{`m;<=>>781a?vo`89:;=:7;b:qjc56788=29o5|if2345701?h0di?01223<1e3zcl<=>?16;;f>uno9:;<<969c9pmb6789;<5ll4she34566?0hi7~gh012352?dj2ybk=>?005:`g=tan:;<=?89d`8wla789::;4hm;rkd45679>k;n6}fg123441f9k1xej>?0134e7d<{`m;<=>>7`1a?vo`89:;=:o;b:qjc56788=j9o5|if234570i?h0di?01223d1e3zcl<=>?16c;f>uno9:;<<9n9c9pmb6789;?005b`g=tan:;<=?8ad`8wla789::;lhm;rkd45679>h;n6}fg123441e9k1xej>?0134f7d<{`m;<=>>7c1a?vo`89:;=:l;b:qjc56788=i9o5|if234570j?h0di?01223g1e3zcl<=>?16`;f>uno9:;<<9m9c9pmb6789;?005a`g=tan:;<=?8bd`8wla789::;ohm;rkd45679>i;n6}fg123441d9k1xej>?0134g7d<{`m;<=>>7b1a?vo`89:;=:m;b:qjc56788=h9o5|if234570k?h0di?01223f1e3zcl<=>?16a;f>uno9:;<<9l9c9pmb6789;?005``g=tan:;<=?8cd`8wla789::;nhm;rkd45679>n;n6}fg123441c9k1xej>?0134`7d<{`m;<=>>7e1a?vo`89:;=:j;b:qjc56788=o9o5|if234570l?h0di?01223a1e3zcl<=>?16f;f>uno9:;<<9k9c9pmb6789;?005g`g=tan:;<=?8dd`8wla789::;ihm;rkd45679>o;n6}fg123441b9k1xej>?0134a7d<{`m;<=>>7d1a?vo`89:;=:k;b:qjc56788=n9o5|if234570m?h0di?01223`1e3zcl<=>?16g;f>uno9:;<<9j9c9pmb6789;?005f`g=tan:;<=?8ed`8wla789::;hhm;rkd45679>l;n6}fg123441a9k1xej>?0134b7d<{`m;<=>>7g1a?vo`89:;=:h;b:qjc56788=m9o5|if234570n?h0di?01223c1e3zcl<=>?16d;f>uno9:;<<9i9c9pmb6789;?005e`g=tan:;<=?8fd`8wla789::;khm;rkd456791:;n6}fg12344>79k1xej>?013;47d<{`m;<=>>811a?vo`89:;=5>;b:qjc567882;9o5|if23457?8?h0di?0122<51e3zcl<=>?192;f>uno9:;<<6?9c9pmb6789;3?00:3`g=tan:;<=?70d`8wla789::4=hm;rkd456791;;n6}fg12344>69k1xej>?013;57d<{`m;<=>>801a?vo`89:;=5?;b:qjc567882:9o5|if23457?9?h0di?0122<41e3zcl<=>?193;f>uno9:;<<6>9c9pmb6789;3=ll4she3456608hi7~gh01235=7dj2ybk=>?00:2`g=tan:;<=?71d`8wla789::459k1xej>?013;67d<{`m;<=>>831a?vo`89:;=5<;b:qjc56788299o5|if23457?:?h0di?0122<71e3zcl<=>?190;f>uno9:;<<6=9c9pmb6789;3>ll4she345660;hi7~gh01235=4dj2ybk=>?00:1`g=tan:;<=?72d`8wla789::4?hm;rkd4567919;n6}fg12344>49k1xej>?013;77d<{`m;<=>>821a?vo`89:;=5=;b:qjc56788289o5|if23457?;?h0di?0122<61e3zcl<=>?191;f>uno9:;<<6<9c9pmb6789;3?ll4she345660:hi7~gh01235=5dj2ybk=>?00:0`g=tan:;<=?73d`8wla789::4>hm;rkd456791>;n6}fg12344>39k1xej>?013;07d<{`m;<=>>851a?vo`89:;=5:;b:qjc567882?9o5|if23457??196;f>uno9:;<<6;9c9pmb6789;38ll4she345660=hi7~gh01235=2dj2ybk=>?00:7`g=tan:;<=?74d`8wla789::49hm;rkd456791?;n6}fg12344>29k1xej>?013;17d<{`m;<=>>841a?vo`89:;=5;;b:qjc567882>9o5|if23457?=?h0di?0122<01e3zcl<=>?197;f>uno9:;<<6:9c9pmb6789;39ll4she345660?00:6`g=tan:;<=?75d`8wla789::48hm;rkd456791<;n6}fg12344>19k1xej>?013;27d<{`m;<=>>871a?vo`89:;=58;b:qjc567882=9o5|if23457?>?h0di?0122<31e3zcl<=>?194;f>uno9:;<<699c9pmb6789;3:ll4she345660?hi7~gh01235=0dj2ybk=>?00:5`g=tan:;<=?76d`8wla789::4;hm;rkd456791=;n6}fg12344>09k1xej>?013;37d<{`m;<=>>861a?vo`89:;=59;b:qjc567882<9o5|if23457???h0di?0122<21e3zcl<=>?195;f>uno9:;<<689c9pmb6789;3;ll4she345660>hi7~gh01235=1dj2ybk=>?00:4`g=tan:;<=?77d`8wla789::4:hm;rkd4567912;n6}fg12344>?9k1xej>?013;<7d<{`m;<=>>891a?vo`89:;=56;b:qjc56788239o5|if23457?0?h0di?0122<=1e3zcl<=>?19:;f>uno9:;<<679c9pmb6789;34ll4she3456601hi7~gh01235=>dj2ybk=>?00:;`g=tan:;<=?78d`8wla789::45hm;rkd4567913;n6}fg12344>>9k1xej>?013;=7d<{`m;<=>>881a?vo`89:;=57;b:qjc56788229o5|if23457?1?h0di?0122<<1e3zcl<=>?19;;f>uno9:;<<669c9pmb6789;35ll4she3456600hi7~gh01235=?dj2ybk=>?00::`g=tan:;<=?79d`8wla789::44hm;rkd456791k;n6}fg12344>f9k1xej>?013;e7d<{`m;<=>>8`1a?vo`89:;=5o;b:qjc567882j9o5|if23457?i?h0di?0122?19c;f>uno9:;<<6n9c9pmb6789;3mll4she345660hhi7~gh01235=gdj2ybk=>?00:b`g=tan:;<=?7ad`8wla789::4lhm;rkd456791h;n6}fg12344>e9k1xej>?013;f7d<{`m;<=>>8c1a?vo`89:;=5l;b:qjc567882i9o5|if23457?j?h0di?0122?19`;f>uno9:;<<6m9c9pmb6789;3nll4she345660khi7~gh01235=ddj2ybk=>?00:a`g=tan:;<=?7bd`8wla789::4ohm;rkd456791i;n6}fg12344>d9k1xej>?013;g7d<{`m;<=>>8b1a?vo`89:;=5m;b:qjc567882h9o5|if23457?k?h0di?0122?19a;f>uno9:;<<6l9c9pmb6789;3oll4she345660jhi7~gh01235=edj2ybk=>?00:``g=tan:;<=?7cd`8wla789::4nhm;rkd456791n;n6}fg12344>c9k1xej>?013;`7d<{`m;<=>>8e1a?vo`89:;=5j;b:qjc567882o9o5|if23457?l?h0di?0122?19f;f>uno9:;<<6k9c9pmb6789;3hll4she345660mhi7~gh01235=bdj2ybk=>?00:g`g=tan:;<=?7dd`8wla789::4ihm;rkd456791o;n6}fg12344>b9k1xej>?013;a7d<{`m;<=>>8d1a?vo`89:;=5k;b:qjc567882n9o5|if23457?m?h0di?0122<`1e3zcl<=>?19g;f>uno9:;<<6j9c9pmb6789;3ill4she345660lhi7~gh01235=cdj2ybk=>?00:f`g=tan:;<=?7ed`8wla789::4hhm;rkd456791l;n6}fg12344>a9k1xej>?013;b7d<{`m;<=>>8g1a?vo`89:;=5h;b:qjc567882m9o5|if23457?n?h0di?0122?19d;f>uno9:;<<6i9c9pmb6789;3jll4she345660ohi7~gh01235=`dj2ybk=>?00:e`g=tan:;<=?7fd`8wla789::4khm;rkd456790:;n6}fg12344?79k1xej>?013:47d<{`m;<=>>911a?vo`89:;=4>;b:qjc567883;9o5|if23457>8?h0di?0122=51e3zcl<=>?182;f>uno9:;<<7?9c9pmb6789;2?00;3`g=tan:;<=?60d`8wla789::5=hm;rkd456790;;n6}fg12344?69k1xej>?013:57d<{`m;<=>>901a?vo`89:;=4?;b:qjc567883:9o5|if23457>9?h0di?0122=41e3zcl<=>?183;f>uno9:;<<7>9c9pmb6789;2=ll4she3456618hi7~gh01235<7dj2ybk=>?00;2`g=tan:;<=?61d`8wla789::5?013:67d<{`m;<=>>931a?vo`89:;=4<;b:qjc56788399o5|if23457>:?h0di?0122=71e3zcl<=>?180;f>uno9:;<<7=9c9pmb6789;2>ll4she345661;hi7~gh01235<4dj2ybk=>?00;1`g=tan:;<=?62d`8wla789::5?hm;rkd4567909;n6}fg12344?49k1xej>?013:77d<{`m;<=>>921a?vo`89:;=4=;b:qjc56788389o5|if23457>;?h0di?0122=61e3zcl<=>?181;f>uno9:;<<7<9c9pmb6789;2?ll4she345661:hi7~gh01235<5dj2ybk=>?00;0`g=tan:;<=?63d`8wla789::5>hm;rkd456790>;n6}fg12344?39k1xej>?013:07d<{`m;<=>>951a?vo`89:;=4:;b:qjc567883?9o5|if23457>?186;f>uno9:;<<7;9c9pmb6789;28ll4she345661=hi7~gh01235<2dj2ybk=>?00;7`g=tan:;<=?64d`8wla789::59hm;rkd456790?;n6}fg12344?29k1xej>?013:17d<{`m;<=>>941a?vo`89:;=4;;b:qjc567883>9o5|if23457>=?h0di?0122=01e3zcl<=>?187;f>uno9:;<<7:9c9pmb6789;29ll4she345661?00;6`g=tan:;<=?65d`8wla789::58hm;rkd456790<;n6}fg12344?19k1xej>?013:27d<{`m;<=>>971a?vo`89:;=48;b:qjc567883=9o5|if23457>>?h0di?0122=31e3zcl<=>?184;f>uno9:;<<799c9pmb6789;2:ll4she345661?hi7~gh01235<0dj2ybk=>?00;5`g=tan:;<=?66d`8wla789::5;hm;rkd456790=;n6}fg12344?09k1xej>?013:37d<{`m;<=>>961a?vo`89:;=49;8:qs[wgjW820}Q}al]157=sey%Toj!gbeg`5+h`g=1}=#>r@Arab4=i;m:1:6*U5:<08=<4<4`595650?b3494?7=9rY:>k4>b309f?74;>=>h7=>e908rQ5el3:1=7?51365V75n3;i>?4m:010323c2:;n4?5+3b79670<^:in6?uz24795>s5=?0;7p*<4584=>d6j;<1<7o6:28b=~N4k:1Qi:4:{40916<5j38h6<;5}%1`5?7e:?1/?nm51c06?l7e:o0;66g>0`c94?=h9k8n6=44o0`1`?6=3f;8!5f03;?4l5a3`596>=h9::26=4+3`:951>f3g9j;7=4;n304=<72-9j47?;8`9m7d1=<21d=>>8:18'7d>=9=2j7c=n7;78?j748?0;6)=n8;375<#;h21=96n;o1b3?1<3f;8<>4?:%1b!5f03;?4l5a3`59e>=h9::;6=4+3`:951>f3g9j;7l4;n31bc<72-9j47?;8`9m7d1=k21d=?hj:18'7d>=9=2j7c=n7;f8?j75nm0;6)=n8;375<#;h21=96n;o1b3?`<3f;9jo4?:%1bo7:06;e>h4i>0:=65`13d;>5<#;h21=96n;o1b3?7532e:>k950;&0e=<6<1k0b>o8:018?j75n?0;6)=n8;37=9=2j7c=n7;35?>i6:o91<7*3m6`=h9;l;6=4+3`:951>f3g9j;7?n;:m26`c=83.8m54>49c8j6g028h07b?=ee83>!5f03;?4l5a3`595f=5$2c;>42?i2d8m:4>d:9l57ce290/?l6515:b?k5f?3;n76a>2dc94?"4i10:85o4n2c4>4`<3f;9i44?:%1bo7:06;e>h4i>09=65`13g4>5<#;h21=96n;o1b3?4532e:>h850;&0e=<6<1k0b>o8:318?j75m<0;6)=n8;37954o0125?6=,:k36<:7a:l0e2<5=21d=>??:18'7d>=9=2j7c=n7;05?>i6;9l1<7*3m6`=h9::>6=4+3`:951>f3g9j;749c8j6g02;h07b?=eg83>!5f03;?4l5a3`596f=5$2c;>42?i2d8m:4=d:9l57c4290/?l6515:b?k5f?38n76a>4c`94?"4i10:8h74n2c4>5=im7>5$2c;>42b12d8m:4>;:m20g?=83.8m54>4d;8j6g02;10c<:m8;29 6g?28>n56`i6o8:798k42e;3:1(>o7:06f=>h4i>0<76a>4c094?"4i10:8h74n2c4>==i=7>5$2c;>42b12d8m:46;:m20g6=83.8m54>4d;8j6g02h10c<:nf;29 6g?28>n56`i6o8:d98k42fj3:1(>o7:06f=>h4i>0m76a>4`c94?"4i10:8h74n2c4>46<3f;?m54?:%1bo7:06f=>h4i>0:>65`15c5>5<#;h21=9k6;o1b3?7432e:8l;50;&0e=<6o8:068?j73i=0;6)=n8;37a<=i;h=1=854o06b7?6=,:k36<:j9:l0e2<6>21d=9o=:18'7d>=9=o27c=n7;34?>i6n56`=h9=3o6=4+3`:951c>3g9j;7?m;:m204d;8j6g028i07b?;9c83>!5f03;?i45a3`595a=2m7>5$2c;>42b12d8m:4>e:9l51?>290/?l6515g:?k5f?3;m76a>48:94?"4i10:8h74n2c4>76<3f;?5:4?:%1b>3:1(>o7:06f=>h4i>09>65`15;6>5<#;h21=9k6;o1b3?4432e:84:50;&0e=<6o8:368?j73k90;6)=n8;37a<=i;h=1>854o06ab?6=,:k36<:j9:l0e2<5>21d=9lj:18'7d>=9=o27c=n7;04?>i6n56`=h9=k26=4+3`:951c>3g9j;74d;8j6g02;i07b?;9283>!5f03;?i45a3`596a=2>7>5$2c;>42b12d8m:4=e:9j5464290/?l6510a:?k5f?3:07d?>0383>!5f03;:o45a3`595>=n98::6=4+3`:954e>3g9j;7<4;h3245<72-9j47?>c89m7d1=;21b==hj:18'7d>=98i27c=n7;68?l77nm0;6)=n8;32g<=i;h=1965f11d`>5<#;h21=!5f03;:o45a3`59=>=n99l36=4+3`:954e>3g9j;7o4;h33b2<72-9j47?>c89m7d1=j21b==h9:18'7d>=98i27c=n7;a8?l77n<0;6)=n8;32g<=i;h=1h65f102b>5<#;h21=11594?"4i10:=n74n2c4>47<3`;:<;4?:%1bo7:03`=>h4i>0:?65f1027>5<#;h21=o8:078?l77n=0;6)=n8;32g<=i;h=1=;54i02e7?6=,:k36o7:03ee>h4i>0;76g>1d094?"4i10:=ko4n2c4>4=5$2c;>47ai2d8m:4=;:k25`6=83.8m54>1gc8j6g02:10eo69mn1<7*o8:698m47ci3:1(>o7:03ee>h4i>0376g>1e;94?"4i10:=ko4n2c4><=5$2c;>47ai2d8m:4n;:k25a1=83.8m54>1gc8j6g02k10eo69m?1<7*o8:g98m47b03:1(>o7:03ee>h4i>0:<65f10g4>5<#;h21=o8:008?l76m<0;6)=n8;32bd=i;h=1=>54i03f0?6=,:k36=98lj7c=n7;36?>o69m>1<7*f`9m7d1=9>10e<>k8;29?l77j00;6)=n8;33gd=i;h=1<65f11`;>5<#;h21==mn;o1b3?7<3`;;n:4?:%1b!5f03;;ol5a3`590>=n99h?6=4+3`:955ef3g9j;7;4;h33f6<72-9j47??c`9m7d1=>21b==l=:18'7d>=99ij7c=n7;58?l77k80;6)=n8;33gd=i;h=1465f11a3>5<#;h21==mn;o1b3??<3`;;nk4?:%1b!5f03;;ol5a3`59g>=n99hh6=4+3`:955ef3g9j;7j4;h33fg<72-9j47??c`9m7d1=m21b==ln:18'7d>=99ij7c=n7;d8?l77j80;6)=n8;33gd=i;h=1==54i02a4?6=,:k36<>la:l0e2<6921b=o>d4m?0;6<4?:1yK7f5<,:i:6>m;;n126?6=3th8i:4?:083>5}O;j90(>m>:33b?j4613:17pl<>c2?;i6h6j{I1`7>\b?339w9l54b87`?2b2=l19=4:1;16>60=;>0847=6:2c97g<5<38>6?8526812;k1=n4:2;70>02==<09n70e==m0>i7;i:72924<1:3;>6>:55c80g?5c2:o1?k4;0;62>35=<;0=87:<:77901<1>3<<6;656885e?0e2=k1>>4>e;3e>4b=9m0:97?l:3196g<5k38o6?k52g804?562:81?>4=4;06>70=:>0947<6:3c95`<6n3>j6>:55c866?5d2?919>402=;l0=97;::2d923<2>3>;6;9556875?0?2<218?499;7:>15=>h0>m7:;:7`90g<4=3?h69m53786`?2c2:=19h4;e;1;>0`=l5638~ 6e628h956*>2`82f71<,88n6o7:0175>h4i>0;76a>32c94?"4i10:?9?4n2c4>4=5$2c;>45392d8m:4=;:m276>=83.8m54>3538j6g02:10c<=<7;29 6g?289?=6`i6;:<1<7*=50;&0e=<6;=;0b>o8:698k454:3:1(>o7:0175>h4i>0376a>32394?"4i10:?9?4n2c4><=5$2c;>45392d8m:4n;:m277`=83.8m54>3538j6g02k10c<==e;29 6g?289?=6`i6;;n1<7*o8:g98k455i3:1(>o7:0175>h4i>0:<65`120;>5<#;h21=>:>;o1b3?7632e:??950;&0e=<6;=;0b>o8:008?j74:?0;6)=n8;3004=i;h=1=>54o0111?6=,:k36<=;1:l0e2<6<21d=><;:18'7d>=9:>:7c=n7;36?>i6;;91<7*10c<==1;29 6g?289?=6`=h9:8;6=4+3`:956263g9j;7?6;:m274`=83.8m54>3538j6g028k07b?<1e83>!5f03;88<5a3`595g=5$2c;>45392d8m:4>c:9l567e290/?l651262?k5f?3;o76a>30c94?"4i10:?9?4n2c4>4c<3f;8=44?:%1bo7:0175>h4i>09<65`1234>5<#;h21=>:>;o1b3?4632e:?<850;&0e=<6;=;0b>o8:308?j749<0;6)=n8;3004=i;h=1>>54o0120?6=,:k36<=;1:l0e2<5<21d=>:?:18'7d>=9:>:7c=n7;06?>i6;:l1<7*10c<==h9:9h6=4+3`:956263g9j;7<6;:m2762=83.8m54>3538j6g02;k07b?<2883>!5f03;88<5a3`596g=5$2c;>45392d8m:4=c:9l5674290/?l651262?k5f?38o76a>30094?"4i10:?9?4n2c4>7c<3f;8:o4?:%1b!5f03;8;<5a3`596>=h9:<36=4+3`:956163g9j;7=4;n3022<72-9j47?<709m7d1=<21d=>89:18'7d>=9:=:7c=n7;78?j74><0;6)=n8;3034=i;h=1:65`1240>5<#;h21=>9>;o1b3?1<3f;8:?4?:%1b!5f03;8;<5a3`59e>=h9:?m6=4+3`:956163g9j;7l4;n301`<72-9j47?<709m7d1=k21d=>;k:18'7d>=9:=:7c=n7;f8?j74=j0;6)=n8;3034=i;h=1i65`127a>5<#;h21=>9>;o1b3?`<3f;89l4?:%1bo7:0145>h4i>0:=65`1274>5<#;h21=>9>;o1b3?7532e:?8850;&0e=<6;>;0b>o8:018?j74=<0;6)=n8;3034=i;h=1=954o0160?6=,:k36<=81:l0e2<6=21d=>;<:18'7d>=9:=:7c=n7;35?>i6;<81<7*=h9:>m6=4+3`:956163g9j;7?n;:m271b=83.8m54>3638j6g028h07b?<4b83>!5f03;8;<5a3`595f=5$2c;>45092d8m:4>d:9l562f290/?l651252?k5f?3;n76a>35;94?"4i10:?:?4n2c4>4`<3f;8854?:%1bo7:0145>h4i>09=65`1265>5<#;h21=>9>;o1b3?4532e:?9;50;&0e=<6;>;0b>o8:318?j74<=0;6)=n8;3034=i;h=1>954o0144?6=,:k36<=81:l0e2<5=21d=>8i:18'7d>=9:=:7c=n7;05?>i6;?o1<7*=h9:3638j6g02;h07b?<4d83>!5f03;8;<5a3`596f=5$2c;>45092d8m:4=d:9l5625290/?l651252?k5f?38n76a>38`94?"4i10:?l?4n2c4>5=5$2c;>45f92d8m:4>;:m273`38j6g02;10c<=68;29 6g?289j=6`i6;0=1<7*o8:798k45>;3:1(>o7:01b5>h4i>0<76a>38094?"4i10:?l?4n2c4>==5$2c;>45f92d8m:46;:m27<6=83.8m54>3`38j6g02h10c<=7f;29 6g?289j=6`i6;1o1<7*o8:d98k45?j3:1(>o7:01b5>h4i>0m76a>39c94?"4i10:?l?4n2c4>46<3f;8454?:%1bo7:01b5>h4i>0:>65`12:5>5<#;h21=>o>;o1b3?7432e:?5;50;&0e=<6;h;0b>o8:068?j740=0;6)=n8;30e4=i;h=1=854o01;7?6=,:k36<=n1:l0e2<6>21d=>6=:18'7d>=9:k:7c=n7;34?>i6;1;1<7*=h9:=o6=4+3`:956g63g9j;7?m;:m272e=83.8m54>3`38j6g028i07b?<7c83>!5f03;8m<5a3`595a=5$2c;>45f92d8m:4>e:9l561>290/?l6512c2?k5f?3;m76a>36:94?"4i10:?l?4n2c4>76<3f;8;:4?:%1b3:1(>o7:01b5>h4i>09>65`1256>5<#;h21=>o>;o1b3?4432e:?::50;&0e=<6;h;0b>o8:368?j74i90;6)=n8;30e4=i;h=1>854o01:b?6=,:k36<=n1:l0e2<5>21d=>7j:18'7d>=9:k:7c=n7;04?>i6;0n1<7*=h9:226=4+3`:956g63g9j;73`38j6g02;i07b?<7283>!5f03;8m<5a3`596a=7>5$2c;>45f92d8m:4=e:9l56ee290/?l6512f2?k5f?3:07b?!5f03;8h<5a3`595>=h9:i26=4+3`:956b63g9j;7<4;n30g=<72-9j47?m8:18'7d>=9:n:7c=n7;68?j74k?0;6)=n8;30`4=i;h=1965`12a6>5<#;h21=>j>;o1b3?0<3f;8o>4?:%1b!5f03;8h<5a3`59=>=h9:i;6=4+3`:956b63g9j;7o4;n30fc<72-9j47?lj:18'7d>=9:n:7c=n7;a8?j74jm0;6)=n8;30`4=i;h=1h65`12``>5<#;h21=>j>;o1b3?c<3f;8no4?:%1b3c:94?"4i10:?i?4n2c4>47<3f;8n:4?:%1b3:1(>o7:01g5>h4i>0:?65`12`6>5<#;h21=>j>;o1b3?7332e:?o:50;&0e=<6;m;0b>o8:078?j74j:0;6)=n8;30`4=i;h=1=;54o01a6?6=,:k36<=k1:l0e2<6?21d=>l>:18'7d>=9:n:7c=n7;3;?>i6;k:1<7*=h9:kh6=4+3`:956b63g9j;7?l;:m27dd=83.8m54>3e38j6g028n07b?!5f03;8h<5a3`595`=5$2c;>45c92d8m:4>f:9l56g?290/?l6512f2?k5f?38;76a>3`594?"4i10:?i?4n2c4>77<3f;8m;4?:%1bo7:01g5>h4i>09?65`12c7>5<#;h21=>j>;o1b3?4332e:?i>50;&0e=<6;m;0b>o8:378?j74ko0;6)=n8;30`4=i;h=1>;54o01`a?6=,:k36<=k1:l0e2<5?21d=>mk:18'7d>=9:n:7c=n7;0;?>i6;ji1<7*=h9:kn6=4+3`:956b63g9j;73e38j6g02;n07b?!5f03;8h<5a3`596`=5$2c;>42792d8m:4?;:m27cg=83.8m54>4138j6g02810c<=i9;29 6g?28>;=6`i6;o21<7*54o01e3?6=,:k36<:?1:l0e2<332e:?k850;&0e=<6<9;0b>o8:498k45a=3:1(>o7:0635>h4i>0=76a>3g194?"4i10:8=?4n2c4>2=7>5$2c;>42792d8m:47;:m27c7=83.8m54>4138j6g02010c<=i0;29 6g?28>;=6`i6;ll1<7*o8:e98k45bk3:1(>o7:0635>h4i>0n76a>3d`94?"4i10:8=?4n2c4>c=5$2c;>42792d8m:4>0:9l56c?290/?l651522?k5f?3;:76a>3d594?"4i10:8=?4n2c4>44<3f;8i;4?:%1bo7:0635>h4i>0:865`12g7>5<#;h21=9>>;o1b3?7232e:?h=50;&0e=<6<9;0b>o8:048?j74m;0;6)=n8;3744=i;h=1=:54o01f5?6=,:k36<:?1:l0e2<6021d=>k?:18'7d>=9=::7c=n7;3:?>i6;ml1<7*;=6`=h9:ni6=4+3`:951663g9j;7?k;:m27ag=83.8m54>4138j6g028o07b?!5f03;?<<5a3`595c=5$2c;>42792d8m:4=0:9l56b0290/?l651522?k5f?38:76a>3e494?"4i10:8=?4n2c4>74<3f;8h84?:%1bo7:0635>h4i>09865`1523>5<#;h21=9>>;o1b3?4232e:?kh50;&0e=<6<9;0b>o8:348?j74nl0;6)=n8;3744=i;h=1>:54o01e`?6=,:k36<:?1:l0e2<5021d=>hl:18'7d>=9=::7c=n7;0:?>i6;o>1<7*;=6`=h9:n86=4+3`:951663g9j;74138j6g02;o07b?;2c83>!5f03;??<5a3`594>=h9=8j6=4+3`:951563g9j;7?4;n376<<72-9j47?;309m7d1=:21d=9<7:18'7d>=9=9:7c=n7;18?j73:>0;6)=n8;3774=i;h=1865`1505>5<#;h21=9=>;o1b3?3<3f;?>84?:%1b!5f03;??<5a3`59<>=h9=8:6=4+3`:951563g9j;774;n3765<72-9j47?;309m7d1=i21d=9?i:18'7d>=9=9:7c=n7;`8?j739l0;6)=n8;3774=i;h=1o65`153g>5<#;h21=9=>;o1b3?b<3f;?=n4?:%1b!5f03;??<5a3`5955=:47>5$2c;>42492d8m:4>1:9l5170290/?l651512?k5f?3;976a>40494?"4i10:8>?4n2c4>45<3f;?=84?:%1bo7:0605>h4i>0:965`1530>5<#;h21=9=>;o1b3?7132e:8<<50;&0e=<6<:;0b>o8:058?j73980;6)=n8;3774=i;h=1=554o0624?6=,:k36<:<1:l0e2<6121d=9>i:18'7d>=9=9:7c=n7;3b?>i6<9n1<7*8=6`=h9=:j6=4+3`:951563g9j;7?j;:m205?=83.8m54>4238j6g028l07b?;0983>!5f03;??<5a3`5965=;;7>5$2c;>42492d8m:4=1:9l5161290/?l651512?k5f?38976a>41794?"4i10:8>?4n2c4>75<3f;?<94?:%1bo7:0605>h4i>09965`150e>5<#;h21=9=>;o1b3?4132e:8?k50;&0e=<6<:;0b>o8:358?j73:m0;6)=n8;3774=i;h=1>554o061g?6=,:k36<:<1:l0e2<5121d=9<;:18'7d>=9=9:7c=n7;0b?>i6<831<7*8=6`=h9=:96=4+3`:951563g9j;74738j6g02910c<::a;29 6g?28>==6`i6<<31<7*o8:598k422>3:1(>o7:0655>h4i>0>76a>44794?"4i10:8;?4n2c4>3=>?7>5$2c;>42192d8m:48;:m2004=83.8m54>4738j6g02110c<::1;29 6g?28>==6`i6<<:1<7*o8:b98k423l3:1(>o7:0655>h4i>0o76a>45a94?"4i10:8;?4n2c4>`=?n7>5$2c;>42192d8m:4i;:m201g=83.8m54>4738j6g028:07b?;4983>!5f03;?:<5a3`5954=?;7>5$2c;>42192d8m:4>2:9l5121290/?l651542?k5f?3;876a>45794?"4i10:8;?4n2c4>42<3f;?894?:%1b81e?l951498k423;3:1(>o7:0655>h4i>0::65`1561>5<#;h21=98>;o1b3?7032e:89?50;&0e=<6o8:0:8?j73<90;6)=n8;3724=i;h=1=454o060b?6=,:k36<:91:l0e2<6i21d=9=k:18'7d>=9=<:7c=n7;3a?>i6<:i1<7*==6`=h9=926=4+3`:951063g9j;7?i;:m206>=83.8m54>4738j6g02;:07b?;3683>!5f03;?:<5a3`5964=8:7>5$2c;>42192d8m:4=2:9l5152290/?l651542?k5f?38876a>42694?"4i10:8;?4n2c4>72<3f;?:=4?:%1b81e?l952498k422n3:1(>o7:0655>h4i>09:65`157f>5<#;h21=98>;o1b3?4032e:88j50;&0e=<6o8:3:8?j73=j0;6)=n8;3724=i;h=1>454o0660?6=,:k36<:91:l0e2<5i21d=9:6:18'7d>=9=<:7c=n7;0a?>i6<:o1<7*==6`=n99kj6=44o04;6?6=,:k36<87a:l0e2<732e::5?50;&0e=<6>1k0b>o8:098k40?83:1(>o7:04;e>h4i>0976a>66d94?"4i10::5o4n2c4>6=5$2c;>40?i2d8m:4;;:m222e=83.8m54>69c8j6g02<10c<88b;29 6g?28<3m6`i6>>k1<7*1k0b>o8:898k400?3:1(>o7:04;e>h4i>0j76a>66494?"4i10::5o4n2c4>g=5$2c;>40?i2d8m:4l;:m2222=83.8m54>69c8j6g02m10c<879;29 6g?28<3m6`i6>121<7*=9?2j7c=n7;32?>i6>1?1<7*=h9?=n6=4+3`:953>f3g9j;7?:;:m2225=83.8m54>69c8j6g028<07b?97383>!5f03;=4l5a3`5952=5$2c;>40f;2d8m:4?;:m226`18j6g02810c<869;29 6g?28i6>021<7*54o04:2?6=,:k36<8n3:l0e2<332e::4;50;&0e=<6>h90b>o8:498k40><3:1(>o7:04b7>h4i>0=76a>68194?"4i10::l=4n2c4>2=7>5$2c;>40f;2d8m:47;:m22<7=83.8m54>6`18j6g02010c<860;29 6g?28i6>1l1<7*h90b>o8:e98k40f:3:1(>o7:04b7>h4i>0n76a>6`394?"4i10::l=4n2c4>c=5$2c;>40f;2d8m:4>0:9l53?a290/?l6517c0?k5f?3;:76a>68g94?"4i10::l=4n2c4>44<3f;=5i4?:%1bk3:1(>o7:04b7>h4i>0:865`17;4>5<#;h21=;o<;o1b3?7232e::5m50;&0e=<6>h90b>o8:048?j710k0;6)=n8;35e6=i;h=1=:54o04a0?6=,:k36<8mc:l0e2<732e::o=50;&0e=<6>ki0b>o8:098k40e:3:1(>o7:04ag>h4i>0976a>6c394?"4i10::om4n2c4>6=5$2c;>40ek2d8m:4;;:m22dc=83.8m54>6ca8j6g02<10c<8nd;29 6g?28i6>hi1<7*ki0b>o8:898k40f13:1(>o7:04ag>h4i>0j76a>6`:94?"4i10::om4n2c4>g=5$2c;>40ek2d8m:4l;:m22d0=83.8m54>6ca8j6g02m10c<8mb;29 6g?28i6>kk1<7*=9?hh7c=n7;32?>i6>k=1<7*=h9?h;6=4+3`:953dd3g9j;7?:;:m22d3=83.8m54>6ca8j6g028<07b?9a583>!5f03;=nn5a3`5952=5$2c;>40c=2d8m:4?;:m22fe=83.8m54>6e78j6g02810c<8lb;29 6g?28i6>jk1<7*54o04`m?0b>o8:498k40d>3:1(>o7:04g1>h4i>0=76a>6b794?"4i10::i;4n2c4>2=5$2c;>40c=2d8m:47;:m22f5=83.8m54>6e78j6g02010c<8l2;29 6g?28i6>j;1<7*m?0b>o8:e98k40c<3:1(>o7:04g1>h4i>0n76a>6e194?"4i10::i;4n2c4>c=7>5$2c;>40c=2d8m:4>0:9l53b6290/?l6517f6?k5f?3;:76a>6e294?"4i10::i;4n2c4>44<3f;=ok4?:%1bo7:04g1>h4i>0:865`17a:>5<#;h21=;j:;o1b3?7232e::ok50;&0e=<6>m?0b>o8:048?j71jm0;6)=n8;35`0=i;h=1=:54o04f2?6=,:k36<8je:l0e2<732e::h;50;&0e=<6>lo0b>o8:098k40b<3:1(>o7:04fa>h4i>0976a>6d194?"4i10::hk4n2c4>6=5$2c;>40bm2d8m:4;;:m22`6=83.8m54>6dg8j6g02<10c<8kf;29 6g?28i6>mo1<7*lo0b>o8:898k40cj3:1(>o7:04fa>h4i>0j76a>6ec94?"4i10::hk4n2c4>g=5$2c;>40bm2d8m:4l;:m22a>=83.8m54>6dg8j6g02m10c<8jd;29 6g?28i6>li1<7*=9?on7c=n7;32?>i6>l31<7*=h9?o96=4+3`:953cb3g9j;7?:;:m22a1=83.8m54>6dg8j6g028<07b?9d783>!5f03;=ih5a3`5952=5$2c;>417?2d8m:4?;:m22cc=83.8m54>7158j6g02810c<8id;29 6g?28=;;6`i6>oi1<7*54o04ee?6=,:k36<9?7:l0e2<332e::k750;&0e=<6?9=0b>o8:498k40a03:1(>o7:0533>h4i>0=76a>6g594?"4i10:;=94n2c4>2=5$2c;>417?2d8m:47;:m22c3=83.8m54>7158j6g02010c<8i4;29 6g?28=;;6`i6>o91<7*o8:e98k417>3:1(>o7:0533>h4i>0n76a>71794?"4i10:;=94n2c4>c=5$2c;>417?2d8m:4>0:9l5264290/?l651624?k5f?3;:76a>71094?"4i10:;=94n2c4>44<3f;<<<4?:%1b1e?l951298k41783:1(>o7:0533>h4i>0:865`17da>5<#;h21=:>8;o1b3?7232e::k>50;&0e=<6?9=0b>o8:048?j71mo0;6)=n8;3442=i;h=1=:54o052o8:098k416>3:1(>o7:0514>h4i>0976a>70794?"4i10:;?>4n2c4>6=5$2c;>41582d8m:4;;:m2344=83.8m54>7328j6g02<10c<9>1;29 6g?28=9<6`i6?8:1<7*o8:898k417l3:1(>o7:0514>h4i>0j76a>71a94?"4i10:;?>4n2c4>g=5$2c;>41582d8m:4l;:m235g=83.8m54>7328j6g02m10c<9>f;29 6g?28=9<6`i6?8o1<7*=9>8;7c=n7;32?>i6?8h1<7*9;29 6g?28=9<6`=h9>;?6=4+3`:952473g9j;7?:;:m235?=83.8m54>7328j6g028<07b?80983>!5f03;<>=5a3`5952=5;h325g<72-9j47?>229m7d1=821b==98887c=n7;38?l76900;6)=n8;3266=i;h=1>65f103;>5<#;h21=<<<;o1b3?5<3`;:=;4?:%1b1583>!5f03;:>>5a3`592>=n98;86=4+3`:954443g9j;794;h3257<72-9j47?>229m7d1=021b=:18'7d>=98887c=n7;;8?l76990;6)=n8;3266=i;h=1m65f102e>5<#;h21=<<<;o1b3?d<3`;:2383>!5f03;:>>5a3`59a>=n988:6=4+3`:954443g9j;7h4;h3265<72-9j47?>229m7d1=9910ef;29 6g?28;9?6`=n98;n6=4+3`:954443g9j;7?=;:k254b=83.8m54>1318j6g028907d?>1b83>!5f03;:>>5a3`5951=5$2c;>475;2d8m:4>5:9j546d290/?l651000?k5f?3;=76g>11`94?"4i10:=?=4n2c4>41<3`;:?94?:%1b3383>!5f03;:?n5a3`596>=n989:6=4+3`:9545d3g9j;7=4;h326c<72-9j47?>3b9m7d1=<21b=<=989h7c=n7;78?l76:m0;6)=n8;327f=i;h=1:65f100`>5<#;h21=<=l;o1b3?1<3`;:>o4?:%1b2883>!5f03;:?n5a3`59e>=n98836=4+3`:9545d3g9j;7l4;h3262<72-9j47?>3b9m7d1=k21b=<<9:18'7d>=989h7c=n7;f8?l76;k0;6)=n8;327f=i;h=1i65f101b>5<#;h21=<=l;o1b3?`<3`;:?44?:%1bo7:030g>h4i>0:=65f1014>5<#;h21=<=l;o1b3?7532c:=>850;&0e=<69:i0b>o8:018?l76;<0;6)=n8;327f=i;h=1=954i0304?6=,:k36=989h7c=n7;35?>o69;>1<7*549m7d1=821b=<:l:18'7d>=98?>7c=n7;38?l7665f106b>5<#;h21=<;:;o1b3?5<3`;:854?:%1b4783>!5f03;:985a3`592>=n98>>6=4+3`:954323g9j;794;h3201<72-9j47?>549m7d1=021b=<:<:18'7d>=98?>7c=n7;;8?l76<;0;6)=n8;3210=i;h=1m65f1062>5<#;h21=<;:;o1b3?d<3`;:8=4?:%1b5583>!5f03;:985a3`59a>=n98?86=4+3`:954323g9j;7h4;h3217<72-9j47?>549m7d1=9910e96`=n98?;6=4+3`:954323g9j;7?=;:k251`=83.8m54>1478j6g028907d?>4d83>!5f03;:985a3`5951=5$2c;>472=2d8m:4>5:9j545b290/?l651076?k5f?3;=76g>12f94?"4i10:=8;4n2c4>41<3`;::;4?:%1bl1e?l950:9j5402290/?l65104f?k5f?3;07d?>6583>!5f03;::h5a3`596>=n98<86=4+3`:9540b3g9j;7=4;h3224<72-9j47?>6d9m7d1=<21b=<8?:18'7d>=985<#;h21=<8j;o1b3?1<3`;:9i4?:%1bl1e?l958:9j543d290/?l65104f?k5f?3307d?>5c83>!5f03;::h5a3`59e>=n98?j6=4+3`:9540b3g9j;7l4;h321<<72-9j47?>6d9m7d1=k21b=<;7:18'7d>=98m0;6)=n8;322`=i;h=1i65f104`>5<#;h21=<8j;o1b3?`<3`;::o4?:%1bl1e?l951198m471i3:1(>o7:035a>h4i>0:=65f104:>5<#;h21=<8j;o1b3?7532c:=;650;&0e=<69?o0b>o8:018?l76>>0;6)=n8;322`=i;h=1=954i0356?6=,:k36=98o69<<1<7*869m7d1=821b=<9j:18'7d>=982<7c=n7;38?l76?m0;6)=n8;32<2=i;h=1>65f105`>5<#;h21=<68;o1b3?5<3`;:;l4?:%1b1e?l954:9j541>290/?l6510:4?k5f?3?07d?>7983>!5f03;:4:5a3`592>=n98=<6=4+3`:954>03g9j;794;h3233<72-9j47?>869m7d1=021b=<9::18'7d>=982<7c=n7;;8?l76?=0;6)=n8;32<2=i;h=1m65f1050>5<#;h21=<68;o1b3?d<3`;:;?4?:%1b1e?l95c:9j5416290/?l6510:4?k5f?3n07d?>8783>!5f03;:4:5a3`59a>=n982>6=4+3`:954>03g9j;7h4;h32<1<72-9j47?>869m7d1=9910e=n98296=4+3`:954>03g9j;7?=;:k25=7=83.8m54>1958j6g028907d?>8183>!5f03;:4:5a3`5951=5$2c;>47??2d8m:4>5:9j5417290/?l6510:4?k5f?3;=76g>17d94?"4i10:=594n2c4>41<3f;i>i4?::k25<>=83.8m54>1`28j6g02910eo690<1<7*o8:598m47>:3:1(>o7:03b4>h4i>0>76g>18394?"4i10:=l>4n2c4>3=5$2c;>47f82d8m:48;:k25=`=83.8m54>1`28j6g02110eo691n1<7*o8:b98m47?i3:1(>o7:03b4>h4i>0o76g>18d94?"4i10:=l>4n2c4>`=5$2c;>47f82d8m:4i;:k251`28j6g028:07d?>9b83>!5f03;:m=5a3`5954=5$2c;>47f82d8m:4>2:9j54?f290/?l6510c3?k5f?3;876g>18;94?"4i10:=l>4n2c4>42<3`;:594?:%1bo7:03b4>h4i>0::65f10:;>5<#;h21=o8:198m47e83:1(>o7:03a=>h4i>0:76g>1`d94?"4i10:=o74n2c4>7=5$2c;>47e12d8m:4<;:k25de=83.8m54>1c;8j6g02=10eo69hk1<7*o8:998m47f?3:1(>o7:03a=>h4i>0276g>1`494?"4i10:=o74n2c4>d=5$2c;>47e12d8m:4m;:k25d2=83.8m54>1c;8j6g02j10eo69k21<7*o8:028?l76j<0;6)=n8;32f<=i;h=1=<54i03a0?6=,:k36=98h27c=n7;30?>o69k81<7*b89m7d1=9<10e=n98k:6=4+3`:954d>3g9j;7?8;:k24a3=83.8m54>0e48j6g02910c<=?c;29 6g?28>3m6`i6;9h1<7*o8:298k45703:1(>o7:06;e>h4i>0?76a>31594?"4i10:85o4n2c4>0=5$2c;>42?i2d8m:49;:m2752=83.8m54>49c8j6g02>10c<=?3;29 6g?28>3m6`i6;981<7*50;&0e=<6<1k0b>o8:c98k44an3:1(>o7:06;e>h4i>0h76a>2gg94?"4i10:85o4n2c4>a=5$2c;>42?i2d8m:4j;:m26ce=83.8m54>49c8j6g02o10c<3m6`=h9;l26=4+3`:951>f3g9j;7?>;:m26c>=83.8m54>49c8j6g028807b?=f683>!5f03;?4l5a3`5956=5$2c;>42?i2d8m:4>4:9l57`2290/?l6515:b?k5f?3;>76a>2g694?"4i10:85o4n2c4>40<3f;9j>4?:%1bo7:06;e>h4i>0:465`13d2>5<#;h21=96n;o1b3?7>32e:>k>50;&0e=<6<1k0b>o8:0c8?j75ml0;6)=n8;37=9=2j7c=n7;3g?>i6:lh1<7*3m6`=h9;o36=4+3`:951>f3g9j;7<>;:m26`1=83.8m54>49c8j6g02;807b?=e783>!5f03;?4l5a3`5966=5$2c;>42?i2d8m:4=4:9l5676290/?l6515:b?k5f?38>76a>30294?"4i10:85o4n2c4>70<3f;8o7:06;e>h4i>09465`122g>5<#;h21=96n;o1b3?4>32e:?=;50;&0e=<6<1k0b>o8:3c8?j75nh0;6)=n8;37o54o00fb?6=,:k36<:7a:l0e2<5k21d=?k;:18'7d>=9=2j7c=n7;0g?>i6:l91<7*=9=o27c=n7;38?j73j00;6)=n8;37a<=i;h=1>65`15`;>5<#;h21=9k6;o1b3?5<3f;?n:4?:%1b!5f03;?i45a3`592>=h9=h86=4+3`:951c>3g9j;794;n37f7<72-9j47?;e89m7d1=021d=9l>:18'7d>=9=o27c=n7;;8?j73j90;6)=n8;37a<=i;h=1m65`15ce>5<#;h21=9k6;o1b3?d<3f;?mh4?:%1b!5f03;?i45a3`59a>=h9=ki6=4+3`:951c>3g9j;7h4;n37ed<72-9j47?;e89m7d1=9910c<:n8;29 6g?28>n56`=h9=k<6=4+3`:951c>3g9j;7?=;:m20d0=83.8m54>4d;8j6g028907b?;a483>!5f03;?i45a3`5951=j87>5$2c;>42b12d8m:4>5:9l51g4290/?l6515g:?k5f?3;=76a>4`094?"4i10:8h74n2c4>41<3f;?m<4?:%1bo7:06f=>h4i>0:565`15;e>5<#;h21=9k6;o1b3?7f32e:84j50;&0e=<6o8:0`8?j731j0;6)=n8;37a<=i;h=1=n54o06:f?6=,:k36<:j9:l0e2<6l21d=97n:18'7d>=9=o27c=n7;3f?>i6<031<7*n56`=h9=3=6=4+3`:951c>3g9j;7<=;:m20<3=83.8m54>4d;8j6g02;907b?;9583>!5f03;?i45a3`5961=h<7>5$2c;>42b12d8m:4=5:9l51da290/?l6515g:?k5f?38=76a>4cg94?"4i10:8h74n2c4>71<3f;?ni4?:%1bo7:06f=>h4i>09565`15`7>5<#;h21=9k6;o1b3?4f32e:8l750;&0e=<6o8:3`8?j731l0;6)=n8;37a<=i;h=1>n54o06:7?6=,:k36<:j9:l0e2<5l21d=97=:18'7d>=9=o27c=n7;0f?>i6=8k1<7*o8:398k436?3:1(>o7:0714>h4i>0876a>50494?"4i10:9?>4n2c4>1=5$2c;>43582d8m:4:;:m2142=83.8m54>5328j6g02?10c<;>2;29 6g?28?9<6`i6=8;1<7*32e:9=h50;&0e=<6=;:0b>o8:`98k437m3:1(>o7:0714>h4i>0i76a>51f94?"4i10:9?>4n2c4>f=5$2c;>43582d8m:4k;:m215d=83.8m54>5328j6g02l10c<;?a;29 6g?28?9<6`i6=931<7*4;n3642<72-9j47?:219m7d1=9810c<;?6;29 6g?28?9<6`=h9<:>6=4+3`:950473g9j;7?<;:m2152=83.8m54>5328j6g028>07b?:0283>!5f03;>>=5a3`5950=7>5$2c;>43582d8m:4>6:9l5066290/?l651403?k5f?3;<76a>51294?"4i10:9?>4n2c4>4><3f;?jk4?:%1bo7:0714>h4i>0:m65`15d`>5<#;h21=8o8:0a8?j73nh0;6)=n8;3665=i;h=1=i54o06e=?6=,:k36<;=0:l0e2<6m21d=9h7:18'7d>=9<8;7c=n7;3e?>i64;n37b3<72-9j47?:219m7d1=:810c<:i5;29 6g?28?9<6`=h9=l?6=4+3`:950473g9j;7<<;:m20c5=83.8m54>5328j6g02;>07b?:1g83>!5f03;>>=5a3`5960=5$2c;>43582d8m:4=6:9l507c290/?l651403?k5f?38<76a>50a94?"4i10:9?>4n2c4>7><3f;>=o4?:%1bo7:0714>h4i>09m65`142;>5<#;h21=8o8:3a8?j73n;0;6)=n8;3665=i;h=1>i54o06e5?6=,:k36<;=0:l0e2<5m21d==j6:188k433i3:1(>o7:0764>h4i>0;76a>55;94?"4i10:98>4n2c4>4=5$2c;>43282d8m:4=;:m2111=83.8m54>5428j6g02:10c<;;6;29 6g?28?><6`i6==?1<7*o8:698k43393:1(>o7:0764>h4i>0376a>55294?"4i10:98>4n2c4><=5$2c;>43282d8m:4n;:m216c=83.8m54>5428j6g02k10c<;<6`i6=:i1<7*o50;&0e=<6=<:0b>o8:g98k43413:1(>o7:0764>h4i>0:<65`1414>5<#;h21=8;?;o1b3?7632e:9>850;&0e=<6=<:0b>o8:008?j72;<0;6)=n8;3615=i;h=1=>54o0700?6=,:k36<;:0:l0e2<6<21d=8=<:18'7d>=9i6=:81<7*10c<;<0;29 6g?28?><6`=h9<8m6=4+3`:950373g9j;7?6;:m217c=83.8m54>5428j6g028k07b?:2b83>!5f03;>9=5a3`595g=5$2c;>43282d8m:4>c:9l504f290/?l651473?k5f?3;o76a>53;94?"4i10:98>4n2c4>4c<3f;>>54?:%1bo7:0764>h4i>09<65`1405>5<#;h21=8;?;o1b3?4632e:9?;50;&0e=<6=<:0b>o8:308?j72:=0;6)=n8;3615=i;h=1>>54o0717?6=,:k36<;:0:l0e2<5<21d=8:i:18'7d>=9i6==o1<7*10c<;;c;29 6g?28?><6`=h9<>i6=4+3`:950373g9j;7<6;:m2115=83.8m54>5428j6g02;k07b?:3983>!5f03;>9=5a3`596g=5$2c;>43282d8m:4=c:9l5045290/?l651473?k5f?38o76a>53394?"4i10:98>4n2c4>7c<3`;:<>4?:%1b0083>!5f03;:o45a3`596>=n98:;6=4+3`:954e>3g9j;7=4;h33b`<72-9j47?>c89m7d1=<21b==hk:18'7d>=98i27c=n7;78?l77nj0;6)=n8;32g<=i;h=1:65f11da>5<#;h21=290/?l6510a:?k5f?3307d??f983>!5f03;:o45a3`59e>=n99l<6=4+3`:954e>3g9j;7l4;h33b3<72-9j47?>c89m7d1=k21b==h::18'7d>=98i27c=n7;f8?l768h0;6)=n8;32g<=i;h=1i65f102:>5<#;h21=o7:03`=>h4i>0:=65f1025>5<#;h21=o8:018?l768=0;6)=n8;32g<=i;h=1=954i02eb?6=,:k36=98i27c=n7;35?>o68o91<7*f`9m7d1=821b==98lj7c=n7;38?l76m80;6)=n8;32bd=i;h=1>65f10g3>5<#;h21=db83>!5f03;:jl5a3`592>=n98ni6=4+3`:954`f3g9j;794;h32`d<72-9j47?>f`9m7d1=021b==98lj7c=n7;;8?l76l10;6)=n8;32bd=i;h=1m65f10f4>5<#;h21=e`83>!5f03;:jl5a3`59a>=n98o26=4+3`:954`f3g9j;7h4;h32a=<72-9j47?>f`9m7d1=9910e=n98o=6=4+3`:954`f3g9j;7?=;:k25`3=83.8m54>1gc8j6g028907d?>e583>!5f03;:jl5a3`5951=5$2c;>47ai2d8m:4>5:9j54b3290/?l6510db?k5f?3;=76g>1e194?"4i10:=ko4n2c4>41<3f;>;l4?:%1b290/?l6514:3?k5f?3;07b?:7983>!5f03;>4=5a3`596>=h9<=<6=4+3`:950>73g9j;7=4;n3633<72-9j47?:819m7d1=<21d=89::18'7d>=9<2;7c=n7;78?j72?=0;6)=n8;36<5=i;h=1:65`1451>5<#;h21=86?;o1b3?1<3f;>;<4?:%1b!5f03;>4=5a3`59e>=h9<73g9j;7l4;n362a<72-9j47?:819m7d1=k21d=88l:18'7d>=9<2;7c=n7;f8?j72>k0;6)=n8;36<5=i;h=1i65`144b>5<#;h21=86?;o1b3?`<3f;>:44?:%1bo7:07;4>h4i>0:=65`1445>5<#;h21=86?;o1b3?7532e:9;;50;&0e=<6=1:0b>o8:018?j72>=0;6)=n8;36<5=i;h=1=954o0757?6=,:k36<;70:l0e2<6=21d=88=:18'7d>=9<2;7c=n7;35?>i6=?;1<7*=h973g9j;7?n;:m210e=83.8m54>5928j6g028h07b?:5c83>!5f03;>4=5a3`595f=m7>5$2c;>43?82d8m:4>d:9l503>290/?l6514:3?k5f?3;n76a>54:94?"4i10:95>4n2c4>4`<3f;>9:4?:%1b3:1(>o7:07;4>h4i>09=65`1476>5<#;h21=86?;o1b3?4532e:98:50;&0e=<6=1:0b>o8:318?j72=:0;6)=n8;36<5=i;h=1>954o074b?6=,:k36<;70:l0e2<5=21d=89j:18'7d>=9<2;7c=n7;05?>i6=>n1<7*=h9<=86=4+3`:950>73g9j;7=83.8m54>5928j6g02;h07b?:5e83>!5f03;>4=5a3`596f=>7>5$2c;>43?82d8m:4=d:9l5036290/?l6514:3?k5f?38n76g>20694?"4i10:>5=5$2c;>446k2d8m:4>;:k2644=83.8m54>20a8j6g02;10e<<>1;29 6g?288:o6`o6:9l1<7*c:l0e2<232c:>=j50;&0e=<6:8i0b>o8:798m447k3:1(>o7:002g>h4i>0<76g>21`94?"4i10:>==5$2c;>446k2d8m:46;:k265?=83.8m54>20a8j6g02h10e<o6:9=1<7*c:l0e2o8:d98m446i3:1(>o7:002g>h4i>0m76g>20;94?"4i10:>46<3`;9=54?:%1bo7:002g>h4i>0:>65f1335>5<#;h21=??l;o1b3?7432c:><;50;&0e=<6:8i0b>o8:068?l75990;6)=n8;315f=i;h=1=854i0031?6=,:k36<<>c:l0e2<6>21b=?>;:18'7d>=9;;h7c=n7;34?>i6=hk1<7*o8:398k43f?3:1(>o7:07a4>h4i>0876a>5`494?"4i10:9o>4n2c4>1=5$2c;>43e82d8m:4:;:m21d2=83.8m54>5c28j6g02?10c<;n2;29 6g?28?i<6`i6=h;1<7*32e:94h50;&0e=<6=k:0b>o8:`98k43>m3:1(>o7:07a4>h4i>0i76a>58f94?"4i10:9o>4n2c4>f=5$2c;>43e82d8m:4k;:m215c28j6g02l10c<;6a;29 6g?28?i<6`i6=031<7*4;n36=2<72-9j47?:b19m7d1=9810c<;66;29 6g?28?i<6`=h9<3>6=4+3`:950d73g9j;7?<;:m21<2=83.8m54>5c28j6g028>07b?:9283>!5f03;>n=5a3`5950=7>5$2c;>43e82d8m:4>6:9l50?6290/?l6514`3?k5f?3;<76a>58294?"4i10:9o>4n2c4>4><3f;>4k4?:%1bo7:07a4>h4i>0:m65`14:`>5<#;h21=8l?;o1b3?7e32e:95l50;&0e=<6=k:0b>o8:0a8?j720h0;6)=n8;36f5=i;h=1=i54o07;=?6=,:k36<;m0:l0e2<6m21d=867:18'7d>=9i6=1=1<7*4;n36<3<72-9j47?:b19m7d1=:810c<;75;29 6g?28?i<6`=h9<2?6=4+3`:950d73g9j;7<<;:m21=5=83.8m54>5c28j6g02;>07b?:ag83>!5f03;>n=5a3`5960=5$2c;>43e82d8m:4=6:9l50gc290/?l6514`3?k5f?38<76a>5`a94?"4i10:9o>4n2c4>7><3f;>mo4?:%1bo7:07a4>h4i>09m65`14;;>5<#;h21=8l?;o1b3?4e32e:95j50;&0e=<6=k:0b>o8:3a8?j720;0;6)=n8;36f5=i;h=1>i54o07;5?6=,:k36<;m0:l0e2<5m21b=?=9;9>7c=n7;28?l75:j0;6)=n8;3170=i;h=1=65f130a>5<#;h21=?=:;o1b3?4<3`;9>l4?:%1b07d?=2683>!5f03;9?85a3`591>=n9;8=6=4+3`:957523g9j;784;h3160<72-9j47?=349m7d1=?21b=?<;:18'7d>=9;9>7c=n7;:8?l75::0;6)=n8;3170=i;h=1565f1301>5<#;h21=?=:;o1b3?g<3`;9><4?:%1b!5f03;9?85a3`59`>=n9;9?6=4+3`:957523g9j;7k4;h3176<72-9j47?=349m7d1=n21b=?==:18'7d>=9;9>7c=n7;33?>o6::;1<7*=n9;8n6=4+3`:957523g9j;7?;;:k267?=83.8m54>2278j6g028?07d?=1d83>!5f03;9?85a3`5953=5$2c;>444=2d8m:4>7:9l50bf290/?l6514g3?k5f?3:07b?:d883>!5f03;>i=5a3`595>=h9=95<#;h21=8k?;o1b3?0<3f;>h?4?:%1b!5f03;>i=5a3`59=>=h9=95<#;h21=8k?;o1b3?c<3f;>ol4?:%1b290/?l6514g3?k5f?3;;76a>5b594?"4i10:9h>4n2c4>47<3f;>o;4?:%1bo7:07f4>h4i>0:?65`14a7>5<#;h21=8k?;o1b3?7332e:9n=50;&0e=<6=l:0b>o8:078?j72k;0;6)=n8;36a5=i;h=1=;54o07`5?6=,:k36<;j0:l0e2<6?21d=8m?:18'7d>=9i6=kl1<7*=h95d28j6g028n07b?:b883>!5f03;>i=5a3`595`=5$2c;>43b82d8m:4>f:9l50d0290/?l6514g3?k5f?38;76a>5c494?"4i10:9h>4n2c4>77<3f;>n84?:%1bo7:07f4>h4i>09?65`14`0>5<#;h21=8k?;o1b3?4332e:9ih50;&0e=<6=l:0b>o8:378?j72ll0;6)=n8;36a5=i;h=1>;54o07g`?6=,:k36<;j0:l0e2<5?21d=8jl:18'7d>=9i6=mh1<7*=h95d28j6g02;n07b?:b083>!5f03;>i=5a3`596`=5$2c;>443m2d8m:4?;:k2613=83.8m54>25g8j6g02810e<<;4;29 6g?288?i6`o6:=91<7*54i0075?6=,:k36<<;e:l0e2<332c:>9>50;&0e=<6:=o0b>o8:498m444n3:1(>o7:007a>h4i>0=76g>22g94?"4i10:>9k4n2c4>2=5$2c;>443m2d8m:47;:k266e=83.8m54>25g8j6g02010e<<o6::k1<7*>650;&0e=<6:=o0b>o8:e98m443l3:1(>o7:007a>h4i>0n76g>25a94?"4i10:>9k4n2c4>c=5$2c;>443m2d8m:4>0:9j572f290/?l65136f?k5f?3;:76g>25;94?"4i10:>9k4n2c4>44<3`;9854?:%1bo7:007a>h4i>0:865f1361>5<#;h21=?:j;o1b3?7232c:>>950;&0e=<6:=o0b>o8:048?l75;?0;6)=n8;310`=i;h=1=:54o043e?6=,:k36<8>0:l0e2<732e::=750;&0e=<6>8:0b>o8:098k40703:1(>o7:0424>h4i>0976a>61594?"4i10::<>4n2c4>6=5$2c;>40682d8m:4;;:m2253=83.8m54>6028j6g02<10c<8?4;29 6g?28<:<6`i6>981<7*0:l0e250;&0e=<6>8:0b>o8:898k43an3:1(>o7:0424>h4i>0j76a>5gg94?"4i10::<>4n2c4>g=5$2c;>40682d8m:4l;:m21ce=83.8m54>6028j6g02m10c<;ib;29 6g?28<:<6`i6=ok1<7*0:l0e2<6821d=8h8:18'7d>=9?;;7c=n7;32?>i6=o<1<7*=h96028j6g028<07b?:f083>!5f03;===5a3`5952=5$2c;>40682d8m:4>8:9l50ca290/?l651733?k5f?3;276a>5dg94?"4i10::<>4n2c4>4g<3f;>in4?:%1bo7:0424>h4i>0:o65`14gb>5<#;h21=;??;o1b3?7c32e:9h750;&0e=<6>8:0b>o8:0g8?j72m10;6)=n8;3555=i;h=1=k54o07f3?6=,:k36<8>0:l0e2<5821d=8k9:18'7d>=9?;;7c=n7;02?>i6=l?1<7*=h9?:m6=4+3`:953773g9j;7<:;:m225c=83.8m54>6028j6g02;<07b?90e83>!5f03;===5a3`5962=5$2c;>40682d8m:4=8:9l536e290/?l651733?k5f?38276a>61194?"4i10::<>4n2c4>7g<3f;>j54?:%1bo7:0424>h4i>09o65`14g1>5<#;h21=;??;o1b3?4c32e:9h?50;&0e=<6>8:0b>o8:3g8?l75=o0;6)=n8;3122=i;h=1<65f137f>5<#;h21=?88;o1b3?7<3`;99i4?:%1b>1e?l952:9j573d290/?l651344?k5f?3907d?=5`83>!5f03;9::5a3`590>=n9;?26=4+3`:957003g9j;7;4;h311=<72-9j47?=669m7d1=>21b=?;8:18'7d>=9;<<7c=n7;58?l75=?0;6)=n8;3122=i;h=1465f1376>5<#;h21=?88;o1b3??<3`;9994?:%1b>1e?l95a:9j5734290/?l651344?k5f?3h07d?=5383>!5f03;9::5a3`59g>=n9;?:6=4+3`:957003g9j;7j4;h3123<72-9j47?=669m7d1=m21b=?8::18'7d>=9;<<7c=n7;d8?l75>=0;6)=n8;3122=i;h=1==54i0057?6=,:k36<<97:l0e2<6921b=?8=:18'7d>=9;<<7c=n7;31?>o6:?;1<7*=n9;?;6=4+3`:957003g9j;7?9;:k261`=83.8m54>2758j6g028=07b?93`83>!5f03;=8=5a3`594>=h9?926=4+3`:953273g9j;7?4;n357=<72-9j47?9419m7d1=:21d=;=8:18'7d>=9?>;7c=n7;18?j71;?0;6)=n8;3505=i;h=1865`1716>5<#;h21=;:?;o1b3?3<3f;=?94?:%1b!5f03;=8=5a3`59<>=h9?9;6=4+3`:953273g9j;774;n356c<72-9j47?9419m7d1=i21d=;=9?>;7c=n7;`8?j71:m0;6)=n8;3505=i;h=1o65`170`>5<#;h21=;:?;o1b3?b<3f;=>o4?:%1b!5f03;=8=5a3`5955=5$2c;>40382d8m:4>1:9l5341290/?l651763?k5f?3;976a>63794?"4i10::9>4n2c4>45<3f;=>94?:%1bo7:0474>h4i>0:965`1701>5<#;h21=;:?;o1b3?7132e::??50;&0e=<6>=:0b>o8:058?j71:90;6)=n8;3505=i;h=1=554o042b?6=,:k36<8;0:l0e2<6121d=;?j:18'7d>=9?>;7c=n7;3b?>i6>8i1<7*a;29 6g?28=h9?;26=4+3`:953273g9j;7?j;:m224>=83.8m54>6528j6g028l07b?91683>!5f03;=8=5a3`5965=5$2c;>40382d8m:4=1:9l5372290/?l651763?k5f?38976a>60694?"4i10::9>4n2c4>75<3f;==>4?:%1bo7:0474>h4i>09965`171f>5<#;h21=;:?;o1b3?4132e::>j50;&0e=<6>=:0b>o8:358?j71;j0;6)=n8;3505=i;h=1>554o040f?6=,:k36<8;0:l0e2<5121d=;=<:18'7d>=9?>;7c=n7;0b?>i6>;21<7*2;29 6g?28=h9?;:6=4+3`:953273g9j;7=83.8m54>2928j6g02910e<<87;29 6g?2883<6`o6:><1<7*:=50;&0e=<6:1:0b>o8:598m440:3:1(>o7:00;4>h4i>0>76g>26394?"4i10:>5>4n2c4>3=5$2c;>44?82d8m:48;:k263`=83.8m54>2928j6g02110e<<9e;29 6g?2883<6`o6:?n1<7*;l50;&0e=<6:1:0b>o8:b98m441i3:1(>o7:00;4>h4i>0o76g>26d94?"4i10:>5>4n2c4>`=5$2c;>44?82d8m:4i;:k262b=83.8m54>2928j6g028:07d?=7b83>!5f03;94=5a3`5954=5$2c;>44?82d8m:4>2:9j571f290/?l6513:3?k5f?3;876g>26;94?"4i10:>5>4n2c4>42<3`;9;94?:%1bo7:00;4>h4i>0::65f134;>5<#;h21=?6?;o1b3?7032c:>4?50;&0e=<6:030b>o8:198m44>83:1(>o7:00:=>h4i>0:76g>29d94?"4i10:>474n2c4>7=5$2c;>44>12d8m:4<;:k26=e=83.8m54>28;8j6g02=10e<<7b;29 6g?288256`o6:1k1<7*5650;&0e=<6:030b>o8:998m44??3:1(>o7:00:=>h4i>0276g>29494?"4i10:>474n2c4>d=5$2c;>44>12d8m:4m;:k26=2=83.8m54>28;8j6g02j10e<<73;29 6g?288256`o6:021<7*4850;&0e=<6:030b>o8:028?l751<0;6)=n8;31=<=i;h=1=<54i00:0?6=,:k36<<69:l0e2<6:21b=?7<:18'7d>=9;327c=n7;30?>o6:081<7*=n9;2:6=4+3`:957?>3g9j;7?8;:k26dg=83.8m54>2c08j6g02910e<6`o6:h21<7*l;50;&0e=<6:k80b>o8:598m44f<3:1(>o7:00a6>h4i>0>76g>2`194?"4i10:>o<4n2c4>3=7>5$2c;>44e:2d8m:48;:k26d7=83.8m54>2c08j6g02110e<6`o6:0l1<7*4j50;&0e=<6:k80b>o8:b98m44>k3:1(>o7:00a6>h4i>0o76g>2c394?"4i10:>o<4n2c4>`=5$2c;>44e:2d8m:4i;:k26d`=83.8m54>2c08j6g028:07d?=ad83>!5f03;9n?5a3`5954=5$2c;>44e:2d8m:4>2:9j57gd290/?l6513`1?k5f?3;876g>2``94?"4i10:>o<4n2c4>42<3`;9m;4?:%1bj3:1(>o7:00a6>h4i>0::65f13;b>5<#;h21=?l=;o1b3?7032e:4:<50;&0e=<60>20b>o8:198k4>093:1(>o7:0:4<>h4i>0:76a>86294?"4i10:4:64n2c4>7=5$2c;>4>002d8m:4<;:m2<3c=83.8m54>86:8j6g02=10c<69d;29 6g?282<46`i60?i1<7*20b>o8:998k4>103:1(>o7:0:4<>h4i>0276a>87594?"4i10:4:64n2c4>d=5$2c;>4>002d8m:4m;:m2<33=83.8m54>86:8j6g02j10c<694;29 6g?282<46`i60?91<7*20b>o8:028?j7?=o0;6)=n8;3;3==i;h=1=<54o0:6a?6=,:k36<688:l0e2<6:21d=5;k:18'7d>=91=37c=n7;30?>i60=h91?26=4+3`:95=1?3g9j;7?8;:m2<0>=83.8m54>86:8j6g028207b?75683>!5f03;3;55a3`595<=:7>5$2c;>4>002d8m:4>a:9l5=33290/?l65195;?k5f?3;i76a>84194?"4i10:4:64n2c4>4e<3f;39?4?:%1b293:1(>o7:0:4<>h4i>0:i65`1973>5<#;h21=597;o1b3?7a32e:49h50;&0e=<60>20b>o8:328?j7?<54o0:7`?6=,:k36<688:l0e2<5:21d=5:l:18'7d>=91=37c=n7;00?>i60=h1<7*=h91=>6=4+3`:95=1?3g9j;7<8;:m2<22=83.8m54>86:8j6g02;207b?77283>!5f03;3;55a3`596<=5$2c;>4>002d8m:4=a:9l5=07290/?l65195;?k5f?38i76a>84794?"4i10:4:64n2c4>7e<3f;38l4?:%1b313:1(>o7:0:4<>h4i>09i65`19c1>5<#;h21=5o7;o1b3?6<3f;3m<4?:%1b!5f03;3m55a3`597>=h913n6=4+3`:95=g?3g9j;7:4;n3;=a<72-9j47?7a99m7d1==21d=57l:18'7d>=91k37c=n7;48?j7?1h0;6)=n8;3;e==i;h=1;65`19;:>5<#;h21=5o7;o1b3?><3f;3554?:%1b!5f03;3m55a3`59f>=h913>6=4+3`:95=g?3g9j;7m4;n3;=1<72-9j47?7a99m7d1=l21d=57<:18'7d>=91k37c=n7;g8?j7?1;0;6)=n8;3;e==i;h=1j65`19;2>5<#;h21=5o7;o1b3?7732e:45h50;&0e=<60h20b>o8:038?j7?0l0;6)=n8;3;e==i;h=1=?54o0:;`?6=,:k36<6n8:l0e2<6;21d=56l:18'7d>=91k37c=n7;37?>i601h1<7*=h91236=4+3`:95=g?3g9j;7?7;:m2<=1=83.8m54>8`:8j6g028307b?78783>!5f03;3m55a3`595d=5$2c;>4>f02d8m:4>b:9l5=>4290/?l6519c;?k5f?3;h76a>89094?"4i10:4l64n2c4>4b<3f;34<4?:%1b?83:1(>o7:0:b<>h4i>0:j65`195e>5<#;h21=5o7;o1b3?4732e:4:k50;&0e=<60h20b>o8:338?j7??m0;6)=n8;3;e==i;h=1>?54o0:4g?6=,:k36<6n8:l0e2<5;21d=59m:18'7d>=91k37c=n7;07?>i60h=1<7*=h91k?6=4+3`:95=g?3g9j;7<7;:m28`:8j6g02;307b?79c83>!5f03;3m55a3`596d=5$2c;>4>f02d8m:4=b:9l5=>2290/?l6519c;?k5f?38h76a>86c94?"4i10:4l64n2c4>7b<3f;3;44?:%1bc:3:1(>o7:0:g<>h4i>0;76a>8e394?"4i10:4i64n2c4>4=5$2c;>4>c02d8m:4=;:m28e:8j6g02:10c<6le;29 6g?282o46`i60jn1<7*o8:698k4>d13:1(>o7:0:g<>h4i>0376a>8b:94?"4i10:4i64n2c4><=5$2c;>4>c02d8m:4n;:m28e:8j6g02k10c<6l5;29 6g?282o46`i60j>1<7*o8:g98k4>d93:1(>o7:0:g<>h4i>0:<65`19`e>5<#;h21=5j7;o1b3?7632e:4ok50;&0e=<60m20b>o8:008?j7?jm0;6)=n8;3;`==i;h=1=>54o0:ag?6=,:k36<6k8:l0e2<6<21d=5lm:18'7d>=91n37c=n7;36?>i60kk1<7*10c<6m8;29 6g?282o46`=h91h<6=4+3`:95=b?3g9j;7?6;:m28e:8j6g028k07b?7b583>!5f03;3h55a3`595g=5$2c;>4>c02d8m:4>c:9l5=d5290/?l6519f;?k5f?3;o76a>8c394?"4i10:4i64n2c4>4c<3f;3n=4?:%1bfn3:1(>o7:0:g<>h4i>09<65`19cf>5<#;h21=5j7;o1b3?4632e:4lj50;&0e=<60m20b>o8:308?j7?ij0;6)=n8;3;`==i;h=1>>54o0:bf?6=,:k36<6k8:l0e2<5<21d=5j8:18'7d>=91n37c=n7;06?>i60m<1<7*10c<6k4;29 6g?282o46`=h91n86=4+3`:95=b?3g9j;7<6;:m28e:8j6g02;k07b?7c183>!5f03;3h55a3`596g=5$2c;>4>c02d8m:4=c:9l5=gf290/?l6519f;?k5f?38o76a>8`;94?"4i10:4i64n2c4>7c<3f;2811e?l950:9l5<66290/?l65182;?k5f?3;07b?60183>!5f03;2<55a3`596>=h91lm6=4+3`:95<6?3g9j;7=4;n3;b`<72-9j47?6099m7d1=<21d=5hk:18'7d>=90:37c=n7;78?j7?nj0;6)=n8;3:4==i;h=1:65`19db>5<#;h21=4>7;o1b3?1<3f;3j44?:%1b811e?l958:9l5=`?290/?l65182;?k5f?3307b?7f683>!5f03;2<55a3`59e>=h91l=6=4+3`:95<6?3g9j;7l4;n3;b0<72-9j47?6099m7d1=k21d=5h;:18'7d>=90:37c=n7;f8?j7?n:0;6)=n8;3:4==i;h=1i65`19d1>5<#;h21=4>7;o1b3?`<3f;3j<4?:%1b811e?l951198k4>bn3:1(>o7:0;3<>h4i>0:=65`19gf>5<#;h21=4>7;o1b3?7532e:4hj50;&0e=<61920b>o8:018?j7?mj0;6)=n8;3:4==i;h=1=954o0:ff?6=,:k36<7?8:l0e2<6=21d=5kn:18'7d>=90:37c=n7;35?>i60l31<7*=h91o=6=4+3`:95<6?3g9j;7?n;:m2<`2=83.8m54>91:8j6g028h07b?7e283>!5f03;2<55a3`595f=7>5$2c;>4?702d8m:4>d:9l5=c6290/?l65182;?k5f?3;n76a>8d294?"4i10:5=64n2c4>4`<3f;3hk4?:%1b811e?l952198k4>cm3:1(>o7:0;3<>h4i>09=65`19fg>5<#;h21=4>7;o1b3?4532e:4im50;&0e=<61920b>o8:318?j7?lk0;6)=n8;3:4==i;h=1>954o0;33?6=,:k36<7?8:l0e2<5=21d=4>9:18'7d>=90:37c=n7;05?>i619?1<7*=h91li6=4+3`:95<6?3g9j;791:8j6g02;h07b?7e483>!5f03;2<55a3`596f=5$2c;>4?702d8m:4=d:9l5=b>290/?l65182;?k5f?38n76a>92094?"4i10:5>64n2c4>5=5$2c;>4?402d8m:4>;:m2=66=83.8m54>92:8j6g02;10c<7=f;29 6g?283846`i61;o1<7*o8:798k4?5i3:1(>o7:0;0<>h4i>0<76a>93;94?"4i10:5>64n2c4>==5$2c;>4?402d8m:46;:m2=71=83.8m54>92:8j6g02h10c<7=6;29 6g?283846`i61;?1<7*o8:d98k4?5:3:1(>o7:0;0<>h4i>0m76a>93394?"4i10:5>64n2c4>46<3f;2=k4?:%1b;11e?l951098k4?6m3:1(>o7:0;0<>h4i>0:>65`183g>5<#;h21=4=7;o1b3?7432e:5o8:068?j7>9k0;6)=n8;3:7==i;h=1=854o0;2e?6=,:k36<7<8:l0e2<6>21d=4?6:18'7d>=90937c=n7;34?>i61821<7*6;29 6g?283846`=h90;?6=4+3`:95<5?3g9j;7?m;:m2=45=83.8m54>92:8j6g028i07b?61383>!5f03;2?55a3`595a=5$2c;>4?402d8m:4>e:9l5<77290/?l65181;?k5f?3;m76a>91d94?"4i10:5>64n2c4>76<3f;2;11e?l952098k4?7l3:1(>o7:0;0<>h4i>09>65`182`>5<#;h21=4=7;o1b3?4432e:5=l50;&0e=<61:20b>o8:368?j7>;>0;6)=n8;3:7==i;h=1>854o0;02?6=,:k36<7<8:l0e2<5>21d=4=::18'7d>=90937c=n7;04?>i61:>1<7*=h908;6=4+3`:95<5?3g9j;792:8j6g02;i07b?60`83>!5f03;2?55a3`596a=5$2c;>4?402d8m:4=e:9j55b?2900c<792;29 6g?283=46`i61?;1<7*o8:298k4?2m3:1(>o7:0;5<>h4i>0?76a>94f94?"4i10:5;64n2c4>0=o7>5$2c;>4?102d8m:49;:m2=0g=83.8m54>97:8j6g02>10c<7:9;29 6g?283=46`i61<21<7*o8:c98k4?2=3:1(>o7:0;5<>h4i>0h76a>94694?"4i10:5;64n2c4>a=?7>5$2c;>4?102d8m:4j;:m2=04=83.8m54>97:8j6g02o10c<7:1;29 6g?283=46`=h90>m6=4+3`:95<0?3g9j;7?>;:m2=1c=83.8m54>97:8j6g028807b?64e83>!5f03;2:55a3`5956=5$2c;>4?102d8m:4>4:9l5<2e290/?l65184;?k5f?3;>76a>95c94?"4i10:5;64n2c4>40<3f;2844?:%1b>11e?l951698k4?303:1(>o7:0;5<>h4i>0:465`1864>5<#;h21=487;o1b3?7>32e:59850;&0e=<61?20b>o8:0c8?j7><=0;6)=n8;3:2==i;h=1=o54o0;77?6=,:k36<798:l0e2<6k21d=4:=:18'7d>=90<37c=n7;3g?>i61=;1<7*=h909n6=4+3`:95<0?3g9j;7<>;:m2=6b=83.8m54>97:8j6g02;807b?63b83>!5f03;2:55a3`5966=5$2c;>4?102d8m:4=4:9l5<00290/?l65184;?k5f?38>76a>97494?"4i10:5;64n2c4>70<3f;2:84?:%1b>11e?l952698k4?1<3:1(>o7:0;5<>h4i>09465`1840>5<#;h21=487;o1b3?4>32e:58l50;&0e=<61?20b>o8:3c8?j7>=90;6)=n8;3:2==i;h=1>o54o0;71?6=,:k36<798:l0e2<5k21d=4=n:18'7d>=90<37c=n7;0g?>i61:31<7*:18'7d>=90337c=n7;38?j7>190;6)=n8;3:===i;h=1>65`18:e>5<#;h21=477;o1b3?5<3f;24h4?:%1b111e?l954:9l5<>c290/?l6518;;?k5f?3?07b?68b83>!5f03;2555a3`592>=h902j6=4+3`:95=90337c=n7;;8?j7>0>0;6)=n8;3:===i;h=1m65`18:5>5<#;h21=477;o1b3?d<3f;2484?:%1b111e?l95c:9l5<>3290/?l6518;;?k5f?3n07b?68283>!5f03;2555a3`59a>=h90296=4+3`:95=h90=n6=4+3`:9598:8j6g028907b?67b83>!5f03;2555a3`5951=5$2c;>4?>02d8m:4>5:9l5<1f290/?l6518;;?k5f?3;=76a>96;94?"4i10:5464n2c4>41<3f;2;54?:%1b111e?l951998k4?0?3:1(>o7:0;:<>h4i>0:565`1855>5<#;h21=477;o1b3?7f32e:5::50;&0e=<61020b>o8:0`8?j7>?:0;6)=n8;3:===i;h=1=n54o0;46?6=,:k36<768:l0e2<6l21d=49>:18'7d>=90337c=n7;3f?>i61>:1<7*=h9098:8j6g02;907b?66c83>!5f03;2555a3`5961=5$2c;>4?>02d8m:4=5:9l598794?"4i10:5464n2c4>71<3f;2594?:%1b111e?l952998k4?>;3:1(>o7:0;:<>h4i>09565`18:a>5<#;h21=477;o1b3?4f32e:55>50;&0e=<61020b>o8:3`8?j7>?<0;6)=n8;3:===i;h=1>n54o0;5e?6=,:k36<768:l0e2<5l21d=486:18'7d>=90337c=n7;0f?>o68k31<7*la:l0e2<632c:o8:398m46e>3:1(>o7:02`e>h4i>0876g>0c794?"4i10:1=5$2c;>46di2d8m:4:;:k24g5=83.8m54>0bc8j6g02?10e<>m2;29 6g?28:hm6`o68j;1<7*la:l0e2<>32c:o8:`98m46em3:1(>o7:02`e>h4i>0i76g>0cf94?"4i10:f=5$2c;>46di2d8m:4k;:k24gd=83.8m54>0bc8j6g02l10e<>ma;29 6g?28:hm6`o68k;1<7*4;h33f5<72-9j47??c`9m7d1=9810e5<#;h21=;;6;o1b3?7<3f;=8k4?:%1b!5f03;=945a3`590>=h9?>i6=4+3`:9533>3g9j;7;4;n350d<72-9j47?9589m7d1=>21d=;:6:18'7d>=9??27c=n7;58?j71<10;6)=n8;351<=i;h=1465`1764>5<#;h21=;;6;o1b3??<3f;=8;4?:%1b!5f03;=945a3`59g>=h9?>86=4+3`:9533>3g9j;7j4;n351=<72-9j47?9589m7d1=m21d=;;8:18'7d>=9??27c=n7;d8?j71=?0;6)=n8;351<=i;h=1==54o0461?6=,:k36<8:9:l0e2<6921d=;;;:18'7d>=9??27c=n7;31?>i6><91<7*56`=h9?>96=4+3`:9533>3g9j;7?9;:m2217=83.8m54>64;8j6g028=07b?71283>!5f03;3=45a3`594>=h91;96=4+3`:95=7>3g9j;7?4;n3;54<72-9j47?7189m7d1=:21d=5??:18'7d>=91;27c=n7;18?j7?8o0;6)=n8;3;5<=i;h=1865`192f>5<#;h21=5?6;o1b3?3<3f;3!5f03;3=45a3`59<>=h91:26=4+3`:95=7>3g9j;774;n3;4=<72-9j47?7189m7d1=i21d=5>8:18'7d>=91;27c=n7;`8?j7?8?0;6)=n8;3;5<=i;h=1o65`1926>5<#;h21=5?6;o1b3?b<3f;3<94?:%1b!5f03;3=45a3`5955=5$2c;>4>612d8m:4>1:9l52`a290/?l65193:?k5f?3;976a>7gg94?"4i10:4<74n2c4>45<3f;o7:0:2=>h4i>0:965`16da>5<#;h21=5?6;o1b3?7132e:;ko50;&0e=<60830b>o8:058?j70n00;6)=n8;3;5<=i;h=1=554o05e9:l0e2<6121d=:h8:18'7d>=91;27c=n7;3b?>i6?o?1<7*=h9>l96=4+3`:95=7>3g9j;7?j;:m23c7=83.8m54>80;8j6g028l07b?8f183>!5f03;3=45a3`5965=5$2c;>4>612d8m:4=1:9l52cb290/?l65193:?k5f?38976a>7df94?"4i10:4<74n2c4>75<3f;603:1(>o7:0:2=>h4i>09965`1934>5<#;h21=5?6;o1b3?4132e:4<850;&0e=<60830b>o8:358?j7?9<0;6)=n8;3;5<=i;h=1>554o0:20?6=,:k36<6>9:l0e2<5121d=5>l:18'7d>=91;27c=n7;0b?>i609;1<7*=h9>oj6=4+3`:95=7>3g9j;7=9>8:7c=n7;28?j71>00;6)=n8;3464=i;h=1=65`174;>5<#;h21=:<>;o1b3?4<3f;=::4?:%1b07b?96583>!5f03;<><5a3`591>=h9?<86=4+3`:952463g9j;784;n3527<72-9j47?8209m7d1=?21d=;8>:18'7d>=9>8:7c=n7;:8?j71>90;6)=n8;3464=i;h=1565`177e>5<#;h21=:<>;o1b3?g<3f;=9h4?:%1b!5f03;<><5a3`59`>=h9?=:6=4+3`:952463g9j;7k4;n3535<72-9j47?8209m7d1=n21d=;8i:18'7d>=9>8:7c=n7;33?>i6>?o1<7*=h9?7338j6g028?07b?95c83>!5f03;<><5a3`5953=m7>5$2c;>41592d8m:4>7:9l5255290/?l65161b?k5f?3:07b?83083>!5f03;=h9>9;6=4+3`:9525f3g9j;7<4;n346c<72-9j47?83`9m7d1=;21d=:=9>9j7c=n7;68?j70:j0;6)=n8;347d=i;h=1965`160a>5<#;h21=:=n;o1b3?0<3f;<>l4?:%1b290/?l65161b?k5f?3207b?82983>!5f03;=h9>8<6=4+3`:9525f3g9j;7o4;n3463<72-9j47?83`9m7d1=j21d=:<::18'7d>=9>9j7c=n7;a8?j70:=0;6)=n8;347d=i;h=1h65`161:>5<#;h21=:=n;o1b3?c<3f;72494?"4i10:;>o4n2c4>47<3f;o7:050e>h4i>0:?65`1610>5<#;h21=:=n;o1b3?7332e:;?k50;&0e=<6?:k0b>o8:078?j70::0;6)=n8;347d=i;h=1=;54o0516?6=,:k36<9=9>?87c=n7;28?j705<#;h21=:;<;o1b3?4<3f;<854?:%1b07b?84483>!5f03;<9>5a3`591>=h9>>?6=4+3`:952343g9j;784;n3406<72-9j47?8529m7d1=?21d=::=:18'7d>=9>?87c=n7;:8?j70<80;6)=n8;3416=i;h=1565`1663>5<#;h21=:;<;o1b3?g<3f;!5f03;<9>5a3`59`>=h9>?96=4+3`:952343g9j;7k4;n3414<72-9j47?8529m7d1=n21d=:;?:18'7d>=9>?87c=n7;33?>i6?=l1<7*?6`=h9>>h6=4+3`:952343g9j;7?;;:m2311=83.8m54>7418j6g028?07b?83b83>!5f03;<9>5a3`5953=5$2c;>412;2d8m:4>7:9l5203290/?l65164`?k5f?3:07b?86283>!5f03;<:n5a3`595>=h9><96=4+3`:9520d3g9j;7<4;n3424<72-9j47?86b9m7d1=;21d=:;i:18'7d>=9>5<#;h21=:8l;o1b3?0<3f;<9n4?:%1bj1e?l957:9l523e290/?l65164`?k5f?3207b?85`83>!5f03;<:n5a3`59=>=h9>?26=4+3`:9520d3g9j;7o4;n341=<72-9j47?86b9m7d1=j21d=:;8:18'7d>=9>5<#;h21=:8l;o1b3?c<3f;<:l4?:%1bj1e?l95f:9l520>290/?l65164`?k5f?3;;76a>77:94?"4i10:;;m4n2c4>47<3f;<::4?:%1bj1e?l951398k411>3:1(>o7:055g>h4i>0:?65`1646>5<#;h21=:8l;o1b3?7332e:;;>50;&0e=<6??i0b>o8:078?j70=<0;6)=n8;342f=i;h=1=;54o0560?6=,:k36<99c:l0e2<6?21d=:9k:18'7d>=9>2>7c=n7;28?j70?j0;6)=n8;34<0=i;h=1=65`165a>5<#;h21=:6:;o1b3?4<3f;<;l4?:%1b07b?87683>!5f03;<485a3`591>=h9>==6=4+3`:952>23g9j;784;n3430<72-9j47?8849m7d1=?21d=:9;:18'7d>=9>2>7c=n7;:8?j70?:0;6)=n8;34<0=i;h=1565`1651>5<#;h21=:6:;o1b3?g<3f;<;<4?:%1b!5f03;<485a3`59`>=h9>2?6=4+3`:952>23g9j;7k4;n34<6<72-9j47?8849m7d1=n21d=:6=:18'7d>=9>2>7c=n7;33?>i6?1;1<7*=h9>=n6=4+3`:952>23g9j;7?;;:m232?=83.8m54>7978j6g028?07b?86d83>!5f03;<485a3`5953=5$2c;>41?=2d8m:4>7:9l52?1290/?l6516;f?k5f?3:07b?89483>!5f03;<5h5a3`595>=h9>3?6=4+3`:952?b3g9j;7<4;n34=6<72-9j47?89d9m7d1=;21d=:7>:18'7d>=9>3n7c=n7;68?j70190;6)=n8;34=`=i;h=1965`16:e>5<#;h21=:7j;o1b3?0<3f;<4h4?:%1bc290/?l6516;f?k5f?3207b?88b83>!5f03;<5h5a3`59=>=h9>2i6=4+3`:952?b3g9j;7o4;n34=9>3n7c=n7;a8?j70010;6)=n8;34=`=i;h=1h65`16;g>5<#;h21=:7j;o1b3?c<3f;<5n4?:%1b78c94?"4i10:;4k4n2c4>47<3f;<544?:%1b03:1(>o7:05:a>h4i>0:?65`16;4>5<#;h21=:7j;o1b3?7332e:;4<50;&0e=<6?0o0b>o8:078?j700>0;6)=n8;34=`=i;h=1=;54o05;2?6=,:k36<96e:l0e2<6?21d=5:<:18'7d>=90327c=n7;28?j7?<;0;6)=n8;3:=<=i;h=1=65`1962>5<#;h21=476;o1b3?4<3f;38=4?:%1b101e?l953:9l5=5a290/?l6518;:?k5f?3>07b?73d83>!5f03;2545a3`591>=h919o6=4+3`:953g9j;784;n3;7g<72-9j47?6989m7d1=?21d=5=n:18'7d>=90327c=n7;:8?j7?;00;6)=n8;3:=<=i;h=1565`191;>5<#;h21=476;o1b3?g<3f;3?:4?:%1b101e?l95b:9l5=51290/?l6518;:?k5f?3i07b?73483>!5f03;2545a3`59`>=h919?6=4+3`:953g9j;7k4;n3;76<72-9j47?6989m7d1=n21d=5==:18'7d>=90327c=n7;33?>i60::1<7*=h918o6=4+3`:953g9j;7?;;:m2<7e=83.8m54>98;8j6g028?07b?72c83>!5f03;2545a3`5953=5$2c;>4?>12d8m:4>7:9l5=4>290/?l6518;:?k5f?3;376a>83:94?"4i10:5474n2c4>4?<3f;3>:4?:%1b101e?l951`98k4>5=3:1(>o7:0;:=>h4i>0:n65`1907>5<#;h21=476;o1b3?7d32e:4?=50;&0e=<61030b>o8:0f8?j7?:;0;6)=n8;3:=<=i;h=1=h54o0:15?6=,:k36<769:l0e2<6n21d=5=90327c=n7;03?>i608l1<7*d;29 6g?283256`=h91;h6=4+3`:953g9j;7<;;:m2<1>=83.8m54>98;8j6g02;?07b?74683>!5f03;2545a3`5963=5$2c;>4?>12d8m:4=7:9l5=22290/?l6518;:?k5f?38376a>85694?"4i10:5474n2c4>7?<3f;3?n4?:%1b101e?l952`98k4>493:1(>o7:0;:=>h4i>09n65`1905>5<#;h21=476;o1b3?4d32e:4o8:3f8?j7?9h0;6)=n8;3:=<=i;h=1>h54o05bb?6=,:k36<9m7:l0e2<732e:;lk50;&0e=<6?k=0b>o8:098k41fl3:1(>o7:05a3>h4i>0976a>7`a94?"4i10:;o94n2c4>6=5$2c;>41e?2d8m:4;;:m23d?=83.8m54>7c58j6g02<10c<9n8;29 6g?28=i;6`i6?h=1<7*o8:898k41f<3:1(>o7:05a3>h4i>0j76a>7`194?"4i10:;o94n2c4>g=7>5$2c;>41e?2d8m:4l;:m23d7=83.8m54>7c58j6g02m10c<9m6;29 6g?28=i;6`i6?k?1<7*=9>h<7c=n7;32?>i6?k81<7*=h9>ki6=4+3`:952d03g9j;7?:;:m23d6=83.8m54>7c58j6g028<07b?89g83>!5f03;5$2c;>4?d12d8m:4?;:m2=f4=83.8m54>9b;8j6g02810c<7l1;29 6g?283h56`i61j:1<7*54o0;ab?6=,:k36<7l9:l0e2<332e:5ok50;&0e=<61j30b>o8:498k4?el3:1(>o7:0;`=>h4i>0=76a>9c`94?"4i10:5n74n2c4>2=5$2c;>4?d12d8m:47;:m2=g?=83.8m54>9b;8j6g02010c<7m8;29 6g?283h56`i61k=1<7*o8:e98k4?e<3:1(>o7:0;`=>h4i>0n76a>9c194?"4i10:5n74n2c4>c=7>5$2c;>4?d12d8m:4>0:9l59`d94?"4i10:5n74n2c4>44<3f;2mh4?:%1bk01e?l951298k4?fl3:1(>o7:0;`=>h4i>0:865`18c`>5<#;h21=4m6;o1b3?7232e:5ll50;&0e=<61j30b>o8:048?j7>ih0;6)=n8;3:g<=i;h=1=:54o0;b=?6=,:k36<7l9:l0e2<6021d=4o7:18'7d>=90i27c=n7;3:?>i61h=1<7*=h90k86=4+3`:953g9j;7?k;:m2=d4=83.8m54>9b;8j6g028o07b?6a083>!5f03;2o45a3`595c=5$2c;>4?d12d8m:4=0:9l598g94?"4i10:5n74n2c4>74<3f;25i4?:%1bk01e?l952298k4?>k3:1(>o7:0;`=>h4i>09865`18a;>5<#;h21=4m6;o1b3?4232e:5n950;&0e=<61j30b>o8:348?j7>k?0;6)=n8;3:g<=i;h=1>:54o0;`1?6=,:k36<7l9:l0e2<5021d=4m;:18'7d>=90i27c=n7;0:?>i61ki1<7*=h903i6=4+3`:953g9j;79b;8j6g02;o07b?8c983>!5f03;=h9>i<6=4+3`:952b73g9j;7?4;n34g3<72-9j47?8d19m7d1=:21d=:m::18'7d>=9>n;7c=n7;18?j70k:0;6)=n8;34`5=i;h=1865`16a1>5<#;h21=:j?;o1b3?3<3f;!5f03;=h9>hn6=4+3`:952b73g9j;774;n34fa<72-9j47?8d19m7d1=i21d=:ll:18'7d>=9>n;7c=n7;`8?j70jk0;6)=n8;34`5=i;h=1o65`16`b>5<#;h21=:j?;o1b3?b<3f;!5f03;5$2c;>41c82d8m:4>1:9l52ee290/?l6516f3?k5f?3;976a>7bc94?"4i10:;i>4n2c4>45<3f;o7:05g4>h4i>0:965`16`:>5<#;h21=:j?;o1b3?7132e:;o650;&0e=<6?m:0b>o8:058?j7>n:0;6)=n8;3:b<=i;h=1<65`18d1>5<#;h21=4h6;o1b3?7<3f;2j<4?:%1bn01e?l952:9l5<`7290/?l6518d:?k5f?3907b?6eg83>!5f03;2j45a3`590>=h90on6=4+3`:95<`>3g9j;7;4;n3:aa<72-9j47?6f89m7d1=>21d=4km:18'7d>=90l27c=n7;58?j7>mh0;6)=n8;3:b<=i;h=1465`18g:>5<#;h21=4h6;o1b3??<3f;2i54?:%1bn01e?l95a:9l5!5f03;2j45a3`59g>=h90o>6=4+3`:95<`>3g9j;7j4;n3:a1<72-9j47?6f89m7d1=m21d=4k<:18'7d>=90l27c=n7;d8?j7>m;0;6)=n8;3:b<=i;h=1==54o0;f4?6=,:k36<7i9:l0e2<6921d=4ji:18'7d>=90l27c=n7;31?>i61mo1<7*=h90ni6=4+3`:95<`>3g9j;7?9;:m2=ag=83.8m54>9g;8j6g028=07b?6d883>!5f03;2j45a3`595==5$2c;>4?a12d8m:4>9:9l59e794?"4i10:5k74n2c4>4d<3f;2h94?:%1bn01e?l951b98k4?c;3:1(>o7:0;e=>h4i>0:h65`18f1>5<#;h21=4h6;o1b3?7b32e:5i?50;&0e=<61o30b>o8:0d8?j7>l90;6)=n8;3:b<=i;h=1>=54o0;`b?6=,:k36<7i9:l0e2<5921d=4mj:18'7d>=90l27c=n7;01?>i61jn1<7*=h90l<6=4+3`:95<`>3g9j;7<9;:m2=c0=83.8m54>9g;8j6g02;=07b?6f483>!5f03;2j45a3`596==5$2c;>4?a12d8m:4=9:9l59d394?"4i10:5k74n2c4>7d<3f;2h;4?:%1bn01e?l952b98k4?dj3:1(>o7:0;e=>h4i>09h65`18ab>5<#;h21=4h6;o1b3?4b32e:;h?50;&0e=<6?l30b>o8:198k41b83:1(>o7:05f=>h4i>0:76a>7ed94?"4i10:;h74n2c4>7=5$2c;>41b12d8m:4<;:m23ae=83.8m54>7d;8j6g02=10c<9kb;29 6g?28=n56`i6?mk1<7*o8:998k41c?3:1(>o7:05f=>h4i>0276a>7e494?"4i10:;h74n2c4>d=5$2c;>41b12d8m:4m;:m23a2=83.8m54>7d;8j6g02j10c<9k3;29 6g?28=n56`i6?l21<7*o8:028?j70m<0;6)=n8;34a<=i;h=1=<54o05f0?6=,:k36<9j9:l0e2<6:21d=:k<:18'7d>=9>o27c=n7;30?>i6?l81<7*=h9>n:6=4+3`:952c>3g9j;7?8;:m2e75=83.8m54>a3;8j6g02910ci6i;;1<7*o8:598k4g6m3:1(>o7:0c1=>h4i>0>76a>a0f94?"4i10:m?74n2c4>3=5$2c;>4g512d8m:48;:m2e4g=83.8m54>a3;8j6g02110c9;29 6g?28k956`i6i821<7*o8:b98k4g6=3:1(>o7:0c1=>h4i>0o76a>a0694?"4i10:m?74n2c4>`=5$2c;>4g512d8m:4i;:m2e44=83.8m54>a3;8j6g028:07b?n1183>!5f03;j>45a3`5954=5$2c;>4g512d8m:4>2:9l5d6b290/?l651`0:?k5f?3;876a>a1f94?"4i10:m?74n2c4>42<3f;jo7:0c1=>h4i>0::65`1`2b>5<#;h21=l<6;o1b3?7032e:m=750;&0e=<6i;30b>o8:0:8?j7f810;6)=n8;3b6<=i;h=1=454o0c33?6=,:k36::18'7d>=9h827c=n7;3a?>i6i9>1<7*=h9h::6=4+3`:95d4>3g9j;7?i;:m2e56=83.8m54>a3;8j6g02;:07b?6fg83>!5f03;j>45a3`5964=5$2c;>4g512d8m:4=2:9l5<`c290/?l651`0:?k5f?38876a>9ga94?"4i10:m?74n2c4>72<3f;j>54?:%1bo7:0c1=>h4i>09:65`1`05>5<#;h21=l<6;o1b3?4032e:m?;50;&0e=<6i;30b>o8:3:8?j7f:=0;6)=n8;3b6<=i;h=1>454o0c2g?6=,:k36:18'7d>=9h827c=n7;0a?>i6i9<1<7*=h9h?86=4+3`:95d3>3g9j;7>4;n3b17<72-9j47?n589m7d1=921d=l;>:18'7d>=9h?27c=n7;08?j7f=90;6)=n8;3b1<=i;h=1?65`1`6e>5<#;h21=l;6;o1b3?2<3f;j8h4?:%1b!5f03;j945a3`593>=h9h>j6=4+3`:95d3>3g9j;764;n3b0<<72-9j47?n589m7d1=121d=l:7:18'7d>=9h?27c=n7;c8?j7f<>0;6)=n8;3b1<=i;h=1n65`1`65>5<#;h21=l;6;o1b3?e<3f;j884?:%1b!5f03;j945a3`59b>=h9h>96=4+3`:95d3>3g9j;7??;:m2e16=83.8m54>a4;8j6g028;07b?n3g83>!5f03;j945a3`5957=5$2c;>4g212d8m:4>3:9l5d5c290/?l651`7:?k5f?3;?76a>a2a94?"4i10:m874n2c4>43<3f;j?o4?:%1bo7:0c6=>h4i>0:;65`1`1:>5<#;h21=l;6;o1b3?7?32e:m>650;&0e=<6i<30b>o8:0;8?j7f;>0;6)=n8;3b1<=i;h=1=l54o0c01?6=,:k36=9h?27c=n7;3`?>i6i:91<7*56`=h9h9;6=4+3`:95d3>3g9j;7a4;8j6g02;;07b?n2d83>!5f03;j945a3`5967=5$2c;>4g212d8m:4=3:9l5d4d290/?l651`7:?k5f?38?76a>a4:94?"4i10:m874n2c4>73<3f;j9:4?:%1b3:1(>o7:0c6=>h4i>09;65`1`76>5<#;h21=l;6;o1b3?4?32e:m8:50;&0e=<6i<30b>o8:3;8?j7fl54o0c75?6=,:k36=9h?27c=n7;0`?>i6i;h1<7*i6i181<7*50;&0e=<6i130b>o8:298k4g0n3:1(>o7:0c;=>h4i>0?76a>a6g94?"4i10:m574n2c4>0=5$2c;>4g?12d8m:49;:m2e2d=83.8m54>a9;8j6g02>10ci6i>31<7*o8:c98k4g0>3:1(>o7:0c;=>h4i>0h76a>a6794?"4i10:m574n2c4>a=5$2c;>4g?12d8m:4j;:m2e25=83.8m54>a9;8j6g02o10c=h9h=;6=4+3`:95d>>3g9j;7?>;:m2e3`=83.8m54>a9;8j6g028807b?n6d83>!5f03;j445a3`5956=5$2c;>4g?12d8m:4>4:9l5d0d290/?l651`::?k5f?3;>76a>a7`94?"4i10:m574n2c4>40<3f;j:l4?:%1bo7:0c;=>h4i>0:465`1`4;>5<#;h21=l66;o1b3?7>32e:m;950;&0e=<6i130b>o8:0c8?j7f><0;6)=n8;3b<<=i;h=1=o54o0c50?6=,:k36=9h227c=n7;3g?>i6i?81<7*=h9h?m6=4+3`:95d>>3g9j;7<>;:m2e0c=83.8m54>a9;8j6g02;807b?n5e83>!5f03;j445a3`5966=o7>5$2c;>4g?12d8m:4=4:9l5d>?290/?l651`::?k5f?38>76a>a9594?"4i10:m574n2c4>70<3f;j4;4?:%1bo7:0c;=>h4i>09465`1`:7>5<#;h21=l66;o1b3?4>32e:m:m50;&0e=<6i130b>o8:3c8?j7f?80;6)=n8;3b<<=i;h=1>o54o0c52?6=,:k36=9h227c=n7;0g?>i6i=9hh27c=n7;38?j7fj80;6)=n8;3bf<=i;h=1>65`1``3>5<#;h21=ll6;o1b3?5<3f;jmk4?:%1b!5f03;jn45a3`592>=h9hki6=4+3`:95dd>3g9j;794;n3bed<72-9j47?nb89m7d1=021d=lo6:18'7d>=9hh27c=n7;;8?j7fi10;6)=n8;3bf<=i;h=1m65`1`c4>5<#;h21=ll6;o1b3?d<3f;jm;4?:%1b!5f03;jn45a3`59a>=h9hk86=4+3`:95dd>3g9j;7h4;n3be7<72-9j47?nb89m7d1=9910c=h9h3m6=4+3`:95dd>3g9j;7?=;:m2eac;8j6g028907b?n9e83>!5f03;jn45a3`5951=5$2c;>4ge12d8m:4>5:9l5d?e290/?l651``:?k5f?3;=76a>a8c94?"4i10:mo74n2c4>41<3f;j544?:%1b03:1(>o7:0ca=>h4i>0:565`1`;4>5<#;h21=ll6;o1b3?7f32e:m4;50;&0e=<6ik30b>o8:0`8?j7f1=0;6)=n8;3bf<=i;h=1=n54o0c:7?6=,:k36=9hh27c=n7;3f?>i6i0;1<7*=h9h2n6=4+3`:95dd>3g9j;7<=;:m2e=b=83.8m54>ac;8j6g02;907b?n8b83>!5f03;jn45a3`5961=5$2c;>4ge12d8m:4=5:9l5dd0290/?l651``:?k5f?38=76a>ac494?"4i10:mo74n2c4>71<3f;jn84?:%1bo7:0ca=>h4i>09565`1`c`>5<#;h21=ll6;o1b3?4f32e:ml?50;&0e=<6ik30b>o8:3`8?j7f1?0;6)=n8;3bf<=i;h=1>n54o0c;f?6=,:k36=9hh27c=n7;0f?>i6il91<7*o8:398k4gb83:1(>o7:0cf=>h4i>0876a>aed94?"4i10:mh74n2c4>1=5$2c;>4gb12d8m:4:;:m2eab=83.8m54>ad;8j6g02?10ci6imk1<7*32e:mi650;&0e=<6il30b>o8:`98k4gc?3:1(>o7:0cf=>h4i>0i76a>ae494?"4i10:mh74n2c4>f=5$2c;>4gb12d8m:4k;:m2ea2=83.8m54>ad;8j6g02l10ci6im81<7*4;n3b`5<72-9j47?ne89m7d1=9810c=h9hin6=4+3`:95dc>3g9j;7?<;:m2efb=83.8m54>ad;8j6g028>07b?ncb83>!5f03;ji45a3`5950=5$2c;>4gb12d8m:4>6:9l5def290/?l651`g:?k5f?3;<76a>ab;94?"4i10:mh74n2c4>4><3f;jo54?:%1bo7:0cf=>h4i>0:m65`1`a6>5<#;h21=lk6;o1b3?7e32e:mn:50;&0e=<6il30b>o8:0a8?j7fk:0;6)=n8;3ba<=i;h=1=i54o0c`6?6=,:k36:18'7d>=9ho27c=n7;3e?>i6ij:1<7*4;n3bfc<72-9j47?ne89m7d1=:810c=h9hho6=4+3`:95dc>3g9j;7<<;:m2ege=83.8m54>ad;8j6g02;>07b?ne983>!5f03;ji45a3`5960=5$2c;>4gb12d8m:4=6:9l5dc1290/?l651`g:?k5f?38<76a>ad794?"4i10:mh74n2c4>7><3f;ji94?:%1bo7:0cf=>h4i>09m65`1`f2>5<#;h21=lk6;o1b3?4e32e:mn850;&0e=<6il30b>o8:3a8?j7fjk0;6)=n8;3ba<=i;h=1>i54o0cae?6=,:k36=9k;27c=n7;28?j7e9;0;6)=n8;3a5<=i;h=1=65`1c32>5<#;h21=o?6;o1b3?4<3f;i==4?:%1b07b?m0d83>!5f03;i=45a3`591>=h9k:o6=4+3`:95g7>3g9j;784;n3a4g<72-9j47?m189m7d1=?21d=o>n:18'7d>=9k;27c=n7;:8?j7e800;6)=n8;3a5<=i;h=1565`1c2;>5<#;h21=o?6;o1b3?g<3f;i<:4?:%1b!5f03;i=45a3`59`>=h9k:?6=4+3`:95g7>3g9j;7k4;n3a46<72-9j47?m189m7d1=n21d=o>=:18'7d>=9k;27c=n7;33?>i6j9:1<7*=h9hlo6=4+3`:95g7>3g9j;7?;;:m2ece=83.8m54>b0;8j6g028?07b?nfc83>!5f03;i=45a3`5953=5$2c;>4d612d8m:4>7:9l5d`>290/?l651c3:?k5f?3;376a>ag:94?"4i10:n<74n2c4>4?<3f;jj:4?:%1bo7:0`2=>h4i>0:n65`1`d7>5<#;h21=o?6;o1b3?7d32e:mk=50;&0e=<6j830b>o8:0f8?j7fn;0;6)=n8;3a5<=i;h=1=h54o0ce5?6=,:k369:l0e2<6n21d=lh?:18'7d>=9k;27c=n7;03?>i6ill1<7*=h9hoh6=4+3`:95g7>3g9j;7<;;:m2f4>=83.8m54>b0;8j6g02;?07b?m1683>!5f03;i=45a3`5963=5$2c;>4d612d8m:4=7:9l5g72290/?l651c3:?k5f?38376a>b0694?"4i10:n<74n2c4>7?<3f;io7:0`2=>h4i>09n65`1`d5>5<#;h21=o?6;o1b3?4d32e:mhl50;&0e=<6j830b>o8:3f8?j7fmh0;6)=n8;3a5<=i;h=1>h54o00gg?6=,:k36<il50;&0e=<6:l80b>o8:098k44ci3:1(>o7:00f6>h4i>0976a>2e;94?"4i10:>h<4n2c4>6=5$2c;>44b:2d8m:4;;:m26a1=83.8m54>2d08j6g02<10c<6`i6:m>1<7*i<50;&0e=<6:l80b>o8:898k44c93:1(>o7:00f6>h4i>0j76a>2e294?"4i10:>h<4n2c4>g=5$2c;>44b:2d8m:4l;:m26fc=83.8m54>2d08j6g02m10c<6`i6:ji1<7*=9;o97c=n7;32?>i6:j21<7*6`=h9;i>6=4+3`:957c53g9j;7?:;:m26f2=83.8m54>2d08j6g028<07b?=c283>!5f03;9i?5a3`5952=7>5$2c;>44b:2d8m:4>8:9l57e6290/?l6513g1?k5f?3;276a>2b294?"4i10:>h<4n2c4>4g<3f;9nh4?:%1bo7:00f6>h4i>0:o65`13``>5<#;h21=?k=;o1b3?7c32e:>ol50;&0e=<6:l80b>o8:0g8?j75jh0;6)=n8;31a7=i;h=1=k54o00a=?6=,:k36<=9;o97c=n7;02?>i6:k=1<7*6`=h9;o:6=4+3`:957c53g9j;7<:;:m26`6=83.8m54>2d08j6g02;<07b?=dg83>!5f03;9i?5a3`5962=5$2c;>44b:2d8m:4=8:9l57bc290/?l6513g1?k5f?38276a>2e794?"4i10:>h<4n2c4>7g<3f;9ol4?:%1bo7:00f6>h4i>09o65`13`7>5<#;h21=?k=;o1b3?4c32e:>o=50;&0e=<6:l80b>o8:3g8?l77mh0;6)=n8;33b7=i;h=1<65f11g:>5<#;h21==h=;o1b3?7<3`;;i54?:%1b!5f03;;j?5a3`590>=n99o?6=4+3`:955`53g9j;7;4;h33a6<72-9j47??f39m7d1=>21b==k=:18'7d>=99l97c=n7;58?l77m80;6)=n8;33b7=i;h=1465f11g3>5<#;h21==h=;o1b3??<3`;;hk4?:%1b!5f03;;j?5a3`59g>=n99nh6=4+3`:955`53g9j;7j4;h33b4<72-9j47??f39m7d1=m21b==h?:18'7d>=99l97c=n7;d8?l77mo0;6)=n8;33b7=i;h=1==54i02fa?6=,:k36<>i2:l0e2<6921b==kk:18'7d>=99l97c=n7;31?>o68li1<7*j6;29 6g?28:m>6`=n99ni6=4+3`:955`53g9j;7?9;:k24ag=83.8m54>0g08j6g028=07b??ac83>!5f03;;mn5a3`594>=h99ko6=4+3`:955gb3g9j;7>4;h3a66<72-9j47?m259m7d1=821b==j8:188f6c>290:6=4?{%1`5?46i2B8oo5G3b18k77>2900qo=ja;295?6=8r.8o<42;29?xd4mk0;6<;n:183!5d938;m6F:04937<1m3;964d5k2d397>4n9595>hfj3:0blm51:l25g<73-;:o7=?5:l25c<73g;8<7?4n32:>4=i:<;1=6`<0g83?k5fi3;0(>l8:2a3?l75<3:17d=m1;29?l5e:3:17d?=5;29?l5e;3:17d?=8;29?l75;3:17b?>e;29?l5e=3:17d=m4;29?l75k3:17d=m0;29?l75?3:17d?=2;29?l76i3:17d?=9;29?l7593:17b?>d;29?l5fn3:17d=m6;29?ld1290/?l65b49m7d1=821bn94?:%1b5<#;h21n85a3`596>=nj;0;6)=n8;`6?k5f?3907dl>:18'7d>=j<1e?l954:9jf5<72-9j47l:;o1b3?3<3`km6=4+3`:9f0=i;h=1:65fad83>!5f03h>7c=n7;58?lda290/?l65b49m7d1=021bnh4?:%1b5<#;h21n85a3`59e>=njj0;6)=n8;`6?k5f?3h07dlm:18'7d>=j<1e?l95c:9jfd<72-9j47l:;o1b3?b<3`h26=4+3`:9f0=i;h=1i65fb983>!5f03h>7c=n7;d8?ld0290/?l65b49m7d1=9910elj50;&0e=1:9l`f<72-9j47jm;o1b3?6<3fnj6=4+3`:9`g=i;h=1=65`d883>!5f03ni7c=n7;08?jb?290/?l65dc9m7d1=;21dh:4?:%1b5<#;h21ho5a3`591>=hl<0;6)=n8;fa?k5f?3<07bj;:18'7d>=lk1e?l957:9la0<72-9j47jm;o1b3?><3fo?6=4+3`:9`g=i;h=1565`e283>!5f03ni7c=n7;c8?jc5290/?l65dc9m7d1=j21di<4?:%1b5<#;h21ho5a3`59`>=hlo0;6)=n8;fa?k5f?3o07bjj:18'7d>=lk1e?l95f:9l`a<72-9j47jm;o1b3?7732eo?7>5$2c;>ad8j;o1b3?6<3`9=h7>5$2c;>60b3g9j;7?4;h15g?6=,:k36>8j;o1b3?4<3`9=n7>5$2c;>60b3g9j;7=4;h15e?6=,:k36>8j;o1b3?2<3`9=57>5$2c;>60b3g9j;7;4;h158j;o1b3?0<3`9=;7>5$2c;>60b3g9j;794;h151?6=,:k36>8j;o1b3?><3`9=87>5$2c;>60b3g9j;774;h157?6=,:k36>8j;o1b3?g<3`9=>7>5$2c;>60b3g9j;7l4;h155?6=,:k36>8j;o1b3?e<3`9=<7>5$2c;>60b3g9j;7j4;h16b?6=,:k36>8j;o1b3?c<3`9>i7>5$2c;>60b3g9j;7h4;h16`?6=,:k36>8j;o1b3?7732c89n4?:%1b1:9j70g=83.8m54<6d9m7d1=9;10e>;6:18'7d>=;?o0b>o8:018?l5203:1(>o7:24f?k5f?3;?76g<5683>!5f039=i6`=n;<<1<7*5<#;h21?;k4n2c4>41<3`9>87>5$2c;>60b3g9j;7?7;:k016<72-9j47=9e:l0e2<6121b?8<50;&0e=<4>l1e?l951`98m636290/?l6537g8j6g028h07d=;f;29 6g?2:o4h4i>0:h65f35f94?"4i108:h5a3`595`=h6=4+3`:973c8j;o1b3?4732c88l4?:%1b:7:18'7d>=;?o0b>o8:318?l53?3:1(>o7:24f?k5f?38?76g<4783>!5f039=i6`=n;>?1<7*;54i257>5<#;h21?;k4n2c4>71<3`95$2c;>60b3g9j;7<7;:k037<72-9j47=9e:l0e2<5121b?:?50;&0e=<4>l1e?l952`98m617290/?l6537g8j6g02;h07d=96;29 6g?2:o4=k0;6)=n8;15a>h4i>09h65f34294?"4i108:h5a3`596`=>6=4+3`:973c5$2c;>4753g9j;7?4;h324?6=,:k365$2c;>4753g9j;7=4;h33a?6=,:k365$2c;>4753g9j;7;4;h33g?6=,:k365$2c;>4753g9j;794;h33=?6=,:k36<3`;;47>5$2c;>4753g9j;774;h333?6=,:k365$2c;>4753g9j;7l4;h331?6=,:k365$2c;>4753g9j;7j4;h337?6=,:k367>5$2c;>4753g9j;7h4;h335?6=,:k361:9jb`<72-9j47?>2:l0e2<6:21bji4?:%1b3:9jbf<72-9j47?>2:l0e2<6<21bjo4?:%1b5:9jbd<72-9j47?>2:l0e2<6>21bj44?:%1b7:9jb=<72-9j47?>2:l0e2<6021bj:4?:%1b9:9jb3<72-9j47?>2:l0e2<6i21bj84?:%1bb:9jb6<72-9j47?>2:l0e2<6k21bj?4?:%1bd:9jb4<72-9j47?>2:l0e2<6m21bj=4?:%1bf:9jac<72-9j47?>2:l0e2<5821bih4?:%1b2:l0e2<5:21bin4?:%1b2:l0e2<5<21bil4?:%1b139m7d1=:?10e=9880b>o8:358?l76?3:1(>o7:031?k5f?38376g>1783>!5f03;:>6`=n98?1<7*l54i037>5<#;h21=<<4n2c4>7d<3`;;m7>5$2c;>4753g9j;75$2c;>4753g9j;74?:%1b32e8?<4?:%1bk4?:%1bi4?:%1bo4?:%1b0:9l77g=83.8m54<3b9m7d1=9810c><7:18'7d>=;:i0b>o8:008?j55?3:1(>o7:21`?k5f?3;876a<2783>!5f0398o6`=h;;?1<7*5<#;h21?>m4n2c4>40<3f99?7>5$2c;>65d3g9j;7?8;:m067<72-9j47=f;29 6g?2:9h7c=n7;3a?>i49m0;6)=n8;10g>h4i>0:o65`30a94?"4i108?n5a3`595a==l;o1b3?7a32e8=44?:%1b=83.8m54<3b9m7d1=:810c>?8:18'7d>=;:i0b>o8:308?j56>3:1(>o7:21`?k5f?38876a<1483>!5f0398o6`=h;8>1<7*854o260>5<#;h21?>m4n2c4>70<3f9?>7>5$2c;>65d3g9j;7<8;:m004<72-9j47=50;&0e=<4;j1e?l952898k65a290/?l6532a8j6g02;k07b=i4;=0;6)=n8;10g>h4i>09o65`33;94?"4i108?n5a3`596a==l;o1b3?4a32c832c:8>4?:%1b0:9j565=83.8m54>3c9m7d1=9810c>o?:18'7d>=;0l0b>o8:198k6?b290/?l6538d8j6g02810c>7k:18'7d>=;0l0b>o8:398k6?d290/?l6538d8j6g02:10c>7m:18'7d>=;0l0b>o8:598k6?f290/?l6538d8j6g02<10c>76:18'7d>=;0l0b>o8:798k6??290/?l6538d8j6g02>10c>79:18'7d>=;0l0b>o8:998k6?2290/?l6538d8j6g02010c>7;:18'7d>=;0l0b>o8:`98k6?4290/?l6538d8j6g02k10c>7=:18'7d>=;0l0b>o8:b98k6?6290/?l6538d8j6g02m10c>7?:18'7d>=;0l0b>o8:d98k6>a290/?l6538d8j6g02o10c>6j:18'7d>=;0l0b>o8:028?j5?l3:1(>o7:2;e?k5f?3;:76a<8c83>!5f0392j6`=h;1k1<7*54o2::>5<#;h21?4h4n2c4>42<3f9347>5$2c;>6?a3g9j;7?:;:m0<2<72-9j47=6f:l0e2<6>21d?5850;&0e=<41o1e?l951698k6>2290/?l6538d8j6g028207b=74;29 6g?2:3m7c=n7;3:?>i40:0;6)=n8;1:b>h4i>0:m65`39094?"4i1085k5a3`595g=7i;o1b3?7c32e8;h4?:%1bn2d8m:4>e:9l72b=83.8m54<9g9m7d1=9o10c>9l:18'7d>=;0l0b>o8:328?j50j3:1(>o7:2;e?k5f?38:76a<7`83>!5f0392j6`=h;>31<7*>54o25;>5<#;h21?4h4n2c4>72<3f9<;7>5$2c;>6?a3g9j;7<:;:m0e3<72-9j47=6f:l0e2<5>21d?l;50;&0e=<41o1e?l952698k6g3290/?l6538d8j6g02;207b=n3;29 6g?2:3m7c=n7;0:?>i4i;0;6)=n8;1:b>h4i>09m65`3`394?"4i1085k5a3`596g=7i;o1b3?4c32e84<4?:%1bn2d8m:4=e:9l720=83.8m54<9g9m7d1=:o10e4=50;&0e=<>:2d8m:4?;:k:5?6=,:k364<4n2c4>4=h4i>0976g7f;29 6g?2080b>o8:298m=c=83.8m5462:l0e2<332c3h7>5$2c;><46`o?j3:1(>o7:808j6g02>10e4m50;&0e=<>:2d8m:47;:k:f?6=,:k364<4n2c4><=h4i>0j76g69;29 6g?2080b>o8:c98m<>=83.8m5462:l0e25$2c;><46`o>=3:1(>o7:808j6g02o10e4:50;&0e=<>:2d8m:4>0:9j32e9?i4?:%1b0:9l663=83.8m54=499m7d1=9810c?=;:18'7d>=:=20b>o8:008?j44;3:1(>o7:36;?k5f?3;876a=3383>!5f038?46`=h::;1<7*5<#;h21>964n2c4>40<3f89j7>5$2c;>72?3g9j;7?8;:m16`<72-9j47<;8:l0e2<6021d>?j50;&0e=<5<11e?l951898k737290/?l6525:8j6g028k07b<;f;29 6g?2;>37c=n7;3a?>i5h4i>0:o65`25f94?"4i109855a3`595a=h6=4+3`:961>=:=20b>o8:308?j45k3:1(>o7:36;?k5f?38876gl9;29 6g?2j20b>o8:19K7ge<3`i<6=4+3`:9g==i;h=1=6F!5f03i37c=n7;18L6dd32ch87>5$2c;>f>oc:3:1(>o7:b:8j6g0211C?om4;hf2>5<#;h21o55a3`59=>N4jj10ei>50;&0e==nko0;6)=n8;a;?k5f?3h0D>ll;:k`a?6=,:k36n64n2c4>f=O;ki07dmk:18'7d>=k11e?l95d:J0ff=h4i>0n7E=mc:9jgg<72-9j47m7;o1b3?`<@:hh76gla;29 6g?2j20b>o8:028L6dd32ch<7>5$2c;>f>{e;li1<7?:a;294~"4k80924=>l0:>7?>:7a934<6;3;;6995}%124?7e:j1e484?;o:4>4=iik0;7col:09m54d=82.:=n4<049m54`=82d:?=4>;o03=?7h4ih0:7)=m7;1`4>o6:=0;66g>o4j;0;66g>2483>>o4j:0;66g>2983>>o6::0;66a>1d83>>o4j<0;66g>o6:j0;66g>o6:>0;66g>2383>>o69h0;66g>2883>>o6:80;66a>1e83>>o4io0;66g>oe>3:1(>o7:c78j6g02910eo:50;&0e=;:ka7?6=,:k36o;4n2c4>7=h4i>0876gm1;29 6g?2k?0b>o8:598mg6=83.8m54m5:l0e2<232cjj7>5$2c;>g3oen3:1(>o7:c78j6g02110eok50;&0e=d=h4i>0i76gmb;29 6g?2k?0b>o8:b98mgg=83.8m54m5:l0e25$2c;>g3oe?3:1(>o7:c78j6g028:07dok:18'7d>=j<1e?l951098kae=83.8m54kb:l0e2<732eom7>5$2c;>adic03:1(>o7:e`8j6g02:10ci950;&0e=0=h4i>0=76ak4;29 6g?2mh0b>o8:698k`3=83.8m54kb:l0e25$2c;>adib:3:1(>o7:e`8j6g02k10ch?50;&0e=a=h4i>0n76ake;29 6g?2mh0b>o8:g98kab=83.8m54kb:l0e2<6821dh>4?:%1b;:k02c<72-9j47=9e:l0e2<732c8:i4?:%1b;:k02f<72-9j47=9e:l0e2<532c8:o4?:%1bl1e?l951098m63f290/?l6537g8j6g028807d=:9;29 6g?2:o4=10;6)=n8;15a>h4i>0:865f34594?"4i108:h5a3`5950=8j;o1b3?7032c8994?:%1b8:9j705=83.8m54<6d9m7d1=9010e>;=:18'7d>=;?o0b>o8:0c8?l5293:1(>o7:24f?k5f?3;i76g<4g83>!5f039=i6`=n;=o1<7*5<#;h21?;k4n2c4>4c<3`9?o7>5$2c;>60b3g9j;7?i;:k00g<72-9j47=9e:l0e2<5821b?9o50;&0e=<4>l1e?l952098m62>290/?l6537g8j6g02;807d=;8;29 6g?2:o4<>0;6)=n8;15a>h4i>09865f35494?"4i108:h5a3`5960=6=4+3`:973c8j;o1b3?4032c8;>4?:%1b9>:18'7d>=;?o0b>o8:3c8?l5083:1(>o7:24f?k5f?38i76g<6783>!5f039=i6`=n;i54i273>5<#;h21?;k4n2c4>7c<3`9?97>5$2c;>60b3g9j;72:l0e2<732c:=<4?:%1b;:k255<72-9j47?>2:l0e2<532c:2:l0e2<332c:2:l0e2<132c:2:l0e22:l0e22:l0e22:l0e22:l0e2<6821b==>50;&0e=<69;1e?l951098mcc=83.8m54>139m7d1=9;10ekj50;&0e=<69;1e?l951298mce=83.8m54>139m7d1=9=10ekl50;&0e=<69;1e?l951498mcg=83.8m54>139m7d1=9?10ek750;&0e=<69;1e?l951698mc>=83.8m54>139m7d1=9110ek950;&0e=<69;1e?l951898mc0=83.8m54>139m7d1=9h10ek;50;&0e=<69;1e?l951c98mc5=83.8m54>139m7d1=9j10ek<50;&0e=<69;1e?l951e98mc7=83.8m54>139m7d1=9l10ek>50;&0e=<69;1e?l951g98m``=83.8m54>139m7d1=:910ehk50;&0e=<69;1e?l952098m`b=83.8m54>139m7d1=:;10ehm50;&0e=<69;1e?l952298m`d=83.8m54>139m7d1=:=10eho50;&0e=<69;1e?l952498m47>290/?l651008j6g02;<07d?>8;29 6g?28;97c=n7;04?>o69>0;6)=n8;326>h4i>09465f10494?"4i10:=?5a3`596<=6=4+3`:95442:l0e2<5l21bj94?:%1b2:l0e2<5n21d?>j50;&0e=<4;j1e?l950:9l76d=83.8m54<3b9m7d1=921d?>o50;&0e=<4;j1e?l952:9l76?=83.8m54<3b9m7d1=;21d?>650;&0e=<4;j1e?l954:9l761=83.8m54<3b9m7d1==21d?>850;&0e=<4;j1e?l956:9l763=83.8m54<3b9m7d1=?21d?>=50;&0e=<4;j1e?l958:9l764=83.8m54<3b9m7d1=121d?>?50;&0e=<4;j1e?l95a:9l766=83.8m54<3b9m7d1=j21d??h50;&0e=<4;j1e?l95c:9l77c=83.8m54<3b9m7d1=l21d??j50;&0e=<4;j1e?l95e:9l77e=83.8m54<3b9m7d1=n21d??l50;&0e=<4;j1e?l951198k64f290/?l6532a8j6g028;07b==8;29 6g?2:9h7c=n7;31?>i4:>0;6)=n8;10g>h4i>0:?65`33494?"4i108?n5a3`5951=6=4+3`:976e=l;o1b3?7132e8>>4?:%1b7:9l774=83.8m54<3b9m7d1=9110c><>:18'7d>=;:i0b>o8:0;8?j5583:1(>o7:21`?k5f?3;j76a<1g83>!5f0398o6`=h;8n1<7*5<#;h21?>m4n2c4>4b<3f9:n7>5$2c;>65d3g9j;7?j;:m05d<72-9j47=7;29 6g?2:9h7c=n7;01?>i49?0;6)=n8;10g>h4i>09?65`30794?"4i108?n5a3`5961==l;o1b3?4132e88?4?:%1b:?:18'7d>=;:i0b>o8:3;8?j54n3:1(>o7:21`?k5f?38j76a<3d83>!5f0398o6`=h;:>1<7*n54o20:>5<#;h21?>m4n2c4>7b<3f9:i7>5$2c;>65d3g9j;7=83.8m54<0e9m7d1==21b?=950;&0e=<48m1e?l956:9j750=83.8m54<0e9m7d1=?21b=>m50;&0e=<6;k1e?l950:9j56g=83.8m54>3c9m7d1=921b=>750;&0e=<6;k1e?l952:9j56>=83.8m54>3c9m7d1=;21b=>950;&0e=<6;k1e?l954:9j560=83.8m54>3c9m7d1==21b=>;50;&0e=<6;k1e?l956:9j562=83.8m54>3c9m7d1=?21b=9;50;&0e=<6;k1e?l958:9j512=83.8m54>3c9m7d1=121b=9=50;&0e=<6;k1e?l95a:9j514=83.8m54>3c9m7d1=j21b=9?50;&0e=<6;k1e?l95c:9j516=83.8m54>3c9m7d1=l21b=>h50;&0e=<6;k1e?l95e:9j56c=83.8m54>3c9m7d1=n21b=>j50;&0e=<6;k1e?l951198m454290/?l6512`8j6g028;07b=n0;29 6g?2:3m7c=n7;28?j5>m3:1(>o7:2;e?k5f?3;07b=6d;29 6g?2:3m7c=n7;08?j5>k3:1(>o7:2;e?k5f?3907b=6b;29 6g?2:3m7c=n7;68?j5>i3:1(>o7:2;e?k5f?3?07b=69;29 6g?2:3m7c=n7;48?j5>03:1(>o7:2;e?k5f?3=07b=66;29 6g?2:3m7c=n7;:8?j5>=3:1(>o7:2;e?k5f?3307b=64;29 6g?2:3m7c=n7;c8?j5>;3:1(>o7:2;e?k5f?3h07b=62;29 6g?2:3m7c=n7;a8?j5>93:1(>o7:2;e?k5f?3n07b=60;29 6g?2:3m7c=n7;g8?j5?n3:1(>o7:2;e?k5f?3l07b=7e;29 6g?2:3m7c=n7;33?>i40m0;6)=n8;1:b>h4i>0:=65`39`94?"4i1085k5a3`5957=7i;o1b3?7332e8454?:%1bn2d8m:4>5:9l7=1=83.8m54<9g9m7d1=9?10c>69:18'7d>=;0l0b>o8:058?j5?=3:1(>o7:2;e?k5f?3;376a<8583>!5f0392j6`=h;191<7*5<#;h21?4h4n2c4>4d<3f93<7>5$2c;>6?a3g9j;7?l;:m03c<72-9j47=6f:l0e2<6l21d?:k50;&0e=<41o1e?l951d98k61c290/?l6538d8j6g028l07b=8c;29 6g?2:3m7c=n7;03?>i4?k0;6)=n8;1:b>h4i>09=65`36c94?"4i1085k5a3`5967=7i;o1b3?4332e8;:4?:%1bn2d8m:4=5:9l7d0=83.8m54<9g9m7d1=:?10c>o::18'7d>=;0l0b>o8:358?j5f<3:1(>o7:2;e?k5f?38376a!5f0392j6`=h;h81<7*l54o2c2>5<#;h21?4h4n2c4>7d<3f92;7>5$2c;>6?a3g9j;7=1;1e?l950:9j=4<72-9j477=;o1b3?7<3`3;6=4+3`:9=7=i;h=1>65f8g83>!5f03397c=n7;18?l>b290/?l65939m7d1=<21b4i4?:%1b5<#;h215?5a3`592>=n0k0;6)=n8;;1?k5f?3=07d7l:18'7d>=1;1e?l958:9j=g<72-9j477=;o1b3??<3`3j6=4+3`:9=7=i;h=1m65f9883>!5f03397c=n7;`8?l??290/?l65939m7d1=k21b5:4?:%1b5<#;h215?5a3`59a>=n1<0;6)=n8;;1?k5f?3l07d7;:18'7d>=1;1e?l951198m=g=83.8m5462:l0e2<6921d>9750;&0e=<5<11e?l950:9l611=83.8m54=499m7d1=921d>9850;&0e=<5<11e?l952:9l613=83.8m54=499m7d1=;21d>9:50;&0e=<5<11e?l954:9l615=83.8m54=499m7d1==21d>9?50;&0e=<5<11e?l956:9l616=83.8m54=499m7d1=?21d>>h50;&0e=<5<11e?l958:9l66c=83.8m54=499m7d1=121d>>j50;&0e=<5<11e?l95a:9l66e=83.8m54=499m7d1=j21d>>l50;&0e=<5<11e?l95c:9l66g=83.8m54=499m7d1=l21d>>750;&0e=<5<11e?l95e:9l66>=83.8m54=499m7d1=n21d>>850;&0e=<5<11e?l951198k752290/?l6525:8j6g028;07b<<4;29 6g?2;>37c=n7;31?>i5;:0;6)=n8;07<>h4i>0:?65`22094?"4i109855a3`5951=k4?:%1b7:9l67c=83.8m54=499m7d1=9110c?=:=20b>o8:0;8?j4283:1(>o7:36;?k5f?3;j76a=4g83>!5f038?46`=h:=o1<7*5<#;h21>964n2c4>4b<3f8?o7>5$2c;>72?3g9j;7?j;:m10g<72-9j47<;8:l0e2<6n21d>9o50;&0e=<5<11e?l952198k725290/?l6525:8j6g02;;07b<<7;29 6g?2;>37c=n7;01?>i5:j0;6)=n8;07<>h4i>09?65fc883>!5f03i37c=n7;28L6dd32ch;7>5$2c;>f>od;3:1(>o7:b:8j6g02<1C?om4;ha1>5<#;h21o55a3`592>N4jj10en?50;&0e==nl;0;6)=n8;a;?k5f?320D>ll;:kg5?6=,:k36n64n2c4><=O;ki07dj?:18'7d>=k11e?l95a:J0ff=h4i>0i7E=mc:9jg`<72-9j47m7;o1b3?e<@:hh76gld;29 6g?2j20b>o8:e9K7ge<3`ih6=4+3`:9g==i;h=1i6F!5f03i37c=n7;33?M5ek21bo=4?:%1b;I1ag>=zj:oo6=4::183!5d938:96F5<5<m<;%124?7e:j1b=?l50;9j655=831b?o650;9l755=831d>?:50;9~f6ca290?6=4?{%1`5?42:2B8oo5G3b18 67728h9o6g>2c83>>o58:0;66g>i48:0;66sm3g294?5=83:p(>m>:2ab?M5dj2B8o>5+30295g4d3`;9n7>5;h017?6=3f9;?7>5;|`0b4<72<0;6=u+3b39605<@:ii7E=l3:&055<6j;i0e<l7:188k6642900c?<;:188yg5a:3:197>50z&0g4<59<1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~f6`4290>6=4?{%1`5?42;2B8oo5G3b18 67728h9o6g>2c83>>o58:0;66g>i48:0;66a=2583>>{e;o>1<7;50;2x 6e62;?87E=lb:J0g6=#;8:1=o5;h1a5;n010?6=3th8j84?:283>5}#;j;1?no4H2aa?M5d;2.8==4>b3a8m44e2900e?<<:188k6642900qo=i6;297?6=8r.8o<4=109K7fd<@:i87)<=1;08m`>=831b=>?50;9l7dd=831vn>h8:180>5<7s-9h=7<>1:J0gg=O;j90(?<>:39ja=<722c:?<4?::m0eg<722wi?k650;194?6|,:i:6??>;I1`f>N4k:1/>??52:kf5;n1bf?6=3th8j44?:483>5}#;j;1>8=4H2aa?M5d;2.8==4>b3a8m44e2900e?><:188m6d?2900c>><:188k7432900qo=ia;291?6=8r.8o<4=529K7fd<@:i87)=>0;3a6f=n9;h1<75f21194?=n;k21<75`31194?=h:;>1<75rb2da>5<4290;w)=l1;1`e>N4kk1C?n=4$233>4d5k2c:>o4?::k166<722e8<>4?::a7ce=83?1<7>t$2a2>7343A9hn6Fmm;I1`7>"4990:n?m4i00a>5<5<m<;%124?7e:j1b=?l50;9j675=831d?==50;9~f6`a290>6=4?{%1`5?42;2B8oo5G3b18 67728h9o6g>2c83>>o58:0;66g>i48:0;66a=2583>>{e<9:1<7?:a;294~"4k80924=>l0:>7?>:7a934<6;3;;6995}%124?7e:j1e484>;o:4>4=iik0:7col:09m54d=82.:=n4<049m54`=82d:?=4>;o03=?7h4ih0:7)=m7;1`4>o6:=0;66g>o4j;0;66g>2483>>o4j:0;66g>2983>>o6::0;66a>1d83>>o4j<0;66g>o6:j0;66g>o6:>0;66g>2383>>o69h0;66g>2883>>o6:80;66a>1e83>>o4io0;66g>oe>3:1(>o7:c78j6g02910eo:50;&0e=;:ka7?6=,:k36o;4n2c4>7=h4i>0876gm1;29 6g?2k?0b>o8:598mg6=83.8m54m5:l0e2<232cjj7>5$2c;>g3oen3:1(>o7:c78j6g02110eok50;&0e=d=h4i>0i76gmb;29 6g?2k?0b>o8:b98mgg=83.8m54m5:l0e25$2c;>g3oe?3:1(>o7:c78j6g028:07dok:18'7d>=j<1e?l951098kae=83.8m54kb:l0e2<732eom7>5$2c;>adic03:1(>o7:e`8j6g02:10ci950;&0e=0=h4i>0=76ak4;29 6g?2mh0b>o8:698k`3=83.8m54kb:l0e25$2c;>adib:3:1(>o7:e`8j6g02k10ch?50;&0e=a=h4i>0n76ake;29 6g?2mh0b>o8:g98kab=83.8m54kb:l0e2<6821dh>4?:%1b;:k02c<72-9j47=9e:l0e2<732c8:i4?:%1b;:k02f<72-9j47=9e:l0e2<532c8:o4?:%1bl1e?l951098m63f290/?l6537g8j6g028807d=:9;29 6g?2:o4=10;6)=n8;15a>h4i>0:865f34594?"4i108:h5a3`5950=8j;o1b3?7032c8994?:%1b8:9j705=83.8m54<6d9m7d1=9010e>;=:18'7d>=;?o0b>o8:0c8?l5293:1(>o7:24f?k5f?3;i76g<4g83>!5f039=i6`=n;=o1<7*5<#;h21?;k4n2c4>4c<3`9?o7>5$2c;>60b3g9j;7?i;:k00g<72-9j47=9e:l0e2<5821b?9o50;&0e=<4>l1e?l952098m62>290/?l6537g8j6g02;807d=;8;29 6g?2:o4<>0;6)=n8;15a>h4i>09865f35494?"4i108:h5a3`5960=6=4+3`:973c8j;o1b3?4032c8;>4?:%1b9>:18'7d>=;?o0b>o8:3c8?l5083:1(>o7:24f?k5f?38i76g<6783>!5f039=i6`=n;i54i273>5<#;h21?;k4n2c4>7c<3`9?97>5$2c;>60b3g9j;72:l0e2<732c:=<4?:%1b;:k255<72-9j47?>2:l0e2<532c:2:l0e2<332c:2:l0e2<132c:2:l0e22:l0e22:l0e22:l0e22:l0e2<6821b==>50;&0e=<69;1e?l951098mcc=83.8m54>139m7d1=9;10ekj50;&0e=<69;1e?l951298mce=83.8m54>139m7d1=9=10ekl50;&0e=<69;1e?l951498mcg=83.8m54>139m7d1=9?10ek750;&0e=<69;1e?l951698mc>=83.8m54>139m7d1=9110ek950;&0e=<69;1e?l951898mc0=83.8m54>139m7d1=9h10ek;50;&0e=<69;1e?l951c98mc5=83.8m54>139m7d1=9j10ek<50;&0e=<69;1e?l951e98mc7=83.8m54>139m7d1=9l10ek>50;&0e=<69;1e?l951g98m``=83.8m54>139m7d1=:910ehk50;&0e=<69;1e?l952098m`b=83.8m54>139m7d1=:;10ehm50;&0e=<69;1e?l952298m`d=83.8m54>139m7d1=:=10eho50;&0e=<69;1e?l952498m47>290/?l651008j6g02;<07d?>8;29 6g?28;97c=n7;04?>o69>0;6)=n8;326>h4i>09465f10494?"4i10:=?5a3`596<=6=4+3`:95442:l0e2<5l21bj94?:%1b2:l0e2<5n21d?>j50;&0e=<4;j1e?l950:9l76d=83.8m54<3b9m7d1=921d?>o50;&0e=<4;j1e?l952:9l76?=83.8m54<3b9m7d1=;21d?>650;&0e=<4;j1e?l954:9l761=83.8m54<3b9m7d1==21d?>850;&0e=<4;j1e?l956:9l763=83.8m54<3b9m7d1=?21d?>=50;&0e=<4;j1e?l958:9l764=83.8m54<3b9m7d1=121d?>?50;&0e=<4;j1e?l95a:9l766=83.8m54<3b9m7d1=j21d??h50;&0e=<4;j1e?l95c:9l77c=83.8m54<3b9m7d1=l21d??j50;&0e=<4;j1e?l95e:9l77e=83.8m54<3b9m7d1=n21d??l50;&0e=<4;j1e?l951198k64f290/?l6532a8j6g028;07b==8;29 6g?2:9h7c=n7;31?>i4:>0;6)=n8;10g>h4i>0:?65`33494?"4i108?n5a3`5951=6=4+3`:976e=l;o1b3?7132e8>>4?:%1b7:9l774=83.8m54<3b9m7d1=9110c><>:18'7d>=;:i0b>o8:0;8?j5583:1(>o7:21`?k5f?3;j76a<1g83>!5f0398o6`=h;8n1<7*5<#;h21?>m4n2c4>4b<3f9:n7>5$2c;>65d3g9j;7?j;:m05d<72-9j47=7;29 6g?2:9h7c=n7;01?>i49?0;6)=n8;10g>h4i>09?65`30794?"4i108?n5a3`5961==l;o1b3?4132e88?4?:%1b:?:18'7d>=;:i0b>o8:3;8?j54n3:1(>o7:21`?k5f?38j76a<3d83>!5f0398o6`=h;:>1<7*n54o20:>5<#;h21?>m4n2c4>7b<3f9:i7>5$2c;>65d3g9j;7=83.8m54<0e9m7d1==21b?=950;&0e=<48m1e?l956:9j750=83.8m54<0e9m7d1=?21b=>m50;&0e=<6;k1e?l950:9j56g=83.8m54>3c9m7d1=921b=>750;&0e=<6;k1e?l952:9j56>=83.8m54>3c9m7d1=;21b=>950;&0e=<6;k1e?l954:9j560=83.8m54>3c9m7d1==21b=>;50;&0e=<6;k1e?l956:9j562=83.8m54>3c9m7d1=?21b=9;50;&0e=<6;k1e?l958:9j512=83.8m54>3c9m7d1=121b=9=50;&0e=<6;k1e?l95a:9j514=83.8m54>3c9m7d1=j21b=9?50;&0e=<6;k1e?l95c:9j516=83.8m54>3c9m7d1=l21b=>h50;&0e=<6;k1e?l95e:9j56c=83.8m54>3c9m7d1=n21b=>j50;&0e=<6;k1e?l951198m454290/?l6512`8j6g028;07b=n0;29 6g?2:3m7c=n7;28?j5>m3:1(>o7:2;e?k5f?3;07b=6d;29 6g?2:3m7c=n7;08?j5>k3:1(>o7:2;e?k5f?3907b=6b;29 6g?2:3m7c=n7;68?j5>i3:1(>o7:2;e?k5f?3?07b=69;29 6g?2:3m7c=n7;48?j5>03:1(>o7:2;e?k5f?3=07b=66;29 6g?2:3m7c=n7;:8?j5>=3:1(>o7:2;e?k5f?3307b=64;29 6g?2:3m7c=n7;c8?j5>;3:1(>o7:2;e?k5f?3h07b=62;29 6g?2:3m7c=n7;a8?j5>93:1(>o7:2;e?k5f?3n07b=60;29 6g?2:3m7c=n7;g8?j5?n3:1(>o7:2;e?k5f?3l07b=7e;29 6g?2:3m7c=n7;33?>i40m0;6)=n8;1:b>h4i>0:=65`39`94?"4i1085k5a3`5957=7i;o1b3?7332e8454?:%1bn2d8m:4>5:9l7=1=83.8m54<9g9m7d1=9?10c>69:18'7d>=;0l0b>o8:058?j5?=3:1(>o7:2;e?k5f?3;376a<8583>!5f0392j6`=h;191<7*5<#;h21?4h4n2c4>4d<3f93<7>5$2c;>6?a3g9j;7?l;:m03c<72-9j47=6f:l0e2<6l21d?:k50;&0e=<41o1e?l951d98k61c290/?l6538d8j6g028l07b=8c;29 6g?2:3m7c=n7;03?>i4?k0;6)=n8;1:b>h4i>09=65`36c94?"4i1085k5a3`5967=7i;o1b3?4332e8;:4?:%1bn2d8m:4=5:9l7d0=83.8m54<9g9m7d1=:?10c>o::18'7d>=;0l0b>o8:358?j5f<3:1(>o7:2;e?k5f?38376a!5f0392j6`=h;h81<7*l54o2c2>5<#;h21?4h4n2c4>7d<3f92;7>5$2c;>6?a3g9j;7=1;1e?l950:9j=4<72-9j477=;o1b3?7<3`3;6=4+3`:9=7=i;h=1>65f8g83>!5f03397c=n7;18?l>b290/?l65939m7d1=<21b4i4?:%1b5<#;h215?5a3`592>=n0k0;6)=n8;;1?k5f?3=07d7l:18'7d>=1;1e?l958:9j=g<72-9j477=;o1b3??<3`3j6=4+3`:9=7=i;h=1m65f9883>!5f03397c=n7;`8?l??290/?l65939m7d1=k21b5:4?:%1b5<#;h215?5a3`59a>=n1<0;6)=n8;;1?k5f?3l07d7;:18'7d>=1;1e?l951198m=g=83.8m5462:l0e2<6921d>9750;&0e=<5<11e?l950:9l611=83.8m54=499m7d1=921d>9850;&0e=<5<11e?l952:9l613=83.8m54=499m7d1=;21d>9:50;&0e=<5<11e?l954:9l615=83.8m54=499m7d1==21d>9?50;&0e=<5<11e?l956:9l616=83.8m54=499m7d1=?21d>>h50;&0e=<5<11e?l958:9l66c=83.8m54=499m7d1=121d>>j50;&0e=<5<11e?l95a:9l66e=83.8m54=499m7d1=j21d>>l50;&0e=<5<11e?l95c:9l66g=83.8m54=499m7d1=l21d>>750;&0e=<5<11e?l95e:9l66>=83.8m54=499m7d1=n21d>>850;&0e=<5<11e?l951198k752290/?l6525:8j6g028;07b<<4;29 6g?2;>37c=n7;31?>i5;:0;6)=n8;07<>h4i>0:?65`22094?"4i109855a3`5951=k4?:%1b7:9l67c=83.8m54=499m7d1=9110c?=:=20b>o8:0;8?j4283:1(>o7:36;?k5f?3;j76a=4g83>!5f038?46`=h:=o1<7*5<#;h21>964n2c4>4b<3f8?o7>5$2c;>72?3g9j;7?j;:m10g<72-9j47<;8:l0e2<6n21d>9o50;&0e=<5<11e?l952198k725290/?l6525:8j6g02;;07b<<7;29 6g?2;>37c=n7;01?>i5:j0;6)=n8;07<>h4i>09?65fc883>!5f03i37c=n7;28L6dd32ch;7>5$2c;>f>od;3:1(>o7:b:8j6g02<1C?om4;ha1>5<#;h21o55a3`592>N4jj10en?50;&0e==nl;0;6)=n8;a;?k5f?320D>ll;:kg5?6=,:k36n64n2c4><=O;ki07dj?:18'7d>=k11e?l95a:J0ff=h4i>0i7E=mc:9jg`<72-9j47m7;o1b3?e<@:hh76gld;29 6g?2j20b>o8:e9K7ge<3`ih6=4+3`:9g==i;h=1i6F!5f03i37c=n7;33?M5ek21bo=4?:%1b;I1ag>=zj=::6=4>5`83>5}#;j;1>=o4H2aa?M5d;2Pn;7lt80822?152?o1=?4>1;4`>27=9:0:<7:8:|&055<6j;i0b5;51:l;3?7>51:l14<<63g8>=7?4n22e>4=i;hk1=6*1<75f3c394?=n;k81<75f13794?=n;k91<75f13:94?=n9;91<75`10g94?=n;k?1<75f3c694?=n9;i1<75f3c294?=n9;=1<75f13094?=n98k1<75f13;94?=n9;;1<75`10f94?=n;hl1<75f3c494?=nj?0;6)=n8;`6?k5f?3:07dl;:18'7d>=j<1e?l951:9jf6<72-9j47l:;o1b3?4<3`h96=4+3`:9f0=i;h=1?65fb083>!5f03h>7c=n7;68?ld7290/?l65b49m7d1==21bmk4?:%1b5<#;h21n85a3`593>=njo0;6)=n8;`6?k5f?3207dlj:18'7d>=j<1e?l959:9jfa<72-9j47l:;o1b3?g<3`hh6=4+3`:9f0=i;h=1n65fbc83>!5f03h>7c=n7;a8?ldf290/?l65b49m7d1=l21bn44?:%1b5<#;h21n85a3`59b>=nj>0;6)=n8;`6?k5f?3;;76gnd;29 6g?2k?0b>o8:038?jbd290/?l65dc9m7d1=821dhl4?:%1b5<#;h21ho5a3`596>=hl10;6)=n8;fa?k5f?3907bj8:18'7d>=lk1e?l954:9l`3<72-9j47jm;o1b3?3<3fn>6=4+3`:9`g=i;h=1:65`d583>!5f03ni7c=n7;58?jc2290/?l65dc9m7d1=021di94?:%1b5<#;h21ho5a3`59e>=hm;0;6)=n8;fa?k5f?3h07bk>:18'7d>=lk1e?l95c:9la5<72-9j47jm;o1b3?b<3fnm6=4+3`:9`g=i;h=1i65`dd83>!5f03ni7c=n7;d8?jbc290/?l65dc9m7d1=9910ci=50;&0e=1:9j73`=83.8m54<6d9m7d1=821b?;j50;&0e=<4>l1e?l951:9j73e=83.8m54<6d9m7d1=:21b?;l50;&0e=<4>l1e?l953:9j73g=83.8m54<6d9m7d1=<21b?;750;&0e=<4>l1e?l955:9j73>=83.8m54<6d9m7d1=>21b?;950;&0e=<4>l1e?l957:9j733=83.8m54<6d9m7d1=021b?;:50;&0e=<4>l1e?l959:9j735=83.8m54<6d9m7d1=i21b?;<50;&0e=<4>l1e?l95b:9j737=83.8m54<6d9m7d1=k21b?;>50;&0e=<4>l1e?l95d:9j70`=83.8m54<6d9m7d1=m21b?8k50;&0e=<4>l1e?l95f:9j70b=83.8m54<6d9m7d1=9910e>;l:18'7d>=;?o0b>o8:038?l52i3:1(>o7:24f?k5f?3;976g<5883>!5f039=i6`=n;<21<7*5<#;h21?;k4n2c4>43<3`9>:7>5$2c;>60b3g9j;7?9;:k010<72-9j47=9e:l0e2<6?21b?8:50;&0e=<4>l1e?l951998m634290/?l6537g8j6g028307d=:2;29 6g?2:o4=80;6)=n8;15a>h4i>0:n65f35d94?"4i108:h5a3`595f=n6=4+3`:973c8j;o1b3?7b32c88n4?:%1bf:9j71d=83.8m54<6d9m7d1=:910e>:n:18'7d>=;?o0b>o8:338?l5313:1(>o7:24f?k5f?38976g<4983>!5f039=i6`=n;==1<7*954i265>5<#;h21?;k4n2c4>73<3`9<97>5$2c;>60b3g9j;7<9;:k031<72-9j47=9e:l0e2<5?21b?:=50;&0e=<4>l1e?l952998m615290/?l6537g8j6g02;307d=81;29 6g?2:o4?90;6)=n8;15a>h4i>09n65f37494?"4i108:h5a3`596f=8j;o1b3?4b32c8884?:%1b139m7d1=821b=139m7d1=:21b==h50;&0e=<69;1e?l953:9j55c=83.8m54>139m7d1=<21b==j50;&0e=<69;1e?l955:9j55e=83.8m54>139m7d1=>21b==l50;&0e=<69;1e?l957:9j55?=83.8m54>139m7d1=021b==650;&0e=<69;1e?l959:9j551=83.8m54>139m7d1=i21b==850;&0e=<69;1e?l95b:9j553=83.8m54>139m7d1=k21b==:50;&0e=<69;1e?l95d:9j555=83.8m54>139m7d1=m21b==<50;&0e=<69;1e?l95f:9j557=83.8m54>139m7d1=9910e<>?:18'7d>=9880b>o8:038?l`b290/?l651008j6g028807dhk:18'7d>=9880b>o8:018?l`d290/?l651008j6g028>07dhm:18'7d>=9880b>o8:078?l`f290/?l651008j6g028<07dh6:18'7d>=9880b>o8:058?l`?290/?l651008j6g028207dh8:18'7d>=9880b>o8:0;8?l`1290/?l651008j6g028k07dh::18'7d>=9880b>o8:0`8?l`4290/?l651008j6g028i07dh=:18'7d>=9880b>o8:0f8?l`6290/?l651008j6g028o07dh?:18'7d>=9880b>o8:0d8?lca290/?l651008j6g02;:07dkj:18'7d>=9880b>o8:338?lcc290/?l651008j6g02;807dkl:18'7d>=9880b>o8:318?lce290/?l651008j6g02;>07dkn:18'7d>=9880b>o8:378?l7613:1(>o7:031?k5f?38=76g>1983>!5f03;:>6`=n98=1<7*554i035>5<#;h21=<<4n2c4>7?<3`;:97>5$2c;>4753g9j;72:l0e2<5j21b==o50;&0e=<69;1e?l952b98mc`=83.8m54>139m7d1=:m10ek:50;&0e=<69;1e?l952d98m`?=83.8m54>139m7d1=:o10c>=k:18'7d>=;:i0b>o8:198k65e290/?l6532a8j6g02810c>=n:18'7d>=;:i0b>o8:398k65>290/?l6532a8j6g02:10c>=7:18'7d>=;:i0b>o8:598k650290/?l6532a8j6g02<10c>=9:18'7d>=;:i0b>o8:798k652290/?l6532a8j6g02>10c>=<:18'7d>=;:i0b>o8:998k655290/?l6532a8j6g02010c>=>:18'7d>=;:i0b>o8:`98k657290/?l6532a8j6g02k10c>=;:i0b>o8:b98k64b290/?l6532a8j6g02m10c>=;:i0b>o8:d98k64d290/?l6532a8j6g02o10c>=;:i0b>o8:028?j55i3:1(>o7:21`?k5f?3;:76a<2983>!5f0398o6`=h;;=1<7*54o205>5<#;h21?>m4n2c4>42<3f9997>5$2c;>65d3g9j;7?:;:m061<72-9j47=21d??=50;&0e=<4;j1e?l951698k645290/?l6532a8j6g028207b==1;29 6g?2:9h7c=n7;3:?>i4:90;6)=n8;10g>h4i>0:m65`30d94?"4i108?n5a3`595g==l;o1b3?7c32e8=o4?:%1be:9l74g=83.8m54<3b9m7d1=9o10c>?6:18'7d>=;:i0b>o8:328?j5603:1(>o7:21`?k5f?38:76a<1683>!5f0398o6`=h;8<1<7*>54o236>5<#;h21?>m4n2c4>72<3f9:87>5$2c;>65d3g9j;7<:;:m006<72-9j47=21d?9<50;&0e=<4;j1e?l952698k626290/?l6532a8j6g02;207b=;0;29 6g?2:9h7c=n7;0:?>i4;o0;6)=n8;10g>h4i>09m65`32g94?"4i108?n5a3`596g==l;o1b3?4c32e8=h4?:%1b>j:18'7d>=;9n0b>o8:198m66d290/?l6531f8j6g02810e>>m:18'7d>=;9n0b>o8:398m66f290/?l6531f8j6g02:10e>>6:18'7d>=;9n0b>o8:598m66?290/?l6531f8j6g02<10e>>8:18'7d>=;9n0b>o8:798m661290/?l6531f8j6g02>10e<=l:18'7d>=9:h0b>o8:198m45f290/?l6512`8j6g02810e<=6:18'7d>=9:h0b>o8:398m45?290/?l6512`8j6g02:10e<=8:18'7d>=9:h0b>o8:598m451290/?l6512`8j6g02<10e<=::18'7d>=9:h0b>o8:798m453290/?l6512`8j6g02>10e<:::18'7d>=9:h0b>o8:998m423290/?l6512`8j6g02010e<:<:18'7d>=9:h0b>o8:`98m425290/?l6512`8j6g02k10e<:>:18'7d>=9:h0b>o8:b98m427290/?l6512`8j6g02m10e<=i:18'7d>=9:h0b>o8:d98m45b290/?l6512`8j6g02o10e<=k:18'7d>=9:h0b>o8:028?l74;3:1(>o7:01a?k5f?3;:76a!5f0392j6`i41l0;6)=n8;1:b>h4i>0:76a<9e83>!5f0392j6`i41j0;6)=n8;1:b>h4i>0876a<9c83>!5f0392j6`i41h0;6)=n8;1:b>h4i>0>76a<9883>!5f0392j6`i4110;6)=n8;1:b>h4i>0<76a<9783>!5f0392j6`i41<0;6)=n8;1:b>h4i>0276a<9583>!5f0392j6`i41:0;6)=n8;1:b>h4i>0i76a<9383>!5f0392j6`i4180;6)=n8;1:b>h4i>0o76a<9183>!5f0392j6`i40o0;6)=n8;1:b>h4i>0m76a<8d83>!5f0392j6`=h;1n1<7*5<#;h21?4h4n2c4>44<3f93m7>5$2c;>6?a3g9j;7?<;:m0<<<72-9j47=6f:l0e2<6<21d?5650;&0e=<41o1e?l951498k6>0290/?l6538d8j6g028<07b=76;29 6g?2:3m7c=n7;34?>i40<0;6)=n8;1:b>h4i>0:465`39694?"4i1085k5a3`595<=7i;o1b3?7e32e84=4?:%1bn2d8m:4>c:9l72`=83.8m54<9g9m7d1=9m10c>9j:18'7d>=;0l0b>o8:0g8?j50l3:1(>o7:2;e?k5f?3;m76a<7b83>!5f0392j6`=h;>h1<7*<54o25b>5<#;h21?4h4n2c4>74<3f9<57>5$2c;>6?a3g9j;7<<;:m03=<72-9j47=6f:l0e2<5<21d?:950;&0e=<41o1e?l952498k6g1290/?l6538d8j6g02;<07b=n5;29 6g?2:3m7c=n7;04?>i4i=0;6)=n8;1:b>h4i>09465`3`194?"4i1085k5a3`596<=7i;o1b3?4e32e85:4?:%1bn2d8m:4=c:9l7=e=83.8m54<9g9m7d1=:m10c>6>:18'7d>=;0l0b>o8:3g8?j50>3:1(>o7:2;e?k5f?38m76g63;29 6g?2080b>o8:198m<7=83.8m5462:l0e2<632c2<7>5$2c;><46`o?m3:1(>o7:808j6g02=10e5j50;&0e=<>:2d8m:4:;:k;g?6=,:k364<4n2c4>3=h4i>0<76g6c;29 6g?2080b>o8:998m32c2m7>5$2c;><46`o>03:1(>o7:808j6g02j10e4950;&0e=<>:2d8m:4k;:k:2?6=,:k364<4n2c4>`=h4i>0m76g64;29 6g?2080b>o8:028?l>f290/?l65939m7d1=9810c?:6:18'7d>=:=20b>o8:198k720290/?l6525:8j6g02810c?:9:18'7d>=:=20b>o8:398k722290/?l6525:8j6g02:10c?:;:18'7d>=:=20b>o8:598k724290/?l6525:8j6g02<10c?:>:18'7d>=:=20b>o8:798k727290/?l6525:8j6g02>10c?=i:18'7d>=:=20b>o8:998k75b290/?l6525:8j6g02010c?=k:18'7d>=:=20b>o8:`98k75d290/?l6525:8j6g02k10c?=m:18'7d>=:=20b>o8:b98k75f290/?l6525:8j6g02m10c?=6:18'7d>=:=20b>o8:d98k75?290/?l6525:8j6g02o10c?=9:18'7d>=:=20b>o8:028?j44=3:1(>o7:36;?k5f?3;:76a=3583>!5f038?46`=h::91<7*54o311>5<#;h21>964n2c4>42<3f88=7>5$2c;>72?3g9j;7?:;:m175<72-9j47<;8:l0e2<6>21d>?h50;&0e=<5<11e?l951698k74b290/?l6525:8j6g028207b<=d;29 6g?2;>37c=n7;3:?>i5=90;6)=n8;07<>h4i>0:m65`25d94?"4i109855a3`595g=n6=4+3`:961>e:9l61d=83.8m54=499m7d1=9o10c?:n:18'7d>=:=20b>o8:328?j43:3:1(>o7:36;?k5f?38:76a=3683>!5f038?46`=h:;i1<7*>54ib;94?"4i10h46`od>3:1(>o7:b:8j6g02;1C?om4;ha6>5<#;h21o55a3`597>N4jj10en:50;&0e==nk:0;6)=n8;a;?k5f?3?0D>ll;:k`6?6=,:k36n64n2c4>3=O;ki07dm>:18'7d>=k11e?l957:J0ff=h4i>037E=mc:9j`4<72-9j47m7;o1b3??<@:hh76gk0;29 6g?2j20b>o8:`9K7ge<3`im6=4+3`:9g==i;h=1n6F!5f03i37c=n7;f8L6dd32cho7>5$2c;>f>N4jj10en>50;&0e=1:J0ff=;>7>514c94?6|,:i:6?>n;I1`f>N4k:1Qi:4m{93953<0:3;o13b?75<5<5<5<6=44i2`7>5<5<5<5<5<5<h4i>0;76gm4;29 6g?2k?0b>o8:098mg5=83.8m54m5:l0e2<532ci>7>5$2c;>g354ic394?"4i10i96`oe83:1(>o7:c78j6g02<10elh50;&0e=2=h4i>0376gme;29 6g?2k?0b>o8:898mgb=83.8m54m5:l0e25$2c;>g3oei3:1(>o7:c78j6g02m10eo750;&0e=c=h4i>0:<65fae83>!5f03h>7c=n7;32?>ick3:1(>o7:e`8j6g02910cio50;&0e=;:mg=?6=,:k36il4n2c4>7=h4i>0876ak7;29 6g?2mh0b>o8:598ka0=83.8m54kb:l0e2<232eo97>5$2c;>adib=3:1(>o7:e`8j6g02110ch:50;&0e=d=h4i>0i76aj1;29 6g?2mh0b>o8:b98k`6=83.8m54kb:l0e25$2c;>adicl3:1(>o7:e`8j6g028:07bj<:18'7d>=lk1e?l951098m60a290/?l6537g8j6g02910e>8k:18'7d>=;?o0b>o8:098m60d290/?l6537g8j6g02;10e>8m:18'7d>=;?o0b>o8:298m60f290/?l6537g8j6g02=10e>86:18'7d>=;?o0b>o8:498m60?290/?l6537g8j6g02?10e>88:18'7d>=;?o0b>o8:698m602290/?l6537g8j6g02110e>8;:18'7d>=;?o0b>o8:898m604290/?l6537g8j6g02h10e>8=:18'7d>=;?o0b>o8:c98m606290/?l6537g8j6g02j10e>8?:18'7d>=;?o0b>o8:e98m63a290/?l6537g8j6g02l10e>;j:18'7d>=;?o0b>o8:g98m63c290/?l6537g8j6g028:07d=:c;29 6g?2:o4=h0;6)=n8;15a>h4i>0:>65f34;94?"4i108:h5a3`5956=8j;o1b3?7232c89;4?:%1b6:9j703=83.8m54<6d9m7d1=9>10e>;;:18'7d>=;?o0b>o8:0:8?l52;3:1(>o7:24f?k5f?3;276g<5383>!5f039=i6`=n;<;1<7*5<#;h21?;k4n2c4>4e<3`9?i7>5$2c;>60b3g9j;7?k;:k00a<72-9j47=9e:l0e2<6m21b?9m50;&0e=<4>l1e?l951g98m62e290/?l6537g8j6g02;:07d=;a;29 6g?2:o4<00;6)=n8;15a>h4i>09>65f35:94?"4i108:h5a3`5966=<6=4+3`:973c8j;o1b3?4232c8;84?:%1b10e>9<:18'7d>=;?o0b>o8:3:8?l50:3:1(>o7:24f?k5f?38276g<7083>!5f039=i6`=n;>:1<7*o54i245>5<#;h21?;k4n2c4>7e<3`9>n7>5$2c;>60b3g9j;7l1e?l952g98m474290/?l651008j6g02910e:18'7d>=9880b>o8:098m477290/?l651008j6g02;10e<>i:18'7d>=9880b>o8:298m46b290/?l651008j6g02=10e<>k:18'7d>=9880b>o8:498m46d290/?l651008j6g02?10e<>m:18'7d>=9880b>o8:698m46>290/?l651008j6g02110e<>7:18'7d>=9880b>o8:898m460290/?l651008j6g02h10e<>9:18'7d>=9880b>o8:c98m462290/?l651008j6g02j10e<>;:18'7d>=9880b>o8:e98m464290/?l651008j6g02l10e<>=:18'7d>=9880b>o8:g98m466290/?l651008j6g028:07d??0;29 6g?28;97c=n7;32?>oam3:1(>o7:031?k5f?3;976gid;29 6g?28;97c=n7;30?>oak3:1(>o7:031?k5f?3;?76gib;29 6g?28;97c=n7;36?>oai3:1(>o7:031?k5f?3;=76gi9;29 6g?28;97c=n7;34?>oa03:1(>o7:031?k5f?3;376gi7;29 6g?28;97c=n7;3:?>oa>3:1(>o7:031?k5f?3;j76gi5;29 6g?28;97c=n7;3a?>oa;3:1(>o7:031?k5f?3;h76gi2;29 6g?28;97c=n7;3g?>oa93:1(>o7:031?k5f?3;n76gi0;29 6g?28;97c=n7;3e?>obn3:1(>o7:031?k5f?38;76gje;29 6g?28;97c=n7;02?>obl3:1(>o7:031?k5f?38976gjc;29 6g?28;97c=n7;00?>obj3:1(>o7:031?k5f?38?76gja;29 6g?28;97c=n7;06?>o6900;6)=n8;326>h4i>09:65f10:94?"4i10:=?5a3`5962=32c:=84?:%1b139m7d1=:k10e<>n:18'7d>=9880b>o8:3a8?l`a290/?l651008j6g02;n07dh;:18'7d>=9880b>o8:3g8?lc>290/?l651008j6g02;l07b=o7:21`?k5f?3;07b=o7:21`?k5f?3907b=<8;29 6g?2:9h7c=n7;68?j54?3:1(>o7:21`?k5f?3?07b=<6;29 6g?2:9h7c=n7;48?j54=3:1(>o7:21`?k5f?3=07b=<3;29 6g?2:9h7c=n7;:8?j54:3:1(>o7:21`?k5f?3307b=<1;29 6g?2:9h7c=n7;c8?j5483:1(>o7:21`?k5f?3h07b==f;29 6g?2:9h7c=n7;a8?j55m3:1(>o7:21`?k5f?3n07b==d;29 6g?2:9h7c=n7;g8?j55k3:1(>o7:21`?k5f?3l07b==b;29 6g?2:9h7c=n7;33?>i4:h0;6)=n8;10g>h4i>0:=65`33:94?"4i108?n5a3`5957==l;o1b3?7332e8>84?:%1b5:9l772=83.8m54<3b9m7d1=9?10c><<:18'7d>=;:i0b>o8:058?j55:3:1(>o7:21`?k5f?3;376a<2083>!5f0398o6`=h;;:1<7*5<#;h21?>m4n2c4>4d<3f9:h7>5$2c;>65d3g9j;7?l;:m05f<72-9j47=9;29 6g?2:9h7c=n7;03?>i4910;6)=n8;10g>h4i>09=65`30594?"4i108?n5a3`5967==l;o1b3?4332e8=94?:%1b:=:18'7d>=;:i0b>o8:358?j5393:1(>o7:21`?k5f?38376a<4183>!5f0398o6`=h;:l1<7*l54o21f>5<#;h21?>m4n2c4>7d<3f9887>5$2c;>65d3g9j;7o7:22g?k5f?3;07d=?b;29 6g?2::o7c=n7;08?l57i3:1(>o7:22g?k5f?3907d=?9;29 6g?2::o7c=n7;68?l5703:1(>o7:22g?k5f?3?07d=?7;29 6g?2::o7c=n7;48?l57>3:1(>o7:22g?k5f?3=07d?o7:01a?k5f?3;07d?<9;29 6g?289i7c=n7;08?l7403:1(>o7:01a?k5f?3907d?<7;29 6g?289i7c=n7;68?l74>3:1(>o7:01a?k5f?3?07d?<5;29 6g?289i7c=n7;48?l74<3:1(>o7:01a?k5f?3=07d?;5;29 6g?289i7c=n7;:8?l73<3:1(>o7:01a?k5f?3307d?;3;29 6g?289i7c=n7;c8?l73:3:1(>o7:01a?k5f?3h07d?;1;29 6g?289i7c=n7;a8?l7383:1(>o7:01a?k5f?3n07d?o7:01a?k5f?3l07d?o6;:0;6)=n8;30f>h4i>0:=65`3`294?"4i1085k5a3`594>=h;0o1<7*=h;0i1<7*=h;0k1<7*=h;021<7*=h;0?1<7*=h;091<7*=h;0;1<7*=h;1l1<7*7i;o1b3?7532e84l4?:%1bn2d8m:4>3:9l7=?=83.8m54<9g9m7d1=9=10c>67:18'7d>=;0l0b>o8:078?j5??3:1(>o7:2;e?k5f?3;=76a<8783>!5f0392j6`=h;1?1<7*5<#;h21?4h4n2c4>4?<3f93?7>5$2c;>6?a3g9j;7?n;:m0<7<72-9j47=6f:l0e2<6j21d?5>50;&0e=<41o1e?l951b98k61a290/?l6538d8j6g028n07b=8e;29 6g?2:3m7c=n7;3f?>i4?m0;6)=n8;1:b>h4i>0:j65`36a94?"4i1085k5a3`5965=7i;o1b3?4532e8;44?:%1bn2d8m:4=3:9l72>=83.8m54<9g9m7d1=:=10c>98:18'7d>=;0l0b>o8:378?j5f>3:1(>o7:2;e?k5f?38=76a!5f0392j6`=h;h>1<7*554o2c0>5<#;h21?4h4n2c4>7?<3f9j>7>5$2c;>6?a3g9j;7d290/?l6538d8j6g02;n07b=71;29 6g?2:3m7c=n7;0f?>i4??0;6)=n8;1:b>h4i>09j65f9283>!5f03397c=n7;28?l?6290/?l65939m7d1=921b5=4?:%1b5<#;h215?5a3`597>=n0l0;6)=n8;;1?k5f?3>07d6k:18'7d>=1;1e?l955:9j!5f03397c=n7;:8?l?e290/?l65939m7d1=121b5l4?:%1b5<#;h215?5a3`59f>=n110;6)=n8;;1?k5f?3i07d78:18'7d>=1;1e?l95d:9j=3<72-9j477=;o1b3?c<3`3>6=4+3`:9=7=i;h=1j65f9583>!5f03397c=n7;33?>o?i3:1(>o7:808j6g028;07b<;9;29 6g?2;>37c=n7;28?j43?3:1(>o7:36;?k5f?3;07b<;6;29 6g?2;>37c=n7;08?j43=3:1(>o7:36;?k5f?3907b<;4;29 6g?2;>37c=n7;68?j43;3:1(>o7:36;?k5f?3?07b<;1;29 6g?2;>37c=n7;48?j4383:1(>o7:36;?k5f?3=07b<37c=n7;:8?j44m3:1(>o7:36;?k5f?3307b<37c=n7;c8?j44k3:1(>o7:36;?k5f?3h07b<37c=n7;a8?j44i3:1(>o7:36;?k5f?3n07b<<9;29 6g?2;>37c=n7;g8?j4403:1(>o7:36;?k5f?3l07b<<6;29 6g?2;>37c=n7;33?>i5;<0;6)=n8;07<>h4i>0:=65`22694?"4i109855a3`5957=5:9l666=83.8m54=499m7d1=9?10c?=:=20b>o8:058?j45m3:1(>o7:36;?k5f?3;376a=2e83>!5f038?46`=h:<:1<7*5<#;h21>964n2c4>4d<3f8?i7>5$2c;>72?3g9j;7?l;:m10a<72-9j47<;8:l0e2<6l21d>9m50;&0e=<5<11e?l951d98k72e290/?l6525:8j6g028l07b<;a;29 6g?2;>37c=n7;03?>i5<;0;6)=n8;07<>h4i>09=65`22594?"4i109855a3`5967=5<#;h21o55a3`594>N4jj10en950;&0e=;I1ag>=nk?0;6)=n8;a;?k5f?380D>ll;:k`1?6=,:k36n64n2c4>6=O;ki07dm;:18'7d>=k11e?l954:J0ff=h4i>0>7E=mc:9jg7<72-9j47m7;o1b3?0<@:hh76gl1;29 6g?2j20b>o8:69K7ge<3`n96=4+3`:9g==i;h=146F3A9io65fd183>!5f03i37c=n7;c8L6dd32chj7>5$2c;>f>odj3:1(>o7:b:8j6g02o1C?om4;hab>5<#;h21o55a3`5955=O;ki07dm?:18'7d>=k11e?l95109K7ge<3th?<>4?:07b>5<7s-9h=740=?;0=i7?=:0392f<093;86<>5468~ 67728h9o6`75;38j=1=92djn7?4n`a95>h69k0;7)?>c;131>h69o0;7c?<0;38j76>281e>8?51:l04c<63g9jm7?4$2`4>6e73`;987>5;h1a5?6=3`9i>7>5;h311?6=3`9i?7>5;h315;n32a?6=3`9i97>5;h1a0?6=3`;9o7>5;h1a4?6=3`;9;7>5;h316?6=3`;:m7>5;h31=?6=3`;9=7>5;n32`?6=3`9jj7>5;h1a2?6=3`h=6=4+3`:9f0=i;h=1<65fb583>!5f03h>7c=n7;38?ld4290/?l65b49m7d1=:21bn?4?:%1b5<#;h21n85a3`590>=nj90;6)=n8;`6?k5f?3?07doi:18'7d>=j<1e?l956:9je`<72-9j47l:;o1b3?1<3`hm6=4+3`:9f0=i;h=1465fbd83>!5f03h>7c=n7;;8?ldc290/?l65b49m7d1=i21bnn4?:%1b5<#;h21n85a3`59g>=njh0;6)=n8;`6?k5f?3n07dl6:18'7d>=j<1e?l95e:9jf=<72-9j47l:;o1b3?`<3`h<6=4+3`:9f0=i;h=1==54i`f94?"4i10i96`=hlj0;6)=n8;fa?k5f?3:07bjn:18'7d>=lk1e?l951:9l`<<72-9j47jm;o1b3?4<3fn36=4+3`:9`g=i;h=1?65`d683>!5f03ni7c=n7;68?jb1290/?l65dc9m7d1==21dh84?:%1b5<#;h21ho5a3`593>=hm<0;6)=n8;fa?k5f?3207bk;:18'7d>=lk1e?l959:9la6<72-9j47jm;o1b3?g<3fo96=4+3`:9`g=i;h=1n65`e083>!5f03ni7c=n7;a8?jc7290/?l65dc9m7d1=l21dhk4?:%1b5<#;h21ho5a3`59b>=hlm0;6)=n8;fa?k5f?3;;76ak3;29 6g?2mh0b>o8:038?l51n3:1(>o7:24f?k5f?3:07d=9d;29 6g?2:o7:24f?k5f?3807d=9b;29 6g?2:o7:24f?k5f?3>07d=99;29 6g?2:o7:24f?k5f?3<07d=97;29 6g?2:o7:24f?k5f?3207d=94;29 6g?2:o7:24f?k5f?3k07d=92;29 6g?2:o7:24f?k5f?3i07d=90;29 6g?2:o7:24f?k5f?3o07d=:e;29 6g?2:o7:24f?k5f?3;;76g<5b83>!5f039=i6`=n;5<#;h21?;k4n2c4>45<3`9>47>5$2c;>60b3g9j;7?;;:k012<72-9j47=9e:l0e2<6=21b?8850;&0e=<4>l1e?l951798m632290/?l6537g8j6g028=07d=:4;29 6g?2:o4=:0;6)=n8;15a>h4i>0:565f34094?"4i108:h5a3`595d=8j;o1b3?7d32c88h4?:%1bd:9j71b=83.8m54<6d9m7d1=9l10e>:l:18'7d>=;?o0b>o8:0d8?l53j3:1(>o7:24f?k5f?38;76g<4`83>!5f039=i6`=n;=31<7*?54i26;>5<#;h21?;k4n2c4>75<3`9?;7>5$2c;>60b3g9j;7<;;:k003<72-9j47=9e:l0e2<5=21b?:;50;&0e=<4>l1e?l952798m613290/?l6537g8j6g02;=07d=83;29 6g?2:o4?;0;6)=n8;15a>h4i>09565f36394?"4i108:h5a3`596d=8j;o1b3?4d32c89o4?:%1b:::18'7d>=;?o0b>o8:3d8?l76;3:1(>o7:031?k5f?3:07d?>1;29 6g?28;97c=n7;38?l7683:1(>o7:031?k5f?3807d??f;29 6g?28;97c=n7;18?l77m3:1(>o7:031?k5f?3>07d??d;29 6g?28;97c=n7;78?l77k3:1(>o7:031?k5f?3<07d??b;29 6g?28;97c=n7;58?l7713:1(>o7:031?k5f?3207d??8;29 6g?28;97c=n7;;8?l77?3:1(>o7:031?k5f?3k07d??6;29 6g?28;97c=n7;`8?l77=3:1(>o7:031?k5f?3i07d??4;29 6g?28;97c=n7;f8?l77;3:1(>o7:031?k5f?3o07d??2;29 6g?28;97c=n7;d8?l7793:1(>o7:031?k5f?3;;76g>0183>!5f03;:>6`=nnl0;6)=n8;326>h4i>0:>65ffe83>!5f03;:>6`=nnj0;6)=n8;326>h4i>0:865ffc83>!5f03;:>6`=nnh0;6)=n8;326>h4i>0::65ff883>!5f03;:>6`=nn10;6)=n8;326>h4i>0:465ff683>!5f03;:>6`=nn?0;6)=n8;326>h4i>0:m65ff483>!5f03;:>6`=nn:0;6)=n8;326>h4i>0:o65ff383>!5f03;:>6`=nn80;6)=n8;326>h4i>0:i65ff183>!5f03;:>6`=nmo0;6)=n8;326>h4i>09<65fed83>!5f03;:>6`=nmm0;6)=n8;326>h4i>09>65feb83>!5f03;:>6`=nmk0;6)=n8;326>h4i>09865fe`83>!5f03;:>6`=n9831<7*;54i03;>5<#;h21=<<4n2c4>71<3`;:;7>5$2c;>4753g9j;7<7;:k253<72-9j47?>2:l0e2<5121b=<;50;&0e=<69;1e?l952`98m473290/?l651008j6g02;h07d??a;29 6g?28;97c=n7;0`?>oan3:1(>o7:031?k5f?38o76gi4;29 6g?28;97c=n7;0f?>ob13:1(>o7:031?k5f?38m76a<3e83>!5f0398o6`i4;k0;6)=n8;10g>h4i>0:76a<3`83>!5f0398o6`i4;00;6)=n8;10g>h4i>0876a<3983>!5f0398o6`i4;>0;6)=n8;10g>h4i>0>76a<3783>!5f0398o6`i4;<0;6)=n8;10g>h4i>0<76a<3283>!5f0398o6`i4;;0;6)=n8;10g>h4i>0276a<3083>!5f0398o6`i4;90;6)=n8;10g>h4i>0i76a<2g83>!5f0398o6`i4:l0;6)=n8;10g>h4i>0o76a<2e83>!5f0398o6`i4:j0;6)=n8;10g>h4i>0m76a<2c83>!5f0398o6`=h;;k1<7*5<#;h21?>m4n2c4>44<3f99;7>5$2c;>65d3g9j;7?<;:m063<72-9j47=i4:;0;6)=n8;10g>h4i>0:465`33394?"4i108?n5a3`595<==l;o1b3?7e32e8=i4?:%1bc:9l74e=83.8m54<3b9m7d1=9m10c>?m:18'7d>=;:i0b>o8:0g8?j56i3:1(>o7:21`?k5f?3;m76a<1883>!5f0398o6`=h;821<7*<54o234>5<#;h21?>m4n2c4>74<3f9::7>5$2c;>65d3g9j;7<<;:m050<72-9j47=i4<80;6)=n8;10g>h4i>09465`35294?"4i108?n5a3`596<==l;o1b3?4e32e8?94?:%1b?j:18'7d>=;:i0b>o8:3g8?j56;3:1(>o7:21`?k5f?38m76g<0d83>!5f039;h6`o48j0;6)=n8;13`>h4i>0:76g<0c83>!5f039;h6`o48h0;6)=n8;13`>h4i>0876g<0883>!5f039;h6`o4810;6)=n8;13`>h4i>0>76g<0683>!5f039;h6`o48?0;6)=n8;13`>h4i>0<76g>3b83>!5f03;8n6`o6;h0;6)=n8;30f>h4i>0:76g>3883>!5f03;8n6`o6;10;6)=n8;30f>h4i>0876g>3683>!5f03;8n6`o6;?0;6)=n8;30f>h4i>0>76g>3483>!5f03;8n6`o6;=0;6)=n8;30f>h4i>0<76g>4483>!5f03;8n6`o6<=0;6)=n8;30f>h4i>0276g>4283>!5f03;8n6`o6<;0;6)=n8;30f>h4i>0i76g>4083>!5f03;8n6`o6<90;6)=n8;30f>h4i>0o76g>3g83>!5f03;8n6`o6;l0;6)=n8;30f>h4i>0m76g>3e83>!5f03;8n6`=n9:91<7*5<#;h21?4h4n2c4>5=5<#;h21?4h4n2c4>7=54o2;a>5<#;h21?4h4n2c4>1=5<#;h21?4h4n2c4>3=5<#;h21?4h4n2c4>==6=4+3`:97<`5<#;h21?4h4n2c4>d=5<#;h21?4h4n2c4>f=5<#;h21?4h4n2c4>`=5<#;h21?4h4n2c4>46<3f93h7>5$2c;>6?a3g9j;7?>;:m0>290/?l6538d8j6g028>07b=78;29 6g?2:3m7c=n7;36?>i40>0;6)=n8;1:b>h4i>0::65`39494?"4i1085k5a3`5952=6=4+3`:97<`7i;o1b3?7>32e84>4?:%1bn2d8m:4>a:9l7=4=83.8m54<9g9m7d1=9k10c>6?:18'7d>=;0l0b>o8:0a8?j50n3:1(>o7:2;e?k5f?3;o76a<7d83>!5f0392j6`=h;>n1<7*5<#;h21?4h4n2c4>76<3f95$2c;>6?a3g9j;7<>;:m03d<72-9j47=6f:l0e2<5:21d?:750;&0e=<41o1e?l952298k61?290/?l6538d8j6g02;>07b=87;29 6g?2:3m7c=n7;06?>i4i?0;6)=n8;1:b>h4i>09:65`3`794?"4i1085k5a3`5962=7i;o1b3?4>32e8m?4?:%1bn2d8m:4=a:9l7d7=83.8m54<9g9m7d1=:k10c>78:18'7d>=;0l0b>o8:3a8?j5?k3:1(>o7:2;e?k5f?38o76a<8083>!5f0392j6`=h;><1<7*k54i8194?"4i102>6`o>93:1(>o7:808j6g02810e4>50;&0e=<>:2d8m:4=;:k;b?6=,:k364<4n2c4>6=h4i>0?76g7d;29 6g?2080b>o8:498m=e=83.8m5462:l0e2<132c3n7>5$2c;><46`o>j3:1(>o7:808j6g02010e4o50;&0e=<>:2d8m:4n;:k:=?6=,:k364<4n2c4>g=h4i>0h76g67;29 6g?2080b>o8:e98m<0=83.8m5462:l0e25$2c;><46`=n0h0;6)=n8;;1?k5f?3;:76a=4883>!5f038?46`i5<>0;6)=n8;07<>h4i>0:76a=4783>!5f038?46`i5<<0;6)=n8;07<>h4i>0876a=4583>!5f038?46`i5<:0;6)=n8;07<>h4i>0>76a=4083>!5f038?46`i5<90;6)=n8;07<>h4i>0<76a=3g83>!5f038?46`i5;l0;6)=n8;07<>h4i>0276a=3e83>!5f038?46`i5;j0;6)=n8;07<>h4i>0i76a=3c83>!5f038?46`i5;h0;6)=n8;07<>h4i>0o76a=3883>!5f038?46`i5;10;6)=n8;07<>h4i>0m76a=3783>!5f038?46`=h::?1<7*5<#;h21>964n2c4>44<3f88?7>5$2c;>72?3g9j;7?<;:m177<72-9j47<;8:l0e2<6<21d>>?50;&0e=<5<11e?l951498k757290/?l6525:8j6g028<07b<=f;29 6g?2;>37c=n7;34?>i5:l0;6)=n8;07<>h4i>0:465`23f94?"4i109855a3`595<=c:9l61b=83.8m54=499m7d1=9m10c?:l:18'7d>=:=20b>o8:0g8?j43j3:1(>o7:36;?k5f?3;m76a=4`83>!5f038?46`=h:=81<7*<54o314>5<#;h21>964n2c4>74<3f89o7>5$2c;>72?3g9j;7<<;:k`=?6=,:k36n64n2c4>5=O;ki07dm8:18'7d>=k11e?l951:J0ff=h4i>097E=mc:9jg0<72-9j47m7;o1b3?5<@:hh76gl4;29 6g?2j20b>o8:59K7ge<3`i86=4+3`:9g==i;h=196F!5f03i37c=n7;58L6dd32co>7>5$2c;>f>odm3:1(>o7:b:8j6g02j1C?om4;hag>5<#;h21o55a3`59`>N4jj10enm50;&0e==nkk0;6)=n8;a;?k5f?3l0D>ll;:k`e?6=,:k36n64n2c4>46<@:hh76gl0;29 6g?2j20b>o8:038L6dd32wi8=:50;194?6|,:i:6>mn;I1`f>N4k:1/?<>51c0`?l75j3:17d<=3;29?j57;3:17pl;0483>1<729q/?n?52408L6ee3A9h?6*<1182f7e5<5<;:7>55;294~"4k8099>5G3b`8L6e43-9:<7?m2b9j57d=831b>==50;9j7g>=831d?==50;9l672=831vn9>8:186>5<7s-9h=7<>6:J0gg=O;j90(>??:0`1g>"5:80j7dk7:188m4472900e<=>:188m6d?2900c>om:188yg2703:197>50z&0g4<59<1C?nl4H2a0?!5683;i>n5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pl;0883>0<729q/?n?52078L6ee3A9h?6*<1182f7e<,;8:6?5fe983>>o6:90;66g>3083>>o4im0;66a>{e<9k1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl;0c83>0<729q/?n?52078L6ee3A9h?6*<1182f7e<,;8:6?5fe983>>o6:90;66g>3083>>o4im0;66a>{e<9i1<7;50;2x 6e62;;>7E=lb:J0g6=#;8:1=o5<2290;w)=l1;021>N4kk1C?n=4$233>4d5k2.9><4=;hg;>5<5<5<;i7>55;294~"4k809=85G3b`8L6e43-9:<7?m2b9'677=:2cn47>5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`74c<72<0;6=u+3b39643<@:ii7E=l3:&055<6j;i0(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wi8<>50;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~f176290>6=4?{%1`5?46>2B8oo5G3b18m`>=831b=?>50;9j567=831b?o650;9l7dd=831vn9?=:186>5<7s-9h=7<>5:J0gg=O;j90eh650;9j576=831b=>?50;9j7db=831d?ll50;9~f174290>6=4?{%1`5?46=2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::a042=83?1<7>t$2a2>7723A9hn6F=4?::k274<722c8mi4?::m0eg<722wi8<;50;794?6|,:i:6??:;I1`f>N4k:1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3th?=;4?:483>5}#;j;1><;4H2aa?M5d;2.9><4=;hg;>5<5<5<:;7>55;294~"4k809=85G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zj=;36=4::183!5d938:96F>o6:90;66g>3083>>o4im0;66a>{e<831<7;50;2x 6e62;;>7E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xd39h0;64o5638:f~N4k:1/?n?51c3b?_c024;hc1>5<#;h21m>5a3`595>=ni90;6)=n8;c0?k5f?3807d?67;29 6g?283=7c=n7;28?l7>=3:1(>o7:0;5?k5f?3;07d?64;29 6g?283=7c=n7;08?l7>;3:1(>o7:0;5?k5f?3907d?62;29 6g?283=7c=n7;68?l7>93:1(>o7:0;5?k5f?3?07d?60;29 6g?283=7c=n7;48?l7?n3:1(>o7:0;5?k5f?3=07d?7d;29 6g?283=7c=n7;:8?l7?k3:1(>o7:0;5?k5f?3307d?7b;29 6g?283=7c=n7;c8?l7?i3:1(>o7:0;5?k5f?3h07d?79;29 6g?283=7c=n7;a8?l7?03:1(>o7:0;5?k5f?3n07d?77;29 6g?283=7c=n7;g8?l7?>3:1(>o7:0;5?k5f?3l07d?75;29 6g?283=7c=n7;33?>o60=0;6)=n8;3:2>h4i>0:=65f19094?"4i10:5;5a3`5957=>2d8m:4>5:9j52c=83.8m54>979m7d1=9?10e<9k:18'7d>=90<0b>o8:058?l70k3:1(>o7:0;5?k5f?3;376g>7c83>!5f03;2:6`=n9>k1<7*5<#;h21=484n2c4>4d<3`;<;7>5$2c;>4?13g9j;7?l;:k233<72-9j47?66:l0e2<6l21b=:;50;&0e=<61?1e?l951d98m414290/?l651848j6g028l07d?82;29 6g?283=7c=n7;03?>o6?80;6)=n8;3:2>h4i>09=65f16294?"4i10:5;5a3`5967=>2d8m:4=5:9j5979m7d1=:?10e<7l:18'7d>=90<0b>o8:358?l7>j3:1(>o7:0;5?k5f?38376g>9`83>!5f03;2:6`=n9031<7*l54i0;;>5<#;h21=484n2c4>7d<3`;3i7>5$2c;>4?13g9j;7=i01e?l950:9je=<72-9j47o6;o1b3?7<3`k=6=4+3`:9e<=i;h=1>65f3b:94?=n9;:1<75f3c;94?=n9;i1<75`1b;94?"4i10:o55a3`594>=h9j=1<7*=h9j?1<7*=h9j91<7*=h9j;1<7*=h9ko1<7*=h9ki1<7*=h9kk1<7*=h9k21<7*4?:%1b3:9l5g4=83.8m54>c99m7d1=9=10c:18'7d>=9j20b>o8:078?j7e83:1(>o7:0a;?k5f?3;=76a>ag83>!5f03;h46`=h9ho1<7*5<#;h21=n64n2c4>4?<3f;jo7>5$2c;>4e?3g9j;7?n;:m2eg<72-9j47?l8:l0e2<6j21d=l750;&0e=<6k11e?l951b98k4g?290/?l651b:8j6g028n07b?n7;29 6g?28i37c=n7;3f?>i6i<0;6)=n8;3`<>h4i>0:j65`1`694?"4i10:o55a3`5965=c99m7d1=:=10c<7i:18'7d>=9j20b>o8:378?j7dn3:1(>o7:0a;?k5f?38=76a>cd83>!5f03;h46`=h9jn1<7*554o0a`>5<#;h21=n64n2c4>7?<3f;hn7>5$2c;>4e?3g9j;750;&0e=<6k11e?l952b98k4d2290/?l651b:8j6g02;n07b?na;29 6g?28i37c=n7;0f?>i61l0;6)=n8;3`<>h4i>09j65`1g`94?"4i10:jl5a3`594>=h9o31<7*=h9o=1<7*=h9o?1<7*=h9o91<7*=h9o:1<7*=h9lo1<7*=h9li1<7*=h9lk1<7*3:9l5`2=83.8m54>f`9m7d1=9=10c=9ok0b>o8:078?j7b:3:1(>o7:0db?k5f?3;=76a>e083>!5f03;mm6`=h9l:1<7*5<#;h21=ko4n2c4>4?<3f;oi7>5$2c;>4`f3g9j;7?n;:m2`a<72-9j47?ia:l0e2<6j21d=il50;&0e=<6nh1e?l951b98k4bf290/?l651gc8j6g028n07b?k9;29 6g?28lj7c=n7;3f?>i6l>0;6)=n8;3ee>h4i>0:j65`1e494?"4i10:jl5a3`5965=6=4+3`:95cg4?:%1bf`9m7d1=:=10c:18'7d>=9ok0b>o8:378?j4793:1(>o7:0db?k5f?38=76a=0183>!5f03;mm6`=h9ol1<7*554o0df>5<#;h21=ko4n2c4>7?<3f;mh7>5$2c;>4`f3g9j;7i6l90;6)=n8;3ee>h4i>09j65m40`94?7=83:p(>m>:33b?M5dj2B8o>5`20;94?=zj=;h6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm40f94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd39l0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo:>f;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f147290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi8??50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`767<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<9?7>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb507>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e<;?1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl;2783>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg25?3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn9<7:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a07?=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th?>l4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm43f94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd3:l0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo:=f;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f157290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi8>?50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`777<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<8?7>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb517>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e<:?1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl;3783>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg24?3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn9=7:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a06?=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th??l4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm42f94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd3;l0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo:=831b=?>50;9j567=831d?ll50;9~f127290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi89?50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`707<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<??7>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb567>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e<=?1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl;4783>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg23?3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn9:7:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a01?=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th?8l4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4h6=4k:183!5d939ji6F5f8583>>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f12c290o6=4?{%1`5?5fm2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb56f>5N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vn9:i:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f136290o6=4?{%1`5?5fm2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb571>5N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vn9;<:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f132290o6=4?{%1`5?5fm2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb575>5N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vn9;8:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f13>290o6=4?{%1`5?5fm2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb57b>5N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vn9;m:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f13c290o6=4?{%1`5?5fm2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb57f>5N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vn9;i:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f106290o6=4?{%1`5?5fm2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb541>5N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vn98<:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f102290o6=4?{%1`5?5fm2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb545>5N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vn988:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f10>290o6=4?{%1`5?5fm2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb54b>5N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vn98m:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f10c290o6=4?{%1`5?5fm2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb54f>5N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vn98i:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f116290o6=4?{%1`5?5fm2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb551>5N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vn99<:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f112290o6=4?{%1`5?5fm2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb555>5N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vn998:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f11>290o6=4?{%1`5?5fm2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb55b>5N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vn99m:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5<5<h6564=56g>=><5=>n6564=56e>=><5=?;6564=572>=><5=?96564=570>=><5=??6564=576>=><5=?=6564=574>=><5=?36564=57:>=><5=?j6564=57a>=><5=?h6564=57g>=><5=?n6564=57e>=><5=<;6564=542>=><5=<96564=540>=><5==><5=<=6564=544>=><5=<36564=54:>=><5==><5==><5==><5==;6564=552>=><5==96564=550>=><5==?6564=556>=><5===6564=554>=><5==36564=55:>=><5==j6564=55a>=>h6584=56g>=0<5=>n6584=56e>=0<5=?;6584=572>=0<5=?96584=570>=0<5=??6584=576>=0<5=?=6584=574>=0<5=?36584=57:>=0<5=?j6584=57a>=0<5=?h6584=57g>=0<5=?n6584=57e>=0<5=<;6584=542>=0<5=<96584=540>=0<5==0<5=<=6584=544>=0<5=<36584=54:>=0<5==0<5==0<5==0<5==;6584=552>=0<5==96584=550>=0<5==?6584=556>=0<5===6584=554>=0<5==36584=55:>=0<5==j6584=55a>=0h65:4=56g>=2<5=>n65:4=56e>=2<5=?;65:4=572>=2<5=?965:4=570>=2<5=??65:4=576>=2<5=?=65:4=574>=2<5=?365:4=57:>=2<5=?j65:4=57a>=2<5=?h65:4=57g>=2<5=?n65:4=57e>=2<5=<;65:4=542>=2<5=<965:4=540>=2<5==2<5=<=65:4=544>=2<5=<365:4=54:>=2<5==2<5==2<5==2<5==;65:4=552>=2<5==965:4=550>=2<5==?65:4=556>=2<5===65:4=554>=2<5==365:4=55:>=2<5==j65:4=55a>=2;|q1=f4=838pR<7:;<64f?7492wx>4m<:181[7><27?;l4>309~w7?d<3:1>vP>929>020=9:;0q~<6c483>7}Y90801997:012?xu51j<1<75<5sW;2<63;728274=z{;3h47>52z\2?1=>?4}r0:g<<72;qU=5j4=557>4563ty95no50;0xZ4>d34><<7?<1:p6km0;6?uQ19;8910c289:7p}=9bg94?4|V82370:9f;305>{t:0im6=4={_3;3>;3>l0:?<5rs3;g4?6=:rT:4;5247c9567;|q1=a4=838pR<6;;<65f?7492wx>4j<:181[7?:27?::4>309~w7?c<3:1>vP>809>03?=9:;0q~<6d483>7}Y91:01987:012?xu51m<1<75<5sW;52z\23a=:?4}r0:`<<72;qU=:m4=542>4563ty95io50;0xZ41e34>=?7?<1:p6lm0;6?uQ16589107289:7p}=9eg94?4|V8==70::f;305>{t:0nm6=4={_341>;3=k0:?<5rs3;f4?6=:rT:;>5244f9567;|q1=`4=838pR<9>;<664k<:181[70827?9l4>309~w7?b<3:1>vP>6g9>00?=9:;0q~<6e483>7}Y9?o019;::012?xu51l<1<75<5sW;2h63;578274=z{;3n47>52z\2=f=:<<81=>?4}r0:a<<72;qU=4l4=577>4563ty95ho50;0xZ4?f34>>?7?<1:p6mm0;6?uQ19g89137289:7p}=9dg94?4|V82870:;c;305>{t:0om6=4={_34<>;3h6?>8;<67`?47?27?8h4=069>01`=:9=019;?:324?822938;;63;538142=:<<91>=94=577>76034>>971688952158913?2;:<70::9;033>;3=h09<:5244`9651<5=?h6?>8;<66`?47?27?9h4=069>00`=:9=0198?:324?821938;;63;638142=:=94=547>76034>=97168;952158910?2;:<70:99;033>;3>h09<:5247`9651<5=8;<65`?47?27?:h4=069>03`=:9=0199?:324?820938;;63;738142=:<>91>=94=557>76034><97168:952158911?2;:<70:89;033>;3?h09<:5246`9651<5=>h6?>9;<67`?47>27?8h4=079>01`=:9<019;?:325?822938;:63;538143=:<<91>=84=577>76134>>97;3=h09<;5244`9650<5=?h6?>9;<66`?47>27?9h4=079>00`=:9<0198?:325?821938;:63;638143=:=84=547>76134>=97;3>h09<;5247`9650<5=9;<65`?47>27?:h4=079>03`=:9<0199?:325?820938;:63;738143=:<>91>=84=557>76134><97;3?h09<;5246`96504?:23xZd0<5=>h6?>:;<67`?47=27?8h4=049>01`=:9?019;?:326?822938;963;538140=:<<91>=;4=577>76234>>9770::9;031>;3=h09<85244`9653<5=?h6?>:;<66`?47=27?9h4=049>00`=:9?0198?:326?821938;963;638140=:=;4=547>76234>=9770:99;031>;3>h09<85247`9653<5=:;<65`?47=27?:h4=049>03`=:9?0199?:326?820938;963;738140=:<>91>=;4=557>76234><9770:89;031>;3?h09<85246`9653<5==h6??l;|q1=c3=8399wS?=0:?75f<6:9168f;314>;3:90:>=524339576<5=896<94>219>073=9;:019<9:003?825?3;9<63;298265=:<;31=?>4=50b>44734>9n7?=0:?76f<6:9168?j51328914b288;70:=f;314>;3;90:>=524239576<5=996<219>063=9;:019=9:003?824?3;9<63;398265=:<:31=?>4=51b>44734>8n7?=0:?77f<6:9168>j51328915b288;70:;3<90:>=524539576<5=>96<219>013=9;:019:9:003?823?3;9<63;498265=:<=31=?>4=56b>44734>?n7?=0:?73f<59m1v?7i6;2924}Y9;i019?l:d:8917c2l2019?j:d:8917a2l20192l20192l2019=n:d:8915e2l2019=l:d:8915c2l2019=j:d:8915a2l2019:?:d:891262l2019:=:d:891242l2019:;:d:891222l2019:9:d:891202l2019:7:d:8912>2l2019:n:d:8912e2l2019:l:2a5?823l39h:63;4d80g3=:<=l1?n84=573>6e134>>=7=l6:?717<4k?1688=53b4891332:i=70::5;1`2>;3=?08o;5244597f0<5=?36>m9;<66=?5d>27?9l400d=;j<019;l:2a5?822l39h:63;5d80g3=:<6e134>==7=l6:?727<4k?168;=53b4891032:i=70:95;1`2>;3>?08o;5247597f0<5=<36>m9;<65=?5d>27?:l403d=;j<0198l:2a5?821l39h:63;6d80g3=:6e134><=7=l6:?737<4k?168:=53b4891132:i=70:85;1`2>;3??08o;5246597f0<5==36>m9;<64=?5d>27?;l402d=;j<0q~<6f683>7}Y9oh019:m:2ca?xu51o21<75<5sW;m463;4880eg=z{;3mm7>52z\2b2=:<=21?ll4}r0:bg<72;qU=k84=564>6ge3ty95km50;0xZ4`234>?:7=nb:p6<`c2909wS?i4:?700<4ik1v?7ie;296~X6n:1689:53``8yv4>no0;6?uQ1g3891242:ki7p}=a1294?4|V8l;70:;2;1bf>{t:h::6=4={_3fb>;3<808mo5rs3c36?6=:rT:ih5245297dd4?:3y]5`b<5=9m6>om;|q1e52=838pRl>::181[7bj27??i43:1>vP>e`9>06e=;hh0q~7}Y9l3019=m:2ca?xu5i921<75<5sW;n:63;3880eg=z{;k;m7>52z\2a0=:<:21?ll4}r0b4g<72;qU=h:4=514>6ge3ty9m=m50;0xZ4c434>8:7=nb:p6d6c2909wS?j2:?770<4ik1v?o?e;296~X6m8168>:53``8yv4f8o0;6?uQ1d2891542:ki7p}=a0294?4|V8nm70:<2;1bf>{t:h;:6=4={_3ga>;3;808mo5rs3c26?6=:rT:hi5242297dd4?:3y]5ad<5=8m6>om;|q1e42=838pRl?::181[7c127?>i43:1>vP>d69>07e=;hh0q~7}Y9m<0195<5sW;o863;2880eg=z{;k:m7>52z\2`6=:<;21?ll4}r0b5g<72;qU=i<4=504>6ge3ty9m9:7=nb:p6d7c2909wSe;296~X589168?:53``8yv4f9o0;6?uQ1gd891442:ki7p}=a3294?4|V8ln70:=2;1bf>{t:h8:6=4={_3e`>;3:808mo5rs3c16?6=:rT:jn5243297dd>4?:3y]5c4<5=;m6>om;|q1e72=838pRl<::181[7ck27?=i43:1>vP>d19>04e=;hh0q~37|5=;i6??6;<67g?>>34>?o701c=001689k521:8912a213019:i:32;?822832270::0;03<>;3=803563;50814==:<<814452440965><5=?86574=570>76?34>>8766;<660?47027?98479:?710<581168885889>000=:92019;8:9;891302;:370::8;::?822038;463;588;=>;3=009<55244c9<<=:<=64=57a>=?<5=?i6?>7;<66g?>>34>>o700c=001688k521:8913a213019;i:32;?821832270:90;03<>;3>803563;60814==:<5=<86574=540>76?34>=8766;<650?47027?:8479:?720<581168;85889>030=:9201988:9;891002;:370:98;::?821038;463;688;=>;3>009<55247c9<<=:=64=54a>=?<5=7;<65g?>>34>=o703c=00168;k521:8910a2130198i:32;?820832270:80;03<>;3?803563;70814==:<>814452460965><5==86574=550>76?34><8766;<640?47027?;8479:?730<581168:85889>020=:9201998:9;891102;:370:88;::?820038;463;788;=>;3?009<55246c9<<=:<>k1>=64=55a>=?<5==i6?>7;|q1e7>=838p19?l:012?823l38;86s|2`0:>5<5s4>:h7?<1:?70`<58=1v?o=a;296~;39l0:?<5245a9652o4?:3y>04`=9:;019;?:327?xu5i;i1<745634>>=7;3?4>309>005=:9>0q~7}:<;91=>?4=577>7633ty9m>>50;0x9143289:70::2;030>{t:h9:6=4={<611?74927?9;4=059~w7g4:3:1>v3;278274=:<<=1>=:4}r0b76<72;q68?95123891322;:?7p}=a2694?4|5=836<=>;<66=?47<2wx>l=::18182513;8=63;5`8141=z{;k8:7>52z?76d<6;81688652168yv4f;>0;6?u243`9567<5=?h6?>;;|q1e6>=838p195<5s4>9h7?<1:?71g<58=1v?o07`=9:;0198?:327?xu5i:i1<745634>>i7;3>;09<95rs3c0a?6=:r7???4>309>035=:9>0q~7}:<:91=>?4=542>7633ty9m9>50;0x9153289:70:95;030>{t:h>:6=4={<601?74927?:;4=059~w7g3:3:1>v3;378274=:1>=:4}r0b06<72;q68>951238910?2;:?7p}=a5694?4|5=936<=>;<65=?47<2wx>l:::18182413;8=63;668141=z{;k?:7>52z?77d<6;8168;l52168yv4f<>0;6?u242`9567<5=;;|q1e1>=838p19=l:012?821i38;86s|2`6:>5<5s4>8h7?<1:?72`<58=1v?o;a;296~;3;l0:?<5247d965206`=9:;0198k:327?xu5i=i1<745634><=7;3?;09<95rs3c7a?6=:r7?8?4>309>026=:9>0q~7}:<=91=>?4=557>7633ty9m8>50;0x9123289:70:85;030>{t:h?:6=4={<671?74927?;>4=059~w7g2:3:1>v3;478274=:<>=1>=:4}r0b16<72;q689951238911?2;:?7p}=a4694?4|5=>36<=>;<642?47<2wx>l;::18182313;8=63;7`8141=z{;k>:7>52z?70d<6;8168:l52168yv4f=>0;6?u245`9567<5==26?>;;|q1e0>=839:w0:;c;1`3>;3m6>m8;<664?5d?27?9<4004=;j=019;<:2a4?822<39h;63;5480g2=:<<<1?n94=574>6e034>>47=l7:?71<<4k>1688o53b58913e2:i<70::c;1`3>;3=m08o:5244g97f1<5=?m6>m8;<654?5d?27?:<4034=;j=0198<:2a4?821<39h;63;6480g2=:6e034>=47=l7:?72<<4k>168;o53b58910e2:i<70:9c;1`3>;3>m08o:5247g97f1<5=m8;<644?5d?27?;<4024=;j=0199<:2a4?820<39h;63;7480g2=:<><1?n94=554>6e034><47=l7:?73<<4k>168:o53b58911e2:i<70:8c;137>{zj==o6=4::183!5d938::6F5<5<m<;%124?7e:j1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3th?;k4?:483>5}#;j;1>8=4H2aa?M5d;2.8==4>b3a8m44e2900e?><:188m6d?2900c>><:188k7432900qo:70;291?6=8r.8o<4=529K7fd<@:i87)=>0;3a6f=n9;h1<75f21194?=n;k21<75`31194?=h:;>1<75rb5:2>5<4290;w)=l1;1`e>N4kk1C?n=4$233>4d5k2c:>o4?::k166<722e8<>4?::a0=4=83?1<7>t$2a2>7343A9hn6F4?:1y'7f7=;jk0D>mm;I1`7>"4990:n?m4i00a>5<5<387>55;294~"4k8099>5G3b`8L6e43-9:<7?m2b9j57d=831b>==50;9j7g>=831d?==50;9l672=831vn96::187>5<7s-9h=7<:2:J0gg=O;j90(>??:0`1g>o6:k0;66g=0283>>o4j10;66a<0283>>{e<1<1<7=50;2x 6e62:ij7E=lb:J0g6=#;8:1=o5;n137?6=3th?4:4?:483>5}#;j;1>8=4H2aa?M5d;2.8==4>b3a8m44e2900e?><:188m6d?2900c>><:188k7432900qo:78;291?6=8r.8o<4=529K7fd<@:i87)=>0;3a6f=n9;h1<75f21194?=n;k21<75`31194?=h:;>1<75rb5::>5<3290;w)=l1;066>N4kk1C?n=4$233>4d5k2c:>o4?::k146<722c8n54?::m046<722wi85o50;194?6|,:i:6>mn;I1`f>N4k:1/?<>51c0`?l75j3:17d<=3;29?j57;3:17pl;8c83>0<729q/?n?52418L6ee3A9h?6*<1182f7e5<5<m<;%124?7e:j1b=?l50;9j655=831b?o650;9l755=831d>?:50;9~f1>c290?6=4?{%1`5?42:2B8oo5G3b18 67728h9o6g>2c83>>o58:0;66g>i48:0;66sm49g94?5=83:p(>m>:2ab?M5dj2B8o>5+30295g4d3`;9n7>5;h017?6=3f9;?7>5;|`7l7:188k6642900c?<;:188yg2>83:187>50z&0g4<5=;1C?nl4H2a0?!5683;i>n5f13`94?=n:991<75f3c:94?=h;991<75rb5;2>5<4290;w)=l1;1`e>N4kk1C?n=4$233>4d5k2c:>o4?::k166<722e8<>4?::a0<4=83?1<7>t$2a2>7723A9hn6F5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`7=6<72<0;6=u+3b39643<@:ii7E=l3:&164<53`o36=44i003>5<5<m<;%124?7e:j1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3th?584?:483>5}#;j;1><;4H2aa?M5d;2.8==4>b3a8 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::a0<0=83?1<7>t$2a2>7723A9hn6F5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`7=2<72<0;6=u+3b39643<@:ii7E=l3:&055<6j;i0(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wi84650;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~f1?>290>6=4?{%1`5?46=2B8oo5G3b18 67728h9o6*=2081?lc?2900e<ok:188k6ge2900qo:6a;291?6=8r.8o<4=149K7fd<@:i87)=>0;3a6f=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xd31k0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo:6c;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f1?c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi84k50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`7=c<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<j<7>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb5c2>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e6gj8;29?l7583:17d?<1;29?j5fj3:17pl;a283>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg2f<3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn9o::187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a0d0=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th?m:4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm4`c94?5=83:p(>m>:2ab?M5dj2B8o>5+30295g4d3`;9n7>5;h017?6=3f9;?7>5;|`7eg<72:0;6=u+3b397fg<@:ii7E=l3:&055<6j;i0e<><:188yg2fk3:1?7>50z&0g4<4kh1C?nl4H2a0?!5683;i>n5f13`94?=n:;91<75`31194?=zj=ko6=4;:183!5d938>>6F4?::k0f=<722e8<>4?::a0dc=83?1<7>t$2a2>7343A9hn6F0D>mm;I1`7>"4990:n?m4i00a>5<5<m<;%124?7e:j1b=?l50;9j655=831b?o650;9l672=831vn9l>:186>5<7s-9h=7<:3:J0gg=O;j90(>??:0`1g>o6:k0;66g=0283>>o4j10;66a<0283>>i5:=0;66sm4c094?5=83:p(>m>:2ab?M5dj2B8o>5+30295g4d3`;9n7>5;h017?6=3f9;?7>5;|`7f6<72<0;6=u+3b39643<@:ii7E=l3:&164<53`o36=44i003>5<5<m<;%015?45<3290;w)=l1;027>N4kk1C?n=4id:94?=n9;:1<75f12394?=h;hh1<75rb5`5>5<4290;w)=l1;1`e>N4kk1C?n=4$233>4d5k2c:>o4?::k166<722e8<>4?::a0g1=83?1<7>t$2a2>7343A9hn6Fmm;I1`7>"4990:n?m4i00a>5<5<5<i57>55;294~"4k8099>5G3b`8L6e43-9:<7?m2b9j57d=831b>==50;9j7g>=831d?==50;9l672=831vn9ln:186>5<7s-9h=7<:3:J0gg=O;j90(>??:0`1g>o6:k0;66g=0283>>o4j10;66a<0283>>i5:=0;66sm4c`94?3=83:p(>m>:370?M5dj2B8o>5+30295g4d3`;9n7>5;h037?6=3`9i47>5;n137?6=3f8987>5;|`7ff<72<0;6=u+3b39605<@:ii7E=l3:&055<6j;i0e<l7:188k6642900c?<;:188yg2el3:197>50z&0g4<5=:1C?nl4H2a0?!5683;i>n5f13`94?=n:991<75f3c:94?=h;991<75`23694?=zj=hn6=4::183!5d938:96F5<5<m<;%124?7e:j1/>??5a:kf5;h305?6=3`9i47>5;n1bf?6=3th?o=4?:283>5}#;j;1?no4H2aa?M5d;2.8==4>b3a8m44e2900e?<<:188k6642900qo:l1;291?6=8r.8o<4=529K7fd<@:i87)=>0;3a6f=n9;h1<75f21194?=n;k21<75`31194?=h:;>1<75rb5a1>5<2290;w)=l1;067>N4kk1C?n=4$233>4d5k2c:>o4?::k146<722c8n54?::m046<722e9>94?::a0f5=83?1<7>t$2a2>7343A9hn6Fmm;I1`7>"4990:n?m4i00a>5<5<5<h97>55;294~"4k8099>5G3b`8L6e43-9:<7?m2b9j57d=831b>==50;9j7g>=831d?==50;9l672=831vn9m9:186>5<7s-9h=7<:3:J0gg=O;j90(>??:0`1g>o6:k0;66g=0283>>o4j10;66a<0283>>i5:=0;66sm4b594?3=83:p(>m>:336?M5dj2B8o>5+30295g4d3-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zj=i36=4::183!5d938::6F5<5<m<;%124?7e:j1b=?l50;9j675=831d?==50;9~f1ef29086=4?{%1`5?5di2B8oo5G3b18 67728h9o6g>2c83>>o5::0;66a<0283>>{e5;h1a5;|`7gf<72<0;6=u+3b39605<@:ii7E=l3:&055<6j;i0e<l7:188k6642900c?<;:188yg2dl3:187>50z&0g4<5==1C?nl4H2a0?!5683;i>n5f13`94?=n:991<75f3c:94?=h:;>1<75rb5af>5<4290;w)=l1;1`e>N4kk1C?n=4$233>4d5k2c:>o4?::k166<722e8<>4?::a0f`=8391<7>t$2a2>6ef3A9hn6Fmm;I1`7>"4990:n?m4i00a>5<5<5<o=7>54;294~"4k809995G3b`8L6e43-9:<7?m2b9j57d=831b>==50;9j7g>=831d>?:50;9~f1b5290>6=4?{%1`5?42;2B8oo5G3b18 67728h9o6g>2c83>>o58:0;66g>i48:0;66a=2583>>{e5;n137?6=3th?h94?:583>5}#;j;1>8<4H2aa?M5d;2.8==4>b3a8m44e2900e?><:188m6d?2900c>><:188yg2c=3:197>50z&0g4<5=:1C?nl4H2a0?!5683;i>n5f13`94?=n:991<75f3c:94?=h;991<75`23694?=zj=n=6=4<:183!5d939hm6F>4?::m046<722wi8i950;694?6|,:i:6??<;I1`f>N4k:1bi54?::k265<722c:?<4?::m0eg<722wi8i650;794?6|,:i:6?;<;I1`f>N4k:1/?<>51c0`?l75j3:17d6<729q/?n?53bc8L6ee3A9h?6*<1182f7e5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm4ea94?3=83:p(>m>:336?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pl;de83>43f290;w)=l1;03e>N4kk1C?n=4Zd59f~>628<1;?49e;31>47=>j0<=7?<:02902h48o0:7c=na;38 6d02:i;7d?=4;29?l5e93:17d=m2;29?l75=3:17d=m3;29?l7503:17d?=3;29?j76m3:17d=m5;29?l5e<3:17d?=c;29?l5e83:17d?=7;29?l75:3:17d?>a;29?l7513:17d?=1;29?j76l3:17d=nf;29?l5e>3:17dl9:18'7d>=j<1e?l950:9jf1<72-9j47l:;o1b3?7<3`h86=4+3`:9f0=i;h=1>65fb383>!5f03h>7c=n7;18?ld6290/?l65b49m7d1=<21bn=4?:%1b5<#;h21n85a3`592>=nil0;6)=n8;`6?k5f?3=07dli:18'7d>=j<1e?l958:9jf`<72-9j47l:;o1b3??<3`ho6=4+3`:9f0=i;h=1m65fbb83>!5f03h>7c=n7;`8?lde290/?l65b49m7d1=k21bnl4?:%1b5<#;h21n85a3`59a>=nj10;6)=n8;`6?k5f?3l07dl8:18'7d>=j<1e?l951198mdb=83.8m54m5:l0e2<6921dhn4?:%1b4;nfb>5<#;h21ho5a3`595>=hl00;6)=n8;fa?k5f?3807bj7:18'7d>=lk1e?l953:9l`2<72-9j47jm;o1b3?2<3fn=6=4+3`:9`g=i;h=1965`d483>!5f03ni7c=n7;48?jb3290/?l65dc9m7d1=?21di84?:%1b5<#;h21ho5a3`59=>=hm:0;6)=n8;fa?k5f?3k07bk=:18'7d>=lk1e?l95b:9la4<72-9j47jm;o1b3?e<3fo;6=4+3`:9`g=i;h=1h65`dg83>!5f03ni7c=n7;g8?jbb290/?l65dc9m7d1=n21dhi4?:%1b47<3`9=j7>5$2c;>60b3g9j;7>4;h15`?6=,:k36>8j;o1b3?7<3`9=o7>5$2c;>60b3g9j;7<4;h15f?6=,:k36>8j;o1b3?5<3`9=m7>5$2c;>60b3g9j;7:4;h15=?6=,:k36>8j;o1b3?3<3`9=47>5$2c;>60b3g9j;784;h153?6=,:k36>8j;o1b3?1<3`9=97>5$2c;>60b3g9j;764;h150?6=,:k36>8j;o1b3??<3`9=?7>5$2c;>60b3g9j;7o4;h156?6=,:k36>8j;o1b3?d<3`9==7>5$2c;>60b3g9j;7m4;h154?6=,:k36>8j;o1b3?b<3`9>j7>5$2c;>60b3g9j;7k4;h16a?6=,:k36>8j;o1b3?`<3`9>h7>5$2c;>60b3g9j;7??;:k01f<72-9j47=9e:l0e2<6921b?8o50;&0e=<4>l1e?l951398m63>290/?l6537g8j6g028907d=:8;29 6g?2:o4=>0;6)=n8;15a>h4i>0:965f34494?"4i108:h5a3`5953=6=4+3`:973c8j;o1b3?7?32c89>4?:%1b9:9j704=83.8m54<6d9m7d1=9h10e>;>:18'7d>=;?o0b>o8:0`8?l53n3:1(>o7:24f?k5f?3;h76g<4d83>!5f039=i6`=n;=n1<7*5<#;h21?;k4n2c4>4`<3`9?n7>5$2c;>60b3g9j;7l1e?l952398m62?290/?l6537g8j6g02;907d=;7;29 6g?2:o4h4i>09965f36794?"4i108:h5a3`5963=8j;o1b3?4?32c8;?4?:%1b9?:18'7d>=;?o0b>o8:3`8?l51>3:1(>o7:24f?k5f?38h76g<5c83>!5f039=i6`=n;<:1<7*h54i266>5<#;h21?;k4n2c4>7`<3`;:?7>5$2c;>4753g9j;7>4;h325?6=,:k365$2c;>4753g9j;7<4;h33b?6=,:k365$2c;>4753g9j;7:4;h33`?6=,:k365$2c;>4753g9j;784;h33f?6=,:k365$2c;>4753g9j;764;h335$2c;>4753g9j;7o4;h332?6=,:k365$2c;>4753g9j;7m4;h330?6=,:k365$2c;>4753g9j;7k4;h336?6=,:k365$2c;>4753g9j;7??;:k245<72-9j47?>2:l0e2<6921bjh4?:%1b2:9jba<72-9j47?>2:l0e2<6;21bjn4?:%1b4:9jbg<72-9j47?>2:l0e2<6=21bjl4?:%1b6:9jb<<72-9j47?>2:l0e2<6?21bj54?:%1b8:9jb2<72-9j47?>2:l0e2<6121bj;4?:%1ba:9jb0<72-9j47?>2:l0e2<6j21bj>4?:%1bc:9jb7<72-9j47?>2:l0e2<6l21bj<4?:%1be:9jb5<72-9j47?>2:l0e2<6n21bik4?:%1b2:l0e2<5921bii4?:%1b2:l0e2<5;21bio4?:%1b2:l0e2<5=21b=<750;&0e=<69;1e?l952798m47?290/?l651008j6g02;=07d?>7;29 6g?28;97c=n7;0;?>o69?0;6)=n8;326>h4i>09565f10794?"4i10:=?5a3`596d=5$2c;>4753g9j;75$2c;>4753g9j;7;:m07d<72-9j47=h4?:%1bn4?:%1bi4:?0;6)=n8;10g>h4i>0:865`33794?"4i108?n5a3`5950==l;o1b3?7032e8>?4?:%1b8:9l777=83.8m54<3b9m7d1=9010c>=;:i0b>o8:0c8?j56n3:1(>o7:21`?k5f?3;i76a<1e83>!5f0398o6`=h;8i1<7*5<#;h21?>m4n2c4>4c<3f9:m7>5$2c;>65d3g9j;7?i;:m05<<72-9j47=6;29 6g?2:9h7c=n7;00?>i49<0;6)=n8;10g>h4i>09865`30694?"4i108?n5a3`5960=86=4+3`:976e=l;o1b3?4032e88<4?:%1b=i:18'7d>=;:i0b>o8:3c8?j54m3:1(>o7:21`?k5f?38i76a<3583>!5f0398o6`=h;;31<7*i54o23f>5<#;h21?>m4n2c4>7c<3f9:?7>5$2c;>65d3g9j;7;:k04g<72-9j47=?d:l0e2<532c8;:k27<<72-9j47?=50;&0e=<6;k1e?l951098k6g7290/?l6538d8j6g02910c>7j:18'7d>=;0l0b>o8:098k6?c290/?l6538d8j6g02;10c>7l:18'7d>=;0l0b>o8:298k6?e290/?l6538d8j6g02=10c>7n:18'7d>=;0l0b>o8:498k6?>290/?l6538d8j6g02?10c>77:18'7d>=;0l0b>o8:698k6?1290/?l6538d8j6g02110c>7::18'7d>=;0l0b>o8:898k6?3290/?l6538d8j6g02h10c>7<:18'7d>=;0l0b>o8:c98k6?5290/?l6538d8j6g02j10c>7>:18'7d>=;0l0b>o8:e98k6?7290/?l6538d8j6g02l10c>6i:18'7d>=;0l0b>o8:g98k6>b290/?l6538d8j6g028:07b=7d;29 6g?2:3m7c=n7;32?>i40k0;6)=n8;1:b>h4i>0:>65`39c94?"4i1085k5a3`5956=7i;o1b3?7232e84:4?:%1bn2d8m:4>6:9l7=0=83.8m54<9g9m7d1=9>10c>6::18'7d>=;0l0b>o8:0:8?j5?<3:1(>o7:2;e?k5f?3;276a<8283>!5f0392j6`=h;181<7*5<#;h21?4h4n2c4>4e<3f95$2c;>6?a3g9j;7?k;:m03`<72-9j47=6f:l0e2<6m21d?:j50;&0e=<41o1e?l951g98k61d290/?l6538d8j6g02;:07b=8b;29 6g?2:3m7c=n7;02?>i4?h0;6)=n8;1:b>h4i>09>65`36;94?"4i1085k5a3`5966=7i;o1b3?4232e8m;4?:%1bn2d8m:4=6:9l7d3=83.8m54<9g9m7d1=:>10c>o;:18'7d>=;0l0b>o8:3:8?j5f;3:1(>o7:2;e?k5f?38276a!5f0392j6`=h;h;1<7*o54o2;4>5<#;h21?4h4n2c4>7e<3f93o7>5$2c;>6?a3g9j;75$2c;><46`o?n3:1(>o7:808j6g02:10e5k50;&0e=<>:2d8m:4;;:k;`?6=,:k364<4n2c4>0=h4i>0=76g7b;29 6g?2080b>o8:698m5$2c;><46`o>13:1(>o7:808j6g02k10e4650;&0e=<>:2d8m:4l;:k:3?6=,:k364<4n2c4>a=h4i>0n76g65;29 6g?2080b>o8:g98m<2=83.8m5462:l0e2<6821b4l4?:%1b;:m10<<72-9j47<;8:l0e2<732e98:4?:%1b;:m103<72-9j47<;8:l0e2<532e9884?:%1b4?:%1b>;50;&0e=<5<11e?l951098k753290/?l6525:8j6g028807b<<3;29 6g?2;>37c=n7;30?>i5;;0;6)=n8;07<>h4i>0:865`22394?"4i109855a3`5950=h4?:%1b8:9l67b=83.8m54=499m7d1=9010c?;?:18'7d>=:=20b>o8:0c8?j43n3:1(>o7:36;?k5f?3;i76a=4d83>!5f038?46`=h:=n1<7*5<#;h21>964n2c4>4c<3f8?n7>5$2c;>72?3g9j;7?i;:m10d<72-9j47<;8:l0e2<5821d>9<50;&0e=<5<11e?l952098k750290/?l6525:8j6g02;807b<=c;29 6g?2;>37c=n7;00?>od13:1(>o7:b:8j6g0291C?om4;ha4>5<#;h21o55a3`595>N4jj10en850;&0e==nk<0;6)=n8;a;?k5f?390D>ll;:k`0?6=,:k36n64n2c4>1=O;ki07dm<:18'7d>=k11e?l955:J0ff=h4i>0=7E=mc:9jg4<72-9j47m7;o1b3?1<@:hh76gk2;29 6g?2j20b>o8:99K7ge<3`n:6=4+3`:9g==i;h=156F!5f03i37c=n7;`8L6dd32chi7>5$2c;>f>odi3:1(>o7:b:8j6g028:0D>ll;:k`4?6=,:k36n64n2c4>47<@:hh76sm4eg94?72i3:1m<;[g4>g}?93;=6:<56d826?762?i1;<4>3;33>11=u-9:<7?m2b9m<0<73g2<6<5aac82?kgd281e=4=i;9l1=6`2583>>o4j80;66g>o6:<0;66g>o6:10;66g>2283>>i69l0;66g>o4j=0;66g>2b83>>o4j90;66g>2683>>o6:;0;66g>1`83>>o6:00;66g>2083>>i69m0;66g>o4j?0;66gm6;29 6g?2k?0b>o8:198mg2=83.8m54m5:l0e2<632ci?7>5$2c;>g3oe93:1(>o7:c78j6g02=10eo>50;&0e=3=h4i>0<76gmf;29 6g?2k?0b>o8:998mgc=83.8m54m5:l0e2<>32cih7>5$2c;>g3oej3:1(>o7:c78j6g02j10eoo50;&0e=`=h4i>0m76gm7;29 6g?2k?0b>o8:028?lgc290/?l65b49m7d1=9810cim50;&0e=4=h4i>0976ak8;29 6g?2mh0b>o8:298ka1=83.8m54kb:l0e2<332eo:7>5$2c;>adic<3:1(>o7:e`8j6g02>10ch;50;&0e=<=h4i>0j76aj2;29 6g?2mh0b>o8:c98k`7=83.8m54kb:l0e25$2c;>adicm3:1(>o7:e`8j6g02o10cij50;&0e=0:9l`6<72-9j47jm;o1b3?7632c8:k4?:%1b32c8:>4?:%1b0:9j70e=83.8m54<6d9m7d1=9810e>;n:18'7d>=;?o0b>o8:008?l5213:1(>o7:24f?k5f?3;876g<5983>!5f039=i6`=n;<=1<7*5<#;h21?;k4n2c4>40<3`9>97>5$2c;>60b3g9j;7?8;:k011<72-9j47=9e:l0e2<6021b?8=50;&0e=<4>l1e?l951898m635290/?l6537g8j6g028k07d=:1;29 6g?2:o4h4i>0:o65f35g94?"4i108:h5a3`595a=o6=4+3`:973c8j;o1b3?7a32c88o4?:%1b:6:18'7d>=;?o0b>o8:308?l5303:1(>o7:24f?k5f?38876g<4683>!5f039=i6`=n;=<1<7*854i256>5<#;h21?;k4n2c4>70<3`9<87>5$2c;>60b3g9j;7<8;:k036<72-9j47=9e:l0e2<5021b?:<50;&0e=<4>l1e?l952898m616290/?l6537g8j6g02;k07d=80;29 6g?2:o4>?0;6)=n8;15a>h4i>09o65f34`94?"4i108:h5a3`596a=8j;o1b3?4a32c:=>4?:%1b2:l0e2<632c:==4?:%1b2:l0e2<432c:2:l0e2<232c:2:l0e2<032c:<44?:%1b2:l0e2<>32c:<:4?:%1b2:l0e22:l0e24?:%1b2:l0e20:9j556=83.8m54>139m7d1=9810ekk50;&0e=<69;1e?l951398mcb=83.8m54>139m7d1=9:10ekm50;&0e=<69;1e?l951598mcd=83.8m54>139m7d1=9<10eko50;&0e=<69;1e?l951798mc?=83.8m54>139m7d1=9>10ek650;&0e=<69;1e?l951998mc1=83.8m54>139m7d1=9010ek850;&0e=<69;1e?l951`98mc3=83.8m54>139m7d1=9k10ek=50;&0e=<69;1e?l951b98mc4=83.8m54>139m7d1=9m10ek?50;&0e=<69;1e?l951d98mc6=83.8m54>139m7d1=9o10ehh50;&0e=<69;1e?l952198m`c=83.8m54>139m7d1=:810ehj50;&0e=<69;1e?l952398m`e=83.8m54>139m7d1=::10ehl50;&0e=<69;1e?l952598m`g=83.8m54>139m7d1=:<10e=9880b>o8:348?l7603:1(>o7:031?k5f?38<76g>1683>!5f03;:>6`=n98<1<7*454i036>5<#;h21=<<4n2c4>7g<3`;:87>5$2c;>4753g9j;72:l0e2<5k21bjk4?:%1b2:l0e2<5m21bi44?:%1bl50;&0e=<4;j1e?l951:9l76g=83.8m54<3b9m7d1=:21d?>750;&0e=<4;j1e?l953:9l76>=83.8m54<3b9m7d1=<21d?>950;&0e=<4;j1e?l955:9l760=83.8m54<3b9m7d1=>21d?>;50;&0e=<4;j1e?l957:9l765=83.8m54<3b9m7d1=021d?><50;&0e=<4;j1e?l959:9l767=83.8m54<3b9m7d1=i21d?>>50;&0e=<4;j1e?l95b:9l77`=83.8m54<3b9m7d1=k21d??k50;&0e=<4;j1e?l95d:9l77b=83.8m54<3b9m7d1=m21d??m50;&0e=<4;j1e?l95f:9l77d=83.8m54<3b9m7d1=9910c>=;:i0b>o8:038?j5503:1(>o7:21`?k5f?3;976a<2683>!5f0398o6`=h;;<1<7*5<#;h21?>m4n2c4>43<3f9987>5$2c;>65d3g9j;7?9;:m066<72-9j47=i49o0;6)=n8;10g>h4i>0:n65`30f94?"4i108?n5a3`595f==l;o1b3?7b32e8=l4?:%1bf:9l74?=83.8m54<3b9m7d1=:910c>?7:18'7d>=;:i0b>o8:338?j56?3:1(>o7:21`?k5f?38976a<1783>!5f0398o6`=h;8?1<7*954o237>5<#;h21?>m4n2c4>73<3f9??7>5$2c;>65d3g9j;7<9;:m007<72-9j47=i4;l0;6)=n8;10g>h4i>09n65`32694?"4i108?n5a3`596f==l;o1b3?4b32e8=>4?:%1b21b?=850;&0e=<48m1e?l957:9j56e=83.8m54>3c9m7d1=821b=>o50;&0e=<6;k1e?l951:9j56?=83.8m54>3c9m7d1=:21b=>650;&0e=<6;k1e?l953:9j561=83.8m54>3c9m7d1=<21b=>850;&0e=<6;k1e?l955:9j563=83.8m54>3c9m7d1=>21b=>:50;&0e=<6;k1e?l957:9j513=83.8m54>3c9m7d1=021b=9:50;&0e=<6;k1e?l959:9j515=83.8m54>3c9m7d1=i21b=9<50;&0e=<6;k1e?l95b:9j517=83.8m54>3c9m7d1=k21b=9>50;&0e=<6;k1e?l95d:9j56`=83.8m54>3c9m7d1=m21b=>k50;&0e=<6;k1e?l95f:9j56b=83.8m54>3c9m7d1=9910e<=<:18'7d>=9:h0b>o8:038?j5f83:1(>o7:2;e?k5f?3:07b=6e;29 6g?2:3m7c=n7;38?j5>l3:1(>o7:2;e?k5f?3807b=6c;29 6g?2:3m7c=n7;18?j5>j3:1(>o7:2;e?k5f?3>07b=6a;29 6g?2:3m7c=n7;78?j5>13:1(>o7:2;e?k5f?3<07b=68;29 6g?2:3m7c=n7;58?j5>>3:1(>o7:2;e?k5f?3207b=65;29 6g?2:3m7c=n7;;8?j5><3:1(>o7:2;e?k5f?3k07b=63;29 6g?2:3m7c=n7;`8?j5>:3:1(>o7:2;e?k5f?3i07b=61;29 6g?2:3m7c=n7;f8?j5>83:1(>o7:2;e?k5f?3o07b=7f;29 6g?2:3m7c=n7;d8?j5?m3:1(>o7:2;e?k5f?3;;76a<8e83>!5f0392j6`=h;1h1<7*5<#;h21?4h4n2c4>45<3f9357>5$2c;>6?a3g9j;7?;;:m0<=<72-9j47=6f:l0e2<6=21d?5950;&0e=<41o1e?l951798k6>1290/?l6538d8j6g028=07b=75;29 6g?2:3m7c=n7;3;?>i40=0;6)=n8;1:b>h4i>0:565`39194?"4i1085k5a3`595d=7i;o1b3?7d32e8;k4?:%1bn2d8m:4>d:9l72c=83.8m54<9g9m7d1=9l10c>9k:18'7d>=;0l0b>o8:0d8?j50k3:1(>o7:2;e?k5f?38;76a<7c83>!5f0392j6`=h;>k1<7*?54o25:>5<#;h21?4h4n2c4>75<3f9<47>5$2c;>6?a3g9j;7<;;:m032<72-9j47=6f:l0e2<5=21d?l850;&0e=<41o1e?l952798k6g2290/?l6538d8j6g02;=07b=n4;29 6g?2:3m7c=n7;0;?>i4i:0;6)=n8;1:b>h4i>09565`3`094?"4i1085k5a3`596d=7i;o1b3?4d32e84n4?:%1bn2d8m:4=d:9l7=7=83.8m54<9g9m7d1=:l10c>99:18'7d>=;0l0b>o8:3d8?l?4290/?l65939m7d1=821b5<4?:%1b5<#;h215?5a3`596>=n0o0;6)=n8;;1?k5f?3907d6j:18'7d>=1;1e?l954:9j!5f03397c=n7;58?l?d290/?l65939m7d1=021b5o4?:%1b5<#;h215?5a3`59e>=n100;6)=n8;;1?k5f?3h07d77:18'7d>=1;1e?l95c:9j=2<72-9j477=;o1b3?b<3`3=6=4+3`:9=7=i;h=1i65f9483>!5f03397c=n7;d8?l?3290/?l65939m7d1=9910e5o50;&0e=<>:2d8m:4>1:9l61?=83.8m54=499m7d1=821d>9950;&0e=<5<11e?l951:9l610=83.8m54=499m7d1=:21d>9;50;&0e=<5<11e?l953:9l612=83.8m54=499m7d1=<21d>9=50;&0e=<5<11e?l955:9l617=83.8m54=499m7d1=>21d>9>50;&0e=<5<11e?l957:9l66`=83.8m54=499m7d1=021d>>k50;&0e=<5<11e?l959:9l66b=83.8m54=499m7d1=i21d>>m50;&0e=<5<11e?l95b:9l66d=83.8m54=499m7d1=k21d>>o50;&0e=<5<11e?l95d:9l66?=83.8m54=499m7d1=m21d>>650;&0e=<5<11e?l95f:9l660=83.8m54=499m7d1=9910c?=::18'7d>=:=20b>o8:038?j44<3:1(>o7:36;?k5f?3;976a=3283>!5f038?46`=h::81<7*5<#;h21>964n2c4>43<3f88<7>5$2c;>72?3g9j;7?9;:m16c<72-9j47<;8:l0e2<6?21d>?k50;&0e=<5<11e?l951998k74c290/?l6525:8j6g028307b<:0;29 6g?2;>37c=n7;3b?>i5h4i>0:n65`25g94?"4i109855a3`595f=o6=4+3`:961>f:9l61g=83.8m54=499m7d1=:910c?:=:18'7d>=:=20b>o8:338?j44?3:1(>o7:36;?k5f?38976a=2b83>!5f038?46`=nk00;6)=n8;a;?k5f?3:0D>ll;:k`3?6=,:k36n64n2c4>4=O;ki07dm9:18'7d>=k11e?l952:J0ff=h4i>087E=mc:9jg1<72-9j47m7;o1b3?2<@:hh76gl3;29 6g?2j20b>o8:49K7ge<3`i96=4+3`:9g==i;h=1:6F!5f03i37c=n7;:8L6dd32co=7>5$2c;>f>odl3:1(>o7:b:8j6g02m1C?om4;ha`>5<#;h21o55a3`59a>N4jj10enl50;&0e==nkh0;6)=n8;a;?k5f?3;;7E=mc:9jg5<72-9j47m7;o1b3?763A9io65rb5fe>5<6=h0;6=u+3b3965g<@:ii7E=l3:Xf3?d|080::79=:7g957<693b3a8j=3=82d3;7?4n``95>hfk3;0b;o065?7"4j>08o=5f13694?=n;k;1<75f3c094?=n9;?1<75f3c194?=n9;21<75f13194?=h98o1<75f3c794?=n;k>1<75f13a94?=n;k:1<75f13594?=n9;81<75f10c94?=n9;31<75f13394?=h98n1<75f3`d94?=n;k<1<75fb783>!5f03h>7c=n7;28?ld3290/?l65b49m7d1=921bn>4?:%1b5<#;h21n85a3`597>=nj80;6)=n8;`6?k5f?3>07dl?:18'7d>=j<1e?l955:9jec<72-9j47l:;o1b3?0<3`kn6=4+3`:9f0=i;h=1;65fbg83>!5f03h>7c=n7;:8?ldb290/?l65b49m7d1=121bni4?:%1b5<#;h21n85a3`59f>=njk0;6)=n8;`6?k5f?3i07dln:18'7d>=j<1e?l95d:9jf<<72-9j47l:;o1b3?c<3`h36=4+3`:9f0=i;h=1j65fb683>!5f03h>7c=n7;33?>ofl3:1(>o7:c78j6g028;07bjl:18'7d>=lk1e?l950:9l`d<72-9j47jm;o1b3?7<3fn26=4+3`:9`g=i;h=1>65`d983>!5f03ni7c=n7;18?jb0290/?l65dc9m7d1=<21dh;4?:%1b5<#;h21ho5a3`592>=hl=0;6)=n8;fa?k5f?3=07bk::18'7d>=lk1e?l958:9la1<72-9j47jm;o1b3??<3fo86=4+3`:9`g=i;h=1m65`e383>!5f03ni7c=n7;`8?jc6290/?l65dc9m7d1=k21di=4?:%1b5<#;h21ho5a3`59a>=hll0;6)=n8;fa?k5f?3l07bjk:18'7d>=lk1e?l951198ka5=83.8m54kb:l0e2<6921b?;h50;&0e=<4>l1e?l950:9j73b=83.8m54<6d9m7d1=921b?;m50;&0e=<4>l1e?l952:9j73d=83.8m54<6d9m7d1=;21b?;o50;&0e=<4>l1e?l954:9j73?=83.8m54<6d9m7d1==21b?;650;&0e=<4>l1e?l956:9j731=83.8m54<6d9m7d1=?21b?;;50;&0e=<4>l1e?l958:9j732=83.8m54<6d9m7d1=121b?;=50;&0e=<4>l1e?l95a:9j734=83.8m54<6d9m7d1=j21b?;?50;&0e=<4>l1e?l95c:9j736=83.8m54<6d9m7d1=l21b?8h50;&0e=<4>l1e?l95e:9j70c=83.8m54<6d9m7d1=n21b?8j50;&0e=<4>l1e?l951198m63d290/?l6537g8j6g028;07d=:a;29 6g?2:o4=00;6)=n8;15a>h4i>0:?65f34:94?"4i108:h5a3`5951=8j;o1b3?7132c8984?:%1b7:9j702=83.8m54<6d9m7d1=9110e>;<:18'7d>=;?o0b>o8:0;8?l52:3:1(>o7:24f?k5f?3;j76g<5083>!5f039=i6`=n;=l1<7*5<#;h21?;k4n2c4>4b<3`9?h7>5$2c;>60b3g9j;7?j;:k00f<72-9j47=9e:l0e2<6n21b?9l50;&0e=<4>l1e?l952198m62f290/?l6537g8j6g02;;07d=;9;29 6g?2:o4<10;6)=n8;15a>h4i>09?65f35594?"4i108:h5a3`5961==6=4+3`:973c8j;o1b3?4132c8;94?:%1b9=:18'7d>=;?o0b>o8:3;8?l5093:1(>o7:24f?k5f?38j76g<7183>!5f039=i6`=n;?<1<7*n54i27a>5<#;h21?;k4n2c4>7b<3`9><7>5$2c;>60b3g9j;7139m7d1=921b=<>50;&0e=<69;1e?l952:9j55`=83.8m54>139m7d1=;21b==k50;&0e=<69;1e?l954:9j55b=83.8m54>139m7d1==21b==m50;&0e=<69;1e?l956:9j55d=83.8m54>139m7d1=?21b==750;&0e=<69;1e?l958:9j55>=83.8m54>139m7d1=121b==950;&0e=<69;1e?l95a:9j550=83.8m54>139m7d1=j21b==;50;&0e=<69;1e?l95c:9j552=83.8m54>139m7d1=l21b===50;&0e=<69;1e?l95e:9j554=83.8m54>139m7d1=n21b==?50;&0e=<69;1e?l951198m467290/?l651008j6g028;07dhj:18'7d>=9880b>o8:008?l`c290/?l651008j6g028907dhl:18'7d>=9880b>o8:068?l`e290/?l651008j6g028?07dhn:18'7d>=9880b>o8:048?l`>290/?l651008j6g028=07dh7:18'7d>=9880b>o8:0:8?l`0290/?l651008j6g028307dh9:18'7d>=9880b>o8:0c8?l`2290/?l651008j6g028h07dh<:18'7d>=9880b>o8:0a8?l`5290/?l651008j6g028n07dh>:18'7d>=9880b>o8:0g8?l`7290/?l651008j6g028l07dki:18'7d>=9880b>o8:328?lcb290/?l651008j6g02;;07dkk:18'7d>=9880b>o8:308?lcd290/?l651008j6g02;907dkm:18'7d>=9880b>o8:368?lcf290/?l651008j6g02;?07d?>9;29 6g?28;97c=n7;05?>o6910;6)=n8;326>h4i>09;65f10594?"4i10:=?5a3`596==139m7d1=:j10ekh50;&0e=<69;1e?l952e98mc2=83.8m54>139m7d1=:l10eh750;&0e=<69;1e?l952g98k65c290/?l6532a8j6g02910c>=m:18'7d>=;:i0b>o8:098k65f290/?l6532a8j6g02;10c>=6:18'7d>=;:i0b>o8:298k65?290/?l6532a8j6g02=10c>=8:18'7d>=;:i0b>o8:498k651290/?l6532a8j6g02?10c>=::18'7d>=;:i0b>o8:698k654290/?l6532a8j6g02110c>==:18'7d>=;:i0b>o8:898k656290/?l6532a8j6g02h10c>=?:18'7d>=;:i0b>o8:c98k64a290/?l6532a8j6g02j10c>=;:i0b>o8:e98k64c290/?l6532a8j6g02l10c>=;:i0b>o8:g98k64e290/?l6532a8j6g028:07b==a;29 6g?2:9h7c=n7;32?>i4:10;6)=n8;10g>h4i>0:>65`33594?"4i108?n5a3`5956==l;o1b3?7232e8>94?:%1b6:9l775=83.8m54<3b9m7d1=9>10c><=:18'7d>=;:i0b>o8:0:8?j5593:1(>o7:21`?k5f?3;276a<2183>!5f0398o6`=h;8l1<7*5<#;h21?>m4n2c4>4e<3f9:o7>5$2c;>65d3g9j;7?k;:m05g<72-9j47=290/?l6532a8j6g02;:07b=>8;29 6g?2:9h7c=n7;02?>i49>0;6)=n8;10g>h4i>09>65`30494?"4i108?n5a3`5966=6=4+3`:976e=l;o1b3?4232e88>4?:%1b10c>:>:18'7d>=;:i0b>o8:3:8?j5383:1(>o7:21`?k5f?38276a<3g83>!5f0398o6`=h;:o1<7*o54o217>5<#;h21?>m4n2c4>7e<3f9957>5$2c;>65d3g9j;7>l:18'7d>=;9n0b>o8:098m66e290/?l6531f8j6g02;10e>>n:18'7d>=;9n0b>o8:298m66>290/?l6531f8j6g02=10e>>7:18'7d>=;9n0b>o8:498m660290/?l6531f8j6g02?10e>>9:18'7d>=;9n0b>o8:698m45d290/?l6512`8j6g02910e<=n:18'7d>=9:h0b>o8:098m45>290/?l6512`8j6g02;10e<=7:18'7d>=9:h0b>o8:298m450290/?l6512`8j6g02=10e<=9:18'7d>=9:h0b>o8:498m452290/?l6512`8j6g02?10e<=;:18'7d>=9:h0b>o8:698m422290/?l6512`8j6g02110e<:;:18'7d>=9:h0b>o8:898m424290/?l6512`8j6g02h10e<:=:18'7d>=9:h0b>o8:c98m426290/?l6512`8j6g02j10e<:?:18'7d>=9:h0b>o8:e98m45a290/?l6512`8j6g02l10e<=j:18'7d>=9:h0b>o8:g98m45c290/?l6512`8j6g028:07d?<3;29 6g?289i7c=n7;32?>i4i90;6)=n8;1:b>h4i>0;76a<9d83>!5f0392j6`i41m0;6)=n8;1:b>h4i>0976a<9b83>!5f0392j6`i41k0;6)=n8;1:b>h4i>0?76a<9`83>!5f0392j6`i4100;6)=n8;1:b>h4i>0=76a<9983>!5f0392j6`i41?0;6)=n8;1:b>h4i>0376a<9483>!5f0392j6`i41=0;6)=n8;1:b>h4i>0j76a<9283>!5f0392j6`i41;0;6)=n8;1:b>h4i>0h76a<9083>!5f0392j6`i4190;6)=n8;1:b>h4i>0n76a<8g83>!5f0392j6`i40l0;6)=n8;1:b>h4i>0:<65`39f94?"4i1085k5a3`5954=7i;o1b3?7432e8444?:%1bn2d8m:4>4:9l7=>=83.8m54<9g9m7d1=9<10c>68:18'7d>=;0l0b>o8:048?j5?>3:1(>o7:2;e?k5f?3;<76a<8483>!5f0392j6`=h;1>1<7*5<#;h21?4h4n2c4>4g<3f93>7>5$2c;>6?a3g9j;7?m;:m0<5<72-9j47=6f:l0e2<6k21d?:h50;&0e=<41o1e?l951e98k61b290/?l6538d8j6g028o07b=8d;29 6g?2:3m7c=n7;3e?>i4?j0;6)=n8;1:b>h4i>09<65`36`94?"4i1085k5a3`5964=7i;o1b3?4432e8;54?:%1bn2d8m:4=4:9l721=83.8m54<9g9m7d1=:<10c>o9:18'7d>=;0l0b>o8:348?j5f=3:1(>o7:2;e?k5f?38<76a!5f0392j6`=h;h91<7*454o2c1>5<#;h21?4h4n2c4>7g<3f9j=7>5$2c;>6?a3g9j;76290/?l6538d8j6g02;o07b=86;29 6g?2:3m7c=n7;0e?>o>;3:1(>o7:808j6g02910e4?50;&0e=<>:2d8m:4>;:k:4?6=,:k364<4n2c4>7=h4i>0876g7e;29 6g?2080b>o8:598m=b=83.8m5462:l0e2<232c3o7>5$2c;><46`o>k3:1(>o7:808j6g02110e4l50;&0e=<>:2d8m:46;:k:e?6=,:k364<4n2c4>d=h4i>0i76g68;29 6g?2080b>o8:b98m<1=83.8m5462:l0e25$2c;><46`o><3:1(>o7:808j6g028:07d6n:18'7d>=1;1e?l951098k72>290/?l6525:8j6g02910c?:8:18'7d>=:=20b>o8:098k721290/?l6525:8j6g02;10c?:::18'7d>=:=20b>o8:298k723290/?l6525:8j6g02=10c?:<:18'7d>=:=20b>o8:498k726290/?l6525:8j6g02?10c?:?:18'7d>=:=20b>o8:698k75a290/?l6525:8j6g02110c?=j:18'7d>=:=20b>o8:898k75c290/?l6525:8j6g02h10c?=l:18'7d>=:=20b>o8:c98k75e290/?l6525:8j6g02j10c?=n:18'7d>=:=20b>o8:e98k75>290/?l6525:8j6g02l10c?=7:18'7d>=:=20b>o8:g98k751290/?l6525:8j6g028:07b<<5;29 6g?2;>37c=n7;32?>i5;=0;6)=n8;07<>h4i>0:>65`22194?"4i109855a3`5956=6:9l67`=83.8m54=499m7d1=9>10c?=:=20b>o8:0:8?j45l3:1(>o7:36;?k5f?3;276a=5183>!5f038?46`=h:=l1<7*5<#;h21>964n2c4>4e<3f8?h7>5$2c;>72?3g9j;7?k;:m10f<72-9j47<;8:l0e2<6m21d>9l50;&0e=<5<11e?l951g98k72f290/?l6525:8j6g02;:07b<;2;29 6g?2;>37c=n7;02?>i5;>0;6)=n8;07<>h4i>09>65`23a94?"4i109855a3`5966=h4i>0;7E=mc:9jg2<72-9j47m7;o1b3?7<@:hh76gl6;29 6g?2j20b>o8:39K7ge<3`i>6=4+3`:9g==i;h=1?6F!5f03i37c=n7;78L6dd32ch>7>5$2c;>f>oc83:1(>o7:b:8j6g02h1C?om4;hae>5<#;h21o55a3`59f>N4jj10enk50;&0e==nkm0;6)=n8;a;?k5f?3n0D>ll;:k`g?6=,:k36n64n2c4>`=O;ki07dmm:18'7d>=k11e?l95f:J0ff=h4i>0:<6Ft$2a2>76f3A9hn6F44=980=o79>:01955<3?3w/?<>51c0`?k>2291e4:4>;oca>4=iij0:7c?>b;28 47d2::>7c?>f;28j457281e>=751:l114<63g9;j7?4n2cb>4=#;k=1?n>4i007>5<5<6=44i2`0>5<5<5<5<5<5<5<5<oe<3:1(>o7:c78j6g02810eo=50;&0e=6=h4i>0?76gm0;29 6g?2k?0b>o8:498md`=83.8m54m5:l0e2<132cji7>5$2c;>g3oem3:1(>o7:c78j6g02010eoj50;&0e=g=h4i>0h76gma;29 6g?2k?0b>o8:e98mg?=83.8m54m5:l0e25$2c;>g3=nim0;6)=n8;`6?k5f?3;:76akc;29 6g?2mh0b>o8:198kag=83.8m54kb:l0e2<632eo57>5$2c;>adic?3:1(>o7:e`8j6g02=10ci850;&0e=3=1<7*h4i>0<76aj5;29 6g?2mh0b>o8:998k`2=83.8m54kb:l0e2<>32en?7>5$2c;>adib93:1(>o7:e`8j6g02j10ch>50;&0e=`=h4i>0m76akd;29 6g?2mh0b>o8:028?jb4290/?l65dc9m7d1=9810e>8i:18'7d>=;?o0b>o8:198m60c290/?l6537g8j6g02810e>8l:18'7d>=;?o0b>o8:398m60e290/?l6537g8j6g02:10e>8n:18'7d>=;?o0b>o8:598m60>290/?l6537g8j6g02<10e>87:18'7d>=;?o0b>o8:798m600290/?l6537g8j6g02>10e>8::18'7d>=;?o0b>o8:998m603290/?l6537g8j6g02010e>8<:18'7d>=;?o0b>o8:`98m605290/?l6537g8j6g02k10e>8>:18'7d>=;?o0b>o8:b98m607290/?l6537g8j6g02m10e>;i:18'7d>=;?o0b>o8:d98m63b290/?l6537g8j6g02o10e>;k:18'7d>=;?o0b>o8:028?l52k3:1(>o7:24f?k5f?3;:76g<5`83>!5f039=i6`=n;<31<7*54i27;>5<#;h21?;k4n2c4>42<3`9>;7>5$2c;>60b3g9j;7?:;:k013<72-9j47=9e:l0e2<6>21b?8;50;&0e=<4>l1e?l951698m633290/?l6537g8j6g028207d=:3;29 6g?2:o4=;0;6)=n8;15a>h4i>0:m65f34394?"4i108:h5a3`595g=m6=4+3`:973c8j;o1b3?7c32c88i4?:%1be:9j71e=83.8m54<6d9m7d1=9o10e>:m:18'7d>=;?o0b>o8:328?l53i3:1(>o7:24f?k5f?38:76g<4883>!5f039=i6`=n;=21<7*>54i264>5<#;h21?;k4n2c4>72<3`9?:7>5$2c;>60b3g9j;7<:;:k030<72-9j47=9e:l0e2<5>21b?::50;&0e=<4>l1e?l952698m614290/?l6537g8j6g02;207d=82;29 6g?2:o4?80;6)=n8;15a>h4i>09m65f36294?"4i108:h5a3`596g=8j;o1b3?4c32c89=4?:%1b=9880b>o8:198m476290/?l651008j6g02810e=9880b>o8:398m46a290/?l651008j6g02:10e<>j:18'7d>=9880b>o8:598m46c290/?l651008j6g02<10e<>l:18'7d>=9880b>o8:798m46e290/?l651008j6g02>10e<>6:18'7d>=9880b>o8:998m46?290/?l651008j6g02010e<>8:18'7d>=9880b>o8:`98m461290/?l651008j6g02k10e<>::18'7d>=9880b>o8:b98m463290/?l651008j6g02m10e<><:18'7d>=9880b>o8:d98m465290/?l651008j6g02o10e<>>:18'7d>=9880b>o8:028?l7783:1(>o7:031?k5f?3;:76gie;29 6g?28;97c=n7;31?>oal3:1(>o7:031?k5f?3;876gic;29 6g?28;97c=n7;37?>oaj3:1(>o7:031?k5f?3;>76gia;29 6g?28;97c=n7;35?>oa13:1(>o7:031?k5f?3;<76gi8;29 6g?28;97c=n7;3;?>oa?3:1(>o7:031?k5f?3;276gi6;29 6g?28;97c=n7;3b?>oa=3:1(>o7:031?k5f?3;i76gi3;29 6g?28;97c=n7;3`?>oa:3:1(>o7:031?k5f?3;o76gi1;29 6g?28;97c=n7;3f?>oa83:1(>o7:031?k5f?3;m76gjf;29 6g?28;97c=n7;03?>obm3:1(>o7:031?k5f?38:76gjd;29 6g?28;97c=n7;01?>obk3:1(>o7:031?k5f?38876gjb;29 6g?28;97c=n7;07?>obi3:1(>o7:031?k5f?38>76g>1883>!5f03;:>6`=n9821<7*:54i034>5<#;h21=<<4n2c4>7><3`;::7>5$2c;>4753g9j;7<6;:k250<72-9j47?>2:l0e2<5i21b=<:50;&0e=<69;1e?l952c98m46f290/?l651008j6g02;i07dhi:18'7d>=9880b>o8:3f8?l`3290/?l651008j6g02;o07dk6:18'7d>=9880b>o8:3d8?j54l3:1(>o7:21`?k5f?3:07b=o7:21`?k5f?3807b=<9;29 6g?2:9h7c=n7;18?j5403:1(>o7:21`?k5f?3>07b=<7;29 6g?2:9h7c=n7;78?j54>3:1(>o7:21`?k5f?3<07b=<5;29 6g?2:9h7c=n7;58?j54;3:1(>o7:21`?k5f?3207b=<2;29 6g?2:9h7c=n7;;8?j5493:1(>o7:21`?k5f?3k07b=<0;29 6g?2:9h7c=n7;`8?j55n3:1(>o7:21`?k5f?3i07b==e;29 6g?2:9h7c=n7;f8?j55l3:1(>o7:21`?k5f?3o07b==c;29 6g?2:9h7c=n7;d8?j55j3:1(>o7:21`?k5f?3;;76a<2`83>!5f0398o6`=h;;21<7*5<#;h21?>m4n2c4>45<3f99:7>5$2c;>65d3g9j;7?;;:m060<72-9j47=i4:80;6)=n8;10g>h4i>0:565`33294?"4i108?n5a3`595d==l;o1b3?7d32e8=n4?:%1bd:9l74d=83.8m54<3b9m7d1=9l10c>?n:18'7d>=;:i0b>o8:0d8?j5613:1(>o7:21`?k5f?38;76a<1983>!5f0398o6`=h;8=1<7*?54o235>5<#;h21?>m4n2c4>75<3f9:97>5$2c;>65d3g9j;7<;;:m051<72-9j47=i4<90;6)=n8;10g>h4i>09565`32d94?"4i108?n5a3`596d==l;o1b3?4d32e8>44?:%1b?<:18'7d>=;:i0b>o8:3d8?l57m3:1(>o7:22g?k5f?3:07d=?c;29 6g?2::o7c=n7;38?l57j3:1(>o7:22g?k5f?3807d=?a;29 6g?2::o7c=n7;18?l5713:1(>o7:22g?k5f?3>07d=?8;29 6g?2::o7c=n7;78?l57?3:1(>o7:22g?k5f?3<07d=?6;29 6g?2::o7c=n7;58?l74k3:1(>o7:01a?k5f?3:07d?o7:01a?k5f?3807d?<8;29 6g?289i7c=n7;18?l74?3:1(>o7:01a?k5f?3>07d?<6;29 6g?289i7c=n7;78?l74=3:1(>o7:01a?k5f?3<07d?<4;29 6g?289i7c=n7;58?l73=3:1(>o7:01a?k5f?3207d?;4;29 6g?289i7c=n7;;8?l73;3:1(>o7:01a?k5f?3k07d?;2;29 6g?289i7c=n7;`8?l7393:1(>o7:01a?k5f?3i07d?;0;29 6g?289i7c=n7;f8?l74n3:1(>o7:01a?k5f?3o07d?o7:01a?k5f?3;;76g>3283>!5f03;8n6`=h;h:1<7*=h;0n1<7*65`38a94?"4i1085k5a3`597>=h;0h1<7*=h;031<7*=h;0<1<7*=h;0>1<7*=h;081<7*=h;0:1<7*=h;1o1<7*5<#;h21?4h4n2c4>47<3f93n7>5$2c;>6?a3g9j;7?=;:m0?290/?l6538d8j6g028?07b=77;29 6g?2:3m7c=n7;35?>i40?0;6)=n8;1:b>h4i>0:;65`39794?"4i1085k5a3`595==7i;o1b3?7f32e84?4?:%1bn2d8m:4>b:9l7=6=83.8m54<9g9m7d1=9j10c>9i:18'7d>=;0l0b>o8:0f8?j50m3:1(>o7:2;e?k5f?3;n76a<7e83>!5f0392j6`=h;>i1<7*=54o25a>5<#;h21?4h4n2c4>77<3f95$2c;>6?a3g9j;7<=;:m03<<72-9j47=6f:l0e2<5;21d?:650;&0e=<41o1e?l952598k610290/?l6538d8j6g02;?07b=n6;29 6g?2:3m7c=n7;05?>i4i<0;6)=n8;1:b>h4i>09;65`3`694?"4i1085k5a3`596==7i;o1b3?4f32e8m<4?:%1bn2d8m:4=b:9l7<1=83.8m54<9g9m7d1=:j10c>6l:18'7d>=;0l0b>o8:3f8?j5?93:1(>o7:2;e?k5f?38n76a<7783>!5f0392j6`=n1:0;6)=n8;;1?k5f?3:07d7>:18'7d>=1;1e?l951:9j=5<72-9j477=;o1b3?4<3`2m6=4+3`:9=7=i;h=1?65f8d83>!5f03397c=n7;68?l>c290/?l65939m7d1==21b4n4?:%1b5<#;h215?5a3`593>=n1j0;6)=n8;;1?k5f?3207d7m:18'7d>=1;1e?l959:9j=d<72-9j477=;o1b3?g<3`326=4+3`:9=7=i;h=1n65f9983>!5f03397c=n7;a8?l?0290/?l65939m7d1=l21b5;4?:%1b5<#;h215?5a3`59b>=n1=0;6)=n8;;1?k5f?3;;76g7a;29 6g?2080b>o8:038?j4313:1(>o7:36;?k5f?3:07b<;7;29 6g?2;>37c=n7;38?j43>3:1(>o7:36;?k5f?3807b<;5;29 6g?2;>37c=n7;18?j43<3:1(>o7:36;?k5f?3>07b<;3;29 6g?2;>37c=n7;78?j4393:1(>o7:36;?k5f?3<07b<;0;29 6g?2;>37c=n7;58?j44n3:1(>o7:36;?k5f?3207b<37c=n7;;8?j44l3:1(>o7:36;?k5f?3k07b<37c=n7;`8?j44j3:1(>o7:36;?k5f?3i07b<37c=n7;f8?j4413:1(>o7:36;?k5f?3o07b<<8;29 6g?2;>37c=n7;d8?j44>3:1(>o7:36;?k5f?3;;76a=3483>!5f038?46`=h::>1<7*5<#;h21>964n2c4>45<3f88>7>5$2c;>72?3g9j;7?;;:m174<72-9j47<;8:l0e2<6=21d>>>50;&0e=<5<11e?l951798k74a290/?l6525:8j6g028=07b<=e;29 6g?2;>37c=n7;3;?>i5:m0;6)=n8;07<>h4i>0:565`24294?"4i109855a3`595d=m6=4+3`:961>d:9l61e=83.8m54=499m7d1=9l10c?:m:18'7d>=:=20b>o8:0d8?j43i3:1(>o7:36;?k5f?38;76a=4383>!5f038?46`=h::=1<7*?54o30`>5<#;h21>964n2c4>75<3`i26=4+3`:9g==i;h=1<6F!5f03i37c=n7;08L6dd32ch97>5$2c;>f>5G3ca8?le3290/?l65c99m7d1=<2B8nn54ib194?"4i10h46`od93:1(>o7:b:8j6g02>1C?om4;hf1>5<#;h21o55a3`59<>N4jj10ei?50;&0e==nl90;6)=n8;a;?k5f?3k0D>ll;:k`b?6=,:k36n64n2c4>g=O;ki07dmj:18'7d>=k11e?l95c:J0ff=h4i>0o7E=mc:9jgf<72-9j47m7;o1b3?c<@:hh76glb;29 6g?2j20b>o8:g9K7ge<3`ij6=4+3`:9g==i;h=1==5G3ca8?le7290/?l65c99m7d1=981C?om4;|`7a4<728?j6=4?{%1`5?47i2B8oo5G3b18^`1=jr2:6<857385a?7528;1:n481;30>46=<>0v(>??:0`1g>h?=3:0b5951:lbf?71c83?!76k39;96`>1g83?k7483;0b?>6:09m607=92d8;o1be?7<,:h<6>m?;h310?6=3`9i=7>5;h1a6?6=3`;997>5;h1a7?6=3`;947>5;h317?6=3f;:i7>5;h1a1?6=3`9i87>5;h31g?6=3`9i<7>5;h313?6=3`;9>7>5;h32e?6=3`;957>5;h315?6=3f;:h7>5;h1bb?6=3`9i:7>5;h`5>5<#;h21n85a3`594>=nj=0;6)=n8;`6?k5f?3;07dl<:18'7d>=j<1e?l952:9jf7<72-9j47l:;o1b3?5<3`h:6=4+3`:9f0=i;h=1865fb183>!5f03h>7c=n7;78?lga290/?l65b49m7d1=>21bmh4?:%1b5<#;h21n85a3`59<>=njl0;6)=n8;`6?k5f?3307dlk:18'7d>=j<1e?l95a:9jff<72-9j47l:;o1b3?d<3`hi6=4+3`:9f0=i;h=1o65fb`83>!5f03h>7c=n7;f8?ld>290/?l65b49m7d1=m21bn54?:%1b5<#;h21n85a3`5955=h4i>0:=65`db83>!5f03ni7c=n7;28?jbf290/?l65dc9m7d1=921dh44?:%1b5<#;h21ho5a3`597>=hl>0;6)=n8;fa?k5f?3>07bj9:18'7d>=lk1e?l955:9l`0<72-9j47jm;o1b3?0<3fn?6=4+3`:9`g=i;h=1;65`e483>!5f03ni7c=n7;:8?jc3290/?l65dc9m7d1=121di>4?:%1b5<#;h21ho5a3`59f>=hm80;6)=n8;fa?k5f?3i07bk?:18'7d>=lk1e?l95d:9l`c<72-9j47jm;o1b3?c<3fnn6=4+3`:9`g=i;h=1j65`de83>!5f03ni7c=n7;33?>ic;3:1(>o7:e`8j6g028;07d=9f;29 6g?2:o7:24f?k5f?3;07d=9c;29 6g?2:o7:24f?k5f?3907d=9a;29 6g?2:o7:24f?k5f?3?07d=98;29 6g?2:o7:24f?k5f?3=07d=95;29 6g?2:o7:24f?k5f?3307d=93;29 6g?2:o7:24f?k5f?3h07d=91;29 6g?2:o7:24f?k5f?3n07d=:f;29 6g?2:o7:24f?k5f?3l07d=:d;29 6g?2:o4=j0;6)=n8;15a>h4i>0:=65f34c94?"4i108:h5a3`5957=8j;o1b3?7332c89:4?:%1b5:9j700=83.8m54<6d9m7d1=9?10e>;::18'7d>=;?o0b>o8:058?l52<3:1(>o7:24f?k5f?3;376g<5283>!5f039=i6`=n;<81<7*5<#;h21?;k4n2c4>4d<3`9?j7>5$2c;>60b3g9j;7?l;:k00`<72-9j47=9e:l0e2<6l21b?9j50;&0e=<4>l1e?l951d98m62d290/?l6537g8j6g028l07d=;b;29 6g?2:o4h4i>09=65f35;94?"4i108:h5a3`5967=36=4+3`:973c8j;o1b3?4332c88;4?:%1b9;:18'7d>=;?o0b>o8:358?l50;3:1(>o7:24f?k5f?38376g<7383>!5f039=i6`=n;>;1<7*l54i253>5<#;h21?;k4n2c4>7d<3`9=:7>5$2c;>60b3g9j;750;&0e=<4>l1e?l952d98m622290/?l6537g8j6g02;l07d?>3;29 6g?28;97c=n7;28?l7693:1(>o7:031?k5f?3;07d?>0;29 6g?28;97c=n7;08?l77n3:1(>o7:031?k5f?3907d??e;29 6g?28;97c=n7;68?l77l3:1(>o7:031?k5f?3?07d??c;29 6g?28;97c=n7;48?l77j3:1(>o7:031?k5f?3=07d??9;29 6g?28;97c=n7;:8?l7703:1(>o7:031?k5f?3307d??7;29 6g?28;97c=n7;c8?l77>3:1(>o7:031?k5f?3h07d??5;29 6g?28;97c=n7;a8?l77<3:1(>o7:031?k5f?3n07d??3;29 6g?28;97c=n7;g8?l77:3:1(>o7:031?k5f?3l07d??1;29 6g?28;97c=n7;33?>o6890;6)=n8;326>h4i>0:=65ffd83>!5f03;:>6`=nnm0;6)=n8;326>h4i>0:?65ffb83>!5f03;:>6`=nnk0;6)=n8;326>h4i>0:965ff`83>!5f03;:>6`=nn00;6)=n8;326>h4i>0:;65ff983>!5f03;:>6`=nn>0;6)=n8;326>h4i>0:565ff783>!5f03;:>6`=nn<0;6)=n8;326>h4i>0:n65ff283>!5f03;:>6`=nn;0;6)=n8;326>h4i>0:h65ff083>!5f03;:>6`=nn90;6)=n8;326>h4i>0:j65feg83>!5f03;:>6`=nml0;6)=n8;326>h4i>09=65fee83>!5f03;:>6`=nmj0;6)=n8;326>h4i>09?65fec83>!5f03;:>6`=nmh0;6)=n8;326>h4i>09965f10;94?"4i10:=?5a3`5963=139m7d1=:h10e=9880b>o8:3`8?l77i3:1(>o7:031?k5f?38h76gif;29 6g?28;97c=n7;0g?>oa<3:1(>o7:031?k5f?38n76gj9;29 6g?28;97c=n7;0e?>i4;m0;6)=n8;10g>h4i>0;76a<3c83>!5f0398o6`i4;h0;6)=n8;10g>h4i>0976a<3883>!5f0398o6`i4;10;6)=n8;10g>h4i>0?76a<3683>!5f0398o6`i4;?0;6)=n8;10g>h4i>0=76a<3483>!5f0398o6`i4;:0;6)=n8;10g>h4i>0376a<3383>!5f0398o6`i4;80;6)=n8;10g>h4i>0j76a<3183>!5f0398o6`i4:o0;6)=n8;10g>h4i>0h76a<2d83>!5f0398o6`i4:m0;6)=n8;10g>h4i>0n76a<2b83>!5f0398o6`i4:k0;6)=n8;10g>h4i>0:<65`33c94?"4i108?n5a3`5954==l;o1b3?7432e8>;4?:%1b4:9l773=83.8m54<3b9m7d1=9<10c><;:18'7d>=;:i0b>o8:048?j55;3:1(>o7:21`?k5f?3;<76a<2383>!5f0398o6`=h;;;1<7*5<#;h21?>m4n2c4>4g<3f9:j7>5$2c;>65d3g9j;7?m;:m05a<72-9j47=a;29 6g?2:9h7c=n7;3e?>i4900;6)=n8;10g>h4i>09<65`30:94?"4i108?n5a3`5964==l;o1b3?4432e8=84?:%1b:<:18'7d>=;:i0b>o8:348?j53:3:1(>o7:21`?k5f?38<76a<4083>!5f0398o6`=h;=:1<7*454o21e>5<#;h21?>m4n2c4>7g<3f98i7>5$2c;>65d3g9j;73;29 6g?2:9h7c=n7;0e?>o48l0;6)=n8;13`>h4i>0;76g<0b83>!5f039;h6`o48k0;6)=n8;13`>h4i>0976g<0`83>!5f039;h6`o4800;6)=n8;13`>h4i>0?76g<0983>!5f039;h6`o48>0;6)=n8;13`>h4i>0=76g<0783>!5f039;h6`o6;j0;6)=n8;30f>h4i>0;76g>3`83>!5f03;8n6`o6;00;6)=n8;30f>h4i>0976g>3983>!5f03;8n6`o6;>0;6)=n8;30f>h4i>0?76g>3783>!5f03;8n6`o6;<0;6)=n8;30f>h4i>0=76g>3583>!5f03;8n6`o6<<0;6)=n8;30f>h4i>0376g>4583>!5f03;8n6`o6<:0;6)=n8;30f>h4i>0j76g>4383>!5f03;8n6`o6<80;6)=n8;30f>h4i>0h76g>4183>!5f03;8n6`o6;o0;6)=n8;30f>h4i>0n76g>3d83>!5f03;8n6`o6;m0;6)=n8;30f>h4i>0:<65f12194?"4i10:?o5a3`5954=5<#;h21?4h4n2c4>4=5<#;h21?4h4n2c4>6=5<#;h21?4h4n2c4>0=5<#;h21?4h4n2c4>2=5<#;h21?4h4n2c4><=5<#;h21?4h4n2c4>g=5<#;h21?4h4n2c4>a=5<#;h21?4h4n2c4>c=4;n1;`?6=,:k36>7i;o1b3?7632e84o4?:%1bn2d8m:4>2:9l7=g=83.8m54<9g9m7d1=9:10c>66:18'7d>=;0l0b>o8:068?j5?03:1(>o7:2;e?k5f?3;>76a<8683>!5f0392j6`=h;1<1<7*5<#;h21?4h4n2c4>4><3f9387>5$2c;>6?a3g9j;7?6;:m0<6<72-9j47=6f:l0e2<6i21d?5<50;&0e=<41o1e?l951c98k6>7290/?l6538d8j6g028i07b=8f;29 6g?2:3m7c=n7;3g?>i4?l0;6)=n8;1:b>h4i>0:i65`36f94?"4i1085k5a3`595c=4;n14f?6=,:k36>7i;o1b3?4632e8;l4?:%1bn2d8m:4=2:9l72?=83.8m54<9g9m7d1=::10c>97:18'7d>=;0l0b>o8:368?j50?3:1(>o7:2;e?k5f?38>76a!5f0392j6`=h;h?1<7*:54o2c7>5<#;h21?4h4n2c4>7><3f9j?7>5$2c;>6?a3g9j;7<6;:m0e7<72-9j47=6f:l0e2<5i21d?l?50;&0e=<41o1e?l952c98k6?0290/?l6538d8j6g02;i07b=7c;29 6g?2:3m7c=n7;0g?>i4080;6)=n8;1:b>h4i>09i65`36494?"4i1085k5a3`596c=h4i>0;76g61;29 6g?2080b>o8:098m<6=83.8m5462:l0e2<532c3j7>5$2c;><454i9g94?"4i102>6`o?l3:1(>o7:808j6g02<10e5m50;&0e=<>:2d8m:49;:k;f?6=,:k364<4n2c4>2=h4i>0376g6b;29 6g?2080b>o8:898m5$2c;><46`o>?3:1(>o7:808j6g02m10e4850;&0e=<>:2d8m:4j;:k:1?6=,:k364<4n2c4>c=1<7*h4i>0:<65f8`83>!5f03397c=n7;32?>i5<00;6)=n8;07<>h4i>0;76a=4683>!5f038?46`i5h4i>0976a=4483>!5f038?46`i5<=0;6)=n8;07<>h4i>0?76a=4283>!5f038?46`i5<80;6)=n8;07<>h4i>0=76a=4183>!5f038?46`i5;o0;6)=n8;07<>h4i>0376a=3d83>!5f038?46`i5;m0;6)=n8;07<>h4i>0j76a=3b83>!5f038?46`i5;k0;6)=n8;07<>h4i>0h76a=3`83>!5f038?46`i5;00;6)=n8;07<>h4i>0n76a=3983>!5f038?46`i5;?0;6)=n8;07<>h4i>0:<65`22794?"4i109855a3`5954=4:9l667=83.8m54=499m7d1=9<10c?=?:18'7d>=:=20b>o8:048?j45n3:1(>o7:36;?k5f?3;<76a=2d83>!5f038?46`=h:;n1<7*5<#;h21>964n2c4>4g<3f8?j7>5$2c;>72?3g9j;7?m;:m10`<72-9j47<;8:l0e2<6k21d>9j50;&0e=<5<11e?l951e98k72d290/?l6525:8j6g028o07b<;b;29 6g?2;>37c=n7;3e?>i5h4i>09<65`25094?"4i109855a3`5964=5$2c;>f>od<3:1(>o7:b:8j6g02=1C?om4;ha0>5<#;h21o55a3`591>N4jj10en<50;&0e==nk80;6)=n8;a;?k5f?3=0D>ll;:kg6?6=,:k36n64n2c4>==O;ki07dj>:18'7d>=k11e?l959:J0ff=h4i>0j7E=mc:9jgc<72-9j47m7;o1b3?d<@:hh76gle;29 6g?2j20b>o8:b9K7ge<3`io6=4+3`:9g==i;h=1h6F!5f03i37c=n7;d8L6dd32chm7>5$2c;>f>4H2``?>od83:1(>o7:b:8j6g028;0D>ll;:a0`4=83;>m7>50z&0g4<58h1C?nl4H2a0?_c02kq3=7?9:6092`<6:3;:6;m570827?772==1q)=>0;3a6f=i0<0;7c68:09meg<63gkh6<5a10`94>"69j08<85a10d94>h6;90:7c84?::k0f6<722c:>54?::k266<722e:=h4?::k0f0<722c8n94?::k26f<722c8n=4?::k262<722c:>?4?::k25d<722c:>44?::k264<722e:=i4?::k0ec<722c8n;4?::ka2?6=,:k36o;4n2c4>5=1<7*h4i>0:76gm3;29 6g?2k?0b>o8:398mg4=83.8m54m5:l0e2<432ci=7>5$2c;>g3ofn3:1(>o7:c78j6g02?10elk50;&0e===h4i>0276gmd;29 6g?2k?0b>o8:`98mge=83.8m54m5:l0e25$2c;>g3oe13:1(>o7:c78j6g02l10eo650;&0e=46<3`ko6=4+3`:9f0=i;h=1=<54oea94?"4i10on6`ici3:1(>o7:e`8j6g02810ci750;&0e=6=h4i>0?76ak6;29 6g?2mh0b>o8:498ka3=83.8m54kb:l0e2<132eo87>5$2c;>adib<3:1(>o7:e`8j6g02010ch=50;&0e=g=h4i>0h76aj0;29 6g?2mh0b>o8:e98ka`=83.8m54kb:l0e25$2c;>ad=hl:0;6)=n8;fa?k5f?3;:76g<6g83>!5f039=i6`o4>m0;6)=n8;15a>h4i>0:76g<6b83>!5f039=i6`o4>k0;6)=n8;15a>h4i>0876g<6`83>!5f039=i6`o4>00;6)=n8;15a>h4i>0>76g<6983>!5f039=i6`o4>>0;6)=n8;15a>h4i>0<76g<6483>!5f039=i6`o4>=0;6)=n8;15a>h4i>0276g<6283>!5f039=i6`o4>;0;6)=n8;15a>h4i>0i76g<6083>!5f039=i6`o4>90;6)=n8;15a>h4i>0o76g<5g83>!5f039=i6`o4=l0;6)=n8;15a>h4i>0m76g<5e83>!5f039=i6`=n;5<#;h21?;k4n2c4>44<3`9>57>5$2c;>60b3g9j;7?<;:k01=<72-9j47=9e:l0e2<6<21b?8950;&0e=<4>l1e?l951498m631290/?l6537g8j6g028<07d=:5;29 6g?2:o4==0;6)=n8;15a>h4i>0:465f34194?"4i108:h5a3`595<=8j;o1b3?7e32c88k4?:%1bc:9j71c=83.8m54<6d9m7d1=9m10e>:k:18'7d>=;?o0b>o8:0g8?l53k3:1(>o7:24f?k5f?3;m76g<4c83>!5f039=i6`=n;=k1<7*<54i26:>5<#;h21?;k4n2c4>74<3`9?47>5$2c;>60b3g9j;7<<;:k002<72-9j47=9e:l0e2<5<21b?9850;&0e=<4>l1e?l952498m612290/?l6537g8j6g02;<07d=84;29 6g?2:o4?:0;6)=n8;15a>h4i>09465f36094?"4i108:h5a3`596<=8j;o1b3?4e32c8:;4?:%1b;?:18'7d>=;?o0b>o8:3g8?l53=3:1(>o7:24f?k5f?38m76g>1283>!5f03;:>6`o6980;6)=n8;326>h4i>0:76g>1183>!5f03;:>6`o68o0;6)=n8;326>h4i>0876g>0d83>!5f03;:>6`o68m0;6)=n8;326>h4i>0>76g>0b83>!5f03;:>6`o68k0;6)=n8;326>h4i>0<76g>0883>!5f03;:>6`o6810;6)=n8;326>h4i>0276g>0683>!5f03;:>6`o68?0;6)=n8;326>h4i>0i76g>0483>!5f03;:>6`o68=0;6)=n8;326>h4i>0o76g>0283>!5f03;:>6`o68;0;6)=n8;326>h4i>0m76g>0083>!5f03;:>6`=n99:1<7*54iga94?"4i10:=?5a3`5951=<54idf94?"4i10:=?5a3`5967=>54id`94?"4i10:=?5a3`5961=854i03:>5<#;h21=<<4n2c4>70<3`;:47>5$2c;>4753g9j;7<8;:k252<72-9j47?>2:l0e2<5021b=<850;&0e=<69;1e?l952898m472290/?l651008j6g02;k07d?>4;29 6g?28;97c=n7;0a?>o68h0;6)=n8;326>h4i>09o65ffg83>!5f03;:>6`=nn=0;6)=n8;326>h4i>09i65fe883>!5f03;:>6`=h;:n1<7*=h;:k1<7*65`32;94?"4i108?n5a3`597>=h;:21<7*=h;:<1<7*=h;:91<7*=h;:;1<7*=h;;l1<7*=h;;n1<7*=h;;h1<7*5<#;h21?>m4n2c4>47<3f9947>5$2c;>65d3g9j;7?=;:m062<72-9j47=i4::0;6)=n8;10g>h4i>0:;65`33094?"4i108?n5a3`595===l;o1b3?7f32e8=k4?:%1bb:9l74b=83.8m54<3b9m7d1=9j10c>?l:18'7d>=;:i0b>o8:0f8?j56j3:1(>o7:21`?k5f?3;n76a<1`83>!5f0398o6`=h;831<7*=54o23;>5<#;h21?>m4n2c4>77<3f9:;7>5$2c;>65d3g9j;7<=;:m053<72-9j47=i4<;0;6)=n8;10g>h4i>09;65`35394?"4i108?n5a3`596==;6=4+3`:976e=l;o1b3?4f32e8?h4?:%1b<6:18'7d>=;:i0b>o8:3f8?j56m3:1(>o7:21`?k5f?38n76a<1283>!5f0398o6`=n;9o1<7*=n;9h1<7*65f31c94?"4i108=n;931<7*=n;9=1<7*=n9:i1<7*=n9:31<7*65f12:94?"4i10:?o5a3`597>=n9:=1<7*=n9:?1<7*=n9=?1<7*=n9=91<7*=n9=;1<7*=n9:l1<7*=n9:n1<7*5<#;h21=>l4n2c4>47<3f9j<7>5$2c;>6?a3g9j;7>4;n1:a?6=,:k36>7i;o1b3?7<3f92h7>5$2c;>6?a3g9j;7<4;n1:g?6=,:k36>7i;o1b3?5<3f92n7>5$2c;>6?a3g9j;7:4;n1:e?6=,:k36>7i;o1b3?3<3f9257>5$2c;>6?a3g9j;784;n1:7i;o1b3?1<3f92:7>5$2c;>6?a3g9j;764;n1:1?6=,:k36>7i;o1b3??<3f9287>5$2c;>6?a3g9j;7o4;n1:7?6=,:k36>7i;o1b3?d<3f92>7>5$2c;>6?a3g9j;7m4;n1:5?6=,:k36>7i;o1b3?b<3f92<7>5$2c;>6?a3g9j;7k4;n1;b?6=,:k36>7i;o1b3?`<3f93i7>5$2c;>6?a3g9j;7??;:m0f290/?l6538d8j6g028907b=79;29 6g?2:3m7c=n7;37?>i4010;6)=n8;1:b>h4i>0:965`39594?"4i1085k5a3`5953=7i;o1b3?7?32e8494?:%1bn2d8m:4>9:9l7=5=83.8m54<9g9m7d1=9h10c>6=:18'7d>=;0l0b>o8:0`8?j5?83:1(>o7:2;e?k5f?3;h76a<7g83>!5f0392j6`=h;>o1<7*5<#;h21?4h4n2c4>4`<3f95$2c;>6?a3g9j;7290/?l6538d8j6g02;907b=88;29 6g?2:3m7c=n7;07?>i4?>0;6)=n8;1:b>h4i>09965`3`494?"4i1085k5a3`5963=6=4+3`:97<`7i;o1b3?4?32e8m>4?:%1bn2d8m:4=9:9l7d4=83.8m54<9g9m7d1=:h10c>o>:18'7d>=;0l0b>o8:3`8?j5>?3:1(>o7:2;e?k5f?38h76a<8b83>!5f0392j6`=h;1;1<7*h54o255>5<#;h21?4h4n2c4>7`<3`386=4+3`:9=7=i;h=1<65f9083>!5f03397c=n7;38?l?7290/?l65939m7d1=:21b4k4?:%1b5<#;h215?5a3`590>=n0m0;6)=n8;;1?k5f?3?07d6l:18'7d>=1;1e?l956:9j!5f03397c=n7;;8?l?f290/?l65939m7d1=i21b544?:%1b5<#;h215?5a3`59g>=n1>0;6)=n8;;1?k5f?3n07d79:18'7d>=1;1e?l95e:9j=0<72-9j477=;o1b3?`<3`3?6=4+3`:9=7=i;h=1==54i9c94?"4i102>6`=h:=31<7*=h:=<1<7*65`25794?"4i109855a3`597>=h:=>1<7*=h:=;1<7*=h::l1<7*=h::n1<7*=h::h1<7*=h::31<7*=h::<1<7*5<#;h21>964n2c4>47<3f8887>5$2c;>72?3g9j;7?=;:m176<72-9j47<;8:l0e2<6;21d>><50;&0e=<5<11e?l951598k756290/?l6525:8j6g028?07b<<0;29 6g?2;>37c=n7;35?>i5:o0;6)=n8;07<>h4i>0:;65`23g94?"4i109855a3`595==b:9l61c=83.8m54=499m7d1=9j10c?:k:18'7d>=:=20b>o8:0f8?j43k3:1(>o7:36;?k5f?3;n76a=4c83>!5f038?46`=h:=k1<7*=54o361>5<#;h21>964n2c4>77<3f88;7>5$2c;>72?3g9j;7<=;:m16f<72-9j47<;8:l0e2<5;21bo44?:%1b4H2``?>od?3:1(>o7:b:8j6g0281C?om4;ha5>5<#;h21o55a3`596>N4jj10en;50;&0e==nk=0;6)=n8;a;?k5f?3>0D>ll;:k`7?6=,:k36n64n2c4>0=O;ki07dm=:18'7d>=k11e?l956:J0ff=h4i>0<7E=mc:9j`7<72-9j47m7;o1b3?><@:hh76gk1;29 6g?2j20b>o8:89K7ge<3`n;6=4+3`:9g==i;h=1m6F!5f03i37c=n7;a8L6dd32chh7>5$2c;>f>=nk90;6)=n8;a;?k5f?3;:7E=mc:9~f1c4290:9l4?:1y'7f7=:9k0D>mm;I1`7>\b?3hp4<4>6;51>3c=9;0:=78l:63956<683><6p*<1182f7e5=#98i1?=;4n03e>5=i9::1=6`=0882?k4293;0b>>i:09m7dg=92.8n:450;9j571=831b=?<50;9j54g=831b=?750;9j577=831d=!5f03h>7c=n7;08?ld5290/?l65b49m7d1=;21bn<4?:%1b5<#;h21n85a3`591>=nio0;6)=n8;`6?k5f?3<07doj:18'7d>=j<1e?l957:9jfc<72-9j47l:;o1b3?><3`hn6=4+3`:9f0=i;h=1565fbe83>!5f03h>7c=n7;c8?ldd290/?l65b49m7d1=j21bno4?:%1b5<#;h21n85a3`59`>=nj00;6)=n8;`6?k5f?3o07dl7:18'7d>=j<1e?l95f:9jf2<72-9j47l:;o1b3?7732cjh7>5$2c;>g35<#;h21ho5a3`594>=hlh0;6)=n8;fa?k5f?3;07bj6:18'7d>=lk1e?l952:9l`=<72-9j47jm;o1b3?5<3fn<6=4+3`:9`g=i;h=1865`d783>!5f03ni7c=n7;78?jb2290/?l65dc9m7d1=>21dh94?:%1b5<#;h21ho5a3`59<>=hm=0;6)=n8;fa?k5f?3307bk<:18'7d>=lk1e?l95a:9la7<72-9j47jm;o1b3?d<3fo:6=4+3`:9`g=i;h=1o65`e183>!5f03ni7c=n7;f8?jba290/?l65dc9m7d1=m21dhh4?:%1b5<#;h21ho5a3`5955=h4i>0:=65f37d94?"4i108:h5a3`594>=n;?n1<7*=n;?h1<7*=n;?31<7*=n;?=1<7*=n;?>1<7*=n;?81<7*=n;?:1<7*=n;8j;o1b3?7532c8944?:%1b3:9j70>=83.8m54<6d9m7d1=9=10e>;8:18'7d>=;?o0b>o8:078?l52>3:1(>o7:24f?k5f?3;=76g<5483>!5f039=i6`=n;<>1<7*5<#;h21?;k4n2c4>4?<3`9>>7>5$2c;>60b3g9j;7?n;:k014<72-9j47=9e:l0e2<6j21b?9h50;&0e=<4>l1e?l951b98m62b290/?l6537g8j6g028n07d=;d;29 6g?2:o4h4i>0:j65f35`94?"4i108:h5a3`5965=j6=4+3`:973c8j;o1b3?4532c8854?:%1b:9:18'7d>=;?o0b>o8:378?l50=3:1(>o7:24f?k5f?38=76g<7583>!5f039=i6`=n;>91<7*554i251>5<#;h21?;k4n2c4>7?<3`9<=7>5$2c;>60b3g9j;7l1e?l952b98m63e290/?l6537g8j6g02;n07d=:0;29 6g?2:o4<<0;6)=n8;15a>h4i>09j65f10194?"4i10:=?5a3`594>=n98;1<7*=n99l1<7*=n99n1<7*=n99h1<7*=n9921<7*=n99<1<7*=n99>1<7*=n9981<7*5<#;h21=<<4n2c4>44<3`lo6=4+3`:95445<#;h21=<<4n2c4>42<3`li6=4+3`:95445<#;h21=<<4n2c4>40<3`l26=4+3`:95445<#;h21=<<4n2c4>4><3`l<6=4+3`:95445<#;h21=<<4n2c4>4g<3`l>6=4+3`:95445<#;h21=<<4n2c4>4e<3`l96=4+3`:95445<#;h21=<<4n2c4>4c<3`l;6=4+3`:95445<#;h21=<<4n2c4>76<3`on6=4+3`:95445<#;h21=<<4n2c4>74<3`oh6=4+3`:95445<#;h21=<<4n2c4>72<3`oj6=4+3`:9544139m7d1=:110e=9880b>o8:3;8?l76=3:1(>o7:031?k5f?38j76g>1583>!5f03;:>6`=n99k1<7*n54igd94?"4i10:=?5a3`596a=1<7*h54id;94?"4i10:=?5a3`596c=5<#;h21?>m4n2c4>4=5<#;h21?>m4n2c4>6=5<#;h21?>m4n2c4>0=5<#;h21?>m4n2c4>2=5<#;h21?>m4n2c4><=5<#;h21?>m4n2c4>g=5<#;h21?>m4n2c4>a=5<#;h21?>m4n2c4>c=4;n11e?6=,:k36>=l;o1b3?7632e8>54?:%1b2:9l771=83.8m54<3b9m7d1=9:10c><9:18'7d>=;:i0b>o8:068?j55=3:1(>o7:21`?k5f?3;>76a<2583>!5f0398o6`=h;;91<7*5<#;h21?>m4n2c4>4><3f99=7>5$2c;>65d3g9j;7?6;:m065<72-9j47=c;29 6g?2:9h7c=n7;3g?>i49k0;6)=n8;10g>h4i>0:i65`30c94?"4i108?n5a3`595c=4;n12=l;o1b3?4632e8=:4?:%1b?::18'7d>=;:i0b>o8:368?j56<3:1(>o7:21`?k5f?38>76a<4283>!5f0398o6`=h;=81<7*:54o262>5<#;h21?>m4n2c4>7><3f9?<7>5$2c;>65d3g9j;7<6;:m07c<72-9j47=k50;&0e=<4;j1e?l952c98k653290/?l6532a8j6g02;i07b==9;29 6g?2:9h7c=n7;0g?>i49l0;6)=n8;10g>h4i>09i65`30194?"4i108?n5a3`596c=5<#;h21?=j4n2c4>4=5<#;h21?=j4n2c4>6=5<#;h21?=j4n2c4>0=5<#;h21?=j4n2c4>2=5<#;h21=>l4n2c4>4=5<#;h21=>l4n2c4>6=5<#;h21=>l4n2c4>0=6=4+3`:956d5<#;h21=>l4n2c4>2=>6=4+3`:956d5<#;h21=>l4n2c4><=86=4+3`:956d5<#;h21=>l4n2c4>g=:6=4+3`:956d5<#;h21=>l4n2c4>a=5<#;h21=>l4n2c4>c=4;h307?6=,:k36<=m;o1b3?7632e8m=4?:%1bn2d8m:4?;:m0=`<72-9j47=6f:l0e2<632e85i4?:%1bn2d8m:4=;:m0=f<72-9j47=6f:l0e2<432e85o4?:%1bn2d8m:4;;:m0=d<72-9j47=6f:l0e2<232e8544?:%1bn2d8m:49;:m0==<72-9j47=6f:l0e2<032e85;4?:%1bn2d8m:47;:m0=0<72-9j47=6f:l0e2<>32e8594?:%1bn2d8m:4n;:m0=6<72-9j47=6f:l0e2n2d8m:4l;:m0=4<72-9j47=6f:l0e2n2d8m:4j;:m0n2d8m:4>0:9l7=b=83.8m54<9g9m7d1=9810c>6m:18'7d>=;0l0b>o8:008?j5?i3:1(>o7:2;e?k5f?3;876a<8883>!5f0392j6`=h;121<7*5<#;h21?4h4n2c4>40<3f93:7>5$2c;>6?a3g9j;7?8;:m0<0<72-9j47=6f:l0e2<6021d?5:50;&0e=<41o1e?l951898k6>4290/?l6538d8j6g028k07b=72;29 6g?2:3m7c=n7;3a?>i4090;6)=n8;1:b>h4i>0:o65`36d94?"4i1085k5a3`595a=7i;o1b3?7a32e8;n4?:%1bn2d8m:4=0:9l72d=83.8m54<9g9m7d1=:810c>9n:18'7d>=;0l0b>o8:308?j5013:1(>o7:2;e?k5f?38876a<7983>!5f0392j6`=h;>=1<7*854o2c5>5<#;h21?4h4n2c4>70<3f9j97>5$2c;>6?a3g9j;7<8;:m0e1<72-9j47=6f:l0e2<5021d?l=50;&0e=<41o1e?l952898k6g5290/?l6538d8j6g02;k07b=n1;29 6g?2:3m7c=n7;0a?>i41>0;6)=n8;1:b>h4i>09o65`39a94?"4i1085k5a3`596a=7i;o1b3?4a32c2?7>5$2c;><46`o>83:1(>o7:808j6g02;10e5h50;&0e=<>:2d8m:4<;:k;a?6=,:k364<4n2c4>1=h4i>0>76g7c;29 6g?2080b>o8:798m=d=83.8m5462:l0e2<032c2o7>5$2c;><46`o>i3:1(>o7:808j6g02h10e4750;&0e=<>:2d8m:4m;:k:f=h4i>0o76g66;29 6g?2080b>o8:d98m<3=83.8m5462:l0e25$2c;><44;h:b>5<#;h215?5a3`5954=26=4+3`:961>5<#;h21>964n2c4>4==6=4+3`:961>5<#;h21>964n2c4>6=?6=4+3`:961>5<#;h21>964n2c4>0=:6=4+3`:961>5<#;h21>964n2c4>2=5<#;h21>964n2c4><=5<#;h21>964n2c4>g=5<#;h21>964n2c4>a=5<#;h21>964n2c4>c=4;n001?6=,:k36?:7;o1b3?7632e9?94?:%1b2:9l665=83.8m54=499m7d1=9:10c?==:18'7d>=:=20b>o8:068?j4493:1(>o7:36;?k5f?3;>76a=3183>!5f038?46`=h:;l1<7*5<#;h21>964n2c4>4><3f89h7>5$2c;>72?3g9j;7?6;:m115<72-9j47<;8:l0e2<6i21d>9h50;&0e=<5<11e?l951c98k72b290/?l6525:8j6g028i07b<;d;29 6g?2;>37c=n7;3g?>i5h4i>0:i65`25`94?"4i109855a3`595c=j6=4+3`:961>4;n076?6=,:k36?:7;o1b3?4632e9?:4?:%1b=nk>0;6)=n8;a;?k5f?3;0D>ll;:k`2?6=,:k36n64n2c4>7=O;ki07dm::18'7d>=k11e?l953:J0ff=1<7*h4i>0?7E=mc:9jg6<72-9j47m7;o1b3?3<@:hh76gl2;29 6g?2j20b>o8:79K7ge<3`i:6=4+3`:9g==i;h=1;6F!5f03i37c=n7;;8L6dd32co<7>5$2c;>f>odk3:1(>o7:b:8j6g02l1C?om4;haa>5<#;h21o55a3`59b>N4jj10eno50;&0e=0:J0ff=h4i>0:=6F0iw5?517846?0b2881=<49c;52>45=990?;7s+30295g4d3g2>6=5a8682?kge281emn4>;o32f?6<,8;h6>>:;o32b?6h5=80:7c=?f;38j6gf281/?o953b28m4432900e>l>:188m6d52900e<<::188m6d42900e<<7:188m4442900cl;:188m44d2900e>l?:188m4402900e<<=:188m47f2900e<<6:188m4462900cl9:188mg0=83.8m54m5:l0e2<732ci87>5$2c;>g3oe:3:1(>o7:c78j6g02:10eo?50;&0e=0=h4i>0=76gne;29 6g?2k?0b>o8:698mg`=83.8m54m5:l0e25$2c;>g3oek3:1(>o7:c78j6g02k10eol50;&0e=a=h4i>0n76gm8;29 6g?2k?0b>o8:g98mg1=83.8m54m5:l0e2<6821bmi4?:%1b;:mgg?6=,:k36il4n2c4>5=h4i>0:76ak9;29 6g?2mh0b>o8:398ka>=83.8m54kb:l0e2<432eo;7>5$2c;>adic=3:1(>o7:e`8j6g02?10ci:50;&0e===1<7*h4i>0276aj3;29 6g?2mh0b>o8:`98k`4=83.8m54kb:l0e25$2c;>adicn3:1(>o7:e`8j6g02l10cik50;&0e=46<3fn86=4+3`:9`g=i;h=1=<54i24e>5<#;h21?;k4n2c4>5=5<#;h21?;k4n2c4>7=54i24b>5<#;h21?;k4n2c4>1=5<#;h21?;k4n2c4>3=5<#;h21?;k4n2c4>==5<#;h21?;k4n2c4>d=5<#;h21?;k4n2c4>f=5<#;h21?;k4n2c4>`=5<#;h21?;k4n2c4>46<3`9>o7>5$2c;>60b3g9j;7?>;:k01d<72-9j47=9e:l0e2<6:21b?8750;&0e=<4>l1e?l951298m63?290/?l6537g8j6g028>07d=:7;29 6g?2:o4=?0;6)=n8;15a>h4i>0::65f34794?"4i108:h5a3`5952=8j;o1b3?7>32c89?4?:%1ba:9j707=83.8m54<6d9m7d1=9k10e>:i:18'7d>=;?o0b>o8:0a8?l53m3:1(>o7:24f?k5f?3;o76g<4e83>!5f039=i6`=n;=i1<7*5<#;h21?;k4n2c4>76<3`9?m7>5$2c;>60b3g9j;7<>;:k00<<72-9j47=9e:l0e2<5:21b?9650;&0e=<4>l1e?l952298m620290/?l6537g8j6g02;>07d=;6;29 6g?2:o4?<0;6)=n8;15a>h4i>09:65f36694?"4i108:h5a3`5962=8j;o1b3?4>32c8;<4?:%1b89:18'7d>=;?o0b>o8:3a8?l52j3:1(>o7:24f?k5f?38o76g<5183>!5f039=i6`=n;=?1<7*k54i030>5<#;h21=<<4n2c4>5=5<#;h21=<<4n2c4>7=54i02f>5<#;h21=<<4n2c4>1=5<#;h21=<<4n2c4>3=5<#;h21=<<4n2c4>==5<#;h21=<<4n2c4>d=5<#;h21=<<4n2c4>f=5<#;h21=<<4n2c4>`=5<#;h21=<<4n2c4>46<3`;;<7>5$2c;>4753g9j;7?>;:kea?6=,:k365$2c;>4753g9j;7?<;:keg?6=,:k365$2c;>4753g9j;7?:;:kee?6=,:k365$2c;>4753g9j;7?8;:ke5$2c;>4753g9j;7?6;:ke2?6=,:k365$2c;>4753g9j;7?m;:ke7?6=,:k367>5$2c;>4753g9j;7?k;:ke5?6=,:k365$2c;>4753g9j;7?i;:kfb?6=,:k365$2c;>4753g9j;7<>;:kf`?6=,:k365$2c;>4753g9j;7<<;:kff?6=,:k365$2c;>4753g9j;7<:;:k25<<72-9j47?>2:l0e2<5>21b=<650;&0e=<69;1e?l952698m470290/?l651008j6g02;207d?>6;29 6g?28;97c=n7;0:?>o69<0;6)=n8;326>h4i>09m65f10694?"4i10:=?5a3`596g=5<#;h21=<<4n2c4>7b<3`l?6=4+3`:95445<#;h21=<<4n2c4>7`<3f98h7>5$2c;>65d3g9j;7>4;n10f?6=,:k36>=l;o1b3?7<3f98m7>5$2c;>65d3g9j;7<4;n10=?6=,:k36>=l;o1b3?5<3f9847>5$2c;>65d3g9j;7:4;n103?6=,:k36>=l;o1b3?3<3f98:7>5$2c;>65d3g9j;784;n101?6=,:k36>=l;o1b3?1<3f98?7>5$2c;>65d3g9j;764;n106?6=,:k36>=l;o1b3??<3f98=7>5$2c;>65d3g9j;7o4;n104?6=,:k36>=l;o1b3?d<3f99j7>5$2c;>65d3g9j;7m4;n11a?6=,:k36>=l;o1b3?b<3f99h7>5$2c;>65d3g9j;7k4;n11g?6=,:k36>=l;o1b3?`<3f99n7>5$2c;>65d3g9j;7??;:m06d<72-9j47=i4:<0;6)=n8;10g>h4i>0:965`33694?"4i108?n5a3`5953==l;o1b3?7?32e8><4?:%1b9:9l776=83.8m54<3b9m7d1=9h10c>?i:18'7d>=;:i0b>o8:0`8?j56l3:1(>o7:21`?k5f?3;h76a<1b83>!5f0398o6`=h;8h1<7*5<#;h21?>m4n2c4>4`<3f9:57>5$2c;>65d3g9j;75;29 6g?2:9h7c=n7;07?>i49=0;6)=n8;10g>h4i>09965`35194?"4i108?n5a3`5963=96=4+3`:976e=l;o1b3?4?32e88=4?:%1b=j:18'7d>=;:i0b>o8:3`8?j54<3:1(>o7:21`?k5f?38h76a<2883>!5f0398o6`=h;8o1<7*h54o230>5<#;h21?>m4n2c4>7`<3`9;i7>5$2c;>66c3g9j;7>4;h13g?6=,:k36>>k;o1b3?7<3`9;n7>5$2c;>66c3g9j;7<4;h13e?6=,:k36>>k;o1b3?5<3`9;57>5$2c;>66c3g9j;7:4;h13>k;o1b3?3<3`9;;7>5$2c;>66c3g9j;784;h132?6=,:k36>>k;o1b3?1<3`;8o7>5$2c;>45e3g9j;7>4;h30e?6=,:k36<=m;o1b3?7<3`;857>5$2c;>45e3g9j;7<4;h305$2c;>45e3g9j;7:4;h302?6=,:k36<=m;o1b3?3<3`;897>5$2c;>45e3g9j;784;h300?6=,:k36<=m;o1b3?1<3`;?97>5$2c;>45e3g9j;764;h370?6=,:k36<=m;o1b3??<3`;??7>5$2c;>45e3g9j;7o4;h376?6=,:k36<=m;o1b3?d<3`;?=7>5$2c;>45e3g9j;7m4;h374?6=,:k36<=m;o1b3?b<3`;8j7>5$2c;>45e3g9j;7k4;h30a?6=,:k36<=m;o1b3?`<3`;8h7>5$2c;>45e3g9j;7??;:k276<72-9j47?50;&0e=<41o1e?l950:9l7=83.8m54<9g9m7d1=?21d?4850;&0e=<41o1e?l958:9l7<3=83.8m54<9g9m7d1=121d?4:50;&0e=<41o1e?l95a:9l7<5=83.8m54<9g9m7d1=j21d?4<50;&0e=<41o1e?l95c:9l7<7=83.8m54<9g9m7d1=l21d?4>50;&0e=<41o1e?l95e:9l7=`=83.8m54<9g9m7d1=n21d?5k50;&0e=<41o1e?l951198k6>c290/?l6538d8j6g028;07b=7b;29 6g?2:3m7c=n7;31?>i40h0;6)=n8;1:b>h4i>0:?65`39;94?"4i1085k5a3`5951=7i;o1b3?7132e84;4?:%1bn2d8m:4>7:9l7=3=83.8m54<9g9m7d1=9110c>6;:18'7d>=;0l0b>o8:0;8?j5?;3:1(>o7:2;e?k5f?3;j76a<8383>!5f0392j6`=h;1:1<7*5<#;h21?4h4n2c4>4b<3f95$2c;>6?a3g9j;7?j;:m03a<72-9j47=6f:l0e2<6n21d?:m50;&0e=<41o1e?l952198k61e290/?l6538d8j6g02;;07b=8a;29 6g?2:3m7c=n7;01?>i4?00;6)=n8;1:b>h4i>09?65`36:94?"4i1085k5a3`5961=7i;o1b3?4132e8m84?:%1bn2d8m:4=7:9l7d2=83.8m54<9g9m7d1=:110c>o<:18'7d>=;0l0b>o8:3;8?j5f:3:1(>o7:2;e?k5f?38j76a!5f0392j6`=h;0=1<7*n54o2:`>5<#;h21?4h4n2c4>7b<3f93=7>5$2c;>6?a3g9j;74?:%1b4;h;2>5<#;h215?5a3`595>=n190;6)=n8;;1?k5f?3807d6i:18'7d>=1;1e?l953:9j<`<72-9j477=;o1b3?2<3`2o6=4+3`:9=7=i;h=1965f8b83>!5f03397c=n7;48?l>e290/?l65939m7d1=?21b5n4?:%1b5<#;h215?5a3`59=>=n1h0;6)=n8;;1?k5f?3k07d76:18'7d>=1;1e?l95b:9j==<72-9j477=;o1b3?e<3`3<6=4+3`:9=7=i;h=1h65f9783>!5f03397c=n7;g8?l?2290/?l65939m7d1=n21b594?:%1b47<3f8?57>5$2c;>72?3g9j;7>4;n073?6=,:k36?:7;o1b3?7<3f8?:7>5$2c;>72?3g9j;7<4;n071?6=,:k36?:7;o1b3?5<3f8?87>5$2c;>72?3g9j;7:4;n077?6=,:k36?:7;o1b3?3<3f8?=7>5$2c;>72?3g9j;784;n074?6=,:k36?:7;o1b3?1<3f88j7>5$2c;>72?3g9j;764;n00a?6=,:k36?:7;o1b3??<3f88h7>5$2c;>72?3g9j;7o4;n00g?6=,:k36?:7;o1b3?d<3f88n7>5$2c;>72?3g9j;7m4;n00e?6=,:k36?:7;o1b3?b<3f8857>5$2c;>72?3g9j;7k4;n005$2c;>72?3g9j;7??;:m170<72-9j47<;8:l0e2<6921d>>:50;&0e=<5<11e?l951398k754290/?l6525:8j6g028907b<<2;29 6g?2;>37c=n7;37?>i5;80;6)=n8;07<>h4i>0:965`22294?"4i109855a3`5953=i4?:%1b9:9l606=83.8m54=499m7d1=9h10c?:i:18'7d>=:=20b>o8:0`8?j43m3:1(>o7:36;?k5f?3;h76a=4e83>!5f038?46`=h:=i1<7*5<#;h21>964n2c4>4`<3f8?m7>5$2c;>72?3g9j;7>950;&0e=<5<11e?l952398k74d290/?l6525:8j6g02;907dm6:18'7d>=k11e?l950:J0ff=h4i>0:7E=mc:9jg3<72-9j47m7;o1b3?4<@:hh76gl5;29 6g?2j20b>o8:29K7ge<3`i?6=4+3`:9g==i;h=186F!5f03i37c=n7;48L6dd32ch=7>5$2c;>f>odn3:1(>o7:b:8j6g02k1C?om4;haf>5<#;h21o55a3`59g>N4jj10enj50;&0e==nkj0;6)=n8;a;?k5f?3o0D>ll;:k`f?6=,:k36n64n2c4>c=O;ki07dmn:18'7d>=k11e?l95119K7ge<3`i;6=4+3`:9g==i;h=1=<5G3ca8?xd3m<0;6<;n:183!5d938;m6F:04937<1m3;964d5k2d397>4n9595>hfj3;0blm51:l25g<73-;:o7=?5:l25c<73g;8<7?4n32:>4=i:<;1=6`<0g82?k5fi3;0(>l8:2a3?l75<3:17d=m1;29?l5e:3:17d?=5;29?l5e;3:17d?=8;29?l75;3:17b?>e;29?l5e=3:17d=m4;29?l75k3:17d=m0;29?l75?3:17d?=2;29?l76i3:17d?=9;29?l7593:17b?>d;29?l5fn3:17d=m6;29?ld1290/?l65b49m7d1=821bn94?:%1b5<#;h21n85a3`596>=nj;0;6)=n8;`6?k5f?3907dl>:18'7d>=j<1e?l954:9jf5<72-9j47l:;o1b3?3<3`km6=4+3`:9f0=i;h=1:65fad83>!5f03h>7c=n7;58?lda290/?l65b49m7d1=021bnh4?:%1b5<#;h21n85a3`59e>=njj0;6)=n8;`6?k5f?3h07dlm:18'7d>=j<1e?l95c:9jfd<72-9j47l:;o1b3?b<3`h26=4+3`:9f0=i;h=1i65fb983>!5f03h>7c=n7;d8?ld0290/?l65b49m7d1=9910elj50;&0e=1:9l`f<72-9j47jm;o1b3?6<3fnj6=4+3`:9`g=i;h=1=65`d883>!5f03ni7c=n7;08?jb?290/?l65dc9m7d1=;21dh:4?:%1b5<#;h21ho5a3`591>=hl<0;6)=n8;fa?k5f?3<07bj;:18'7d>=lk1e?l957:9la0<72-9j47jm;o1b3?><3fo?6=4+3`:9`g=i;h=1565`e283>!5f03ni7c=n7;c8?jc5290/?l65dc9m7d1=j21di<4?:%1b5<#;h21ho5a3`59`>=hlo0;6)=n8;fa?k5f?3o07bjj:18'7d>=lk1e?l95f:9l`a<72-9j47jm;o1b3?7732eo?7>5$2c;>ad8j;o1b3?6<3`9=h7>5$2c;>60b3g9j;7?4;h15g?6=,:k36>8j;o1b3?4<3`9=n7>5$2c;>60b3g9j;7=4;h15e?6=,:k36>8j;o1b3?2<3`9=57>5$2c;>60b3g9j;7;4;h158j;o1b3?0<3`9=;7>5$2c;>60b3g9j;794;h151?6=,:k36>8j;o1b3?><3`9=87>5$2c;>60b3g9j;774;h157?6=,:k36>8j;o1b3?g<3`9=>7>5$2c;>60b3g9j;7l4;h155?6=,:k36>8j;o1b3?e<3`9=<7>5$2c;>60b3g9j;7j4;h16b?6=,:k36>8j;o1b3?c<3`9>i7>5$2c;>60b3g9j;7h4;h16`?6=,:k36>8j;o1b3?7732c89n4?:%1b1:9j70g=83.8m54<6d9m7d1=9;10e>;6:18'7d>=;?o0b>o8:018?l5203:1(>o7:24f?k5f?3;?76g<5683>!5f039=i6`=n;<<1<7*5<#;h21?;k4n2c4>41<3`9>87>5$2c;>60b3g9j;7?7;:k016<72-9j47=9e:l0e2<6121b?8<50;&0e=<4>l1e?l951`98m636290/?l6537g8j6g028h07d=;f;29 6g?2:o4h4i>0:h65f35f94?"4i108:h5a3`595`=h6=4+3`:973c8j;o1b3?4732c88l4?:%1b:7:18'7d>=;?o0b>o8:318?l53?3:1(>o7:24f?k5f?38?76g<4783>!5f039=i6`=n;>?1<7*;54i257>5<#;h21?;k4n2c4>71<3`95$2c;>60b3g9j;7<7;:k037<72-9j47=9e:l0e2<5121b?:?50;&0e=<4>l1e?l952`98m617290/?l6537g8j6g02;h07d=96;29 6g?2:o4=k0;6)=n8;15a>h4i>09h65f34294?"4i108:h5a3`596`=>6=4+3`:973c5$2c;>4753g9j;7?4;h324?6=,:k365$2c;>4753g9j;7=4;h33a?6=,:k365$2c;>4753g9j;7;4;h33g?6=,:k365$2c;>4753g9j;794;h33=?6=,:k36<3`;;47>5$2c;>4753g9j;774;h333?6=,:k365$2c;>4753g9j;7l4;h331?6=,:k365$2c;>4753g9j;7j4;h337?6=,:k367>5$2c;>4753g9j;7h4;h335?6=,:k361:9jb`<72-9j47?>2:l0e2<6:21bji4?:%1b3:9jbf<72-9j47?>2:l0e2<6<21bjo4?:%1b5:9jbd<72-9j47?>2:l0e2<6>21bj44?:%1b7:9jb=<72-9j47?>2:l0e2<6021bj:4?:%1b9:9jb3<72-9j47?>2:l0e2<6i21bj84?:%1bb:9jb6<72-9j47?>2:l0e2<6k21bj?4?:%1bd:9jb4<72-9j47?>2:l0e2<6m21bj=4?:%1bf:9jac<72-9j47?>2:l0e2<5821bih4?:%1b2:l0e2<5:21bin4?:%1b2:l0e2<5<21bil4?:%1b139m7d1=:?10e=9880b>o8:358?l76?3:1(>o7:031?k5f?38376g>1783>!5f03;:>6`=n98?1<7*l54i037>5<#;h21=<<4n2c4>7d<3`;;m7>5$2c;>4753g9j;75$2c;>4753g9j;74?:%1b32e8?<4?:%1bk4?:%1bi4?:%1bo4?:%1b0:9l77g=83.8m54<3b9m7d1=9810c><7:18'7d>=;:i0b>o8:008?j55?3:1(>o7:21`?k5f?3;876a<2783>!5f0398o6`=h;;?1<7*5<#;h21?>m4n2c4>40<3f99?7>5$2c;>65d3g9j;7?8;:m067<72-9j47=f;29 6g?2:9h7c=n7;3a?>i49m0;6)=n8;10g>h4i>0:o65`30a94?"4i108?n5a3`595a==l;o1b3?7a32e8=44?:%1b=83.8m54<3b9m7d1=:810c>?8:18'7d>=;:i0b>o8:308?j56>3:1(>o7:21`?k5f?38876a<1483>!5f0398o6`=h;8>1<7*854o260>5<#;h21?>m4n2c4>70<3f9?>7>5$2c;>65d3g9j;7<8;:m004<72-9j47=50;&0e=<4;j1e?l952898k65a290/?l6532a8j6g02;k07b=i4;=0;6)=n8;10g>h4i>09o65`33;94?"4i108?n5a3`596a==l;o1b3?4a32c832c:8>4?:%1b0:9j565=83.8m54>3c9m7d1=9810c>o?:18'7d>=;0l0b>o8:198k6?b290/?l6538d8j6g02810c>7k:18'7d>=;0l0b>o8:398k6?d290/?l6538d8j6g02:10c>7m:18'7d>=;0l0b>o8:598k6?f290/?l6538d8j6g02<10c>76:18'7d>=;0l0b>o8:798k6??290/?l6538d8j6g02>10c>79:18'7d>=;0l0b>o8:998k6?2290/?l6538d8j6g02010c>7;:18'7d>=;0l0b>o8:`98k6?4290/?l6538d8j6g02k10c>7=:18'7d>=;0l0b>o8:b98k6?6290/?l6538d8j6g02m10c>7?:18'7d>=;0l0b>o8:d98k6>a290/?l6538d8j6g02o10c>6j:18'7d>=;0l0b>o8:028?j5?l3:1(>o7:2;e?k5f?3;:76a<8c83>!5f0392j6`=h;1k1<7*54o2::>5<#;h21?4h4n2c4>42<3f9347>5$2c;>6?a3g9j;7?:;:m0<2<72-9j47=6f:l0e2<6>21d?5850;&0e=<41o1e?l951698k6>2290/?l6538d8j6g028207b=74;29 6g?2:3m7c=n7;3:?>i40:0;6)=n8;1:b>h4i>0:m65`39094?"4i1085k5a3`595g=7i;o1b3?7c32e8;h4?:%1bn2d8m:4>e:9l72b=83.8m54<9g9m7d1=9o10c>9l:18'7d>=;0l0b>o8:328?j50j3:1(>o7:2;e?k5f?38:76a<7`83>!5f0392j6`=h;>31<7*>54o25;>5<#;h21?4h4n2c4>72<3f9<;7>5$2c;>6?a3g9j;7<:;:m0e3<72-9j47=6f:l0e2<5>21d?l;50;&0e=<41o1e?l952698k6g3290/?l6538d8j6g02;207b=n3;29 6g?2:3m7c=n7;0:?>i4i;0;6)=n8;1:b>h4i>09m65`3`394?"4i1085k5a3`596g=7i;o1b3?4c32e84<4?:%1bn2d8m:4=e:9l720=83.8m54<9g9m7d1=:o10e4=50;&0e=<>:2d8m:4?;:k:5?6=,:k364<4n2c4>4=h4i>0976g7f;29 6g?2080b>o8:298m=c=83.8m5462:l0e2<332c3h7>5$2c;><46`o?j3:1(>o7:808j6g02>10e4m50;&0e=<>:2d8m:47;:k:f?6=,:k364<4n2c4><=h4i>0j76g69;29 6g?2080b>o8:c98m<>=83.8m5462:l0e25$2c;><46`o>=3:1(>o7:808j6g02o10e4:50;&0e=<>:2d8m:4>0:9j32e9?i4?:%1b0:9l663=83.8m54=499m7d1=9810c?=;:18'7d>=:=20b>o8:008?j44;3:1(>o7:36;?k5f?3;876a=3383>!5f038?46`=h::;1<7*5<#;h21>964n2c4>40<3f89j7>5$2c;>72?3g9j;7?8;:m16`<72-9j47<;8:l0e2<6021d>?j50;&0e=<5<11e?l951898k737290/?l6525:8j6g028k07b<;f;29 6g?2;>37c=n7;3a?>i5h4i>0:o65`25f94?"4i109855a3`595a=h6=4+3`:961>=:=20b>o8:308?j45k3:1(>o7:36;?k5f?38876gl9;29 6g?2j20b>o8:19K7ge<3`i<6=4+3`:9g==i;h=1=6F!5f03i37c=n7;18L6dd32ch87>5$2c;>f>oc:3:1(>o7:b:8j6g0211C?om4;hf2>5<#;h21o55a3`59=>N4jj10ei>50;&0e==nko0;6)=n8;a;?k5f?3h0D>ll;:k`a?6=,:k36n64n2c4>f=O;ki07dmk:18'7d>=k11e?l95d:J0ff=h4i>0n7E=mc:9jgg<72-9j47m7;o1b3?`<@:hh76gla;29 6g?2j20b>o8:028L6dd32ch<7>5$2c;>f>{e24=>l0:>7?>:7a934<6;3;;6995}%124?7e:j1e484?;o:4>4=iik0:7col:09m54d=82.:=n4<049m54`=82d:?=4>;o03=?7h4ih0:7)=m7;1`4>o6:=0;66g>o4j;0;66g>2483>>o4j:0;66g>2983>>o6::0;66a>1d83>>o4j<0;66g>o6:j0;66g>o6:>0;66g>2383>>o69h0;66g>2883>>o6:80;66a>1e83>>o4io0;66g>oe>3:1(>o7:c78j6g02910eo:50;&0e=;:ka7?6=,:k36o;4n2c4>7=h4i>0876gm1;29 6g?2k?0b>o8:598mg6=83.8m54m5:l0e2<232cjj7>5$2c;>g3oen3:1(>o7:c78j6g02110eok50;&0e=d=h4i>0i76gmb;29 6g?2k?0b>o8:b98mgg=83.8m54m5:l0e25$2c;>g3oe?3:1(>o7:c78j6g028:07dok:18'7d>=j<1e?l951098kae=83.8m54kb:l0e2<732eom7>5$2c;>adic03:1(>o7:e`8j6g02:10ci950;&0e=0=h4i>0=76ak4;29 6g?2mh0b>o8:698k`3=83.8m54kb:l0e25$2c;>adib:3:1(>o7:e`8j6g02k10ch?50;&0e=a=h4i>0n76ake;29 6g?2mh0b>o8:g98kab=83.8m54kb:l0e2<6821dh>4?:%1b;:k02c<72-9j47=9e:l0e2<732c8:i4?:%1b;:k02f<72-9j47=9e:l0e2<532c8:o4?:%1bl1e?l951098m63f290/?l6537g8j6g028807d=:9;29 6g?2:o4=10;6)=n8;15a>h4i>0:865f34594?"4i108:h5a3`5950=8j;o1b3?7032c8994?:%1b8:9j705=83.8m54<6d9m7d1=9010e>;=:18'7d>=;?o0b>o8:0c8?l5293:1(>o7:24f?k5f?3;i76g<4g83>!5f039=i6`=n;=o1<7*5<#;h21?;k4n2c4>4c<3`9?o7>5$2c;>60b3g9j;7?i;:k00g<72-9j47=9e:l0e2<5821b?9o50;&0e=<4>l1e?l952098m62>290/?l6537g8j6g02;807d=;8;29 6g?2:o4<>0;6)=n8;15a>h4i>09865f35494?"4i108:h5a3`5960=6=4+3`:973c8j;o1b3?4032c8;>4?:%1b9>:18'7d>=;?o0b>o8:3c8?l5083:1(>o7:24f?k5f?38i76g<6783>!5f039=i6`=n;i54i273>5<#;h21?;k4n2c4>7c<3`9?97>5$2c;>60b3g9j;72:l0e2<732c:=<4?:%1b;:k255<72-9j47?>2:l0e2<532c:2:l0e2<332c:2:l0e2<132c:2:l0e22:l0e22:l0e22:l0e22:l0e2<6821b==>50;&0e=<69;1e?l951098mcc=83.8m54>139m7d1=9;10ekj50;&0e=<69;1e?l951298mce=83.8m54>139m7d1=9=10ekl50;&0e=<69;1e?l951498mcg=83.8m54>139m7d1=9?10ek750;&0e=<69;1e?l951698mc>=83.8m54>139m7d1=9110ek950;&0e=<69;1e?l951898mc0=83.8m54>139m7d1=9h10ek;50;&0e=<69;1e?l951c98mc5=83.8m54>139m7d1=9j10ek<50;&0e=<69;1e?l951e98mc7=83.8m54>139m7d1=9l10ek>50;&0e=<69;1e?l951g98m``=83.8m54>139m7d1=:910ehk50;&0e=<69;1e?l952098m`b=83.8m54>139m7d1=:;10ehm50;&0e=<69;1e?l952298m`d=83.8m54>139m7d1=:=10eho50;&0e=<69;1e?l952498m47>290/?l651008j6g02;<07d?>8;29 6g?28;97c=n7;04?>o69>0;6)=n8;326>h4i>09465f10494?"4i10:=?5a3`596<=6=4+3`:95442:l0e2<5l21bj94?:%1b2:l0e2<5n21d?>j50;&0e=<4;j1e?l950:9l76d=83.8m54<3b9m7d1=921d?>o50;&0e=<4;j1e?l952:9l76?=83.8m54<3b9m7d1=;21d?>650;&0e=<4;j1e?l954:9l761=83.8m54<3b9m7d1==21d?>850;&0e=<4;j1e?l956:9l763=83.8m54<3b9m7d1=?21d?>=50;&0e=<4;j1e?l958:9l764=83.8m54<3b9m7d1=121d?>?50;&0e=<4;j1e?l95a:9l766=83.8m54<3b9m7d1=j21d??h50;&0e=<4;j1e?l95c:9l77c=83.8m54<3b9m7d1=l21d??j50;&0e=<4;j1e?l95e:9l77e=83.8m54<3b9m7d1=n21d??l50;&0e=<4;j1e?l951198k64f290/?l6532a8j6g028;07b==8;29 6g?2:9h7c=n7;31?>i4:>0;6)=n8;10g>h4i>0:?65`33494?"4i108?n5a3`5951=6=4+3`:976e=l;o1b3?7132e8>>4?:%1b7:9l774=83.8m54<3b9m7d1=9110c><>:18'7d>=;:i0b>o8:0;8?j5583:1(>o7:21`?k5f?3;j76a<1g83>!5f0398o6`=h;8n1<7*5<#;h21?>m4n2c4>4b<3f9:n7>5$2c;>65d3g9j;7?j;:m05d<72-9j47=7;29 6g?2:9h7c=n7;01?>i49?0;6)=n8;10g>h4i>09?65`30794?"4i108?n5a3`5961==l;o1b3?4132e88?4?:%1b:?:18'7d>=;:i0b>o8:3;8?j54n3:1(>o7:21`?k5f?38j76a<3d83>!5f0398o6`=h;:>1<7*n54o20:>5<#;h21?>m4n2c4>7b<3f9:i7>5$2c;>65d3g9j;7=83.8m54<0e9m7d1==21b?=950;&0e=<48m1e?l956:9j750=83.8m54<0e9m7d1=?21b=>m50;&0e=<6;k1e?l950:9j56g=83.8m54>3c9m7d1=921b=>750;&0e=<6;k1e?l952:9j56>=83.8m54>3c9m7d1=;21b=>950;&0e=<6;k1e?l954:9j560=83.8m54>3c9m7d1==21b=>;50;&0e=<6;k1e?l956:9j562=83.8m54>3c9m7d1=?21b=9;50;&0e=<6;k1e?l958:9j512=83.8m54>3c9m7d1=121b=9=50;&0e=<6;k1e?l95a:9j514=83.8m54>3c9m7d1=j21b=9?50;&0e=<6;k1e?l95c:9j516=83.8m54>3c9m7d1=l21b=>h50;&0e=<6;k1e?l95e:9j56c=83.8m54>3c9m7d1=n21b=>j50;&0e=<6;k1e?l951198m454290/?l6512`8j6g028;07b=n0;29 6g?2:3m7c=n7;28?j5>m3:1(>o7:2;e?k5f?3;07b=6d;29 6g?2:3m7c=n7;08?j5>k3:1(>o7:2;e?k5f?3907b=6b;29 6g?2:3m7c=n7;68?j5>i3:1(>o7:2;e?k5f?3?07b=69;29 6g?2:3m7c=n7;48?j5>03:1(>o7:2;e?k5f?3=07b=66;29 6g?2:3m7c=n7;:8?j5>=3:1(>o7:2;e?k5f?3307b=64;29 6g?2:3m7c=n7;c8?j5>;3:1(>o7:2;e?k5f?3h07b=62;29 6g?2:3m7c=n7;a8?j5>93:1(>o7:2;e?k5f?3n07b=60;29 6g?2:3m7c=n7;g8?j5?n3:1(>o7:2;e?k5f?3l07b=7e;29 6g?2:3m7c=n7;33?>i40m0;6)=n8;1:b>h4i>0:=65`39`94?"4i1085k5a3`5957=7i;o1b3?7332e8454?:%1bn2d8m:4>5:9l7=1=83.8m54<9g9m7d1=9?10c>69:18'7d>=;0l0b>o8:058?j5?=3:1(>o7:2;e?k5f?3;376a<8583>!5f0392j6`=h;191<7*5<#;h21?4h4n2c4>4d<3f93<7>5$2c;>6?a3g9j;7?l;:m03c<72-9j47=6f:l0e2<6l21d?:k50;&0e=<41o1e?l951d98k61c290/?l6538d8j6g028l07b=8c;29 6g?2:3m7c=n7;03?>i4?k0;6)=n8;1:b>h4i>09=65`36c94?"4i1085k5a3`5967=7i;o1b3?4332e8;:4?:%1bn2d8m:4=5:9l7d0=83.8m54<9g9m7d1=:?10c>o::18'7d>=;0l0b>o8:358?j5f<3:1(>o7:2;e?k5f?38376a!5f0392j6`=h;h81<7*l54o2c2>5<#;h21?4h4n2c4>7d<3f92;7>5$2c;>6?a3g9j;7=1;1e?l950:9j=4<72-9j477=;o1b3?7<3`3;6=4+3`:9=7=i;h=1>65f8g83>!5f03397c=n7;18?l>b290/?l65939m7d1=<21b4i4?:%1b5<#;h215?5a3`592>=n0k0;6)=n8;;1?k5f?3=07d7l:18'7d>=1;1e?l958:9j=g<72-9j477=;o1b3??<3`3j6=4+3`:9=7=i;h=1m65f9883>!5f03397c=n7;`8?l??290/?l65939m7d1=k21b5:4?:%1b5<#;h215?5a3`59a>=n1<0;6)=n8;;1?k5f?3l07d7;:18'7d>=1;1e?l951198m=g=83.8m5462:l0e2<6921d>9750;&0e=<5<11e?l950:9l611=83.8m54=499m7d1=921d>9850;&0e=<5<11e?l952:9l613=83.8m54=499m7d1=;21d>9:50;&0e=<5<11e?l954:9l615=83.8m54=499m7d1==21d>9?50;&0e=<5<11e?l956:9l616=83.8m54=499m7d1=?21d>>h50;&0e=<5<11e?l958:9l66c=83.8m54=499m7d1=121d>>j50;&0e=<5<11e?l95a:9l66e=83.8m54=499m7d1=j21d>>l50;&0e=<5<11e?l95c:9l66g=83.8m54=499m7d1=l21d>>750;&0e=<5<11e?l95e:9l66>=83.8m54=499m7d1=n21d>>850;&0e=<5<11e?l951198k752290/?l6525:8j6g028;07b<<4;29 6g?2;>37c=n7;31?>i5;:0;6)=n8;07<>h4i>0:?65`22094?"4i109855a3`5951=k4?:%1b7:9l67c=83.8m54=499m7d1=9110c?=:=20b>o8:0;8?j4283:1(>o7:36;?k5f?3;j76a=4g83>!5f038?46`=h:=o1<7*5<#;h21>964n2c4>4b<3f8?o7>5$2c;>72?3g9j;7?j;:m10g<72-9j47<;8:l0e2<6n21d>9o50;&0e=<5<11e?l952198k725290/?l6525:8j6g02;;07b<<7;29 6g?2;>37c=n7;01?>i5:j0;6)=n8;07<>h4i>09?65fc883>!5f03i37c=n7;28L6dd32ch;7>5$2c;>f>od;3:1(>o7:b:8j6g02<1C?om4;ha1>5<#;h21o55a3`592>N4jj10en?50;&0e==nl;0;6)=n8;a;?k5f?320D>ll;:kg5?6=,:k36n64n2c4><=O;ki07dj?:18'7d>=k11e?l95a:J0ff=h4i>0i7E=mc:9jg`<72-9j47m7;o1b3?e<@:hh76gld;29 6g?2j20b>o8:e9K7ge<3`ih6=4+3`:9g==i;h=1i6F!5f03i37c=n7;33?M5ek21bo=4?:%1b;I1ag>=zj=o<6=4>5`83>5}#;j;1>=o4H2aa?M5d;2Pn;7lt80822?152?o1=?4>1;4`>27=9:0:<7:8:|&055<6j;i0b5;50:l;3?7>51:l14<<63g8>=7?4n22e>4=i;hk1=6*1<75f3c394?=n;k81<75f13794?=n;k91<75f13:94?=n9;91<75`10g94?=n;k?1<75f3c694?=n9;i1<75f3c294?=n9;=1<75f13094?=n98k1<75f13;94?=n9;;1<75`10f94?=n;hl1<75f3c494?=nj?0;6)=n8;`6?k5f?3:07dl;:18'7d>=j<1e?l951:9jf6<72-9j47l:;o1b3?4<3`h96=4+3`:9f0=i;h=1?65fb083>!5f03h>7c=n7;68?ld7290/?l65b49m7d1==21bmk4?:%1b5<#;h21n85a3`593>=njo0;6)=n8;`6?k5f?3207dlj:18'7d>=j<1e?l959:9jfa<72-9j47l:;o1b3?g<3`hh6=4+3`:9f0=i;h=1n65fbc83>!5f03h>7c=n7;a8?ldf290/?l65b49m7d1=l21bn44?:%1b5<#;h21n85a3`59b>=nj>0;6)=n8;`6?k5f?3;;76gnd;29 6g?2k?0b>o8:038?jbd290/?l65dc9m7d1=821dhl4?:%1b5<#;h21ho5a3`596>=hl10;6)=n8;fa?k5f?3907bj8:18'7d>=lk1e?l954:9l`3<72-9j47jm;o1b3?3<3fn>6=4+3`:9`g=i;h=1:65`d583>!5f03ni7c=n7;58?jc2290/?l65dc9m7d1=021di94?:%1b5<#;h21ho5a3`59e>=hm;0;6)=n8;fa?k5f?3h07bk>:18'7d>=lk1e?l95c:9la5<72-9j47jm;o1b3?b<3fnm6=4+3`:9`g=i;h=1i65`dd83>!5f03ni7c=n7;d8?jbc290/?l65dc9m7d1=9910ci=50;&0e=1:9j73`=83.8m54<6d9m7d1=821b?;j50;&0e=<4>l1e?l951:9j73e=83.8m54<6d9m7d1=:21b?;l50;&0e=<4>l1e?l953:9j73g=83.8m54<6d9m7d1=<21b?;750;&0e=<4>l1e?l955:9j73>=83.8m54<6d9m7d1=>21b?;950;&0e=<4>l1e?l957:9j733=83.8m54<6d9m7d1=021b?;:50;&0e=<4>l1e?l959:9j735=83.8m54<6d9m7d1=i21b?;<50;&0e=<4>l1e?l95b:9j737=83.8m54<6d9m7d1=k21b?;>50;&0e=<4>l1e?l95d:9j70`=83.8m54<6d9m7d1=m21b?8k50;&0e=<4>l1e?l95f:9j70b=83.8m54<6d9m7d1=9910e>;l:18'7d>=;?o0b>o8:038?l52i3:1(>o7:24f?k5f?3;976g<5883>!5f039=i6`=n;<21<7*5<#;h21?;k4n2c4>43<3`9>:7>5$2c;>60b3g9j;7?9;:k010<72-9j47=9e:l0e2<6?21b?8:50;&0e=<4>l1e?l951998m634290/?l6537g8j6g028307d=:2;29 6g?2:o4=80;6)=n8;15a>h4i>0:n65f35d94?"4i108:h5a3`595f=n6=4+3`:973c8j;o1b3?7b32c88n4?:%1bf:9j71d=83.8m54<6d9m7d1=:910e>:n:18'7d>=;?o0b>o8:338?l5313:1(>o7:24f?k5f?38976g<4983>!5f039=i6`=n;==1<7*954i265>5<#;h21?;k4n2c4>73<3`9<97>5$2c;>60b3g9j;7<9;:k031<72-9j47=9e:l0e2<5?21b?:=50;&0e=<4>l1e?l952998m615290/?l6537g8j6g02;307d=81;29 6g?2:o4?90;6)=n8;15a>h4i>09n65f37494?"4i108:h5a3`596f=8j;o1b3?4b32c8884?:%1b139m7d1=821b=139m7d1=:21b==h50;&0e=<69;1e?l953:9j55c=83.8m54>139m7d1=<21b==j50;&0e=<69;1e?l955:9j55e=83.8m54>139m7d1=>21b==l50;&0e=<69;1e?l957:9j55?=83.8m54>139m7d1=021b==650;&0e=<69;1e?l959:9j551=83.8m54>139m7d1=i21b==850;&0e=<69;1e?l95b:9j553=83.8m54>139m7d1=k21b==:50;&0e=<69;1e?l95d:9j555=83.8m54>139m7d1=m21b==<50;&0e=<69;1e?l95f:9j557=83.8m54>139m7d1=9910e<>?:18'7d>=9880b>o8:038?l`b290/?l651008j6g028807dhk:18'7d>=9880b>o8:018?l`d290/?l651008j6g028>07dhm:18'7d>=9880b>o8:078?l`f290/?l651008j6g028<07dh6:18'7d>=9880b>o8:058?l`?290/?l651008j6g028207dh8:18'7d>=9880b>o8:0;8?l`1290/?l651008j6g028k07dh::18'7d>=9880b>o8:0`8?l`4290/?l651008j6g028i07dh=:18'7d>=9880b>o8:0f8?l`6290/?l651008j6g028o07dh?:18'7d>=9880b>o8:0d8?lca290/?l651008j6g02;:07dkj:18'7d>=9880b>o8:338?lcc290/?l651008j6g02;807dkl:18'7d>=9880b>o8:318?lce290/?l651008j6g02;>07dkn:18'7d>=9880b>o8:378?l7613:1(>o7:031?k5f?38=76g>1983>!5f03;:>6`=n98=1<7*554i035>5<#;h21=<<4n2c4>7?<3`;:97>5$2c;>4753g9j;72:l0e2<5j21b==o50;&0e=<69;1e?l952b98mc`=83.8m54>139m7d1=:m10ek:50;&0e=<69;1e?l952d98m`?=83.8m54>139m7d1=:o10c>=k:18'7d>=;:i0b>o8:198k65e290/?l6532a8j6g02810c>=n:18'7d>=;:i0b>o8:398k65>290/?l6532a8j6g02:10c>=7:18'7d>=;:i0b>o8:598k650290/?l6532a8j6g02<10c>=9:18'7d>=;:i0b>o8:798k652290/?l6532a8j6g02>10c>=<:18'7d>=;:i0b>o8:998k655290/?l6532a8j6g02010c>=>:18'7d>=;:i0b>o8:`98k657290/?l6532a8j6g02k10c>=;:i0b>o8:b98k64b290/?l6532a8j6g02m10c>=;:i0b>o8:d98k64d290/?l6532a8j6g02o10c>=;:i0b>o8:028?j55i3:1(>o7:21`?k5f?3;:76a<2983>!5f0398o6`=h;;=1<7*54o205>5<#;h21?>m4n2c4>42<3f9997>5$2c;>65d3g9j;7?:;:m061<72-9j47=21d??=50;&0e=<4;j1e?l951698k645290/?l6532a8j6g028207b==1;29 6g?2:9h7c=n7;3:?>i4:90;6)=n8;10g>h4i>0:m65`30d94?"4i108?n5a3`595g==l;o1b3?7c32e8=o4?:%1be:9l74g=83.8m54<3b9m7d1=9o10c>?6:18'7d>=;:i0b>o8:328?j5603:1(>o7:21`?k5f?38:76a<1683>!5f0398o6`=h;8<1<7*>54o236>5<#;h21?>m4n2c4>72<3f9:87>5$2c;>65d3g9j;7<:;:m006<72-9j47=21d?9<50;&0e=<4;j1e?l952698k626290/?l6532a8j6g02;207b=;0;29 6g?2:9h7c=n7;0:?>i4;o0;6)=n8;10g>h4i>09m65`32g94?"4i108?n5a3`596g==l;o1b3?4c32e8=h4?:%1b>j:18'7d>=;9n0b>o8:198m66d290/?l6531f8j6g02810e>>m:18'7d>=;9n0b>o8:398m66f290/?l6531f8j6g02:10e>>6:18'7d>=;9n0b>o8:598m66?290/?l6531f8j6g02<10e>>8:18'7d>=;9n0b>o8:798m661290/?l6531f8j6g02>10e<=l:18'7d>=9:h0b>o8:198m45f290/?l6512`8j6g02810e<=6:18'7d>=9:h0b>o8:398m45?290/?l6512`8j6g02:10e<=8:18'7d>=9:h0b>o8:598m451290/?l6512`8j6g02<10e<=::18'7d>=9:h0b>o8:798m453290/?l6512`8j6g02>10e<:::18'7d>=9:h0b>o8:998m423290/?l6512`8j6g02010e<:<:18'7d>=9:h0b>o8:`98m425290/?l6512`8j6g02k10e<:>:18'7d>=9:h0b>o8:b98m427290/?l6512`8j6g02m10e<=i:18'7d>=9:h0b>o8:d98m45b290/?l6512`8j6g02o10e<=k:18'7d>=9:h0b>o8:028?l74;3:1(>o7:01a?k5f?3;:76a!5f0392j6`i41l0;6)=n8;1:b>h4i>0:76a<9e83>!5f0392j6`i41j0;6)=n8;1:b>h4i>0876a<9c83>!5f0392j6`i41h0;6)=n8;1:b>h4i>0>76a<9883>!5f0392j6`i4110;6)=n8;1:b>h4i>0<76a<9783>!5f0392j6`i41<0;6)=n8;1:b>h4i>0276a<9583>!5f0392j6`i41:0;6)=n8;1:b>h4i>0i76a<9383>!5f0392j6`i4180;6)=n8;1:b>h4i>0o76a<9183>!5f0392j6`i40o0;6)=n8;1:b>h4i>0m76a<8d83>!5f0392j6`=h;1n1<7*5<#;h21?4h4n2c4>44<3f93m7>5$2c;>6?a3g9j;7?<;:m0<<<72-9j47=6f:l0e2<6<21d?5650;&0e=<41o1e?l951498k6>0290/?l6538d8j6g028<07b=76;29 6g?2:3m7c=n7;34?>i40<0;6)=n8;1:b>h4i>0:465`39694?"4i1085k5a3`595<=7i;o1b3?7e32e84=4?:%1bn2d8m:4>c:9l72`=83.8m54<9g9m7d1=9m10c>9j:18'7d>=;0l0b>o8:0g8?j50l3:1(>o7:2;e?k5f?3;m76a<7b83>!5f0392j6`=h;>h1<7*<54o25b>5<#;h21?4h4n2c4>74<3f9<57>5$2c;>6?a3g9j;7<<;:m03=<72-9j47=6f:l0e2<5<21d?:950;&0e=<41o1e?l952498k6g1290/?l6538d8j6g02;<07b=n5;29 6g?2:3m7c=n7;04?>i4i=0;6)=n8;1:b>h4i>09465`3`194?"4i1085k5a3`596<=7i;o1b3?4e32e85:4?:%1bn2d8m:4=c:9l7=e=83.8m54<9g9m7d1=:m10c>6>:18'7d>=;0l0b>o8:3g8?j50>3:1(>o7:2;e?k5f?38m76g63;29 6g?2080b>o8:198m<7=83.8m5462:l0e2<632c2<7>5$2c;><46`o?m3:1(>o7:808j6g02=10e5j50;&0e=<>:2d8m:4:;:k;g?6=,:k364<4n2c4>3=h4i>0<76g6c;29 6g?2080b>o8:998m32c2m7>5$2c;><46`o>03:1(>o7:808j6g02j10e4950;&0e=<>:2d8m:4k;:k:2?6=,:k364<4n2c4>`=h4i>0m76g64;29 6g?2080b>o8:028?l>f290/?l65939m7d1=9810c?:6:18'7d>=:=20b>o8:198k720290/?l6525:8j6g02810c?:9:18'7d>=:=20b>o8:398k722290/?l6525:8j6g02:10c?:;:18'7d>=:=20b>o8:598k724290/?l6525:8j6g02<10c?:>:18'7d>=:=20b>o8:798k727290/?l6525:8j6g02>10c?=i:18'7d>=:=20b>o8:998k75b290/?l6525:8j6g02010c?=k:18'7d>=:=20b>o8:`98k75d290/?l6525:8j6g02k10c?=m:18'7d>=:=20b>o8:b98k75f290/?l6525:8j6g02m10c?=6:18'7d>=:=20b>o8:d98k75?290/?l6525:8j6g02o10c?=9:18'7d>=:=20b>o8:028?j44=3:1(>o7:36;?k5f?3;:76a=3583>!5f038?46`=h::91<7*54o311>5<#;h21>964n2c4>42<3f88=7>5$2c;>72?3g9j;7?:;:m175<72-9j47<;8:l0e2<6>21d>?h50;&0e=<5<11e?l951698k74b290/?l6525:8j6g028207b<=d;29 6g?2;>37c=n7;3:?>i5=90;6)=n8;07<>h4i>0:m65`25d94?"4i109855a3`595g=n6=4+3`:961>e:9l61d=83.8m54=499m7d1=9o10c?:n:18'7d>=:=20b>o8:328?j43:3:1(>o7:36;?k5f?38:76a=3683>!5f038?46`=h:;i1<7*>54ib;94?"4i10h46`od>3:1(>o7:b:8j6g02;1C?om4;ha6>5<#;h21o55a3`597>N4jj10en:50;&0e==nk:0;6)=n8;a;?k5f?3?0D>ll;:k`6?6=,:k36n64n2c4>3=O;ki07dm>:18'7d>=k11e?l957:J0ff=h4i>037E=mc:9j`4<72-9j47m7;o1b3??<@:hh76gk0;29 6g?2j20b>o8:`9K7ge<3`im6=4+3`:9g==i;h=1n6F!5f03i37c=n7;f8L6dd32cho7>5$2c;>f>N4jj10en>50;&0e=1:J0ff=n47>514c94?6|,:i:6?>n;I1`f>N4k:1Qi:4m{93953<0:3;o13b?75<5<5<5<6=44i2`7>5<5<5<5<5<5<h4i>0;76gm4;29 6g?2k?0b>o8:098mg5=83.8m54m5:l0e2<532ci>7>5$2c;>g354ic394?"4i10i96`oe83:1(>o7:c78j6g02<10elh50;&0e=2=h4i>0376gme;29 6g?2k?0b>o8:898mgb=83.8m54m5:l0e25$2c;>g3oei3:1(>o7:c78j6g02m10eo750;&0e=c=h4i>0:<65fae83>!5f03h>7c=n7;32?>ick3:1(>o7:e`8j6g02910cio50;&0e=;:mg=?6=,:k36il4n2c4>7=h4i>0876ak7;29 6g?2mh0b>o8:598ka0=83.8m54kb:l0e2<232eo97>5$2c;>adib=3:1(>o7:e`8j6g02110ch:50;&0e=d=h4i>0i76aj1;29 6g?2mh0b>o8:b98k`6=83.8m54kb:l0e25$2c;>adicl3:1(>o7:e`8j6g028:07bj<:18'7d>=lk1e?l951098m60a290/?l6537g8j6g02910e>8k:18'7d>=;?o0b>o8:098m60d290/?l6537g8j6g02;10e>8m:18'7d>=;?o0b>o8:298m60f290/?l6537g8j6g02=10e>86:18'7d>=;?o0b>o8:498m60?290/?l6537g8j6g02?10e>88:18'7d>=;?o0b>o8:698m602290/?l6537g8j6g02110e>8;:18'7d>=;?o0b>o8:898m604290/?l6537g8j6g02h10e>8=:18'7d>=;?o0b>o8:c98m606290/?l6537g8j6g02j10e>8?:18'7d>=;?o0b>o8:e98m63a290/?l6537g8j6g02l10e>;j:18'7d>=;?o0b>o8:g98m63c290/?l6537g8j6g028:07d=:c;29 6g?2:o4=h0;6)=n8;15a>h4i>0:>65f34;94?"4i108:h5a3`5956=8j;o1b3?7232c89;4?:%1b6:9j703=83.8m54<6d9m7d1=9>10e>;;:18'7d>=;?o0b>o8:0:8?l52;3:1(>o7:24f?k5f?3;276g<5383>!5f039=i6`=n;<;1<7*5<#;h21?;k4n2c4>4e<3`9?i7>5$2c;>60b3g9j;7?k;:k00a<72-9j47=9e:l0e2<6m21b?9m50;&0e=<4>l1e?l951g98m62e290/?l6537g8j6g02;:07d=;a;29 6g?2:o4<00;6)=n8;15a>h4i>09>65f35:94?"4i108:h5a3`5966=<6=4+3`:973c8j;o1b3?4232c8;84?:%1b10e>9<:18'7d>=;?o0b>o8:3:8?l50:3:1(>o7:24f?k5f?38276g<7083>!5f039=i6`=n;>:1<7*o54i245>5<#;h21?;k4n2c4>7e<3`9>n7>5$2c;>60b3g9j;7l1e?l952g98m474290/?l651008j6g02910e:18'7d>=9880b>o8:098m477290/?l651008j6g02;10e<>i:18'7d>=9880b>o8:298m46b290/?l651008j6g02=10e<>k:18'7d>=9880b>o8:498m46d290/?l651008j6g02?10e<>m:18'7d>=9880b>o8:698m46>290/?l651008j6g02110e<>7:18'7d>=9880b>o8:898m460290/?l651008j6g02h10e<>9:18'7d>=9880b>o8:c98m462290/?l651008j6g02j10e<>;:18'7d>=9880b>o8:e98m464290/?l651008j6g02l10e<>=:18'7d>=9880b>o8:g98m466290/?l651008j6g028:07d??0;29 6g?28;97c=n7;32?>oam3:1(>o7:031?k5f?3;976gid;29 6g?28;97c=n7;30?>oak3:1(>o7:031?k5f?3;?76gib;29 6g?28;97c=n7;36?>oai3:1(>o7:031?k5f?3;=76gi9;29 6g?28;97c=n7;34?>oa03:1(>o7:031?k5f?3;376gi7;29 6g?28;97c=n7;3:?>oa>3:1(>o7:031?k5f?3;j76gi5;29 6g?28;97c=n7;3a?>oa;3:1(>o7:031?k5f?3;h76gi2;29 6g?28;97c=n7;3g?>oa93:1(>o7:031?k5f?3;n76gi0;29 6g?28;97c=n7;3e?>obn3:1(>o7:031?k5f?38;76gje;29 6g?28;97c=n7;02?>obl3:1(>o7:031?k5f?38976gjc;29 6g?28;97c=n7;00?>obj3:1(>o7:031?k5f?38?76gja;29 6g?28;97c=n7;06?>o6900;6)=n8;326>h4i>09:65f10:94?"4i10:=?5a3`5962=32c:=84?:%1b139m7d1=:k10e<>n:18'7d>=9880b>o8:3a8?l`a290/?l651008j6g02;n07dh;:18'7d>=9880b>o8:3g8?lc>290/?l651008j6g02;l07b=o7:21`?k5f?3;07b=o7:21`?k5f?3907b=<8;29 6g?2:9h7c=n7;68?j54?3:1(>o7:21`?k5f?3?07b=<6;29 6g?2:9h7c=n7;48?j54=3:1(>o7:21`?k5f?3=07b=<3;29 6g?2:9h7c=n7;:8?j54:3:1(>o7:21`?k5f?3307b=<1;29 6g?2:9h7c=n7;c8?j5483:1(>o7:21`?k5f?3h07b==f;29 6g?2:9h7c=n7;a8?j55m3:1(>o7:21`?k5f?3n07b==d;29 6g?2:9h7c=n7;g8?j55k3:1(>o7:21`?k5f?3l07b==b;29 6g?2:9h7c=n7;33?>i4:h0;6)=n8;10g>h4i>0:=65`33:94?"4i108?n5a3`5957==l;o1b3?7332e8>84?:%1b5:9l772=83.8m54<3b9m7d1=9?10c><<:18'7d>=;:i0b>o8:058?j55:3:1(>o7:21`?k5f?3;376a<2083>!5f0398o6`=h;;:1<7*5<#;h21?>m4n2c4>4d<3f9:h7>5$2c;>65d3g9j;7?l;:m05f<72-9j47=9;29 6g?2:9h7c=n7;03?>i4910;6)=n8;10g>h4i>09=65`30594?"4i108?n5a3`5967==l;o1b3?4332e8=94?:%1b:=:18'7d>=;:i0b>o8:358?j5393:1(>o7:21`?k5f?38376a<4183>!5f0398o6`=h;:l1<7*l54o21f>5<#;h21?>m4n2c4>7d<3f9887>5$2c;>65d3g9j;7o7:22g?k5f?3;07d=?b;29 6g?2::o7c=n7;08?l57i3:1(>o7:22g?k5f?3907d=?9;29 6g?2::o7c=n7;68?l5703:1(>o7:22g?k5f?3?07d=?7;29 6g?2::o7c=n7;48?l57>3:1(>o7:22g?k5f?3=07d?o7:01a?k5f?3;07d?<9;29 6g?289i7c=n7;08?l7403:1(>o7:01a?k5f?3907d?<7;29 6g?289i7c=n7;68?l74>3:1(>o7:01a?k5f?3?07d?<5;29 6g?289i7c=n7;48?l74<3:1(>o7:01a?k5f?3=07d?;5;29 6g?289i7c=n7;:8?l73<3:1(>o7:01a?k5f?3307d?;3;29 6g?289i7c=n7;c8?l73:3:1(>o7:01a?k5f?3h07d?;1;29 6g?289i7c=n7;a8?l7383:1(>o7:01a?k5f?3n07d?o7:01a?k5f?3l07d?o6;:0;6)=n8;30f>h4i>0:=65`3`294?"4i1085k5a3`594>=h;0o1<7*=h;0i1<7*=h;0k1<7*=h;021<7*=h;0?1<7*=h;091<7*=h;0;1<7*=h;1l1<7*7i;o1b3?7532e84l4?:%1bn2d8m:4>3:9l7=?=83.8m54<9g9m7d1=9=10c>67:18'7d>=;0l0b>o8:078?j5??3:1(>o7:2;e?k5f?3;=76a<8783>!5f0392j6`=h;1?1<7*5<#;h21?4h4n2c4>4?<3f93?7>5$2c;>6?a3g9j;7?n;:m0<7<72-9j47=6f:l0e2<6j21d?5>50;&0e=<41o1e?l951b98k61a290/?l6538d8j6g028n07b=8e;29 6g?2:3m7c=n7;3f?>i4?m0;6)=n8;1:b>h4i>0:j65`36a94?"4i1085k5a3`5965=7i;o1b3?4532e8;44?:%1bn2d8m:4=3:9l72>=83.8m54<9g9m7d1=:=10c>98:18'7d>=;0l0b>o8:378?j5f>3:1(>o7:2;e?k5f?38=76a!5f0392j6`=h;h>1<7*554o2c0>5<#;h21?4h4n2c4>7?<3f9j>7>5$2c;>6?a3g9j;7d290/?l6538d8j6g02;n07b=71;29 6g?2:3m7c=n7;0f?>i4??0;6)=n8;1:b>h4i>09j65f9283>!5f03397c=n7;28?l?6290/?l65939m7d1=921b5=4?:%1b5<#;h215?5a3`597>=n0l0;6)=n8;;1?k5f?3>07d6k:18'7d>=1;1e?l955:9j!5f03397c=n7;:8?l?e290/?l65939m7d1=121b5l4?:%1b5<#;h215?5a3`59f>=n110;6)=n8;;1?k5f?3i07d78:18'7d>=1;1e?l95d:9j=3<72-9j477=;o1b3?c<3`3>6=4+3`:9=7=i;h=1j65f9583>!5f03397c=n7;33?>o?i3:1(>o7:808j6g028;07b<;9;29 6g?2;>37c=n7;28?j43?3:1(>o7:36;?k5f?3;07b<;6;29 6g?2;>37c=n7;08?j43=3:1(>o7:36;?k5f?3907b<;4;29 6g?2;>37c=n7;68?j43;3:1(>o7:36;?k5f?3?07b<;1;29 6g?2;>37c=n7;48?j4383:1(>o7:36;?k5f?3=07b<37c=n7;:8?j44m3:1(>o7:36;?k5f?3307b<37c=n7;c8?j44k3:1(>o7:36;?k5f?3h07b<37c=n7;a8?j44i3:1(>o7:36;?k5f?3n07b<<9;29 6g?2;>37c=n7;g8?j4403:1(>o7:36;?k5f?3l07b<<6;29 6g?2;>37c=n7;33?>i5;<0;6)=n8;07<>h4i>0:=65`22694?"4i109855a3`5957=5:9l666=83.8m54=499m7d1=9?10c?=:=20b>o8:058?j45m3:1(>o7:36;?k5f?3;376a=2e83>!5f038?46`=h:<:1<7*5<#;h21>964n2c4>4d<3f8?i7>5$2c;>72?3g9j;7?l;:m10a<72-9j47<;8:l0e2<6l21d>9m50;&0e=<5<11e?l951d98k72e290/?l6525:8j6g028l07b<;a;29 6g?2;>37c=n7;03?>i5<;0;6)=n8;07<>h4i>09=65`22594?"4i109855a3`5967=5<#;h21o55a3`594>N4jj10en950;&0e=;I1ag>=nk?0;6)=n8;a;?k5f?380D>ll;:k`1?6=,:k36n64n2c4>6=O;ki07dm;:18'7d>=k11e?l954:J0ff=h4i>0>7E=mc:9jg7<72-9j47m7;o1b3?0<@:hh76gl1;29 6g?2j20b>o8:69K7ge<3`n96=4+3`:9g==i;h=146F3A9io65fd183>!5f03i37c=n7;c8L6dd32chj7>5$2c;>f>odj3:1(>o7:b:8j6g02o1C?om4;hab>5<#;h21o55a3`5955=O;ki07dm?:18'7d>=k11e?l95109K7ge<3th?i44?:07b>5<7s-9h=740=?;0=i7?=:0392f<093;86<>5468~ 67728h9o6`75;28j=1=92djn7?4n`a95>h69k0;7)?>c;131>h69o0;7c?<0;38j76>281e>8?51:l04c<63g9jm7?4$2`4>6e73`;987>5;h1a5?6=3`9i>7>5;h311?6=3`9i?7>5;h315;n32a?6=3`9i97>5;h1a0?6=3`;9o7>5;h1a4?6=3`;9;7>5;h316?6=3`;:m7>5;h31=?6=3`;9=7>5;n32`?6=3`9jj7>5;h1a2?6=3`h=6=4+3`:9f0=i;h=1<65fb583>!5f03h>7c=n7;38?ld4290/?l65b49m7d1=:21bn?4?:%1b5<#;h21n85a3`590>=nj90;6)=n8;`6?k5f?3?07doi:18'7d>=j<1e?l956:9je`<72-9j47l:;o1b3?1<3`hm6=4+3`:9f0=i;h=1465fbd83>!5f03h>7c=n7;;8?ldc290/?l65b49m7d1=i21bnn4?:%1b5<#;h21n85a3`59g>=njh0;6)=n8;`6?k5f?3n07dl6:18'7d>=j<1e?l95e:9jf=<72-9j47l:;o1b3?`<3`h<6=4+3`:9f0=i;h=1==54i`f94?"4i10i96`=hlj0;6)=n8;fa?k5f?3:07bjn:18'7d>=lk1e?l951:9l`<<72-9j47jm;o1b3?4<3fn36=4+3`:9`g=i;h=1?65`d683>!5f03ni7c=n7;68?jb1290/?l65dc9m7d1==21dh84?:%1b5<#;h21ho5a3`593>=hm<0;6)=n8;fa?k5f?3207bk;:18'7d>=lk1e?l959:9la6<72-9j47jm;o1b3?g<3fo96=4+3`:9`g=i;h=1n65`e083>!5f03ni7c=n7;a8?jc7290/?l65dc9m7d1=l21dhk4?:%1b5<#;h21ho5a3`59b>=hlm0;6)=n8;fa?k5f?3;;76ak3;29 6g?2mh0b>o8:038?l51n3:1(>o7:24f?k5f?3:07d=9d;29 6g?2:o7:24f?k5f?3807d=9b;29 6g?2:o7:24f?k5f?3>07d=99;29 6g?2:o7:24f?k5f?3<07d=97;29 6g?2:o7:24f?k5f?3207d=94;29 6g?2:o7:24f?k5f?3k07d=92;29 6g?2:o7:24f?k5f?3i07d=90;29 6g?2:o7:24f?k5f?3o07d=:e;29 6g?2:o7:24f?k5f?3;;76g<5b83>!5f039=i6`=n;5<#;h21?;k4n2c4>45<3`9>47>5$2c;>60b3g9j;7?;;:k012<72-9j47=9e:l0e2<6=21b?8850;&0e=<4>l1e?l951798m632290/?l6537g8j6g028=07d=:4;29 6g?2:o4=:0;6)=n8;15a>h4i>0:565f34094?"4i108:h5a3`595d=8j;o1b3?7d32c88h4?:%1bd:9j71b=83.8m54<6d9m7d1=9l10e>:l:18'7d>=;?o0b>o8:0d8?l53j3:1(>o7:24f?k5f?38;76g<4`83>!5f039=i6`=n;=31<7*?54i26;>5<#;h21?;k4n2c4>75<3`9?;7>5$2c;>60b3g9j;7<;;:k003<72-9j47=9e:l0e2<5=21b?:;50;&0e=<4>l1e?l952798m613290/?l6537g8j6g02;=07d=83;29 6g?2:o4?;0;6)=n8;15a>h4i>09565f36394?"4i108:h5a3`596d=8j;o1b3?4d32c89o4?:%1b:::18'7d>=;?o0b>o8:3d8?l76;3:1(>o7:031?k5f?3:07d?>1;29 6g?28;97c=n7;38?l7683:1(>o7:031?k5f?3807d??f;29 6g?28;97c=n7;18?l77m3:1(>o7:031?k5f?3>07d??d;29 6g?28;97c=n7;78?l77k3:1(>o7:031?k5f?3<07d??b;29 6g?28;97c=n7;58?l7713:1(>o7:031?k5f?3207d??8;29 6g?28;97c=n7;;8?l77?3:1(>o7:031?k5f?3k07d??6;29 6g?28;97c=n7;`8?l77=3:1(>o7:031?k5f?3i07d??4;29 6g?28;97c=n7;f8?l77;3:1(>o7:031?k5f?3o07d??2;29 6g?28;97c=n7;d8?l7793:1(>o7:031?k5f?3;;76g>0183>!5f03;:>6`=nnl0;6)=n8;326>h4i>0:>65ffe83>!5f03;:>6`=nnj0;6)=n8;326>h4i>0:865ffc83>!5f03;:>6`=nnh0;6)=n8;326>h4i>0::65ff883>!5f03;:>6`=nn10;6)=n8;326>h4i>0:465ff683>!5f03;:>6`=nn?0;6)=n8;326>h4i>0:m65ff483>!5f03;:>6`=nn:0;6)=n8;326>h4i>0:o65ff383>!5f03;:>6`=nn80;6)=n8;326>h4i>0:i65ff183>!5f03;:>6`=nmo0;6)=n8;326>h4i>09<65fed83>!5f03;:>6`=nmm0;6)=n8;326>h4i>09>65feb83>!5f03;:>6`=nmk0;6)=n8;326>h4i>09865fe`83>!5f03;:>6`=n9831<7*;54i03;>5<#;h21=<<4n2c4>71<3`;:;7>5$2c;>4753g9j;7<7;:k253<72-9j47?>2:l0e2<5121b=<;50;&0e=<69;1e?l952`98m473290/?l651008j6g02;h07d??a;29 6g?28;97c=n7;0`?>oan3:1(>o7:031?k5f?38o76gi4;29 6g?28;97c=n7;0f?>ob13:1(>o7:031?k5f?38m76a<3e83>!5f0398o6`i4;k0;6)=n8;10g>h4i>0:76a<3`83>!5f0398o6`i4;00;6)=n8;10g>h4i>0876a<3983>!5f0398o6`i4;>0;6)=n8;10g>h4i>0>76a<3783>!5f0398o6`i4;<0;6)=n8;10g>h4i>0<76a<3283>!5f0398o6`i4;;0;6)=n8;10g>h4i>0276a<3083>!5f0398o6`i4;90;6)=n8;10g>h4i>0i76a<2g83>!5f0398o6`i4:l0;6)=n8;10g>h4i>0o76a<2e83>!5f0398o6`i4:j0;6)=n8;10g>h4i>0m76a<2c83>!5f0398o6`=h;;k1<7*5<#;h21?>m4n2c4>44<3f99;7>5$2c;>65d3g9j;7?<;:m063<72-9j47=i4:;0;6)=n8;10g>h4i>0:465`33394?"4i108?n5a3`595<==l;o1b3?7e32e8=i4?:%1bc:9l74e=83.8m54<3b9m7d1=9m10c>?m:18'7d>=;:i0b>o8:0g8?j56i3:1(>o7:21`?k5f?3;m76a<1883>!5f0398o6`=h;821<7*<54o234>5<#;h21?>m4n2c4>74<3f9::7>5$2c;>65d3g9j;7<<;:m050<72-9j47=i4<80;6)=n8;10g>h4i>09465`35294?"4i108?n5a3`596<==l;o1b3?4e32e8?94?:%1b?j:18'7d>=;:i0b>o8:3g8?j56;3:1(>o7:21`?k5f?38m76g<0d83>!5f039;h6`o48j0;6)=n8;13`>h4i>0:76g<0c83>!5f039;h6`o48h0;6)=n8;13`>h4i>0876g<0883>!5f039;h6`o4810;6)=n8;13`>h4i>0>76g<0683>!5f039;h6`o48?0;6)=n8;13`>h4i>0<76g>3b83>!5f03;8n6`o6;h0;6)=n8;30f>h4i>0:76g>3883>!5f03;8n6`o6;10;6)=n8;30f>h4i>0876g>3683>!5f03;8n6`o6;?0;6)=n8;30f>h4i>0>76g>3483>!5f03;8n6`o6;=0;6)=n8;30f>h4i>0<76g>4483>!5f03;8n6`o6<=0;6)=n8;30f>h4i>0276g>4283>!5f03;8n6`o6<;0;6)=n8;30f>h4i>0i76g>4083>!5f03;8n6`o6<90;6)=n8;30f>h4i>0o76g>3g83>!5f03;8n6`o6;l0;6)=n8;30f>h4i>0m76g>3e83>!5f03;8n6`=n9:91<7*5<#;h21?4h4n2c4>5=5<#;h21?4h4n2c4>7=54o2;a>5<#;h21?4h4n2c4>1=5<#;h21?4h4n2c4>3=5<#;h21?4h4n2c4>==6=4+3`:97<`5<#;h21?4h4n2c4>d=5<#;h21?4h4n2c4>f=5<#;h21?4h4n2c4>`=5<#;h21?4h4n2c4>46<3f93h7>5$2c;>6?a3g9j;7?>;:m0>290/?l6538d8j6g028>07b=78;29 6g?2:3m7c=n7;36?>i40>0;6)=n8;1:b>h4i>0::65`39494?"4i1085k5a3`5952=6=4+3`:97<`7i;o1b3?7>32e84>4?:%1bn2d8m:4>a:9l7=4=83.8m54<9g9m7d1=9k10c>6?:18'7d>=;0l0b>o8:0a8?j50n3:1(>o7:2;e?k5f?3;o76a<7d83>!5f0392j6`=h;>n1<7*5<#;h21?4h4n2c4>76<3f95$2c;>6?a3g9j;7<>;:m03d<72-9j47=6f:l0e2<5:21d?:750;&0e=<41o1e?l952298k61?290/?l6538d8j6g02;>07b=87;29 6g?2:3m7c=n7;06?>i4i?0;6)=n8;1:b>h4i>09:65`3`794?"4i1085k5a3`5962=7i;o1b3?4>32e8m?4?:%1bn2d8m:4=a:9l7d7=83.8m54<9g9m7d1=:k10c>78:18'7d>=;0l0b>o8:3a8?j5?k3:1(>o7:2;e?k5f?38o76a<8083>!5f0392j6`=h;><1<7*k54i8194?"4i102>6`o>93:1(>o7:808j6g02810e4>50;&0e=<>:2d8m:4=;:k;b?6=,:k364<4n2c4>6=h4i>0?76g7d;29 6g?2080b>o8:498m=e=83.8m5462:l0e2<132c3n7>5$2c;><46`o>j3:1(>o7:808j6g02010e4o50;&0e=<>:2d8m:4n;:k:=?6=,:k364<4n2c4>g=h4i>0h76g67;29 6g?2080b>o8:e98m<0=83.8m5462:l0e25$2c;><46`=n0h0;6)=n8;;1?k5f?3;:76a=4883>!5f038?46`i5<>0;6)=n8;07<>h4i>0:76a=4783>!5f038?46`i5<<0;6)=n8;07<>h4i>0876a=4583>!5f038?46`i5<:0;6)=n8;07<>h4i>0>76a=4083>!5f038?46`i5<90;6)=n8;07<>h4i>0<76a=3g83>!5f038?46`i5;l0;6)=n8;07<>h4i>0276a=3e83>!5f038?46`i5;j0;6)=n8;07<>h4i>0i76a=3c83>!5f038?46`i5;h0;6)=n8;07<>h4i>0o76a=3883>!5f038?46`i5;10;6)=n8;07<>h4i>0m76a=3783>!5f038?46`=h::?1<7*5<#;h21>964n2c4>44<3f88?7>5$2c;>72?3g9j;7?<;:m177<72-9j47<;8:l0e2<6<21d>>?50;&0e=<5<11e?l951498k757290/?l6525:8j6g028<07b<=f;29 6g?2;>37c=n7;34?>i5:l0;6)=n8;07<>h4i>0:465`23f94?"4i109855a3`595<=c:9l61b=83.8m54=499m7d1=9m10c?:l:18'7d>=:=20b>o8:0g8?j43j3:1(>o7:36;?k5f?3;m76a=4`83>!5f038?46`=h:=81<7*<54o314>5<#;h21>964n2c4>74<3f89o7>5$2c;>72?3g9j;7<<;:k`=?6=,:k36n64n2c4>5=O;ki07dm8:18'7d>=k11e?l951:J0ff=h4i>097E=mc:9jg0<72-9j47m7;o1b3?5<@:hh76gl4;29 6g?2j20b>o8:59K7ge<3`i86=4+3`:9g==i;h=196F!5f03i37c=n7;58L6dd32co>7>5$2c;>f>odm3:1(>o7:b:8j6g02j1C?om4;hag>5<#;h21o55a3`59`>N4jj10enm50;&0e==nkk0;6)=n8;a;?k5f?3l0D>ll;:k`e?6=,:k36n64n2c4>46<@:hh76gl0;29 6g?2j20b>o8:038L6dd32wi8ho50;36e?6=8r.8o<4=0`9K7fd<@:i87Wk8:cy;5?712>81:h4>2;32>3e=?80:?7??:559y!5683;i>n5a8483?k>0281emo4>;oc`>4=i98h1<6*>1b8040=i98l1<6`>3182?k4713;0b?;>:09m75`=92d8ml4>;%1a3?5d82c:>94?::k0f4<722c8n?4?::k260<722c8n>4?::k26=<722c:>>4?::m25`<722c8n84?::k0f1<722c:>n4?::k0f5<722c:>:4?::k267<722c:=l4?::k26<<722c:><4?::m25a<722c8mk4?::k0f3<722ci:7>5$2c;>g3oe;3:1(>o7:c78j6g02;10eo<50;&0e=1=h4i>0>76gnf;29 6g?2k?0b>o8:798mdc=83.8m54m5:l0e2<032cij7>5$2c;>g3oel3:1(>o7:c78j6g02h10eom50;&0e=f=h4i>0o76gm9;29 6g?2k?0b>o8:d98mg>=83.8m54m5:l0e25$2c;>g34;hcg>5<#;h21n85a3`5954=h4i>0;76aka;29 6g?2mh0b>o8:098ka?=83.8m54kb:l0e2<532eo47>5$2c;>ad54oe594?"4i10on6`ic>3:1(>o7:e`8j6g02<10ci;50;&0e=2=h4i>0376aj4;29 6g?2mh0b>o8:898k`5=83.8m54kb:l0e27>5$2c;>adib83:1(>o7:e`8j6g02m10cih50;&0e=c=h4i>0:<65`d283>!5f03ni7c=n7;32?>o4>o0;6)=n8;15a>h4i>0;76g<6e83>!5f039=i6`o4>j0;6)=n8;15a>h4i>0976g<6c83>!5f039=i6`o4>h0;6)=n8;15a>h4i>0?76g<6883>!5f039=i6`o4>10;6)=n8;15a>h4i>0=76g<6683>!5f039=i6`o4><0;6)=n8;15a>h4i>0376g<6583>!5f039=i6`o4>:0;6)=n8;15a>h4i>0j76g<6383>!5f039=i6`o4>80;6)=n8;15a>h4i>0h76g<6183>!5f039=i6`o4=o0;6)=n8;15a>h4i>0n76g<5d83>!5f039=i6`o4=m0;6)=n8;15a>h4i>0:<65f34a94?"4i108:h5a3`5954=8j;o1b3?7432c8954?:%1b4:9j701=83.8m54<6d9m7d1=9<10e>;9:18'7d>=;?o0b>o8:048?l52=3:1(>o7:24f?k5f?3;<76g<5583>!5f039=i6`=n;<91<7*5<#;h21?;k4n2c4>4g<3`9>=7>5$2c;>60b3g9j;7?m;:k00c<72-9j47=9e:l0e2<6k21b?9k50;&0e=<4>l1e?l951e98m62c290/?l6537g8j6g028o07d=;c;29 6g?2:o4h4i>09<65f35c94?"4i108:h5a3`5964=26=4+3`:973c8j;o1b3?4432c88:4?:%1b9::18'7d>=;?o0b>o8:348?l50<3:1(>o7:24f?k5f?38<76g<7283>!5f039=i6`=n;>81<7*454i252>5<#;h21?;k4n2c4>7g<3`9<<7>5$2c;>60b3g9j;7l1e?l952e98m637290/?l6537g8j6g02;o07d=;5;29 6g?2:o69:0;6)=n8;326>h4i>0;76g>1083>!5f03;:>6`o6990;6)=n8;326>h4i>0976g>0g83>!5f03;:>6`o68l0;6)=n8;326>h4i>0?76g>0e83>!5f03;:>6`o68j0;6)=n8;326>h4i>0=76g>0c83>!5f03;:>6`o6800;6)=n8;326>h4i>0376g>0983>!5f03;:>6`o68>0;6)=n8;326>h4i>0j76g>0783>!5f03;:>6`o68<0;6)=n8;326>h4i>0h76g>0583>!5f03;:>6`o68:0;6)=n8;326>h4i>0n76g>0383>!5f03;:>6`o6880;6)=n8;326>h4i>0:<65f11294?"4i10:=?5a3`5954==54idg94?"4i10:=?5a3`5964=?54ida94?"4i10:=?5a3`5966=954idc94?"4i10:=?5a3`5960=139m7d1=:010e=9880b>o8:3c8?l76<3:1(>o7:031?k5f?38i76g>0`83>!5f03;:>6`=nno0;6)=n8;326>h4i>09h65ff583>!5f03;:>6`=nm00;6)=n8;326>h4i>09j65`32f94?"4i108?n5a3`594>=h;:h1<7*=h;:31<7*=h;:=1<7*=h;:?1<7*=h;:81<7*=h;::1<7*=h;;o1<7*=h;;i1<7*=l;o1b3?7532e8>:4?:%1b3:9l770=83.8m54<3b9m7d1=9=10c><::18'7d>=;:i0b>o8:078?j55<3:1(>o7:21`?k5f?3;=76a<2283>!5f0398o6`=h;;81<7*5<#;h21?>m4n2c4>4?<3f99<7>5$2c;>65d3g9j;7?n;:m05c<72-9j47=b;29 6g?2:9h7c=n7;3f?>i49h0;6)=n8;10g>h4i>0:j65`30;94?"4i108?n5a3`5965==l;o1b3?4532e8=;4?:%1b?;:18'7d>=;:i0b>o8:378?j53;3:1(>o7:21`?k5f?38=76a<4383>!5f0398o6`=h;=;1<7*554o263>5<#;h21?>m4n2c4>7?<3f98j7>5$2c;>65d3g9j;7:50;&0e=<4;j1e?l952b98k64>290/?l6532a8j6g02;n07b=>e;29 6g?2:9h7c=n7;0f?>i49:0;6)=n8;10g>h4i>09j65f31g94?"4i108=n;9i1<7*=n;9k1<7*=n;921<7*=n;9<1<7*=n9:k1<7*=n9:21<7*=n9:<1<7*=n9:>1<7*=n9=>1<7*=n9=81<7*=n9=:1<7*=n9:o1<7*7i;o1b3?6<3f92i7>5$2c;>6?a3g9j;7?4;n1:`?6=,:k36>7i;o1b3?4<3f92o7>5$2c;>6?a3g9j;7=4;n1:f?6=,:k36>7i;o1b3?2<3f92m7>5$2c;>6?a3g9j;7;4;n1:=?6=,:k36>7i;o1b3?0<3f9247>5$2c;>6?a3g9j;794;n1:2?6=,:k36>7i;o1b3?><3f9297>5$2c;>6?a3g9j;774;n1:0?6=,:k36>7i;o1b3?g<3f92?7>5$2c;>6?a3g9j;7l4;n1:6?6=,:k36>7i;o1b3?e<3f92=7>5$2c;>6?a3g9j;7j4;n1:4?6=,:k36>7i;o1b3?c<3f93j7>5$2c;>6?a3g9j;7h4;n1;a?6=,:k36>7i;o1b3?7732e84i4?:%1bn2d8m:4>1:9l7=d=83.8m54<9g9m7d1=9;10c>6n:18'7d>=;0l0b>o8:018?j5?13:1(>o7:2;e?k5f?3;?76a<8983>!5f0392j6`=h;1=1<7*5<#;h21?4h4n2c4>41<3f9397>5$2c;>6?a3g9j;7?7;:m0<1<72-9j47=6f:l0e2<6121d?5=50;&0e=<41o1e?l951`98k6>5290/?l6538d8j6g028h07b=70;29 6g?2:3m7c=n7;3`?>i4?o0;6)=n8;1:b>h4i>0:h65`36g94?"4i1085k5a3`595`=7i;o1b3?4732e8;o4?:%1bn2d8m:4=1:9l72g=83.8m54<9g9m7d1=:;10c>96:18'7d>=;0l0b>o8:318?j5003:1(>o7:2;e?k5f?38?76a<7683>!5f0392j6`=h;h<1<7*;54o2c6>5<#;h21?4h4n2c4>71<3f9j87>5$2c;>6?a3g9j;7<7;:m0e6<72-9j47=6f:l0e2<5121d?l<50;&0e=<41o1e?l952`98k6g6290/?l6538d8j6g02;h07b=67;29 6g?2:3m7c=n7;0`?>i40j0;6)=n8;1:b>h4i>09h65`39394?"4i1085k5a3`596`=5<#;h215?5a3`594>=n180;6)=n8;;1?k5f?3;07d7?:18'7d>=1;1e?l952:9j!5f03397c=n7;78?l>d290/?l65939m7d1=>21b4o4?:%1b5<#;h215?5a3`59<>=n1k0;6)=n8;;1?k5f?3307d7n:18'7d>=1;1e?l95a:9j=<<72-9j477=;o1b3?d<3`336=4+3`:9=7=i;h=1o65f9683>!5f03397c=n7;f8?l?1290/?l65939m7d1=m21b584?:%1b5<#;h215?5a3`5955=h4i>0:=65`25;94?"4i109855a3`594>=h:==1<7*=h:=?1<7*=h:=91<7*=h:=:1<7*=h::o1<7*=h::i1<7*=h::k1<7*=h::21<7*6=4+3`:961>4?:%1b3:9l664=83.8m54=499m7d1=9=10c?=>:18'7d>=:=20b>o8:078?j4483:1(>o7:36;?k5f?3;=76a=2g83>!5f038?46`=h:;o1<7*5<#;h21>964n2c4>4?<3f8><7>5$2c;>72?3g9j;7?n;:m10c<72-9j47<;8:l0e2<6j21d>9k50;&0e=<5<11e?l951b98k72c290/?l6525:8j6g028n07b<;c;29 6g?2;>37c=n7;3f?>i5h4i>0:j65`25c94?"4i109855a3`5965=96=4+3`:961>n4?:%1bo8:09K7ge<3`i=6=4+3`:9g==i;h=1>6F!5f03i37c=n7;68L6dd32ch?7>5$2c;>f>2B8nn54ib394?"4i10h46`oc93:1(>o7:b:8j6g0201C?om4;hf3>5<#;h21o55a3`59e>N4jj10enh50;&0e==nkl0;6)=n8;a;?k5f?3i0D>ll;:k``?6=,:k36n64n2c4>a=O;ki07dml:18'7d>=k11e?l95e:J0ff=h4i>0m7E=mc:9jgd<72-9j47m7;o1b3?773A9io65fc183>!5f03i37c=n7;32?M5ek21vn9km:1821d<729q/?n?521c8L6ee3A9h?6Tj7;`x<4<6>3=96;k513825?0d2>;1=>4>0;64>x"4990:n?m4n9794>h??3;0bll51:lbg?7h5800:7c<:1;38j66a281e?lo51:&0f2<4k91b=?:50;9j7g7=831b?o<50;9j573=831b?o=50;9j57>=831b=?=50;9l54c=831b?o;50;9j7g2=831b=?m50;9j7g6=831b=?950;9j574=831b=4;h`7>5<#;h21n85a3`595>=nj:0;6)=n8;`6?k5f?3807dl=:18'7d>=j<1e?l953:9jf4<72-9j47l:;o1b3?2<3`h;6=4+3`:9f0=i;h=1965fag83>!5f03h>7c=n7;48?lgb290/?l65b49m7d1=?21bnk4?:%1b5<#;h21n85a3`59=>=njm0;6)=n8;`6?k5f?3k07dll:18'7d>=j<1e?l95b:9jfg<72-9j47l:;o1b3?e<3`hj6=4+3`:9f0=i;h=1h65fb883>!5f03h>7c=n7;g8?ld?290/?l65b49m7d1=n21bn:4?:%1b47<3fnh6=4+3`:9`g=i;h=1<65`d`83>!5f03ni7c=n7;38?jb>290/?l65dc9m7d1=:21dh54?:%1b5<#;h21ho5a3`590>=hl?0;6)=n8;fa?k5f?3?07bj::18'7d>=lk1e?l956:9l`1<72-9j47jm;o1b3?1<3fo>6=4+3`:9`g=i;h=1465`e583>!5f03ni7c=n7;;8?jc4290/?l65dc9m7d1=i21di?4?:%1b5<#;h21ho5a3`59g>=hm90;6)=n8;fa?k5f?3n07bji:18'7d>=lk1e?l95e:9l``<72-9j47jm;o1b3?`<3fno6=4+3`:9`g=i;h=1==54oe194?"4i10on6`=n;?l1<7*=n;?i1<7*65f37`94?"4i108:h5a3`597>=n;?k1<7*=n;?21<7*=n;??1<7*=n;?91<7*=n;?;1<7*=n;=n;5<#;h21?;k4n2c4>47<3`9>m7>5$2c;>60b3g9j;7?=;:k01<<72-9j47=9e:l0e2<6;21b?8650;&0e=<4>l1e?l951598m630290/?l6537g8j6g028?07d=:6;29 6g?2:o4=<0;6)=n8;15a>h4i>0:;65f34694?"4i108:h5a3`595==8j;o1b3?7f32c89<4?:%1bb:9j71`=83.8m54<6d9m7d1=9j10e>:j:18'7d>=;?o0b>o8:0f8?l53l3:1(>o7:24f?k5f?3;n76g<4b83>!5f039=i6`=n;=h1<7*=54i26b>5<#;h21?;k4n2c4>77<3`9?57>5$2c;>60b3g9j;7<=;:k00=<72-9j47=9e:l0e2<5;21b?9950;&0e=<4>l1e?l952598m621290/?l6537g8j6g02;?07d=85;29 6g?2:o4?=0;6)=n8;15a>h4i>09;65f36194?"4i108:h5a3`596==8j;o1b3?4f32c8;=4?:%1b;m:18'7d>=;?o0b>o8:3f8?l5283:1(>o7:24f?k5f?38n76g<4483>!5f039=i6`=n9891<7*=n98:1<7*65f11d94?"4i10:=?5a3`597>=n99o1<7*=n99i1<7*=n9931<7*=n99=1<7*=n99?1<7*=n9991<7*=n99;1<7*5<#;h21=<<4n2c4>47<3`ln6=4+3`:95445<#;h21=<<4n2c4>45<3`lh6=4+3`:95445<#;h21=<<4n2c4>43<3`lj6=4+3`:95445<#;h21=<<4n2c4>41<3`l36=4+3`:95445<#;h21=<<4n2c4>4?<3`l=6=4+3`:95445<#;h21=<<4n2c4>4d<3`l86=4+3`:95445<#;h21=<<4n2c4>4b<3`l:6=4+3`:95445<#;h21=<<4n2c4>4`<3`om6=4+3`:95444;hgf>5<#;h21=<<4n2c4>77<3`oo6=4+3`:95445<#;h21=<<4n2c4>75<3`oi6=4+3`:95445<#;h21=<<4n2c4>73<3`;:57>5$2c;>4753g9j;7<9;:k25=<72-9j47?>2:l0e2<5?21b=<950;&0e=<69;1e?l952998m471290/?l651008j6g02;307d?>5;29 6g?28;97c=n7;0b?>o69=0;6)=n8;326>h4i>09n65f11c94?"4i10:=?5a3`596f=i54ig694?"4i10:=?5a3`596`=k54o21g>5<#;h21?>m4n2c4>5=5<#;h21?>m4n2c4>7=54o21;>5<#;h21?>m4n2c4>1=5<#;h21?>m4n2c4>3=6=4+3`:976e5<#;h21?>m4n2c4>==5<#;h21?>m4n2c4>d=5<#;h21?>m4n2c4>f=5<#;h21?>m4n2c4>`=5<#;h21?>m4n2c4>46<3f99m7>5$2c;>65d3g9j;7?>;:m06=<72-9j47=07b==5;29 6g?2:9h7c=n7;36?>i4:=0;6)=n8;10g>h4i>0::65`33194?"4i108?n5a3`5952==l;o1b3?7>32e8>=4?:%1ba:9l74`=83.8m54<3b9m7d1=9k10c>?k:18'7d>=;:i0b>o8:0a8?j56k3:1(>o7:21`?k5f?3;o76a<1c83>!5f0398o6`=h;8k1<7*5<#;h21?>m4n2c4>76<3f9:47>5$2c;>65d3g9j;7<>;:m052<72-9j47=07b=>4;29 6g?2:9h7c=n7;06?>i4<:0;6)=n8;10g>h4i>09:65`35094?"4i108?n5a3`5962=:6=4+3`:976e=l;o1b3?4>32e8?k4?:%1b=;:18'7d>=;:i0b>o8:3a8?j5513:1(>o7:21`?k5f?38o76a<1d83>!5f0398o6`=h;891<7*k54i22f>5<#;h21?=j4n2c4>5=5<#;h21?=j4n2c4>7=54i22:>5<#;h21?=j4n2c4>1=5<#;h21?=j4n2c4>3=5<#;h21=>l4n2c4>5=5<#;h21=>l4n2c4>7=54i014>5<#;h21=>l4n2c4>1=5<#;h21=>l4n2c4>3=5<#;h21=>l4n2c4>==?6=4+3`:956d5<#;h21=>l4n2c4>d=96=4+3`:956d5<#;h21=>l4n2c4>f=;6=4+3`:956d5<#;h21=>l4n2c4>`=5<#;h21=>l4n2c4>46<3`;8?7>5$2c;>45e3g9j;7?>;:m0e5<72-9j47=6f:l0e2<732e85h4?:%1bn2d8m:4>;:m0=a<72-9j47=6f:l0e2<532e85n4?:%1bn2d8m:4<;:m0=g<72-9j47=6f:l0e2<332e85l4?:%1bn2d8m:4:;:m0=<<72-9j47=6f:l0e2<132e8554?:%1bn2d8m:48;:m0=3<72-9j47=6f:l0e2n2d8m:46;:m0=1<72-9j47=6f:l0e24?:%1bn2d8m:4m;:m0=7<72-9j47=6f:l0e2n2d8m:4k;:m0=5<72-9j47=6f:l0e2n2d8m:4i;:m0<`<72-9j47=6f:l0e2<6821d?5j50;&0e=<41o1e?l951098k6>e290/?l6538d8j6g028807b=7a;29 6g?2:3m7c=n7;30?>i4000;6)=n8;1:b>h4i>0:865`39:94?"4i1085k5a3`5950=7i;o1b3?7032e8484?:%1bn2d8m:4>8:9l7=2=83.8m54<9g9m7d1=9010c>6<:18'7d>=;0l0b>o8:0c8?j5?:3:1(>o7:2;e?k5f?3;i76a<8183>!5f0392j6`=h;>l1<7*5<#;h21?4h4n2c4>4c<3f95$2c;>6?a3g9j;7?i;:m03f<72-9j47=6f:l0e2<5821d?:l50;&0e=<41o1e?l952098k61f290/?l6538d8j6g02;807b=89;29 6g?2:3m7c=n7;00?>i4?10;6)=n8;1:b>h4i>09865`36594?"4i1085k5a3`5960=7i;o1b3?4032e8m94?:%1bn2d8m:4=8:9l7d5=83.8m54<9g9m7d1=:010c>o=:18'7d>=;0l0b>o8:3c8?j5f93:1(>o7:2;e?k5f?38i76a<9683>!5f0392j6`=h;1i1<7*i54o2:2>5<#;h21?4h4n2c4>7c<3f9<:7>5$2c;>6?a3g9j;75=h4i>0:76g60;29 6g?2080b>o8:398m=`=83.8m5462:l0e2<432c3i7>5$2c;><46`o?k3:1(>o7:808j6g02?10e5l50;&0e=<>:2d8m:48;:k:g?6=,:k364<4n2c4>==h4i>0276g6a;29 6g?2080b>o8:`98m5$2c;><46`o>>3:1(>o7:808j6g02l10e4;50;&0e=<>:2d8m:4i;:k:0?6=,:k364<4n2c4>46<3`2j6=4+3`:9=7=i;h=1=<54o36:>5<#;h21>964n2c4>5=<6=4+3`:961>5<#;h21>964n2c4>7=>6=4+3`:961>54o367>5<#;h21>964n2c4>1=86=4+3`:961>5<#;h21>964n2c4>3=;6=4+3`:961>5<#;h21>964n2c4>==5<#;h21>964n2c4>d=5<#;h21>964n2c4>f=5<#;h21>964n2c4>`=5<#;h21>964n2c4>46<3f8897>5$2c;>72?3g9j;7?>;:m171<72-9j47<;8:l0e2<6:21d>>=50;&0e=<5<11e?l951298k755290/?l6525:8j6g028>07b<<1;29 6g?2;>37c=n7;36?>i5;90;6)=n8;07<>h4i>0::65`23d94?"4i109855a3`5952=32e99=4?:%1ba:9l61`=83.8m54=499m7d1=9k10c?:j:18'7d>=:=20b>o8:0a8?j43l3:1(>o7:36;?k5f?3;o76a=4b83>!5f038?46`=h:=h1<7*5<#;h21>964n2c4>76<3f8?>7>5$2c;>72?3g9j;7<>;:m172<72-9j47<;8:l0e2<5:21d>?m50;&0e=<5<11e?l952298mf?=83.8m54l8:l0e2<73A9io65fc683>!5f03i37c=n7;38L6dd32ch:7>5$2c;>f>4?:%1bod:3:1(>o7:b:8j6g02?1C?om4;ha2>5<#;h21o55a3`593>N4jj10ei<50;&0e==nl80;6)=n8;a;?k5f?330D>ll;:kg4?6=,:k36n64n2c4>d=O;ki07dmi:18'7d>=k11e?l95b:J0ff=h4i>0h7E=mc:9jga<72-9j47m7;o1b3?b<@:hh76glc;29 6g?2j20b>o8:d9K7ge<3`ii6=4+3`:9g==i;h=1j6FN4jj10qo:jc;2950g=83:p(>m>:32b?M5dj2B8o>5Ue68a=7=9?0<>78j:00954<1k3=:6<=511873?{#;8:1=o5=i0>0:7com:09mef<63g;:n7>4$03`>6623g;:j7>4n013>4=i:931=6`=5082?k57n3;0b>on:09'7g1=;j:0e<<;:188m6d62900e>l=:188m4422900e>l<:188m44?2900e<<<:188k47b2900e>l::188m6d32900e<2900e<<>:188k47c2900e>oi:188m6d12900eo850;&0e=4=h4i>0976gm2;29 6g?2k?0b>o8:298mg7=83.8m54m5:l0e2<332ci<7>5$2c;>g3ofm3:1(>o7:c78j6g02>10eoh50;&0e=<=h4i>0j76gmc;29 6g?2k?0b>o8:c98mgd=83.8m54m5:l0e25$2c;>g3oe03:1(>o7:c78j6g02o10eo950;&0e=0:9jea<72-9j47l:;o1b3?7632eoo7>5$2c;>adic13:1(>o7:e`8j6g02;10ci650;&0e=1=h4i>0>76ak5;29 6g?2mh0b>o8:798ka2=83.8m54kb:l0e2<032en97>5$2c;>adib;3:1(>o7:e`8j6g02h10ch<50;&0e=f=h4i>0o76akf;29 6g?2mh0b>o8:d98kac=83.8m54kb:l0e25$2c;>ad4;nf0>5<#;h21ho5a3`5954=5<#;h21?;k4n2c4>4=5<#;h21?;k4n2c4>6=5<#;h21?;k4n2c4>0=5<#;h21?;k4n2c4>2=6=4+3`:973c5<#;h21?;k4n2c4><=5<#;h21?;k4n2c4>g=5<#;h21?;k4n2c4>a=5<#;h21?;k4n2c4>c=4;h16g?6=,:k36>8j;o1b3?7632c89l4?:%1b2:9j70?=83.8m54<6d9m7d1=9:10e>;7:18'7d>=;?o0b>o8:068?l52?3:1(>o7:24f?k5f?3;>76g<5783>!5f039=i6`=n;5<#;h21?;k4n2c4>4><3`9>?7>5$2c;>60b3g9j;7?6;:k017<72-9j47=9e:l0e2<6i21b?8?50;&0e=<4>l1e?l951c98m62a290/?l6537g8j6g028i07d=;e;29 6g?2:o4h4i>0:i65f35a94?"4i108:h5a3`595c=i6=4+3`:973c4;h17e?6=,:k36>8j;o1b3?4632c8844?:%1b=83.8m54<6d9m7d1=::10e>:8:18'7d>=;?o0b>o8:368?l53>3:1(>o7:24f?k5f?38>76g<7483>!5f039=i6`=n;>>1<7*:54i250>5<#;h21?;k4n2c4>7><3`9<>7>5$2c;>60b3g9j;7<6;:k034<72-9j47=9e:l0e2<5i21b?:>50;&0e=<4>l1e?l952c98m601290/?l6537g8j6g02;i07d=:b;29 6g?2:o4=90;6)=n8;15a>h4i>09i65f35794?"4i108:h5a3`596c=5<#;h21=<<4n2c4>4=5<#;h21=<<4n2c4>6=5<#;h21=<<4n2c4>0=5<#;h21=<<4n2c4>2=5<#;h21=<<4n2c4><=5<#;h21=<<4n2c4>g=6=4+3`:95445<#;h21=<<4n2c4>a=5<#;h21=<<4n2c4>c=4;h334?6=,:k365$2c;>4753g9j;7?=;:ke`?6=,:k365$2c;>4753g9j;7?;;:kef?6=,:k365$2c;>4753g9j;7?9;:ke=?6=,:k365$2c;>4753g9j;7?7;:ke3?6=,:k3632cm:7>5$2c;>4753g9j;7?n;:ke1?6=,:k365$2c;>4753g9j;7?l;:ke6?6=,:k365$2c;>4753g9j;7?j;:ke4?6=,:k365$2c;>4753g9j;75$2c;>4753g9j;7<=;:kfg?6=,:k365$2c;>4753g9j;7<;;:kfe?6=,:k36=83.8m54>139m7d1=:>10e=9880b>o8:3:8?l76>3:1(>o7:031?k5f?38276g>1483>!5f03;:>6`=n98>1<7*o54i02b>5<#;h21=<<4n2c4>7e<3`lm6=4+3`:95445<#;h21=<<4n2c4>7c<3`o26=4+3`:9544=l;o1b3?6<3f98n7>5$2c;>65d3g9j;7?4;n10e?6=,:k36>=l;o1b3?4<3f9857>5$2c;>65d3g9j;7=4;n10=l;o1b3?2<3f98;7>5$2c;>65d3g9j;7;4;n102?6=,:k36>=l;o1b3?0<3f9897>5$2c;>65d3g9j;794;n107?6=,:k36>=l;o1b3?><3f98>7>5$2c;>65d3g9j;774;n105?6=,:k36>=l;o1b3?g<3f98<7>5$2c;>65d3g9j;7l4;n11b?6=,:k36>=l;o1b3?e<3f99i7>5$2c;>65d3g9j;7j4;n11`?6=,:k36>=l;o1b3?c<3f99o7>5$2c;>65d3g9j;7h4;n11f?6=,:k36>=l;o1b3?7732e8>l4?:%1b1:9l77>=83.8m54<3b9m7d1=9;10c><8:18'7d>=;:i0b>o8:018?j55>3:1(>o7:21`?k5f?3;?76a<2483>!5f0398o6`=h;;>1<7*5<#;h21?>m4n2c4>41<3f99>7>5$2c;>65d3g9j;7?7;:m064<72-9j47=50;&0e=<4;j1e?l951`98k67a290/?l6532a8j6g028h07b=>d;29 6g?2:9h7c=n7;3`?>i49j0;6)=n8;10g>h4i>0:h65`30`94?"4i108?n5a3`595`==l;o1b3?4732e8=54?:%1b?9:18'7d>=;:i0b>o8:318?j56=3:1(>o7:21`?k5f?38?76a<1583>!5f0398o6`=h;=91<7*;54o261>5<#;h21?>m4n2c4>71<3f9?=7>5$2c;>65d3g9j;7<7;:m005<72-9j47=h50;&0e=<4;j1e?l952`98k65b290/?l6532a8j6g02;h07b=<4;29 6g?2:9h7c=n7;0`?>i4:00;6)=n8;10g>h4i>09h65`30g94?"4i108?n5a3`596`=>k;o1b3?6<3`9;o7>5$2c;>66c3g9j;7?4;h13f?6=,:k36>>k;o1b3?4<3`9;m7>5$2c;>66c3g9j;7=4;h13=?6=,:k36>>k;o1b3?2<3`9;47>5$2c;>66c3g9j;7;4;h133?6=,:k36>>k;o1b3?0<3`9;:7>5$2c;>66c3g9j;794;h30g?6=,:k36<=m;o1b3?6<3`;8m7>5$2c;>45e3g9j;7?4;h30=?6=,:k36<=m;o1b3?4<3`;847>5$2c;>45e3g9j;7=4;h303?6=,:k36<=m;o1b3?2<3`;8:7>5$2c;>45e3g9j;7;4;h301?6=,:k36<=m;o1b3?0<3`;887>5$2c;>45e3g9j;794;h371?6=,:k36<=m;o1b3?><3`;?87>5$2c;>45e3g9j;774;h377?6=,:k36<=m;o1b3?g<3`;?>7>5$2c;>45e3g9j;7l4;h375?6=,:k36<=m;o1b3?e<3`;?<7>5$2c;>45e3g9j;7j4;h30b?6=,:k36<=m;o1b3?c<3`;8i7>5$2c;>45e3g9j;7h4;h30`?6=,:k36<=m;o1b3?7732c:?>4?:%1b1:9l7d6=83.8m54<9g9m7d1=821d?4k50;&0e=<41o1e?l951:9l721d?4650;&0e=<41o1e?l957:9l7<0=83.8m54<9g9m7d1=021d?4;50;&0e=<41o1e?l959:9l7<2=83.8m54<9g9m7d1=i21d?4=50;&0e=<41o1e?l95b:9l7<4=83.8m54<9g9m7d1=k21d?4?50;&0e=<41o1e?l95d:9l7<6=83.8m54<9g9m7d1=m21d?5h50;&0e=<41o1e?l95f:9l7=c=83.8m54<9g9m7d1=9910c>6k:18'7d>=;0l0b>o8:038?j5?j3:1(>o7:2;e?k5f?3;976a<8`83>!5f0392j6`=h;131<7*5<#;h21?4h4n2c4>43<3f93;7>5$2c;>6?a3g9j;7?9;:m0<3<72-9j47=6f:l0e2<6?21d?5;50;&0e=<41o1e?l951998k6>3290/?l6538d8j6g028307b=73;29 6g?2:3m7c=n7;3b?>i40;0;6)=n8;1:b>h4i>0:n65`39294?"4i1085k5a3`595f=7i;o1b3?7b32e8;i4?:%1bn2d8m:4>f:9l72e=83.8m54<9g9m7d1=:910c>9m:18'7d>=;0l0b>o8:338?j50i3:1(>o7:2;e?k5f?38976a<7883>!5f0392j6`=h;>21<7*954o254>5<#;h21?4h4n2c4>73<3f9j:7>5$2c;>6?a3g9j;7<9;:m0e0<72-9j47=6f:l0e2<5?21d?l:50;&0e=<41o1e?l952998k6g4290/?l6538d8j6g02;307b=n2;29 6g?2:3m7c=n7;0b?>i4i80;6)=n8;1:b>h4i>09n65`38594?"4i1085k5a3`596f=7i;o1b3?4b32e8;;4?:%1bn2d8m:4=f:9j=6<72-9j477=;o1b3?6<3`3:6=4+3`:9=7=i;h=1=65f9183>!5f03397c=n7;08?l>a290/?l65939m7d1=;21b4h4?:%1b5<#;h215?5a3`591>=n0j0;6)=n8;;1?k5f?3<07d6m:18'7d>=1;1e?l957:9j=f<72-9j477=;o1b3?><3`3i6=4+3`:9=7=i;h=1565f9`83>!5f03397c=n7;c8?l?>290/?l65939m7d1=j21b554?:%1b5<#;h215?5a3`59`>=n1?0;6)=n8;;1?k5f?3o07d7::18'7d>=1;1e?l95f:9j=1<72-9j477=;o1b3?7732c3m7>5$2c;><45$2c;>72?3g9j;7?4;n072?6=,:k36?:7;o1b3?4<3f8?97>5$2c;>72?3g9j;7=4;n070?6=,:k36?:7;o1b3?2<3f8??7>5$2c;>72?3g9j;7;4;n075?6=,:k36?:7;o1b3?0<3f8?<7>5$2c;>72?3g9j;794;n00b?6=,:k36?:7;o1b3?><3f88i7>5$2c;>72?3g9j;774;n00`?6=,:k36?:7;o1b3?g<3f88o7>5$2c;>72?3g9j;7l4;n00f?6=,:k36?:7;o1b3?e<3f88m7>5$2c;>72?3g9j;7j4;n00=?6=,:k36?:7;o1b3?c<3f8847>5$2c;>72?3g9j;7h4;n002?6=,:k36?:7;o1b3?7732e9?84?:%1b1:9l662=83.8m54=499m7d1=9;10c?=<:18'7d>=:=20b>o8:018?j44:3:1(>o7:36;?k5f?3;?76a=3083>!5f038?46`=h:::1<7*5<#;h21>964n2c4>41<3f89i7>5$2c;>72?3g9j;7?7;:m16a<72-9j47<;8:l0e2<6121d>8>50;&0e=<5<11e?l951`98k72a290/?l6525:8j6g028h07b<;e;29 6g?2;>37c=n7;3`?>i5h4i>0:h65`25a94?"4i109855a3`595`=i6=4+3`:961>=:=20b>o8:318?le>290/?l65c99m7d1=82B8nn54ib594?"4i10h46`od=3:1(>o7:b:8j6g02:1C?om4;ha7>5<#;h21o55a3`590>N4jj10en=50;&0e==nk;0;6)=n8;a;?k5f?3<0D>ll;:k`5?6=,:k36n64n2c4>2=O;ki07dj=:18'7d>=k11e?l958:J0ff=h4i>027E=mc:9j`5<72-9j47m7;o1b3?g<@:hh76glf;29 6g?2j20b>o8:c9K7ge<3`in6=4+3`:9g==i;h=1o6F!5f03i37c=n7;g8L6dd32chn7>5$2c;>f>5<#;h21o55a3`5954=O;ki07pl;ee83>43f290;w)=l1;03e>N4kk1C?n=4Zd59f~>628<1;?49e;31>47=>j0<=7?<:02902h48o0:7c=na;38 6d02:i;7d?=4;29?l5e93:17d=m2;29?l75=3:17d=m3;29?l7503:17d?=3;29?j76m3:17d=m5;29?l5e<3:17d?=c;29?l5e83:17d?=7;29?l75:3:17d?>a;29?l7513:17d?=1;29?j76l3:17d=nf;29?l5e>3:17dl9:18'7d>=j<1e?l950:9jf1<72-9j47l:;o1b3?7<3`h86=4+3`:9f0=i;h=1>65fb383>!5f03h>7c=n7;18?ld6290/?l65b49m7d1=<21bn=4?:%1b5<#;h21n85a3`592>=nil0;6)=n8;`6?k5f?3=07dli:18'7d>=j<1e?l958:9jf`<72-9j47l:;o1b3??<3`ho6=4+3`:9f0=i;h=1m65fbb83>!5f03h>7c=n7;`8?lde290/?l65b49m7d1=k21bnl4?:%1b5<#;h21n85a3`59a>=nj10;6)=n8;`6?k5f?3l07dl8:18'7d>=j<1e?l951198mdb=83.8m54m5:l0e2<6921dhn4?:%1b4;nfb>5<#;h21ho5a3`595>=hl00;6)=n8;fa?k5f?3807bj7:18'7d>=lk1e?l953:9l`2<72-9j47jm;o1b3?2<3fn=6=4+3`:9`g=i;h=1965`d483>!5f03ni7c=n7;48?jb3290/?l65dc9m7d1=?21di84?:%1b5<#;h21ho5a3`59=>=hm:0;6)=n8;fa?k5f?3k07bk=:18'7d>=lk1e?l95b:9la4<72-9j47jm;o1b3?e<3fo;6=4+3`:9`g=i;h=1h65`dg83>!5f03ni7c=n7;g8?jbb290/?l65dc9m7d1=n21dhi4?:%1b47<3`9=j7>5$2c;>60b3g9j;7>4;h15`?6=,:k36>8j;o1b3?7<3`9=o7>5$2c;>60b3g9j;7<4;h15f?6=,:k36>8j;o1b3?5<3`9=m7>5$2c;>60b3g9j;7:4;h15=?6=,:k36>8j;o1b3?3<3`9=47>5$2c;>60b3g9j;784;h153?6=,:k36>8j;o1b3?1<3`9=97>5$2c;>60b3g9j;764;h150?6=,:k36>8j;o1b3??<3`9=?7>5$2c;>60b3g9j;7o4;h156?6=,:k36>8j;o1b3?d<3`9==7>5$2c;>60b3g9j;7m4;h154?6=,:k36>8j;o1b3?b<3`9>j7>5$2c;>60b3g9j;7k4;h16a?6=,:k36>8j;o1b3?`<3`9>h7>5$2c;>60b3g9j;7??;:k01f<72-9j47=9e:l0e2<6921b?8o50;&0e=<4>l1e?l951398m63>290/?l6537g8j6g028907d=:8;29 6g?2:o4=>0;6)=n8;15a>h4i>0:965f34494?"4i108:h5a3`5953=6=4+3`:973c8j;o1b3?7?32c89>4?:%1b9:9j704=83.8m54<6d9m7d1=9h10e>;>:18'7d>=;?o0b>o8:0`8?l53n3:1(>o7:24f?k5f?3;h76g<4d83>!5f039=i6`=n;=n1<7*5<#;h21?;k4n2c4>4`<3`9?n7>5$2c;>60b3g9j;7l1e?l952398m62?290/?l6537g8j6g02;907d=;7;29 6g?2:o4h4i>09965f36794?"4i108:h5a3`5963=8j;o1b3?4?32c8;?4?:%1b9?:18'7d>=;?o0b>o8:3`8?l51>3:1(>o7:24f?k5f?38h76g<5c83>!5f039=i6`=n;<:1<7*h54i266>5<#;h21?;k4n2c4>7`<3`;:?7>5$2c;>4753g9j;7>4;h325?6=,:k365$2c;>4753g9j;7<4;h33b?6=,:k365$2c;>4753g9j;7:4;h33`?6=,:k365$2c;>4753g9j;784;h33f?6=,:k365$2c;>4753g9j;764;h335$2c;>4753g9j;7o4;h332?6=,:k365$2c;>4753g9j;7m4;h330?6=,:k365$2c;>4753g9j;7k4;h336?6=,:k365$2c;>4753g9j;7??;:k245<72-9j47?>2:l0e2<6921bjh4?:%1b2:9jba<72-9j47?>2:l0e2<6;21bjn4?:%1b4:9jbg<72-9j47?>2:l0e2<6=21bjl4?:%1b6:9jb<<72-9j47?>2:l0e2<6?21bj54?:%1b8:9jb2<72-9j47?>2:l0e2<6121bj;4?:%1ba:9jb0<72-9j47?>2:l0e2<6j21bj>4?:%1bc:9jb7<72-9j47?>2:l0e2<6l21bj<4?:%1be:9jb5<72-9j47?>2:l0e2<6n21bik4?:%1b2:l0e2<5921bii4?:%1b2:l0e2<5;21bio4?:%1b2:l0e2<5=21b=<750;&0e=<69;1e?l952798m47?290/?l651008j6g02;=07d?>7;29 6g?28;97c=n7;0;?>o69?0;6)=n8;326>h4i>09565f10794?"4i10:=?5a3`596d=5$2c;>4753g9j;75$2c;>4753g9j;7;:m07d<72-9j47=h4?:%1bn4?:%1bi4:?0;6)=n8;10g>h4i>0:865`33794?"4i108?n5a3`5950==l;o1b3?7032e8>?4?:%1b8:9l777=83.8m54<3b9m7d1=9010c>=;:i0b>o8:0c8?j56n3:1(>o7:21`?k5f?3;i76a<1e83>!5f0398o6`=h;8i1<7*5<#;h21?>m4n2c4>4c<3f9:m7>5$2c;>65d3g9j;7?i;:m05<<72-9j47=6;29 6g?2:9h7c=n7;00?>i49<0;6)=n8;10g>h4i>09865`30694?"4i108?n5a3`5960=86=4+3`:976e=l;o1b3?4032e88<4?:%1b=i:18'7d>=;:i0b>o8:3c8?j54m3:1(>o7:21`?k5f?38i76a<3583>!5f0398o6`=h;;31<7*i54o23f>5<#;h21?>m4n2c4>7c<3f9:?7>5$2c;>65d3g9j;7;:k04g<72-9j47=?d:l0e2<532c8;:k27<<72-9j47?=50;&0e=<6;k1e?l951098k6g7290/?l6538d8j6g02910c>7j:18'7d>=;0l0b>o8:098k6?c290/?l6538d8j6g02;10c>7l:18'7d>=;0l0b>o8:298k6?e290/?l6538d8j6g02=10c>7n:18'7d>=;0l0b>o8:498k6?>290/?l6538d8j6g02?10c>77:18'7d>=;0l0b>o8:698k6?1290/?l6538d8j6g02110c>7::18'7d>=;0l0b>o8:898k6?3290/?l6538d8j6g02h10c>7<:18'7d>=;0l0b>o8:c98k6?5290/?l6538d8j6g02j10c>7>:18'7d>=;0l0b>o8:e98k6?7290/?l6538d8j6g02l10c>6i:18'7d>=;0l0b>o8:g98k6>b290/?l6538d8j6g028:07b=7d;29 6g?2:3m7c=n7;32?>i40k0;6)=n8;1:b>h4i>0:>65`39c94?"4i1085k5a3`5956=7i;o1b3?7232e84:4?:%1bn2d8m:4>6:9l7=0=83.8m54<9g9m7d1=9>10c>6::18'7d>=;0l0b>o8:0:8?j5?<3:1(>o7:2;e?k5f?3;276a<8283>!5f0392j6`=h;181<7*5<#;h21?4h4n2c4>4e<3f95$2c;>6?a3g9j;7?k;:m03`<72-9j47=6f:l0e2<6m21d?:j50;&0e=<41o1e?l951g98k61d290/?l6538d8j6g02;:07b=8b;29 6g?2:3m7c=n7;02?>i4?h0;6)=n8;1:b>h4i>09>65`36;94?"4i1085k5a3`5966=7i;o1b3?4232e8m;4?:%1bn2d8m:4=6:9l7d3=83.8m54<9g9m7d1=:>10c>o;:18'7d>=;0l0b>o8:3:8?j5f;3:1(>o7:2;e?k5f?38276a!5f0392j6`=h;h;1<7*o54o2;4>5<#;h21?4h4n2c4>7e<3f93o7>5$2c;>6?a3g9j;75$2c;><46`o?n3:1(>o7:808j6g02:10e5k50;&0e=<>:2d8m:4;;:k;`?6=,:k364<4n2c4>0=h4i>0=76g7b;29 6g?2080b>o8:698m5$2c;><46`o>13:1(>o7:808j6g02k10e4650;&0e=<>:2d8m:4l;:k:3?6=,:k364<4n2c4>a=h4i>0n76g65;29 6g?2080b>o8:g98m<2=83.8m5462:l0e2<6821b4l4?:%1b;:m10<<72-9j47<;8:l0e2<732e98:4?:%1b;:m103<72-9j47<;8:l0e2<532e9884?:%1b4?:%1b>;50;&0e=<5<11e?l951098k753290/?l6525:8j6g028807b<<3;29 6g?2;>37c=n7;30?>i5;;0;6)=n8;07<>h4i>0:865`22394?"4i109855a3`5950=h4?:%1b8:9l67b=83.8m54=499m7d1=9010c?;?:18'7d>=:=20b>o8:0c8?j43n3:1(>o7:36;?k5f?3;i76a=4d83>!5f038?46`=h:=n1<7*5<#;h21>964n2c4>4c<3f8?n7>5$2c;>72?3g9j;7?i;:m10d<72-9j47<;8:l0e2<5821d>9<50;&0e=<5<11e?l952098k750290/?l6525:8j6g02;807b<=c;29 6g?2;>37c=n7;00?>od13:1(>o7:b:8j6g0291C?om4;ha4>5<#;h21o55a3`595>N4jj10en850;&0e==nk<0;6)=n8;a;?k5f?390D>ll;:k`0?6=,:k36n64n2c4>1=O;ki07dm<:18'7d>=k11e?l955:J0ff=h4i>0=7E=mc:9jg4<72-9j47m7;o1b3?1<@:hh76gk2;29 6g?2j20b>o8:99K7ge<3`n:6=4+3`:9g==i;h=156F!5f03i37c=n7;`8L6dd32chi7>5$2c;>f>odi3:1(>o7:b:8j6g028:0D>ll;:k`4?6=,:k36n64n2c4>47<@:hh76sm4dg94?72i3:1m<;[g4>g}?93;=6:<56d826?762?i1;<4>3;33>11=u-9:<7?m2b9m<0<73g2<6<5aac82?kgd281e=4=i;9l1=6`2583>>o4j80;66g>o6:<0;66g>o6:10;66g>2283>>i69l0;66g>o4j=0;66g>2b83>>o4j90;66g>2683>>o6:;0;66g>1`83>>o6:00;66g>2083>>i69m0;66g>o4j?0;66gm6;29 6g?2k?0b>o8:198mg2=83.8m54m5:l0e2<632ci?7>5$2c;>g3oe93:1(>o7:c78j6g02=10eo>50;&0e=3=h4i>0<76gmf;29 6g?2k?0b>o8:998mgc=83.8m54m5:l0e2<>32cih7>5$2c;>g3oej3:1(>o7:c78j6g02j10eoo50;&0e=`=h4i>0m76gm7;29 6g?2k?0b>o8:028?lgc290/?l65b49m7d1=9810cim50;&0e=4=h4i>0976ak8;29 6g?2mh0b>o8:298ka1=83.8m54kb:l0e2<332eo:7>5$2c;>adic<3:1(>o7:e`8j6g02>10ch;50;&0e=<=h4i>0j76aj2;29 6g?2mh0b>o8:c98k`7=83.8m54kb:l0e25$2c;>adicm3:1(>o7:e`8j6g02o10cij50;&0e=0:9l`6<72-9j47jm;o1b3?7632c8:k4?:%1b32c8:>4?:%1b0:9j70e=83.8m54<6d9m7d1=9810e>;n:18'7d>=;?o0b>o8:008?l5213:1(>o7:24f?k5f?3;876g<5983>!5f039=i6`=n;<=1<7*5<#;h21?;k4n2c4>40<3`9>97>5$2c;>60b3g9j;7?8;:k011<72-9j47=9e:l0e2<6021b?8=50;&0e=<4>l1e?l951898m635290/?l6537g8j6g028k07d=:1;29 6g?2:o4h4i>0:o65f35g94?"4i108:h5a3`595a=o6=4+3`:973c8j;o1b3?7a32c88o4?:%1b:6:18'7d>=;?o0b>o8:308?l5303:1(>o7:24f?k5f?38876g<4683>!5f039=i6`=n;=<1<7*854i256>5<#;h21?;k4n2c4>70<3`9<87>5$2c;>60b3g9j;7<8;:k036<72-9j47=9e:l0e2<5021b?:<50;&0e=<4>l1e?l952898m616290/?l6537g8j6g02;k07d=80;29 6g?2:o4>?0;6)=n8;15a>h4i>09o65f34`94?"4i108:h5a3`596a=8j;o1b3?4a32c:=>4?:%1b2:l0e2<632c:==4?:%1b2:l0e2<432c:2:l0e2<232c:2:l0e2<032c:<44?:%1b2:l0e2<>32c:<:4?:%1b2:l0e22:l0e24?:%1b2:l0e20:9j556=83.8m54>139m7d1=9810ekk50;&0e=<69;1e?l951398mcb=83.8m54>139m7d1=9:10ekm50;&0e=<69;1e?l951598mcd=83.8m54>139m7d1=9<10eko50;&0e=<69;1e?l951798mc?=83.8m54>139m7d1=9>10ek650;&0e=<69;1e?l951998mc1=83.8m54>139m7d1=9010ek850;&0e=<69;1e?l951`98mc3=83.8m54>139m7d1=9k10ek=50;&0e=<69;1e?l951b98mc4=83.8m54>139m7d1=9m10ek?50;&0e=<69;1e?l951d98mc6=83.8m54>139m7d1=9o10ehh50;&0e=<69;1e?l952198m`c=83.8m54>139m7d1=:810ehj50;&0e=<69;1e?l952398m`e=83.8m54>139m7d1=::10ehl50;&0e=<69;1e?l952598m`g=83.8m54>139m7d1=:<10e=9880b>o8:348?l7603:1(>o7:031?k5f?38<76g>1683>!5f03;:>6`=n98<1<7*454i036>5<#;h21=<<4n2c4>7g<3`;:87>5$2c;>4753g9j;72:l0e2<5k21bjk4?:%1b2:l0e2<5m21bi44?:%1bl50;&0e=<4;j1e?l951:9l76g=83.8m54<3b9m7d1=:21d?>750;&0e=<4;j1e?l953:9l76>=83.8m54<3b9m7d1=<21d?>950;&0e=<4;j1e?l955:9l760=83.8m54<3b9m7d1=>21d?>;50;&0e=<4;j1e?l957:9l765=83.8m54<3b9m7d1=021d?><50;&0e=<4;j1e?l959:9l767=83.8m54<3b9m7d1=i21d?>>50;&0e=<4;j1e?l95b:9l77`=83.8m54<3b9m7d1=k21d??k50;&0e=<4;j1e?l95d:9l77b=83.8m54<3b9m7d1=m21d??m50;&0e=<4;j1e?l95f:9l77d=83.8m54<3b9m7d1=9910c>=;:i0b>o8:038?j5503:1(>o7:21`?k5f?3;976a<2683>!5f0398o6`=h;;<1<7*5<#;h21?>m4n2c4>43<3f9987>5$2c;>65d3g9j;7?9;:m066<72-9j47=i49o0;6)=n8;10g>h4i>0:n65`30f94?"4i108?n5a3`595f==l;o1b3?7b32e8=l4?:%1bf:9l74?=83.8m54<3b9m7d1=:910c>?7:18'7d>=;:i0b>o8:338?j56?3:1(>o7:21`?k5f?38976a<1783>!5f0398o6`=h;8?1<7*954o237>5<#;h21?>m4n2c4>73<3f9??7>5$2c;>65d3g9j;7<9;:m007<72-9j47=i4;l0;6)=n8;10g>h4i>09n65`32694?"4i108?n5a3`596f==l;o1b3?4b32e8=>4?:%1b21b?=850;&0e=<48m1e?l957:9j56e=83.8m54>3c9m7d1=821b=>o50;&0e=<6;k1e?l951:9j56?=83.8m54>3c9m7d1=:21b=>650;&0e=<6;k1e?l953:9j561=83.8m54>3c9m7d1=<21b=>850;&0e=<6;k1e?l955:9j563=83.8m54>3c9m7d1=>21b=>:50;&0e=<6;k1e?l957:9j513=83.8m54>3c9m7d1=021b=9:50;&0e=<6;k1e?l959:9j515=83.8m54>3c9m7d1=i21b=9<50;&0e=<6;k1e?l95b:9j517=83.8m54>3c9m7d1=k21b=9>50;&0e=<6;k1e?l95d:9j56`=83.8m54>3c9m7d1=m21b=>k50;&0e=<6;k1e?l95f:9j56b=83.8m54>3c9m7d1=9910e<=<:18'7d>=9:h0b>o8:038?j5f83:1(>o7:2;e?k5f?3:07b=6e;29 6g?2:3m7c=n7;38?j5>l3:1(>o7:2;e?k5f?3807b=6c;29 6g?2:3m7c=n7;18?j5>j3:1(>o7:2;e?k5f?3>07b=6a;29 6g?2:3m7c=n7;78?j5>13:1(>o7:2;e?k5f?3<07b=68;29 6g?2:3m7c=n7;58?j5>>3:1(>o7:2;e?k5f?3207b=65;29 6g?2:3m7c=n7;;8?j5><3:1(>o7:2;e?k5f?3k07b=63;29 6g?2:3m7c=n7;`8?j5>:3:1(>o7:2;e?k5f?3i07b=61;29 6g?2:3m7c=n7;f8?j5>83:1(>o7:2;e?k5f?3o07b=7f;29 6g?2:3m7c=n7;d8?j5?m3:1(>o7:2;e?k5f?3;;76a<8e83>!5f0392j6`=h;1h1<7*5<#;h21?4h4n2c4>45<3f9357>5$2c;>6?a3g9j;7?;;:m0<=<72-9j47=6f:l0e2<6=21d?5950;&0e=<41o1e?l951798k6>1290/?l6538d8j6g028=07b=75;29 6g?2:3m7c=n7;3;?>i40=0;6)=n8;1:b>h4i>0:565`39194?"4i1085k5a3`595d=7i;o1b3?7d32e8;k4?:%1bn2d8m:4>d:9l72c=83.8m54<9g9m7d1=9l10c>9k:18'7d>=;0l0b>o8:0d8?j50k3:1(>o7:2;e?k5f?38;76a<7c83>!5f0392j6`=h;>k1<7*?54o25:>5<#;h21?4h4n2c4>75<3f9<47>5$2c;>6?a3g9j;7<;;:m032<72-9j47=6f:l0e2<5=21d?l850;&0e=<41o1e?l952798k6g2290/?l6538d8j6g02;=07b=n4;29 6g?2:3m7c=n7;0;?>i4i:0;6)=n8;1:b>h4i>09565`3`094?"4i1085k5a3`596d=7i;o1b3?4d32e84n4?:%1bn2d8m:4=d:9l7=7=83.8m54<9g9m7d1=:l10c>99:18'7d>=;0l0b>o8:3d8?l?4290/?l65939m7d1=821b5<4?:%1b5<#;h215?5a3`596>=n0o0;6)=n8;;1?k5f?3907d6j:18'7d>=1;1e?l954:9j!5f03397c=n7;58?l?d290/?l65939m7d1=021b5o4?:%1b5<#;h215?5a3`59e>=n100;6)=n8;;1?k5f?3h07d77:18'7d>=1;1e?l95c:9j=2<72-9j477=;o1b3?b<3`3=6=4+3`:9=7=i;h=1i65f9483>!5f03397c=n7;d8?l?3290/?l65939m7d1=9910e5o50;&0e=<>:2d8m:4>1:9l61?=83.8m54=499m7d1=821d>9950;&0e=<5<11e?l951:9l610=83.8m54=499m7d1=:21d>9;50;&0e=<5<11e?l953:9l612=83.8m54=499m7d1=<21d>9=50;&0e=<5<11e?l955:9l617=83.8m54=499m7d1=>21d>9>50;&0e=<5<11e?l957:9l66`=83.8m54=499m7d1=021d>>k50;&0e=<5<11e?l959:9l66b=83.8m54=499m7d1=i21d>>m50;&0e=<5<11e?l95b:9l66d=83.8m54=499m7d1=k21d>>o50;&0e=<5<11e?l95d:9l66?=83.8m54=499m7d1=m21d>>650;&0e=<5<11e?l95f:9l660=83.8m54=499m7d1=9910c?=::18'7d>=:=20b>o8:038?j44<3:1(>o7:36;?k5f?3;976a=3283>!5f038?46`=h::81<7*5<#;h21>964n2c4>43<3f88<7>5$2c;>72?3g9j;7?9;:m16c<72-9j47<;8:l0e2<6?21d>?k50;&0e=<5<11e?l951998k74c290/?l6525:8j6g028307b<:0;29 6g?2;>37c=n7;3b?>i5h4i>0:n65`25g94?"4i109855a3`595f=o6=4+3`:961>f:9l61g=83.8m54=499m7d1=:910c?:=:18'7d>=:=20b>o8:338?j44?3:1(>o7:36;?k5f?38976a=2b83>!5f038?46`=nk00;6)=n8;a;?k5f?3:0D>ll;:k`3?6=,:k36n64n2c4>4=O;ki07dm9:18'7d>=k11e?l952:J0ff=h4i>087E=mc:9jg1<72-9j47m7;o1b3?2<@:hh76gl3;29 6g?2j20b>o8:49K7ge<3`i96=4+3`:9g==i;h=1:6F!5f03i37c=n7;:8L6dd32co=7>5$2c;>f>odl3:1(>o7:b:8j6g02m1C?om4;ha`>5<#;h21o55a3`59a>N4jj10enl50;&0e==nkh0;6)=n8;a;?k5f?3;;7E=mc:9jg5<72-9j47m7;o1b3?763A9io65rb5ge>5<6=h0;6=u+3b3965g<@:ii7E=l3:Xf3?d|080::79=:7g957<693b3a8j=3=82d3;7?4n``95>hfk3;0b;o065?7"4j>08o=5f13694?=n;k;1<75f3c094?=n9;?1<75f3c194?=n9;21<75f13194?=h98o1<75f3c794?=n;k>1<75f13a94?=n;k:1<75f13594?=n9;81<75f10c94?=n9;31<75f13394?=h98n1<75f3`d94?=n;k<1<75fb783>!5f03h>7c=n7;28?ld3290/?l65b49m7d1=921bn>4?:%1b5<#;h21n85a3`597>=nj80;6)=n8;`6?k5f?3>07dl?:18'7d>=j<1e?l955:9jec<72-9j47l:;o1b3?0<3`kn6=4+3`:9f0=i;h=1;65fbg83>!5f03h>7c=n7;:8?ldb290/?l65b49m7d1=121bni4?:%1b5<#;h21n85a3`59f>=njk0;6)=n8;`6?k5f?3i07dln:18'7d>=j<1e?l95d:9jf<<72-9j47l:;o1b3?c<3`h36=4+3`:9f0=i;h=1j65fb683>!5f03h>7c=n7;33?>ofl3:1(>o7:c78j6g028;07bjl:18'7d>=lk1e?l950:9l`d<72-9j47jm;o1b3?7<3fn26=4+3`:9`g=i;h=1>65`d983>!5f03ni7c=n7;18?jb0290/?l65dc9m7d1=<21dh;4?:%1b5<#;h21ho5a3`592>=hl=0;6)=n8;fa?k5f?3=07bk::18'7d>=lk1e?l958:9la1<72-9j47jm;o1b3??<3fo86=4+3`:9`g=i;h=1m65`e383>!5f03ni7c=n7;`8?jc6290/?l65dc9m7d1=k21di=4?:%1b5<#;h21ho5a3`59a>=hll0;6)=n8;fa?k5f?3l07bjk:18'7d>=lk1e?l951198ka5=83.8m54kb:l0e2<6921b?;h50;&0e=<4>l1e?l950:9j73b=83.8m54<6d9m7d1=921b?;m50;&0e=<4>l1e?l952:9j73d=83.8m54<6d9m7d1=;21b?;o50;&0e=<4>l1e?l954:9j73?=83.8m54<6d9m7d1==21b?;650;&0e=<4>l1e?l956:9j731=83.8m54<6d9m7d1=?21b?;;50;&0e=<4>l1e?l958:9j732=83.8m54<6d9m7d1=121b?;=50;&0e=<4>l1e?l95a:9j734=83.8m54<6d9m7d1=j21b?;?50;&0e=<4>l1e?l95c:9j736=83.8m54<6d9m7d1=l21b?8h50;&0e=<4>l1e?l95e:9j70c=83.8m54<6d9m7d1=n21b?8j50;&0e=<4>l1e?l951198m63d290/?l6537g8j6g028;07d=:a;29 6g?2:o4=00;6)=n8;15a>h4i>0:?65f34:94?"4i108:h5a3`5951=8j;o1b3?7132c8984?:%1b7:9j702=83.8m54<6d9m7d1=9110e>;<:18'7d>=;?o0b>o8:0;8?l52:3:1(>o7:24f?k5f?3;j76g<5083>!5f039=i6`=n;=l1<7*5<#;h21?;k4n2c4>4b<3`9?h7>5$2c;>60b3g9j;7?j;:k00f<72-9j47=9e:l0e2<6n21b?9l50;&0e=<4>l1e?l952198m62f290/?l6537g8j6g02;;07d=;9;29 6g?2:o4<10;6)=n8;15a>h4i>09?65f35594?"4i108:h5a3`5961==6=4+3`:973c8j;o1b3?4132c8;94?:%1b9=:18'7d>=;?o0b>o8:3;8?l5093:1(>o7:24f?k5f?38j76g<7183>!5f039=i6`=n;?<1<7*n54i27a>5<#;h21?;k4n2c4>7b<3`9><7>5$2c;>60b3g9j;7139m7d1=921b=<>50;&0e=<69;1e?l952:9j55`=83.8m54>139m7d1=;21b==k50;&0e=<69;1e?l954:9j55b=83.8m54>139m7d1==21b==m50;&0e=<69;1e?l956:9j55d=83.8m54>139m7d1=?21b==750;&0e=<69;1e?l958:9j55>=83.8m54>139m7d1=121b==950;&0e=<69;1e?l95a:9j550=83.8m54>139m7d1=j21b==;50;&0e=<69;1e?l95c:9j552=83.8m54>139m7d1=l21b===50;&0e=<69;1e?l95e:9j554=83.8m54>139m7d1=n21b==?50;&0e=<69;1e?l951198m467290/?l651008j6g028;07dhj:18'7d>=9880b>o8:008?l`c290/?l651008j6g028907dhl:18'7d>=9880b>o8:068?l`e290/?l651008j6g028?07dhn:18'7d>=9880b>o8:048?l`>290/?l651008j6g028=07dh7:18'7d>=9880b>o8:0:8?l`0290/?l651008j6g028307dh9:18'7d>=9880b>o8:0c8?l`2290/?l651008j6g028h07dh<:18'7d>=9880b>o8:0a8?l`5290/?l651008j6g028n07dh>:18'7d>=9880b>o8:0g8?l`7290/?l651008j6g028l07dki:18'7d>=9880b>o8:328?lcb290/?l651008j6g02;;07dkk:18'7d>=9880b>o8:308?lcd290/?l651008j6g02;907dkm:18'7d>=9880b>o8:368?lcf290/?l651008j6g02;?07d?>9;29 6g?28;97c=n7;05?>o6910;6)=n8;326>h4i>09;65f10594?"4i10:=?5a3`596==139m7d1=:j10ekh50;&0e=<69;1e?l952e98mc2=83.8m54>139m7d1=:l10eh750;&0e=<69;1e?l952g98k65c290/?l6532a8j6g02910c>=m:18'7d>=;:i0b>o8:098k65f290/?l6532a8j6g02;10c>=6:18'7d>=;:i0b>o8:298k65?290/?l6532a8j6g02=10c>=8:18'7d>=;:i0b>o8:498k651290/?l6532a8j6g02?10c>=::18'7d>=;:i0b>o8:698k654290/?l6532a8j6g02110c>==:18'7d>=;:i0b>o8:898k656290/?l6532a8j6g02h10c>=?:18'7d>=;:i0b>o8:c98k64a290/?l6532a8j6g02j10c>=;:i0b>o8:e98k64c290/?l6532a8j6g02l10c>=;:i0b>o8:g98k64e290/?l6532a8j6g028:07b==a;29 6g?2:9h7c=n7;32?>i4:10;6)=n8;10g>h4i>0:>65`33594?"4i108?n5a3`5956==l;o1b3?7232e8>94?:%1b6:9l775=83.8m54<3b9m7d1=9>10c><=:18'7d>=;:i0b>o8:0:8?j5593:1(>o7:21`?k5f?3;276a<2183>!5f0398o6`=h;8l1<7*5<#;h21?>m4n2c4>4e<3f9:o7>5$2c;>65d3g9j;7?k;:m05g<72-9j47=290/?l6532a8j6g02;:07b=>8;29 6g?2:9h7c=n7;02?>i49>0;6)=n8;10g>h4i>09>65`30494?"4i108?n5a3`5966=6=4+3`:976e=l;o1b3?4232e88>4?:%1b10c>:>:18'7d>=;:i0b>o8:3:8?j5383:1(>o7:21`?k5f?38276a<3g83>!5f0398o6`=h;:o1<7*o54o217>5<#;h21?>m4n2c4>7e<3f9957>5$2c;>65d3g9j;7>l:18'7d>=;9n0b>o8:098m66e290/?l6531f8j6g02;10e>>n:18'7d>=;9n0b>o8:298m66>290/?l6531f8j6g02=10e>>7:18'7d>=;9n0b>o8:498m660290/?l6531f8j6g02?10e>>9:18'7d>=;9n0b>o8:698m45d290/?l6512`8j6g02910e<=n:18'7d>=9:h0b>o8:098m45>290/?l6512`8j6g02;10e<=7:18'7d>=9:h0b>o8:298m450290/?l6512`8j6g02=10e<=9:18'7d>=9:h0b>o8:498m452290/?l6512`8j6g02?10e<=;:18'7d>=9:h0b>o8:698m422290/?l6512`8j6g02110e<:;:18'7d>=9:h0b>o8:898m424290/?l6512`8j6g02h10e<:=:18'7d>=9:h0b>o8:c98m426290/?l6512`8j6g02j10e<:?:18'7d>=9:h0b>o8:e98m45a290/?l6512`8j6g02l10e<=j:18'7d>=9:h0b>o8:g98m45c290/?l6512`8j6g028:07d?<3;29 6g?289i7c=n7;32?>i4i90;6)=n8;1:b>h4i>0;76a<9d83>!5f0392j6`i41m0;6)=n8;1:b>h4i>0976a<9b83>!5f0392j6`i41k0;6)=n8;1:b>h4i>0?76a<9`83>!5f0392j6`i4100;6)=n8;1:b>h4i>0=76a<9983>!5f0392j6`i41?0;6)=n8;1:b>h4i>0376a<9483>!5f0392j6`i41=0;6)=n8;1:b>h4i>0j76a<9283>!5f0392j6`i41;0;6)=n8;1:b>h4i>0h76a<9083>!5f0392j6`i4190;6)=n8;1:b>h4i>0n76a<8g83>!5f0392j6`i40l0;6)=n8;1:b>h4i>0:<65`39f94?"4i1085k5a3`5954=7i;o1b3?7432e8444?:%1bn2d8m:4>4:9l7=>=83.8m54<9g9m7d1=9<10c>68:18'7d>=;0l0b>o8:048?j5?>3:1(>o7:2;e?k5f?3;<76a<8483>!5f0392j6`=h;1>1<7*5<#;h21?4h4n2c4>4g<3f93>7>5$2c;>6?a3g9j;7?m;:m0<5<72-9j47=6f:l0e2<6k21d?:h50;&0e=<41o1e?l951e98k61b290/?l6538d8j6g028o07b=8d;29 6g?2:3m7c=n7;3e?>i4?j0;6)=n8;1:b>h4i>09<65`36`94?"4i1085k5a3`5964=7i;o1b3?4432e8;54?:%1bn2d8m:4=4:9l721=83.8m54<9g9m7d1=:<10c>o9:18'7d>=;0l0b>o8:348?j5f=3:1(>o7:2;e?k5f?38<76a!5f0392j6`=h;h91<7*454o2c1>5<#;h21?4h4n2c4>7g<3f9j=7>5$2c;>6?a3g9j;76290/?l6538d8j6g02;o07b=86;29 6g?2:3m7c=n7;0e?>o>;3:1(>o7:808j6g02910e4?50;&0e=<>:2d8m:4>;:k:4?6=,:k364<4n2c4>7=h4i>0876g7e;29 6g?2080b>o8:598m=b=83.8m5462:l0e2<232c3o7>5$2c;><46`o>k3:1(>o7:808j6g02110e4l50;&0e=<>:2d8m:46;:k:e?6=,:k364<4n2c4>d=h4i>0i76g68;29 6g?2080b>o8:b98m<1=83.8m5462:l0e25$2c;><46`o><3:1(>o7:808j6g028:07d6n:18'7d>=1;1e?l951098k72>290/?l6525:8j6g02910c?:8:18'7d>=:=20b>o8:098k721290/?l6525:8j6g02;10c?:::18'7d>=:=20b>o8:298k723290/?l6525:8j6g02=10c?:<:18'7d>=:=20b>o8:498k726290/?l6525:8j6g02?10c?:?:18'7d>=:=20b>o8:698k75a290/?l6525:8j6g02110c?=j:18'7d>=:=20b>o8:898k75c290/?l6525:8j6g02h10c?=l:18'7d>=:=20b>o8:c98k75e290/?l6525:8j6g02j10c?=n:18'7d>=:=20b>o8:e98k75>290/?l6525:8j6g02l10c?=7:18'7d>=:=20b>o8:g98k751290/?l6525:8j6g028:07b<<5;29 6g?2;>37c=n7;32?>i5;=0;6)=n8;07<>h4i>0:>65`22194?"4i109855a3`5956=6:9l67`=83.8m54=499m7d1=9>10c?=:=20b>o8:0:8?j45l3:1(>o7:36;?k5f?3;276a=5183>!5f038?46`=h:=l1<7*5<#;h21>964n2c4>4e<3f8?h7>5$2c;>72?3g9j;7?k;:m10f<72-9j47<;8:l0e2<6m21d>9l50;&0e=<5<11e?l951g98k72f290/?l6525:8j6g02;:07b<;2;29 6g?2;>37c=n7;02?>i5;>0;6)=n8;07<>h4i>09>65`23a94?"4i109855a3`5966=h4i>0;7E=mc:9jg2<72-9j47m7;o1b3?7<@:hh76gl6;29 6g?2j20b>o8:39K7ge<3`i>6=4+3`:9g==i;h=1?6F!5f03i37c=n7;78L6dd32ch>7>5$2c;>f>oc83:1(>o7:b:8j6g02h1C?om4;hae>5<#;h21o55a3`59f>N4jj10enk50;&0e==nkm0;6)=n8;a;?k5f?3n0D>ll;:k`g?6=,:k36n64n2c4>`=O;ki07dmm:18'7d>=k11e?l95f:J0ff=h4i>0:<6Ft$2a2>76f3A9hn6F44=980=o79>:01955<3?3w/?<>51c0`?k>2291e4:4>;oca>4=iij0:7c?>b;28 47d2::>7c?>f;28j457281e>=751:l114<63g9;j7?4n2cb>4=#;k=1?n>4i007>5<5<6=44i2`0>5<5<5<5<5<5<5<5<oe<3:1(>o7:c78j6g02810eo=50;&0e=6=h4i>0?76gm0;29 6g?2k?0b>o8:498md`=83.8m54m5:l0e2<132cji7>5$2c;>g3oem3:1(>o7:c78j6g02010eoj50;&0e=g=h4i>0h76gma;29 6g?2k?0b>o8:e98mg?=83.8m54m5:l0e25$2c;>g3=nim0;6)=n8;`6?k5f?3;:76akc;29 6g?2mh0b>o8:198kag=83.8m54kb:l0e2<632eo57>5$2c;>adic?3:1(>o7:e`8j6g02=10ci850;&0e=3=1<7*h4i>0<76aj5;29 6g?2mh0b>o8:998k`2=83.8m54kb:l0e2<>32en?7>5$2c;>adib93:1(>o7:e`8j6g02j10ch>50;&0e=`=h4i>0m76akd;29 6g?2mh0b>o8:028?jb4290/?l65dc9m7d1=9810e>8i:18'7d>=;?o0b>o8:198m60c290/?l6537g8j6g02810e>8l:18'7d>=;?o0b>o8:398m60e290/?l6537g8j6g02:10e>8n:18'7d>=;?o0b>o8:598m60>290/?l6537g8j6g02<10e>87:18'7d>=;?o0b>o8:798m600290/?l6537g8j6g02>10e>8::18'7d>=;?o0b>o8:998m603290/?l6537g8j6g02010e>8<:18'7d>=;?o0b>o8:`98m605290/?l6537g8j6g02k10e>8>:18'7d>=;?o0b>o8:b98m607290/?l6537g8j6g02m10e>;i:18'7d>=;?o0b>o8:d98m63b290/?l6537g8j6g02o10e>;k:18'7d>=;?o0b>o8:028?l52k3:1(>o7:24f?k5f?3;:76g<5`83>!5f039=i6`=n;<31<7*54i27;>5<#;h21?;k4n2c4>42<3`9>;7>5$2c;>60b3g9j;7?:;:k013<72-9j47=9e:l0e2<6>21b?8;50;&0e=<4>l1e?l951698m633290/?l6537g8j6g028207d=:3;29 6g?2:o4=;0;6)=n8;15a>h4i>0:m65f34394?"4i108:h5a3`595g=m6=4+3`:973c8j;o1b3?7c32c88i4?:%1be:9j71e=83.8m54<6d9m7d1=9o10e>:m:18'7d>=;?o0b>o8:328?l53i3:1(>o7:24f?k5f?38:76g<4883>!5f039=i6`=n;=21<7*>54i264>5<#;h21?;k4n2c4>72<3`9?:7>5$2c;>60b3g9j;7<:;:k030<72-9j47=9e:l0e2<5>21b?::50;&0e=<4>l1e?l952698m614290/?l6537g8j6g02;207d=82;29 6g?2:o4?80;6)=n8;15a>h4i>09m65f36294?"4i108:h5a3`596g=8j;o1b3?4c32c89=4?:%1b=9880b>o8:198m476290/?l651008j6g02810e=9880b>o8:398m46a290/?l651008j6g02:10e<>j:18'7d>=9880b>o8:598m46c290/?l651008j6g02<10e<>l:18'7d>=9880b>o8:798m46e290/?l651008j6g02>10e<>6:18'7d>=9880b>o8:998m46?290/?l651008j6g02010e<>8:18'7d>=9880b>o8:`98m461290/?l651008j6g02k10e<>::18'7d>=9880b>o8:b98m463290/?l651008j6g02m10e<><:18'7d>=9880b>o8:d98m465290/?l651008j6g02o10e<>>:18'7d>=9880b>o8:028?l7783:1(>o7:031?k5f?3;:76gie;29 6g?28;97c=n7;31?>oal3:1(>o7:031?k5f?3;876gic;29 6g?28;97c=n7;37?>oaj3:1(>o7:031?k5f?3;>76gia;29 6g?28;97c=n7;35?>oa13:1(>o7:031?k5f?3;<76gi8;29 6g?28;97c=n7;3;?>oa?3:1(>o7:031?k5f?3;276gi6;29 6g?28;97c=n7;3b?>oa=3:1(>o7:031?k5f?3;i76gi3;29 6g?28;97c=n7;3`?>oa:3:1(>o7:031?k5f?3;o76gi1;29 6g?28;97c=n7;3f?>oa83:1(>o7:031?k5f?3;m76gjf;29 6g?28;97c=n7;03?>obm3:1(>o7:031?k5f?38:76gjd;29 6g?28;97c=n7;01?>obk3:1(>o7:031?k5f?38876gjb;29 6g?28;97c=n7;07?>obi3:1(>o7:031?k5f?38>76g>1883>!5f03;:>6`=n9821<7*:54i034>5<#;h21=<<4n2c4>7><3`;::7>5$2c;>4753g9j;7<6;:k250<72-9j47?>2:l0e2<5i21b=<:50;&0e=<69;1e?l952c98m46f290/?l651008j6g02;i07dhi:18'7d>=9880b>o8:3f8?l`3290/?l651008j6g02;o07dk6:18'7d>=9880b>o8:3d8?j54l3:1(>o7:21`?k5f?3:07b=o7:21`?k5f?3807b=<9;29 6g?2:9h7c=n7;18?j5403:1(>o7:21`?k5f?3>07b=<7;29 6g?2:9h7c=n7;78?j54>3:1(>o7:21`?k5f?3<07b=<5;29 6g?2:9h7c=n7;58?j54;3:1(>o7:21`?k5f?3207b=<2;29 6g?2:9h7c=n7;;8?j5493:1(>o7:21`?k5f?3k07b=<0;29 6g?2:9h7c=n7;`8?j55n3:1(>o7:21`?k5f?3i07b==e;29 6g?2:9h7c=n7;f8?j55l3:1(>o7:21`?k5f?3o07b==c;29 6g?2:9h7c=n7;d8?j55j3:1(>o7:21`?k5f?3;;76a<2`83>!5f0398o6`=h;;21<7*5<#;h21?>m4n2c4>45<3f99:7>5$2c;>65d3g9j;7?;;:m060<72-9j47=i4:80;6)=n8;10g>h4i>0:565`33294?"4i108?n5a3`595d==l;o1b3?7d32e8=n4?:%1bd:9l74d=83.8m54<3b9m7d1=9l10c>?n:18'7d>=;:i0b>o8:0d8?j5613:1(>o7:21`?k5f?38;76a<1983>!5f0398o6`=h;8=1<7*?54o235>5<#;h21?>m4n2c4>75<3f9:97>5$2c;>65d3g9j;7<;;:m051<72-9j47=i4<90;6)=n8;10g>h4i>09565`32d94?"4i108?n5a3`596d==l;o1b3?4d32e8>44?:%1b?<:18'7d>=;:i0b>o8:3d8?l57m3:1(>o7:22g?k5f?3:07d=?c;29 6g?2::o7c=n7;38?l57j3:1(>o7:22g?k5f?3807d=?a;29 6g?2::o7c=n7;18?l5713:1(>o7:22g?k5f?3>07d=?8;29 6g?2::o7c=n7;78?l57?3:1(>o7:22g?k5f?3<07d=?6;29 6g?2::o7c=n7;58?l74k3:1(>o7:01a?k5f?3:07d?o7:01a?k5f?3807d?<8;29 6g?289i7c=n7;18?l74?3:1(>o7:01a?k5f?3>07d?<6;29 6g?289i7c=n7;78?l74=3:1(>o7:01a?k5f?3<07d?<4;29 6g?289i7c=n7;58?l73=3:1(>o7:01a?k5f?3207d?;4;29 6g?289i7c=n7;;8?l73;3:1(>o7:01a?k5f?3k07d?;2;29 6g?289i7c=n7;`8?l7393:1(>o7:01a?k5f?3i07d?;0;29 6g?289i7c=n7;f8?l74n3:1(>o7:01a?k5f?3o07d?o7:01a?k5f?3;;76g>3283>!5f03;8n6`=h;h:1<7*=h;0n1<7*65`38a94?"4i1085k5a3`597>=h;0h1<7*=h;031<7*=h;0<1<7*=h;0>1<7*=h;081<7*=h;0:1<7*=h;1o1<7*5<#;h21?4h4n2c4>47<3f93n7>5$2c;>6?a3g9j;7?=;:m0?290/?l6538d8j6g028?07b=77;29 6g?2:3m7c=n7;35?>i40?0;6)=n8;1:b>h4i>0:;65`39794?"4i1085k5a3`595==7i;o1b3?7f32e84?4?:%1bn2d8m:4>b:9l7=6=83.8m54<9g9m7d1=9j10c>9i:18'7d>=;0l0b>o8:0f8?j50m3:1(>o7:2;e?k5f?3;n76a<7e83>!5f0392j6`=h;>i1<7*=54o25a>5<#;h21?4h4n2c4>77<3f95$2c;>6?a3g9j;7<=;:m03<<72-9j47=6f:l0e2<5;21d?:650;&0e=<41o1e?l952598k610290/?l6538d8j6g02;?07b=n6;29 6g?2:3m7c=n7;05?>i4i<0;6)=n8;1:b>h4i>09;65`3`694?"4i1085k5a3`596==7i;o1b3?4f32e8m<4?:%1bn2d8m:4=b:9l7<1=83.8m54<9g9m7d1=:j10c>6l:18'7d>=;0l0b>o8:3f8?j5?93:1(>o7:2;e?k5f?38n76a<7783>!5f0392j6`=n1:0;6)=n8;;1?k5f?3:07d7>:18'7d>=1;1e?l951:9j=5<72-9j477=;o1b3?4<3`2m6=4+3`:9=7=i;h=1?65f8d83>!5f03397c=n7;68?l>c290/?l65939m7d1==21b4n4?:%1b5<#;h215?5a3`593>=n1j0;6)=n8;;1?k5f?3207d7m:18'7d>=1;1e?l959:9j=d<72-9j477=;o1b3?g<3`326=4+3`:9=7=i;h=1n65f9983>!5f03397c=n7;a8?l?0290/?l65939m7d1=l21b5;4?:%1b5<#;h215?5a3`59b>=n1=0;6)=n8;;1?k5f?3;;76g7a;29 6g?2080b>o8:038?j4313:1(>o7:36;?k5f?3:07b<;7;29 6g?2;>37c=n7;38?j43>3:1(>o7:36;?k5f?3807b<;5;29 6g?2;>37c=n7;18?j43<3:1(>o7:36;?k5f?3>07b<;3;29 6g?2;>37c=n7;78?j4393:1(>o7:36;?k5f?3<07b<;0;29 6g?2;>37c=n7;58?j44n3:1(>o7:36;?k5f?3207b<37c=n7;;8?j44l3:1(>o7:36;?k5f?3k07b<37c=n7;`8?j44j3:1(>o7:36;?k5f?3i07b<37c=n7;f8?j4413:1(>o7:36;?k5f?3o07b<<8;29 6g?2;>37c=n7;d8?j44>3:1(>o7:36;?k5f?3;;76a=3483>!5f038?46`=h::>1<7*5<#;h21>964n2c4>45<3f88>7>5$2c;>72?3g9j;7?;;:m174<72-9j47<;8:l0e2<6=21d>>>50;&0e=<5<11e?l951798k74a290/?l6525:8j6g028=07b<=e;29 6g?2;>37c=n7;3;?>i5:m0;6)=n8;07<>h4i>0:565`24294?"4i109855a3`595d=m6=4+3`:961>d:9l61e=83.8m54=499m7d1=9l10c?:m:18'7d>=:=20b>o8:0d8?j43i3:1(>o7:36;?k5f?38;76a=4383>!5f038?46`=h::=1<7*?54o30`>5<#;h21>964n2c4>75<3`i26=4+3`:9g==i;h=1<6F!5f03i37c=n7;08L6dd32ch97>5$2c;>f>5G3ca8?le3290/?l65c99m7d1=<2B8nn54ib194?"4i10h46`od93:1(>o7:b:8j6g02>1C?om4;hf1>5<#;h21o55a3`59<>N4jj10ei?50;&0e==nl90;6)=n8;a;?k5f?3k0D>ll;:k`b?6=,:k36n64n2c4>g=O;ki07dmj:18'7d>=k11e?l95c:J0ff=h4i>0o7E=mc:9jgf<72-9j47m7;o1b3?c<@:hh76glb;29 6g?2j20b>o8:g9K7ge<3`ij6=4+3`:9g==i;h=1==5G3ca8?le7290/?l65c99m7d1=981C?om4;|`7b4<728?j6=4?{%1`5?47i2B8oo5G3b18^`1=jr2:6<857385a?7528;1:n481;30>46=<>0v(>??:0`1g>h?=3:0b5951:lbf?71c83?!76k39;96`>1g83?k7483;0b?>6:09m607=92d8;o1be?7<,:h<6>m?;h310?6=3`9i=7>5;h1a6?6=3`;997>5;h1a7?6=3`;947>5;h317?6=3f;:i7>5;h1a1?6=3`9i87>5;h31g?6=3`9i<7>5;h313?6=3`;9>7>5;h32e?6=3`;957>5;h315?6=3f;:h7>5;h1bb?6=3`9i:7>5;h`5>5<#;h21n85a3`594>=nj=0;6)=n8;`6?k5f?3;07dl<:18'7d>=j<1e?l952:9jf7<72-9j47l:;o1b3?5<3`h:6=4+3`:9f0=i;h=1865fb183>!5f03h>7c=n7;78?lga290/?l65b49m7d1=>21bmh4?:%1b5<#;h21n85a3`59<>=njl0;6)=n8;`6?k5f?3307dlk:18'7d>=j<1e?l95a:9jff<72-9j47l:;o1b3?d<3`hi6=4+3`:9f0=i;h=1o65fb`83>!5f03h>7c=n7;f8?ld>290/?l65b49m7d1=m21bn54?:%1b5<#;h21n85a3`5955=h4i>0:=65`db83>!5f03ni7c=n7;28?jbf290/?l65dc9m7d1=921dh44?:%1b5<#;h21ho5a3`597>=hl>0;6)=n8;fa?k5f?3>07bj9:18'7d>=lk1e?l955:9l`0<72-9j47jm;o1b3?0<3fn?6=4+3`:9`g=i;h=1;65`e483>!5f03ni7c=n7;:8?jc3290/?l65dc9m7d1=121di>4?:%1b5<#;h21ho5a3`59f>=hm80;6)=n8;fa?k5f?3i07bk?:18'7d>=lk1e?l95d:9l`c<72-9j47jm;o1b3?c<3fnn6=4+3`:9`g=i;h=1j65`de83>!5f03ni7c=n7;33?>ic;3:1(>o7:e`8j6g028;07d=9f;29 6g?2:o7:24f?k5f?3;07d=9c;29 6g?2:o7:24f?k5f?3907d=9a;29 6g?2:o7:24f?k5f?3?07d=98;29 6g?2:o7:24f?k5f?3=07d=95;29 6g?2:o7:24f?k5f?3307d=93;29 6g?2:o7:24f?k5f?3h07d=91;29 6g?2:o7:24f?k5f?3n07d=:f;29 6g?2:o7:24f?k5f?3l07d=:d;29 6g?2:o4=j0;6)=n8;15a>h4i>0:=65f34c94?"4i108:h5a3`5957=8j;o1b3?7332c89:4?:%1b5:9j700=83.8m54<6d9m7d1=9?10e>;::18'7d>=;?o0b>o8:058?l52<3:1(>o7:24f?k5f?3;376g<5283>!5f039=i6`=n;<81<7*5<#;h21?;k4n2c4>4d<3`9?j7>5$2c;>60b3g9j;7?l;:k00`<72-9j47=9e:l0e2<6l21b?9j50;&0e=<4>l1e?l951d98m62d290/?l6537g8j6g028l07d=;b;29 6g?2:o4h4i>09=65f35;94?"4i108:h5a3`5967=36=4+3`:973c8j;o1b3?4332c88;4?:%1b9;:18'7d>=;?o0b>o8:358?l50;3:1(>o7:24f?k5f?38376g<7383>!5f039=i6`=n;>;1<7*l54i253>5<#;h21?;k4n2c4>7d<3`9=:7>5$2c;>60b3g9j;750;&0e=<4>l1e?l952d98m622290/?l6537g8j6g02;l07d?>3;29 6g?28;97c=n7;28?l7693:1(>o7:031?k5f?3;07d?>0;29 6g?28;97c=n7;08?l77n3:1(>o7:031?k5f?3907d??e;29 6g?28;97c=n7;68?l77l3:1(>o7:031?k5f?3?07d??c;29 6g?28;97c=n7;48?l77j3:1(>o7:031?k5f?3=07d??9;29 6g?28;97c=n7;:8?l7703:1(>o7:031?k5f?3307d??7;29 6g?28;97c=n7;c8?l77>3:1(>o7:031?k5f?3h07d??5;29 6g?28;97c=n7;a8?l77<3:1(>o7:031?k5f?3n07d??3;29 6g?28;97c=n7;g8?l77:3:1(>o7:031?k5f?3l07d??1;29 6g?28;97c=n7;33?>o6890;6)=n8;326>h4i>0:=65ffd83>!5f03;:>6`=nnm0;6)=n8;326>h4i>0:?65ffb83>!5f03;:>6`=nnk0;6)=n8;326>h4i>0:965ff`83>!5f03;:>6`=nn00;6)=n8;326>h4i>0:;65ff983>!5f03;:>6`=nn>0;6)=n8;326>h4i>0:565ff783>!5f03;:>6`=nn<0;6)=n8;326>h4i>0:n65ff283>!5f03;:>6`=nn;0;6)=n8;326>h4i>0:h65ff083>!5f03;:>6`=nn90;6)=n8;326>h4i>0:j65feg83>!5f03;:>6`=nml0;6)=n8;326>h4i>09=65fee83>!5f03;:>6`=nmj0;6)=n8;326>h4i>09?65fec83>!5f03;:>6`=nmh0;6)=n8;326>h4i>09965f10;94?"4i10:=?5a3`5963=139m7d1=:h10e=9880b>o8:3`8?l77i3:1(>o7:031?k5f?38h76gif;29 6g?28;97c=n7;0g?>oa<3:1(>o7:031?k5f?38n76gj9;29 6g?28;97c=n7;0e?>i4;m0;6)=n8;10g>h4i>0;76a<3c83>!5f0398o6`i4;h0;6)=n8;10g>h4i>0976a<3883>!5f0398o6`i4;10;6)=n8;10g>h4i>0?76a<3683>!5f0398o6`i4;?0;6)=n8;10g>h4i>0=76a<3483>!5f0398o6`i4;:0;6)=n8;10g>h4i>0376a<3383>!5f0398o6`i4;80;6)=n8;10g>h4i>0j76a<3183>!5f0398o6`i4:o0;6)=n8;10g>h4i>0h76a<2d83>!5f0398o6`i4:m0;6)=n8;10g>h4i>0n76a<2b83>!5f0398o6`i4:k0;6)=n8;10g>h4i>0:<65`33c94?"4i108?n5a3`5954==l;o1b3?7432e8>;4?:%1b4:9l773=83.8m54<3b9m7d1=9<10c><;:18'7d>=;:i0b>o8:048?j55;3:1(>o7:21`?k5f?3;<76a<2383>!5f0398o6`=h;;;1<7*5<#;h21?>m4n2c4>4g<3f9:j7>5$2c;>65d3g9j;7?m;:m05a<72-9j47=a;29 6g?2:9h7c=n7;3e?>i4900;6)=n8;10g>h4i>09<65`30:94?"4i108?n5a3`5964==l;o1b3?4432e8=84?:%1b:<:18'7d>=;:i0b>o8:348?j53:3:1(>o7:21`?k5f?38<76a<4083>!5f0398o6`=h;=:1<7*454o21e>5<#;h21?>m4n2c4>7g<3f98i7>5$2c;>65d3g9j;73;29 6g?2:9h7c=n7;0e?>o48l0;6)=n8;13`>h4i>0;76g<0b83>!5f039;h6`o48k0;6)=n8;13`>h4i>0976g<0`83>!5f039;h6`o4800;6)=n8;13`>h4i>0?76g<0983>!5f039;h6`o48>0;6)=n8;13`>h4i>0=76g<0783>!5f039;h6`o6;j0;6)=n8;30f>h4i>0;76g>3`83>!5f03;8n6`o6;00;6)=n8;30f>h4i>0976g>3983>!5f03;8n6`o6;>0;6)=n8;30f>h4i>0?76g>3783>!5f03;8n6`o6;<0;6)=n8;30f>h4i>0=76g>3583>!5f03;8n6`o6<<0;6)=n8;30f>h4i>0376g>4583>!5f03;8n6`o6<:0;6)=n8;30f>h4i>0j76g>4383>!5f03;8n6`o6<80;6)=n8;30f>h4i>0h76g>4183>!5f03;8n6`o6;o0;6)=n8;30f>h4i>0n76g>3d83>!5f03;8n6`o6;m0;6)=n8;30f>h4i>0:<65f12194?"4i10:?o5a3`5954=5<#;h21?4h4n2c4>4=5<#;h21?4h4n2c4>6=5<#;h21?4h4n2c4>0=5<#;h21?4h4n2c4>2=5<#;h21?4h4n2c4><=5<#;h21?4h4n2c4>g=5<#;h21?4h4n2c4>a=5<#;h21?4h4n2c4>c=4;n1;`?6=,:k36>7i;o1b3?7632e84o4?:%1bn2d8m:4>2:9l7=g=83.8m54<9g9m7d1=9:10c>66:18'7d>=;0l0b>o8:068?j5?03:1(>o7:2;e?k5f?3;>76a<8683>!5f0392j6`=h;1<1<7*5<#;h21?4h4n2c4>4><3f9387>5$2c;>6?a3g9j;7?6;:m0<6<72-9j47=6f:l0e2<6i21d?5<50;&0e=<41o1e?l951c98k6>7290/?l6538d8j6g028i07b=8f;29 6g?2:3m7c=n7;3g?>i4?l0;6)=n8;1:b>h4i>0:i65`36f94?"4i1085k5a3`595c=4;n14f?6=,:k36>7i;o1b3?4632e8;l4?:%1bn2d8m:4=2:9l72?=83.8m54<9g9m7d1=::10c>97:18'7d>=;0l0b>o8:368?j50?3:1(>o7:2;e?k5f?38>76a!5f0392j6`=h;h?1<7*:54o2c7>5<#;h21?4h4n2c4>7><3f9j?7>5$2c;>6?a3g9j;7<6;:m0e7<72-9j47=6f:l0e2<5i21d?l?50;&0e=<41o1e?l952c98k6?0290/?l6538d8j6g02;i07b=7c;29 6g?2:3m7c=n7;0g?>i4080;6)=n8;1:b>h4i>09i65`36494?"4i1085k5a3`596c=h4i>0;76g61;29 6g?2080b>o8:098m<6=83.8m5462:l0e2<532c3j7>5$2c;><454i9g94?"4i102>6`o?l3:1(>o7:808j6g02<10e5m50;&0e=<>:2d8m:49;:k;f?6=,:k364<4n2c4>2=h4i>0376g6b;29 6g?2080b>o8:898m5$2c;><46`o>?3:1(>o7:808j6g02m10e4850;&0e=<>:2d8m:4j;:k:1?6=,:k364<4n2c4>c=1<7*h4i>0:<65f8`83>!5f03397c=n7;32?>i5<00;6)=n8;07<>h4i>0;76a=4683>!5f038?46`i5h4i>0976a=4483>!5f038?46`i5<=0;6)=n8;07<>h4i>0?76a=4283>!5f038?46`i5<80;6)=n8;07<>h4i>0=76a=4183>!5f038?46`i5;o0;6)=n8;07<>h4i>0376a=3d83>!5f038?46`i5;m0;6)=n8;07<>h4i>0j76a=3b83>!5f038?46`i5;k0;6)=n8;07<>h4i>0h76a=3`83>!5f038?46`i5;00;6)=n8;07<>h4i>0n76a=3983>!5f038?46`i5;?0;6)=n8;07<>h4i>0:<65`22794?"4i109855a3`5954=4:9l667=83.8m54=499m7d1=9<10c?=?:18'7d>=:=20b>o8:048?j45n3:1(>o7:36;?k5f?3;<76a=2d83>!5f038?46`=h:;n1<7*5<#;h21>964n2c4>4g<3f8?j7>5$2c;>72?3g9j;7?m;:m10`<72-9j47<;8:l0e2<6k21d>9j50;&0e=<5<11e?l951e98k72d290/?l6525:8j6g028o07b<;b;29 6g?2;>37c=n7;3e?>i5h4i>09<65`25094?"4i109855a3`5964=5$2c;>f>od<3:1(>o7:b:8j6g02=1C?om4;ha0>5<#;h21o55a3`591>N4jj10en<50;&0e==nk80;6)=n8;a;?k5f?3=0D>ll;:kg6?6=,:k36n64n2c4>==O;ki07dj>:18'7d>=k11e?l959:J0ff=h4i>0j7E=mc:9jgc<72-9j47m7;o1b3?d<@:hh76gle;29 6g?2j20b>o8:b9K7ge<3`io6=4+3`:9g==i;h=1h6F!5f03i37c=n7;d8L6dd32chm7>5$2c;>f>4H2``?>od83:1(>o7:b:8j6g028;0D>ll;:a0c4=83;>m7>50z&0g4<58h1C?nl4H2a0?_c02kq3=7?9:6092`<6:3;:6;m570827?772==1q)=>0;3a6f=i0<0;7c68:09meg<63gkh6<5a10`94>"69j08<85a10d94>h6;90:7c84?::k0f6<722c:>54?::k266<722e:=h4?::k0f0<722c8n94?::k26f<722c8n=4?::k262<722c:>?4?::k25d<722c:>44?::k264<722e:=i4?::k0ec<722c8n;4?::ka2?6=,:k36o;4n2c4>5=1<7*h4i>0:76gm3;29 6g?2k?0b>o8:398mg4=83.8m54m5:l0e2<432ci=7>5$2c;>g3ofn3:1(>o7:c78j6g02?10elk50;&0e===h4i>0276gmd;29 6g?2k?0b>o8:`98mge=83.8m54m5:l0e25$2c;>g3oe13:1(>o7:c78j6g02l10eo650;&0e=46<3`ko6=4+3`:9f0=i;h=1=<54oea94?"4i10on6`ici3:1(>o7:e`8j6g02810ci750;&0e=6=h4i>0?76ak6;29 6g?2mh0b>o8:498ka3=83.8m54kb:l0e2<132eo87>5$2c;>adib<3:1(>o7:e`8j6g02010ch=50;&0e=g=h4i>0h76aj0;29 6g?2mh0b>o8:e98ka`=83.8m54kb:l0e25$2c;>ad=hl:0;6)=n8;fa?k5f?3;:76g<6g83>!5f039=i6`o4>m0;6)=n8;15a>h4i>0:76g<6b83>!5f039=i6`o4>k0;6)=n8;15a>h4i>0876g<6`83>!5f039=i6`o4>00;6)=n8;15a>h4i>0>76g<6983>!5f039=i6`o4>>0;6)=n8;15a>h4i>0<76g<6483>!5f039=i6`o4>=0;6)=n8;15a>h4i>0276g<6283>!5f039=i6`o4>;0;6)=n8;15a>h4i>0i76g<6083>!5f039=i6`o4>90;6)=n8;15a>h4i>0o76g<5g83>!5f039=i6`o4=l0;6)=n8;15a>h4i>0m76g<5e83>!5f039=i6`=n;5<#;h21?;k4n2c4>44<3`9>57>5$2c;>60b3g9j;7?<;:k01=<72-9j47=9e:l0e2<6<21b?8950;&0e=<4>l1e?l951498m631290/?l6537g8j6g028<07d=:5;29 6g?2:o4==0;6)=n8;15a>h4i>0:465f34194?"4i108:h5a3`595<=8j;o1b3?7e32c88k4?:%1bc:9j71c=83.8m54<6d9m7d1=9m10e>:k:18'7d>=;?o0b>o8:0g8?l53k3:1(>o7:24f?k5f?3;m76g<4c83>!5f039=i6`=n;=k1<7*<54i26:>5<#;h21?;k4n2c4>74<3`9?47>5$2c;>60b3g9j;7<<;:k002<72-9j47=9e:l0e2<5<21b?9850;&0e=<4>l1e?l952498m612290/?l6537g8j6g02;<07d=84;29 6g?2:o4?:0;6)=n8;15a>h4i>09465f36094?"4i108:h5a3`596<=8j;o1b3?4e32c8:;4?:%1b;?:18'7d>=;?o0b>o8:3g8?l53=3:1(>o7:24f?k5f?38m76g>1283>!5f03;:>6`o6980;6)=n8;326>h4i>0:76g>1183>!5f03;:>6`o68o0;6)=n8;326>h4i>0876g>0d83>!5f03;:>6`o68m0;6)=n8;326>h4i>0>76g>0b83>!5f03;:>6`o68k0;6)=n8;326>h4i>0<76g>0883>!5f03;:>6`o6810;6)=n8;326>h4i>0276g>0683>!5f03;:>6`o68?0;6)=n8;326>h4i>0i76g>0483>!5f03;:>6`o68=0;6)=n8;326>h4i>0o76g>0283>!5f03;:>6`o68;0;6)=n8;326>h4i>0m76g>0083>!5f03;:>6`=n99:1<7*54iga94?"4i10:=?5a3`5951=<54idf94?"4i10:=?5a3`5967=>54id`94?"4i10:=?5a3`5961=854i03:>5<#;h21=<<4n2c4>70<3`;:47>5$2c;>4753g9j;7<8;:k252<72-9j47?>2:l0e2<5021b=<850;&0e=<69;1e?l952898m472290/?l651008j6g02;k07d?>4;29 6g?28;97c=n7;0a?>o68h0;6)=n8;326>h4i>09o65ffg83>!5f03;:>6`=nn=0;6)=n8;326>h4i>09i65fe883>!5f03;:>6`=h;:n1<7*=h;:k1<7*65`32;94?"4i108?n5a3`597>=h;:21<7*=h;:<1<7*=h;:91<7*=h;:;1<7*=h;;l1<7*=h;;n1<7*=h;;h1<7*5<#;h21?>m4n2c4>47<3f9947>5$2c;>65d3g9j;7?=;:m062<72-9j47=i4::0;6)=n8;10g>h4i>0:;65`33094?"4i108?n5a3`595===l;o1b3?7f32e8=k4?:%1bb:9l74b=83.8m54<3b9m7d1=9j10c>?l:18'7d>=;:i0b>o8:0f8?j56j3:1(>o7:21`?k5f?3;n76a<1`83>!5f0398o6`=h;831<7*=54o23;>5<#;h21?>m4n2c4>77<3f9:;7>5$2c;>65d3g9j;7<=;:m053<72-9j47=i4<;0;6)=n8;10g>h4i>09;65`35394?"4i108?n5a3`596==;6=4+3`:976e=l;o1b3?4f32e8?h4?:%1b<6:18'7d>=;:i0b>o8:3f8?j56m3:1(>o7:21`?k5f?38n76a<1283>!5f0398o6`=n;9o1<7*=n;9h1<7*65f31c94?"4i108=n;931<7*=n;9=1<7*=n9:i1<7*=n9:31<7*65f12:94?"4i10:?o5a3`597>=n9:=1<7*=n9:?1<7*=n9=?1<7*=n9=91<7*=n9=;1<7*=n9:l1<7*=n9:n1<7*5<#;h21=>l4n2c4>47<3f9j<7>5$2c;>6?a3g9j;7>4;n1:a?6=,:k36>7i;o1b3?7<3f92h7>5$2c;>6?a3g9j;7<4;n1:g?6=,:k36>7i;o1b3?5<3f92n7>5$2c;>6?a3g9j;7:4;n1:e?6=,:k36>7i;o1b3?3<3f9257>5$2c;>6?a3g9j;784;n1:7i;o1b3?1<3f92:7>5$2c;>6?a3g9j;764;n1:1?6=,:k36>7i;o1b3??<3f9287>5$2c;>6?a3g9j;7o4;n1:7?6=,:k36>7i;o1b3?d<3f92>7>5$2c;>6?a3g9j;7m4;n1:5?6=,:k36>7i;o1b3?b<3f92<7>5$2c;>6?a3g9j;7k4;n1;b?6=,:k36>7i;o1b3?`<3f93i7>5$2c;>6?a3g9j;7??;:m0f290/?l6538d8j6g028907b=79;29 6g?2:3m7c=n7;37?>i4010;6)=n8;1:b>h4i>0:965`39594?"4i1085k5a3`5953=7i;o1b3?7?32e8494?:%1bn2d8m:4>9:9l7=5=83.8m54<9g9m7d1=9h10c>6=:18'7d>=;0l0b>o8:0`8?j5?83:1(>o7:2;e?k5f?3;h76a<7g83>!5f0392j6`=h;>o1<7*5<#;h21?4h4n2c4>4`<3f95$2c;>6?a3g9j;7290/?l6538d8j6g02;907b=88;29 6g?2:3m7c=n7;07?>i4?>0;6)=n8;1:b>h4i>09965`3`494?"4i1085k5a3`5963=6=4+3`:97<`7i;o1b3?4?32e8m>4?:%1bn2d8m:4=9:9l7d4=83.8m54<9g9m7d1=:h10c>o>:18'7d>=;0l0b>o8:3`8?j5>?3:1(>o7:2;e?k5f?38h76a<8b83>!5f0392j6`=h;1;1<7*h54o255>5<#;h21?4h4n2c4>7`<3`386=4+3`:9=7=i;h=1<65f9083>!5f03397c=n7;38?l?7290/?l65939m7d1=:21b4k4?:%1b5<#;h215?5a3`590>=n0m0;6)=n8;;1?k5f?3?07d6l:18'7d>=1;1e?l956:9j!5f03397c=n7;;8?l?f290/?l65939m7d1=i21b544?:%1b5<#;h215?5a3`59g>=n1>0;6)=n8;;1?k5f?3n07d79:18'7d>=1;1e?l95e:9j=0<72-9j477=;o1b3?`<3`3?6=4+3`:9=7=i;h=1==54i9c94?"4i102>6`=h:=31<7*=h:=<1<7*65`25794?"4i109855a3`597>=h:=>1<7*=h:=;1<7*=h::l1<7*=h::n1<7*=h::h1<7*=h::31<7*=h::<1<7*5<#;h21>964n2c4>47<3f8887>5$2c;>72?3g9j;7?=;:m176<72-9j47<;8:l0e2<6;21d>><50;&0e=<5<11e?l951598k756290/?l6525:8j6g028?07b<<0;29 6g?2;>37c=n7;35?>i5:o0;6)=n8;07<>h4i>0:;65`23g94?"4i109855a3`595==b:9l61c=83.8m54=499m7d1=9j10c?:k:18'7d>=:=20b>o8:0f8?j43k3:1(>o7:36;?k5f?3;n76a=4c83>!5f038?46`=h:=k1<7*=54o361>5<#;h21>964n2c4>77<3f88;7>5$2c;>72?3g9j;7<=;:m16f<72-9j47<;8:l0e2<5;21bo44?:%1b4H2``?>od?3:1(>o7:b:8j6g0281C?om4;ha5>5<#;h21o55a3`596>N4jj10en;50;&0e==nk=0;6)=n8;a;?k5f?3>0D>ll;:k`7?6=,:k36n64n2c4>0=O;ki07dm=:18'7d>=k11e?l956:J0ff=h4i>0<7E=mc:9j`7<72-9j47m7;o1b3?><@:hh76gk1;29 6g?2j20b>o8:89K7ge<3`n;6=4+3`:9g==i;h=1m6F!5f03i37c=n7;a8L6dd32chh7>5$2c;>f>=nk90;6)=n8;a;?k5f?3;:7E=mc:9~f1`4290:9l4?:1y'7f7=:9k0D>mm;I1`7>\b?3hp4<4>6;51>3c=9;0:=78l:63956<683><6p*<1182f7e5=#98i1?=;4n03e>5=i9::1=6`=0882?k4293;0b>>i:09m7dg=92.8n:450;9j571=831b=?<50;9j54g=831b=?750;9j577=831d=!5f03h>7c=n7;08?ld5290/?l65b49m7d1=;21bn<4?:%1b5<#;h21n85a3`591>=nio0;6)=n8;`6?k5f?3<07doj:18'7d>=j<1e?l957:9jfc<72-9j47l:;o1b3?><3`hn6=4+3`:9f0=i;h=1565fbe83>!5f03h>7c=n7;c8?ldd290/?l65b49m7d1=j21bno4?:%1b5<#;h21n85a3`59`>=nj00;6)=n8;`6?k5f?3o07dl7:18'7d>=j<1e?l95f:9jf2<72-9j47l:;o1b3?7732cjh7>5$2c;>g35<#;h21ho5a3`594>=hlh0;6)=n8;fa?k5f?3;07bj6:18'7d>=lk1e?l952:9l`=<72-9j47jm;o1b3?5<3fn<6=4+3`:9`g=i;h=1865`d783>!5f03ni7c=n7;78?jb2290/?l65dc9m7d1=>21dh94?:%1b5<#;h21ho5a3`59<>=hm=0;6)=n8;fa?k5f?3307bk<:18'7d>=lk1e?l95a:9la7<72-9j47jm;o1b3?d<3fo:6=4+3`:9`g=i;h=1o65`e183>!5f03ni7c=n7;f8?jba290/?l65dc9m7d1=m21dhh4?:%1b5<#;h21ho5a3`5955=h4i>0:=65f37d94?"4i108:h5a3`594>=n;?n1<7*=n;?h1<7*=n;?31<7*=n;?=1<7*=n;?>1<7*=n;?81<7*=n;?:1<7*=n;8j;o1b3?7532c8944?:%1b3:9j70>=83.8m54<6d9m7d1=9=10e>;8:18'7d>=;?o0b>o8:078?l52>3:1(>o7:24f?k5f?3;=76g<5483>!5f039=i6`=n;<>1<7*5<#;h21?;k4n2c4>4?<3`9>>7>5$2c;>60b3g9j;7?n;:k014<72-9j47=9e:l0e2<6j21b?9h50;&0e=<4>l1e?l951b98m62b290/?l6537g8j6g028n07d=;d;29 6g?2:o4h4i>0:j65f35`94?"4i108:h5a3`5965=j6=4+3`:973c8j;o1b3?4532c8854?:%1b:9:18'7d>=;?o0b>o8:378?l50=3:1(>o7:24f?k5f?38=76g<7583>!5f039=i6`=n;>91<7*554i251>5<#;h21?;k4n2c4>7?<3`9<=7>5$2c;>60b3g9j;7l1e?l952b98m63e290/?l6537g8j6g02;n07d=:0;29 6g?2:o4<<0;6)=n8;15a>h4i>09j65f10194?"4i10:=?5a3`594>=n98;1<7*=n99l1<7*=n99n1<7*=n99h1<7*=n9921<7*=n99<1<7*=n99>1<7*=n9981<7*5<#;h21=<<4n2c4>44<3`lo6=4+3`:95445<#;h21=<<4n2c4>42<3`li6=4+3`:95445<#;h21=<<4n2c4>40<3`l26=4+3`:95445<#;h21=<<4n2c4>4><3`l<6=4+3`:95445<#;h21=<<4n2c4>4g<3`l>6=4+3`:95445<#;h21=<<4n2c4>4e<3`l96=4+3`:95445<#;h21=<<4n2c4>4c<3`l;6=4+3`:95445<#;h21=<<4n2c4>76<3`on6=4+3`:95445<#;h21=<<4n2c4>74<3`oh6=4+3`:95445<#;h21=<<4n2c4>72<3`oj6=4+3`:9544139m7d1=:110e=9880b>o8:3;8?l76=3:1(>o7:031?k5f?38j76g>1583>!5f03;:>6`=n99k1<7*n54igd94?"4i10:=?5a3`596a=1<7*h54id;94?"4i10:=?5a3`596c=5<#;h21?>m4n2c4>4=5<#;h21?>m4n2c4>6=5<#;h21?>m4n2c4>0=5<#;h21?>m4n2c4>2=5<#;h21?>m4n2c4><=5<#;h21?>m4n2c4>g=5<#;h21?>m4n2c4>a=5<#;h21?>m4n2c4>c=4;n11e?6=,:k36>=l;o1b3?7632e8>54?:%1b2:9l771=83.8m54<3b9m7d1=9:10c><9:18'7d>=;:i0b>o8:068?j55=3:1(>o7:21`?k5f?3;>76a<2583>!5f0398o6`=h;;91<7*5<#;h21?>m4n2c4>4><3f99=7>5$2c;>65d3g9j;7?6;:m065<72-9j47=c;29 6g?2:9h7c=n7;3g?>i49k0;6)=n8;10g>h4i>0:i65`30c94?"4i108?n5a3`595c=4;n12=l;o1b3?4632e8=:4?:%1b?::18'7d>=;:i0b>o8:368?j56<3:1(>o7:21`?k5f?38>76a<4283>!5f0398o6`=h;=81<7*:54o262>5<#;h21?>m4n2c4>7><3f9?<7>5$2c;>65d3g9j;7<6;:m07c<72-9j47=k50;&0e=<4;j1e?l952c98k653290/?l6532a8j6g02;i07b==9;29 6g?2:9h7c=n7;0g?>i49l0;6)=n8;10g>h4i>09i65`30194?"4i108?n5a3`596c=5<#;h21?=j4n2c4>4=5<#;h21?=j4n2c4>6=5<#;h21?=j4n2c4>0=5<#;h21?=j4n2c4>2=5<#;h21=>l4n2c4>4=5<#;h21=>l4n2c4>6=5<#;h21=>l4n2c4>0=6=4+3`:956d5<#;h21=>l4n2c4>2=>6=4+3`:956d5<#;h21=>l4n2c4><=86=4+3`:956d5<#;h21=>l4n2c4>g=:6=4+3`:956d5<#;h21=>l4n2c4>a=5<#;h21=>l4n2c4>c=4;h307?6=,:k36<=m;o1b3?7632e8m=4?:%1bn2d8m:4?;:m0=`<72-9j47=6f:l0e2<632e85i4?:%1bn2d8m:4=;:m0=f<72-9j47=6f:l0e2<432e85o4?:%1bn2d8m:4;;:m0=d<72-9j47=6f:l0e2<232e8544?:%1bn2d8m:49;:m0==<72-9j47=6f:l0e2<032e85;4?:%1bn2d8m:47;:m0=0<72-9j47=6f:l0e2<>32e8594?:%1bn2d8m:4n;:m0=6<72-9j47=6f:l0e2n2d8m:4l;:m0=4<72-9j47=6f:l0e2n2d8m:4j;:m0n2d8m:4>0:9l7=b=83.8m54<9g9m7d1=9810c>6m:18'7d>=;0l0b>o8:008?j5?i3:1(>o7:2;e?k5f?3;876a<8883>!5f0392j6`=h;121<7*5<#;h21?4h4n2c4>40<3f93:7>5$2c;>6?a3g9j;7?8;:m0<0<72-9j47=6f:l0e2<6021d?5:50;&0e=<41o1e?l951898k6>4290/?l6538d8j6g028k07b=72;29 6g?2:3m7c=n7;3a?>i4090;6)=n8;1:b>h4i>0:o65`36d94?"4i1085k5a3`595a=7i;o1b3?7a32e8;n4?:%1bn2d8m:4=0:9l72d=83.8m54<9g9m7d1=:810c>9n:18'7d>=;0l0b>o8:308?j5013:1(>o7:2;e?k5f?38876a<7983>!5f0392j6`=h;>=1<7*854o2c5>5<#;h21?4h4n2c4>70<3f9j97>5$2c;>6?a3g9j;7<8;:m0e1<72-9j47=6f:l0e2<5021d?l=50;&0e=<41o1e?l952898k6g5290/?l6538d8j6g02;k07b=n1;29 6g?2:3m7c=n7;0a?>i41>0;6)=n8;1:b>h4i>09o65`39a94?"4i1085k5a3`596a=7i;o1b3?4a32c2?7>5$2c;><46`o>83:1(>o7:808j6g02;10e5h50;&0e=<>:2d8m:4<;:k;a?6=,:k364<4n2c4>1=h4i>0>76g7c;29 6g?2080b>o8:798m=d=83.8m5462:l0e2<032c2o7>5$2c;><46`o>i3:1(>o7:808j6g02h10e4750;&0e=<>:2d8m:4m;:k:f=h4i>0o76g66;29 6g?2080b>o8:d98m<3=83.8m5462:l0e25$2c;><44;h:b>5<#;h215?5a3`5954=26=4+3`:961>5<#;h21>964n2c4>4==6=4+3`:961>5<#;h21>964n2c4>6=?6=4+3`:961>5<#;h21>964n2c4>0=:6=4+3`:961>5<#;h21>964n2c4>2=5<#;h21>964n2c4><=5<#;h21>964n2c4>g=5<#;h21>964n2c4>a=5<#;h21>964n2c4>c=4;n001?6=,:k36?:7;o1b3?7632e9?94?:%1b2:9l665=83.8m54=499m7d1=9:10c?==:18'7d>=:=20b>o8:068?j4493:1(>o7:36;?k5f?3;>76a=3183>!5f038?46`=h:;l1<7*5<#;h21>964n2c4>4><3f89h7>5$2c;>72?3g9j;7?6;:m115<72-9j47<;8:l0e2<6i21d>9h50;&0e=<5<11e?l951c98k72b290/?l6525:8j6g028i07b<;d;29 6g?2;>37c=n7;3g?>i5h4i>0:i65`25`94?"4i109855a3`595c=j6=4+3`:961>4;n076?6=,:k36?:7;o1b3?4632e9?:4?:%1b=nk>0;6)=n8;a;?k5f?3;0D>ll;:k`2?6=,:k36n64n2c4>7=O;ki07dm::18'7d>=k11e?l953:J0ff=1<7*h4i>0?7E=mc:9jg6<72-9j47m7;o1b3?3<@:hh76gl2;29 6g?2j20b>o8:79K7ge<3`i:6=4+3`:9g==i;h=1;6F!5f03i37c=n7;;8L6dd32co<7>5$2c;>f>odk3:1(>o7:b:8j6g02l1C?om4;haa>5<#;h21o55a3`59b>N4jj10eno50;&0e=0:J0ff=h4i>0:=6F0iw5?517846?0b2881=<49c;52>45=990?;7s+30295g4d3g2>6=5a8682?kge281emn4>;o32f?6<,8;h6>>:;o32b?6h5=80:7c=?f;38j6gf281/?o953b28m4432900e>l>:188m6d52900e<<::188m6d42900e<<7:188m4442900cl;:188m44d2900e>l?:188m4402900e<<=:188m47f2900e<<6:188m4462900cl9:188mg0=83.8m54m5:l0e2<732ci87>5$2c;>g3oe:3:1(>o7:c78j6g02:10eo?50;&0e=0=h4i>0=76gne;29 6g?2k?0b>o8:698mg`=83.8m54m5:l0e25$2c;>g3oek3:1(>o7:c78j6g02k10eol50;&0e=a=h4i>0n76gm8;29 6g?2k?0b>o8:g98mg1=83.8m54m5:l0e2<6821bmi4?:%1b;:mgg?6=,:k36il4n2c4>5=h4i>0:76ak9;29 6g?2mh0b>o8:398ka>=83.8m54kb:l0e2<432eo;7>5$2c;>adic=3:1(>o7:e`8j6g02?10ci:50;&0e===1<7*h4i>0276aj3;29 6g?2mh0b>o8:`98k`4=83.8m54kb:l0e25$2c;>adicn3:1(>o7:e`8j6g02l10cik50;&0e=46<3fn86=4+3`:9`g=i;h=1=<54i24e>5<#;h21?;k4n2c4>5=5<#;h21?;k4n2c4>7=54i24b>5<#;h21?;k4n2c4>1=5<#;h21?;k4n2c4>3=5<#;h21?;k4n2c4>==5<#;h21?;k4n2c4>d=5<#;h21?;k4n2c4>f=5<#;h21?;k4n2c4>`=5<#;h21?;k4n2c4>46<3`9>o7>5$2c;>60b3g9j;7?>;:k01d<72-9j47=9e:l0e2<6:21b?8750;&0e=<4>l1e?l951298m63?290/?l6537g8j6g028>07d=:7;29 6g?2:o4=?0;6)=n8;15a>h4i>0::65f34794?"4i108:h5a3`5952=8j;o1b3?7>32c89?4?:%1ba:9j707=83.8m54<6d9m7d1=9k10e>:i:18'7d>=;?o0b>o8:0a8?l53m3:1(>o7:24f?k5f?3;o76g<4e83>!5f039=i6`=n;=i1<7*5<#;h21?;k4n2c4>76<3`9?m7>5$2c;>60b3g9j;7<>;:k00<<72-9j47=9e:l0e2<5:21b?9650;&0e=<4>l1e?l952298m620290/?l6537g8j6g02;>07d=;6;29 6g?2:o4?<0;6)=n8;15a>h4i>09:65f36694?"4i108:h5a3`5962=8j;o1b3?4>32c8;<4?:%1b89:18'7d>=;?o0b>o8:3a8?l52j3:1(>o7:24f?k5f?38o76g<5183>!5f039=i6`=n;=?1<7*k54i030>5<#;h21=<<4n2c4>5=5<#;h21=<<4n2c4>7=54i02f>5<#;h21=<<4n2c4>1=5<#;h21=<<4n2c4>3=5<#;h21=<<4n2c4>==5<#;h21=<<4n2c4>d=5<#;h21=<<4n2c4>f=5<#;h21=<<4n2c4>`=5<#;h21=<<4n2c4>46<3`;;<7>5$2c;>4753g9j;7?>;:kea?6=,:k365$2c;>4753g9j;7?<;:keg?6=,:k365$2c;>4753g9j;7?:;:kee?6=,:k365$2c;>4753g9j;7?8;:ke5$2c;>4753g9j;7?6;:ke2?6=,:k365$2c;>4753g9j;7?m;:ke7?6=,:k367>5$2c;>4753g9j;7?k;:ke5?6=,:k365$2c;>4753g9j;7?i;:kfb?6=,:k365$2c;>4753g9j;7<>;:kf`?6=,:k365$2c;>4753g9j;7<<;:kff?6=,:k365$2c;>4753g9j;7<:;:k25<<72-9j47?>2:l0e2<5>21b=<650;&0e=<69;1e?l952698m470290/?l651008j6g02;207d?>6;29 6g?28;97c=n7;0:?>o69<0;6)=n8;326>h4i>09m65f10694?"4i10:=?5a3`596g=5<#;h21=<<4n2c4>7b<3`l?6=4+3`:95445<#;h21=<<4n2c4>7`<3f98h7>5$2c;>65d3g9j;7>4;n10f?6=,:k36>=l;o1b3?7<3f98m7>5$2c;>65d3g9j;7<4;n10=?6=,:k36>=l;o1b3?5<3f9847>5$2c;>65d3g9j;7:4;n103?6=,:k36>=l;o1b3?3<3f98:7>5$2c;>65d3g9j;784;n101?6=,:k36>=l;o1b3?1<3f98?7>5$2c;>65d3g9j;764;n106?6=,:k36>=l;o1b3??<3f98=7>5$2c;>65d3g9j;7o4;n104?6=,:k36>=l;o1b3?d<3f99j7>5$2c;>65d3g9j;7m4;n11a?6=,:k36>=l;o1b3?b<3f99h7>5$2c;>65d3g9j;7k4;n11g?6=,:k36>=l;o1b3?`<3f99n7>5$2c;>65d3g9j;7??;:m06d<72-9j47=i4:<0;6)=n8;10g>h4i>0:965`33694?"4i108?n5a3`5953==l;o1b3?7?32e8><4?:%1b9:9l776=83.8m54<3b9m7d1=9h10c>?i:18'7d>=;:i0b>o8:0`8?j56l3:1(>o7:21`?k5f?3;h76a<1b83>!5f0398o6`=h;8h1<7*5<#;h21?>m4n2c4>4`<3f9:57>5$2c;>65d3g9j;75;29 6g?2:9h7c=n7;07?>i49=0;6)=n8;10g>h4i>09965`35194?"4i108?n5a3`5963=96=4+3`:976e=l;o1b3?4?32e88=4?:%1b=j:18'7d>=;:i0b>o8:3`8?j54<3:1(>o7:21`?k5f?38h76a<2883>!5f0398o6`=h;8o1<7*h54o230>5<#;h21?>m4n2c4>7`<3`9;i7>5$2c;>66c3g9j;7>4;h13g?6=,:k36>>k;o1b3?7<3`9;n7>5$2c;>66c3g9j;7<4;h13e?6=,:k36>>k;o1b3?5<3`9;57>5$2c;>66c3g9j;7:4;h13>k;o1b3?3<3`9;;7>5$2c;>66c3g9j;784;h132?6=,:k36>>k;o1b3?1<3`;8o7>5$2c;>45e3g9j;7>4;h30e?6=,:k36<=m;o1b3?7<3`;857>5$2c;>45e3g9j;7<4;h305$2c;>45e3g9j;7:4;h302?6=,:k36<=m;o1b3?3<3`;897>5$2c;>45e3g9j;784;h300?6=,:k36<=m;o1b3?1<3`;?97>5$2c;>45e3g9j;764;h370?6=,:k36<=m;o1b3??<3`;??7>5$2c;>45e3g9j;7o4;h376?6=,:k36<=m;o1b3?d<3`;?=7>5$2c;>45e3g9j;7m4;h374?6=,:k36<=m;o1b3?b<3`;8j7>5$2c;>45e3g9j;7k4;h30a?6=,:k36<=m;o1b3?`<3`;8h7>5$2c;>45e3g9j;7??;:k276<72-9j47?50;&0e=<41o1e?l950:9l7=83.8m54<9g9m7d1=?21d?4850;&0e=<41o1e?l958:9l7<3=83.8m54<9g9m7d1=121d?4:50;&0e=<41o1e?l95a:9l7<5=83.8m54<9g9m7d1=j21d?4<50;&0e=<41o1e?l95c:9l7<7=83.8m54<9g9m7d1=l21d?4>50;&0e=<41o1e?l95e:9l7=`=83.8m54<9g9m7d1=n21d?5k50;&0e=<41o1e?l951198k6>c290/?l6538d8j6g028;07b=7b;29 6g?2:3m7c=n7;31?>i40h0;6)=n8;1:b>h4i>0:?65`39;94?"4i1085k5a3`5951=7i;o1b3?7132e84;4?:%1bn2d8m:4>7:9l7=3=83.8m54<9g9m7d1=9110c>6;:18'7d>=;0l0b>o8:0;8?j5?;3:1(>o7:2;e?k5f?3;j76a<8383>!5f0392j6`=h;1:1<7*5<#;h21?4h4n2c4>4b<3f95$2c;>6?a3g9j;7?j;:m03a<72-9j47=6f:l0e2<6n21d?:m50;&0e=<41o1e?l952198k61e290/?l6538d8j6g02;;07b=8a;29 6g?2:3m7c=n7;01?>i4?00;6)=n8;1:b>h4i>09?65`36:94?"4i1085k5a3`5961=7i;o1b3?4132e8m84?:%1bn2d8m:4=7:9l7d2=83.8m54<9g9m7d1=:110c>o<:18'7d>=;0l0b>o8:3;8?j5f:3:1(>o7:2;e?k5f?38j76a!5f0392j6`=h;0=1<7*n54o2:`>5<#;h21?4h4n2c4>7b<3f93=7>5$2c;>6?a3g9j;74?:%1b4;h;2>5<#;h215?5a3`595>=n190;6)=n8;;1?k5f?3807d6i:18'7d>=1;1e?l953:9j<`<72-9j477=;o1b3?2<3`2o6=4+3`:9=7=i;h=1965f8b83>!5f03397c=n7;48?l>e290/?l65939m7d1=?21b5n4?:%1b5<#;h215?5a3`59=>=n1h0;6)=n8;;1?k5f?3k07d76:18'7d>=1;1e?l95b:9j==<72-9j477=;o1b3?e<3`3<6=4+3`:9=7=i;h=1h65f9783>!5f03397c=n7;g8?l?2290/?l65939m7d1=n21b594?:%1b47<3f8?57>5$2c;>72?3g9j;7>4;n073?6=,:k36?:7;o1b3?7<3f8?:7>5$2c;>72?3g9j;7<4;n071?6=,:k36?:7;o1b3?5<3f8?87>5$2c;>72?3g9j;7:4;n077?6=,:k36?:7;o1b3?3<3f8?=7>5$2c;>72?3g9j;784;n074?6=,:k36?:7;o1b3?1<3f88j7>5$2c;>72?3g9j;764;n00a?6=,:k36?:7;o1b3??<3f88h7>5$2c;>72?3g9j;7o4;n00g?6=,:k36?:7;o1b3?d<3f88n7>5$2c;>72?3g9j;7m4;n00e?6=,:k36?:7;o1b3?b<3f8857>5$2c;>72?3g9j;7k4;n005$2c;>72?3g9j;7??;:m170<72-9j47<;8:l0e2<6921d>>:50;&0e=<5<11e?l951398k754290/?l6525:8j6g028907b<<2;29 6g?2;>37c=n7;37?>i5;80;6)=n8;07<>h4i>0:965`22294?"4i109855a3`5953=i4?:%1b9:9l606=83.8m54=499m7d1=9h10c?:i:18'7d>=:=20b>o8:0`8?j43m3:1(>o7:36;?k5f?3;h76a=4e83>!5f038?46`=h:=i1<7*5<#;h21>964n2c4>4`<3f8?m7>5$2c;>72?3g9j;7>950;&0e=<5<11e?l952398k74d290/?l6525:8j6g02;907dm6:18'7d>=k11e?l950:J0ff=h4i>0:7E=mc:9jg3<72-9j47m7;o1b3?4<@:hh76gl5;29 6g?2j20b>o8:29K7ge<3`i?6=4+3`:9g==i;h=186F!5f03i37c=n7;48L6dd32ch=7>5$2c;>f>odn3:1(>o7:b:8j6g02k1C?om4;haf>5<#;h21o55a3`59g>N4jj10enj50;&0e==nkj0;6)=n8;a;?k5f?3o0D>ll;:k`f?6=,:k36n64n2c4>c=O;ki07dmn:18'7d>=k11e?l95119K7ge<3`i;6=4+3`:9g==i;h=1=<5G3ca8?xd3n<0;684?:1y'7f7=:8?0D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188yg2a>3:197>50z&0g4<59<1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~f1`0290>6=4?{%1`5?46=2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::a0c>=83?1<7>t$2a2>7723A9hn6F5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`7b<<72<0;6=u+3b39643<@:ii7E=l3:&164<53`o36=44i003>5<5<m<;%015?45<2290;w)=l1;021>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66sm4ga94?3=83:p(>m>:336?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pl;fe83>0<729q/?n?52078L6ee3A9h?6*=2081?lc?2900e<ok:188k6ge2900qo:ie;291?6=8r.8o<4=149K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831b?lj50;9l7dd=831vn9hi:186>5<7s-9h=7<>5:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wi9=>50;794?6|,:i:6??:;I1`f>N4k:1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3th><<4?:483>5}#;j;1><;4H2aa?M5d;2.9><4=;hg;>5<5<5<7>55;294~"4k809=85G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zj<:86=4::183!5d938:96F>o6:90;66g>3083>>o4im0;66a>{e=9>1<7;50;2x 6e62;;>7E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xd28<0;684?:1y'7f7=:8?0D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188yg37>3:197>50z&0g4<59<1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~f060290>6=4?{%1`5?46=2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::a15>=83?1<7>t$2a2>7723A9hn6F5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`64<<72<0;6=u+3b39643<@:ii7E=l3:&164<53`o36=44i003>5<5<m<;%015?45<2290;w)=l1;021>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66sm51a94?3=83:p(>m>:336?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pl:0e83>0<729q/?n?52078L6ee3A9h?6*=2081?lc?2900e<ok:188k6ge2900qo;?e;291?6=8r.8o<4=149K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831b?lj50;9l7dd=831vn8>i:186>5<7s-9h=7<>5:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wi9<>50;794?6|,:i:6??:;I1`f>N4k:1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3th>=<4?:483>5}#;j;1><;4H2aa?M5d;2.9><4=;hg;>5<5<5<7>55;294~"4k809=85G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zj<;86=4::183!5d938:96F>o6:90;66g>3083>>o4im0;66a>{e=8>1<7;50;2x 6e62;;>7E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xd29<0;684?:1y'7f7=:8?0D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188yg36>3:197>50z&0g4<59<1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~f070290>6=4?{%1`5?46=2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::a14>=83?1<7>t$2a2>7723A9hn6F5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`65<<72<0;6=u+3b39643<@:ii7E=l3:&164<53`o36=44i003>5<5<m<;%015?45<2290;w)=l1;021>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66sm50a94?3=83:p(>m>:336?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pl:1e83>0<729q/?n?52078L6ee3A9h?6*=2081?lc?2900e<ok:188k6ge2900qo;>e;291?6=8r.8o<4=149K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831b?lj50;9l7dd=831vn8?i:186>5<7s-9h=7<>5:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wi9?>50;794?6|,:i:6??:;I1`f>N4k:1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3th>><4?:483>5}#;j;1><;4H2aa?M5d;2.9><4=;hg;>5<5<5<7>524816?51sA9h?6*xo>m3:1(>o7:8f8j6g02910e<:i:18'7d>=9=o0b>o8:198m42c290/?l6515g8j6g02810e<:l:18'7d>=9=o0b>o8:398m42e290/?l6515g8j6g02:10e<:n:18'7d>=9=o0b>o8:598m42>290/?l6515g8j6g02<10e<;6:18'7d>=9=o0b>o8:798m43?290/?l6515g8j6g02>10e<;8:18'7d>=9=o0b>o8:998m432290/?l6515g8j6g02010e<;;:18'7d>=9=o0b>o8:`98m434290/?l6515g8j6g02k10e<;=:18'7d>=9=o0b>o8:b98m436290/?l6515g8j6g02m10e<;?:18'7d>=9=o0b>o8:d98m42?290/?l6515g8j6g02o10e>m8:188m4472900e>l6:188m44d2900c<8>:18'7d>=9?:0b>o8:198k43a290/?l651728j6g02810c<;j:18'7d>=9?:0b>o8:398k43c290/?l651728j6g02:10c<;l:18'7d>=9?:0b>o8:598k43e290/?l651728j6g02<10c<8m:18'7d>=9?:0b>o8:798k40f290/?l651728j6g02>10c<86:18'7d>=9?:0b>o8:998k400290/?l651728j6g02010c<89:18'7d>=9?:0b>o8:`98k402290/?l651728j6g02k10c<8;:18'7d>=9?:0b>o8:b98k404290/?l651728j6g02m10c<8=:18'7d>=9?:0b>o8:d98k43f290/?l651728j6g02o10n8<<:182>5<7s-9h=7<>a:J0gg=O;j90c??6:188yg35<3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn8<::187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a170=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th>>:4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm53c94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd2:k0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo;=c;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f04c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi9?k50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`66c<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb412>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e=:81<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl:3283>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg34<3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo;<6;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg3403:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo;5<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg34k3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo;5<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg3383:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo;;2;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg33<3:1?7>50z&0g4<5:11C?nl4H2a0?!4593=n7d<>c;29?l46l3:17b=?3;29?xu5i<31<7?>{_;f?834<32?70;<5;:7?834>32?70;<7;:7?834032?70;<9;:7?834i32?70;5<5sW;?h63:438274=z{;k>o7>52z\20f=:==;1=>?4}r0b1a<72;qU=9l4=463>4563ty9m8k50;0xZ42f34?8j7?<1:p6d3a2909wS?;9:?67`<6;81v?o90;296~X6=0169>j51238yv4f>80;6?uQ14:8905d289:7p}=a7094?4|V8?<70;{t:h<86=4={_361>;2;h0:?<5rs3c50?6=:rT:995252;9567;|q1e30=838pR<;=;<703?7492wx>l88:181[72927>?;4>309~w7g103:1>vP>519>163=9:;0q~7}Y9=2018=;:012?xu5i?k1<75<6:rT:>=525369576<5<8>6<>:4>219>17>=9;:018<6:003?835i3;9<63:2c8265=:=;i1=?>4=40g>44734?9i7?=0:?66c<6:9169>>513289056288;70;<2;314>;2;:0:>=52556964b7k7;<707?c?34?887?=c:?670<6:j169>8513a89050288h70;<8;31g>;2;00:>n5252c957e<5<9i6<?i4>2b9>16c=9;i018=i:00`?83383;9o63:40826f=:==81=?m4=460>44d3ty9m;j50;0xZ40634?8?7=nb:p6d0b2909wS?:f:?677<4ik1v?o9f;296~X6=l169>?53``8yv4f?90;6?uQ14f890572:ki7p}=a6394?4|V8?h70;=f;1bf>{t:h=96=4={_36f>;2:l08mo5rs3c47?6=:rT::o5253f97ddom;|q1e23=838pR<86;<71f?5fj2wx>l99:181[71?27>>l4vP>679>17?=;hh0q~7}Y9??018<7:2ca?xu5i>31<75<5sW;=?63:2780eg=z{;k52z\227=:=;?1?ll4}r0b3f<72;qU=8o4=407>6ge3ty9m:j50;12835;38:563:358;2>;2;=03463:358;=>;2;<03:63:348;<>;2;<03563:378;2>;2;?03463:378;=>;2;>03:63:368;<>;2;>03563:398;2>;2;103463:398;=>;2;003:63:388;<>;2;003563:3`8;2>;2;h03463:3`8;=>;2;k03:63:3c8;<>;2;k03563:3b8;2>;2;j03463:3b8;=>;2;m03:63:3e8;<>;2;m03563:3d8;2>;2;l03463:3d8;=>;2;o03:63:3g8;<>;2;o03563:418;2>;2<903463:418;=>;2<803:63:408;<>;2<803563:438;2>;2<;03463:438;=>;2<:03:63:428;<>;2<:0356s|2`5f>5<5s4?987?<1:?671<4ik1v?o8f;296~;2:<0:?<5252797dd170=9:;018=9:2ca?xu5i1;1<745634?8;7=nb:p6d>52909w0;=8;305>;2;108mo5rs3c;7?6=:r7>>44>309>16?=;hh0q~7}:=;k1=>?4=41b>6ge3ty9m5;50;0x904e289:70;{t:h2=6=4={<71g?74927>?n4v3:2e8274=:=:n1?ll4}r0b<=<72;q69?k51238905b2:ki7p}=a9;94?4|5<8m6<=>;<70b?5fj2wx>l6n:18183483;8=63:4180eg=z{;k3n7>52z?674<6;81699?53``8yv4f0j0;6?u25209567<5<>96>om;|q1e=b=838p18=<:012?833;39jn6s|2`:f>5<69r7>?94>219>163=9;:018=9:003?834?3;9<63:398265=:=:31=?>4=41b>44734?8n7?=0:?67f<6:9169>j51328905b288;70;;2<90:>=525539576<5<>96<894<029~yg33=3:1>84=2;15M5d;2.8o<4<4`68^`1=;r;26h4i:|k:a?6=,:k364j4n2c4>5=m6=4+3`:951c5<#;h21=9k4n2c4>4=h6=4+3`:951c5<#;h21=9k4n2c4>6=j6=4+3`:951c5<#;h21=9k4n2c4>0=5<#;h21=9k4n2c4>2=5<#;h21=9k4n2c4><=5<#;h21=9k4n2c4>g=5<#;h21=9k4n2c4>a=5<#;h21=9k4n2c4>c=5<5<5<#;h21=;>4n2c4>4=5<#;h21=;>4n2c4>6=5<#;h21=;>4n2c4>0=5<#;h21=;>4n2c4>2=5<#;h21=;>4n2c4><=5<#;h21=;>4n2c4>g=5<#;h21=;>4n2c4>a=5<#;h21=;>4n2c4>c==6=4>:183!5d938:m6Fm<;%015?436=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm55;94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd2mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo;;b;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f02d290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi99j50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`60`<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb473>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e=<;1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl:5383>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg32;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn8;;:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a103=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th>9;4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo;:8;29=?6=8r.8o<45<5<5<57>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg32i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo;:c;29=?6=8r.8o<45<5<5<h7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg32m3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo;90;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg31:3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo;94;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg31>3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?1b3`8:o7>5;h02`?6=3f9;?7>5;|q1e=`=83;:wS7j;<763?>334?>476;;<76=?>334?>m76;;<76f?>334?>o76;;<76`?>334?>i76;;<76b?>334?=<76;;<755?>334?=>76;;<757?>334?=876;;<751?>334?=:76;;|q1e<6=838pR<:i;<752?7492wx>l7>:181[73l27>:84>309~w7g>:3:1>vP>4b9>132=9:;0q~7}Y9=h0188<:012?xu5i0>1<75<5sW;?563:608274=z{;k2:7>52z\21<=:=?:1=>?4}r0b=2<72;qU=864=47e>4563ty9m4650;0xZ43034?>i7?<1:p6d?>2909wS?:5:?61a<6;81v?o6a;296~X6==1698m51238yv4f1k0;6?uQ1418903e289:7p}=a8a94?4|V8?970;:a;305>{t:h3o6=4={_365>;2=00:?<5rs3c:a?6=:rT:9=5254:9567<5;|q1ed6=838pR>m8;<753?46k2wx>lo>:1826~X6:91699951328902?288;70;;9;314>;2=5255`9576<5<>h6<8h4>219>11`=9;:018;?:003?83293;9<63:538265=:=<91=?>4=477>44734?>97?=0:?613<6:9169;9520f8yv4fi;0;6??t^00`?833?3o370;;8;g;?83313o370;;a;g;?833j3o370;;c;g;?833l3o370;;e;g;?833n3o370;:0;g;?83293o370;:2;g;?832;3o370;:4;g;?832=3o370;:6;g;?832?3;9o63:59826f=:=<31=?m4=47b>44d34?>n7?=c:?61f<6:j1698j513a8903b288h70;:f;31g>;2>90:>n52573957e<5<<96<:94>2b9>133=9;i01889:00`?xu5ih91<739jn6s|2`c7>5<5sW;>j63:5480eg=z{;kj97>52z\21`=:=<>1?ll4}r0be3<72;qU=8j4=470>6ge3ty9ml950;0xZ43d34?>>7=nb:p6dg?2909wS?:b:?614<4ik1v?on9;296~X6>k1698>53``8yv4fih0;6?uQ17c8902a2:ki7p}=a``94?4|V8<270;;e;1bf>{t:hkh6=4={_353>;2i6>om;|q1ed`=838pR<8;;<77e?5fj2wx>ll?:181[71;27>844vP>639>11>=;hh0q~7}Y9{<772?46127>9:476:?6129:479:?61=27>95478:?61=94476:?61<94479:?61d27>9l478:?61d9o476:?61g9o479:?61f27>9n478:?61f9i476:?61a9i479:?61`27>9h478:?61`9k476:?61c9k479:?62527>:=478:?625:<476:?624:<479:?62727>:?478:?627:>476:?626:>479:?62127>:9478:?621:8476:?620:8479:?62327>:;478:?623ll;:181833?3;8=63:5680eg=z{;ki97>52z?60=<6;81698653``8yv4fj?0;6?u255;9567<5om;|q1eg1=838p18:n:012?832i39jn6s|2``;>5<5s4??n7?<1:?61g<4ik1v?om9;296~;211b=9:;018;k:2ca?xu5ikh1<745634?>i7=nb:p6ddd2909w0;;f;305>;2=o08mo5rs3ca`?6=:r7>9=4>309>136=;hh0q~7}:=<;1=>?4=442>6ge3ty9moh50;0x9035289:70;92;1bf>{t:hi;6=4={<767?74927>:>4v3:558274=:=?>1?ll4}r0bg7<72;q698;5123890022:ki7p}=ab194?4|5;<752?5fj2wx>lm;:1825~;2=>0:>=5254:9576<59o4>219>10e=9;:018;k:003?832m3;9<63:5g8265=:=?:1=?>4=442>44734?=>7?=0:?626<6:9169;:513289002288;70;96;314>;2>>08<>5r}c757=9{I1`7>"4k8088l:4Zd597~7>2l0m6pg6e;29 6g?20n0b>o8:198m42a290/?l6515g8j6g02910e<:k:18'7d>=9=o0b>o8:098m42d290/?l6515g8j6g02;10e<:m:18'7d>=9=o0b>o8:298m42f290/?l6515g8j6g02=10e<:6:18'7d>=9=o0b>o8:498m43>290/?l6515g8j6g02?10e<;7:18'7d>=9=o0b>o8:698m430290/?l6515g8j6g02110e<;::18'7d>=9=o0b>o8:898m433290/?l6515g8j6g02h10e<;<:18'7d>=9=o0b>o8:c98m435290/?l6515g8j6g02j10e<;>:18'7d>=9=o0b>o8:e98m437290/?l6515g8j6g02l10e<:7:18'7d>=9=o0b>o8:g98m6e02900e<2900e<=9?:0b>o8:098k43b290/?l651728j6g02;10c<;k:18'7d>=9?:0b>o8:298k43d290/?l651728j6g02=10c<;m:18'7d>=9?:0b>o8:498k40e290/?l651728j6g02?10c<8n:18'7d>=9?:0b>o8:698k40>290/?l651728j6g02110c<88:18'7d>=9?:0b>o8:898k401290/?l651728j6g02h10c<8::18'7d>=9?:0b>o8:c98k403290/?l651728j6g02j10c<8<:18'7d>=9?:0b>o8:e98k405290/?l651728j6g02l10c<;n:18'7d>=9?:0b>o8:g98f00>290:6=4?{%1`5?46i2B8oo5G3b18k77>2900qo;9a;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f00e290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi9;m50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`62a<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb44e>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e=>:1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl:7083>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg30:3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn89<:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a122=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th>;84?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm56:94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd2?00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo;8a;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg30k3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo;8e;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg3?83:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo;72;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg3?<3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo;76;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg3?03:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo;7a;297?6=8r.8o<4=299K7fd<@:i87)<=1;5f?l46k3:17d<>d;29?j57;3:17p}=ab794?76sW3n70;8a;:7?830j32?70;8c;:7?830l32?70;8e;:7?830n32?70;70;:7?83?932?70;72;:7?83?;32?70;74;:7?83?=32?70;76;:7?83??32?70;78;:7?83?132?7p}=ab494?4|V8>m70;79;305>{t:hi<6=4={_37`>;2010:?<5rs3c`;|q1efg=838pR<:n;<7;1?7492wx>lmm:181[73127>494>309~w7gdk3:1>vP>589>1=5=9:;0q~7}Y9<20186=:012?xu5ijo1<75<5sW;>963:818274=z{;ko<7>52z\211=:=>l1=>?4}r0b`4<72;qU=8=4=45f>4563ty9mi<50;0xZ43534?{t:hn<6=4>2z\265=:=?k1=?>4=44a>44734?=o7?=0:?62a<6:9169;k51328900a288;70;80;314>;2?80:>=525609576<5<=86<;84>219>120=9;:01898:003?83003;9<63:788265=:=1k1>;o4>2b9>12e=9;i0189k:00`?830m3;9o63:7g826f=:=1:1=?m4=4:2>44d34?3>7?=c:?6<6<6:j1695:513a890>2288h70;76;31g>;20>0:>n5259:957e<5<226<;<74=?5fj2wx>ljn:181[72n27>;54vP>5d9>121=;hh0q~7}Y95<5sW;>n63:7580eg=z{;koj7>52z\22g=:=>91?ll4}r0ba5<72;qU=;o4=451>6ge3ty9mh?50;0xZ40>34?<=7=nb:p6dc52909wS?97:?635<4ik1v?oj3;296~X6>?169;h53``8yv4fm=0;6?uQ1778900b2:ki7p}=ad794?4|V8{t:ho=6=4={_357>;2>j08mo5rs3cf3?6=:rT::?5257`97ddom;|q1e`?=839:w0;99;02=>;2?h03:63:7`8;<>;2?h03563:7c8;2>;2?k03463:7c8;=>;2?j03:63:7b8;<>;2?j03563:7e8;2>;2?m03463:7e8;=>;2?l03:63:7d8;<>;2?l03563:7g8;2>;2?o03463:7g8;=>;20903:63:818;<>;20903563:808;2>;20803463:808;=>;20;03:63:838;<>;20;03563:828;2>;20:03463:828;=>;20=03:63:858;<>;20=03563:848;2>;20<03463:848;=>;20?03:63:878;<>;20?03563:868;2>;20>03463:868;=>;20103:63:898;<>;20103563:888;2>;20003463:888;=>{t:hoj6=4={<75e?74927>;l4v3:6c8274=:=>h1?ll4}r0baf<72;q69;m51238901d2:ki7p}=adf94?4|5<;<74`?5fj2wx>lkj:181831m3;8=63:7d80eg=z{;knj7>52z?62c<6;8169:h53``8yv4fn90;6?u25629567<5<2;6>om;|q1ec7=838p189>:012?83?939jn6s|2`d1>5<5s4?<>7?<1:?6<7<4ik1v?oi3;296~;2?:0:?<5259197dd122=9:;0186;:2ca?xu5io?1<745634?397=nb:p6d`12909w0;86;305>;20?08mo5rs3ce3?6=:r7>;:4>309>1=1=;hh0q~7}:=>21=>?4=4:;>6ge3ty9mk750;0x901>289:70;79;1bf>{t:hlj6=4>1z?63d<6:9169:l51328901d288;70;8d;314>;2?l0:>=5256d9576<5<2;6<4?4>219>1=5=9;:0186;:003?83?=3;9<63:878265=:=1=1=?>4=4:;>44734?357?=0:?60Vh953z3:>`5$2c;>5<#;h21=9k4n2c4>5=o6=4+3`:951c5<#;h21=9k4n2c4>7=i6=4+3`:951c54i06b>5<#;h21=9k4n2c4>1=26=4+3`:951c5<#;h21=9k4n2c4>3=5<#;h21=9k4n2c4>==6=4+3`:951c5<#;h21=9k4n2c4>d=5<#;h21=9k4n2c4>f=5<#;h21=9k4n2c4>`=36=4+3`:951c5<5<5<#;h21=;>4n2c4>5=5<#;h21=;>4n2c4>7=54o07`>5<#;h21=;>4n2c4>1=5<#;h21=;>4n2c4>3=5<#;h21=;>4n2c4>==5<#;h21=;>4n2c4>d=6=4+3`:95365<#;h21=;>4n2c4>f=5<#;h21=;>4n2c4>`=5<6290;w)=l1;02e>N4kk1C?n=4o33:>5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb4:f>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e=1l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl:9183>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg3>93:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn87=:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a1<5=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th>594?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm58594?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd2110;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo;69;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f0?f290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi94l50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`6=f<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg3>m3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo;n0;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg3f:3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo;n4;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg3f>3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo;n8;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg3fi3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo;nc;29=?6=8r.8o<45<5<5<53;294~"4k809>55G3b`8L6e43-89=79j;h02g?6=3`8:h7>5;n137?6=3ty9mkl50;32[?b34?2h76;;<7:a?>334?2j76;;<7b4?>334?j=76;;<7b6?>334?j?76;;<7b0?>334?j976;;<7b2?>334?j;76;;<7b334?j576;;<7be?>334?jn76;;<7bg?>33ty9mkm50;0xZ42a34?jo7?<1:p6d`c2909wS?;d:?6eg<6;81v?oie;296~X6289:7p}=b1294?4|V8>j70;n8;305>{t:k::6=4={_37=>;2i>0:?<5rs3`36?6=:rT:94525`495674?:3y]50><56<=>;|q1f52=838pR<;8;<7b0?7492wx>o>::181[72=27>m>4>309~w7d7>3:1>vP>559>1d4=9:;0q~7}Y9<9018o>:012?xu5j921<75<5sW;>=63:9g8274=z{;h;m7>52z\215=:=0o1=>?4}r0a4g<72;qU=964=4;g>4563ty9n=m50;0xZ6e034?jh7<>c:p6g6c290:>vP>219>1=b=9;:0186j:003?83?n3;9<63:918265=:=0;1=?>4=4;1>44734?2?7?=0:?6=1<6:91694;5132890?1288;70;67;314>;2110:>=5258;9576<5<3j6<5n4>219>1db=:8n0q~77|V88h70;7d;g;?83?m3o370;7f;g;?83>83o370;61;g;?83>:3o370;63;g;?83><3o370;65;g;?83>>3o370;67;g;?83>03o370;69;g;?83>i3o370;6b;g;?83>k3o370;6d;31g>;21l0:>n5258d957e<5m?4>2b9>1d5=9;i018o;:00`?83f=3;9o63:a7826f=:=h=1=?m4=4c;>44d34?j57?=c:?6ed<6:j169ll513a890gd288h7p}=b1d94?4|V8<:70;6c;1bf>{t:k;;6=4={_36b>;21k08mo5rs3`25?6=:rT:9h5258c97ddom;|q1f45=838pR<;l;<7:o?;:181[72j27>5:4vP>6c9>1<0=;hh0q~7}Y9?k0187::2ca?xu5j8=1<7<39jn6s|2c3;>5<5sW;=;63:9280eg=z{;h:57>52z\223=:=081?ll4}r0a5d<72;qU=;;4=4;2>6ge3ty9nd;296~X6>;1695k53``8yv4e9l0;6?uQ14c890>c2:ki7p}=b0d94?56s4?3o7<>9:?6=a27>5i478:?6=a5h476:?6=`5h479:?6=c27>5k478:?6=cm=476:?6e5m=479:?6e427>m<478:?6e4m?476:?6e7m?479:?6e627>m>478:?6e6m9476:?6e1m9479:?6e027>m8478:?6e0m;476:?6e3m;479:?6e227>m:478:?6e2m5476:?6e=m5479:?6e<27>m4478:?6e<ml476:?6edml479:?6eg27>mo478:?6egmn476:?6efmn479:p6g472909w0;7d;305>;21m08mo5rs3`15?6=:r7>4h4>309>17}:=1l1=>?4=4;e>6ge3ty9n?=50;0x90?7289:70;n0;1bf>{t:k8?6=4={<7:5?74927>m<4v3:938274=:=h81?ll4}r0a63<72;q694=5123890g42:ki7p}=b3594?4|5<3?6<=>;<7b0?5fj2wx>o<7:18183>=3;8=63:a480eg=z{;h957>52z?6=3<6;8169l853``8yv4e:h0;6?u25859567<5om;|q1f7d=838p1877:012?83f039jn6s|2c0`>5<5s4?257?<1:?6e<<4ik1v?l=d;296~;21h0:?<525`c97ddh4?:3y>145634?jo7=nb:p6g57290:=v3:9e8265=:=0o1=?>4=4;e>44734?j<7?=0:?6e4<6:9169l<5132890g4288;70;n4;314>;2i<0:>=525`49576<5m44>219>1dg=9;:018om:003?83fk3;9<63:ae8046=zuk?ji7>524816?51sA9h?6*xo>m3:1(>o7:8f8j6g02910e<:i:18'7d>=9=o0b>o8:198m42c290/?l6515g8j6g02810e<:l:18'7d>=9=o0b>o8:398m42e290/?l6515g8j6g02:10e<:n:18'7d>=9=o0b>o8:598m42>290/?l6515g8j6g02<10e<;6:18'7d>=9=o0b>o8:798m43?290/?l6515g8j6g02>10e<;8:18'7d>=9=o0b>o8:998m432290/?l6515g8j6g02010e<;;:18'7d>=9=o0b>o8:`98m434290/?l6515g8j6g02k10e<;=:18'7d>=9=o0b>o8:b98m436290/?l6515g8j6g02m10e<;?:18'7d>=9=o0b>o8:d98m42?290/?l6515g8j6g02o10e>m8:188m4472900e>l6:188m44d2900c<8>:18'7d>=9?:0b>o8:198k43a290/?l651728j6g02810c<;j:18'7d>=9?:0b>o8:398k43c290/?l651728j6g02:10c<;l:18'7d>=9?:0b>o8:598k43e290/?l651728j6g02<10c<8m:18'7d>=9?:0b>o8:798k40f290/?l651728j6g02>10c<86:18'7d>=9?:0b>o8:998k400290/?l651728j6g02010c<89:18'7d>=9?:0b>o8:`98k402290/?l651728j6g02k10c<8;:18'7d>=9?:0b>o8:b98k404290/?l651728j6g02m10c<8=:18'7d>=9?:0b>o8:d98k43f290/?l651728j6g02o10n8oi:182>5<7s-9h=7<>a:J0gg=O;j90c??6:188yg3e83:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn8l>:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a1g4=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th>n>4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?46=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm5c494?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd2j>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo;m8;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f0d>290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi9oo50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`6fg<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb4`g>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e=ko1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl:bg83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg3d83:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo;l2;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg3d<3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo;l6;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg3d03:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo;la;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg3dk3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo;le;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg3c83:1?7>50z&0g4<5:11C?nl4H2a0?!4593=n7d<>c;29?l46l3:17b=?3;29?xu5j:;1<7?>{_;f?83d832?70;l1;:7?83d:32?70;l3;:7?83d<32?70;l5;:7?83d>32?70;l7;:7?83d032?70;l9;:7?83di32?70;lb;:7?83dk32?70;ld;:7?83dm32?70;lf;:7?xu5j:81<75<5sW;?h63:cd8274=z{;h887>52z\20f=:=jn1=>?4}r0a70<72;qU=9l4=4a`>4563ty9n>850;0xZ42f34?hn7?<1:p6g502909wS?;9:?6gd<6;81v?l<8;296~X6=0169n751238yv4e;00;6?uQ14:890e?289:7p}=b2c94?4|V8?<70;l7;305>{t:k9i6=4={_361>;2k?0:?<5rs3`0g?6=:rT:99525b79567;|q1f6c=838pR<;=;<7`7?7492wx>o=i:181[72927>o?4>309~w7d383:1>vP>519>1f7=9:;0q~7}Y9=2018m?:012?xu5j=81<75<6:rT:>=525c29576<5n>4>219>1g2=9;:018l::003?83e>3;9<63:b68265=:=k21=?>4=4`:>44734?im7?=0:?6fg<6:9169om5132890dc288;70;me;314>;2jo0:>=525e2964b7k7;<7a7?c?34?i87k7;<7a1?c?34?i:7k7;<7a3?c?34?i47k7;<7a=?c?34?im7k7;<7af?c?34?io7k7;<7a`?c?34?ii7k7;<7ab?c?34?h<7?=c:?6g4<6:j169n<513a890e4288h70;l4;31g>;2k<0:>n525b4957e<5o44>2b9>1fg=9;i018mm:00`?83dk3;9o63:ce826f=:=jo1=?m4=4ae>44d3ty9n9;50;0xZ40634?ij7=nb:p6g212909wS?:f:?6f`<4ik1v?l;7;296~X6=l169oj53``8yv4e<10;6?uQ14f890dd2:ki7p}=b5;94?4|V8?h70;mb;1bf>{t:k>j6=4={_36f>;2jh08mo5rs3`7f?6=:rT::o525c;97ddom;|q1f1b=838pR<86;<7a3?5fj2wx>o:j:181[71?27>n;4vP>679>1g3=;hh0q~7}Y9??018l;:2ca?xu5j<;1<75<5sW;=?63:b380eg=z{;h>?7>52z\227=:=k;1?ll4}r0a11<72;qU=8o4=4`3>6ge3ty9n8;50;1283fn38:563:c18;2>;2k903463:c18;=>;2k803:63:c08;<>;2k803563:c38;2>;2k;03463:c38;=>;2k:03:63:c28;<>;2k:03563:c58;2>;2k=03463:c58;=>;2k<03:63:c48;<>;2k<03563:c78;2>;2k?03463:c78;=>;2k>03:63:c68;<>;2k>03563:c98;2>;2k103463:c98;=>;2k003:63:c88;<>;2k003563:c`8;2>;2kh03463:c`8;=>;2kk03:63:cc8;<>;2kk03563:cb8;2>;2kj03463:cb8;=>;2km03:63:ce8;<>;2km03563:cd8;2>;2kl03463:cd8;=>;2ko03:63:cg8;<>;2ko0356s|2c75>5<5s4?i<7?<1:?6g5<4ik1v?l:7;296~;2j80:?<525b397dd1g4=9:;018m=:2ca?xu5j<31<745634?h?7=nb:p6g3f2909w0;m4;305>;2k=08mo5rs3`6f?6=:r7>n84>309>1f3=;hh0q~7}:=k<1=>?4=4a5>6ge3ty9n8j50;0x90d0289:70;l7;1bf>{t:k?n6=4={<7ao54v3:b88274=:=j31?ll4}r0a25<72;q69oo5123890ef2:ki7p}=b7394?4|5;<7`f?5fj2wx>o8=:18183ek3;8=63:cb80eg=z{;h=?7>52z?6fa<6;8169nj53``8yv4e>=0;6?u25cg9567<5om;|q1f33=838p18li:012?83dn39jn6s|2c45>5<69r7>o=4>219>1f7=9;:018m=:003?83d;3;9<63:c58265=:=j?1=?>4=4a5>44734?h;7?=0:?6g=<6:9169n75132890ef288;70;lb;314>;2kj0:>=525bf9576<5h=4<029~yg3c93:1>84=2;15M5d;2.8o<4<4`68^`1=;r;26h4i:|k:a?6=,:k364j4n2c4>5=m6=4+3`:951c5<#;h21=9k4n2c4>4=h6=4+3`:951c5<#;h21=9k4n2c4>6=j6=4+3`:951c5<#;h21=9k4n2c4>0=5<#;h21=9k4n2c4>2=5<#;h21=9k4n2c4><=5<#;h21=9k4n2c4>g=5<#;h21=9k4n2c4>a=5<#;h21=9k4n2c4>c=5<5<5<#;h21=;>4n2c4>4=5<#;h21=;>4n2c4>6=5<#;h21=;>4n2c4>0=5<#;h21=;>4n2c4>2=5<#;h21=;>4n2c4><=5<#;h21=;>4n2c4>g=5<#;h21=;>4n2c4>a=5<#;h21=;>4n2c4>c=:183!5d938:m6Fm<;%015?4>o6:90;66g>3083>>i4ik0;66sm5e794?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd2l?0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo;k7;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f0b?290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi9i750;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`6`d<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb4f`>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e=mn1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl:dd83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg3cn3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn8k?:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a1`7=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th>i?4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo;j4;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg3b>3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo;j8;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg3bi3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo;jc;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg3bm3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo;i0;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg3a:3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?1b3`8:o7>5;h02`?6=3f9;?7>5;|q1f31=83;:wS7j;<7f7?>334?n876;;<7f1?>334?n:76;;<7f3?>334?n476;;<7f=?>334?nm76;;<7ff?>334?no76;;<7f`?>334?ni76;;<7fb?>334?m<76;;<7e5?>334?m>76;;|q1f3>=838pR<:i;<7e6?7492wx>o86:181[73l27>j<4>309~w7d1i3:1>vP>4b9>1c6=9:;0q~7}Y9=h018ki:012?xu5j?i1<75<5sW;?563:ee8274=z{;h=i7>52z\21<=:=li1=>?4}r0a2c<72;qU=864=4ga>4563ty9n:>50;0xZ43034?nm7?<1:p6g162909wS?:5:?6a<<6;81v?l82;296~X6==169h651238yv4e?:0;6?uQ141890c0289:7p}=b6694?4|V8?970;j6;305>{t:k=>6=4={_365>;2m<0:?<5rs3`42?6=:rT:9=525d69567<5;|q1f2>=838pR>m8;<7e7?46k2wx>o96:1826~X6:9169i=5132890b3288;70;k5;314>;2l?0:>=525e59576<5hl4>219>1ad=9;:018jl:003?83cl3;9<63:dd8265=:=ml1=?>4=4g3>44734?n=7?=0:?6a7<6:9169k=520f8yv4e?h0;6??t^00`?83c;3o370;k4;g;?83c=3o370;k6;g;?83c?3o370;k8;g;?83c13o370;ka;g;?83cj3o370;kc;g;?83cl3o370;ke;g;?83cn3o370;j0;g;?83b93o370;j2;g;?83b;3;9o63:e5826f=:=l?1=?m4=4g5>44d34?n;7?=c:?6a=<6:j169h7513a890cf288h70;jb;31g>;2mj0:>n525df957e<5j=4>2b9>1c7=9;i018h=:00`?xu5j>h1<75<5sW;>j63:e080eg=z{;h52z\21`=:=l:1?ll4}r0a3`<72;qU=8j4=4fe>6ge3ty9n:h50;0xZ43d34?oi7=nb:p6g>72909wS?:b:?6`a<4ik1v?l71;296~X6>k169im53``8yv4e0;0;6?uQ17c890be2:ki7p}=b9194?4|V8<270;ka;1bf>{t:k2?6=4={_353>;2l008mo5rs3`;1?6=:rT::;525e:97ddom;|q1f=1=838pR<8;;<7g2?5fj2wx>o67:181[71;27>h84vP>639>1a2=;hh0q~7}Y9{<7g6?46127>i>476:?6a6i>479:?6a127>i9478:?6a1i8476:?6a0i8479:?6a327>i;478:?6a3i:476:?6a2i:479:?6a=27>i5478:?6a=i4476:?6a<i4479:?6ad27>il478:?6adio476:?6agio479:?6af27>in478:?6afii476:?6aaii479:?6a`27>ih478:?6a`ik476:?6acik479:?6b527>j=478:?6b5j<476:?6b4j<479:?6b727>j?478:?6b7o6l:18183c;3;8=63:e280eg=z{;h3h7>52z?6`1<6;8169h:53``8yv4e0l0;6?u25e79567<56>om;|q1f=`=838p18j9:012?83b>39jn6s|2c;3>5<5s4?o;7?<1:?6a2<4ik1v?l61;296~;2l10:?<525d:97dd1a?=9:;018k6:2ca?xu5j091<745634?nm7=nb:p6g?32909w0;kb;305>;2mk08mo5rs3`:1?6=:r7>hn4>309>1`e=;hh0q~7}:=mn1=>?4=4gg>6ge3ty9n4950;0x90bb289:70;je;1bf>{t:k336=4={<7gb?74927>ik413:1>v3:e18274=:=o:1?ll4}r0a=d<72;q69h?5123890`62:ki7p}=b8`94?4|5;<7e6?5fj2wx>o7l:1825~;2m:0:>=525d69576<56<i:4>219>1`>=9;:018k6:003?83bi3;9<63:ec8265=:=li1=?>4=4gg>44734?ni7?=0:?6ac<6:9169k>5132890`6288;70;i2;314>;2n:08<>5r}c7e0?6=:<09>7=9{I1`7>"4k8088l:4Zd597~7>2l0m6pg6e;29 6g?20n0b>o8:198m42a290/?l6515g8j6g02910e<:k:18'7d>=9=o0b>o8:098m42d290/?l6515g8j6g02;10e<:m:18'7d>=9=o0b>o8:298m42f290/?l6515g8j6g02=10e<:6:18'7d>=9=o0b>o8:498m43>290/?l6515g8j6g02?10e<;7:18'7d>=9=o0b>o8:698m430290/?l6515g8j6g02110e<;::18'7d>=9=o0b>o8:898m433290/?l6515g8j6g02h10e<;<:18'7d>=9=o0b>o8:c98m435290/?l6515g8j6g02j10e<;>:18'7d>=9=o0b>o8:e98m437290/?l6515g8j6g02l10e<:7:18'7d>=9=o0b>o8:g98m6e02900e<2900e<=9?:0b>o8:098k43b290/?l651728j6g02;10c<;k:18'7d>=9?:0b>o8:298k43d290/?l651728j6g02=10c<;m:18'7d>=9?:0b>o8:498k40e290/?l651728j6g02?10c<8n:18'7d>=9?:0b>o8:698k40>290/?l651728j6g02110c<88:18'7d>=9?:0b>o8:898k401290/?l651728j6g02h10c<8::18'7d>=9?:0b>o8:c98k403290/?l651728j6g02j10c<8<:18'7d>=9?:0b>o8:e98k405290/?l651728j6g02l10c<;n:18'7d>=9?:0b>o8:g98f0`2290:6=4?{%1`5?46i2B8oo5G3b18k77>2900qo;i6;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f0`0290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi9k650;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`6b<<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb4da>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e=oi1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl:fe83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg3am3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn8hi:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a256=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th=<<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm61694?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd18<0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo8?6;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg0703:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo8?a;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg07k3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo8?e;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg0683:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo8>2;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg06<3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo8>6;297?6=8r.8o<4=299K7fd<@:i87)<=1;5f?l46k3:17d<>d;29?j57;3:17p}=b8f94?76sW3n708?6;:7?807?32?708?8;:7?807132?708?a;:7?807j32?708?c;:7?807l32?708?e;:7?807n32?708>0;:7?806932?708>2;:7?806;32?708>4;:7?806=32?7p}=b8g94?4|V8>m708>5;305>{t:k3m6=4={_37`>;19=0:?<5rs3`b4?6=:rT:8n526019567;|q1fd4=838pR<:n;<425?7492wx>oo<:181[73127===4>309~w7df<3:1>vP>589>25`=9:;0q~7}Y9<201;>j:012?xu5jh<1<75<5sW;>96390b8274=z{;hj47>52z\211=:>9h1=>?4}r0ae<<72;qU=8=4=72b>4563ty9nlo50;0xZ43534<;57?<1:p6gge2909wS?:1:?54=<6;81v?lnc;296~X6=916:=951238yv4eim0;6?uQ15:89361289:7p}=b`g94?4|V:i<708>6;02g>{t:kkm6=4>2z\265=:=o<1=?>4=4d4>44734?m47?=0:?6b<<6:9169ko5132890`e288;70;ic;314>;2nm0:>=525gg9576<5219>254=9;:01;><:003?807<3;9<639048265=:>8<1>2b9>25>=9;i01;>6:00`?807i3;9o6390c826f=:>9i1=?m4=72g>44d34<;i7?=c:?54c<6:j16:<>513a89376288h708>2;31g>;19:0:>n52606957e<5?;>6<;<431?5fj2wx>ol=:181[72n27=<94vP>5d9>255=;hh0q~7}Y9=:2ca?xu5jk?1<75<5sW;>n6390180eg=z{;hi;7>52z\22g=:=ol1?ll4}r0af=<72;qU=;o4=4df>6ge3ty9no750;0xZ40>34?mh7=nb:p6gdf2909wS?97:?6bf<4ik1v?lmb;296~X6>?169kl53``8yv4ejj0;6?uQ177890`f2:ki7p}=bcf94?4|V8{t:khn6=4={_357>;2n108mo5rs3`ab?6=:rT::?525g597ddom;|q1ff7=839:w0;i5;02=>;18?03:639078;<>;18?035639068;2>;18>034639068;=>;18103:639098;<>;181035639088;2>;180034639088;=>;18h03:6390`8;<>;18h0356390c8;2>;18k0346390c8;=>;18j03:6390b8;<>;18j0356390e8;2>;18m0346390e8;=>;18l03:6390d8;<>;18l0356390g8;2>;18o0346390g8;=>;19903:639118;<>;199035639108;2>;198034639108;=>;19;03:639138;<>;19;035639128;2>;19:034639128;=>;19=03:639158;<>;19=035639148;2>;19<034639148;=>{t:ki96=4={<7e2?74927=<;4v3:f68274=:>9=1?ll4}r0ag1<72;q69k651238936?2:ki7p}=bb794?4|5;<43=?5fj2wx>om9:18183ai3;8=6390`80eg=z{;hh;7>52z?6bg<6;816:=l53``8yv4ek10;6?u25ga9567<5?:h6>om;|q1ff?=838p18hk:012?807l39jn6s|2cab>5<5s4?mi7?<1:?54`<4ik1v?llb;296~;2no0:?<5261d97dd256=9:;01;??:2ca?xu5jjn1<745634<:=7=nb:p6geb2909w08?2;305>;19;08mo5rs3``b?6=:r7=<>4>309>245=;hh0q~7}:>9>1=>?4=737>6ge3ty9ni?50;0x9362289:708>5;1bf>{t:kn96=4>1z?543<6:916:=951328936?288;708?9;314>;18h0:>=5261`9576<5?:h6<219>25`=9;:01;??:003?80693;9<639138265=:>891=?>4=737>44734<:97?=0:?553<48:1vqo8>7;2960<5:39=wE=l3:&0g4<40Vh953z3:>`5$2c;>5<#;h21=9k4n2c4>5=o6=4+3`:951c5<#;h21=9k4n2c4>7=i6=4+3`:951c54i06b>5<#;h21=9k4n2c4>1=26=4+3`:951c5<#;h21=9k4n2c4>3=5<#;h21=9k4n2c4>==6=4+3`:951c5<#;h21=9k4n2c4>d=5<#;h21=9k4n2c4>f=5<#;h21=9k4n2c4>`=36=4+3`:951c5<5<5<#;h21=;>4n2c4>5=5<#;h21=;>4n2c4>7=54o07`>5<#;h21=;>4n2c4>1=5<#;h21=;>4n2c4>3=5<#;h21=;>4n2c4>==5<#;h21=;>4n2c4>d=6=4+3`:95365<#;h21=;>4n2c4>f=5<#;h21=;>4n2c4>`=5<6290;w)=l1;02e>N4kk1C?n=4o33:>5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb73b>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e>8h1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl91b83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg06l3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn;?j:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a24`=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th=>=4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm63194?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd1:=0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo8=5;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f341290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi:?950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`56=<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg05i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo8=c;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg05m3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo8<0;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg04:3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo8<4;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg04>3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo8<8;29=?6=8r.8o<45<5<5<53;294~"4k809>55G3b`8L6e43-89=79j;h02g?6=3`8:h7>5;n137?6=3ty9ni=50;32[?b34<9576;;<41e?>334<9n76;;<41g?>334<9h76;;<41a?>334<9j76;;<404?>334<8=76;;<406?>334<8?76;;<400?>334<8976;;<402?>334<8;76;;<4033ty9ni:50;0xZ42a34<847?<1:p6gb22909wS?;d:?572<6;81v?lk6;296~X6851238yv4el>0;6?uQ15`89352289:7p}=be:94?4|V8>j708<4;305>{t:kn26=4={_37=>;1;:0:?<5rs3`ge?6=:rT:94526209567<5?9:6<=>;|q1fae=838pR<;8;<404?7492wx>ojk:181[72=27=>k4>309~w7dcm3:1>vP>559>27c=9:;0q~7}Y9<901;5<5sW;>=6392c8274=z{;hn>7>52z\215=:>;k1=>?4}r0aa6<72;qU=964=70:>4563ty9nh:50;0xZ6e034<857<>c:p6gc2290:>vP>219>24?=9;:01;?n:003?806j3;9<6391b8265=:>8n1=?>4=73f>44734<:j7?=0:?565<6:916:??513289345288;708=3;314>;1:=0:>=526379576<5?8=6<54>219>26?=:8n0q~77|V88h708>9;g;?806i3o3708>b;g;?806k3o3708>d;g;?806m3o3708>f;g;?80583o3708=1;g;?805:3o3708=3;g;?805<3o3708=5;g;?805>3o3708=7;g;?80503o3708=9;31g>;1:h0:>n5263`957e<5?8h6<h4>2b9>27`=9;i01;=?:00`?80493;9o63933826f=:>:91=?m4=717>44d34<897?=c:?573<6:j16:>9513a8935?288h7p}=bd594?4|V8<:708=8;1bf>{t:ko36=4={_36b>;1:>08mo5rs3`f=?6=:rT:9h5263497dd6>om;|q1f`d=838pR<;l;<410?5fj2wx>okl:181[72j27=>>4vP>6c9>274=;hh0q~7}Y9?k01;<>:2ca?xu5jll1<75<5sW;=;6391g80eg=z{;hm=7>52z\223=:>8o1?ll4}r0ab7<72;qU=;;4=73g>6ge3ty9nk=50;0xZ40334<:o7=nb:p6g`32909wS?93:?55g<4ik1v?li5;296~X6>;16:2:ki7p}=bg594?56s4<:47<>9:?56<27=>4478:?56<l476:?56dl479:?56g27=>o478:?56gn476:?56fn479:?56a27=>i478:?56ah476:?56`h479:?56c27=>k478:?56c27=?<478:?57427=?>478:?57627=?8478:?57027=?:478:?5729;305>;1:008mo5rs3`e=?6=:r7==l4>309>27g=;hh0q~7}:>8h1=>?4=70a>6ge3ty9nkl50;0x937d289:708=c;1bf>{t:klh6=4={<42`?74927=>i4v391d8274=:>;o1?ll4}r0ab`<72;q6:;<404?5fj2wx>n>?:18180593;8=6393080eg=z{;i;=7>52z?567<6;816:><53``8yv4d8;0;6?u26319567<5?986>om;|q1g55=838p1;<;:012?804<39jn6s|2b27>5<5s4<997?<1:?570<4ik1v?m?5;296~;1:?0:?<5262497dd271=9:;01;=8:2ca?xu5k9=1<745634<847=nb:p6f6?290:=v39288265=:>;k1=?>4=70a>44734<9o7?=0:?56a<6:916:?k51328934a288;708<0;314>;1;80:>=526209576<5?986<219>260=9;:01;=8:003?80403;9<639388046=zuk<8m7>524816?51sA9h?6*xo>m3:1(>o7:8f8j6g02910e<:i:18'7d>=9=o0b>o8:198m42c290/?l6515g8j6g02810e<:l:18'7d>=9=o0b>o8:398m42e290/?l6515g8j6g02:10e<:n:18'7d>=9=o0b>o8:598m42>290/?l6515g8j6g02<10e<;6:18'7d>=9=o0b>o8:798m43?290/?l6515g8j6g02>10e<;8:18'7d>=9=o0b>o8:998m432290/?l6515g8j6g02010e<;;:18'7d>=9=o0b>o8:`98m434290/?l6515g8j6g02k10e<;=:18'7d>=9=o0b>o8:b98m436290/?l6515g8j6g02m10e<;?:18'7d>=9=o0b>o8:d98m42?290/?l6515g8j6g02o10e>m8:188m4472900e>l6:188m44d2900c<8>:18'7d>=9?:0b>o8:198k43a290/?l651728j6g02810c<;j:18'7d>=9?:0b>o8:398k43c290/?l651728j6g02:10c<;l:18'7d>=9?:0b>o8:598k43e290/?l651728j6g02<10c<8m:18'7d>=9?:0b>o8:798k40f290/?l651728j6g02>10c<86:18'7d>=9?:0b>o8:998k400290/?l651728j6g02010c<89:18'7d>=9?:0b>o8:`98k402290/?l651728j6g02k10c<8;:18'7d>=9?:0b>o8:b98k404290/?l651728j6g02m10c<8=:18'7d>=9?:0b>o8:d98k43f290/?l651728j6g02o10n;=m:182>5<7s-9h=7<>a:J0gg=O;j90c??6:188yg04k3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn;=k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a26c=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th=?k4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4:6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm65094?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd1<:0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo8;4;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f322290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi:9850;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`502<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb76:>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e>=k1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl94c83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg03k3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo8;e;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg0283:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo8:2;29=?6=8r.8o<45<5<5<?7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg02<3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo8:6;29=?6=8r.8o<45<5<5<;7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg0203:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo8:a;29=?6=8r.8o<45<5<5<n7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg02k3:1?7>50z&0g4<5:11C?nl4H2a0?!4593=n7d<>c;29?l46l3:17b=?3;29?xu5k931<7?>{_;f?803k32?708;d;:7?803m32?708;f;:7?802832?708:1;:7?802:32?708:3;:7?802<32?708:5;:7?802>32?708:7;:7?802032?708:9;:7?802i32?708:b;:7?xu5k9k1<75<5sW;?h6395`8274=z{;i;o7>52z\20f=:><31=>?4}r0`4a<72;qU=9l4=77;>4563ty9o=k50;0xZ42f34<>;7?<1:p6f6a2909wS?;9:?513<6;81v?m>0;296~X6=016:8;51238yv4d980;6?uQ14:89333289:7p}=c0094?4|V8?<708:3;305>{t:j;86=4={_361>;1=;0:?<5rs3a20?6=:rT:99526439567;|q1g40=838pR<;=;<47b?7492wx>n?8:181[72927=8h4>309~w7e603:1>vP>519>21b=9:;0q~7}Y9=201;:l:012?xu5k8k1<75<6:rT:>=5262a9576<5?9o6<219>216=9;:01;:>:003?803:3;9<639428265=:>=>1=?>4=766>44734288;708;a;314>;1=5264a964b7k7;<477?c?34;1=80:>n52640957e<5??86<2b9>200=9;i01;;8:00`?80203;9o63958826f=:>44d3ty9of;296~X6=l16:9753``8yv4d:90;6?uQ14f8932?2:ki7p}=c3394?4|V8?h708;7;1bf>{t:j896=4={_36f>;194?:3y]53g<5?>?6>om;|q1g73=838pR<86;<477?5fj2wx>n<9:181[71?27=8?4vP>679>217=;hh0q~7}Y9??01;:?:2ca?xu5k;31<75<5sW;=?6393d80eg=z{;i9n7>52z\227=:>:n1?ll4}r0`6f<72;qU=8o4=71`>6ge3ty9o?j50;12804j38:56394b8;2>;1;1;1;1;1;1;1=9034639518;=>;1=803:639508;<>;1=8035639538;2>;1=;034639538;=>;1=:03:639528;<>;1=:035639558;2>;1==034639558;=>;1=<03:639548;<>;1=<035639578;2>;1=?034639578;=>;1=>03:639568;<>;1=>035639598;2>;1=1034639598;=>;1=003:639588;<>;1=00356395`8;2>;1=h0346395`8;=>;1=k03:6395c8;<>;1=k0356s|2b0f>5<5s4<8o7?<1:?50f<4ik1v?m=f;296~;1;m0:?<5265f97dd26c=9:;01;:j:2ca?xu5k:;1<745634;1=908mo5rs3a07?6=:r7=8<4>309>207=;hh0q~7}:>=81=>?4=771>6ge3ty9o>;50;0x9324289:708:3;1bf>{t:j9=6=4={<470?74927=994v39448274=:><6<=>;<463?5fj2wx>n=n:18180303;8=6395980eg=z{;i8n7>52z?50<<6;816:8753``8yv4d;j0;6?u265c9567<5??j6>om;|q1g6b=838p1;:m:012?802j39jn6s|2b1f>5<69r7=8n4>219>21b=9;:01;:j:003?803n3;9<639518265=:><;1=?>4=771>44734<>?7?=0:?511<6:916:8;513289331288;708:7;314>;1=10:>=5264;9576<5??j6<84=2;15M5d;2.8o<4<4`68^`1=;r;26h4i:|k:a?6=,:k364j4n2c4>5=m6=4+3`:951c5<#;h21=9k4n2c4>4=h6=4+3`:951c5<#;h21=9k4n2c4>6=j6=4+3`:951c5<#;h21=9k4n2c4>0=5<#;h21=9k4n2c4>2=5<#;h21=9k4n2c4><=5<#;h21=9k4n2c4>g=5<#;h21=9k4n2c4>a=5<#;h21=9k4n2c4>c=5<5<5<#;h21=;>4n2c4>4=5<#;h21=;>4n2c4>6=5<#;h21=;>4n2c4>0=5<#;h21=;>4n2c4>2=5<#;h21=;>4n2c4><=5<#;h21=;>4n2c4>g=5<#;h21=;>4n2c4>a=5<#;h21=;>4n2c4>c=:183!5d938:m6Fm<;%015?4>o6:90;66g>3083>>i4ik0;66sm67394?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd1>;0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo893;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f303290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi:;;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`523<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb74;>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e>?31<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl96`83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg01j3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn;8l:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a23b=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th=:h4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo880;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg00:3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo884;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg00>3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo888;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg00i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo88c;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg00m3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?1b3`8:o7>5;h02`?6=3f9;?7>5;|q1g6`=83;:wS7j;<45b?>334<<<76;;<445?>334<<>76;;<447?>334<<876;;<441?>334<<:76;;<443?>334<<476;;<44=?>334<334<334<n:>:181[73l27=;i4>309~w7e3:3:1>vP>4b9>22e=9:;0q~7}Y9=h01;9m:012?xu5k=>1<75<5sW;?5639788274=z{;i?:7>52z\21<=:>>21=>?4}r0`02<72;qU=864=754>4563ty9o9650;0xZ43034<<:7?<1:p6f2>2909wS?:5:?530<6;81v?m;a;296~X6==16:::51238yv4d{t:j>o6=4={_365>;1?80:?<5rs3a7a?6=:rT:9=526629567<5?;|q1g06=838pR>m8;<44b?46k2wx>n;>:1826~X6:916:8h513289307288;70891;314>;1>;0:>=526719576<5?219>231=9;:01;87:003?80113;9<6396`8265=:>?h1=?>4=74`>44734<=h7?=0:?52`<6:916::h520f8yv4d=;0;6??t^00`?802n3o370890;g;?80193o370892;g;?801;3o370894;g;?801=3o370896;g;?801?3o370898;g;?80113o37089a;g;?801j3o37089c;g;?801l3o37089e;g;?801n3;9o63971826f=:>>;1=?m4=751>44d34<;1?10:>n5266;957e<5?=j6<2b9>22b=9;i01;9j:00`?xu5k<91<75<5sW;>j6396e80eg=z{;i>97>52z\21`=:>?i1?ll4}r0`13<72;qU=8j4=74a>6ge3ty9o8950;0xZ43d34<=m7=nb:p6f3?2909wS?:b:?52<<4ik1v?m:9;296~X6>k16:;653``8yv4d=h0;6?uQ17c893002:ki7p}=c4`94?4|V8<270896;1bf>{t:j?h6=4={_353>;1><08mo5rs3a6`?6=:rT::;5267697ddom;|q1g0`=838pR<8;;<456?5fj2wx>n8?:181[71;27=:<4vP>639>236=;hh0q~7}Y9{<46a?46127=:k476:?52c27=;=478:?53527=;?478:?537476:?536479:?53127=;9478:?53127=;;478:?53327=;5478:?53=27=;l478:?53d27=;n478:?53f27=;h478:?53`n8;:181802n3;8=6396g80eg=z{;i=97>52z?525<6;816::>53``8yv4d>?0;6?u26739567<5?=:6>om;|q1g31=838p1;8=:012?800:39jn6s|2b4;>5<5s4<=?7?<1:?536<4ik1v?m99;296~;1>=0:?<5266697dd233=9:;01;9::2ca?xu5k?h1<745634<<:7=nb:p6f0d2909w0897;305>;1?>08mo5rs3a5`?6=:r7=:54>309>22>=;hh0q~7}:>?31=>?4=75:>6ge3ty9o;h50;0x930f289:7088a;1bf>{t:j=;6=4={<45f?74927=;o4v396b8274=:>>i1?ll4}r0`37<72;q6:;j51238931c2:ki7p}=c6194?4|5?;<44a?5fj2wx>n9;:1825~;1>o0:>=526629576<5?=:6<4>219>222=9;:01;9::003?800>3;9<639768265=:>>21=?>4=75:>44734<;1?o08<>5r}c4;4?6=:<09>7=9{I1`7>"4k8088l:4Zd597~7>2l0m6pg6e;29 6g?20n0b>o8:198m42a290/?l6515g8j6g02910e<:k:18'7d>=9=o0b>o8:098m42d290/?l6515g8j6g02;10e<:m:18'7d>=9=o0b>o8:298m42f290/?l6515g8j6g02=10e<:6:18'7d>=9=o0b>o8:498m43>290/?l6515g8j6g02?10e<;7:18'7d>=9=o0b>o8:698m430290/?l6515g8j6g02110e<;::18'7d>=9=o0b>o8:898m433290/?l6515g8j6g02h10e<;<:18'7d>=9=o0b>o8:c98m435290/?l6515g8j6g02j10e<;>:18'7d>=9=o0b>o8:e98m437290/?l6515g8j6g02l10e<:7:18'7d>=9=o0b>o8:g98m6e02900e<2900e<=9?:0b>o8:098k43b290/?l651728j6g02;10c<;k:18'7d>=9?:0b>o8:298k43d290/?l651728j6g02=10c<;m:18'7d>=9?:0b>o8:498k40e290/?l651728j6g02?10c<8n:18'7d>=9?:0b>o8:698k40>290/?l651728j6g02110c<88:18'7d>=9?:0b>o8:898k401290/?l651728j6g02h10c<8::18'7d>=9?:0b>o8:c98k403290/?l651728j6g02j10c<8<:18'7d>=9?:0b>o8:e98k405290/?l651728j6g02l10c<;n:18'7d>=9?:0b>o8:g98f3>6290:6=4?{%1`5?46i2B8oo5G3b18k77>2900qo872;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f3>4290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi:5:50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`5<0<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb7:4>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e>121<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl98883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg0?i3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn;6m:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a2=e=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th=4i4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm68294?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd1180;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo862;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg0><3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo866;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg0>03:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo86a;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg0>k3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo86e;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg0f83:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo8n2;297?6=8r.8o<4=299K7fd<@:i87)<=1;5f?l46k3:17d<>d;29?j57;3:17p}=c6794?76sW3n70862;:7?80>;32?70864;:7?80>=32?70866;:7?80>?32?70868;:7?80>132?7086a;:7?80>j32?7086c;:7?80>l32?7086e;:7?80>n32?708n0;:7?80f932?7p}=c6494?4|V8>m708n1;305>{t:j=<6=4={_37`>;1i90:?<5rs3a4;|q1g2g=838pR<:n;<4:`?7492wx>n9m:181[73127=5n4>309~w7e0k3:1>vP>589>27}Y9<201;7n:012?xu5k>o1<713;8=6s|2b5e>5<5sW;>9639998274=z{;i3<7>52z\211=:>0=1=>?4}r0`<4<72;qU=8=4=7;5>4563ty9o5<50;0xZ43534<297?<1:p6f>42909wS?:1:?5=1<6;81v?m74;296~X6=916:4=51238yv4d0<0;6?uQ15:893?5289:7p}=c9494?4|V:i<708n2;02g>{t:j2<6=4>2z\265=:>181=?>4=7:0>44734<387?=0:?5<0<6:916:585132893>0288;70878;314>;1000:>=5269c9576<5?2i6<219>2=c=9;:01;6i:003?80>83;9<639908265=:>h81>4>2b9>2<2=9;i01;7::00`?80>>3;9o63996826f=:>021=?m4=7;:>44d34<2m7?=c:?5=g<6:j16:4m513a893?c288h7086e;31g>;11o0:>n526`2957e<5?k:6<;<4:5?5fj2wx>n6n:181[72n27=5=4vP>5d9>2=`=;hh0q~7}Y95<5sW;>n6398b80eg=z{;i3j7>52z\22g=:>1h1?ll4}r0`=5<72;qU=;o4=7:b>6ge3ty9o4?50;0xZ40>34<357=nb:p6f?52909wS?97:?5<=<4ik1v?m63;296~X6>?16:5953``8yv4d1=0;6?uQ177893>12:ki7p}=c8794?4|V8{t:j3=6=4={_357>;10=08mo5rs3a:3?6=:rT::?5269197ddom;|q1g;11;03:639938;<>;11;035639928;2>;11:034639928;=>;11=03:639958;<>;11=035639948;2>;11<034639948;=>;11?03:639978;<>;11?035639968;2>;11>034639968;=>;11103:639998;<>;111035639988;2>;110034639988;=>;11h03:6399`8;<>;11h0356399c8;2>;11k0346399c8;=>;11j03:6399b8;<>;11j0356399e8;2>;11m0346399e8;=>;11l03:6399d8;<>;11l0356399g8;2>;11o0346399g8;=>;1i903:639a18;<>;1i9035639a08;2>;1i8034639a08;=>{t:j3j6=4={<4;6?74927=5?4j3:1>v39828274=:>091?ll4}r0`=f<72;q6:5:5123893?32:ki7p}=c8f94?4|5?2>6<=>;<4:1?5fj2wx>n7j:18180?>3;8=6399780eg=z{;i2j7>52z?5<2<6;816:4953``8yv4di90;6?u269:9567<5?336>om;|q1gd7=838p1;66:012?80>139jn6s|2bc1>5<5s4<3m7?<1:?5=d<4ik1v?mn3;296~;10k0:?<5268`97dd2=e=9:;01;7l:2ca?xu5kh?1<745634<2h7=nb:p6fg12909w087e;305>;11l08mo5rs3ab3?6=:r7=4k4>309>2<`=;hh0q~7}:>0:1=>?4=7c3>6ge3ty9ol750;0x93?6289:708n1;1bf>{t:jkj6=4>1z?5=7<6:916:4=5132893?3288;70865;314>;11?0:>=526859576<5?336<219>2l3;9<6399d8265=:>0l1=?>4=7c3>447340Vh953z3:>`5$2c;>5<#;h21=9k4n2c4>5=o6=4+3`:951c5<#;h21=9k4n2c4>7=i6=4+3`:951c54i06b>5<#;h21=9k4n2c4>1=26=4+3`:951c5<#;h21=9k4n2c4>3=5<#;h21=9k4n2c4>==6=4+3`:951c5<#;h21=9k4n2c4>d=5<#;h21=9k4n2c4>f=5<#;h21=9k4n2c4>`=36=4+3`:951c5<5<5<#;h21=;>4n2c4>5=5<#;h21=;>4n2c4>7=54o07`>5<#;h21=;>4n2c4>1=5<#;h21=;>4n2c4>3=5<#;h21=;>4n2c4>==5<#;h21=;>4n2c4>d=6=4+3`:95365<#;h21=;>4n2c4>f=5<#;h21=;>4n2c4>`=5<6290;w)=l1;02e>N4kk1C?n=4o33:>5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb7c5>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e>h=1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl9a983>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg0f13:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn;on:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a2dd=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th=mn4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm6`d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd1j90;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo8m1;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f3d5290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi:o=50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`5f1<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg0e>3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo8m8;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg0ei3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo8mc;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg0em3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo8l0;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg0d:3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo8l4;29=?6=8r.8o<45<5<5<53;294~"4k809>55G3b`8L6e43-89=79j;h02g?6=3`8:h7>5;n137?6=3ty9oll50;32[?b3433433433433433433433433ty9olm50;0xZ42a34j708l0;305>{t:jh:6=4={_37=>;1jo0:?<5rs3aa6?6=:rT:94526cg95674?:3y]50><5?ho6<=>;|q1gg2=838pR<;8;<4ag?7492wx>nl::181[72=27=no4>309~w7ee>3:1>vP>559>2gg=9:;0q~7}Y9<901;l6:012?xu5kk21<75<5sW;>=639b68274=z{;iim7>52z\215=:>k<1=>?4}r0`fg<72;qU=964=7`6>4563ty9oom50;0xZ6e034c:p6fdc290:>vP>219>2d3=9;:01;o9:003?80f?3;9<639a98265=:>h31=?>4=7cb>44734;1j90:>=526c39576<5?h96<219>2f3=:8n0q~77|V88h708n5;g;?80f>3o3708n7;g;?80f03o3708n9;g;?80fi3o3708nb;g;?80fk3o3708nd;g;?80fm3o3708nf;g;?80e83o3708m1;g;?80e:3o3708m3;g;?80e<3o3708m5;31g>;1j?0:>n526c5957e<5?h36<2b9>2gd=9;i01;ll:00`?80el3;9o639bd826f=:>kl1=?m4=7a3>44d34{t:ji;6=4={_36b>;1j:08mo5rs3a`5?6=:rT:9h526c097ddom;|q1gf5=838pR<;l;<4a4?5fj2wx>nm;:181[72j27=mk4vP>6c9>2dc=;hh0q~7}Y9?k01;ok:2ca?xu5kj=1<75<5sW;=;639ac80eg=z{;ih57>52z\223=:>hk1?ll4}r0`gd<72;qU=;;4=7c:>6ge3ty9onl50;0xZ40334;16:l853``8yv4dkl0;6?uQ14c893g22:ki7p}=cbd94?56s49:?5f027=n8478:?5f027=n:478:?5f227=n4478:?5f<27=no478:?5fg27=ni478:?5fa27=nk478:?5fc27=o<478:?5g427=o>478:?5g6;1j<08mo5rs3ag5?6=:r7=m;4>309>2g0=;hh0q~7}:>h=1=>?4=7`4>6ge3ty9oi=50;0x93g?289:708m8;1bf>{t:jn?6=4={<4b=?74927=n44v39a`8274=:>kk1?ll4}r0``3<72;q6:ll5123893de2:ki7p}=ce594?4|5?kh6<=>;<4ag?5fj2wx>nj7:18180fl3;8=639be80eg=z{;io57>52z?5e`<6;816:ok53``8yv4dlh0;6?u26`d9567<5?hm6>om;|q1gad=838p1;l?:012?80d839jn6s|2bf`>5<5s42g5=9:;01;m<:2ca?xu5kml1<745634k<1=?>4=7`4>44734;1jm0:>=526cg9576<5?hm6<219>2f4=9;:01;m<:003?80d<3;9<639c48046=zuk524816?51sA9h?6*xo>m3:1(>o7:8f8j6g02910e<:i:18'7d>=9=o0b>o8:198m42c290/?l6515g8j6g02810e<:l:18'7d>=9=o0b>o8:398m42e290/?l6515g8j6g02:10e<:n:18'7d>=9=o0b>o8:598m42>290/?l6515g8j6g02<10e<;6:18'7d>=9=o0b>o8:798m43?290/?l6515g8j6g02>10e<;8:18'7d>=9=o0b>o8:998m432290/?l6515g8j6g02010e<;;:18'7d>=9=o0b>o8:`98m434290/?l6515g8j6g02k10e<;=:18'7d>=9=o0b>o8:b98m436290/?l6515g8j6g02m10e<;?:18'7d>=9=o0b>o8:d98m42?290/?l6515g8j6g02o10e>m8:188m4472900e>l6:188m44d2900c<8>:18'7d>=9?:0b>o8:198k43a290/?l651728j6g02810c<;j:18'7d>=9?:0b>o8:398k43c290/?l651728j6g02:10c<;l:18'7d>=9?:0b>o8:598k43e290/?l651728j6g02<10c<8m:18'7d>=9?:0b>o8:798k40f290/?l651728j6g02>10c<86:18'7d>=9?:0b>o8:998k400290/?l651728j6g02010c<89:18'7d>=9?:0b>o8:`98k402290/?l651728j6g02k10c<8;:18'7d>=9?:0b>o8:b98k404290/?l651728j6g02m10c<8=:18'7d>=9?:0b>o8:d98k43f290/?l651728j6g02o10n;m8:182>5<7s-9h=7<>a:J0gg=O;j90c??6:188yg0d03:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn;m6:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a2fg=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th=oo4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm6bg94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd1ko0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo8k0;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f3b6290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi:i<50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`5`6<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb7f6>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e>m<1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl9d683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg0c03:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo8ka;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg0ck3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo8ke;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg0b83:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo8j2;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg0b<3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo8j6;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg0b03:1?7>50z&0g4<5:11C?nl4H2a0?!4593=n7d<>c;29?l46l3:17b=?3;29?xu5kl;1<7?>{_;f?80c032?708k9;:7?80ci32?708kb;:7?80ck32?708kd;:7?80cm32?708kf;:7?80b832?708j1;:7?80b:32?708j3;:7?80b<32?708j5;:7?80b>32?708j7;:7?xu5kl81<75<5sW;?h639e78274=z{;in87>52z\20f=:>l?1=>?4}r0`a0<72;qU=9l4=7g7>4563ty9oh850;0xZ42f34{t:joi6=4={_361>;1ll0:?<5rs3afg?6=:rT:99526ef9567;|q1g`c=838pR<;=;<4gf?7492wx>nki:181[72927=hl4>309~w7ea83:1>vP>519>2a?=9:;0q~7}Y9=201;j7:012?xu5ko81<75<6:rT:>=526b:9576<5?i26<219>2fe=9;:01;mk:003?80dm3;9<639cg8265=:>m:1=?>4=7f2>447347?=0:?5`6<6:916:i:5132893b2288;708k6;314>;1l>0:>=526d:964b7k7;<4g7?c?34;1lm0:>n526eg957e<5?nm6<2b9>2`4=9;i01;k<:00`?80b<3;9o639e4826f=:>l<1=?m4=7g4>44d3ty9ok;50;0xZ40634{t:jlj6=4={_36f>;1l;08mo5rs3aef?6=:rT::o526e397ddom;|q1gcb=838pR<86;<4`b?5fj2wx>nhj:181[71?27=oh4vP>679>2fb=;hh0q~7}Y9??01;ml:2ca?xu5l9;1<75<5sW;=?639c`80eg=z{;n;?7>52z\227=:>j31?ll4}r0g41<72;qU=8o4=7a;>6ge3ty9h=;50;1280d?38:5639d98;2>;1l1034639d98;=>;1l003:639d88;<>;1l0035639d`8;2>;1lh034639d`8;=>;1lk03:639dc8;<>;1lk035639db8;2>;1lj034639db8;=>;1lm03:639de8;<>;1lm035639dd8;2>;1ll034639dd8;=>;1lo03:639dg8;<>;1lo035639e18;2>;1m9034639e18;=>;1m803:639e08;<>;1m8035639e38;2>;1m;034639e38;=>;1m:03:639e28;<>;1m:035639e58;2>;1m=034639e58;=>;1m<03:639e48;<>;1m<035639e78;2>;1m?034639e78;=>;1m>03:639e68;<>;1m>0356s|2e25>5<5s42fg=9:;01;jn:2ca?xu5l931<745634;1lj08mo5rs3f3f?6=:r7=oi4>309>2ab=;hh0q~7}:>jo1=>?4=7ff>6ge3ty9h=j50;0x93ea289:708kf;1bf>{t:m:n6=4={<4g4?74927=i=4v39d08274=:>l;1?ll4}r0g55<72;q6:i<5123893c52:ki7p}=d0394?4|5?n86<=>;<4f7?5fj2wx>i?=:18180c<3;8=639e580eg=z{;n:?7>52z?5`0<6;816:h;53``8yv4c9=0;6?u26e49567<5?o=6>om;|q1`43=838p1;j8:012?80b?39jn6s|2e35>5<69r7=h54>219>2a?=9;:01;jn:003?80cj3;9<639db8265=:>mn1=?>4=7ff>44734;1m=0:>=526d79576<5?o=6<84=2;15M5d;2.8o<4<4`68^`1=;r;26h4i:|k:a?6=,:k364j4n2c4>5=m6=4+3`:951c5<#;h21=9k4n2c4>4=h6=4+3`:951c5<#;h21=9k4n2c4>6=j6=4+3`:951c5<#;h21=9k4n2c4>0=5<#;h21=9k4n2c4>2=5<#;h21=9k4n2c4><=5<#;h21=9k4n2c4>g=5<#;h21=9k4n2c4>a=5<#;h21=9k4n2c4>c=5<5<5<#;h21=;>4n2c4>4=5<#;h21=;>4n2c4>6=5<#;h21=;>4n2c4>0=5<#;h21=;>4n2c4>2=5<#;h21=;>4n2c4><=5<#;h21=;>4n2c4>g=5<#;h21=;>4n2c4>a=5<#;h21=;>4n2c4>c=:183!5d938:m6Fm<;%015?4>o6:90;66g>3083>>i4ik0;66sm6df94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd1ml0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo8jf;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f3`7290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi:k?50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`5b7<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb7d7>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e>o?1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl9f783>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg0a?3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn;h7:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a2c?=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th=jl4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo8ic;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg0am3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo9?0;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg17:3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo9?4;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg17>3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo9?8;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg17i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?1b3`8:o7>5;h02`?6=3f9;?7>5;|q1`41=83;:wS7j;<4ef?>334334334=;<76;;<535?>334=;>76;;<537?>334=;876;;<531?>334=;:76;;<533?>334=;476;;<53=?>334=;m76;;|q1`4>=838pR<:i;<53e?7492wx>i?6:181[73l27<<44>309~w7b6i3:1>vP>4b9>35>=9:;0q~7}Y9=h01:>8:012?xu5l8i1<73;8=6s|2e3g>5<5sW;?5638048274=z{;n:i7>52z\21<=:?9>1=>?4}r0g5c<72;qU=864=620>4563ty9h?>50;0xZ43034=;>7?<1:p6a462909wS?:5:?444<6;81v?j=2;296~X6==16;=>51238yv4c::0;6?uQ141893`a289:7p}=d3694?4|V8?9708ie;305>{t:m8>6=4={_365>;1nm0:?<5rs3f12?6=:rT:9=526ga9567:4?:3y]51><5?li6<=>;|q1`7>=838pR>m8;<53f?46k2wx>i<6:1826~X6:916:hl5132893cd288;708jd;314>;1ml0:>=526dd9576<5?l;6<219>2c5=9;:01;h;:003?80a=3;9<639f78265=:>o=1=?>4=7d;>44734on1=?m4=7df>44d34;08=0:>n52717957e<5>:=6<2b9>35?=9;i01:>n:00`?xu5l;h1<75<5sW;>j639f880eg=z{;n9h7>52z\21`=:>o21?ll4}r0g6`<72;qU=8j4=7d4>6ge3ty9h?h50;0xZ43d34k16:k:53``8yv4c;;0;6?uQ17c893`42:ki7p}=d2194?4|V8<2708i2;1bf>{t:m9?6=4={_353>;1n808mo5rs3f01?6=:rT::;526g297ddom;|q1`61=838pR<8;;<4fa?5fj2wx>i=7:181[71;27=ii4vP>639>2`e=;hh0q~7}Y9{<4fe?46127=jo476:?5bg27=jn478:?5bf27=jh478:?5b`27<<=478:?44527<476:?446479:?44127<<9478:?44127<<;478:?44327<<5478:?44=27<i=l:18180bj3;8=639fc80eg=z{;n8h7>52z?5af<6;816:km53``8yv4c;l0;6?u26df9567<5?lo6>om;|q1`6`=838p1;kj:012?80am39jn6s|2e63>5<5s42c7=9:;01:>>:2ca?xu5l=91<745634=;>7=nb:p6a232909w08i3;305>;08:08mo5rs3f71?6=:r7=j94>309>352=;hh0q~7}:>o?1=>?4=626>6ge3ty9h9950;0x93`1289:709?6;1bf>{t:m>36=4={<4e3?74927<<:4v39f98274=:?921?ll4}r0g0d<72;q6:k751238926>2:ki7p}=d5`94?4|5?lj6<=>;<53e?5fj2wx>i:l:1825~;1nk0:>=526ga9576<5?lo6<219>356=9;:01:>>:003?817:3;9<638028265=:?9>1=?>4=626>44734=;:7?=0:?442<6:916;=651328926>288;709?a;314>;08k08<>5r}c53g?6=:<09>7=9{I1`7>"4k8088l:4Zd597~7>2l0m6pg6e;29 6g?20n0b>o8:198m42a290/?l6515g8j6g02910e<:k:18'7d>=9=o0b>o8:098m42d290/?l6515g8j6g02;10e<:m:18'7d>=9=o0b>o8:298m42f290/?l6515g8j6g02=10e<:6:18'7d>=9=o0b>o8:498m43>290/?l6515g8j6g02?10e<;7:18'7d>=9=o0b>o8:698m430290/?l6515g8j6g02110e<;::18'7d>=9=o0b>o8:898m433290/?l6515g8j6g02h10e<;<:18'7d>=9=o0b>o8:c98m435290/?l6515g8j6g02j10e<;>:18'7d>=9=o0b>o8:e98m437290/?l6515g8j6g02l10e<:7:18'7d>=9=o0b>o8:g98m6e02900e<2900e<=9?:0b>o8:098k43b290/?l651728j6g02;10c<;k:18'7d>=9?:0b>o8:298k43d290/?l651728j6g02=10c<;m:18'7d>=9?:0b>o8:498k40e290/?l651728j6g02?10c<8n:18'7d>=9?:0b>o8:698k40>290/?l651728j6g02110c<88:18'7d>=9?:0b>o8:898k401290/?l651728j6g02h10c<8::18'7d>=9?:0b>o8:c98k403290/?l651728j6g02j10c<8<:18'7d>=9?:0b>o8:e98k405290/?l651728j6g02l10c<;n:18'7d>=9?:0b>o8:g98f26c290:6=4?{%1`5?46i2B8oo5G3b18k77>2900qo9?e;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f26a290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi;<>50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`454<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb630>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e?8>1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl81483>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg16>3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn:?8:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a34>=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th<=44?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4;i6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm70a94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd09m0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo9>e;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg1583:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo9=2;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg15<3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo9=6;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg1503:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo9=a;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg15k3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo9=e;297?6=8r.8o<4=299K7fd<@:i87)<=1;5f?l46k3:17d<>d;29?j57;3:17p}=d5f94?76sW3n709>e;:7?816n32?709=0;:7?815932?709=2;:7?815;32?709=4;:7?815=32?709=6;:7?815?32?709=8;:7?815132?709=a;:7?815j32?709=c;:7?815l32?7p}=d5g94?4|V8>m709=d;305>{t:m>m6=4={_37`>;0:j0:?<5rs3f64?6=:rT:8n5273`95678j6<=>;|q1`04=838pR<:n;<51=?7492wx>i;<:181[73127<>54>309~w7b2<3:1>vP>589>371=9:;0q~7}Y9<201:<9:012?xu5l<<1<75<5sW;>9638258274=z{;n>47>52z\211=:?;91=>?4}r0g1<<72;qU=8=4=601>4563ty9h8o50;0xZ43534=9=7?<1:p6a3e2909wS?:1:?465<6;81v?j:c;296~X6=916;{t:m?m6=4>2z\265=:?9o1=?>4=62e>44734=:<7?=0:?454<6:916;<<513289274288;709>4;314>;09<0:>=527049576<5>;<6<219>34g=9;:01:?m:003?816k3;9<6381e8265=:?;o1>2b9>376=9;i01:<>:00`?815:3;9o63822826f=:?;>1=?m4=606>44d34=9:7?=c:?462<6:j16;?6513a8924>288h709=a;31g>;0:k0:>n5273a957e<5>8o6<;<52`?5fj2wx>i8=:181[72n27<=n4vP>5d9>34d=;hh0q~7}Y95<5sW;>n6381980eg=z{;n=;7>52z\22g=:?8=1?ll4}r0g2=<72;qU=;o4=635>6ge3ty9h;750;0xZ40>34=:97=nb:p6a0f2909wS?97:?451<4ik1v?j9b;296~X6>?16;<=53``8yv4c>j0;6?uQ177892752:ki7p}=d7f94?4|V81;1bf>{t:m;09908mo5rs3f5b?6=:rT::?5271d97dd:n6>om;|q1`27=839:w09?d;02=>;09l03:6381d8;<>;09l0356381g8;2>;09o0346381g8;=>;0:903:638218;<>;0:9035638208;2>;0:8034638208;=>;0:;03:638238;<>;0:;035638228;2>;0::034638228;=>;0:=03:638258;<>;0:=035638248;2>;0:<034638248;=>;0:?03:638278;<>;0:?035638268;2>;0:>034638268;=>;0:103:638298;<>;0:1035638288;2>;0:0034638288;=>;0:h03:6382`8;<>;0:h0356382c8;2>;0:k0346382c8;=>;0:j03:6382b8;<>;0:j0356382e8;2>;0:m0346382e8;=>{t:m=96=4={<53a?74927<=h4v380g8274=:?8l1?ll4}r0g31<72;q6;<>5123892472:ki7p}=d6794?4|5>;:6<=>;<515?5fj2wx>i99:181816:3;8=6382380eg=z{;n<;7>52z?456<6;816;?=53``8yv4c?10;6?u27069567<5>8?6>om;|q1`2?=838p1:?::012?815=39jn6s|2e5b>5<5s4=::7?<1:?463<4ik1v?j8b;296~;09>0:?<5273597dd34>=9:;01:<7:2ca?xu5l>n1<745634=957=nb:p6a1b2909w09>a;305>;0:h08mo5rs3f4b?6=:r7<=o4>309>37d=;hh0q~7}:?8i1=>?4=60`>6ge3ty9h5?50;0x927c289:709=d;1bf>{t:m296=4>1z?45`<6:916;;0:;0:>=527319576<5>8?6<;4>219>371=9;:01:<7:003?81513;9<6382`8265=:?;h1=?>4=60`>44734=9h7?=0:?46`<48:1vqo9=f;2960<5:39=wE=l3:&0g4<40Vh953z3:>`5$2c;>5<#;h21=9k4n2c4>5=o6=4+3`:951c5<#;h21=9k4n2c4>7=i6=4+3`:951c54i06b>5<#;h21=9k4n2c4>1=26=4+3`:951c5<#;h21=9k4n2c4>3=5<#;h21=9k4n2c4>==6=4+3`:951c5<#;h21=9k4n2c4>d=5<#;h21=9k4n2c4>f=5<#;h21=9k4n2c4>`=36=4+3`:951c5<5<5<#;h21=;>4n2c4>5=5<#;h21=;>4n2c4>7=54o07`>5<#;h21=;>4n2c4>1=5<#;h21=;>4n2c4>3=5<#;h21=;>4n2c4>==5<#;h21=;>4n2c4>d=6=4+3`:95365<#;h21=;>4n2c4>f=5<#;h21=;>4n2c4>`=5<6290;w)=l1;02e>N4kk1C?n=4o33:>5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb611>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e?:91<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl83583>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg14=3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn:=9:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a361=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?49j6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm72`94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd0;j0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo9=831b=?>50;9j567=831d?ll50;9~f25b290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi;>h50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`405<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg13:3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo9;4;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg13>3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo9;8;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg13i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo9;c;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg13m3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo9:0;29=?6=8r.8o<45<5<5<=7>53;294~"4k809>55G3b`8L6e43-89=79j;h02g?6=3`8:h7>5;n137?6=3ty9h5=50;32[?b34=?=76;;<576?>334=??76;;<570?>334=?976;;<572?>334=?;76;;<57334=?576;;<57e?>334=?n76;;<57g?>334=?h76;;<57a?>334=?j76;;<564?>33ty9h5:50;0xZ42a34=><7?<1:p6a>22909wS?;d:?40c<6;81v?j76;296~X60;6?uQ15`8922c289:7p}=d9:94?4|V8>j709;c;305>{t:m226=4={_37=>;0<5>>26<=>;|q1`=e=838pR<;8;<57i6k:181[72=27<8:4>309~w7b?m3:1>vP>559>310=9:;0q~7}Y9<901::::012?xu5l0:1<75<5sW;>=638428274=z{;n2>7>52z\215=:?=81=>?4}r0g=6<72;qU=964=662>4563ty9h4:50;0xZ6e034=>=7<>c:p6a?2290:>vP>219>367=9;:01:==:003?814;3;9<638358265=:?:?1=?>4=615>44734=8;7?=0:?47=<6:916;>751328925f288;709;0;j0:>=5272f9576<5>9n6<219>307=:8n0q~77|V88h709<1;g;?814:3o3709<3;g;?814<3o3709<5;g;?814>3o3709<7;g;?81403o3709<9;g;?814i3o3709;0<;0:>n52751957e<5>>?6<2b9>311=9;i01::7:00`?81313;9o6384`826f=:?=h1=?m4=66`>44d34=?h7?=c:?40`<6:j16;9h513a89237288h7p}=d8594?4|V8<:709;0;1bf>{t:m336=4={_36b>;0;o08mo5rs3f:=?6=:rT:9h5272g97dd9o6>om;|q1`i7l:181[72j27l3:1>vP>6c9>36g=;hh0q~7}Y9?k01:=6:2ca?xu5l0l1<75<5sW;=;6383680eg=z{;nj=7>52z\223=:?:<1?ll4}r0ge7<72;qU=;;4=616>6ge3ty9hl=50;0xZ40334=887=nb:p6ag32909wS?93:?476<4ik1v?jn5;296~X6>;16;><53``8yv4ci?0;6?uQ14c892562:ki7p}=d`594?56s4=8<7<>9:?40427<8<478:?40427<8>478:?40627<88478:?40027<8:478:?40227<84478:?40<27<8o478:?40g27<8i478:?40a27<8k478:?40c;0<808mo5rs3fb=?6=:r7309>314=;hh0q~7}:?:91=>?4=660>6ge3ty9hll50;0x9253289:709;4;1bf>{t:mkh6=4={<501?74927<884v38378274=:?=<1?ll4}r0ge`<72;q6;>95123892202:ki7p}=d`d94?4|5>936<=>;<57il?:18181413;8=6384880eg=z{;ni=7>52z?47d<6;816;9o53``8yv4cj;0;6?u272`9567<5>>i6>om;|q1`g5=838p1:=l:012?813k39jn6s|2e`7>5<5s4=8h7?<1:?40a<4ik1v?jm5;296~;0;l0:?<5275g97dd36`=9:;01::i:2ca?xu5lk=1<745634=><7=nb:p6ad?290:=v38408265=:?=81=?>4=660>44734=?87?=0:?400<6:916;98513289220288;709;8;314>;0<00:>=5275c9576<5>>i6<219>31c=9;:01::i:003?81283;9<638508046=zuk=>>7>524816?51sA9h?6*xo>m3:1(>o7:8f8j6g02910e<:i:18'7d>=9=o0b>o8:198m42c290/?l6515g8j6g02810e<:l:18'7d>=9=o0b>o8:398m42e290/?l6515g8j6g02:10e<:n:18'7d>=9=o0b>o8:598m42>290/?l6515g8j6g02<10e<;6:18'7d>=9=o0b>o8:798m43?290/?l6515g8j6g02>10e<;8:18'7d>=9=o0b>o8:998m432290/?l6515g8j6g02010e<;;:18'7d>=9=o0b>o8:`98m434290/?l6515g8j6g02k10e<;=:18'7d>=9=o0b>o8:b98m436290/?l6515g8j6g02m10e<;?:18'7d>=9=o0b>o8:d98m42?290/?l6515g8j6g02o10e>m8:188m4472900e>l6:188m44d2900c<8>:18'7d>=9?:0b>o8:198k43a290/?l651728j6g02810c<;j:18'7d>=9?:0b>o8:398k43c290/?l651728j6g02:10c<;l:18'7d>=9?:0b>o8:598k43e290/?l651728j6g02<10c<8m:18'7d>=9?:0b>o8:798k40f290/?l651728j6g02>10c<86:18'7d>=9?:0b>o8:998k400290/?l651728j6g02010c<89:18'7d>=9?:0b>o8:`98k402290/?l651728j6g02k10c<8;:18'7d>=9?:0b>o8:b98k404290/?l651728j6g02m10c<8=:18'7d>=9?:0b>o8:d98k43f290/?l651728j6g02o10n:;<:182>5<7s-9h=7<>a:J0gg=O;j90c??6:188yg12<3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn:;::187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a300=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th<9:4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4?26=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm74c94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd0=k0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo9:c;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f23c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi;8k50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`41c<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb642>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e??81<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl86283>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg11<3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo996;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg1103:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo99a;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg11k3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo99e;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg1083:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo982;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg10<3:1?7>50z&0g4<5:11C?nl4H2a0?!4593=n7d<>c;29?l46l3:17b=?3;29?xu5lk31<7?>{_;f?811<32?70995;:7?811>32?70997;:7?811032?70999;:7?811i32?7099b;:7?811k32?7099d;:7?811m32?7099f;:7?810832?70981;:7?810:32?70983;:7?xu5lkk1<75<5sW;?h638738274=z{;nio7>52z\20f=:?>;1=>?4}r0gfa<72;qU=9l4=653>4563ty9hok50;0xZ42f34==j7?<1:p6ada2909wS?;9:?42`<6;81v?jl0;296~X6=016;;j51238yv4ck80;6?uQ14:8920d289:7p}=db094?4|V8?<7099b;305>{t:mi86=4={_361>;0>h0:?<5rs3f`0?6=:rT:995277;9567<36<=>;|q1`f0=838pR<;=;<553?7492wx>im8:181[72927<:;4>309~w7bd03:1>vP>519>333=9:;0q~7}Y9=201:8;:012?xu5ljk1<75<6:rT:>=527469576<5>?>6<219>30>=9;:01:;6:003?812i3;9<6385c8265=:?4=67g>44734=>i7?=0:?41c<6:916;;>513289206288;70992;314>;0>:0:>=52766964b87k7;<561?c?34=>:7k7;<563?c?34=>47k7;<56=?c?34=>m7k7;<56f?c?34=>o7k7;<56`?c?34=>i7k7;<56b?c?34==<7k7;<555?c?34==>7k7;<557?c?34==87?=c:?420<6:j16;;8513a89200288h70998;31g>;0>00:>n5277c957e<5>2b9>33c=9;i01:8i:00`?81083;9o63870826f=:?>81=?m4=650>44d3ty9hnj50;0xZ40634==?7=nb:p6aeb2909wS?:f:?427<4ik1v?jlf;296~X6=l16;;?53``8yv4cl90;6?uQ14f892072:ki7p}=de394?4|V8?h709:f;1bf>{t:mn96=4={_36f>;0=l08mo5rs3fg7?6=:rT::o5274f97dd?h6>om;|q1`a3=838pR<86;<56f?5fj2wx>ij9:181[71?27<9l4vP>679>30?=;hh0q~7}Y9??01:;7:2ca?xu5lm31<75<5sW;=?6385780eg=z{;non7>52z\227=:?6ge3ty9hij50;12812;38:5638658;2>;0>=034638658;=>;0><03:638648;<>;0><035638678;2>;0>?034638678;=>;0>>03:638668;<>;0>>035638698;2>;0>1034638698;=>;0>003:638688;<>;0>00356386`8;2>;0>h0346386`8;=>;0>k03:6386c8;<>;0>k0356386b8;2>;0>j0346386b8;=>;0>m03:6386e8;<>;0>m0356386d8;2>;0>l0346386d8;=>;0>o03:6386g8;<>;0>o035638718;2>;0?9034638718;=>;0?803:638708;<>;0?8035638738;2>;0?;034638738;=>;0?:03:638728;<>;0?:0356s|2eff>5<5s4=>87?<1:?421<4ik1v?jkf;296~;0=<0:?<5277797dd300=9:;01:89:2ca?xu5ll;1<745634==;7=nb:p6ac52909w09:8;305>;0>108mo5rs3ff7?6=:r7<944>309>33?=;hh0q~7}:??4=64b>6ge3ty9hh;50;0x923e289:7099b;1bf>{t:mo=6=4={<56g?74927<:n4v385e8274=:??n1?ll4}r0ga=<72;q6;8k51238920b2:ki7p}=dd;94?4|5>?m6<=>;<55b?5fj2wx>ikn:18181183;8=6387180eg=z{;nnn7>52z?424<6;816;:?53``8yv4cmj0;6?u27709567<5>=96>om;|q1``b=838p1:8<:012?810;39jn6s|2egf>5<69r7<:94>219>333=9;:01:89:003?811?3;9<638698265=:??31=?>4=64b>44734==n7?=0:?42f<6:916;;j51328920b288;7099f;314>;0?90:>=527639576<5>=96<84=2;15M5d;2.8o<4<4`68^`1=;r;26h4i:|k:a?6=,:k364j4n2c4>5=m6=4+3`:951c5<#;h21=9k4n2c4>4=h6=4+3`:951c5<#;h21=9k4n2c4>6=j6=4+3`:951c5<#;h21=9k4n2c4>0=5<#;h21=9k4n2c4>2=5<#;h21=9k4n2c4><=5<#;h21=9k4n2c4>g=5<#;h21=9k4n2c4>a=5<#;h21=9k4n2c4>c=5<5<5<#;h21=;>4n2c4>4=5<#;h21=;>4n2c4>6=5<#;h21=;>4n2c4>0=5<#;h21=;>4n2c4>2=5<#;h21=;>4n2c4><=5<#;h21=;>4n2c4>g=5<#;h21=;>4n2c4>a=5<#;h21=;>4n2c4>c===6=4>:183!5d938:m6Fm<;%015?4=36=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm76;94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd0?h0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo98b;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f21d290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi;:j50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`43`<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb6:3>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e?1;1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl88383>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg1?;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn:6;:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a3=3=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th<4;4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo978;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg1?i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo97c;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg1?m3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo960;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg1>:3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo964;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg1>>3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?1b3`8:o7>5;h02`?6=3f9;?7>5;|q1```=83;:wS7j;<5;3?>334=3476;;<5;=?>334=3m76;;<5;f?>334=3o76;;<5;`?>334=3i76;;<5;b?>334=2<76;;<5:5?>334=2>76;;<5:7?>334=2876;;<5:1?>334=2:76;;|q1`c6=838pR<:i;<5:2?7492wx>ih>:181[73l27<584>309~w7ba:3:1>vP>4b9>3<2=9:;0q~7}Y9=h01:7<:012?xu5lo>1<7:3;8=6s|2ed6>5<5sW;?5638908274=z{;nm:7>52z\21<=:?0:1=>?4}r0gb2<72;qU=864=6:e>4563ty9hk650;0xZ43034=3i7?<1:p6a`>2909wS?:5:?4e289:7p}=dga94?4|V8?97097a;305>{t:mlo6=4={_365>;0000:?<5rs3fea?6=:rT:9=5279:9567<5>2<6<=>;|q1a56=838pR>m8;<5:3?46k2wx>h>>:1826~X6:916;:951328921?288;70989;314>;0?h0:>=5276`9576<5>=h6<219>32`=9;:01:6?:003?81?93;9<638838265=:?191=?>4=6:7>44734=397?=0:?4<3<6:916;49520f8yv4b8;0;6??t^00`?810?3o370988;g;?81013o37098a;g;?810j3o37098c;g;?810l3o37098e;g;?810n3o370970;g;?81?93o370972;g;?81?;3o370974;g;?81?=3o370976;g;?81??3;9o63889826f=:?131=?m4=6:b>44d34=3n7?=c:?4b288h7097f;31g>;0190:>n52783957e<5>396<2b9>3<3=9;i01:79:00`?xu5m991<739jn6s|2d27>5<5sW;>j6388480eg=z{;o;97>52z\21`=:?1>1?ll4}r0f43<72;qU=8j4=6:0>6ge3ty9i=950;0xZ43d34=3>7=nb:p6`6?2909wS?:b:?4<4<4ik1v?k?9;296~X6>k16;5>53``8yv4b8h0;6?uQ17c8921a2:ki7p}=e1`94?4|V8<27098e;1bf>{t:l:h6=4={_353>;0?m08mo5rs3g3`?6=:rT::;5276a97dd=i6>om;|q1a5`=838pR<8;;<54e?5fj2wx>h??:181[71;27<;44vP>639>32>=;hh0q~7}Y9{<542?46127<4:476:?4<227<45478:?4<=27<4l478:?427<4n478:?427<4h478:?4<`27<5=478:?4=527<5?478:?4=7476:?4=6479:?4=127<59478:?4=127<5;478:?4=3h?;:181810?3;8=6388680eg=z{;o:97>52z?43=<6;816;5653``8yv4b9?0;6?u276;9567<5>226>om;|q1a41=838p1:9n:012?81?i39jn6s|2d3;>5<5s4=9;296~;0?j0:?<5279a97dd32b=9:;01:6k:2ca?xu5m8h1<745634=3i7=nb:p6`7d2909w098f;305>;00o08mo5rs3g2`?6=:r7<4=4>309>3<6=;hh0q~7}:?1;1=>?4=6;2>6ge3ty9i5289:70962;1bf>{t:l8;6=4={<5;7?74927<5>4v38858274=:?0>1?ll4}r0f67<72;q6;5;5123892?22:ki7p}=e3194?4|5>2=6<=>;<5:2?5fj2wx>h<;:1825~;00>0:>=5279:9576<5>226<219>3=e=9;:01:6k:003?81?m3;9<6388g8265=:?0:1=?>4=6;2>44734=2>7?=0:?4=6<6:916;4:5132892?2288;70966;314>;01>08<>5r}c5:7=9{I1`7>"4k8088l:4Zd597~7>2l0m6pg6e;29 6g?20n0b>o8:198m42a290/?l6515g8j6g02910e<:k:18'7d>=9=o0b>o8:098m42d290/?l6515g8j6g02;10e<:m:18'7d>=9=o0b>o8:298m42f290/?l6515g8j6g02=10e<:6:18'7d>=9=o0b>o8:498m43>290/?l6515g8j6g02?10e<;7:18'7d>=9=o0b>o8:698m430290/?l6515g8j6g02110e<;::18'7d>=9=o0b>o8:898m433290/?l6515g8j6g02h10e<;<:18'7d>=9=o0b>o8:c98m435290/?l6515g8j6g02j10e<;>:18'7d>=9=o0b>o8:e98m437290/?l6515g8j6g02l10e<:7:18'7d>=9=o0b>o8:g98m6e02900e<2900e<=9?:0b>o8:098k43b290/?l651728j6g02;10c<;k:18'7d>=9?:0b>o8:298k43d290/?l651728j6g02=10c<;m:18'7d>=9?:0b>o8:498k40e290/?l651728j6g02?10c<8n:18'7d>=9?:0b>o8:698k40>290/?l651728j6g02110c<88:18'7d>=9?:0b>o8:898k401290/?l651728j6g02h10c<8::18'7d>=9?:0b>o8:c98k403290/?l651728j6g02j10c<8<:18'7d>=9?:0b>o8:e98k405290/?l651728j6g02l10c<;n:18'7d>=9?:0b>o8:g98f2?>290:6=4?{%1`5?46i2B8oo5G3b18k77>2900qo96a;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f2?e290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi;4m50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`4=a<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb6;e>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e?h:1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl8a083>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg1f:3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn:o<:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a3d2=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4k<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm7`:94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd0i00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo9na;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg1fk3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo9ne;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg1e83:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo9m2;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg1e<3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo9m6;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg1e03:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo9ma;297?6=8r.8o<4=299K7fd<@:i87)<=1;5f?l46k3:17d<>d;29?j57;3:17p}=e3794?76sW3n709na;:7?81fj32?709nc;:7?81fl32?709ne;:7?81fn32?709m0;:7?81e932?709m2;:7?81e;32?709m4;:7?81e=32?709m6;:7?81e?32?709m8;:7?81e132?7p}=e3494?4|V8>m709m9;305>{t:l8<6=4={_37`>;0j10:?<5rs3g144?:3y]51d<5>h=6<=>;|q1a7g=838pR<:n;<5a1?7492wx>h309~w7c5k3:1>vP>589>3g5=9:;0q~7}Y9<201:l=:012?xu5m;o1<75<5sW;>9638b18274=z{;o8<7>52z\211=:?hl1=>?4}r0f74<72;qU=8=4=6cf>4563ty9i><50;0xZ43534=jh7?<1:p6`542909wS?:1:?4ef<6;81v?k<4;296~X6=916;ll51238yv4b;<0;6?uQ15:892gf289:7p}=e2494?4|V:i<709ma;02g>{t:l9<6=4>2z\265=:?0k1=?>4=6;a>44734=2o7?=0:?4=a<6:916;4k5132892?a288;709n0;314>;0i80:>=527`09576<5>k86<219>3d0=9;:01:o8:003?81f03;9<638a88265=:?kk1>2b9>3de=9;i01:ok:00`?81fm3;9o638ag826f=:?k:1=?m4=6`2>44d34=i>7?=c:?4f6<6:j16;o:513a892d2288h709m6;31g>;0j>0:>n527c:957e<5>h26<;<5b=?5fj2wx>h=n:181[72n27vP>5d9>3d1=;hh0q~7}Y95<5sW;>n638a580eg=z{;o8j7>52z\22g=:?h91?ll4}r0f05<72;qU=;o4=6c1>6ge3ty9i9?50;0xZ40>34=j=7=nb:p6`252909wS?97:?4e5<4ik1v?k;3;296~X6>?16;4h53``8yv4b<=0;6?uQ177892?b2:ki7p}=e5794?4|V8{t:l>=6=4={_357>;01j08mo5rs3g73?6=:rT::?5278`97dd3j6>om;|q1a1?=839:w0969;02=>;0ih03:638a`8;<>;0ih035638ac8;2>;0ik034638ac8;=>;0ij03:638ab8;<>;0ij035638ae8;2>;0im034638ae8;=>;0il03:638ad8;<>;0il035638ag8;2>;0io034638ag8;=>;0j903:638b18;<>;0j9035638b08;2>;0j8034638b08;=>;0j;03:638b38;<>;0j;035638b28;2>;0j:034638b28;=>;0j=03:638b58;<>;0j=035638b48;2>;0j<034638b48;=>;0j?03:638b78;<>;0j?035638b68;2>;0j>034638b68;=>;0j103:638b98;<>;0j1035638b88;2>;0j0034638b88;=>{t:l>j6=4={<5:e?74927v389c8274=:?hh1?ll4}r0f0f<72;q6;4m5123892gd2:ki7p}=e5f94?4|5>3o6<=>;<5b`?5fj2wx>h:j:18181>m3;8=638ad80eg=z{;o?j7>52z?4=c<6;816;lh53``8yv4b=90;6?u27`29567<5>h;6>om;|q1a07=838p1:o>:012?81e939jn6s|2d71>5<5s4=j>7?<1:?4f7<4ik1v?k:3;296~;0i:0:?<527c197dd3d2=9:;01:l;:2ca?xu5m45634=i97=nb:p6`312909w09n6;305>;0j?08mo5rs3g63?6=:r7309>3g1=;hh0q~7}:?h21=>?4=6`;>6ge3ty9i8750;0x92g>289:709m9;1bf>{t:l?j6=4>1z?4ed<6:916;ll5132892gd288;709nd;314>;0il0:>=527`d9576<5>h;6<219>3g5=9;:01:l;:003?81e=3;9<638b78265=:?k=1=?>4=6`;>44734=i57?=0:?4fd<48:1vqo9mb;2960<5:39=wE=l3:&0g4<40Vh953z3:>`5$2c;>5<#;h21=9k4n2c4>5=o6=4+3`:951c5<#;h21=9k4n2c4>7=i6=4+3`:951c54i06b>5<#;h21=9k4n2c4>1=26=4+3`:951c5<#;h21=9k4n2c4>3=5<#;h21=9k4n2c4>==6=4+3`:951c5<#;h21=9k4n2c4>d=5<#;h21=9k4n2c4>f=5<#;h21=9k4n2c4>`=36=4+3`:951c5<5<5<#;h21=;>4n2c4>5=5<#;h21=;>4n2c4>7=54o07`>5<#;h21=;>4n2c4>1=5<#;h21=;>4n2c4>3=5<#;h21=;>4n2c4>==5<#;h21=;>4n2c4>d=6=4+3`:95365<#;h21=;>4n2c4>f=5<#;h21=;>4n2c4>`=5<6290;w)=l1;02e>N4kk1C?n=4o33:>5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb6`f>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e?kl1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl8c183>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg1d93:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn:m=:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a3f5=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4i=6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm7b594?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd0k10;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo9l9;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f2ef290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi;nl50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`4gf<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg1dm3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo9k0;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg1c:3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo9k4;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg1c>3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo9k8;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg1ci3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo9kc;29=?6=8r.8o<45<5<5<53;294~"4k809>55G3b`8L6e43-89=79j;h02g?6=3`8:h7>5;n137?6=3ty9i8l50;32[?b34=hh76;;<5`a?>334=hj76;;<5g4?>334=o=76;;<5g6?>334=o?76;;<5g0?>334=o976;;<5g2?>334=o;76;;<5g334=o576;;<5ge?>334=on76;;<5gg?>33ty9i8m50;0xZ42a34=oo7?<1:p6`3c2909wS?;d:?4`g<6;81v?k:e;296~X6289:7p}=e7294?4|V8>j709k8;305>{t:l<:6=4={_37=>;0l>0:?<5rs3g56?6=:rT:94527e495674?:3y]50><5>n>6<=>;|q1a32=838pR<;8;<5g0?7492wx>h8::181[72=274>309~w7c1>3:1>vP>559>3a4=9:;0q~7}Y9<901:j>:012?xu5m?21<75<5sW;>=638cg8274=z{;o=m7>52z\215=:?jo1=>?4}r0f2g<72;qU=964=6ag>4563ty9i;m50;0xZ6e034=oh7<>c:p6`0c290:>vP>219>3gb=9;:01:lj:003?81en3;9<638c18265=:?j;1=?>4=6a1>44734=h?7?=0:?4g1<6:916;n;5132892e1288;709l7;314>;0k10:>=527b;9576<5>ij6<219>3ab=:8n0q~77|V88h709md;g;?81em3o3709mf;g;?81d83o3709l1;g;?81d:3o3709l3;g;?81d<3o3709l5;g;?81d>3o3709l7;g;?81d03o3709l9;g;?81di3o3709lb;g;?81dk3o3709ld;31g>;0kl0:>n527bd957e<5>n;6<2b9>3a5=9;i01:j;:00`?81c=3;9o638d7826f=:?m=1=?m4=6f;>44d34=o57?=c:?4`d<6:j16;il513a892bd288h7p}=e7d94?4|V8<:709lc;1bf>{t:l=;6=4={_36b>;0kk08mo5rs3g45?6=:rT:9h527bc97ddi26>om;|q1a25=838pR<;l;<5`h9;:181[72j27vP>6c9>3f0=;hh0q~7}Y9?k01:m::2ca?xu5m>=1<75<5sW;=;638c280eg=z{;o<57>52z\223=:?j81?ll4}r0f3d<72;qU=;;4=6a2>6ge3ty9i:l50;0xZ40334=h<7=nb:p6`1d2909wS?93:?4fc<4ik1v?k8d;296~X6>;16;ok53``8yv4b?l0;6?uQ14c892dc2:ki7p}=e6d94?56s4=io7<>9:?4ga27272727478:?4`62727272772909w09md;305>;0km08mo5rs3g;5?6=:r7309>3fc=;hh0q~7}:?kl1=>?4=6ae>6ge3ty9i5=50;0x92e7289:709k0;1bf>{t:l2?6=4={<5`5?74927v38c38274=:?m81?ll4}r0f<3<72;q6;n=5123892b42:ki7p}=e9594?4|5>i?6<=>;<5g0?5fj2wx>h67:18181d=3;8=638d480eg=z{;o357>52z?4g3<6;816;i853``8yv4b0h0;6?u27b59567<5>n<6>om;|q1a=d=838p1:m7:012?81c039jn6s|2d:`>5<5s4=h57?<1:?4`<<4ik1v?k7d;296~;0kh0:?<527ec97dd3fd=9:;01:jm:2ca?xu5m1l1<745634=oo7=nb:p6`?7290:=v38ce8265=:?jo1=?>4=6ae>44734=o<7?=0:?4`4<6:916;i<5132892b4288;709k4;314>;0l<0:>=527e49576<5>n<6<219>3ag=9;:01:jm:003?81ck3;9<638de8046=zuk=oi7>524816?51sA9h?6*xo>m3:1(>o7:8f8j6g02910e<:i:18'7d>=9=o0b>o8:198m42c290/?l6515g8j6g02810e<:l:18'7d>=9=o0b>o8:398m42e290/?l6515g8j6g02:10e<:n:18'7d>=9=o0b>o8:598m42>290/?l6515g8j6g02<10e<;6:18'7d>=9=o0b>o8:798m43?290/?l6515g8j6g02>10e<;8:18'7d>=9=o0b>o8:998m432290/?l6515g8j6g02010e<;;:18'7d>=9=o0b>o8:`98m434290/?l6515g8j6g02k10e<;=:18'7d>=9=o0b>o8:b98m436290/?l6515g8j6g02m10e<;?:18'7d>=9=o0b>o8:d98m42?290/?l6515g8j6g02o10e>m8:188m4472900e>l6:188m44d2900c<8>:18'7d>=9?:0b>o8:198k43a290/?l651728j6g02810c<;j:18'7d>=9?:0b>o8:398k43c290/?l651728j6g02:10c<;l:18'7d>=9?:0b>o8:598k43e290/?l651728j6g02<10c<8m:18'7d>=9?:0b>o8:798k40f290/?l651728j6g02>10c<86:18'7d>=9?:0b>o8:998k400290/?l651728j6g02010c<89:18'7d>=9?:0b>o8:`98k402290/?l651728j6g02k10c<8;:18'7d>=9?:0b>o8:b98k404290/?l651728j6g02m10c<8=:18'7d>=9?:0b>o8:d98k43f290/?l651728j6g02o10n:ji:182>5<7s-9h=7<>a:J0gg=O;j90c??6:188yg1b83:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn:k>:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a3`4=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4o>6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm7d494?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd0m>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo9j8;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f2c>290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi;ho50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`4ag<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb6gg>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e?lo1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl8eg83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg1a83:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo9i2;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg1a<3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo9i6;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg1a03:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo9ia;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg1ak3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo9ie;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg>783:1?7>50z&0g4<5:11C?nl4H2a0?!4593=n7d<>c;29?l46l3:17b=?3;29?xu5m0;1<7?>{_;f?81a832?709i1;:7?81a:32?709i3;:7?81a<32?709i5;:7?81a>32?709i7;:7?81a032?709i9;:7?81ai32?709ib;:7?81ak32?709id;:7?81am32?709if;:7?xu5m081<75<5sW;?h638fd8274=z{;o287>52z\20f=:?on1=>?4}r0f=0<72;qU=9l4=6d`>4563ty9i4850;0xZ42f34=mn7?<1:p6`?02909wS?;9:?4bd<6;81v?k68;296~X6=016;k751238yv4b100;6?uQ14:892`?289:7p}=e8c94?4|V8?<709i7;305>{t:l3i6=4={_361>;0n?0:?<5rs3g:g?6=:rT:99527g79567l?6<=>;|q1ah7i:181[72927309~w7cf83:1>vP>519>3c7=9:;0q~7}Y9=201:h?:012?xu5mh81<77838:o6s|2dc0>5<6:rT:>=527d29576<5>o:6<4>219>3`2=9;:01:k::003?81b>3;9<638e68265=:?l21=?>4=6g:>44734=nm7?=0:?4ag<6:916;hm5132892cc288;709je;314>;0mo0:>=52812964b7k7;<5f7?c?34=n87k7;<5f1?c?34=n:7k7;<5f3?c?34=n47k7;<5f=?c?34=nm7k7;<5ff?c?34=no7k7;<5f`?c?34=ni7k7;<5fb?c?34=m<7?=c:?4b4<6:j16;k<513a892`4288h709i4;31g>;0n<0:>n527g4957e<5>l<6<2b9>3cg=9;i01:hm:00`?81ak3;9o638fe826f=:?oo1=?m4=6de>44d3ty9il;50;0xZ40634=nj7=nb:p6`g12909wS?:f:?4a`<4ik1v?kn7;296~X6=l16;hj53``8yv4bi10;6?uQ14f892cd2:ki7p}=e`;94?4|V8?h709jb;1bf>{t:lkj6=4={_36f>;0mh08mo5rs3gbf?6=:rT::o527d;97ddo36>om;|q1adb=838pR<86;<5f3?5fj2wx>hoj:181[71?27vP>679>3`3=;hh0q~7}Y9??01:k;:2ca?xu5mk;1<75<5sW;=?638e380eg=z{;oi?7>52z\227=:?l;1?ll4}r0ff1<72;qU=8o4=6g3>6ge3ty9io;50;1281cn38:5638f18;2>;0n9034638f18;=>;0n803:638f08;<>;0n8035638f38;2>;0n;034638f38;=>;0n:03:638f28;<>;0n:035638f58;2>;0n=034638f58;=>;0n<03:638f48;<>;0n<035638f78;2>;0n?034638f78;=>;0n>03:638f68;<>;0n>035638f98;2>;0n1034638f98;=>;0n003:638f88;<>;0n0035638f`8;2>;0nh034638f`8;=>;0nk03:638fc8;<>;0nk035638fb8;2>;0nj034638fb8;=>;0nm03:638fe8;<>;0nm035638fd8;2>;0nl034638fd8;=>;0no03:638fg8;<>;0no0356s|2d`5>5<5s4=n<7?<1:?4b5<4ik1v?km7;296~;0m80:?<527g397dd3`4=9:;01:h=:2ca?xu5mk31<745634=m?7=nb:p6`df2909w09j4;305>;0n=08mo5rs3gaf?6=:r7309>3c3=;hh0q~7}:?l<1=>?4=6d5>6ge3ty9ioj50;0x92c0289:709i7;1bf>{t:lhn6=4={<5fv38e88274=:?o31?ll4}r0fg5<72;q6;ho5123892`f2:ki7p}=eb394?4|5>oi6<=>;<5ef?5fj2wx>hm=:18181bk3;8=638fb80eg=z{;oh?7>52z?4aa<6;816;kj53``8yv4bk=0;6?u27dg9567<5>ln6>om;|q1af3=838p1:ki:012?81an39jn6s|2da5>5<69r7219>3c7=9;:01:h=:003?81a;3;9<638f58265=:?o?1=?>4=6d5>44734=m;7?=0:?4b=<6:916;k75132892`f288;709ib;314>;0nj0:>=527gf9576<5>ln6<793:1>84=2;15M5d;2.8o<4<4`68^`1=;r;26h4i:|k:a?6=,:k364j4n2c4>5=m6=4+3`:951c5<#;h21=9k4n2c4>4=h6=4+3`:951c5<#;h21=9k4n2c4>6=j6=4+3`:951c5<#;h21=9k4n2c4>0=5<#;h21=9k4n2c4>2=5<#;h21=9k4n2c4><=5<#;h21=9k4n2c4>g=5<#;h21=9k4n2c4>a=5<#;h21=9k4n2c4>c=5<5<5<#;h21=;>4n2c4>4=5<#;h21=;>4n2c4>6=5<#;h21=;>4n2c4>0=5<#;h21=;>4n2c4>2=5<#;h21=;>4n2c4><=5<#;h21=;>4n2c4>g=5<#;h21=;>4n2c4>a=5<#;h21=;>4n2c4>c=:183!5d938:m6Fm<;%015?4>o6:90;66g>3083>>i4ik0;66sm81794?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd?8?0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo6?7;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f=6?290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi4=750;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`;4d<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb92`>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e09n1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl70d83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg>7n3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn5??:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a<47=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th3=?4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo6>4;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg>6>3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo6>8;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg>6i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo6>c;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg>6m3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo6=0;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg>5:3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?1b3`8:o7>5;h02`?6=3f9;?7>5;|q1af1=83;:wS7j;<:27?>3342:876;;<:21?>3342::76;;<:23?>3342:476;;<:2=?>3342:m76;;<:2f?>3342:o76;;<:2`?>3342:i76;;<:2b?>33429<76;;<:15?>33429>76;;|q1af>=838pR<:i;<:16?7492wx>hm6:181[73l273><4>309~w7cdi3:1>vP>4b9><76=9:;0q~7}Y9=h015?i:012?xu5mji1<76m3;8=6s|2dag>5<5sW;?56371e8274=z{;ohi7>52z\21<=:08i1=>?4}r0fgc<72;qU=864=93a>4563ty9ii>50;0xZ430342:m7?<1:p6`b62909wS?:5:?;5<<6;81v?kk2;296~X6==164<651238yv4bl:0;6?uQ14189=70289:7p}=ee694?4|V8?9706>6;305>{t:ln>6=4={_365>;?9<0:?<5rs3gg2?6=:rT:9=528069567<51;86<=>;|q1aa>=838pR>m8;<:17?46k2wx>hj6:1826~X6:9164==513289=63288;706?5;314>;?8?0:>=528159576<51:36<219><5d=9;:015>l:003?8>7l3;9<6370d8265=:09l1=?>4=933>447342:=7?=0:?;57<6:9164?=520f8yv4blh0;6??t^00`?8>7;3o3706?4;g;?8>7=3o3706?6;g;?8>7?3o3706?8;g;?8>713o3706?a;g;?8>7j3o3706?c;g;?8>7l3o3706?e;g;?8>7n3o3706>0;g;?8>693o3706>2;g;?8>6;3;9o63715826f=:08?1=?m4=935>44d342:;7?=c:?;5=<6:j164<7513a89=7f288h706>b;31g>;?9j0:>n5280f957e<51;n6<=4>2b9><77=9;i015<=:00`?xu5mmh1<76:39jn6s|2df`>5<5sW;>j6371080eg=z{;ooh7>52z\21`=:08:1?ll4}r0f``<72;qU=8j4=92e>6ge3ty9iih50;0xZ43d342;i7=nb:p6`c72909wS?:b:?;4a<4ik1v?kj1;296~X6>k164=m53``8yv4bm;0;6?uQ17c89=6e2:ki7p}=ed194?4|V8<2706?a;1bf>{t:lo?6=4={_353>;?8008mo5rs3gf1?6=:rT::;5281:97ddom;|q1a`1=838pR<8;;<:32?5fj2wx>hk7:181[71;273<84vP>639><52=;hh0q~7}Y9<:2ca?xu5mlh1<7=>{<:36?461273=>476:?;56479:?;51273=9478:?;51273=;478:?;53273=5478:?;5=273=l478:?;5d273=n478:?;5f273=h478:?;5`273>=478:?;65<476:?;64<479:?;67273>?478:?;67hkl:1818>7;3;8=6371280eg=z{;onh7>52z?;41<6;8164<:53``8yv4bml0;6?u28179567<51;>6>om;|q1a``=838p15>9:012?8>6>39jn6s|2dd3>5<5s42;;7?<1:?;52<4ik1v?ki1;296~;?810:?<5280:97dd<5?=9:;015?6:2ca?xu5mo91<7456342:m7=nb:p6``32909w06?b;305>;?9k08mo5rs3ge1?6=:r73309><4e=;hh0q~7}:09n1=>?4=93g>6ge3ty9ik950;0x9=6b289:706>e;1bf>{t:ll36=4={<:3b?749273=k4v37118274=:0;:1?ll4}r0fbd<72;q64;<:16?5fj2wx>hhl:1825~;?9:0:>=528069576<51;>6<219><4>=9;:015?6:003?8>6i3;9<6371c8265=:08i1=?>4=93g>447342:i7?=0:?;5c<6:9164?>513289=46288;706=2;314>;?::08<>5r}c:10?6=:<09>7=9{I1`7>"4k8088l:4Zd597~7>2l0m6pg6e;29 6g?20n0b>o8:198m42a290/?l6515g8j6g02910e<:k:18'7d>=9=o0b>o8:098m42d290/?l6515g8j6g02;10e<:m:18'7d>=9=o0b>o8:298m42f290/?l6515g8j6g02=10e<:6:18'7d>=9=o0b>o8:498m43>290/?l6515g8j6g02?10e<;7:18'7d>=9=o0b>o8:698m430290/?l6515g8j6g02110e<;::18'7d>=9=o0b>o8:898m433290/?l6515g8j6g02h10e<;<:18'7d>=9=o0b>o8:c98m435290/?l6515g8j6g02j10e<;>:18'7d>=9=o0b>o8:e98m437290/?l6515g8j6g02l10e<:7:18'7d>=9=o0b>o8:g98m6e02900e<2900e<=9?:0b>o8:098k43b290/?l651728j6g02;10c<;k:18'7d>=9?:0b>o8:298k43d290/?l651728j6g02=10c<;m:18'7d>=9?:0b>o8:498k40e290/?l651728j6g02?10c<8n:18'7d>=9?:0b>o8:698k40>290/?l651728j6g02110c<88:18'7d>=9?:0b>o8:898k401290/?l651728j6g02h10c<8::18'7d>=9?:0b>o8:c98k403290/?l651728j6g02j10c<8<:18'7d>=9?:0b>o8:e98k405290/?l651728j6g02l10c<;n:18'7d>=9?:0b>o8:g98f=42290:6=4?{%1`5?46i2B8oo5G3b18k77>2900qo6=6;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f=40290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi4?650;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`;6<<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb90a>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e0;i1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl72e83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg>5m3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn55<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a<66=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th3?<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm82694?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd?;<0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo6<6;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg>403:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo65<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg>4k3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo65<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg>383:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo6;2;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg>3<3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo6;6;297?6=8r.8o<4=299K7fd<@:i87)<=1;5f?l46k3:17d<>d;29?j57;3:17p}=egf94?76sW3n706<6;:7?8>4?32?706<8;:7?8>4132?7064j32?7064l32?7064n32?706;0;:7?8>3932?706;2;:7?8>3;32?706;4;:7?8>3=32?7p}=egg94?4|V8>m706;5;305>{t:llm6=4={_37`>;?<=0:?<5rs3d34?6=:rT:8n52851956796<=>;|q1b54=838pR<:n;<:75?7492wx>k><:181[7312738=4>309~w7`7<3:1>vP>589><6`=9:;0q~7}Y9<2015=j:012?xu5n9<1<74l3;8=6s|2g24>5<5sW;>96373b8274=z{;l;47>52z\211=:0:h1=>?4}r0e4<<72;qU=8=4=91b>4563ty9j=o50;0xZ435342857?<1:p6c6e2909wS?:1:?;7=<6;81v?h?c;296~X6=9164>951238yv4a8m0;6?uQ15:89=51289:7p}=f1g94?4|V:i<706;6;02g>{t:o:m6=4>2z\265=:0;<1=?>4=904>447342947?=0:?;6<<6:9164?o513289=4e288;706=c;314>;?:m0:>=5283g9576<518m6<219><64=9;:015=<:003?8>4<3;9<637348265=:0=<1>2b9><6>=9;i015=6:00`?8>4i3;9o6373c826f=:0:i1=?m4=91g>44d3428i7?=c:?;7c<6:j1649>513a89=26288h706;2;31g>;?<:0:>n52856957e<51>>6<;<:01?5fj2wx>k?=:181[72n273?94vP>5d9><65=;hh0q~7}Y94939jn6s|2g35>5<5sW;>n6373180eg=z{;l:;7>52z\22g=:0;l1?ll4}r0e5=<72;qU=;o4=90f>6ge3ty9j<750;0xZ40>3429h7=nb:p6c7f2909wS?97:?;6f<4ik1v?h>b;296~X6>?164?l53``8yv4a9j0;6?uQ17789=4f2:ki7p}=f0f94?4|V8{t:o;n6=4={_357>;?:108mo5rs3d2b?6=:rT::?5283597dd=4?:3y]50g<518=6>om;|q1b77=839:w06=5;02=>;?;?03:637378;<>;?;?035637368;2>;?;>034637368;=>;?;103:637398;<>;?;1035637388;2>;?;0034637388;=>;?;h03:6373`8;<>;?;h0356373c8;2>;?;k0346373c8;=>;?;j03:6373b8;<>;?;j0356373e8;2>;?;m0346373e8;=>;?;l03:6373d8;<>;?;l0356373g8;2>;?;o0346373g8;=>;?<903:637418;<>;?<9035637408;2>;?<8034637408;=>;?<;03:637438;<>;?<;035637428;2>;?<:034637428;=>;?<=03:637458;<>;?<=035637448;2>;?<<034637448;=>{t:o896=4={<:12?749273?;4v37268274=:0:=1?ll4}r0e61<72;q64?6512389=5?2:ki7p}=f3794?4|51826<=>;<:0=?5fj2wx>k<9:1818>5i3;8=6373`80eg=z{;l9;7>52z?;6g<6;8164>l53``8yv4a:10;6?u283a9567<519h6>om;|q1b7?=838p154l39jn6s|2g0b>5<5s429i7?<1:?;7`<4ik1v?h=b;296~;?:o0:?<5282d97ddn4?:3y><66=9:;015:?:2ca?xu5n;n1<7456342?=7=nb:p6c4b2909w06<2;305>;?<;08mo5rs3d1b?6=:r73?>4>309><15=;hh0q~7}:0:>1=>?4=967>6ge3ty9j>?50;0x9=52289:706;5;1bf>{t:o996=4>1z?;73<6:9164>9513289=5?288;706<9;314>;?;h0:>=5282`9576<519h6<219><6`=9;:015:?:003?8>393;9<637438265=:0=91=?>4=967>447342?97?=0:?;03<48:1vqo6;7;2960<5:39=wE=l3:&0g4<40Vh953z3:>`5$2c;>5<#;h21=9k4n2c4>5=o6=4+3`:951c5<#;h21=9k4n2c4>7=i6=4+3`:951c54i06b>5<#;h21=9k4n2c4>1=26=4+3`:951c5<#;h21=9k4n2c4>3=5<#;h21=9k4n2c4>==6=4+3`:951c5<#;h21=9k4n2c4>d=5<#;h21=9k4n2c4>f=5<#;h21=9k4n2c4>`=36=4+3`:951c5<5<5<#;h21=;>4n2c4>5=5<#;h21=;>4n2c4>7=54o07`>5<#;h21=;>4n2c4>1=5<#;h21=;>4n2c4>3=5<#;h21=;>4n2c4>==5<#;h21=;>4n2c4>d=6=4+3`:95365<#;h21=;>4n2c4>f=5<#;h21=;>4n2c4>`=5<6290;w)=l1;02e>N4kk1C?n=4o33:>5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb96b>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e0=h1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl74b83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg>3l3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn5:j:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a<1`=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th39=4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm84194?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd?==0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo6:5;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f=31290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi48950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`;1=<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<57>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg>2i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo6:c;29=?6=8r.8o<45<5<5<h7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg>2m3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo690;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg>1:3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo694;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg>1>3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo698;29=?6=8r.8o<45<5<5<53;294~"4k809>55G3b`8L6e43-89=79j;h02g?6=3`8:h7>5;n137?6=3ty9j>=50;32[?b342>576;;<:6e?>3342>n76;;<:6g?>3342>h76;;<:6a?>3342>j76;;<:54?>3342==76;;<:56?>3342=?76;;<:50?>3342=976;;<:52?>3342=;76;;<:533ty9j>:50;0xZ42a342=47?<1:p6c522909wS?;d:?;22<6;81v?h<6;296~X60;6?uQ15`89=02289:7p}=f2:94?4|V8>j70694;305>{t:o926=4={_37=>;?>:0:?<5rs3d0e?6=:rT:94528709567<51<:6<=>;|q1b6e=838pR<;8;<:54?7492wx>k=k:181[72=2739k4>309~w7`4m3:1>vP>559><0c=9:;0q~7}Y9<9015;k:012?xu5n=:1<72k3;8=6s|2g62>5<5sW;>=6375c8274=z{;l?>7>52z\215=:0?4}r0e06<72;qU=964=97:>4563ty9j9:50;0xZ6e0342=57<>c:p6c22290:>vP>219><1?=9;:015:n:003?8>3j3;9<6374b8265=:0=n1=?>4=96f>447342?j7?=0:?;15<6:91648?513289=35288;706:3;314>;?==0:>=528479576<51?=6<219><3?=:8n0q~77|V88h706;9;g;?8>3i3o3706;b;g;?8>3k3o3706;d;g;?8>3m3o3706;f;g;?8>283o3706:1;g;?8>2:3o3706:3;g;?8>2<3o3706:5;g;?8>2>3o3706:7;g;?8>203o3706:9;31g>;?=h0:>n5284`957e<51?h6<2b9><0`=9;i0158?:00`?8>193;9o63763826f=:0?91=?m4=947>44d342=97?=c:?;23<6:j164;9513a89=0?288h7p}=f5594?4|V8<:706:8;1bf>{t:o>36=4={_36b>;?=>08mo5rs3d7=?6=:rT:9h5284497dd6>om;|q1b1d=838pR<;l;<:60?5fj2wx>k:l:181[72j2739>4vP>6c9><04=;hh0q~7}Y9?k015;>:2ca?xu5n=l1<72839jn6s|2g73>5<5sW;=;6374g80eg=z{;l>=7>52z\223=:0=o1?ll4}r0e17<72;qU=;;4=96g>6ge3ty9j8=50;0xZ403342?o7=nb:p6c332909wS?93:?;0g<4ik1v?h:5;296~X6>;1649o53``8yv4a=?0;6?uQ14c89=2>2:ki7p}=f4594?56s42?47<>9:?;1<27394478:?;1<2739o478:?;1g2739i478:?;1a2739k478:?;1c273:<478:?;24273:>478:?;26273:8478:?;20273::478:?;22;?=008mo5rs3d6=?6=:r738l4>309><0g=;hh0q~7}:0=h1=>?4=97a>6ge3ty9j8l50;0x9=2d289:706:c;1bf>{t:o?h6=4={<:7`?7492739i4v374d8274=:0;<:54?5fj2wx>k8?:1818>293;8=6376080eg=z{;l==7>52z?;17<6;8164;<53``8yv4a>;0;6?u28419567<51<86>om;|q1b35=838p15;;:012?8>1<39jn6s|2g47>5<5s42>97?<1:?;20<4ik1v?h95;296~;?=?0:?<5287497dd<01=9:;01588:2ca?xu5n?=1<7456342=47=nb:p6c0?290:=v37588265=:04=97a>447342>o7?=0:?;1a<6:91648k513289=3a288;70690;314>;?>80:>=528709576<51<86<219><30=9;:01588:003?8>103;9<637688046=zuk2=m7>53d80o8:198md6=83.8m54n1:l0e2<632c:;84?:%1b32c:5o4?:%1b0:9j53e=83.8m54>759m7d1=9810el650;&0e=4=5<5<5<#;h21=l84n2c4>4=5<#;h21=l84n2c4>6=5<#;h21=l84n2c4>0=5<#;h21=l84n2c4>2=5<#;h21=l84n2c4><=5<#;h21=l84n2c4>g=5<#;h21=l84n2c4>a=5<#;h21=l84n2c4>c=4;n3:a?6=,:k3632e:jk4?:%1b0:9l5a6=83.8m54>d99m7d1=9810n58m:182>5<7s-9h=7<>a:J0gg=O;j90c??6:188yg>1k3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn58k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a<3c=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th3:k4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm86094?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd??:0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo684;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f=12290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi4:850;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`;32<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb95:>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e0>k1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl77c83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg>0k3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn59k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a<2c=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th3;k4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm89094?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd?0:0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo674;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f=>2290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi45850;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`;<2<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb9::>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e01k1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl78c83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg>?k3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn56k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a<=c=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th34k4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo661;29`?6=8r.8o<45<6=44i325>5<5<5<5<7>5d;294~"4k808mh5G3b`8L6e43-89=794i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg>>;3:1h7>50z&0g4<4il1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo665;29`?6=8r.8o<45<6=44i325>5<5<5<5<5d;294~"4k808mh5G3b`8L6e43-89=794i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg>>?3:1h7>50z&0g4<4il1C?nl4H2a0?!4593=0e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?31<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo669;29`?6=8r.8o<45<6=44i325>5<5<5<5<5d;294~"4k808mh5G3b`8L6e43-89=7;4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg>>j3:1h7>50z&0g4<4il1C?nl4H2a0?!4593?0e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?31<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo66d;29`?6=8r.8o<45<6=44i325>5<5<5<5<5d;294~"4k808mh5G3b`8L6e43-89=7;4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg>>n3:1h7>50z&0g4<4il1C?nl4H2a0?!4593?0e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?31<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo6n1;29`?6=8r.8o<45<6=44i325>5<5<5<5<7>53;294~"4k809>55G3b`8L6e43-89=79j;h02g?6=3`8:h7>5;n137?6=3ty9j;750;30[g53422<769;<::5?>13422>769;<::7?>134228769;<::1?>13422:769;<::3?>134224769;<::=?>13422m769;<::f?>13422o769;<::`?>13422i769;<::b?>1342j<769;<:b5?>13ty9j;o50;30[g73422<76;;<::5?>33422>76;;<::7?>33422876;;<::1?>33422:76;;<::3?>33422476;;<::=?>33422m76;;<::f?>33422o76;;<::`?>33422i76;;<::b?>3342j<76;;<:b5?>33ty9j;l50;0xZ412342j=7?<1:p6c0d2909wS?83:?;e5<6;81v?h9d;296~X6?;1644h51238yv4a>l0;6?uQ16389=?b289:7p}=f7d94?4|V8=;7066d;305>{t:o=;6=4={_35b>;?1j0:?<5rs3d45?6=:rT::h5288;9567;|q1b25=838pR<7k;<::e?7492wx>k9;:181[7>k273554>309~w7`0=3:1>vP>9c9><<1=9:;0q~7}Y90k01579:012?xu5n>=1<7>=3;8=6s|2g5;>5<5sW;24637958274=z{;l<57>52z\2<`=:0091=>?4}r0e3d<72;qU=5=4=9;3>4563ty9j:l50;0xZ41?3422>7?<1:p6c1d2909wS?9c:?;=4<6;81v?h8d;2956}Yi11644>521489=?62;:=70662;032>;?1:09<;528869650<513>6?>9;<::2?47>2735:4=079><<>=:9<01576:325?8>>i38;:6379c8143=:00i1>=84=9;g>7613422i7521489=g62;:=7p}=f6g94?74sWk=70660;031>;?1809<8528809653<51386?>:;<::0?47=273584=049><<0=:9?01578:326?8>>038;9637988140=:00k1>=;4=9;a>7623422o7706n0;031>;?i809<85rs3d4b?6=:rT8o5528`0964e;??80:>=528609576<51=86<219><20=9;:01598:003?8>003;9<637788265=:0>k1=?>4=95a>447342;?080:>=528909576<51286<219><=0=9;:01568:003?8>?03;9<637888265=:01k1=?>4=9:a>4473423o7?=0:?;a288;706n2;02`>{t:o2:6=4<7z\26f=:0?i1i55287f9a==:0?o1i55287d9a==:0>:1i5528639a==:0>81i5528619a==:0>>1i5528679a==:0><1i5528659a==:0>21i55286;9a==:0>k1i55286`9a==:0>i1i55286f9a==:0>o1i55286d9a==:01:1i5528939a==:0181i5528919a==:01>1i5528979a==:01<1i5528959a==:0121i55289;9a==:01k1i55289`9a==:01i1i55289f9a==:01o1i55289d9a==:00:1?n84=9;2>6e13422>7=l6:?;=6<4k?1644:53b489=?22:i=70666;1`2>;?1>08o;5288:97f0<51326>m9;<::e?5d>2735o4<>m39h:6379g80g3=:0h:1?n84=9c2>6e13ty9j5<50;0xZ4g034242909wS?n5:?;3f<4ik1v?h74;296~X6i=164:l53``8yv4a0<0;6?uQ1`189=1f2:ki7p}=f9494?4|V8k970689;1bf>{t:o2<6=4={_3b5>;??108mo5rs3d;om;|q1b=g=838pRk6m:181[7dm273;94vP>ce9><25=;hh0q~7}Y9ji0159=:2ca?xu5n1o1<70939jn6s|2g:e>5<5sW;hm6377180eg=z{;l2<7>52z\2g5=:0?l1?ll4}r0e=4<72;qU=o;4=94f>6ge3ty9j4<50;0xZ4gf342=h7=nb:p6c?42909wS?6e:?;2f<4ik1v?h64;296~X6l01645h53``8yv4a1<0;6?uQ1e589=>b2:ki7p}=f8494?4|V8n=7067d;1bf>{t:o3<6=4={_3g1>;?0j08mo5rs3d:om;|q1bk7m:181[7c9273454k3:1>vP=009><=1=;hh0q~7}Y:9:01569:2ca?xu5n0o1<7?=39jn6s|2g;e>5<5sW;mi6378580eg=z{;lj<7>52z\2ba=:0191?ll4}r0ee4<72;qU=km4=9:1>6ge3ty9jl<50;0xZ4`53423=7=nb:p6cg42909wS?j7:?;<5<4ik1v?hn4;296~X6lj164:h53``8yv4ai<0;6?uQ1e289=1b2:ki7p}=f`494?2>s42=n7<>9:?;=51644>521:89=?62120157>:9;89=?62;:<70661;03<>;?1;034637938;=>;?1;09<:52880965><51386564=9;0>=?<51386?>8;<::7?47027359478:?;=1<<2=:920157::9:89=?22130157::324?8>>=38;4637978;<>;?1?035637978142=:00<1>=64=9;4>=><513<6574=9;4>7603422;716446521:89=?>21201576:9;89=?>2;:<70669;03<>;?1h0346379`8;=>;?1h09<:5288c965><513i6564=9;a>=?<513i6?>8;<::f?4702735n478:?;=f<>l38;46379d8;<>;?1l0356379d8142=:00o1>=64=9;e>=><513m6574=9;e>7603422j7164l>521:89=g6212015o>:9;89=g62;:<706n1;03<>{t:ok<6=4={<:5g?7492735<4v376e8274=:0081?ok4}r0ee<<72;q64;k512389=?72:hn7p}=f`c94?4|51;<::7?5em2wx>kom:1818>083;8=6379580f`=z{;ljo7>52z?;34<6;81644;53cg8yv4aim0;6?u28609567<513=6>lj;|q1bdc=838p159<:012?8>>?39ii6s|2gce>5<5s42<87?<1:?;==<4jl1v?hm0;296~;??<0:?<5288c97gc<20=9:;0157m:2`f?xu5nk81<7456342257=me:p6cd42909w0688;305>;?1j08nh5rs3da0?6=:r73;44>309><7}:0>k1=>?4=9;f>6db3ty9jo850;0x9=1e289:7066f;1aa>{t:oh<6=4={<:4g?749273m=4v377e8274=:0h;1?ok4}r0ef<<72;q64:k512389=?62;:?7p}=fcc94?4|51=m6<=>;<::6?47<2wx>klm:1818>?83;8=637918141=z{;lio7>52z?;<4<6;81644=52168yv4ajm0;6?u28909567<513?6?>;;|q1bgc=838p156<:012?8>>=38;86s|2g`e>5<5s42387?<1:?;=3<58=1v?hl0;296~;?0<0:?<528859652<=0=9:;01577:327?xu5nj81<74563422m7;?1k09<95rs3d`0?6=:r73444>309><0q~7}:01k1=>?4=9;`>7633ty9jn850;0x9=>e289:7066d;030>{t:oi<6=4={<:;g?7492735h4=059~w7`d03:1>v378e8274=:00l1>=:4}r0eg<<72;q645k512389=g72;:?7p}=fbc94?4|512m6<=>;<:b5?47<2wx>kmm:1827~;?1908o:5288397f1<51396>m8;<::7?5d?273594<<3=;j=01579:2a4?8>>?39h;6379980g2=:0031?n94=9;b>6e03422n7=l7:?;=f<4k>1644j53b589=?b2:i<7066f;1`3>;?i908o:528`397f1<51k96>><;|a6562yK7f5<,:i:6c:Xf3?3|<<0:87:9:0595=h4i>0;76gn0;29 6g?2h;0b>o8:098m412290/?l651668j6g02910e<9<:18'7d>=9>>0b>o8:098m415290/?l651668j6g02;10e<9>:18'7d>=9>>0b>o8:298m417290/?l651668j6g02=10e<8i:18'7d>=9>>0b>o8:498m40b290/?l651668j6g02?10e<8k:18'7d>=9>>0b>o8:698m4?c290/?l651668j6g02110e<7l:18'7d>=9>>0b>o8:898m4?e290/?l651668j6g02h10e<7n:18'7d>=9>>0b>o8:c98m4?>290/?l651668j6g02j10e<77:18'7d>=9>>0b>o8:e98m4>b290/?l651668j6g02l10e<6<:18'7d>=9>>0b>o8:g98m41?290/?l651668j6g028:07d?9c;29 6g?28=?7c=n7;32?>of03:1(>o7:`58j6g02910el850;&0e=;:k0g=<722c:>=4?::k0f<<722c:>n4?::m2e2<72-9j47?n6:l0e2<732e:m84?:%1b2d8m:4>;:m2e1<72-9j47?n6:l0e2<532e:m>4?:%1b2d8m:4<;:m2e7<72-9j47?n6:l0e2<332e:m<4?:%1b2d8m:4:;:m2e5<72-9j47?n6:l0e2<132e:5k4?:%1b2d8m:48;:m2gc<72-9j47?n6:l0e22d8m:46;:m2ga<72-9j47?n6:l0e22d8m:4m;:m2gg<72-9j47?n6:l0e22d8m:4k;:m2g5<72-9j47?n6:l0e22d8m:4i;:m2ed<72-9j47?n6:l0e2<6821d=4k50;&0e=<6i?1e?l951098k4b>290/?l651e:8j6g02910c=9m20b>o8:098k4b1290/?l651e:8j6g02;10c=9m20b>o8:298k4b3290/?l651e:8j6g02=10c=9m20b>o8:498k4b5290/?l651e:8j6g02?10c:18'7d>=9m20b>o8:698k766290/?l651e:8j6g02110c?>?:18'7d>=9m20b>o8:898k4`a290/?l651e:8j6g02h10c=9m20b>o8:c98k4`c290/?l651e:8j6g02j10c=9m20b>o8:e98k4`5290/?l651e:8j6g02l10c=9m20b>o8:g98k4bd290/?l651e:8j6g028:07b?k0;29 6g?28n37c=n7;32?>d?i=0;6<4?:1y'7f7=:8k0D>mm;I1`7>i5900;66sm8`794?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd?i?0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo6n7;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f=g?290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi4l750;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`;ed<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb9c`>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e0hn1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl7ad83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg>fn3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn5l?:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th3n?4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm8c794?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd?j?0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo6m7;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f=d?290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi4o750;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`;fd<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb9``>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e0kn1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl7bd83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg>en3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn5m?:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th3o?4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm8b794?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd?k?0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo6l7;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f=e?290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi4n750;f94?6|,:i:6>oj;I1`f>N4k:1/>??56:k;0?6=3`2=6=44i9:94?=n000;66g>3083>>o58<0;66g=0783>>o58>0;66g=0983>>o4k?0;66g>i4jl0;66a=0583>>{e0jk1<7j50;2x 6e62:kn7E=lb:J0g6=#:;;1:6g74;29?l>12900e5650;9j<<<722c:?<4?::k140<722c9<;4?::k142<722c9<54?::k0g3<722c8o:4?::m0f`<722e9<94?::at$2a2>6gb3A9hn6F2c387>5;h:5>5<>o6;80;66g=0483>>o58?0;66g=0683>>o5810;66g>o4k>0;66a>i58=0;66sm8ba94?b=83:p(>m>:2cf?M5dj2B8o>5+23391>o?<3:17d69:188m=>=831b444?::k274<722c9<84?::k143<722c9<:4?::k14=<722c8o;4?::k0g2<722e8nh4?::m141<722wi4nj50;f94?6|,:i:6>oj;I1`f>N4k:1/>??56:k;0?6=3`2=6=44i9:94?=n000;66g>3083>>o58<0;66g=0783>>o58>0;66g=0983>>o4k?0;66g>i4jl0;66a=0583>>{e0jo1<7j50;2x 6e62:kn7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:?<4?::k140<722c9<;4?::k142<722c9<54?::k0g3<722c8o:4?::m0f`<722e9<94?::at$2a2>6gb3A9hn6F5;h:5>5<>o6;80;66g=0483>>o58?0;66g=0683>>o5810;66g>o4k>0;66a>i58=0;66sm8e294?b=83:p(>m>:2cf?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k274<722c9<84?::k143<722c9<:4?::k14=<722c8o;4?::k0g2<722e8nh4?::m141<722wi4i?50;f94?6|,:i:6>oj;I1`f>N4k:1/>??56:k;0?6=3`2=6=44i9:94?=n000;66g>3083>>o58<0;66g=0783>>o58>0;66g=0983>>o4k?0;66g>i4jl0;66a=0583>>{e0m81<7j50;2x 6e62:kn7E=lb:J0g6=#:;;196g74;29?l>12900e5650;9j<<<722c:?<4?::k140<722c9<;4?::k142<722c9<54?::k0g3<722c8o:4?::m0f`<722e9<94?::at$2a2>6gb3A9hn6F5;h:5>5<>o6;80;66g=0483>>o58?0;66g=0683>>o5810;66g>o4k>0;66a>i58=0;66sm8e694?b=83:p(>m>:2cf?M5dj2B8o>5+23391>o?<3:17d69:188m=>=831b444?::k274<722c9<84?::k143<722c9<:4?::k14=<722c8o;4?::k0g2<722e8nh4?::m141<722wi4i;50;f94?6|,:i:6>oj;I1`f>N4k:1/>??55:k;0?6=3`2=6=44i9:94?=n000;66g>3083>>o58<0;66g=0783>>o58>0;66g=0983>>o4k?0;66g>i4jl0;66a=0583>>{e0m<1<7j50;2x 6e62:kn7E=lb:J0g6=#:;;196g74;29?l>12900e5650;9j<<<722c:?<4?::k140<722c9<;4?::k142<722c9<54?::k0g3<722c8o:4?::m0f`<722e9<94?::at$2a2>6gb3A9hn6F5;h:5>5<>o6;80;66g=0483>>o58?0;66g=0683>>o5810;66g>o4k>0;66a>i58=0;66sm8e:94?b=83:p(>m>:2cf?M5dj2B8o>5+23391>o?<3:17d69:188m=>=831b444?::k274<722c9<84?::k143<722c9<:4?::k14=<722c8o;4?::k0g2<722e8nh4?::m141<722wi4i750;f94?6|,:i:6>oj;I1`f>N4k:1/>??55:k;0?6=3`2=6=44i9:94?=n000;66g>3083>>o58<0;66g=0783>>o58>0;66g=0983>>o4k?0;66g>i4jl0;66a=0583>>{e0mk1<7j50;2x 6e62:kn7E=lb:J0g6=#:;;196g74;29?l>12900e5650;9j<<<722c:?<4?::k140<722c9<;4?::k142<722c9<54?::k0g3<722c8o:4?::m0f`<722e9<94?::at$2a2>74?3A9hn6FuQa39>5879>uQa19>5859>vP>749>7}Y9>9015j6:012?xu5nm:1<7c03;8=6s|2gf2>5<5sW;<=637d68274=z{;lo>7>52z\235=:0m<1=>?4}r0e`6<72;qU=;h4=9f6>4563ty9ji:50;0xZ40b342o>7?<1:p6cb22909wS?9d:?;`1<6;81v?hk6;296~X61m164i=51238yv4al>0;6?uQ18a89=b6289:7p}=fe:94?4|V83i706k0;305>{t:on26=4={_3:e>;?ko0:?<5rs3dge?6=:rT:54528bg9567<51io6<=>;|q1bae=838pR<6j;<:`g?7492wx>kjk:181[7?;273o44>309~w7`cm3:1>vP>799>7}Y9?i015mn:012?xu5nl:1<7?<{_c;?8>d138;:637c`8143=:0jh1>=84=9a`>761342hh7;?l;09<;528e19650<51n?6?>9;<:g1?47>273h;4=079>c138;:637d`8143=z{;ln=7>512y]e3=:0j31>=;4=9ab>762342hn7706lf;031>;?l909<8528e39653<51n96?>:;<:g7?47=273h94=049>c?38;9637d98140=:0m31>=;4=9fb>7623ty9jh<50;0xZ6e?342on7<>c:p6cc42909:vP>219>f?3;9<637a98265=:0h31=?>4=9cb>447342jn7?=0:?;ef<6:9164lj513289=gb288;706nf;314>;?j90:>=528c39576<51h96<219>e?3;9<637b98265=:0k31=?>4=9`b>447342in7?=0:?;ff<6:9164oj513289=db288;706mf;314>;?k90:>=528b39576<51i96<219>d?3;9<637c98265=:0mh1>7k7;<:a7?c?342i87k7;<:a1?c?342i:7k7;<:a3?c?342i47k7;<:a=?c?342im7k7;<:af?c?342io7k7;<:a`?c?342ii7k7;<:ab?c?342h<7k7;<:`5?c?342h>7k7;<:`7?c?342h87k7;<:`1?c?342h:7k7;<:`3?c?342h47k7;<:`=?5d>273ol4dl39h:637cd80g3=:0jl1?n84=9f3>6e1342o=7=l6:?;`7<4k?164i=53b489=b32:i=706k5;1`2>;?l?08o;528e597f0<51n36>m9;<:g=?5d>273hl4vP>a69>7}Y9h?015l::2ca?xu5nl=1<7e<39jn6s|2gg;>5<5sW;j?637b280eg=z{;ln57>52z\2e7=:0k81?ll4}r0ead<72;qU=l?4=9`2>6ge3ty9jhl50;0xZ4g7342i<7=nb:p6ccd2909wS?6f:?;ec<4ik1v?hjd;296~X6ko164lk53``8yv4aml0;6?uQ1bg89=gc2:ki7p}=fdd94?4|V8io706nc;1bf>{t:ol;6=4={_3`g>;?ik08mo5rs3de5?6=:rT:oo528`c97ddom;|q1bc5=838pRkh;:181[7e=273m:4vP>a`9>7}Y90o015o::2ca?xu5no=1<7d039jn6s|2gd;>5<5sW;o;637c680eg=z{;lm57>52z\2`3=:0j<1?ll4}r0ebd<72;qU=i;4=9a6>6ge3ty9jkl50;0xZ4b3342h87=nb:p6c`d2909wS?k3:?;g6<4ik1v?hid;296~X6l;164n<53``8yv4anl0;6?uQ1e389=e62:ki7p}=fgd94?4|V;::706l0;1bf>{t;9:;6=4={_034>;?jo08mo5rs2235?6=:rT:jk528cg97ddom;|q0455=838pR;:181[7ak273no4vP>f39>7}Y9l=015l6:2ca?xu489=1<7e039jn6s|312;>5<5sW;o<637b680eg=z{::;57>548y>213015m6:324?8>d138;4637c`8;<>;?kh035637c`8142=:0jk1>=64=9aa>=><51ii6574=9aa>760342hn7164nm521:89=ec212015mk:9;89=ec2;:<706ld;03<>;?kl034637cd8;=>;?kl09<:528bg965><51im6564=9ae>=?<51im6?>8;<:`b?470273h=478:?;`5:9:89=b6213015j>:324?8>c938;4637d38;<>;?l;035637d38142=:0m81>=64=9f0>=><51n86574=9f0>760342o?7164i:521:89=b2212015j::9;89=b22;:<706k5;03<>;?l?034637d78;=>;?l?09<:528e4965><51n<6564=9f4>=?<51n<6?>8;<:g3?470273h5478:?;`==:92015j6:9:89=b>213015j6:324?8>c138;4637d`8;<>;?lh035637d`8142=:0mk1>=64}r134d<72;q64l;512389=ef2:hn7p}<01`94?4|51k=6<=>;<:`f?5em2wx?=>l:1818>f?3;8=637c880f`=z{::;h7>52z?;e=<6;8164nm53cg8yv578l0;6?u28`;9567<51io6>lj;|q045`=838p15on:012?8>dm39ii6s|3133>5<5s42jn7?<1:?;gc<4jl1v>>>1;296~;?ij0:?<528e297gc:2`f?xu48891<7456342o?7=me:p75732909w06nf;305>;?l=08nh5rs2221?6=:r73n=4>309>7}:0k;1=>?4=9f6>6db3ty8<<950;0x9=d5289:706k6;1aa>{t;9;36=4={<:a7?749273h:4v37b58274=:0m21?ok4}r135d<72;q64o;512389=b>2:hn7p}<00`94?4|51h=6<=>;<:ge?5em2wx?=?l:1818>e?3;8=637c`8141=z{:::h7>52z?;f=<6;8164nl52168yv579l0;6?u28c;9567<51i26?>;;|q044`=838p15ln:012?8>dk38;86s|3103>5<5s42in7?<1:?;ga<58=1v>>=1;296~;?jj0:?<528bg9652?4?:3y>456342o<7;?l809<95rs2211?6=:r73o=4>309>0q~=?2783>7}:0j;1=>?4=9f7>7633ty8{t;9836=4={<:`7?749273h84=059~w66513:1>v37c58274=:0m<1>=:4}r136d<72;q64n;512389=b02;:?7p}<03`94?4|51i=6<=>;<:gd?3;8=637d88141=z{::9h7>52z?;g=<6;8164io52168yv57:l0;6<=t=9a:>6e0342hm7=l7:?;gg<4k>164nm53b589=ec2:i<706le;1`3>;?ko08o:528e297f1<51n:6>m8;<:g6?5d?273h>4c>39h;637d680g2=:0m21?n94=9f:>6e0342om7=l7:?;`g<48:1vqo6kc;297`<403<8wE=l3:&0g4<6j8n0Vh955z66>42=5=h4i>0:76g>7483>!5f03;<86`o6?:0;6)=n8;340>h4i>0:76g>7383>!5f03;<86`o6?80;6)=n8;340>h4i>0876g>7183>!5f03;<86`o6>o0;6)=n8;340>h4i>0>76g>6d83>!5f03;<86`o6>m0;6)=n8;340>h4i>0<76g>9e83>!5f03;<86`o61j0;6)=n8;340>h4i>0276g>9c83>!5f03;<86`o61h0;6)=n8;340>h4i>0i76g>9883>!5f03;<86`o6110;6)=n8;340>h4i>0o76g>8d83>!5f03;<86`o60:0;6)=n8;340>h4i>0m76g>7983>!5f03;<86`=n9?i1<7*of>3:1(>o7:`58j6g02810e>m7:188m4472900e>l6:188m44d2900c=9h<0b>o8:198k4g2290/?l651`48j6g02810c=9h<0b>o8:398k4g4290/?l651`48j6g02:10c=9h<0b>o8:598k4g6290/?l651`48j6g02<10c=9h<0b>o8:798k4?a290/?l651`48j6g02>10c=9h<0b>o8:998k4eb290/?l651`48j6g02010c=9h<0b>o8:`98k4ed290/?l651`48j6g02k10c=9h<0b>o8:b98k4ef290/?l651`48j6g02m10c=9h<0b>o8:d98k4d2290/?l651`48j6g02o10c=9h<0b>o8:028?j7>m3:1(>o7:0c5?k5f?3;:76a>d883>!5f03;o46`i6l>0;6)=n8;3g<>h4i>0:76a>d783>!5f03;o46`i6l<0;6)=n8;3g<>h4i>0876a>d583>!5f03;o46`i6l:0;6)=n8;3g<>h4i>0>76a>d383>!5f03;o46`i6l80;6)=n8;3g<>h4i>0<76a=0083>!5f03;o46`i5890;6)=n8;3g<>h4i>0276a>fg83>!5f03;o46`i6nl0;6)=n8;3g<>h4i>0i76a>fe83>!5f03;o46`i6nj0;6)=n8;3g<>h4i>0o76a>f383>!5f03;o46`i6m>0;6)=n8;3g<>h4i>0m76a>db83>!5f03;o46`=h9m:1<7*5<6290;w)=l1;02e>N4kk1C?n=4o33:>5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb9fe>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e0l:1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl7e083>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg>b:3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn5k<:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a<`2=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th3i84?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm8d:94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd?m00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo6ja;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f=ce290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi4hm50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`;aa<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb9ge>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e0o:1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl7f083>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg>a:3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn5h<:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th3j84?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm8g:94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd?n00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo6ia;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f=`e290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi4km50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`;ba<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb9de>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e19:1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl60083>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?7:3:1h7>50z&0g4<4il1C?nl4H2a0?!4593=0e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?31<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo7?4;29`?6=8r.8o<45<6=44i325>5<5<5<5<5d;294~"4k808mh5G3b`8L6e43-89=794i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg?7>3:1h7>50z&0g4<4il1C?nl4H2a0?!4593?0e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?01<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo7?8;29`?6=8r.8o<45<6=44i325>5<5<5<5<5d;294~"4k808mh5G3b`8L6e43-89=784i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg?7i3:1h7>50z&0g4<4il1C?nl4H2a0?!4593<0e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?11<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo7?c;29`?6=8r.8o<45<6=44i325>5<5<5<5<5d;294~"4k808mh5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg?7m3:1h7>50z&0g4<4il1C?nl4H2a0?!4593?0e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?31<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo7>0;29`?6=8r.8o<45<6=44i325>5<5<5<5<5d;294~"4k808mh5G3b`8L6e43-89=7;4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg?6:3:1h7>50z&0g4<4il1C?nl4H2a0?!4593?0e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?31<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo7>4;297?6=8r.8o<4=299K7fd<@:i87)<=1;5f?l46k3:17d<>d;29?j57;3:17p}<03d94?74sWk9707?2;:5?8?7;32=707?4;:5?8?7=32=707?6;:5?8?7?32=707?8;:5?8?7132=707?a;:5?8?7j32=707?c;:5?8?7l32=707?e;:5?8?7n32=707>0;:5?8?6932=707>2;:5?8?6;32=7p}<02294?74sWk;707?2;:7?8?7;32?707?4;:7?8?7=32?707?6;:7?8?7?32?707?8;:7?8?7132?707?a;:7?8?7j32?707?c;:7?8?7l32?707?e;:7?8?7n32?707>0;:7?8?6932?707>2;:7?8?6;32?7p}<02394?4|V8=>707>3;305>{t;9996=4={_347>;>9;0:?<5rs2207?6=:rT:;?529039567;|q0463=838pR<9?;<;3b?7492wx?==9:181[71n272

309~w664?3:1>vP>6d9>=5d=9:;0q~=?3983>7}Y9?n014>k:012?xu48:31<75<5sW;2o6360`8274=z{::8n7>52z\2=g=:1931=>?4}r137f<72;qU=4o4=82;>4563ty8<>j50;0xZ4?>343;;7?<1:p755b2909wS?68:?:43<6;81v>>{t;9>96=4={_35g>;>8:0:?<5rs2277?6=9:qUm5529109650<50:86?>9;<;30?47>272<84=079>=50=:9<014>8:325?8?7038;:636088143=:19k1>=84=82a>761343;o70;032>;>9809<;529009650<50;86?>9;|q0412=83;8wSo9;<;36?47=272<>4=049>=52=:9?014>::326?8?7>38;9636068140=:1921>=;4=82:>762343;m7707?e;031>;>8o09<8529029653<50;:6?>:;<;26?47=272=>4=049~w663=3:1>vP=42=:8i0q~=?4783>70|V88;706ke;314>;?lo0:>=528d29576<51o:6<4>219><`2=9;:015k::003?8>b>3;9<637e68265=:0l21=?>4=9g:>447342nm7?=0:?;ag<6:9164hm513289=cc288;706je;314>;?mo0:>=528g29576<51l:6<4>219>a>3;9<637f68265=:0o21=?>4=9d:>447342mm7?=0:?;bg<6:9164km513289=`c288;706ie;314>;?no0:>=529129576<50::6<5e99><`7=m1164h<5e99><`5=m1164h:5e99><`3=m1164h85e99><`1=m1164h65e99><`?=m1164ho5e99><`d=m1164hm5e99><`b=m1164hk5e99><``=m1164k>5e99>5e99>=57=m1165=<53b489<642:i=707?4;1`2>;>8<08o;5291497f0<50:<6>m9;<;3272<44=5g=;j<014>m:2a5?8?7k39h:6360e80g3=:19o1?n84=82e>6e1343:<7=l6:?:54<4k?165<<53b489<742:i=7p}<05:94?4|V8k<706jf;1bf>{t;9>26=4={_3b1>;?ml08mo5rs227e?6=:rT:m9528df97ddom;|q041e=838pRvP>a19><`?=;hh0q~=?4g83>7}Y90l015k7:2ca?xu48<:1<7b?39jn6s|3172>5<5sW;hi637e780eg=z{::>>7>52z\2ga=:0l?1?ll4}r1316<72;qU=nm4=9g7>6ge3ty8<8:50;0xZ4ee342n?7=nb:p75322909wS?la:?;a7<4ik1v>>:6;296~X6k9164h?53``8yv57=>0;6?uQ1c789=c72:ki7p}<04:94?4|V8kj706kf;1bf>{t;9?26=4={_3:a>;?ll08mo5rs226e?6=:rT:h45291397ddom;|q040e=838pRvP>d59>7}Y9m9015hl:2ca?xu48?:1<7aj39jn6s|3142>5<5sW;o=637f`80eg=z{::=>7>52z\144=:0o31?ll4}r1326<72;qU>=>4=9d;>6ge3ty8<;:50;0xZ4`a342m;7=nb:p75022909wS?ie:?;b3<4ik1v>>96;296~X6nm164k;53``8yv57>>0;6?uQ1ga89=`32:ki7p}<07:94?4|V8l9706i3;1bf>{t;9<26=4={_3f3>;?n;08mo5rs225e?6=:rT:hn528g397ddom;|q043e=83>2w06kd;02=>;>8;034636038;=>;>8;09<:52910965><50:86564=820>=?<50:86?>8;<;37?470272<9478:?:41=52=:92014>::9:89<62213014>::324?8?7=38;4636078;<>;>8?035636078142=:19<1>=64=824>=><50:<6574=824>760343;;7165=6521:89<6>212014>6:9;89<6>2;:<707?9;03<>;>8h0346360`8;=>;>8h09<:5291c965><50:i6564=82a>=?<50:i6?>8;<;3f?470272=5e=:92014>k:9:89<6c213014>k:324?8?7l38;46360d8;<>;>8l0356360d8142=:19o1>=64=82e>=><50:m6574=82e>760343;j7165<>521:89<76212014?>:9;89<762;:<707>1;03<>;>9;034636138;=>;>9;09<:52900965><50;86564=830>=?<50;86?>8;<;27?4702wx?=8k:1818>cm3;8=6360280f`=z{::=i7>52z?;`c<6;8165=:53cg8yv57>o0;6?u28d29567<50:96>lj;|q0426=838p15k>:012?8?7=39ii6s|3152>5<5s42n>7?<1:?:43<4jl1v>>82;296~;?m:0:?<5291597gc4?:3y><`2=9:;014>7:2`f?xu48>>1<7456343;57=me:p75122909w06j6;305>;>8h08nh5rs2242?6=:r73i:4>309>=5e=;ko0q~=?7683>7}:0l21=>?4=82g>6db3ty8<:650;0x9=c>289:707?b;1aa>{t;9=26=4={<:fe?749272v37ec8274=:19l1?ok4}r133g<72;q64hm512389<772:hn7p}<06a94?4|51oo6<=>;<;25?5em2wx?=9k:1818>bm3;8=6361380f`=z{::52z?;ac<6;8165<=53cg8yv57?o0;6?u28g29567<50:86?>;;|q04=6=838p15h>:012?8?7<38;86s|31:2>5<5s42m>7?<1:?:47<58=1v>>72;296~;?n:0:?<5291796524?:3y>9:327?xu481>1<7456343;;722909w06i6;305>;>8109<95rs22;2?6=:r73j:4>309>=5?=:9>0q~=?8683>7}:0o21=>?4=82b>7633ty8<5650;0x9=`>289:707?c;030>{t;9226=4={<:ee?749272v37fc8274=:19h1>=:4}r13;<;3b?47<2wx?=6k:1818>am3;8=636118141=z{::3i7>52z?;bc<6;8165;;|q04<6=838p14>>:012?8?6;38;86s|31;2>5<6;r72=55=;j=014>;:2a4?8?7=39h;6360780g2=:19=1?n94=82;>6e0343;57=l7:?:4d<4k>165=l53b589<6d2:i<707?d;1`3>;>8l08o:5291d97f1<50;;6>m8;<;25?5d?272=?4=45=;j=014?;:220?x{e18?1<7=j:2:926}O;j90(>m>:0`2a>\b?3?p884>4;65>41=910vel<50;&0e=4=6=4+3`:95225<#;h21=::4n2c4>4=5<#;h21=::4n2c4>6=5<#;h21=::4n2c4>0=5<#;h21=::4n2c4>2=5<#;h21=::4n2c4><=5<#;h21=::4n2c4>g=5<#;h21=::4n2c4>a=5<#;h21=::4n2c4>c=4;h35g?6=,:k36<9;;o1b3?7632cj47>5$2c;>d1o4k10;66g>2183>>o4j00;66g>2b83>>i6i>0;6)=n8;3b2>h4i>0;76a>a483>!5f03;j:6`i6i=0;6)=n8;3b2>h4i>0976a>a283>!5f03;j:6`i6i;0;6)=n8;3b2>h4i>0?76a>a083>!5f03;j:6`i6i90;6)=n8;3b2>h4i>0=76a>9g83>!5f03;j:6`i6ko0;6)=n8;3b2>h4i>0376a>cd83>!5f03;j:6`i6km0;6)=n8;3b2>h4i>0j76a>cb83>!5f03;j:6`i6kk0;6)=n8;3b2>h4i>0h76a>c`83>!5f03;j:6`i6k90;6)=n8;3b2>h4i>0n76a>b483>!5f03;j:6`i6ih0;6)=n8;3b2>h4i>0:<65`18g94?"4i10:m;5a3`5954=5<#;h21=i64n2c4>4=5<#;h21=i64n2c4>6=5<#;h21=i64n2c4>0=5<#;h21=i64n2c4>2=5<#;h21=i64n2c4><=5<#;h21=i64n2c4>g=5<#;h21=i64n2c4>a=5<#;h21=i64n2c4>c=4;n3g4?6=,:k365}#;j;1>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th2=54?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm90`94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd>9j0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo7>d;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f<7b290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi5N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`:65<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb801>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e1;91<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl62583>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?5=3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn4<9:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a=71=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th2>54?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm93`94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd>:j0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo7=d;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f<4b290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi5?h50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`:75<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb811>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e1:91<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl63583>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?4=3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn4=9:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a=61=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th2?54?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm92`94?b=83:p(>m>:2cf?M5dj2B8o>5+23393>o?<3:17d69:188m=>=831b444?::k274<722c9<84?::k143<722c9<:4?::k14=<722c8o;4?::k0g2<722e8nh4?::m141<722wi5>m50;f94?6|,:i:6>oj;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>3083>>o58<0;66g=0783>>o58>0;66g=0983>>o4k?0;66g>i4jl0;66a=0583>>{e1:n1<7j50;2x 6e62:kn7E=lb:J0g6=#:;;1:6g74;29?l>12900e5650;9j<<<722c:?<4?::k140<722c9<;4?::k142<722c9<54?::k0g3<722c8o:4?::m0f`<722e9<94?::a=6c=83n1<7>t$2a2>6gb3A9hn6F5;h:5>5<>o6;80;66g=0483>>o58?0;66g=0683>>o5810;66g>o4k>0;66a>i58=0;66sm92d94?b=83:p(>m>:2cf?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k274<722c9<84?::k143<722c9<:4?::k14=<722c8o;4?::k0g2<722e8nh4?::m141<722wi59>50;f94?6|,:i:6>oj;I1`f>N4k:1/>??56:k;0?6=3`2=6=44i9:94?=n000;66g>3083>>o58<0;66g=0783>>o58>0;66g=0983>>o4k?0;66g>i4jl0;66a=0583>>{e1=;1<7j50;2x 6e62:kn7E=lb:J0g6=#:;;1;6g74;29?l>12900e5650;9j<<<722c:?<4?::k140<722c9<;4?::k142<722c9<54?::k0g3<722c8o:4?::m0f`<722e9<94?::a=14=83n1<7>t$2a2>6gb3A9hn6F5;h:5>5<>o6;80;66g=0483>>o58?0;66g=0683>>o5810;66g>o4k>0;66a>i58=0;66sm95194?b=83:p(>m>:2cf?M5dj2B8o>5+23392>o?<3:17d69:188m=>=831b444?::k274<722c9<84?::k143<722c9<:4?::k14=<722c8o;4?::k0g2<722e8nh4?::m141<722wi59:50;f94?6|,:i:6>oj;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>3083>>o58<0;66g=0783>>o58>0;66g=0983>>o4k?0;66g>i4jl0;66a=0583>>{e1=?1<7j50;2x 6e62:kn7E=lb:J0g6=#:;;1:6g74;29?l>12900e5650;9j<<<722c:?<4?::k140<722c9<;4?::k142<722c9<54?::k0g3<722c8o:4?::m0f`<722e9<94?::a=10=83n1<7>t$2a2>6gb3A9hn6F2c387>5;h:5>5<>o6;80;66g=0483>>o58?0;66g=0683>>o5810;66g>o4k>0;66a>i58=0;66sm95594?b=83:p(>m>:2cf?M5dj2B8o>5+23393>o?<3:17d69:188m=>=831b444?::k274<722c9<84?::k143<722c9<:4?::k14=<722c8o;4?::k0g2<722e8nh4?::m141<722wi59650;f94?6|,:i:6>oj;I1`f>N4k:1/>??55:k;0?6=3`2=6=44i9:94?=n000;66g>3083>>o58<0;66g=0783>>o58>0;66g=0983>>o4k?0;66g>i4jl0;66a=0583>>{e1=31<7j50;2x 6e62:kn7E=lb:J0g6=#:;;196g74;29?l>12900e5650;9j<<<722c:?<4?::k140<722c9<;4?::k142<722c9<54?::k0g3<722c8o:4?::m0f`<722e9<94?::a=1g=83n1<7>t$2a2>6gb3A9hn6F5;h:5>5<>o6;80;66g=0483>>o58?0;66g=0683>>o5810;66g>o4k>0;66a>i58=0;66sm95`94?b=83:p(>m>:2cf?M5dj2B8o>5+23391>o?<3:17d69:188m=>=831b444?::k274<722c9<84?::k143<722c9<:4?::k14=<722c8o;4?::k0g2<722e8nh4?::m141<722wi59m50;f94?6|,:i:6>oj;I1`f>N4k:1/>??55:k;0?6=3`2=6=44i9:94?=n000;66g>3083>>o58<0;66g=0783>>o58>0;66g=0983>>o4k?0;66g>i4jl0;66a=0583>>{e1=n1<7=50;2x 6e62;837E=lb:J0g6=#:;;1;h5f20a94?=n:8n1<75`31194?=z{::2>7>512y]e7=:1:h14;5292a9<3=:1:n14;5292g9<3=:1:l14;529529<3=:1=;14;529509<3=:1=914;529569<3=:1=?14;529549<3=:1==14;5295:9<3=:1=314;5295c9<3=:1=h14;5295a9<3=z{::2?7>512y]e5=:1:h1495292a9<1=:1:n1495292g9<1=:1:l149529529<1=:1=;149529509<1=:1=9149529569<1=:1=?149529549<1=:1==1495295:9<1=:1=31495295c9<1=:1=h1495295a9<1=z{::287>52z\230=:1=i1=>?4}r13=0<72;qU=:=4=86a>4563ty8<4850;0xZ415343?m7?<1:p75?02909wS?81:?:0<<6;81v>>68;296~X6?91659651238yv57100;6?uQ17d89<20289:7p}<08c94?4|V8{t;93i6=4={_35`>;>86<=>;|q04i2728<4>309~w66f83:1>vP>989>=16=9:;0q~=?a083>7}Y902014=i:012?xu48h81<75<5sW;3?6363c8274=z{::j87>52z\23==:1:n1=>?4}r13e0<72;qU=;m4=81`>4563ty8j521489<5b2;:=707;><909<;529539650<50>96?>9;<;77?47>272894=079>=13=:9<014:9:325?8?3?38;:636498143=:1=31>=84=86b>761343?n7>n7;2956}Yi?165>l521789<5d2;:>707;>;l09<85292d9653<50>;6?>:;<;75?47=2728?4=049>=15=:9?014:;:326?8?3=38;9636478140=:1==1>=;4=86;>762343?577p}<0`:94?4|V:i3707;d;02g>{t;9k26=4=6z\265=:18=1=?>4=83;>447343:57?=0:?:5d<6:9165d;314>;>9l0:>=5290d9576<508;6<?4>219>=75=9;:014<;:003?8?5=3;9<636278265=:1;=1=?>4=80;>447343957?=0:?:6d<6:9165?l513289<4d288;707=d;314>;>:l0:>=5293d9576<509;6<219>=65=9;:014=;:003?8?4=3;9<636378265=:1:=1=?>4=81;>447343857?=0:?:7d<6:91659j520f8yv57ih0;6>9t^00`?8?6?3o3707>8;g;?8?613o3707>a;g;?8?6j3o3707>c;g;?8?6l3o3707>e;g;?8?6n3o3707=0;g;?8?593o3707=2;g;?8?5;3o3707=4;g;?8?5=3o3707=6;g;?8?5?3o3707=8;g;?8?513o3707=a;g;?8?5j3o3707=c;g;?8?5l3o3707=e;g;?8?5n3o3707<0;g;?8?493o3707<2;g;?8?4;3o3707<4;g;?8?4=3o3707<6;g;?8?4?3o3707<8;g;?8?413o37076e13438j7=l6:?:05<4k?1659?53b489<252:i=707;3;1`2>;><=08o;5295797f0<50>=6>m9;<;73?5d>272854=1?=;j<014:n:2a5?8?3j39h:6364b80g3=z{::jn7>52z\2e2=:1;21?ll4}r13ef<72;qU=l;4=804>6ge3ty8>nf;296~X6i;165?:53``8yv57j90;6?uQ1`389<442:ki7p}<0c394?4|V8k;707=2;1bf>{t;9h96=4={_3:b>;>:808mo5rs22a7?6=:rT:ok5293297ddom;|q04g3=838pRvP>cc9>=4e=;hh0q~=?b983>7}Y9jk014?m:2ca?xu48k31<75<5sW;i96361880eg=z{::in7>52z\2ed=:1821?ll4}r13ff<72;qU=4k4=834>6ge3ty83438m7=nb:p75db2909wS?k7:?:7<<4ik1v>>mf;296~X6l?165>653``8yv57k90;6?uQ1e789<502:ki7p}<0b394?4|V8n?707<6;1bf>{t;9i96=4={_3g7>;>;<08mo5rs22`7?6=:rT:h?5292697ddom;|q04f3=838pR?>>;<;06?5fj2wx?=m9:181[478272?<4vP>fg9>=66=;hh0q~=?c983>7}Y9oo0145<5sW;mo6362e80eg=z{::hn7>52z\2b7=:1;i1?ll4}r13gf<72;qU=h94=80a>6ge3ty8>lf;290<}:18<1><74=81a>=><509i6574=81a>7603438n7165>m521:89<5c212014=k:9;89<5c2;:<707;>;l0346363d8;=>;>;l09<:5292g965><509m6564=81e>=?<509m6?>8;<;0b?4702728=478:?:05=16=:92014:>:9:89<26213014:>:324?8?3938;4636438;<>;><;035636438142=:1=81>=64=860>=><50>86574=860>760343??71659:521:89<22212014:::9;89<222;:<707;5;03<>;>;><50><6564=864>=?<50><6?>8;<;73?47027285478:?:0==1>=:92014:6:9:89<2>213014:6:324?8?3138;46364`8;<>;>=64=86a>=><50>i6574=86a>760343?n71659m521:8yv57l90;6?u29059567<509h6>lj;|q04a7=838p14?7:012?8?4l39ii6s|31f1>5<5s43:57?<1:?:7g<4jl1v>>k3;296~;>9h0:?<5292g97gc=4d=9:;014=i:2`f?xu48m?1<7456343?<7=me:p75b12909w07>d;305>;><808nh5rs22g3?6=:r72=h4>309>=14=;ko0q~=?d983>7}:18l1=>?4=860>6db3ty8{t;9nj6=4={<;15?7492728;4v36238274=:1=>1?ok4}r13`f<72;q65?=512389<202:hn7p}<0ef94?4|508?6<=>;<;752z?:63<6;81659o53cg8yv57m90;6?u29359567<50>i6>lj;|q04`7=838p14<7:012?8?3k39ii6s|31g1>5<5s43957?<1:?:7f<58=1v>>j3;296~;>:h0:?<5292f9652=7d=9:;014=m:327?xu48l?1<74563438i7;>;o09<95rs22f3?6=:r72>h4>309>=16=:9>0q~=?e983>7}:1;l1=>?4=862>7633ty8{t;9oj6=4={<;05?7492728>4=059~w66bj3:1>v36338274=:1=?1>=:4}r13af<72;q65>=512389<212;:?7p}<0df94?4|509?6<=>;<;70?47<2wx?=kj:1818?4=3;8=636468141=z{::nj7>52z?:73<6;81659652168yv57n90;6?u29259567<50>26?>;;|q04c7=838p14=7:012?8?3i38;86s|31d1>5<5s43857?<1:?:0g<58=1v>>i3;296~;>;h0:?<5295a9652;>;m08o:5292g97f1<509m6>m8;<;74?5d?2728<4=14=;j=014:<:2a4?8?3<39h;6364480g2=:1=<1?n94=864>6e0343?47=l7:?:0<<4k>1659o53b589<2e2:i<707;c;1`3>;>5r}c;7a?6=;l08478<{I1`7>"4k80:n18;4>7;3;>xof:3:1(>o7:`38j6g02910el>50;&0e=;:k230<72-9j47?84:l0e2<732c:;>4?:%1b;:k237<72-9j47?84:l0e2<532c:;<4?:%1b4?:%1b=83.8m54n7:l0e2<732cj:7>5$2c;>d15<5<5<#;h21=l84n2c4>5=6=4+3`:95d05<#;h21=l84n2c4>7=54o0c1>5<#;h21=l84n2c4>1=5<#;h21=l84n2c4>3=5<#;h21=l84n2c4>==5<#;h21=l84n2c4>d=5<#;h21=l84n2c4>f=5<#;h21=l84n2c4>`=6=4+3`:95d05<#;h21=l84n2c4>46<3f;2i7>5$2c;>4g13g9j;7?>;:m2`<<72-9j47?k8:l0e2<732e:h:4?:%1b;:m2`3<72-9j47?k8:l0e2<532e:h84?:%1b4?:%1b50;&0e=<6l11e?l951098f<2a290:6=4?{%1`5?46i2B8oo5G3b18k77>2900qo7:0;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f<36290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi58<50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`:16<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<87>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb876>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e1<<1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl65683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?203:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn4;6:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a=0g=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th29o4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm94g94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd>=o0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo790;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f<06290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi5;<50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`:26<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb846>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e1?<1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl66683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?103:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn486:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a=3g=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th2:o4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm97g94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd>>o0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo780;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f<16290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi5:<50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`:36<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<5d;294~"4k808mh5G3b`8L6e43-89=7;4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg?0=3:1h7>50z&0g4<4il1C?nl4H2a0?!4593?0e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo787;29`?6=8r.8o<45<6=44i325>5<5<5<5<5d;294~"4k808mh5G3b`8L6e43-89=7;4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg?013:1h7>50z&0g4<4il1C?nl4H2a0?!4593<0e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo78b;29`?6=8r.8o<45<6=44i325>5<5<5<5<5d;294~"4k808mh5G3b`8L6e43-89=784i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg?0l3:1h7>50z&0g4<4il1C?nl4H2a0?!4593=0e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo78f;29`?6=8r.8o<45<6=44i325>5<5<5<5<5d;294~"4k808mh5G3b`8L6e43-89=794i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg??93:1h7>50z&0g4<4il1C?nl4H2a0?!4593<0e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?31<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo773;29`?6=8r.8o<45<6=44i325>5<5<5<5<5d;294~"4k808mh5G3b`8L6e43-89=7;4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg??=3:1h7>50z&0g4<4il1C?nl4H2a0?!4593?0e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?1b3`8:o7>5;h02`?6=3f9;?7>5;|q04c3=83;8wSo=;<;40?>1343<9769;<;42?>1343<;769;<;41343<5769;<;4e?>13431343134313433=769;<;;6?>13433?769;<;;0?>134339769;|q04c0=83;8wSo?;<;40?>3343<976;;<;42?>3343<;76;;<;43343<576;;<;4e?>33433343334333433=76;;<;;6?>33433?76;;<;;0?>33433976;;|q04c1=838pR<9:;<;;1?7492wx?=h7:181[70;272494>309~w66a13:1>vP>739>==5=9:;0q~=?f`83>7}Y9>;0146=:012?xu48oh1<75<5sW;=j636818274=z{::mh7>52z\22`=:1>n1=>?4}r13b`<72;qU=;j4=85e>4563ty8??1;296~X61k165:l51238yv568;0;6?uQ18c89<1f289:7p}<11194?4|V83270789;305>{t;8:?6=4={_3:<>;>?10:?<5rs2331?6=:rT:4h529659567;|q0551=838pR<97;<;42?7492wx?<>7:181[71k272;84>309~w67713:1=>uQa99>=22=:9<0149::325?8?0>38;:636768143=:1>21>=84=85:>761343;>?o09<;529929650<502:6?>9;<;;6?47>2724>4=079>==2=:9<0146::325?xu499k1<7?<{_c5?8?0<38;9636748140=:1><1>=;4=854>762343<477078c;031>;>?m09<85296g9653<50=m6?>:;<;;4?47=2724<4=049>==4=:9?0146<:326?8??<38;9636848140=z{:;;n7>52z\0g==:11<1>219>=04=9;:014;<:003?8?2<3;9<636548265=:1<<1=?>4=874>447343>47?=0:?:1<<6:91658o513289<3e288;707:c;314>;>=m0:>=5294g9576<50?m6<219>=34=9;:0148<:003?8?1<3;9<636648265=:1?<1=?>4=844>447343=47?=0:?:2<<6:9165;o513289<0e288;7079c;314>;>>m0:>=5297g9576<50219>=24=9;:0149<:003?8??>38:h6s|302g>5<4?rT:>n529429a==:1<;1i5529409a==:1<91i5529469a==:1;1i5529609a==:1>91i55296697f0<50=>6>m9;<;42?5d>272;:4=2>=;j<01496:2a5?8?0i39h:6367c80g3=:1>i1?n84=85g>6e134353b489<>62:i=70772;1`2>;>0:08o;5299697f0<502>6>m9;|q055c=838pRi:181[7f=272:=4vP>a59>=0`=;hh0q~=>1083>7}Y9h9014;j:2ca?xu49881<75<5sW;j=6365b80eg=z{:;:87>52z\2e5=:16ge3ty8=<850;0xZ4ea343>57=nb:p74702909wS?le:?:1=<4ik1v>?>8;296~X6km1658953``8yv56900;6?uQ1ba89<312:ki7p}<10c94?4|V8ii707:5;1bf>{t;8;i6=4={_3`e>;>==08mo5rs232g?6=:rT:o=5294197ddom;|q054c=838pRm2729=4vP>d89>=25=;hh0q~=>2083>7}Y9m=0149=:2ca?xu49;81<75<5sW;o96367180eg=z{:;987>52z\2`1=:1?l1?ll4}r1260<72;qU=i=4=84f>6ge3ty8=?850;0xZ4b5343=h7=nb:p74402909wS?k1:?:2f<4ik1v>?=8;296~X588165;l53``8yv56:00;6?uQ21289<0f2:ki7p}<13c94?4|V8lm70799;1bf>{t;88i6=4={_3ea>;>>108mo5rs231g?6=:rT:ji5297597ddi4?:3y]5ce<50<=6>om;|q057c=838pRvP>db9>=35=;hh0q~=>3083>7}Y9m:0148=:2ca?xu49:81<7:6{<;7b?461272;9478:?:31=22=:920149::9:89<122130149::324?8?0=38;4636778;<>;>??035636778142=:1><1>=64=854>=><50=<6574=854>760343<;7165:6521:89<1>21201496:9;89<1>2;:<70789;03<>;>?h0346367`8;=>;>?h09<:5296c965><50=i6564=85a>=?<50=i6?>8;<;4f?470272;n478:?:3f=2e=:920149k:9:89<1c2130149k:324?8?0l38;46367d8;<>;>?l0356367d8142=:1>o1>=64=85e>=><50=m6574=85e>7603431655>521:89<>62120146>:9;89<>62;:<70771;03<>;>0;034636838;=>;>0;09<:52990965><50286564=8:0>=?<50286?>8;<;;7?47027249478:?:<1==2=:920146::9:89<>22130146::324?8??=38;46s|3010>5<5s43><7?<1:?:30<4jl1v>?<4;296~;>=80:?<5296497gc=04=9:;0149;:2`f?xu49:<1<7456343<;7=me:p74502909w07:4;305>;>?108nh5rs230309>=2?=;ko0q~=>3883>7}:1<<1=>?4=85b>6db3ty8=>o50;0x9<30289:7078b;1aa>{t;89i6=4={<;6v36588274=:1>o1?ok4}r127a<72;q658o512389<1a2:hn7p}<12g94?4|50?i6<=>;<;4`?5em2wx?<=i:1818?2k3;8=6368180f`=z{:;?<7>52z?:1a<6;81655?53cg8yv56<80;6?u294g9567<50296>lj;|q0514=838p14;i:012?8??;39ii6s|3060>5<5s43=<7?<1:?:<1<4jl1v>?;4;296~;>>80:?<5299797gc=34=9:;0149::327?xu49=<1<7456343<:7;>?=09<95rs237309>=21=:9>0q~=>4883>7}:1?<1=>?4=85;>7633ty8=9o50;0x9<00289:70789;030>{t;8>i6=4={<;5v36688274=:1>h1>=:4}r120a<72;q65;o512389<1d2;:?7p}<15g94?4|50;<;4a?47<2wx?<:i:1818?1k3;8=6367g8141=z{:;><7>52z?:2a<6;8165:j52168yv56=80;6?u297g9567<502;6?>;;|q0504=838p148i:012?8??938;86s|3070>5<5s43<<7?<1:?:<7<58=1v>?:4;296~;>?80:?<529919652=24=9:;0146;:327?xu49<<1<7456343397?1?n94=855>6e0343<;7=l7:?:3=<4k>165:753b589<1f2:i<7078b;1`3>;>?j08o:5296f97f1<50=n6>m8;<;4b?5d?2724=4==7=;j=0146=:2a4?8??;39h;6368580g2=:11?1?n94=8:5>6643twi55950;1f>70=;oqC?n=4$2a2>4d582Pn;7;t44820?2128=1=54ri`094?"4i10j=6`of83:1(>o7:`38j6g02810e<9::18'7d>=9>>0b>o8:198m414290/?l651668j6g02810e<9=:18'7d>=9>>0b>o8:398m416290/?l651668j6g02:10e<9?:18'7d>=9>>0b>o8:598m40a290/?l651668j6g02<10e<8j:18'7d>=9>>0b>o8:798m40c290/?l651668j6g02>10e<7k:18'7d>=9>>0b>o8:998m4?d290/?l651668j6g02010e<7m:18'7d>=9>>0b>o8:`98m4?f290/?l651668j6g02k10e<76:18'7d>=9>>0b>o8:b98m4??290/?l651668j6g02m10e<6j:18'7d>=9>>0b>o8:d98m4>4290/?l651668j6g02o10e<97:18'7d>=9>>0b>o8:028?l71k3:1(>o7:057?k5f?3;:76gn8;29 6g?2h=0b>o8:198md0=83.8m54n7:l0e2<632c8o54?::k265<722c8n44?::k26f<722e:m:4?:%1b2d8m:4?;:m2e0<72-9j47?n6:l0e2<632e:m94?:%1b2d8m:4=;:m2e6<72-9j47?n6:l0e2<432e:m?4?:%1b2d8m:4;;:m2e4<72-9j47?n6:l0e2<232e:m=4?:%1b2d8m:49;:m2=c<72-9j47?n6:l0e2<032e:ok4?:%1b2d8m:47;:m2g`<72-9j47?n6:l0e2<>32e:oi4?:%1b2d8m:4n;:m2gf<72-9j47?n6:l0e22d8m:4l;:m2gd<72-9j47?n6:l0e22d8m:4j;:m2f0<72-9j47?n6:l0e22d8m:4>0:9l5a79m7d1=9810c=9m20b>o8:198k4b0290/?l651e:8j6g02810c=9m20b>o8:398k4b2290/?l651e:8j6g02:10c=9m20b>o8:598k4b4290/?l651e:8j6g02<10c=9m20b>o8:798k4b6290/?l651e:8j6g02>10c?>>:18'7d>=9m20b>o8:998k767290/?l651e:8j6g02010c=9m20b>o8:`98k4`b290/?l651e:8j6g02k10c=9m20b>o8:b98k4`d290/?l651e:8j6g02m10c=9m20b>o8:d98k4c0290/?l651e:8j6g02o10c=9m20b>o8:028?j7c83:1(>o7:0f;?k5f?3;:76l68983>4<729q/?n?520c8L6ee3A9h?6a=1883>>{e1131<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl68`83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg??j3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn46l:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a==b=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th24h4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm98394?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd>1;0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo763;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fN4k:1/>??52:kf5;h305?6=3f9jn7>5;|`:=3<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb8;;>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e1031<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl69`83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?>j3:1h7>50z&0g4<4il1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?01<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo76d;29`?6=8r.8o<45<6=44i325>5<5<5<5<5d;294~"4k808mh5G3b`8L6e43-89=7;4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg?>n3:1h7>50z&0g4<4il1C?nl4H2a0?!4593?0e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo7n1;29`?6=8r.8o<45<6=44i325>5<5<5<5<7>5d;294~"4k808mh5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg?f;3:1h7>50z&0g4<4il1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?31<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo7n5;29`?6=8r.8o<45<6=44i325>5<5<5<5<5d;294~"4k808mh5G3b`8L6e43-89=784i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg?f?3:1h7>50z&0g4<4il1C?nl4H2a0?!4593?0e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo7n9;29`?6=8r.8o<45<6=44i325>5<5<5<5<5d;294~"4k808mh5G3b`8L6e43-89=7;4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg?fj3:1h7>50z&0g4<4il1C?nl4H2a0?!4593=0e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?31<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo7nd;297?6=8r.8o<4=299K7fd<@:i87)<=1;5f?l46k3:17d<>d;29?j57;3:17p}<14:94?74sWk97076b;:5?8?>k32=7076d;:5?8?>m32=7076f;:5?8?f832=707n1;:5?8?f:32=707n3;:5?8?f<32=707n5;:5?8?f>32=707n7;:5?8?f032=707n9;:5?8?fi32=707nb;:5?8?fk32=7p}<14;94?74sWk;7076b;:7?8?>k32?7076d;:7?8?>m32?7076f;:7?8?f832?707n1;:7?8?f:32?707n3;:7?8?f<32?707n5;:7?8?f>32?707n7;:7?8?f032?707n9;:7?8?fi32?707nb;:7?8?fk32?7p}<14c94?4|V8=>707nc;305>{t;8?i6=4={_347>;>ik0:?<5rs236g?6=:rT:;?529`c9567;|q050c=838pR<9?;<;b309~w67183:1>vP>6d9>=d2=9:;0q~=>6083>7}Y9?n014o9:012?xu49?81<75<5sW;2o636a28274=z{:;=87>52z\2=g=:1h81=>?4}r1220<72;qU=4o4=8c2>4563ty8=;850;0xZ4?>343j<7?<1:p74002909wS?68:?:=c<6;81v>?98;296~X60l1654k51238yv56>00;6?uQ19189{t;8;>1j0:?<5rs235g?6=9:qUm55298`9650<503h6?>9;<;:`?47>2725h4=079>=<`=:9<014o?:325?8?f938;:636a38143=:1h91>=84=8c7>761343j97;>ih09<;529``9650<50kh6?>9;|q053b=83;8wSo9;<;:f?47=2725n4=049>=n38;9636a18140=:1h;1>=;4=8c1>762343j?7707n7;031>;>i109<8529`;9653<50kj6?>:;<;bf?47=272mn4=049~w671m3:1>vP=db=:8i0q~=>6g83>42|V88;70779;314>;>0h0:>=5299`9576<502h6<219>==`=9;:0147?:003?8?>93;9<636938265=:1091=?>4=8;7>447343297?=0:?:=3<6:916549513289;>1h0:>=529`f964b;>i908o;529`397f0<50k96>m9;<;b7?5d>272m94=d3=;j<014o9:2a5?8?f?39h:636a980g3=:1h31?n84=8cb>6e1343jn7=l6:?:ef<4k?1v>?81;296~X6l01654o53``8yv56?;0;6?uQ1e5892:ki7p}<16194?4|V8n=70768;1bf>{t;8=?6=4={_3g1>;>1>08mo5rs2341?6=:rT:h95298497dd6>om;|q0521=838pR4vP=009>=<4=;hh0q~=>7`83>7}Y:9:0147>:2ca?xu49>h1<7839jn6s|305`>5<5sW;mi6368g80eg=z{:;52z\2ba=:11o1?ll4}r123`<72;qU=km4=8:g>6ge3ty8=:h50;0xZ4`53433o7=nb:p74>72909wS?j7:?:?71;296~X6lj1655o53``8yv560;0;6?uQ1e289<>>2:ki7p}<19194?2>s43347<>9:?:=g1654l521:89;>1m0346369e8;=>;>1m09<:5298f965><503n6564=8;f>=?<503n6?>8;<;:a?4702725k478:?:=c=<`=:92014o?:9:89;>i8035636a08142=:1h;1>=64=8c1>=><50k96574=8c1>760343j>7479:?:e6<58>165l=521:89;>i<034636a48;=>;>i<09<:529`7965><50k=6564=8c5>=?<50k=6?>8;<;b2?470272m:478:?:e2=d1=:92014o7:9:89;>i0035636a88142=:1h31>=64=8cb>=><50kj6574=8cb>760343jm7165ll521:89{t;82?6=4={<;;=?7492725n4=059~w67?=3:1>v368`8274=:10n1>=:4}r12<3<72;q655l512389;<;:a?47<2wx?<67:1818??l3;8=6369g8141=z{:;357>52z?:<`<6;8165l>52168yv560h0;6?u299d9567<50k:6?>;;|q05=d=838p147?:012?8?f:38;86s|30:`>5<5s432=7?<1:?:e6<58=1v>?7d;296~;>1;0:?<529`79652=<5=9:;014o9:327?xu491l1<7456343j87;>i>09<95rs23:5?6=:r725;4>309>=d>=:9>0q~=>9383>7}:10=1=>?4=8c:>7633ty8=4=50;0x9{t;83?6=4={<;:=?749272mo4=059~w67>=3:1>v369`8274=:1hi1>=:4}r12=3<7289p147m:2a4?8?>k39h;6369e80g2=:10o1?n94=8;e>6e0343j<7=l7:?:e4<4k>165l<53b589;>i<08o:529`497f1<50k<6>m8;<;b=dg=;j=014om:2a4?8?fk39h;636ae8046=zuk3ji7>514826?7bsA9h?6*h4i>0;76g>5683>!5f03;>:6`o6=<0;6)=n8;362>h4i>0:76g>5583>!5f03;>:6`o6=:0;6)=n8;362>h4i>0876g>5383>!5f03;>:6`o6=80;6)=n8;362>h4i>0>76g>5183>!5f03;>:6`o6<10;6)=n8;362>h4i>0<76g>o6:90;66g>o6:j0;66a>6883>!5f03;=46`i6>>0;6)=n8;35<>h4i>0:76a>6783>!5f03;=46`i6><0;6)=n8;35<>h4i>0876a>6583>!5f03;=46`i6>:0;6)=n8;35<>h4i>0>76a>6383>!5f03;=46`i6=h0;6)=n8;35<>h4i>0<76l6ag83>4<729q/?n?520c8L6ee3A9h?6a=1883>>{e1k:1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl6b083>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?e:3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn4l<:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a=g2=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th2n84?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm9c:94??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wi5o750;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{e1kk1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a=gd=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sm9ca94??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wi5oj50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{e1ko1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a=g`=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sm9b294?5=83:p(>m>:30;?M5dj2B8o>5+23393`=n:8i1<75f20f94?=h;991<75rs23:3?6=1rT2i636b98;0>;>j0038636b`8;0>;>jk038636bb8;0>;>jm038636bd8;0>;>jo0386s|30;;>5<5sW;>;636bg8274=z{:;257>52z\210=:1ko1=>?4}r12=d<72;qU=8:4=8`g>4563ty8=4l50;0xZ434343io7?<1:p74?d2909wS?:2:?:fg<6;81v>?6d;296~X6=8165oo51238yv561l0;6?uQ14289289:7p}<18d94?4|V8>3707m8;305>{t;8k;6=4={_1`3>;>k909=n5rs23b5?6=irT:>=529c29576<50h:6<4>219>=g2=9;:014l::003?8?e>3;9<636b68265=:1j:1>2b9>=gg=9;i014lm:00`?8?ek3;9o636be826f=:1ko1=?m4=8`e>44d3ty8=l=50;0xZ40>343i;7=nb:p74g32909wS?97:?:f3<4ik1v>?n5;296~X6>?165o;53``8yv56i?0;6?uQ17789{t;8k36=4={_357>;>j;08mo5rs23b=?6=:rT::?529c397ddom;|q05dd=83;2w07nf;02=>;>j103:636b98;<>;>j1035636b88;2>;>j0034636b88;=>;>jh03:636b`8;<>;>jh035636bc8;2>;>jk034636bc8;=>;>jj03:636bb8;<>;>jj035636be8;2>;>jm034636be8;=>;>jl03:636bd8;<>;>jl035636bg8;2>;>jo034636bg8;=>{t;8kh6=4={<;a4?749272n54v36b08274=:1k31?ll4}r12e`<72;q65o<512389;<;af?5fj2wx?52z?:f0<6;8165oj53``8yv56j;0;6?u29c49567<50hn6>om;|q05g5=838p14l8:012?8?en39jn6s|30`7>5<>s43i47?=0:?:f<<6:9165oo513289;>jm0:>=529cg9576<50hm6<:1821?7528opD>m<;%1`5?53i<1Qi:4<{0;9<54;h363?6=,:k36<;9;o1b3?6<3`;>97>5$2c;>4313g9j;7?4;h360?6=,:k36<;9;o1b3?4<3`;>?7>5$2c;>4313g9j;7=4;h366?6=,:k36<;9;o1b3?2<3`;>=7>5$2c;>4313g9j;7;4;h364?6=,:k36<;9;o1b3?0<3`;?47>5$2c;>4313g9j;794;h1`3?6=3`;9<7>5;h1a=?6=3`;9o7>5;n35=?6=,:k36<87;o1b3?6<3f;=;7>5$2c;>40?3g9j;7?4;n352?6=,:k36<87;o1b3?4<3f;=97>5$2c;>40?3g9j;7=4;n350?6=,:k36<87;o1b3?2<3f;=?7>5$2c;>40?3g9j;7;4;n356?6=,:k36<87;o1b3?0<3f;>m7>5$2c;>40?3g9j;794;c;`6?6=93:1m<;n02=?6=3th2o>4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?46=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm9b494?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd>k>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo7l8;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi5no50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`:gg<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl6cb83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th2oi4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd>kl0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`:gc<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl6d183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th2h<4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd>l;0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`:`6<72:0;6=u+3b3967><@:ii7E=l3:&164<0m2c9=n4?::k15a<722e8<>4?::p74d22902wS7j;<;`f?>3343ho76;;<;``?>3343hi76;;<;`b?>3343o<76;;<;g5?>3343o>76;;|q05g0=838pR<;8;<;g6?7492wx?309~w67e03:1>vP>559>=a6=9:;0q~=>b883>7}Y9<9014mi:012?xu49kk1<75<5sW;>=636ce8274=z{:;io7>52z\215=:1ji1=>?4}r12fa<72;qU=964=8aa>4563ty8=ok50;0xZ6e0343o?7<>c:p74da290jwS?=0:?:g6<6:9165n:513289;>k>0:>=529b:9576<50i26<4=1e9~w67d83:1=2l2014mn:d:89;>km0:>n529bg957e<50im6<2b9>=a4=9;i0q~=>c083>7}Y9?3014mn:2ca?xu49j81<75<5sW;=:636c980eg=z{:;h87>52z\220=:1j=1?ll4}r12g0<72;qU=;:4=8a5>6ge3ty8=n850;0xZ404343h97=nb:p74e02909wS?92:?:g1<4ik1v>?l8;296~X6=h165n=53``8yv56k00;6<7t=8a1>77>343hn769;<;`f?>?343hn766;<;`g?>1343ho767;<;`g?>>343hh769;<;``?>?343hh766;<;`a?>1343hi767;<;`a?>>343hj769;<;`b?>?343hj766;<;g4?>1343o<767;<;g4?>>343o=769;<;g5?>?343o=766;<;g6?>1343o>767;<;g6?>>3ty8=no50;0x9{t;8ii6=4={<;`0?749272on4v36c48274=:1jn1?ll4}r12ga<72;q65n8512389;<;`b?5fj2wx?52z?:g<<6;8165i?53``8yv56l80;6?u29bc9567<50n96>om;|q05a4=833p14mm:003?8?dk3;9<636ce8265=:1jo1=?>4=8ae>447343o<7?=0:?:`4<6:9165i<513289j96Tj7;1x5<o8:198m430290/?l651448j6g02910e<;::18'7d>=9<<0b>o8:098m433290/?l651448j6g02;10e<;<:18'7d>=9<<0b>o8:298m435290/?l651448j6g02=10e<;>:18'7d>=9<<0b>o8:498m437290/?l651448j6g02?10e<:7:18'7d>=9<<0b>o8:698m6e02900e<2900e<290/?l6517:8j6g02910c<88:18'7d>=9?20b>o8:098k401290/?l6517:8j6g02;10c<8::18'7d>=9?20b>o8:298k403290/?l6517:8j6g02=10c<8<:18'7d>=9?20b>o8:498k405290/?l6517:8j6g02?10c<;n:18'7d>=9?20b>o8:698f2900qo7k6;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fN4k:1/>??52:kf5;h305?6=3f9jn7>5;|`:`<<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb8fa>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e1mi1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl6de83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?cm3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo7j0;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg?b:3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo7j4;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg?b>3:1?7>50z&0g4<5:11C?nl4H2a0?!4593=n7d<>c;29?l46l3:17b=?3;29?xu49m91<77t^8g89014ji:9689014k>:9689014k<:9689014k::968yv56l=0;6?uQ14589707j4;305>{t;8n=6=4={_360>;>m:0:?<5rs23g3?6=:rT:9>529d09567;|q05a?=838pR<;>;<;f4?7492wx?309~w67cj3:1>vP>499>=ac=9:;0q~=>db83>7}Y;j=014k9:33`?xu49mn1<7ot^003?8?c>3;9<636d68265=:1m21=?>4=8f:>447343om7?=0:?:`g<6:9165im513289{t;8nn6=4>1z\26f=:1m<1i5529e59a==:1m21i5529e;9a==:1mk1i5529e`9a==:1mi1i5529ef9a==:1mo1=?m4=8fe>44d343n<7?=c:?:a4<6:j165h<513a89;>m<0:>n5rs23gb?6=:rT::4529ef97ddom;|q05`7=838pR<89;<;gf?5fj2wx?vP>659>=a?=;hh0q~=>e583>7}Y9?9014j7:2ca?xu49l?1<75<5sW;>m636d780eg=z{:;n;7>518y>=a3=:83014jj:9489:9:89e983>7}:1m<1=>?4=8ff>6ge3ty8=h750;0x9{t;8oj6=4={<;gv36d88274=:1l;1?ll4}r12af<72;q65io512389;<;f7?5fj2wx?52z?:`a<6;8165h;53``8yv56n90;64u29eg9576<50nm6<219>=`4=9;:014k<:003?8?b<3;9<636e48265=:1l<1?==4}|`:a2<728?1=?4>ezJ0g6=#;j;1?9o:;[g4>6}6132;65<5}h;f>5<#;h215i5a3`594>=n9<=1<7*=n9<>1<7*65f14194?"4i10:9;5a3`597>=n9<81<7*=n9<:1<7*=n;j=1<75f13294?=n;k31<75f13a94?=h9?31<7*=h9?<1<7*65`17794?"4i10::55a3`597>=h9?>1<7*=h9?81<7*=e1l21<7?50;2x 6e62;;j7E=lb:J0g6=h:831<75rb8g:>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e1lk1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl6ec83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?bk3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn4kk:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a=`c=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th2ik4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f<`529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb8d0>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vn4h;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=46:183!5d939ij6F5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f<`129026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb8d4>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vn4h7:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5<5<7>52z\212=:1o21=>?4}r12b6<72;qU=8;4=8d4>4563ty8=k:50;0xZ433343m:7?<1:p74`22909wS?:3:?:b0<6;81v>?i6;296~X6=;165k:51238yv56n>0;6?uQ14389<`4289:7p}<1g:94?4|V8?;707i2;305>{t;8l26=4={_37<>;>n80:?<5rs23ee?6=:rT8o:529g;964e219>=`e=9;:014kk:003?8?bm3;9<636eg8265=:1o:1=?>4=8d:>77c3ty8=km50;32[75k272i44j8:?:ad2b9>=c4=9;i014h<:00`?8?a<3;9o636f4826f=:1o<1=?m4=8d4>44d343m47?=c:p74`c2909wS?99:?:b5<4ik1v>?ie;296~X6>>165hh53``8yv56no0;6?uQ17489707jd;1bf>{t;;::6=4={_350>;>mj08mo5rs2036?6=:rT::>529d`97dd4?:3y]534<50oj6>om;|q0652=838pR<;n;<;f=?5fj2wx??>::182=~;>m109=4529g39<3=:1o;145529g39<<=:1o814;529g09<==:1o8144529g19<3=:1o9145529g19<<=:1o>14;529g69<==:1o>144529g79<3=:1o?145529g79<<=:1o<14;529g49<==:1o<144529g59<3=:1o=145529g59<<=:1o214;529g:9<==:1o21445rs2032?6=:r72i44>309>=c7=;hh0q~==0683>7}:1lk1=>?4=8d1>6ge3ty8>=650;0x9{t;;:26=4={<;fg?749272j94v36ee8274=:1o?1?ll4}r114g<72;q65hk512389<`12:ki7p}<21a94?4|50om6<=>;<;e3?5fj2wx??>k:1818?a83;8=636f980eg=z{:8;i7>59z?:b4<6:9165k<513289<`4288;707i4;314>;>n<0:>=529g49576<50l<6<2;3fM5d;2.8o<4<4`78^`1=;r;265>5838~m2d8m:4?;:k210<72-9j47?:6:l0e2<632c:994?:%1b2d8m:4=;:k216<72-9j47?:6:l0e2<432c:9?4?:%1b2d8m:4;;:k214<72-9j47?:6:l0e2<232c:9=4?:%1b2d8m:49;:k20=<72-9j47?:6:l0e2<032c8o:4?::k265<722c8n44?::k26f<722e::44?:%1b5}#;j;1>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th2ji4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sma1294?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdf880;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoo?2;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fd64290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wim=:50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{ei9?1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ae50=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sma1594??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wim=650;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{ei931<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ae5g=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sma1`94??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wim=m50;194?6|,:i:6?<7;I1`f>N4k:1/>??57d9j64e=831b>m27j<9474:?b40309~w64693:1>vP>549>e5g=9:;0q~==1383>7}Y9<>01l>6:012?xu4:891<75<5sW;>>63n068274=z{:8:97>52z\214=:i9<1=>?4}r1153<72;qU=8>4=`26>4563ty8><950;0xZ42?34k;87?<1:p777?2909wS=l7:?b4f<59j1v><>9;29e~X6:9165km513289<`c288;707ie;314>;>no0:>=52a129576<5h::6<4>219>e5e=:8n0q~==1`83>47|V88h707ic;g;?8?al3o3707ie;g;?8?an3o370o?0;g;?8g793o370o?2;g;?8g7;3o370o?4;31g>;f8<0:>n52a14957e<5h:<6<2b9>e5g=9;i01l>m:00`?xu4:8h1<75<5sW;=;63n0380eg=z{:8:h7>52z\223=:i9;1?ll4}r115`<72;qU=;;4=`23>6ge3ty8><=1;296~X6>;165kj53``8yv55:;0;6?uQ14c89<`d2:ki7p}<23194?7>s43mn7<>9:?b4127j<9478:?b4127j<;478:?b4327j<5478:?b4=27j;f8=08mo5rs2011?6=:r72ji4>309>e53=;hh0q~==2783>7}:1oo1=>?4=`25>6ge3ty8>?950;0x9<`a289:70o?7;1bf>{t;;836=4={v3n008274=:i931?ll4}r116d<72;q6m=<512389d6f2:ki7p}<23`94?4|5h:86<=>;4=`24>44734k;47?=0:?b4<<6:916m=o513289d6e288;70o?c;137>{zjh:o6=4>5;31>4c|@:i87)=l1;17e0=]m>08w<75818;6?{n1l0;6)=n8;;g?k5f?3:07d?:7;29 6g?28?=7c=n7;28?l72=3:1(>o7:075?k5f?3;07d?:4;29 6g?28?=7c=n7;08?l72;3:1(>o7:075?k5f?3907d?:2;29 6g?28?=7c=n7;68?l7293:1(>o7:075?k5f?3?07d?:0;29 6g?28?=7c=n7;48?l7303:1(>o7:075?k5f?3=07d=l7;29?l7583:17d=m9;29?l75k3:17b?99;29 6g?28<37c=n7;28?j71?3:1(>o7:04;?k5f?3;07b?96;29 6g?28<37c=n7;08?j71=3:1(>o7:04;?k5f?3907b?94;29 6g?28<37c=n7;68?j71;3:1(>o7:04;?k5f?3?07b?92;29 6g?28<37c=n7;48?j72i3:1(>o7:04;?k5f?3=07oo?e;295?6=8r.8o<4=1`9K7fd<@:i87b<>9;29?xdf8o0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoo>0;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fd76290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wim<<50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`b56<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb`36>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{ei8<1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pln1683><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thj=54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdf900;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`b5d<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pln1c83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thj=n4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdf9m0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`b5`<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pln1g83>6<729q/?n?523:8L6ee3A9h?6*=2084a>o59j0;66g=1e83>>i48:0;66s|330g>5<>sW3n70o>7;:7?8g6032?70o>9;:7?8g6i32?70o>b;:7?8g6k32?70o>d;:7?8g6m32?7p}<23g94?4|V8?<70o>e;305>{t;;8m6=4={_361>;f9m0:?<5rs2004?6=:rT:9952a0a9567;|q0664=838pR<;=;309~w644<3:1>vP>519>e4>=9:;0q~==3483>7}Y9=201l?8:012?xu4::<1<754=`32>44734k:>7?=0:?b56<6:916m<:513289d72288;70o>6;314>;f9o09=i5rs200`><5h;;6h64=`32>`><5h;96h64=`30>`><5h;?6h64=`36>`><5h;=6h64=`34>44d34k:47?=c:?b5<<6:j16mc;31g>;f9m0:>n52a0g957eom;|q066g=838pR<88;27j=94vP>649>e45=;hh0q~==3e83>7}Y9?>01l?=:2ca?xu4::o1<75<5sW;=>63n1180eg=z{:8?<7>52z\21d=:i9l1?ll4}r1104<7283p1l>j:33:?8g6?32=70o>7;:;?8g6?32270o>8;:5?8g6032370o>8;::?8g6132=70o>9;:;?8g6132270o>a;:5?8g6i32370o>a;::?8g6j32=70o>b;:;?8g6j32270o>c;:5?8g6k32370o>c;::?8g6l32=70o>d;:;?8g6l32270o>e;:5?8g6m32370o>e;::?xu4:=81<745634k:;7=nb:p77242909w0o>0;305>;f9108mo5rs2070?6=:r7j=<4>309>e4?=;hh0q~==4483>7}:i881=>?4=`3b>6ge3ty8>9850;0x9d74289:70o>b;1bf>{t;;><6=4={v3n148274=:i8n1?ll4}r110<<72;q6m<8512389d7b2:ki7p}<25c94??|5h;<6<219>e4g=9;:01l?m:003?8g6k3;9<63n1e8265=:i8o1=?>4=`3e>6643twim?>50;36>44=9lqC?n=4$2a2>62f=2Pn;7=t188;4?>52tc2i7>5$2c;>5<#;h21=884n2c4>5=6=4+3`:95005<#;h21=884n2c4>7=54i071>5<#;h21=884n2c4>1=5<#;h21=884n2c4>3=36=4+3`:95005<5<5<#;h21=;64n2c4>5=5<#;h21=;64n2c4>7=6=4+3`:953>54o047>5<#;h21=;64n2c4>1=5<#;h21=;64n2c4>3=5<6290;w)=l1;02e>N4kk1C?n=4o33:>5<7>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb`00>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{ei;>1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pln2483>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygg5>3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnl<8:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::ae7>=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thj>44?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoo=b;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygg5l3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoo=f;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygg493:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?1b3`8:o7>5;h02`?6=3f9;?7>5;|q061d=833pR4k4=`0b>=2<5h8i65:4=`0`>=2<5h8o65:4=`0f>=2<5h8m65:4=`13>=2<5h9:65:4}r110f<72;qU=894=`12>4563ty8>9j50;0xZ43234k8<7?<1:p772b2909wS?:4:?b6c<6;81v><;f;296~X6=:16m?k51238yv55=90;6?uQ14089d4c289:7p}<24394?4|V8?:70o=c;305>{t;;?96=4={_364>;f:k0:?<5rs2067?6=:rT:8552a3c9567>4>219>e72=9;:01l<::003?8g5>3;9<63n268265=:i;21=?>4=`0:>44734k8>7<>d:p7731290:=vP>2b9>e74=m116m?=5e99>e72=m116m?;5e99>e70=m116m?95e99>e7>=m116m?75e99>e7g=9;i01l44d34k8<7?=c:?b74<6:j1v><:7;296~X6>016m?753``8yv55=10;6?uQ17589d4?2:ki7p}<24;94?4|V8<=70o=7;1bf>{t;;?j6=4={_351>;f:?08mo5rs206f?6=:rT::952a3797ddom;|q060b=838pR<8=;?4=><5h8j6574=`0a>=0<5h8i6564=`0a>=?<5h8h6584=`0`>=><5h8h6574=`0g>=0<5h8o6564=`0g>=?<5h8n6584=`0f>=><5h8n6574=`0e>=0<5h8m6564=`0e>=?<5h9;6584=`13>=><5h9;6574=`12>=0<5h9:6564=`12>=?e74=9:;01l45634k9n7=nb:p77052909w0o=4;305>;f:j08mo5rs2057?6=:r7j>84>309>e7b=;hh0q~==6583>7}:i;<1=>?4=`0f>6ge3ty8>;;50;0x9d40289:70o=f;1bf>{t;;<=6=4={v3n288274=:i:;1?ll4}r112=<720q6m?o513289d4e288;70o=c;314>;f:m0:>=52a3g9576<5h8m6<219>e64=;990qpln3283>43=9;0:ivF7Wk8:2y2=?>72181qd7j:18'7d>=1m1e?l950:9j501=83.8m54>579m7d1=821b=8;50;&0e=<6=?1e?l951:9j502=83.8m54>579m7d1=:21b=8=50;&0e=<6=?1e?l953:9j504=83.8m54>579m7d1=<21b=8?50;&0e=<6=?1e?l955:9j506=83.8m54>579m7d1=>21b=9650;&0e=<6=?1e?l957:9j7f1=831b=?>50;9j7g?=831b=?m50;9l53?=83.8m54>699m7d1=821d=;950;&0e=<6>11e?l951:9l530=83.8m54>699m7d1=:21d=;;50;&0e=<6>11e?l953:9l532=83.8m54>699m7d1=<21d=;=50;&0e=<6>11e?l955:9l534=83.8m54>699m7d1=>21d=8o50;&0e=<6>11e?l957:9ae62=83;1<7>t$2a2>77f3A9hn6F5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::ae60=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thj?:4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sma2c94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdf;k0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoo=831b=?>50;9j567=831d?ll50;9~fd5c29026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb`1f>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnl=i:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<;6=46:183!5d939ij6F5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fd2629026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb`61>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnl:<:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<?6=46:183!5d939ij6F5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fd2229086=4?{%1`5?4502B8oo5G3b18 7462>o0e??l:188m77c2900c>><:188yv55>00;64uQ9d9>e6b=0=16m>k5859>e6`=0=16m9>5859>e17=0=16m9<5859>e15=0=16m9:5859~w641i3:1>vP>569>e12=9:;0q~==6c83>7}Y95<5sW;>?63n408274=z{:8=i7>52z\217=:i=:1=>?4}r112c<72;qU=8?4=`1e>4563ty8>:>50;0xZ43734k8i7?<1:p77162909wS?;8:?b7a<6;81v><82;296~X4k>16m9;520a8yv55?:0;6luQ13289d52288;70o<6;314>;f;>0:>=52a2:9576<5h926<219>e6e=9;:01l:::33g?xu4:>>1<7?>{_31g>;f;<0n463n378f<>;f;>0n463n398f<>;f;00n463n3`8f<>;f;k0n463n3b8f<>;f;m0:>n52a2g957e<5h9m6<2b9>e14=9;i01l:<:00`?8g3<3;9o6s|3356>5<5sW;=563n3b80eg=z{:8<:7>52z\222=:i:h1?ll4}r1132<72;qU=;84=`1b>6ge3ty8>:650;0xZ40234k857=nb:p771>2909wS?94:?b7=<4ik1v><8a;296~X6>:16m>953``8yv55?k0;6?uQ17089d512:ki7p}<26a94?4|V8?j70o<5;1bf>{t;;=o6=4>9z?b71<59016m>j5879>e6b=0116m>j5889>e6c=0?16m>k5899>e6c=0016m>h5879>e6`=0116m>h5889>e16=0?16m9>5899>e16=0016m9?5879>e17=0116m9?5889>e14=0?16m9<5899>e14=0016m9=5879>e15=0116m9=5889>e12=0?16m9:5899>e12=001v><8e;296~;f;<0:?<52a2f97dde60=9:;01l=j:2ca?xu4:1:1<745634k8j7=nb:p77>62909w0o<8;305>;f<908mo5rs20;6?6=:r7j?44>309>e17=;hh0q~==8283>7}:i:k1=>?4=`61>6ge3ty8>5:50;0x9d5e289:70o;3;1bf>{t;;2>6=4={3:15v3n3e8265=:i:o1=?>4=`1e>44734k?<7?=0:?b04<6:916m9<513289d24288;70o;4;314>;f<<08<>5r}cc72?6=9<0:>7?j{I1`7>"4k8088l;4Zd597~7>21:14?4ri8g94?"4i102h6`o6=>0;6)=n8;362>h4i>0;76g>5483>!5f03;>:6`o6==0;6)=n8;362>h4i>0976g>5283>!5f03;>:6`o6=;0;6)=n8;362>h4i>0?76g>5083>!5f03;>:6`o6=90;6)=n8;362>h4i>0=76g>4983>!5f03;>:6`o4k>0;66g>2183>>o4j00;66g>2b83>>i6>00;6)=n8;35<>h4i>0;76a>6683>!5f03;=46`i6>?0;6)=n8;35<>h4i>0976a>6483>!5f03;=46`i6>=0;6)=n8;35<>h4i>0?76a>6283>!5f03;=46`i6>;0;6)=n8;35<>h4i>0=76a>5`83>!5f03;=46`df<>0;6<4?:1y'7f7=:8k0D>mm;I1`7>i5900;66sma5:94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdf<00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoo;a;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fd2e290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wim9m50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`b0a<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb`6e>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{ei<:1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ae07=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sma4094??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wim8=50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{ei<>1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ae03=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sma4494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wim8950;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{ei<21<7=50;2x 6e62;837E=lb:J0g6=#:;;1;h5f20a94?=n:8n1<75`31194?=z{:83;7>59z\:a>;f=903863n508;0>;f=;03863n528;0>;f==03863n548;0>;f=?03863n568;0>{t;;236=4={_363>;f=>0:?<5rs20;=?6=:rT:9852a4495676<=>;|q06=d=838pR<;<;4>309~w64?l3:1>vP>509>e04=9:;0q~==8d83>7}Y9<:01l;>:012?xu4:1l1<75<5sW9h;63n59815f=z{:82=7>5az\265=:i=21=?>4=`6:>44734k?m7?=0:?b0g<6:916m9m513289d2c288;70o;e;314>;f=52a4:964b<7?=c:?b14<6:j16m8<513a89d34288h70o:4;31g>;f=<0:>n52a44957e<5h?<6<=3:1>vP>679>e1b=;hh0q~==9783>7}Y9??01l:l:2ca?xu4:0=1<75<5sW;=?63n4`80eg=z{:8257>52z\227=:i=31?ll4}r11=d<72;qU=8o4=`6;>6ge3ty8>4l50;3:8g3?38:563n518;2>;f=903463n518;=>;f=803:63n508;<>;f=803563n538;2>;f=;03463n538;=>;f=:03:63n528;<>;f=:03563n558;2>;f==03463n558;=>;f=<03:63n548;<>;f=<03563n578;2>;f=?03463n578;=>;f=>03:63n568;<>;f=>0356s|33;`>5<5s4k?47?<1:?b15<4ik1v><6d;296~;f<00:?<52a4397dde1g=9:;01l;=:2ca?xu4:0l1<745634k>?7=nb:p77g72909w0o;c;305>;f==08mo5rs20b5?6=:r7j8i4>309>e03=;hh0q~==a383>7}:i=o1=>?4=`75>6ge3ty8>l=50;0x9d2a289:70o:7;1bf>{t;;k?6=46{219>e04=9;:01l;<:003?8g2<3;9<63n548265=:i<<1=?>4=`74>44734k>47=?3:~fd3>290:97?=:0gxL6e43-9h=7=;a49Ya2<4s8314=472;j=`<72-9j477k;o1b3?6<3`;>;7>5$2c;>4313g9j;7>4;h361?6=,:k36<;9;o1b3?7<3`;>87>5$2c;>4313g9j;7<4;h367?6=,:k36<;9;o1b3?5<3`;>>7>5$2c;>4313g9j;7:4;h365?6=,:k36<;9;o1b3?3<3`;><7>5$2c;>4313g9j;784;h375;h314?6=3`9i57>5;h31g?6=3f;=57>5$2c;>40?3g9j;7>4;n353?6=,:k36<87;o1b3?7<3f;=:7>5$2c;>40?3g9j;7<4;n351?6=,:k36<87;o1b3?5<3f;=87>5$2c;>40?3g9j;7:4;n357?6=,:k36<87;o1b3?3<3f;=>7>5$2c;>40?3g9j;784;n36e?6=,:k36<87;o1b3?1<3kk>m7>51;294~"4k809=l5G3b`8L6e43f8:57>5;|`b1g<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<o7>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb`7g>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{ei6gj8;29?l7583:17d?<1;29?j5fj3:17pln5g83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygg183:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnl8>:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::ae34=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thj:>4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdf>=0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`b20<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pln6783><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thj::4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdf>10;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`b2<<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pln6`83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thj:o4?:283>5}#;j;1>?64H2aa?M5d;2.9><48e:k15f<722c9=i4?::m046<722wx??o::18:[?b34k=?76;;334k=976;;334k=;76;;334k=576;;33ty8>l850;0xZ43034k=m7?<1:p77g02909wS?:5:?b2<<6;81v>{t;;ki6=4={_365>;f><0:?<5rs20bg?6=:rT:9=52a769567<5h<86<=>;|q06dc=838pR>m8;219>e0e=9;:01l;k:003?8g2m3;9<63n5g8265=:i?:1=?>4=`42>44734k=>7?=0:?b2g<59m1v>:d:89d052l201l8<:00`?8g1<3;9o63n64826f=:i?<1=?m4=`44>44d34k=47?=c:?b2<<6:j16m;o513a8yv55j80;6?uQ17;89d052:ki7p}<2c094?4|V8<<70o91;1bf>{t;;h86=4={_352>;f>908mo5rs20a0?6=:rT::852a4d97ddom;|q06g0=838pR<8<;vP>5`9>e0d=;hh0q~==b883>4?|5h?j6??6;134k=?767;>34k=8769;?34k=8766;134k=9767;>34k=:769;?34k=:766;134k=;767;>34k=4769;?34k=4766;134k=5767;>34k=m769;?34k=m766;|q06gg=838p1l;m:012?8g1;39jn6s|33`a>5<5s4k>o7?<1:?b21<4ik1v>e0c=9:;01l89:2ca?xu4:ko1<745634k=;7=nb:p77da2909w0o90;305>;f>108mo5rs20`4?6=:r7j:<4>309>e3?=;hh0q~==c083>7}:i?81=>?4=`4b>6ge3ty8>n<50;;x9d04288;70o94;314>;f><0:>=52a749576<5h<<6<219>e3g=9;:01l8m:220?x{ei?i1<7?::0095`}O;j90(>m>:26b1>\b?39p=4470;:1>xo>m3:1(>o7:8f8j6g02910e<;8:18'7d>=9<<0b>o8:198m432290/?l651448j6g02810e<;;:18'7d>=9<<0b>o8:398m434290/?l651448j6g02:10e<;=:18'7d>=9<<0b>o8:598m436290/?l651448j6g02<10e<;?:18'7d>=9<<0b>o8:798m42?290/?l651448j6g02>10e>m8:188m4472900e>l6:188m44d2900c<86:18'7d>=9?20b>o8:198k400290/?l6517:8j6g02810c<89:18'7d>=9?20b>o8:398k402290/?l6517:8j6g02:10c<8;:18'7d>=9?20b>o8:598k404290/?l6517:8j6g02<10c<8=:18'7d>=9?20b>o8:798k43f290/?l6517:8j6g02>10nl8k:182>5<7s-9h=7<>a:J0gg=O;j90c??6:188ygg1m3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnl8i:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::ae26=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thj;<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sma6694?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdf?<0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoo86;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygg003:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoo8a;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygg0k3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoo8e;297?6=8r.8o<4=299K7fd<@:i87)<=1;5f?l46k3:17d<>d;29?j57;3:17p}<2b194??|V0o01l99:9689d1021>01l97:9689d1>21>01l9n:9689d1e21>01l9l:9689d1c21>0q~==c583>7}Y9<=01l9k:012?xu4:j?1<75<5sW;>863n7c8274=z{:8h;7>52z\216=:i>k1=>?4}r11g=<72;qU=8<4=`5:>4563ty8>n750;0xZ43634k<47?<1:p77ef2909wS?:0:?b32<6;81v>;f>o0:>=52a629576<5h=:6<4>219>e22=9;:01l9::003?8g0m38:h6s|33af>5<69rT:>n52a7g9a==:i?l1i552a629a==:i>;1i552a609a==:i>91i552a669a==:i>?1i552a64957e<5h=<6<2b9>e2g=9;i01l9m:00`?8g0k3;9o63n7e826f=z{:8hj7>52z\22<=:i>?1?ll4}r11`5<72;qU=;94=`57>6ge3ty8>i?50;0xZ40134k=16m:?53``8yv55l=0;6?uQ17189d172:ki7p}<2e794?4|V8<970o9f;1bf>{t;;n=6=4={_36e>;f>l08mo5rs20g3?6=90q6m;j520;89d1121<01l99:9:89d1121301l98:9489d1021201l98:9;89d1?21<01l97:9:89d1?21301l96:9489d1>21201l96:9;89d1f21<01l9n:9:89d1f21301l9m:9489d1e21201l9m:9;89d1d21<01l9l:9:89d1d21301l9k:9489d1c21201l9k:9;8yv55l10;6?u2a7g9567<5h==6>om;|q06a?=838p1l8i:012?8g0?39jn6s|33fb>5<5s4k<<7?<1:?b3=<4ik1v>e24=9:;01l9n:2ca?xu4:mn1<745634k;f?j08mo5rs20gb?6=:r7j;84>309>e2b=;hh0q~==e183><}:i><1=?>4=`54>44734k<47?=0:?b3<<6:916m:o513289d1e288;70o8c;314>;f?m0:>=52a6g97555+3b3971g23So<6>u>9;:3>=4=u`3n6=4+3`:9=a=i;h=1<65f14594?"4i10:9;5a3`594>=n9=n9<91<7*=n9<;1<7*=n9=21<7*=h9?=1<7*=h9??1<7*=h9?91<7*=h9m>:33b?M5dj2B8o>5`20;94?=zjh2:6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sma9094?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdf0:0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoo74;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fd>2290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wim5850;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`b<2<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb`::>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnl6n:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fd>d29026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb`:g>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnl6j:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fd?729026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb`;2>5<4290;w)=l1;01<>N4kk1C?n=4$302>2c5<4?:3y]503<5h2m6<=>;|q06`2=838pR<;;;309~w64b>3:1>vP>539>e=e=9:;0q~==e683>7}Y9<;01l6m:012?xu4:l21<75<5sW;?463n888274=z{:8nm7>52z\0g2=:i0;1>4=`:2>44734k3>7?=0:?b<6<6:916m5:513289d>2288;70o76;314>;f0>0:>=52a9:9576<5h3:6??k;|q06`e=83;:wS?=c:?b<4e288h70o7c;31g>;f0m0:>n52a9g957e<5h2m6<vP>669>e=1=;hh0q~==eg83>7}Y9?<01l69:2ca?xu4:o:1<75<5sW;=863n8580eg=z{:8m>7>52z\226=:i191?ll4}r11b6<72;qU=;<4=`:1>6ge3ty8>k:50;0xZ43f34k3=7=nb:p77`2290:5v3n81815<=:i1314;52a9;9<==:i1314452a9c9<3=:i1k14552a9c9<<=:i1h14;52a9`9<==:i1h14452a9a9<3=:i1i14552a9a9<<=:i1n14;52a9f9<==:i1n14452a9g9<3=:i1o14552a9g9<<=:i1l14;52a9d9<==:i1l14452a829<3=:i0:14552a829<<=z{:8m:7>52z?b<4<6;816m5753``8yv55n>0;6?u2a909567<5h2j6>om;|q06c>=838p1l6<:012?8g?j39jn6s|33d:>5<5s4k387?<1:?be=0=9:;01l6j:2ca?xu4:oi1<745634k3j7=nb:p77`c2909w0o78;305>;f1908mo5rs20ea?6=1r7j444>219>e=g=9;:01l6m:003?8g?k3;9<63n8e8265=:i1o1=?>4=`:e>44734k2<7?=0:?b=4<48:1vqoo62;2950<6:3;nwE=l3:&0g4<4=6=0;0ve4k50;&0e=<>l2d8m:4?;:k212<72-9j47?:6:l0e2<732c:984?:%1b2d8m:4>;:k211<72-9j47?:6:l0e2<532c:9>4?:%1b2d8m:4<;:k217<72-9j47?:6:l0e2<332c:9<4?:%1b2d8m:4:;:k215<72-9j47?:6:l0e2<132c:854?:%1b2d8m:48;:k0g2<722c:>=4?::k0f<<722c:>n4?::m22<<72-9j47?98:l0e2<732e:::4?:%1b;:m223<72-9j47?98:l0e2<532e::84?:%1b4?:%1bN4k:1/>??52:kf5;h305?6=3f9jn7>5;|`b=0<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb`;4>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{ei021<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pln9883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygg>i3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnl7m:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aet$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sma8f94??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wim4k50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{ei0l1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aed6=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sma`394??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wiml<50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{eih91<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aed2=8391<7>t$2a2>74?3A9hn6F474:p76672909wS?:7:?be6<6;81v>=?1;296~X6=<16ml<51238yv548;0;6?uQ14689dg6289:7p}<31194?4|V8?870on0;305>{t;::?6=4={_366>;f1o0:?<5rs2131?6=:rT:9<52a8g9567;|q0751=838pR<:7;>7:181[5d?27jm94=1b9~w65713:1mvP>219>e<2=9;:01l7::003?8g>>3;9<63n968265=:i021=?>4=`;:>44734k2m7?=0:?b=g<6:916ml:520f8yv548h0;6<3o370o65;g;?8g>>3o370o67;g;?8g>03o370o69;g;?8g>i3o370o6b;g;?8g>k3;9o63n9e826f=:i0o1=?m4=`;e>44d34kj<7?=c:?be4<6:j16ml<513a89dg4288h7p}<31`94?4|V8<270o6b;1bf>{t;::h6=4={_353>;f1h08mo5rs213`?6=:rT::;52a8;97ddom;|q075`=838pR<8;;??:181[71;27j5;4vP>639>e<3=;hh0q~=<1383>7}Y927j5i478:?b=a27j5k478:?b=c27jm<478:?be427jm>478:?be6?;:1818g><3;8=63n9b80eg=z{:9:97>52z?b=0<6;816m4j53``8yv549?0;6?u2a849567<5h3n6>om;|q0741=838p1l78:012?8g>n39jn6s|323;>5<5s4k247?<1:?be5<4ik1v>=>9;296~;f100:?<52a`397dde45634kj?7=nb:p767d2902w0o6c;314>;f1m0:>=52a8g9576<5h3m6<219>ed4=9;:01lo<:003?8gf<39;?6srb`c6>5<6=3;967sf9d83>!5f033o7c=n7;28?l72?3:1(>o7:075?k5f?3:07d?:5;29 6g?28?=7c=n7;38?l72<3:1(>o7:075?k5f?3807d?:3;29 6g?28?=7c=n7;18?l72:3:1(>o7:075?k5f?3>07d?:1;29 6g?28?=7c=n7;78?l7283:1(>o7:075?k5f?3<07d?;8;29 6g?28?=7c=n7;58?l5d?3:17d?=0;29?l5e13:17d?=c;29?j7113:1(>o7:04;?k5f?3:07b?97;29 6g?28<37c=n7;38?j71>3:1(>o7:04;?k5f?3807b?95;29 6g?28<37c=n7;18?j71<3:1(>o7:04;?k5f?3>07b?93;29 6g?28<37c=n7;78?j71:3:1(>o7:04;?k5f?3<07b?:a;29 6g?28<37c=n7;58?ggf>3:1=7>50z&0g4<59h1C?nl4H2a0?j4613:17plna683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yggf03:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnlo6:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aedg=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thjmo4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sma`g94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdfio0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`bf5<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plnb083><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thjn?4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdfj:0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`bf1<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plnb483><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thjn;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdfj>0;6>4?:1y'7f7=:;20D>mm;I1`7>"5:80>o59m0;66a<0283>>{t;:;o6=46{_;f?8gfn32?70om0;:7?8ge932?70om2;:7?8ge;32?70om4;:7?8ge=32?70om6;:7?xu4;8o1<73;8=6s|323e>5<5sW;>963nb48274=z{:99<7>52z\211=:ik>1=>?4}r1064<72;qU=8=4=``0>4563ty8??<50;0xZ43534ki>7?<1:p76442909wS?:1:?bf4<6;81v>==4;296~X6=916mo>51238yv54:<0;6?uQ15:89dga289:7p}<33494?4|V:i<70om7;02g>{t;:8<6=4n{_314>;fi>0:>=52a`:9576<5hk26<219>ede=9;:01lok:003?8gfm3;9<63nb6815a=z{:9947>510y]57e<5hk<6h64=`c;>`><5hk26h64=`cb>`><5hki6h64=`c`>`><5hko6h64=`cf>`><5hkm6<2b9>eg4=9;i01ll<:00`?8ge<3;9o63nb4826f=:ik<1=?m4}r106<<72;qU=;74=`cf>6ge3ty8??o50;0xZ40034kjh7=nb:p764e2909wS?96:?bef<4ik1v>==c;296~X6><16mll53``8yv54:m0;6?uQ17689dgf2:ki7p}<33g94?4|V8<870on9;1bf>{t;:8m6=4={_356>;fi108mo5rs2104?6=:rT:9l52a`597dd32=70om6;:;?8ge>3227p}<32094?4|5hk<6<=>;=<:1818gf03;8=63nb180eg=z{:9887>52z?be<<6;816mo?53``8yv54;<0;6?u2a`c9567<5hh96>om;|q0760=838p1lom:012?8ge;39jn6s|3214>5<5s4kjo7?<1:?bf1<4ik1v>=<8;296~;fim0:?<52ac797ddedc=9:;01ll9:2ca?xu4;:k1<77t=`ce>44734ki<7?=0:?bf4<6:916mo<513289dd4288;70om4;314>;fj<0:>=52ac49576<5hh<6>><;|aeg>=83;>6<<51dyK7f5<,:i:6>:n5:Xf3?5|9003<76=:|k:a?6=,:k364j4n2c4>5=5<#;h21=884n2c4>4=5<#;h21=884n2c4>6=5<#;h21=884n2c4>0=5<#;h21=884n2c4>2=5<5<5<#;h21=;64n2c4>4=5<#;h21=;64n2c4>6=5<#;h21=;64n2c4>0=5<#;h21=;64n2c4>2=:183!5d938:m6Fm<;%015?4>o6:90;66g>3083>>i4ik0;66smaca94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdfjm0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoome;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fdda290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wimn>50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`bg4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yggd;3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qool5;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yggd?3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qool9;29=?6=8r.8o<45<5<5<53;294~"4k809>55G3b`8L6e43-89=79j;h02g?6=3`8:h7>5;n137?6=3ty8?>l50;;xZ=2<5hi?65:4=`a6>=2<5hi=65:4=`a4>=2<5hi365:4=`a:>=2;|q076b=838pR<;:;=j:181[72<27jo:4>309~w654n3:1>vP>529>ef0=9:;0q~=<4183>7}Y9<801lm::012?xu4;=;1<75<5sW;><63nc28274=z{:9??7>52z\20==:ij81=>?4}r1001<72;qU?n94=`ab>77d3ty8?9;50;cxZ44734kim7?=0:?bfg<6:916mom513289ddc288;70ome;314>;fjo0:>=52ab29576<5hi:6<:9:1825~X6:j16moo5e99>egd=m116mom5e99>egb=m116mok5e99>eg`=m116mn>5e99>ef7=m116mn<513a89de4288h70ol4;31g>;fk<0:>n52ab4957e<5hi<6<2b9~w653?3:1>vP>689>ef7=;hh0q~=<4983>7}Y9?=01lm?:2ca?xu4;=31<75<5sW;=963nbd80eg=z{:9?n7>52z\221=:ikn1?ll4}r100f<72;qU=;=4=```>6ge3ty8?9j50;0xZ40534kin7=nb:p762b2909wS?:a:?bfd<4ik1v>=;f;295<}:ik31><74=`a1>=0<5hi96564=`a1>=?<5hi86584=`a0>=><5hi86574=`a7>=0<5hi?6564=`a7>=?<5hi>6584=`a6>=><5hi>6574=`a5>=0<5hi=6564=`a5>=?<5hi<6584=`a4>=><5hi<6574=`a;>=0<5hi36564=`a;>=?<5hi26584=`a:>=><5hi26574}r1015<72;q6moo512389de52:ki7p}<34394?4|5hhi6<=>;;=:1818gek3;8=63nc580eg=z{:9>?7>52z?bfa<6;816mn;53``8yv54==0;6?u2acg9567<5hi=6>om;|q0703=838p1lli:012?8gd?39jn6s|3275>5<5s4kh<7?<1:?bg=<4ik1v>=:7;296~;fk80:?<52ab;97ddef4=9;:01lm<:003?8gd<3;9<63nc48265=:ij<1=?>4=`a4>44734kh47?=0:?bg<<6:916mno53118yxdfkk0;6<;51382a~N4k:1/?n?535c6?_c02:q:576?:909yl?b290/?l659e9m7d1=821b=8950;&0e=<6=?1e?l950:9j503=83.8m54>579m7d1=921b=8:50;&0e=<6=?1e?l952:9j505=83.8m54>579m7d1=;21b=8<50;&0e=<6=?1e?l954:9j507=83.8m54>579m7d1==21b=8>50;&0e=<6=?1e?l956:9j51>=83.8m54>579m7d1=?21b?n950;9j576=831b?o750;9j57e=831d=;750;&0e=<6>11e?l950:9l531=83.8m54>699m7d1=921d=;850;&0e=<6>11e?l952:9l533=83.8m54>699m7d1=;21d=;:50;&0e=<6>11e?l954:9l535=83.8m54>699m7d1==21d=;<50;&0e=<6>11e?l956:9l50g=83.8m54>699m7d1=?21imnm50;394?6|,:i:6??n;I1`f>N4k:1d><750;9~fdec290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wimnk50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`bgc<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb`f2>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{eim81<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plnd283>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yggc<3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnlj::18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fdb029026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb`f;>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnlj6:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fdbe29026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb`f`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnljk:180>5<7s-9h=7<=8:J0gg=O;j90(?<>:6g8m77d2900e??k:188k6642900q~=<5883><}Y1l16mi;5859>ea0=0=16mi95859>ea>=0=16mi75859>eag=0=16mil5859>eae=0=1v>=:a;296~X6=>16mim51238yv54=k0;6?uQ14789dbe289:7p}<34a94?4|V8??70oka;305>{t;:?o6=4={_367>;fl00:?<5rs216a?6=:rT:9?52ae:9567;|q0736=838pR<;?;8>:181[73027jh84>309~w651:3:1>vPeab=:8i0q~=<6283>d}Y9;:01lmk:003?8gdm3;9<63ncg8265=:im:1=?>4=`f2>44734ko>7?=0:?b`6<6:916mi:513289dbc2;;o7p}<37694?76sW;9o63nce8f<>;fkl0n463ncg8f<>;fl90n463nd08f<>;fl;0n463nd28f<>;fl=0n463nd4826f=:im<1=?m4=`f4>44d34ko47?=c:?b`<<6:j16mio513a89dbe288h70okc;31g>{t;:<>6=4={_35=>;fl=08mo5rs2152?6=:rT:::52ae197ddom;|q073>=838pR<8:;86:181[71<27jh=4vP>629>ef`=;hh0q~=<6c83>7}Y9?801lmj:2ca?xu4;?i1<75<61r7jon4=189>ea3=0?16mi;5899>ea3=0016mi85879>ea0=0116mi85889>ea1=0?16mi95899>ea1=0016mi65879>ea>=0116mi65889>ea?=0?16mi75899>ea?=0016mio5879>eag=0116mio5889>ead=0?16mil5899>ead=0016mim5879>eae=0116mim5889~w651m3:1>v3nce8274=:im?1?ll4}r102c<72;q6mnk512389db12:ki7p}<36294?4|5him6<=>;9>:1818gc83;8=63nd980eg=z{:9<>7>52z?b`4<6;816mi753``8yv54?:0;6?u2ae09567<5hnj6>om;|q0722=838p1lj<:012?8gcj39jn6s|3256>5<5s4ko87?<1:?b`f<4ik1v>=86;29=~;fl<0:>=52ae49576<5hn<6<219>eag=9;:01ljm:003?8gck3;9<63nde8046=zukkoi7>514826?7bsA9h?6*h4i>0;76g>5683>!5f03;>:6`o6=<0;6)=n8;362>h4i>0:76g>5583>!5f03;>:6`o6=:0;6)=n8;362>h4i>0876g>5383>!5f03;>:6`o6=80;6)=n8;362>h4i>0>76g>5183>!5f03;>:6`o6<10;6)=n8;362>h4i>0<76g>o6:90;66g>o6:j0;66a>6883>!5f03;=46`i6>>0;6)=n8;35<>h4i>0:76a>6783>!5f03;=46`i6><0;6)=n8;35<>h4i>0876a>6583>!5f03;=46`i6>:0;6)=n8;35<>h4i>0>76a>6383>!5f03;=46`i6=h0;6)=n8;35<>h4i>0<76lndg83>4<729q/?n?520c8L6ee3A9h?6a=1883>>{eil:1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plne083>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yggb:3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnlk<:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::ae`2=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thji84?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66smad:94??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wimh750;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{eilk1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ae`d=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smada94??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wimhj50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{eilo1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ae``=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smag294?5=83:p(>m>:30;?M5dj2B8o>5+23393`=n:8i1<75f20f94?=h;991<75rs2143?6=1rT2i63ne98;0>;fm003863ne`8;0>;fmk03863neb8;0>;fmm03863ned8;0>;fmo0386s|325;>5<5sW;>;63neg8274=z{:9<57>52z\210=:ilo1=>?4}r103d<72;qU=8:4=`gg>4563ty8?:l50;0xZ43434kno7?<1:p761d2909wS?:2:?bag<6;81v>=8d;296~X6=816mho51238yv54?l0;6?uQ14289dc>289:7p}<36d94?4|V8>370oj8;305>{t;:2;6=4={_1`3>;fn909=n5rs21;5?6=irT:>=52ad29576<5ho:6<4>219>e`2=9;:01lk::003?8gb>3;9<63ne68265=:io:1>2b9>e`g=9;i01lkm:00`?8gbk3;9o63nee826f=:ilo1=?m4=`ge>44d3ty8?5=50;0xZ40>34kn;7=nb:p76>32909wS?97:?ba3<4ik1v>=75;296~X6>?16mh;53``8yv540?0;6?uQ17789dc32:ki7p}<39594?4|V8{t;:236=4={_357>;fm;08mo5rs21;=?6=:rT::?52ad397ddom;|q07=d=83;2w0okf;02=>;fm103:63ne98;<>;fm103563ne88;2>;fm003463ne88;=>;fmh03:63ne`8;<>;fmh03563nec8;2>;fmk03463nec8;=>;fmj03:63neb8;<>;fmj03563nee8;2>;fmm03463nee8;=>;fml03:63ned8;<>;fml03563neg8;2>;fmo03463neg8;=>{t;:2h6=4={v3ne08274=:il31?ll4}r10<`<72;q6mh<512389dcf2:ki7p}<39d94?4|5ho86<=>;7?:1818gb<3;8=63neb80eg=z{:92=7>52z?ba0<6;816mhj53``8yv541;0;6?u2ad49567<5hon6>om;|q07<5=838p1lk8:012?8gbn39jn6s|32;7>5<>s4kn47?=0:?ba<<6:916mho513289dce288;70ojc;314>;fmm0:>=52adg9576<5hom6<:1821?7528opD>m<;%1`5?53i<1Qi:4<{0;9<54;h363?6=,:k36<;9;o1b3?6<3`;>97>5$2c;>4313g9j;7?4;h360?6=,:k36<;9;o1b3?4<3`;>?7>5$2c;>4313g9j;7=4;h366?6=,:k36<;9;o1b3?2<3`;>=7>5$2c;>4313g9j;7;4;h364?6=,:k36<;9;o1b3?0<3`;?47>5$2c;>4313g9j;794;h1`3?6=3`;9<7>5;h1a=?6=3`;9o7>5;n35=?6=,:k36<87;o1b3?6<3f;=;7>5$2c;>40?3g9j;7?4;n352?6=,:k36<87;o1b3?4<3f;=97>5$2c;>40?3g9j;7=4;n350?6=,:k36<87;o1b3?2<3f;=?7>5$2c;>40?3g9j;7;4;n356?6=,:k36<87;o1b3?0<3f;>m7>5$2c;>40?3g9j;794;cce6?6=93:1m<;n02=?6=3thjj>4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?46=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smag494?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdfn>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qooi8;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fd`>290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wimko50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`bbg<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plnfb83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thjji4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdfnl0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`bbc<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plm0183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thi<<4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xde8;0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`a46<72:0;6=u+3b3967><@:ii7E=l3:&164<0m2c9=n4?::k15a<722e8<>4?::p76?22902wS7j;334kmo76;;334kmi76;;334h;<76;;<`35?>334h;>76;;|q07<0=838pR<;8;<`36?7492wx?>78:181[72=27i<<4>309~w65>03:1>vP>559>f56=9:;0q~=<9883>7}Y9<901lhi:012?xu4;0k1<75<5sW;>=63nfe8274=z{:92o7>52z\215=:ioi1=>?4}r10=a<72;qU=964=`da>4563ty8?4k50;0xZ6e034h;?7<>c:p76?a290jwS?=0:?bb6<6:916mk:513289d`2288;70oi6;314>;fn>0:>=52ag:9576<5hl26<4=1e9~w65f83:1=2l201lhn:d:89d`e288h70oic;31g>;fnm0:>n52agg957e<5hlm6<2b9>f54=9;i0q~=7}Y9?301lhn:2ca?xu4;h81<75<5sW;=:63nf980eg=z{:9j87>52z\220=:io=1?ll4}r10e0<72;qU=;:4=`d5>6ge3ty8?l850;0xZ40434km97=nb:p76g02909wS?92:?bb1<4ik1v>=n8;296~X6=h16mk=53``8yv54i00;6<7t=`d1>77>34kmn769;?34kmn766;134kmo767;>34kmh769;?34kmh766;134kmi767;>34kmj769;?34kmj766;<`34?>134h;<767;<`34?>>34h;=769;<`35?>?34h;=766;<`36?>134h;>767;<`36?>>3ty8?lo50;0x9d`4289:70oib;1bf>{t;:ki6=4={v3nf48274=:ion1?ll4}r10ea<72;q6mk8512389d`b2:ki7p}<3`g94?4|5hl<6<=>;oi:1818ga03;8=63m0180eg=z{:9i<7>52z?bb<<6;816n=?53``8yv54j80;6?u2agc9567<5k:96>om;|q07g4=833p1lhm:003?8gak3;9<63nfe8265=:ioo1=?>4=`de>44734h;<7?=0:?a44<6:916n=<513289g642::87psmb1694?722881=huG3b18 6e62:>j96Tj7;1x5<o8:198m430290/?l651448j6g02910e<;::18'7d>=9<<0b>o8:098m433290/?l651448j6g02;10e<;<:18'7d>=9<<0b>o8:298m435290/?l651448j6g02=10e<;>:18'7d>=9<<0b>o8:498m437290/?l651448j6g02?10e<:7:18'7d>=9<<0b>o8:698m6e02900e<2900e<290/?l6517:8j6g02910c<88:18'7d>=9?20b>o8:098k401290/?l6517:8j6g02;10c<8::18'7d>=9?20b>o8:298k403290/?l6517:8j6g02=10c<8<:18'7d>=9?20b>o8:498k405290/?l6517:8j6g02?10c<;n:18'7d>=9?20b>o8:698fg62290:6=4?{%1`5?46i2B8oo5G3b18k77>2900qol?6;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fg60290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722win=650;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`a4<<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbc2a>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{ej9i1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plm0e83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygd7m3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qol>0;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygd6:3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qol>4;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygd6>3:1?7>50z&0g4<5:11C?nl4H2a0?!4593=n7d<>c;29?l46l3:17b=?3;29?xu4;k91<77t^8g89g6b21>01o>i:9689g7721>01o?>:9689g7521>01o?<:9689g7321>01o?::968yv54j=0;6?uQ14589g72289:7p}<3c794?4|V8?>70l>4;305>{t;:h=6=4={_360>;e9:0:?<5rs21a3?6=:rT:9>52b009567;|q07g?=838pR<;>;<`24?7492wx?>ln:181[72827i309~w65ej3:1>vP>499>f5c=9:;0q~=7}Y;j=01o?9:33`?xu4;kn1<7ot^003?8d7>3;9<63m068265=:j921=?>4=c2:>44734h;m7?=0:?a4g<6:916n=m513289g6c288;70l>6;02`>{t;:hn6=4>1z\26f=:j9<1i552b159a==:j921i552b1;9a==:j9k1i552b1`9a==:j9i1i552b1f9a==:j9o1=?m4=c2e>44d34h:<7?=c:?a54<6:j16n<<513a89g74288h70l>4;31g>;e9<0:>n5rs21ab?6=:rT::452b1f97ddom;|q07f7=838pR<89;<`3f?5fj2wx?>m=:181[71=27ivP>659>f5?=;hh0q~=7}Y9?901o>7:2ca?xu4;j?1<75<5sW;>m63m0780eg=z{:9h;7>518y>f53=:8301o>j:9489g6b21201o>j:9;89g6a21<01o>i:9:89g6a21301o??:9489g7721201o??:9;89g7621<01o?>:9:89g7621301o?=:9489g7521201o?=:9;89g7421<01o?<:9:89g7421301o?;:9489g7321201o?;:9;89g7221<01o?::9:89g722130q~=7}:j9<1=>?4=c2f>6ge3ty8?n750;0x9g60289:70l?f;1bf>{t;:ij6=4={<`3v3m088274=:j8;1?ll4}r10gf<72;q6n=o512389g752:ki7p}<3bf94?4|5k:i6<=>;<`27?5fj2wx?>mj:1818d7k3;8=63m1580eg=z{:9hj7>52z?a4a<6;816n<;53``8yv54l90;64u2b1g9576<5k:m6<219>f44=9;:01o?<:003?8d6<3;9<63m148265=:j8<1?==4}|`a52<728?1=?4>ezJ0g6=#;j;1?9o:;[g4>6}6132;65<5}h;f>5<#;h215i5a3`594>=n9<=1<7*=n9<>1<7*65f14194?"4i10:9;5a3`597>=n9<81<7*=n9<:1<7*=n;j=1<75f13294?=n;k31<75f13a94?=h9?31<7*=h9?<1<7*65`17794?"4i10::55a3`597>=h9?>1<7*=h9?81<7*=ej821<7?50;2x 6e62;;j7E=lb:J0g6=h:831<75rbc3:>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{ej8k1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plm1c83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygd6k3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vno?k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::af4c=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thi=k4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fg4529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbc00>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vno<;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=46:183!5d939ij6F5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fg4129026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbc04>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vno<7:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5<5<7>52z\212=:j;21=>?4}r10`6<72;qU=8;4=c04>4563ty8?i:50;0xZ43334h9:7?<1:p76b22909wS?:3:?a60<6;81v>=k6;296~X6=;16n?:51238yv54l>0;6?uQ14389g44289:7p}<3e:94?4|V8?;70l=2;305>{t;:n26=4={_37<>;e:80:?<5rs21ge?6=:rT8o:52b3;964e219>f4e=9;:01o?k:003?8d6m3;9<63m1g8265=:j;:1=?>4=c0:>77c3ty8?im50;32[75k27i=44j8:?a5d<4>2b9>f74=9;i01o<<:00`?8d5<3;9o63m24826f=:j;<1=?m4=c04>44d34h947?=c:p76bc2909wS?99:?a65<4ik1v>=ke;296~X6>>16n70l>d;1bf>{t;:o:6=4={_350>;e9j08mo5rs21f6?6=:rT::>52b0`97dd4?:3y]534<5k;j6>om;|q07`2=838pR<;n;<`2=?5fj2wx?>k::182=~;e9109=452b339<3=:j;;14552b339<<=:j;814;52b309<==:j;814452b319<3=:j;914552b319<<=:j;>14;52b369<==:j;>14452b379<3=:j;?14552b379<<=:j;<14;52b349<==:j;<14452b359<3=:j;=14552b359<<=:j;214;52b3:9<==:j;21445rs21f2?6=:r7i=44>309>f77=;hh0q~=7}:j8k1=>?4=c01>6ge3ty8?h650;0x9g7e289:70l=3;1bf>{t;:o26=4={<`2g?74927i>94v3m1e8274=:j;?1?ll4}r10ag<72;q6n;<`13?5fj2wx?>kk:1818d583;8=63m2980eg=z{:9ni7>59z?a64<6:916n?<513289g44288;70l=4;314>;e:<0:>=52b349576<5k8<6<44<029~ygd5i3:1=84>2;3fM5d;2.8o<4<4`78^`1=;r;265>5838~m2d8m:4?;:k210<72-9j47?:6:l0e2<632c:994?:%1b2d8m:4=;:k216<72-9j47?:6:l0e2<432c:9?4?:%1b2d8m:4;;:k214<72-9j47?:6:l0e2<232c:9=4?:%1b2d8m:49;:k20=<72-9j47?:6:l0e2<032c8o:4?::k265<722c8n44?::k26f<722e::44?:%1bo4?:083>5}#;j;1>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thi>i4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66smb2294?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xde;80;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qol<2;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fg54290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722win>:50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{ej:?1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::af60=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smb2594??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722win>650;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{ej:31<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::af6g=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smb2`94??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722win>m50;194?6|,:i:6?<7;I1`f>N4k:1/>??57d9j64e=831b>=jf;29=~X>m27i?9474:?a70h?:181[72?27i?o4>309~w65a93:1>vP>549>f6g=9:;0q~=7}Y9<>01o=6:012?xu4;o91<75<5sW;>>63m368274=z{:9m97>52z\214=:j:<1=>?4}r10b3<72;qU=8>4=c16>4563ty8?k950;0xZ42?34h887?<1:p76`?2909wS=l7:?a7f<59j1v>=i9;29e~X6:916n?m513289g4c288;70l=e;314>;e:o0:>=52b229576<5k9:6<4>219>f6e=:8n0q~=47|V88h70l=c;g;?8d5l3o370l=e;g;?8d5n3o370l<0;g;?8d493o370l<2;g;?8d4;3o370l<4;31g>;e;<0:>n52b24957e<5k9<6<2b9>f6g=9;i01o=m:00`?xu4;oh1<75<5sW;=;63m3380eg=z{:9mh7>52z\223=:j:;1?ll4}r10b`<72;qU=;;4=c13>6ge3ty8?kh50;0xZ40334h9j7=nb:p71672909wS?93:?a6`<4ik1v>:?1;296~X6>;16n?j53``8yv538;0;6?uQ14c89g4d2:ki7p}<41194?7>s4h9n7<>9:?a7127i?9478:?a7127i?;478:?a7327i?5478:?a7=27i?l478:?a7d;e;=08mo5rs2631?6=:r7i>i4>309>f63=;hh0q~=;0783>7}:j;o1=>?4=c15>6ge3ty88=950;0x9g4a289:70l<7;1bf>{t;=:36=4={<`04?74927i?54v3m308274=:j:31?ll4}r174d<72;q6n><512389g5f2:ki7p}<41`94?4|5k986<=>;<`0f?5fj2wx?9>l:18:8d4<3;9<63m348265=:j:<1=?>4=c14>44734h847?=0:?a7<<6:916n>o513289g5e288;70l{zjk9o6=4>5;31>4c|@:i87)=l1;17e0=]m>08w<75818;6?{n1l0;6)=n8;;g?k5f?3:07d?:7;29 6g?28?=7c=n7;28?l72=3:1(>o7:075?k5f?3;07d?:4;29 6g?28?=7c=n7;08?l72;3:1(>o7:075?k5f?3907d?:2;29 6g?28?=7c=n7;68?l7293:1(>o7:075?k5f?3?07d?:0;29 6g?28?=7c=n7;48?l7303:1(>o7:075?k5f?3=07d=l7;29?l7583:17d=m9;29?l75k3:17b?99;29 6g?28<37c=n7;28?j71?3:1(>o7:04;?k5f?3;07b?96;29 6g?28<37c=n7;08?j71=3:1(>o7:04;?k5f?3907b?94;29 6g?28<37c=n7;68?j71;3:1(>o7:04;?k5f?3?07b?92;29 6g?28<37c=n7;48?j72i3:1(>o7:04;?k5f?3=07ol9;29?xde;o0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qol;0;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fg26290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722win9<50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`a06<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbc66>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{ej=<1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plm4683><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thi854?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xde<00;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`a0d<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plm4c83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thi8n4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdemm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`a0`<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plm4g83>6<729q/?n?523:8L6ee3A9h?6*=2084a>o59j0;66g=1e83>>i48:0;66s|352g>5<>sW3n70l;7;:7?8d3032?70l;9;:7?8d3i32?70l;b;:7?8d3k32?70l;d;:7?8d3m32?7p}<41g94?4|V8?<70l;e;305>{t;=:m6=4={_361>;ei6<=>;|q0044=838pR<;=;<`7e?7492wx?9?<:181[72927i844>309~w626<3:1>vP>519>f1>=9:;0q~=;1483>7}Y9=201o:8:012?xu4<8<1<754=c62>44734h?>7?=0:?a06<6:916n9:513289g22288;70l;6;314>;e`><5k>;6h64=c62>`><5k>96h64=c60>`><5k>?6h64=c66>`><5k>=6h64=c64>44d34h?47?=c:?a0<<6:j16n9o513a89g2e288h70l;c;31g>;en52b5g957e=6>om;|q004g=838pR<88;<`71?5fj2wx?9?m:181[71>27i894vP>649>f15=;hh0q~=;1e83>7}Y9?>01o:=:2ca?xu4<8o1<75<5sW;=>63m4180eg=z{:>9<7>52z\21d=:j:l1?ll4}r1764<7283p1o=j:33:?8d3?32=70l;7;:;?8d3?32270l;8;:5?8d3032370l;8;::?8d3132=70l;9;:;?8d3132270l;a;:5?8d3i32370l;a;::?8d3j32=70l;b;:;?8d3j32270l;c;:5?8d3k32370l;c;::?8d3l32=70l;d;:;?8d3l32270l;e;:5?8d3m32370l;e;::?xu4<;81<745634h?;7=nb:p71442909w0l;0;305>;e<108mo5rs2610?6=:r7i8<4>309>f1?=;hh0q~=;2483>7}:j=81=>?4=c6b>6ge3ty88?850;0x9g24289:70l;b;1bf>{t;=8<6=4={<`70?74927i8n4v3m448274=:j=n1?ll4}r176<<72;q6n98512389g2b2:ki7p}<43c94??|5k><6<219>f1g=9;:01o:m:003?8d3k3;9<63m4e8265=:j=o1=?>4=c6e>6643twin8>50;36>44=9lqC?n=4$2a2>62f=2Pn;7=t188;4?>52tc2i7>5$2c;>5<#;h21=884n2c4>5=6=4+3`:95005<#;h21=884n2c4>7=54i071>5<#;h21=884n2c4>1=5<#;h21=884n2c4>3=36=4+3`:95005<5<5<#;h21=;64n2c4>5=5<#;h21=;64n2c4>7=6=4+3`:953>54o047>5<#;h21=;64n2c4>1=5<#;h21=;64n2c4>3=5<6290;w)=l1;02e>N4kk1C?n=4o33:>5<>7>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbc70>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{ej<>1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plm5483>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygd2>3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vno;8:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::af0>=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thi944?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qol:b;29=?6=8r.8o<45<5<5<o7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygd2l3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qol:f;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygd193:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?1b3`8:o7>5;h02`?6=3f9;?7>5;|q007d=833pR4k4=c7b>=2<5k?i65:4=c7`>=2<5k?o65:4=c7f>=2<5k?m65:4=c43>=2<5k<:65:4}r176f<72;qU=894=c42>4563ty88?j50;0xZ43234h=<7?<1:p714b2909wS?:4:?a1c<6;81v>:=f;296~X6=:16n8k51238yv53;90;6?uQ14089g3c289:7p}<42394?4|V8?:70l:c;305>{t;=996=4={_364>;e=k0:?<5rs2607?6=:rT:8552b4c95674>219>f02=9;:01o;::003?8d2>3;9<63m568265=:j<21=?>4=c7:>44734h=>7<>d:p7151290:=vP>2b9>f04=m116n8=5e99>f02=m116n8;5e99>f00=m116n895e99>f0>=m116n875e99>f0g=9;i01o;m:00`?8d2k3;9o63m5e826f=:j44d34h=<7?=c:?a24<6:j1v>:<7;296~X6>016n8753``8yv53;10;6?uQ17589g3?2:ki7p}<42;94?4|V8<=70l:7;1bf>{t;=9j6=4={_351>;e=?08mo5rs260f?6=:rT::952b4797ddom;|q006b=838pR<8=;<`67?5fj2wx?9=j:181[72i27i9?4=><5k?j6574=c7a>=0<5k?i6564=c7a>=?<5k?h6584=c7`>=><5k?h6574=c7g>=0<5k?o6564=c7g>=?<5k?n6584=c7f>=><5k?n6574=c7e>=0<5k?m6564=c7e>=?<5k<;6584=c43>=><5k<;6574=c42>=0<5k<:6564=c42>=?f04=9:;01o;n:2ca?xu4<=;1<745634h>n7=nb:p71252909w0l:4;305>;e=j08mo5rs2677?6=:r7i984>309>f0b=;hh0q~=;4583>7}:j<<1=>?4=c7f>6ge3ty889;50;0x9g30289:70l:f;1bf>{t;=>=6=4={<`6v3m588274=:j?;1?ll4}r170=<720q6n8o513289g3e288;70l:c;314>;e=m0:>=52b4g9576<5k?m6<219>f34=;990qplm6283>43=9;0:ivF7Wk8:2y2=?>72181qd7j:18'7d>=1m1e?l950:9j501=83.8m54>579m7d1=821b=8;50;&0e=<6=?1e?l951:9j502=83.8m54>579m7d1=:21b=8=50;&0e=<6=?1e?l953:9j504=83.8m54>579m7d1=<21b=8?50;&0e=<6=?1e?l955:9j506=83.8m54>579m7d1=>21b=9650;&0e=<6=?1e?l957:9j7f1=831b=?>50;9j7g?=831b=?m50;9l53?=83.8m54>699m7d1=821d=;950;&0e=<6>11e?l951:9l530=83.8m54>699m7d1=:21d=;;50;&0e=<6>11e?l953:9l532=83.8m54>699m7d1=<21d=;=50;&0e=<6>11e?l955:9l534=83.8m54>699m7d1=>21d=8o50;&0e=<6>11e?l957:9af32=83;1<7>t$2a2>77f3A9hn6F5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::af30=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thi::4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66smb7c94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xde>k0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qol9c;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fg0c29026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbc4f>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vno8i:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fg1629026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbc51>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vno9<:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fg1229086=4?{%1`5?4502B8oo5G3b18 7462>o0e??l:188m77c2900c>><:188yv53<00;64uQ9d9>f3b=0=16n;k5859>f3`=0=16n:>5859>f27=0=16n:<5859>f25=0=16n::5859~w623i3:1>vP>569>f22=9:;0q~=;4c83>7}Y95<5sW;>?63m708274=z{:>?i7>52z\217=:j>:1=>?4}r170c<72;qU=8?4=c4e>4563ty888>50;0xZ43734h=i7?<1:p71362909wS?;8:?a2a<6;81v>::2;296~X4k>16n:;520a8yv53=:0;6luQ13289g02288;70l96;314>;e>>0:>=52b7:9576<5k<26<219>f3e=9;:01o9::33g?xu4<<>1<7?>{_31g>;e><0n463m678f<>;e>>0n463m698f<>;e>00n463m6`8f<>;e>k0n463m6b8f<>;e>m0:>n52b7g957e<5k2b9>f24=9;i01o9<:00`?8d0<3;9o6s|3576>5<5sW;=563m6b80eg=z{:>>:7>52z\222=:j?h1?ll4}r1712<72;qU=;84=c4b>6ge3ty888650;0xZ40234h=57=nb:p713>2909wS?94:?a2=<4ik1v>::a;296~X6>:16n;953``8yv53=k0;6?uQ17089g012:ki7p}<44a94?4|V8?j70l95;1bf>{t;=?o6=4>9z?a21<59016n;j5879>f3b=0116n;j5889>f3c=0?16n;k5899>f3c=0016n;h5879>f3`=0116n;h5889>f26=0?16n:>5899>f26=0016n:?5879>f27=0116n:?5889>f24=0?16n:<5899>f24=0016n:=5879>f25=0116n:=5889>f22=0?16n::5899>f22=001v>::e;296~;e><0:?<52b7f97ddf30=9:;01o8j:2ca?xu445634h=j7=nb:p71062909w0l98;305>;e?908mo5rs2656?6=:r7i:44>309>f27=;hh0q~=;6283>7}:j?k1=>?4=c51>6ge3ty88;:50;0x9g0e289:70l83;1bf>{t;=<>6=4={<`5g?74927i;943:15v3m6e8265=:j?o1=?>4=c4e>44734h<<7?=0:?a34<6:916n:<513289g14288;70l84;314>;e?<08<>5r}c`42?6==3:1m<;%015?45<2290;w)=l1;021>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smb6:94?3=83:p(>m>:336?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17plm7883>0<729q/?n?52078L6ee3A9h?6*=2081?lc?2900e<ok:188k6ge2900qol8a;291?6=8r.8o<4=149K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831b?lj50;9l7dd=831vno9m:186>5<7s-9h=7<>5:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722win:m50;794?6|,:i:6??:;I1`f>N4k:1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thi;i4?:483>5}#;j;1><;4H2aa?M5d;2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjk=m6=4::183!5d938:96F>o6:90;66g>3083>>o4im0;66a>{ej1:1<7;50;2x 6e62;;>7E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xde080;684?:1y'7f7=:8?0D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188ygd?:3:197>50z&0g4<59<1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~fg>4290>6=4?{%1`5?46=2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::af=2=83?1<7>t$2a2>7723A9hn6F5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`a<0<72<0;6=u+3b39643<@:ii7E=l3:&164<53`o36=44i003>5<5<m<;%015?45<2290;w)=l1;021>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smb9:94?3=83:p(>m>:336?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17plm8883>0<729q/?n?52078L6ee3A9h?6*=2081?lc?2900e<ok:188k6ge2900qol7a;291?6=8r.8o<4=149K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831b?lj50;9l7dd=831vno6m:186>5<7s-9h=7<>5:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722win5m50;794?6|,:i:6??:;I1`f>N4k:1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thi4i4?:483>5}#;j;1><;4H2aa?M5d;2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjk2m6=4::183!5d938:96F>o6:90;66g>3083>>o4im0;66a>{ej0:1<7;50;2x 6e62;;>7E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xde180;684?:1y'7f7=:8?0D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188ygd>:3:197>50z&0g4<59<1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~fg?4290>6=4?{%1`5?46=2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::af<2=83?1<7>t$2a2>7723A9hn6F5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`a=0<72<0;6=u+3b39643<@:ii7E=l3:&164<53`o36=44i003>5<5<m<;%015?45<2290;w)=l1;021>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smb8:94?3=83:p(>m>:336?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17plm9883>0<729q/?n?52078L6ee3A9h?6*=2081?lc?2900e<ok:188k6ge2900qol6a;291?6=8r.8o<4=149K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831b?lj50;9l7dd=831vno7m:186>5<7s-9h=7<>5:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722win4m50;794?6|,:i:6??:;I1`f>N4k:1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thi5i4?:483>5}#;j;1><;4H2aa?M5d;2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjk3m6=4::183!5d938:96F>o6:90;66g>3083>>o4im0;66a>{ejh:1<7;50;2x 6e62;;>7E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xdei80;684?:1y'7f7=:8?0D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188ygdf:3:197>50z&0g4<59<1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~fgg4290>6=4?{%1`5?46=2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::afd2=83?1<7>t$2a2>7723A9hn6F5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`ae0<72<0;6=u+3b39643<@:ii7E=l3:&164<53`o36=44i003>5<5<"4k80:n??4Zd591~7f28>1=o4>7;3;>xof83:1(>o7:8d8j6g02910e<9::18'7d>=9>>0b>o8:198m414290/?l651668j6g02810e<9=:18'7d>=9>>0b>o8:398m416290/?l651668j6g02:10e<9?:18'7d>=9>>0b>o8:598m40a290/?l651668j6g02<10e<8j:18'7d>=9>>0b>o8:798m40c290/?l651668j6g02>10e<7k:18'7d>=9>>0b>o8:998m4?d290/?l651668j6g02010e<7m:18'7d>=9>>0b>o8:`98m4?f290/?l651668j6g02k10e<76:18'7d>=9>>0b>o8:b98m4??290/?l651668j6g02m10e<6j:18'7d>=9>>0b>o8:d98m4>4290/?l651668j6g02o10e<97:18'7d>=9>>0b>o8:028?l71k3:1(>o7:057?k5f?3;:76gn6;29 6g?2h?0b>o8:198m6e?2900e<2900e<=9h<0b>o8:098k4g3290/?l651`48j6g02;10c=9h<0b>o8:298k4g5290/?l651`48j6g02=10c:18'7d>=9h<0b>o8:498k4g7290/?l651`48j6g02?10c<7i:18'7d>=9h<0b>o8:698k4ea290/?l651`48j6g02110c=9h<0b>o8:898k4ec290/?l651`48j6g02h10c=9h<0b>o8:c98k4ee290/?l651`48j6g02j10c=9h<0b>o8:e98k4e7290/?l651`48j6g02l10c=9h<0b>o8:g98k4gf290/?l651`48j6g028:07b?6e;29 6g?28k=7c=n7;32?>i6l00;6)=n8;3g<>h4i>0;76a>d683>!5f03;o46`i6l?0;6)=n8;3g<>h4i>0976a>d483>!5f03;o46`i6l=0;6)=n8;3g<>h4i>0?76a>d283>!5f03;o46`i6l;0;6)=n8;3g<>h4i>0=76a>d083>!5f03;o46`i5880;6)=n8;3g<>h4i>0376a=0183>!5f03;o46`i6no0;6)=n8;3g<>h4i>0j76a>fd83>!5f03;o46`i6nm0;6)=n8;3g<>h4i>0h76a>fb83>!5f03;o46`i6n;0;6)=n8;3g<>h4i>0n76a>e683>!5f03;o46`i6lj0;6)=n8;3g<>h4i>0:<65`1e294?"4i10:h55a3`5954=:183!5d938:m6Fm<;%015?4>o6:90;66g>3083>>i4ik0;66smb`c94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdeik0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qolnc;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fggc290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722winlk50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`aec<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbc`2>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{ejk81<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plmb283>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygde<3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnol::187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::afg0=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thin:4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66smbcc94?b=83:p(>m>:2cf?M5dj2B8o>5+23391>o?<3:17d69:188m=>=831b444?::k274<722c9<84?::k143<722c9<:4?::k14=<722c8o;4?::k0g2<722e8nh4?::m141<722winol50;f94?6|,:i:6>oj;I1`f>N4k:1/>??55:k;0?6=3`2=6=44i9:94?=n000;66g>3083>>o58<0;66g=0783>>o58>0;66g=0983>>o4k?0;66g>i4jl0;66a=0583>>{ejki1<7j50;2x 6e62:kn7E=lb:J0g6=#:;;196g74;29?l>12900e5650;9j<<<722c:?<4?::k140<722c9<;4?::k142<722c9<54?::k0g3<722c8o:4?::m0f`<722e9<94?::afgb=83n1<7>t$2a2>6gb3A9hn6F5;h:5>5<>o6;80;66g=0483>>o58?0;66g=0683>>o5810;66g>o4k>0;66a>i58=0;66smbcg94?b=83:p(>m>:2cf?M5dj2B8o>5+23391>o?<3:17d69:188m=>=831b444?::k274<722c9<84?::k143<722c9<:4?::k14=<722c8o;4?::k0g2<722e8nh4?::m141<722winoh50;f94?6|,:i:6>oj;I1`f>N4k:1/>??55:k;0?6=3`2=6=44i9:94?=n000;66g>3083>>o58<0;66g=0783>>o58>0;66g=0983>>o4k?0;66g>i4jl0;66a=0583>>{ejj:1<7j50;2x 6e62:kn7E=lb:J0g6=#:;;196g74;29?l>12900e5650;9j<<<722c:?<4?::k140<722c9<;4?::k142<722c9<54?::k0g3<722c8o:4?::m0f`<722e9<94?::aff7=83n1<7>t$2a2>6gb3A9hn6F5;h:5>5<>o6;80;66g=0483>>o58?0;66g=0683>>o5810;66g>o4k>0;66a>i58=0;66smbb094?b=83:p(>m>:2cf?M5dj2B8o>5+23391>o?<3:17d69:188m=>=831b444?::k274<722c9<84?::k143<722c9<:4?::k14=<722c8o;4?::k0g2<722e8nh4?::m141<722winn=50;f94?6|,:i:6>oj;I1`f>N4k:1/>??55:k;0?6=3`2=6=44i9:94?=n000;66g>3083>>o58<0;66g=0783>>o58>0;66g=0983>>o4k?0;66g>i4jl0;66a=0583>>{ejj>1<7j50;2x 6e62:kn7E=lb:J0g6=#:;;196g74;29?l>12900e5650;9j<<<722c:?<4?::k140<722c9<;4?::k142<722c9<54?::k0g3<722c8o:4?::m0f`<722e9<94?::aff3=83n1<7>t$2a2>6gb3A9hn6F5;h:5>5<>o6;80;66g=0483>>o58?0;66g=0683>>o5810;66g>o4k>0;66a>i58=0;66smbb494?b=83:p(>m>:2cf?M5dj2B8o>5+23391>o?<3:17d69:188m=>=831b444?::k274<722c9<84?::k143<722c9<:4?::k14=<722c8o;4?::k0g2<722e8nh4?::m141<722winn950;f94?6|,:i:6>oj;I1`f>N4k:1/>??55:k;0?6=3`2=6=44i9:94?=n000;66g>3083>>o58<0;66g=0783>>o58>0;66g=0983>>o4k?0;66g>i4jl0;66a=0583>>{ejj21<7j50;2x 6e62:kn7E=lb:J0g6=#:;;196g74;29?l>12900e5650;9j<<<722c:?<4?::k140<722c9<;4?::k142<722c9<54?::k0g3<722c8o:4?::m0f`<722e9<94?::aff?=83n1<7>t$2a2>6gb3A9hn6F5;h:5>5<>o6;80;66g=0483>>o58?0;66g=0683>>o5810;66g>o4k>0;66a>i58=0;66smbbc94?b=83:p(>m>:2cf?M5dj2B8o>5+23391>o?<3:17d69:188m=>=831b444?::k274<722c9<84?::k143<722c9<:4?::k14=<722c8o;4?::k0g2<722e8nh4?::m141<722winnl50;f94?6|,:i:6>oj;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>3083>>o58<0;66g=0783>>o58>0;66g=0983>>o4k?0;66g>i4jl0;66a=0583>>{ejji1<7=50;2x 6e62;837E=lb:J0g6=#:;;1;h5f20a94?=n:8n1<75`31194?=z{:>=;7>512y]e5=:jkk14952bc`9<1=:jki14952bcf9<1=:jko14952bcd9<1=:jj:14952bb39<1=:jj814952bb19<1=:jj>14952bb79<1=:jj<14952bb59<1=:jj214952bb;9<1=:jjk14952bb`9<1=z{:>=47>52z\230=:jjh1=>?4}r172<<72;qU=:=4=cab>4563ty88;o50;0xZ41534hh57?<1:p710e2909wS?81:?ag=<6;81v>:9c;296~X6?916nn951238yv53>m0;6?uQ17d89ge1289:7p}<47g94?4|V8{t;=;ek<0:?<5rs2644?6=:rT:5i52bb69567;|q0024=838pR<7m;<``5?7492wx?99<:181[7>i27io=4>309~w620<3:1>vP>989>fg`=9:;0q~=;7483>7}Y90201olj:012?xu4<><1<75<5sW;3?63mb`8274=z{:><47>52z\23==:jki1=>?4}r173<<72;qU=;m4=c`a>4563ty88:o50;30[g134him770lme;031>;ejo09<852bb29653<5ki:6?>:;<``6?47=27io>4=049>ff2=:9?01om::326?8dd>38;963mc68140=:jj21>=;4=ca:>76234hhm7:8b;296~X4k116nnm520a8yv53?j0;6<:t^003?8df03;9<63ma88265=:jhk1=?>4=cca>44734hjo7?=0:?aea<6:916nlk513289gga288;70lm0;314>;ej80:>=52bc09576<5kh86<219>fg0=9;:01ol8:003?8de03;9<63mb88265=:jji1>27ino4fge=;j<01olk:2a5?8dem39h:63mbg80g3=:jj:1?n84=ca2>6e134hh>7=l6:?ag6<4k?16nn:53b489ge22:i=70ll6;1`2>;ek>08o;52bb:97f0<5ki26>m9;<``e?5d>27ioo4vP>d89>fg?=;hh0q~=;7g83>7}Y9m=01ol7:2ca?xu4<1:1<75<5sW;o963mb780eg=z{:>3>7>52z\2`1=:jk?1?ll4}r17<6<72;qU=i=4=c`7>6ge3ty885:50;0xZ4b534hi?7=nb:p71>22909wS?k1:?af7<4ik1v>:76;296~X58816no?53``8yv530>0;6?uQ21289gd72:ki7p}<49:94?4|V8lm70lnf;1bf>{t;=226=4={_3ea>;eil08mo5rs26;e?6=:rT:ji52b`f97ddom;|q00=e=838pRvP>db9>fd?=;hh0q~=;8g83>7}Y9m:01oo7:2ca?xu4<0:1<78k{<`b3?46127inl476:?afd=64=c``>=0<5khh6564=c``>=?<5khh6?>9;<`ag?47?27inn4=099>fgb=0?16noj5899>fgb=0016noj521489gdc2;:<70lmd;03<>;ejl03:63mbd8;<>;ejl03563mbd8143=:jko1>=94=c`f>76?34hij769;<`ab?>?34hij766;<`ab?47>27ink4=069>fg`=:9201om?:9489ge721201om?:9;89ge72;:=70ll0;033>;ek909<552bb39<3=:jj;14552bb39<<=:jj;1>=84=ca2>76034hh=727io?478:?ag7ff4=:9=01om=:32;?8dd;32=70ll3;:;?8dd;32270ll3;032>;ek:09<:52bb1965><5ki?6584=ca7>=><5ki?6574=ca7>76134hh87ff3=0116nn;5889>ff3=:9<01om::324?8dd=38;463mc78;2>;ek?03463mc78;=>;ek?09<;52bb49651<5ki=6?>7;<``3?>134hh;767;<``3?>>34hh;716nn9521:89ge?21<01om7:9:89ge?21301om7:325?8dd038;;63mc9814==:jj314;52bb;9<==:jj314452bb;9650<5ki26?>8;<``=?47027iol476:?agd=64}r17=4<72;q6nl6512389gde2;:?7p}<48094?4|5kk26<=>;<`ag?47<2wx?97<:1818dfi3;8=63mb`8141=z{:>287>52z?aeg<6;816noj52168yv531<0;6?u2b`a9567<5khn6?>;;|q00<0=838p1ook:012?8den38;86s|35;4>5<5s4hji7?<1:?ag5<58=1v>:68;296~;eio0:?<52bb39652fg6=9:;01om=:327?xu4<0k1<745634hh87;ek<09<95rs26:g?6=:r7in>4>309>ff5=:9>0q~=;9e83>7}:jk>1=>?4=ca5>7633ty884k50;0x9gd2289:70ll7;030>{t;=3m6=4={<`a2?74927io54=059~w62f83:1>v3mb68274=:jj31>=:4}r17e4<72;q6no6512389gef2;:?7p}<4`094?4|5kh26<=>;<``f?47<2wx?9o<:1827~;ejh08o:52bc`97f1<5khh6>m8;<`a`?5d?27inh4fg`=;j=01om?:2a4?8dd939h;63mc380g2=:jj91?n94=ca7>6e034hh97=l7:?ag3<4k>16nn953b589ge?2:i<70ll9;1`3>;ekh08o:52bb`97f1<5kih6>><;|affb=8391<7>t$2a2>6ef3A9hn6F4?:1y'7f7=;jk0D>mm;I1`7>"4990:n?m4i00a>5<5<53;294~"4k808ol5G3b`8L6e43-9:<7?m2b9j57d=831b>?=50;9l755=831vnoj?:180>5<7s-9h=7=la:J0gg=O;j90(>??:0`1g>o6:k0;66g=2283>>i48:0;66smbe394?5=83:p(>m>:2ab?M5dj2B8o>5+30295g4d3`;9n7>5;h017?6=3f9;?7>5;|`a`7<72:0;6=u+3b397fg<@:ii7E=l3:&055<6j;i0e<><:188ygdc;3:197>50z&0g4<5=:1C?nl4H2a0?!5683;i>n5f13`94?=n:991<75f3c:94?=h;991<75`23694?=zjkn?6=4;:183!5d938>86F4?::k0f=<722e9>94?::afa3=83>1<7>t$2a2>7333A9hn6F1<729q/?n?52468L6ee3A9h?6*<1182f7e5<5<54;294~"4k809995G3b`8L6e43-9:<7?m2b9j57d=831b>==50;9j7g>=831d>?:50;9~fgb?290?6=4?{%1`5?42<2B8oo5G3b18 67728h9o6g>2c83>>o58:0;66g>i5:=0;66smbe;94?3=83:p(>m>:336?M5dj2B8o>5+30295g4d3-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjknj6=4::183!5d938:96F5<5<m<;%124?7e:j1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thihn4?:483>5}#;j;1><;4H2aa?M5d;2.8==4>b3a8 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::afab=83?1<7>t$2a2>7723A9hn6F=831b=?>50;9j567=831b?lj50;9l7dd=831vnojj:186>5<7s-9h=7<>5:J0gg=O;j90(>??:0`1g>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188ygdcn3:197>50z&0g4<59<1C?nl4H2a0?!5683;i>n5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17plme183>0<729q/?n?52078L6ee3A9h?6*<1182f7e<,;8:6?5fe983>>o6:90;66g>3083>>o4im0;66a>{ejl;1<7;50;2x 6e62;;>7E=lb:J0g6=#;8:1=o5<2290;w)=l1;021>N4kk1C?n=4$233>4d5k2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-9:<7?m2b9'677=:2cn47>5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`aa1<72<0;6=u+3b39643<@:ii7E=l3:&055<6j;i0(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722winh;50;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~fgc1290>6=4?{%1`5?46=2B8oo5G3b18 67728h9o6*=2081?lc?2900e<ok:188k6ge2900qolj7;291?6=8r.8o<4=149K7fd<@:i87)=>0;3a6f=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xdem10;684?:1y'7f7=:8?0D>mm;I1`7>"4990:n?m4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smbd;94?3=83:p(>m>:336?M5dj2B8o>5+30295g4d3-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjkoj6=4::183!5d938:96F5<5<m<;%124?7e:j1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thiin4?:483>5}#;j;1><;4H2aa?M5d;2.8==4>b3a8 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::af`b=83?1<7>t$2a2>7723A9hn6F=831b=?>50;9j567=831b?lj50;9l7dd=831vnokj:186>5<7s-9h=7<>5:J0gg=O;j90(>??:0`1g>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188ygdbn3:197>50z&0g4<59<1C?nl4H2a0?!5683;i>n5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17plmf183>0<729q/?n?52078L6ee3A9h?6*<1182f7e<,;8:6?5fe983>>o6:90;66g>3083>>o4im0;66a>{ejo;1<7;50;2x 6e62;;>7E=lb:J0g6=#;8:1=o5<2290;w)=l1;021>N4kk1C?n=4$233>4d5k2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-9:<7?m2b9'677=:2cn47>5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`ab1<72<0;6=u+3b39643<@:ii7E=l3:&055<6j;i0(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wink;50;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~fg`1290>6=4?{%1`5?46=2B8oo5G3b18 67728h9o6*=2081?lc?2900e<ok:188k6ge2900qoli7;291?6=8r.8o<4=149K7fd<@:i87)=>0;3a6f=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xden10;684?:1y'7f7=:8?0D>mm;I1`7>"4990:n?m4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smbg;94?3=83:p(>m>:336?M5dj2B8o>5+30295g4d3-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjklj6=4::183!5d938:96F5<5<m<;%124?7e:j1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thijn4?:483>5}#;j;1><;4H2aa?M5d;2.8==4>b3a8 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::afcb=83?1<7>t$2a2>7723A9hn6F=831b=?>50;9j567=831b?lj50;9l7dd=831vnohj:186>5<7s-9h=7<>5:J0gg=O;j90(>??:0`1g>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188ygdan3:197>50z&0g4<59<1C?nl4H2a0?!5683;i>n5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pll0183>0<729q/?n?52078L6ee3A9h?6*<1182f7e<,;8:6?5fe983>>o6:90;66g>3083>>o4im0;66a>{ek9;1<7;50;2x 6e62;;>7E=lb:J0g6=#;8:1=o5<2290;w)=l1;021>N4kk1C?n=4$233>4d5k2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-9:<7?m2b9'677=:2cn47>5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|``41<72<0;6=u+3b39643<@:ii7E=l3:&055<6j;i0(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wio=;50;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~ff61290>6=4?{%1`5?46=2B8oo5G3b18 67728h9o6*=2081?lc?2900e<ok:188k6ge2900qom?7;291?6=8r.8o<4=149K7fd<@:i87)=>0;3a6f=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xdd810;684?:1y'7f7=:8?0D>mm;I1`7>"4990:n?m4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smc1;94?3=83:p(>m>:336?M5dj2B8o>5+30295g4d3-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjj:j6=4::183!5d938:96F5<5<m<;%124?7e:j1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thh5}#;j;1><;4H2aa?M5d;2.8==4>b3a8 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::ag5b=83?1<7>t$2a2>7723A9hn6F=831b=?>50;9j567=831b?lj50;9l7dd=831vnn>j:186>5<7s-9h=7<>5:J0gg=O;j90(>??:0`1g>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188yge7n3:197>50z&0g4<59<1C?nl4H2a0?!5683;i>n5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pll1183>0<729q/?n?52078L6ee3A9h?6*<1182f7e<,;8:6?5fe983>>o6:90;66g>3083>>o4im0;66a>{ek8;1<7;50;2x 6e62;;>7E=lb:J0g6=#;8:1=o5<2290;w)=l1;021>N4kk1C?n=4$233>4d5k2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-9:<7?m2b9'677=:2cn47>5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|``51<72<0;6=u+3b39643<@:ii7E=l3:&055<6j;i0(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wio<;50;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~ff71290>6=4?{%1`5?46=2B8oo5G3b18 67728h9o6*=2081?lc?2900e<ok:188k6ge2900qom>7;291?6=8r.8o<4=149K7fd<@:i87)=>0;3a6f=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xdd910;684?:1y'7f7=:8?0D>mm;I1`7>"4990:n?m4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smc0;94?3=83:p(>m>:336?M5dj2B8o>5+30295g4d3-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjj;j6=4::183!5d938:96F5<5<m<;%124?7e:j1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thh=n4?:483>5}#;j;1><;4H2aa?M5d;2.8==4>b3a8 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::ag4b=83?1<7>t$2a2>7723A9hn6F=831b=?>50;9j567=831b?lj50;9l7dd=831vnn?j:186>5<7s-9h=7<>5:J0gg=O;j90(>??:0`1g>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188yge6n3:197>50z&0g4<59<1C?nl4H2a0?!5683;i>n5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pll2183>0<729q/?n?52078L6ee3A9h?6*<1182f7e<,;8:6?5fe983>>o6:90;66g>3083>>o4im0;66a>{ek;;1<7;50;2x 6e62;;>7E=lb:J0g6=#;8:1=o5<2290;w)=l1;021>N4kk1C?n=4$233>4d5k2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-9:<7?m2b9'677=:2cn47>5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|``61<72<0;6=u+3b39643<@:ii7E=l3:&055<6j;i0(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wio?;50;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~ff41290>6=4?{%1`5?46=2B8oo5G3b18 67728h9o6*=2081?lc?2900e<ok:188k6ge2900qom=7;291?6=8r.8o<4=149K7fd<@:i87)=>0;3a6f=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xdd:10;684?:1y'7f7=:8?0D>mm;I1`7>"4990:n?m4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smc3;94?3=83:p(>m>:336?M5dj2B8o>5+30295g4d3-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjj8j6=4::183!5d938:96F5<5<m<;%124?7e:j1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thh>n4?:483>5}#;j;1><;4H2aa?M5d;2.8==4>b3a8 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::ag7b=83?1<7>t$2a2>7723A9hn6F=831b=?>50;9j567=831b?lj50;9l7dd=831vnn5<7s-9h=7<>5:J0gg=O;j90(>??:0`1g>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188yge5n3:197>50z&0g4<59<1C?nl4H2a0?!5683;i>n5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pll3183>0<729q/?n?52078L6ee3A9h?6*<1182f7e<,;8:6?5fe983>>o6:90;66g>3083>>o4im0;66a>{ek:;1<7;50;2x 6e62;;>7E=lb:J0g6=#;8:1=o5<2290;w)=l1;021>N4kk1C?n=4$233>4d5k2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-9:<7?m2b9'677=:2cn47>5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|``71<72<0;6=u+3b39643<@:ii7E=l3:&055<6j;i0(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wio>;50;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~ff51290>6=4?{%1`5?46=2B8oo5G3b18 67728h9o6*=2081?lc?2900e<ok:188k6ge2900qom<7;291?6=8r.8o<4=149K7fd<@:i87)=>0;3a6f=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xdd;10;6>4?:1y'7f7=;jk0D>mm;I1`7>"4990:n?m4i00a>5<5<53;294~"4k808ol5G3b`8L6e43-9:<7?m2b9j57d=831b>?=50;9l755=831vnn=n:180>5<7s-9h=7=la:J0gg=O;j90(>??:0`1g>o6:k0;66g=2283>>i48:0;66smc2`94?5=83:p(>m>:2ab?M5dj2B8o>5+30295g4d3`;9n7>5;h017?6=3f9;?7>5;|``7f<72:0;6=u+3b397fg<@:ii7E=l3:&055<6j;i0e<><:188yge4l3:197>50z&0g4<5=:1C?nl4H2a0?!5683;i>n5f13`94?=n:991<75f3c:94?=h;991<75`23694?=zjj9n6=4;:183!5d938>86F4?::k0f=<722e9>94?::ag6`=83>1<7>t$2a2>7333A9hn6F1<729q/?n?52468L6ee3A9h?6*<1182f7e5<5<54;294~"4k809995G3b`8L6e43-9:<7?m2b9j57d=831b>==50;9j7g>=831d>?:50;9~ff25290?6=4?{%1`5?42:2B8oo5G3b18 67728h9o6g>2c83>>o58:0;66g>i48:0;66smc5194?3=83:p(>m>:30b?M5dj2B8o>5+2339644<,:k26>k=;h02g?6=3`8:h7>5;h02a?6=3`8:j7>5;n137?6=3thh894?:583>5}#;j;1>?74H2aa?M5d;2.9><473:k15f<722c9=i4?::k15`<722e8<>4?::ag13=83?1<7>t$2a2>74f3A9hn6F><:188yge3?3:197>50z&0g4<5:h1C?nl4H2a0?!4593=m7)=n9;1f5>o59j0;66g=1e83>>o59l0;66g=1g83>>i48:0;66smc5:94?0=83:p(>m>:30a?M5dj2B8o>5+2339570<,:k26>j6;h02g?6=3`8:h7>5;h02a?6=3`8:j7>5;h014?6=3f9;?7>5;|``0<<72:0;6=u+3b3967><@:ii7E=l3:&164<0>2c9=n4?::k15a<722e8<>4?::ag1g=83>1<7>t$2a2>74>3A9hn6F5<7s-9h=7<=9:J0gg=O;j90(?<>:011?!5f139n>6g=1b83>>o59m0;66g=1d83>>i48:0;66smc5a94?5=83:p(>m>:30;?M5dj2B8o>5+233933=n:8i1<75f20f94?=h;991<75rbb6g>5<3290;w)=l1;01=>N4kk1C?n=4$302>76a3-9j57=j1:k15f<722c9=i4?::k15`<722e8<>4?::ag1c=83<1<7>t$2a2>74e3A9hn6F=4?::m046<722wio9h50;694?6|,:i:6?<6;I1`f>N4k:1/>??521a8 6g>2:o87d<>c;29?l46l3:17d<>e;29?j57;3:17pll5183>1<729q/?n?523;8L6ee3A9h?6*=208`?l46k3:17d<>d;29?l46m3:17b=?3;29?xdd=80;6>4?:1y'7f7=:;20D>mm;I1`7>"5:80>o59m0;66a<0283>>{ek<81<7=50;2x 6e62;837E=lb:J0g6=#:;;1;h5f20a94?=n:8n1<75`31194?=zjj?86=4::183!5d9389m6F5;h02a?6=3`8:j7>5;n137?6=3thh994?:783>5}#;j;1>?l4H2aa?M5d;2.9><4=199j64e=831b><0e??l:188m77c2900c>><:188yge2>3:187>50z&0g4<5:01C?nl4H2a0?!4593o=7d<>c;29?l46l3:17d<>e;29?j57;3:17pll5683>1<729q/?n?523;8L6ee3A9h?6*=208f2>o59j0;66g=1e83>>o59l0;66a<0283>>{ek<21<7:50;2x 6e62;827E=lb:J0g6=#:;;146g=1b83>>o59m0;66g=1d83>>i48:0;66smc4;94?2=83:p(>m>:30:?M5dj2B8o>5+233964=n:8i1<75f20f94?=n:8o1<75`31194?=zjj?j6=4;:183!5d938956F6bd3`8:o7>5;h02`?6=3`8:i7>5;n137?6=3thh9o4?:283>5}#;j;1>?64H2aa?M5d;2.9><48e:k15f<722c9=i4?::m046<722wio8m50;194?6|,:i:6?<7;I1`f>N4k:1/>??5219'7d?=;mi0e??l:188m77c2900c>><:188yge2l3:197>50z&0g4<5:h1C?nl4H2a0?!4593;?;6g=1b83>>o59m0;66g=1d83>>o59o0;66a<0283>>{ek5<4290;w)=l1;01<>N4kk1C?n=4$302>76e3`8:o7>5;h02`?6=3f9;?7>5;|``25<72=0;6=u+3b3967?<@:ii7E=l3:&164<0k2c9=n4?::k15a<722c9=h4?::m046<722wio;?50;194?6|,:i:6?<7;I1`f>N4k:1/>??57`9j64e=831b>5<7s-9h=7<=9:J0gg=O;j90(?<>:d48m77d2900e??k:188m77b2900c>><:188yge1;3:1?7>50z&0g4<5:11C?nl4H2a0?!4593=j7d<>c;29?l46l3:17b=?3;29?xdd>=0;6>4?:1y'7f7=:;20D>mm;I1`7>"5:80>o59m0;66a<0283>>{ek??1<7:50;2x 6e62;827E=lb:J0g6=#:;;1;n5f20a94?=n:8n1<75f20g94?=h;991<75rbb45>5<3290;w)=l1;01=>N4kk1C?n=4$302>2e5<5<53;294~"4k809>55G3b`8L6e43-89=79n;h02g?6=3`8:h7>5;n137?6=3thh:54?:283>5}#;j;1>?64H2aa?M5d;2.9><48a:k15f<722c9=i4?::m046<722wio;750;194?6|,:i:6?<7;I1`f>N4k:1/>??57`9j64e=831b>5<7s-9h=7<=9:J0gg=O;j90(?<>:065?l46k3:17d<>d;29?l46m3:17b=?3;29?xdd>k0;694?:1y'7f7=:;30D>mm;I1`7>"5:809==5f20a94?=n:8n1<75f20g94?=h;991<75rbb4`>5<4290;w)=l1;01<>N4kk1C?n=4$302>2g5<m<;%015?113`8:o7>5;h02`?6=3f9;?7>5;|``2`<72=0;6=u+3b3967?<@:ii7E=l3:&164<65<7s-9h=7<=8:J0gg=O;j90(?<>:648m77d2900e??k:188k6642900qom80;297?6=8r.8o<4=299K7fd<@:i87)<=1;5b?l46k3:17d<>d;29?j57;3:17pll7083>6<729q/?n?523:8L6ee3A9h?6*=20814g=n:8i1<75f20f94?=h;991<75rbb51>5<4290;w)=l1;01<>N4kk1C?n=4$302>2c<,:k26>j;;h02g?6=3`8:h7>5;n137?6=3thh;>4?:283>5}#;j;1>?64H2aa?M5d;2.9><48e:&0e<<4lk1b>o0(>o6:2fa?l46k3:17d<>d;29?j57;3:17pll7483>6<729q/?n?523:8L6ee3A9h?6*=2084a>"4i008hl5f20a94?=n:8n1<75`31194?=zjj==6=4<:183!5d938946F6bf3`8:o7>5;h02`?6=3f9;?7>5;|``32<72:0;6=u+3b3967><@:ii7E=l3:&164<0m2.8m445<7s-9h=7<=8:J0gg=O;j90(?<>:648m77d2900e??k:188k6642900qom89;291?6=8r.8o<4=2`9K7fd<@:i87)<=1;57?l46k3:17d<>d;29?l46m3:17d<>f;29?j57;3:17pll7`83>0<729q/?n?523c8L6ee3A9h?6*=20840>o59j0;66g=1e83>>o59l0;66g=1g83>>i48:0;66smc6`94?3=83:p(>m>:30b?M5dj2B8o>5+233931=n:8i1<75f20f94?=n:8o1<75f20d94?=h;991<75rbb5`>5<2290;w)=l1;01e>N4kk1C?n=4$302>225<5<m<;%015?133`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3f9;?7>5;|``3`<72<0;6=u+3b3967g<@:ii7E=l3:&164<0<2c9=n4?::k15a<722c9=h4?::k15c<722e8<>4?::ag2`=83?1<7>t$2a2>74f3A9hn6F7290>6=4?{%1`5?45i2B8oo5G3b18 7462>>0e??l:188m77c2900e??j:188m77a2900c>><:188yge?93:197>50z&0g4<5:h1C?nl4H2a0?!4593=?7d<>c;29?l46l3:17d<>e;29?l46n3:17b=?3;29?xdd0;0;684?:1y'7f7=:;k0D>mm;I1`7>"5:80<86g=1b83>>o59m0;66g=1d83>>o59o0;66a<0283>>{ek191<7;50;2x 6e62;8j7E=lb:J0g6=#:;;1;95f20a94?=n:8n1<75f20g94?=n:8l1<75`31194?=zjj2?6=4::183!5d9389m6F5<5<5<55;294~"4k809>l5G3b`8L6e43-89=79;;h02g?6=3`8:h7>5;h02a?6=3`8:j7>5;n137?6=3thh4;4?:483>5}#;j;1>?o4H2aa?M5d;2.9><484:k15f<722c9=i4?::k15`<722c9=k4?::m046<722wio5950;794?6|,:i:6?N4k:1/>??5759j64e=831b>5<7s-9h=7<=a:J0gg=O;j90(?<>:668m77d2900e??k:188m77b2900e??i:188k6642900qom79;291?6=8r.8o<4=2`9K7fd<@:i87)<=1;57?l46k3:17d<>d;29?l46m3:17d<>f;29?j57;3:17pll8`83>0<729q/?n?523c8L6ee3A9h?6*=20840>o59j0;66g=1e83>>o59l0;66g=1g83>>i48:0;66smc9`94?3=83:p(>m>:30b?M5dj2B8o>5+233931=n:8i1<75f20f94?=n:8o1<75f20d94?=h;991<75rbb:`>5<2290;w)=l1;01e>N4kk1C?n=4$302>225<5<m<;%015?133`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3f9;?7>5;|``<`<72<0;6=u+3b3967g<@:ii7E=l3:&164<0<2c9=n4?::k15a<722c9=h4?::k15c<722e8<>4?::ag=`=83?1<7>t$2a2>74f3A9hn6F6=4?{%1`5?45i2B8oo5G3b18 7462>>0e??l:188m77c2900e??j:188m77a2900c>><:188yge>93:197>50z&0g4<5:h1C?nl4H2a0?!4593=?7d<>c;29?l46l3:17d<>e;29?l46n3:17b=?3;29?xdd1;0;684?:1y'7f7=:;k0D>mm;I1`7>"5:80<86g=1b83>>o59m0;66g=1d83>>o59o0;66a<0283>>{ek091<7;50;2x 6e62;8j7E=lb:J0g6=#:;;1;95f20a94?=n:8n1<75f20g94?=n:8l1<75`31194?=zjj3?6=4::183!5d9389m6F5<5<5<55;294~"4k809>l5G3b`8L6e43-89=79;;h02g?6=3`8:h7>5;h02a?6=3`8:j7>5;n137?6=3thh5;4?:483>5}#;j;1>?o4H2aa?M5d;2.9><484:k15f<722c9=i4?::k15`<722c9=k4?::m046<722wio4950;794?6|,:i:6?N4k:1/>??5759j64e=831b>5<7s-9h=7<=a:J0gg=O;j90(?<>:668m77d2900e??k:188m77b2900e??i:188k6642900qom69;291?6=8r.8o<4=2`9K7fd<@:i87)<=1;57?l46k3:17d<>d;29?l46m3:17d<>f;29?j57;3:17pll9`83>0<729q/?n?523c8L6ee3A9h?6*=20840>o59j0;66g=1e83>>o59l0;66g=1g83>>i48:0;66smc8`94?3=83:p(>m>:30b?M5dj2B8o>5+233931=n:8i1<75f20f94?=n:8o1<75f20d94?=h;991<75rbb;`>5<2290;w)=l1;01e>N4kk1C?n=4$302>225<5<m<;%015?133`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3f9;?7>5;|``=`<72<0;6=u+3b3967g<@:ii7E=l3:&164<0<2c9=n4?::k15a<722c9=h4?::k15c<722e8<>4?::ag<`=83?1<7>t$2a2>74f3A9hn6F6=4?{%1`5?45i2B8oo5G3b18 7462>>0e??l:188m77c2900e??j:188m77a2900c>><:188ygef93:197>50z&0g4<5:h1C?nl4H2a0?!4593=?7d<>c;29?l46l3:17d<>e;29?l46n3:17b=?3;29?xddi;0;684?:1y'7f7=:;k0D>mm;I1`7>"5:80<86g=1b83>>o59m0;66g=1d83>>o59o0;66a<0283>>{ekh91<7;50;2x 6e62;8j7E=lb:J0g6=#:;;1;95f20a94?=n:8n1<75f20g94?=n:8l1<75`31194?=zjjk?6=4::183!5d9389m6F5<5<5<55;294~"4k809>l5G3b`8L6e43-89=79;;h02g?6=3`8:h7>5;h02a?6=3`8:j7>5;n137?6=3thhm;4?:483>5}#;j;1>?o4H2aa?M5d;2.9><484:k15f<722c9=i4?::k15`<722c9=k4?::m046<722wiol950;794?6|,:i:6?N4k:1/>??5759j64e=831b>5<7s-9h=7<=a:J0gg=O;j90(?<>:668m77d2900e??k:188m77b2900e??i:188k6642900qomn9;291?6=8r.8o<4=2`9K7fd<@:i87)<=1;57?l46k3:17d<>d;29?l46m3:17d<>f;29?j57;3:17plla`83>0<729q/?n?523c8L6ee3A9h?6*=20840>o59j0;66g=1e83>>o59l0;66g=1g83>>i48:0;66smc``94?3=83:p(>m>:30b?M5dj2B8o>5+233931=n:8i1<75f20f94?=n:8o1<75f20d94?=h;991<75rbbc`>5<2290;w)=l1;01e>N4kk1C?n=4$302>225<5<m<;%015?133`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3f9;?7>5;|``e`<72<0;6=u+3b3967g<@:ii7E=l3:&164<0<2c9=n4?::k15a<722c9=h4?::k15c<722e8<>4?::agd`=83?1<7>t$2a2>74f3A9hn6F6=4?{%1`5?45i2B8oo5G3b18 7462>>0e??l:188m77c2900e??j:188m77a2900c>><:188ygee93:197>50z&0g4<5:h1C?nl4H2a0?!4593=?7d<>c;29?l46l3:17d<>e;29?l46n3:17b=?3;29?xddj;0;684?:1y'7f7=:;k0D>mm;I1`7>"5:80<86g=1b83>>o59m0;66g=1d83>>o59o0;66a<0283>>{ekk91<7;50;2x 6e62;8j7E=lb:J0g6=#:;;1;95f20a94?=n:8n1<75f20g94?=n:8l1<75`31194?=zjjh?6=4::183!5d9389m6F5<5<5<55;294~"4k809>l5G3b`8L6e43-89=79;;h02g?6=3`8:h7>5;h02a?6=3`8:j7>5;n137?6=3thhn;4?:483>5}#;j;1>?o4H2aa?M5d;2.9><484:k15f<722c9=i4?::k15`<722c9=k4?::m046<722wioo950;794?6|,:i:6?N4k:1/>??5759j64e=831b>5<7s-9h=7<=a:J0gg=O;j90(?<>:668m77d2900e??k:188m77b2900e??i:188k6642900qomm9;291?6=8r.8o<4=2`9K7fd<@:i87)<=1;57?l46k3:17d<>d;29?l46m3:17d<>f;29?j57;3:17pllb`83>0<729q/?n?523c8L6ee3A9h?6*=20840>o59j0;66g=1e83>>o59l0;66g=1g83>>i48:0;66smcc`94?3=83:p(>m>:30b?M5dj2B8o>5+233931=n:8i1<75f20f94?=n:8o1<75f20d94?=h;991<75rbb``>5<2290;w)=l1;01e>N4kk1C?n=4$302>225<5<m<;%015?133`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3f9;?7>5;|``f`<72<0;6=u+3b3967g<@:ii7E=l3:&164<0<2c9=n4?::k15a<722c9=h4?::k15c<722e8<>4?::agg`=83?1<7>t$2a2>74f3A9hn6F6=4?{%1`5?45i2B8oo5G3b18 7462>>0e??l:188m77c2900e??j:188m77a2900c>><:188yged93:197>50z&0g4<5:h1C?nl4H2a0?!4593=?7d<>c;29?l46l3:17d<>e;29?l46n3:17b=?3;29?xddk;0;684?:1y'7f7=:;k0D>mm;I1`7>"5:80<86g=1b83>>o59m0;66g=1d83>>o59o0;66a<0283>>{ekj91<7;50;2x 6e62;8j7E=lb:J0g6=#:;;1;95f20a94?=n:8n1<75f20g94?=n:8l1<75`31194?=zjji?6=4::183!5d9389m6F5<5<5<55;294~"4k809>l5G3b`8L6e43-89=79;;h02g?6=3`8:h7>5;h02a?6=3`8:j7>5;n137?6=3thho;4?:483>5}#;j;1>?o4H2aa?M5d;2.9><484:k15f<722c9=i4?::k15`<722c9=k4?::m046<722wion950;794?6|,:i:6?N4k:1/>??5759j64e=831b>5<7s-9h=7<=a:J0gg=O;j90(?<>:668m77d2900e??k:188m77b2900e??i:188k6642900qoml9;291?6=8r.8o<4=2`9K7fd<@:i87)<=1;57?l46k3:17d<>d;29?l46m3:17d<>f;29?j57;3:17pllc`83>0<729q/?n?523c8L6ee3A9h?6*=20840>o59j0;66g=1e83>>o59l0;66g=1g83>>i48:0;66smcb`94?3=83:p(>m>:30b?M5dj2B8o>5+233931=n:8i1<75f20f94?=n:8o1<75f20d94?=h;991<75rbba`>5<2290;w)=l1;01e>N4kk1C?n=4$302>225<5<m<;%015?133`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3f9;?7>5;|``g`<72<0;6=u+3b3967g<@:ii7E=l3:&164<0<2c9=n4?::k15a<722c9=h4?::k15c<722e8<>4?::agf`=83?1<7>t$2a2>74f3A9hn6F6=4?{%1`5?45i2B8oo5G3b18 7462>>0e??l:188m77c2900e??j:188m77a2900c>><:188ygec93:197>50z&0g4<5:h1C?nl4H2a0?!4593=?7d<>c;29?l46l3:17d<>e;29?l46n3:17b=?3;29?xddl;0;684?:1y'7f7=:;k0D>mm;I1`7>"5:80<86g=1b83>>o59m0;66g=1d83>>o59o0;66a<0283>>{ekm91<7;50;2x 6e62;8j7E=lb:J0g6=#:;;1;95f20a94?=n:8n1<75f20g94?=n:8l1<75`31194?=zjjn?6=4::183!5d9389m6F5<5<5<55;294~"4k809>l5G3b`8L6e43-89=79;;h02g?6=3`8:h7>5;h02a?6=3`8:j7>5;n137?6=3thhh;4?:483>5}#;j;1>?o4H2aa?M5d;2.9><484:k15f<722c9=i4?::k15`<722c9=k4?::m046<722wioi950;694?6|,:i:6?<6;I1`f>N4k:1/>??58:k15f<722c9=i4?::k15`<722e8<>4?::aga>=83>1<7>t$2a2>74>3A9hn6Fo59j0;66g=1e83>>i48:0;66smcec94?5=83:p(>m>:30;?M5dj2B8o>5+233965d5<m<;%015?47j2c9=n4?::k15a<722e8<>4?::agae=8391<7>t$2a2>74?3A9hn6F><:188ygecl3:197>50z&0g4<59<1C?nl4H2a0?!5683;i>n5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17plldd83>6<729q/?n?52038L6ee3A9h?6*=208b?lc?2900e<=>:188k6ge2900qomkf;297?6=8r.8o<4=299K7fd<@:i87)<=1;03?l46k3:17d<>d;29?j57;3:17plle183>0<729q/?n?52078L6ee3A9h?6*<1182f7e<,;8:6?5fe983>>o6:90;66g>3083>>o4im0;66a>{ekl;1<7;50;2x 6e62;;>7E=lb:J0g6=#;8:1=o5<2290;w)=l1;021>N4kk1C?n=4$233>4d5k2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-9:<7?m2b9'677=:2cn47>5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|``a1<72<0;6=u+3b39643<@:ii7E=l3:&055<6j;i0(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wioh;50;694?6|,:i:6??;;I1`f>N4k:1bi54?::k274<722c8mi4?::m0eg<722wioh850;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~ffc0290>6=4?{%1`5?46=2B8oo5G3b18 67728h9o6*=2081?lc?2900e<ok:188k6ge2900qomj8;291?6=8r.8o<4=149K7fd<@:i87)=>0;3a6f=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xddm00;684?:1y'7f7=:8<0D>mm;I1`7>"4990:n?m4$302>d=nm10;66g>2183>>o6;80;66g>i4ik0;66smcdc94?3=83:p(>m>:335?M5dj2B8o>5+30295g4d3-89=7o4id:94?=n9;:1<75f12394?=n;k21<75`3``94?=zjjoi6=4::183!5d938:96F5<5<m<;hg;>5<5<m<;%124?7e:j1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thhih4?:483>5}#;j;1><84H2aa?M5d;2.8==4>b3a8 7462h1bi54?::k265<722c:?<4?::k0f=<722e8mo4?::ag``=83?1<7>t$2a2>7723A9hn6F=831b=?>50;9j567=831b?lj50;9l7dd=831vnnh?:186>5<7s-9h=7<>6:J0gg=O;j90(>??:0`1g>"5:80j7dk7:188m4472900e<=>:188m6d?2900c>om:188ygea93:197>50z&0g4<59?1C?nl4H2a0?!5683;i>n5+2339e>ob03:17d?=0;29?l7493:17d=m8;29?j5fj3:17pllf383>0<729q/?n?52078L6ee3A9h?6*<1182f7e<,;8:6?5fe983>>o6:90;66g>3083>>o4im0;66a>{eko91<7;50;2x 6e62;;=7E=lb:J0g6=#;8:1=o5<2290;w)=l1;021>N4kk1C?n=4$233>4d5k2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-9:<7?m2b9'677=:2cn47>5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|``b3<72<0;6=u+3b39643<@:ii7E=l3:&055<6j;i0(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wiok950;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~ff`?290>6=4?{%1`5?46=2B8oo5G3b18 67728h9o6*=2081?lc?2900e<ok:188k6ge2900qomi9;296?6=8r.8o<4=269K7fd<@:i87)<=1;03?l46k3:17b=?3;29?xddnh0;6?4?:1y'7f7=:;=0D>mm;I1`7>"5:809<6g=1b83>>i48:0;66smcg`94?4=83:p(>m>:304?M5dj2B8o>5+233965=n:8i1<75`31194?=zjjlh6=4=:183!5d9389;6F4i33`>5<m<;%015?473`8:o7>5;n137?6=3thhjh4?:383>5}#;j;1>?94H2aa?M5d;2.9><4=0:k15f<722e8<>4?::agc`=8381<7>t$2a2>7403A9hn6F?:181>5<7s-9h=7<=7:J0gg=O;j90(?<>:328m77d2900c>><:188ygb793:1>7>50z&0g4<5:>1C?nl4H2a0?!45938;7d<>c;29?j57;3:17plk0383>7<729q/?n?52358L6ee3A9h?6*=20814>o59j0;66a<0283>>{el991<7:50;2x 6e62;;?7E=lb:J0g6=#:;;1>6gj8;29?l7493:17d=nd;29?j5fj3:17plk0583>1<729q/?n?52068L6ee3A9h?6*=2081?lc?2900e<=>:188m6gc2900c>om:188ygb7=3:187>50z&0g4<59=1C?nl4H2a0?!459380eh650;9j567=831b?lj50;9l7dd=831vni>9:187>5<7s-9h=7<>4:J0gg=O;j90(?<>:39ja=<722c:?<4?::k0ea<722e8mo4?::a`51=83>1<7>t$2a2>7733A9hn6F5;h305?6=3`9jh7>5;n1bf?6=3tho<54?:283>5}#;j;1><4n;hg;>5<5<53;294~"4k809=<5G3b`8L6e43-89=7o4id:94?=n9:;1<75`3``94?=zjm:j6=4::183!5d9389m6Fj;h02g?6=3`8:h7>5;h02a?6=3`8:j7>5;n137?6=3tho5}#;j;1>?o4H2aa?M5d;2.9><4=0d9j64e=831b>l:186>5<7s-9h=7<=a:J0gg=O;j90(?<>:658 6g>2:nn7d<>c;29?l46l3:17d<>e;29?l46n3:17b=?3;29?xdc8m0;694?:1y'7f7=:;30D>mm;I1`7>"5:80<46*6bb3`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3`89<7>5;n137?6=3tho5}#;j;1>?o4H2aa?M5d;2.9><487:&0e<<4m91b>c;29?l46l3:17d<>e;29?j57;3:17plk1083>0<729q/?n?523c8L6ee3A9h?6*=20814a=n:8i1<75f20f94?=n:8o1<75f20d94?=h;991<75rbe31>5<2290;w)=l1;01e>N4kk1C?n=4$302>76c3`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3f9;?7>5;|`g56<72<0;6=u+3b3967g<@:ii7E=l3:&164<58m1/?l753ef8m77d2900e??k:188m77b2900e??i:188k6642900qoj>4;290?6=8r.8o<4=289K7fd<@:i87)<=1;03b>"4i008i>5f20a94?=n:8n1<75f20g94?=h;991<75rbe36>5<1290;w)=l1;01f>N4kk1C?n=4$302>74<,:k26>j=;h02g?6=3`8:h7>5;h02a?6=3`8:j7>5;h014?6=3f9;?7>5;|`g53<72<0;6=u+3b3967g<@:ii7E=l3:&164N4k:1/>??5729'7d?=;ml0e??l:188m77c2900e??j:188m77a2900e?8;290?6=8r.8o<4=289K7fd<@:i87)<=1;02?!5f139oj6g=1b83>>o59m0;66g=1d83>>i48:0;66smd0;94?5=83:p(>m>:30;?M5dj2B8o>5+23393`=#;h31?i64i33`>5<5<53;294~"4k809>55G3b`8L6e43-89=79j;%1b=?5c?2c9=n4?::k15a<722e8<>4?::a`4d=8391<7>t$2a2>74?3A9hn6Fc;297?6=8r.8o<4=299K7fd<@:i87)<=1;5f?!5f139o;6g=1b83>>o59m0;66a<0283>>{el8n1<7=50;2x 6e62;837E=lb:J0g6=#:;;1;h5+3`;97a05<m<;%015?1b3-9j57=k5:k15f<722c9=i4?::m046<722wihN4k:1/>??57d9'7d?=;m>0e??l:188m77c2900c>><:188ygb583:1?7>50z&0g4<5:11C?nl4H2a0?!4593=n7)=n9;1g7>o59j0;66g=1e83>>i48:0;66smd3394?5=83:p(>m>:30;?M5dj2B8o>5+23393`=#;h31?i=4i33`>5<5<7>53;294~"4k809>55G3b`8L6e43-89=79j;%1b=?5b=2c9=n4?::k15a<722e8<>4?::a`75=8391<7>t$2a2>74?3A9hn6F>o59m0;66a<0283>>{el;?1<7<50;2x 6e62;897E=lb:J0g6=n:8h1<75`31194?=zjm8=6=4=:183!5d9389>6F5<52;294~"4k809>?5G3b`8L6e43`8:n7>5;n137?6=3tho>54?:383>5}#;j;1>?<4H2aa?M5d;2c9=o4?::m046<722wih?750;094?6|,:i:6?<=;I1`f>N4k:1b>5<7s-9h=7<=2:J0gg=O;j90e??m:188k6642900qoj=b;296?6=8r.8o<4=239K7fd<@:i87d<>b;29?j57;3:17plk2b83>7<729q/?n?52308L6ee3A9h?6g=1c83>>i48:0;66smd3f94?4=83:p(>m>:301?M5dj2B8o>5f20`94?=h;991<75rbe0f>5<5290;w)=l1;016>N4kk1C?n=4i33a>5<m<;h02f?6=3f9;?7>5;|`g75<72;0;6=u+3b39674<@:ii7E=l3:k15g<722e8<>4?::a`67=8381<7>t$2a2>7453A9hn6F5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{el:>1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a`63=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3tho?;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdc;>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoj<8;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbe1b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vni=m:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a`6e=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smd2f94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdc;l0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`g7c<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygb393:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vni:=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<86=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smd5694??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wih9;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`g03<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plk4683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygb303:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?4j6=46:183!5d939ij6F5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fa2e290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wih9m50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{el=n1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plk4d83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3tho8k4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoj:1;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fa3529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbe70>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{el<>1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a`03=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3tho9;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdc=>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoj:8;29=?6=8r.8o<45<5<5<57>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbe7b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vni;m:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a`0e=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smd4f94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdc=l0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`g1c<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygb193:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vni8=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<>o6:90;66g>3083>>i4ik0;66smd7694??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wih;;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`g23<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plk6683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygb103:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fa0e290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wih;m50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{el?n1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plk6d83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3tho:k4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoj81;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fa1529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbe50>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{el>>1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a`23=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3tho;;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdc?>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoj88;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbe5b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vni9m:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a`2e=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smd6f94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdc?l0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`g3c<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygb?93:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vni6=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<>o6:90;66g>3083>>i4ik0;66smd9694??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wih5;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`g<3<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plk8683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygb?03:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fa>e290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wih5m50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{el1n1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plk8d83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3tho4k4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoj61;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fa?529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbe;0>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{el0>1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a`<3=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3tho5;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdc1>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoj68;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbe;b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vni7m:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a`t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smd8f94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdc1l0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`g=c<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygbf93:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnio=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<>o6:90;66g>3083>>i4ik0;66smd`694??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wihl;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`ge3<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plka683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygbf03:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fage290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wihlm50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{elhn1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plkad83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thomk4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qojm1;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fad529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbe`0>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{elk>1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a`g3=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thon;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdcj>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qojm8;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbe`b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnilm:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a`ge=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smdcf94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdcjl0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`gfc<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygbd93:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnim=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<>o6:90;66g>3083>>i4ik0;66smdb694??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wihn;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`gg3<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plkc683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygbd03:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~faee290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wihnm50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{eljn1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plkcd83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thook4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qojk1;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fab529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbef0>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{elm>1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a`a3=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thoh;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdcl>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qojk8;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbefb>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnijm:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a`ae=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smdef94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdcll0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`g`c<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygbb93:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnik=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<>o6:90;66g>3083>>i4ik0;66smdd694??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wihh;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`ga3<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plke683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygbb03:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~face290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wihhm50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{elln1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plked83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thoik4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoji1;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fa`529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbed0>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{elo>1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a`c3=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thoj;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdcn>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoji8;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbedb>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnihm:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a`ce=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smdgf94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdcnl0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`gbc<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygc793:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnh>=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<>o6:90;66g>3083>>i4ik0;66sme1694??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wii=;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`f43<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plj0683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygc703:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f`6e290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wii=m50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{em9n1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plj0d83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thn5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qok>1;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f`7529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbd30>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{em8>1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aa43=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thn=;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdb9>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qok>8;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbd3b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnh?m:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aa4e=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sme0f94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdb9l0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`f5c<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygc593:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnh<=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<>o6:90;66g>3083>>i4ik0;66sme3694??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wii?;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`f63<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plj2683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygc503:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f`4e290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wii?m50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{em;n1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plj2d83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thn>k4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qok<1;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f`5529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbd10>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{em:>1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aa63=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thn?;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdb;>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qok<8;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbd1b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnh=m:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aa6e=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sme2f94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdb;l0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`f7c<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygc393:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnh:=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<86=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sme5694??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wii9;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`f03<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plj4683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygc303:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?4j6=46:183!5d939ij6F5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f`2e290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wii9m50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{em=n1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plj4d83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thn8k4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qok:1;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f`3529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbd70>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{em<>1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aa03=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thn9;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdb=>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qok:8;29=?6=8r.8o<45<5<5<57>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbd7b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnh;m:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aa0e=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sme4f94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdb=l0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`f1c<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygc193:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnh8=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<>o6:90;66g>3083>>i4ik0;66sme7694??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wii;;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`f23<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plj6683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygc103:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f`0e290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wii;m50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{em?n1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plj6d83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thn:k4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qok81;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f`1529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbd50>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{em>>1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aa23=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thn;;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdb?>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qok88;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbd5b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnh9m:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aa2e=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sme6f94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdb?l0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`f3c<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygc?93:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnh6=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<>o6:90;66g>3083>>i4ik0;66sme9694??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wii5;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`f<3<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plj8683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygc?03:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f`>e290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wii5m50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{em1n1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plj8d83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thn4k4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qok61;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f`?529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbd;0>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{em0>1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aa<3=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thn5;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdb1>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qok68;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbd;b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnh7m:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aat$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sme8f94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdb1l0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`f=c<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygcf93:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnho=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<>o6:90;66g>3083>>i4ik0;66sme`694??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wiil;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`fe3<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plja683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygcf03:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f`ge290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wiilm50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{emhn1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pljad83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thnmk4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qokm1;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f`d529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbd`0>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{emk>1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aag3=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thnn;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdbj>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qokm8;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbd`b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnhlm:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aage=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smecf94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdbjl0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`ffc<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygcd93:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnhm=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<>o6:90;66g>3083>>i4ik0;66smeb694??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wiin;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`fg3<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pljc683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygcd03:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f`ee290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wiinm50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{emjn1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pljcd83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thnok4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qokk1;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f`b529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbdf0>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{emm>1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aaa3=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thnh;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdbl>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qokk8;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbdfb>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnhjm:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aaae=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smeef94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdbll0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`f`c<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygcb93:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnhk=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<>o6:90;66g>3083>>i4ik0;66smed694??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wiih;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`fa3<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plje683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygcb03:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f`ce290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wiihm50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{emln1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pljed83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thnik4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoki1;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f``529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbdd0>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{emo>1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aac3=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thnj;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdbn>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoki8;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbddb>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnhhm:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aace=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smegf94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdbnl0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`fbc<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg`793:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnk>=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<>o6:90;66g>3083>>i4ik0;66smf1694??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wij=;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`e43<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pli0683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg`703:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fc6e290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wij=m50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{en9n1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pli0d83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thm5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoh>1;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fc7529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbg30>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{en8>1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ab43=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thm=;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xda9>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoh>8;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbg3b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnk?m:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::ab4e=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smf0f94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xda9l0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`e5c<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg`593:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnk<=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<>o6:90;66g>3083>>i4ik0;66smf3694??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wij?;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`e63<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pli2683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg`503:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fc4e290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wij?m50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{en;n1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pli2d83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thm>k4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoh<1;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fc5529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbg10>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{en:>1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ab63=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thm?;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xda;>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoh<8;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbg1b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnk=m:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::ab6e=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smf2f94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xda;l0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`e7c<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg`393:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnk:=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<86=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smf5694??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wij9;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`e03<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pli4683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg`303:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?4j6=46:183!5d939ij6F5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fc2e290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wij9m50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{en=n1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pli4d83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thm8k4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoh:1;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fc3529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbg70>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{en<>1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ab03=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thm9;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xda=>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoh:8;29=?6=8r.8o<45<5<5<57>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbg7b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnk;m:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::ab0e=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smf4f94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xda=l0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`e1c<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg`193:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnk8=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<>o6:90;66g>3083>>i4ik0;66smf7694??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wij;;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`e23<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pli6683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg`103:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fc0e290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wij;m50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{en?n1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pli6d83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thm:k4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoh81;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fc1529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbg50>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{en>>1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ab23=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thm;;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xda?>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoh88;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbg5b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnk9m:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::ab2e=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smf6f94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xda?l0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`e3c<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg`?93:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnk6=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<>o6:90;66g>3083>>i4ik0;66smf9694??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wij5;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`e<3<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pli8683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg`?03:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fc>e290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wij5m50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{en1n1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pli8d83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thm4k4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoh61;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fc?529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbg;0>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{en0>1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ab<3=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thm5;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xda1>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoh68;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbg;b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnk7m:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::abt$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smf8f94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xda1l0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`e=c<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg`f93:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnko=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<>o6:90;66g>3083>>i4ik0;66smf`694??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wijl;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`ee3<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plia683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg`f03:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fcge290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wijlm50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{enhn1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pliad83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thmmk4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qohm1;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fcd529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbg`0>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{enk>1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::abg3=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thmn;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdaj>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qohm8;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbg`b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnklm:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::abge=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smfcf94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdajl0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`efc<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg`d93:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnkm=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<>o6:90;66g>3083>>i4ik0;66smfb694??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wijn;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`eg3<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plic683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg`d03:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fcee290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wijnm50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{enjn1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plicd83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thmok4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qohk1;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fcb529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbgf0>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{enm>1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aba3=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thmh;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdal>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qohk8;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbgfb>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnkjm:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::abae=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smfef94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdall0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`e`c<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg`b93:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnkk=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<>o6:90;66g>3083>>i4ik0;66smfd694??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wijh;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`ea3<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plie683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg`b03:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fcce290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wijhm50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{enln1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plied83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thmik4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qohi1;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fc`529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbgd0>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{eno>1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::abc3=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thmj;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdan>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qohi8;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbgdb>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnkhm:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::abce=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smfgf94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdanl0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`ebc<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd689;1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl>01094??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wi==><:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a556329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb0231?6=<3:1m<;%015?459;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg778>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo??0983><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th:<=750;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`245g=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sm112a>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e99:h6=46:183!5d939ij6F5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f467l3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn<>?e;29=?6=8r.8o<45<5<5<5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<00394?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd68881<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a5574290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi==?;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb0222?6=13:1m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo??1683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg77910;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`244?=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th:<li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{e99;i6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm113`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vn<>>d;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f466m3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<=4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd68;;1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl>03094??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wi==<<:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a554329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb0211?6=<3:1m<;%015?459;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg77:>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo??2983><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th:N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`247g=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sm110a>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e998h6=46:183!5d939ij6F5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f465l3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn<>=e;29=?6=8r.8o<45<5<5<k4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<02394?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd68:81<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a5554290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi===;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb0202?6=13:1m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo??3683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg77;10;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`246?=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th:<>o50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{e999i6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm111`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vn<>=831b=?>50;9j567=831d?ll50;9~f464m3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd68=;1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl>05094??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wi==:<:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a552329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb0271?6=<3:1m<;%015?459;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg77<>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo??4983><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th:<9750;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`241g=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sm116a>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e99>h6=46:183!5d939ij6F5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f463l3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn<>;e;29=?6=8r.8o<45<5<5<5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<04394?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd68<81<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a5534290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi==;;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<97>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb0262?6=13:1m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo??5683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg77=10;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`240?=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th:<8o50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{e99?i6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm117`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vn<>:d;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f462m3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd68?;1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl>07094??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wi==8<:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a550329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb0251?6=<3:1m<;%015?459;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg77>>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo??6983><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th:<;750;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`243g=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sm114a>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e995f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f461l3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn<>9e;29=?6=8r.8o<45<5<5<5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<06394?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd68>81<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a5514290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi==9;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb0242?6=13:1m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo??7683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg77?10;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`242?=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th:<:o50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{e99=i6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm115`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vn<>8d;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f460m3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd681;1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl>09094??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wi==6<:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a55>329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb02;1?6=<3:1m<;%015?459;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg770>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo??8983><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th:<5750;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`24=g=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sm11:a>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e992h6=46:183!5d939ij6F5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f46?l3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn<>7e;29=?6=8r.8o<45<5<5<5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<08394?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd68081<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a55?4290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi==7;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb02:2?6=13:1m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo??9683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg77110;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`241<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th:<4o50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{e993i6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm11;`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vn<>6d;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f46>m3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd68h;1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl>0`094??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wi==o<:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a55g329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb02b1?6=<3:1m<;%015?459;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg77i>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo??a983><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th:N4k:1/>??52:kf5;h305?6=3f9jn7>5;|q2f66=838pR<>m9:?g7d<6;81v;c;10:?<5rs0`07?6=:rT:4563ty:n>:50;0xZ46e=27o8=4>309~w4d4=3:1>vP>0c689a25289:7p}>b2494?4|V8:i?63k458274=z{8h8;7>52z\24g4<5m>36<=>;|q2f6>=838pR<>l1:?g0d<6;81v;c4563ty:n>m50;0xZ46el27o9=4>309~w4d4l3:1>vP>0ca89a35289:7p}>b2g94?4|V8:in63k578274=z{8h8j7>52z\24gg<5m?36<=>;|q2f16=838pR<>m1:?g11<6;81v;39h0:;n5rs0`77?6=:rT:==<4=53b>41e3ty:n9:50;0xZ477927?=l4>7`9~w4d3=3:1>vP>1128917f28=27p}>b5494?4|V8:mi63;1`8232=z{8h?;7>52z\24cb<5=;j6<99;|q2f1>=838pR<>ic:?75d<6?<1v;39h0:;?5rs0`7f?6=:rT:4163ty:n9m50;0xZ46a027?=l4>719~w4d3l3:1>vP>0g58917f28b5g94?4|V8:m:63;1`822`=z{8h?j7>52z\24c3<5=;j6<8k;|q2f06=838pR;39h0:5o5rs0`67?6=:rT:==94=53b>4?f3ty:n8:50;0xZ477>27?=l4>989~w4d2=3:1>vP>1178917f28337p}>b4494?4|V8;;863;1`82<`=z{8h>;7>52z\24c`<5=;j6<6<;|q2f0>=838pR<>i4:?75d<6?11v;39h0:5:5rs0`6f?6=:rT:=h<4=53b>4?23ty:n8m50;0xZ47b927?=l4>959~w4d2l3:1>vP>1d28917f28387p}>b4g94?4|V8;oi63;1`82=7=z{8h>j7>52z\25ab<5=;j6<7>;|q2f36=838pR;39h0:4i5rs0`57?6=:rT:=i74=53b>4>d3ty:n;:50;0xZ47c027?=l4>8c9~w4d1=3:1>vP>1e58917f282j7p}>b7494?4|V8;o:63;1`82<<=z{8h=;7>52z\25a3<5=;j6<67;|q2f3>=838pR1v;39h0:485rs0`5f?6=:rT:=h94=53b>4>33ty:n;m50;0xZ47b>27?=l4>839~w4d1l3:1>vP>1d78917f282:7p}>b7g94?4|V8;n863;1`82<5=z{8h=j7>52z\25a`<5=;j6<9i;|q2f26=838pR81<7?>1z\2f7`<5:oo6>ok;<1e6?5fl27?<:405>=;hn019>6:2cg?827j39jh63;0b80ea=:<9n1?lj4=52f>6gc34>;j7=nd:?755<4im1684;1b`>;39<08mi5240497db<5=;36>ok;<62=?5fl27?=l4152=;hn018>::2cg?837>39jh63:0680ea=:=921?lj4=42:>6gc34?;m7=nd:?64g<4im169=m53`f8906c2:ko70;?e;1b`>;28o08mi5250297db<5<;:6>ok;<726?5fl27>=>4142=;hn018?::2cg?836>39jh63:1680ea=:=821?lj4=43:>6gc34?:m7=nd:?65g<4im169e;1b`>;29o08mi5253297db<5<8:6>ok;<716?5e127>88413>=;k30186m:2`:?83fm39i563:d080f<=:=o>1?o74=734>6d>34<8m7=m9:?51a<4j016:5>53c;893g42:h2708l6;1a=>;1m008n45271a97g?<5>8m6>l6;<566?5e127<;843<>=;k301:lm:2`:?81cm39i56370080f<=:0;>1?o74=964>6d>342=m7=m9:?;e6<4j0164im53c;89<722:h2707;e;1a=>;>0>08n4529`g97g?<50i:6>l6;<;g0?5e1272i:4=cg=;k301l>k:2`:?8g5839i563n3280f<=:i=<1?o74=`7:>6d>34k=o7=m9:?b3c<4j016m4<53c;89dg22:h270om8;1a=>;fkk08n452aeg97g?<5hl:6>l6;<`30?5e127i=:4f7g=;k301o=k:2`:?8d2839i563m6280f<=:j><1?lj4=c54>6gc34h<47=nd:?a3<<4im16n:o53`f89g1e2:ko70l8c;1b`>;e?m08mi52b6g97db<5k=m6>ok;<`;4?5fl27i4<4f=4=;hn01o6<:2cg?8d?<39jh63m8480ea=:j1<1?lj4=c:4>6gc34h347=nd:?a<<<4im16n5o53`f89g>e2:ko70l7c;1b`>;e0m08mi52b9g97db<5k2m6>ok;<`:4?5fl27i5<4f<4=;hn01o7<:2cg?8d><39jh63m9480ea=:j0<1?lj4=c;4>6gc34h247=nd:?a=<<4im16n4o53`f89g?e2:ko70l6c;1b`>;e1m08mi52b8g97db<5k3m6>ok;<`b4?5fl27im<4fd4=;hn01oo<:2cg?8df<39jh63ma480ea=:jh<1?o74=cf:>6gc34hom7=nd:?a`g<4im16nim53`f89gbc2:ko70lke;1b`>;elo08mi52bd297db<5ko:6>ok;<`f6?5fl27ii>4f`2=;hn01ok::2cg?8db>39jh63me680ea=:jl21?lj4=cg:>6gc34hnm7=nd:?aag<4im16nhm53`f89gcc2:ko70lje;1b`>;emo08mi52bg297db<5kl:6>ok;<`e6?5fl27ij>4fc2=;hn01oh::2cg?8da>39jh63mf680ea=:jo21?lj4=cd:>6gc34hmm7=nd:?abg<4im16nkm53`f89g`c2:ko70lie;1b`>;eno08mi52c1297db<5j::6>ok;4g52=;hn01n>::2cg?8e7>39jh63l0680ea=:k921?lj4=b2:>6gc34i;m7=nd:?`4g<4im16o=m53`f89f6c2:ko70m?e;1b`>;d8o08mi52c0297db<5j;:6>ok;4g42=;hn01n?::2cg?8e6>39jh63l1680ea=:k821?lj4=b3:>6gc34i:m7=nd:?`5g<4im16oe;1b`>;d9o08mi52c3297db<5j8:6>ok;>4g72=;hn01n<::2cg?8e5>39jh63l2680ea=:k;21?lj4=b0:>6gc34i9m7=nd:?`6g<4im16o?m53`f89f4c2:ko70m=e;1b`>;d:o08mi52c2297db<5j9:6>ok;4g62=;hn01n=::2cg?8e4>39jh63l3680ea=:k=l1>77d34ioh7=nd:?``c<59m16oh853`f89fc02:ko70mj8;1b`>;dm008n552cdg97g><5jom6>ok;gc4=;hn01nh<:2`;?8ea<39jh63lf480ea=:ko<1?lj4=bd4>6gc34im47=nd:?g46<4im16h=:53`f89a622:ko70j?6;1b`>;c8>08mi52d06964b4?:5gb[77io16?hl513a896cd288h70=jd;g;?85a:3o370=i6;g;?85a?3o370=i8;g;?82783;9o63;00826f=:<981=?m4=520>44d34>;;7k7;<63;57k7;<63e?c?34>;n7k7;<63g?c?34>;h7k7;<63a?c?34>;j7k7;<624?c?34>:=7k7;<626?c?34>:?7k7;<620?c?34>:97k7;<622?c?34>:;7k7;<62:57k7;<62e?75k27?;i4j8:?73`4j8:?7f1;3m80:>n524d0957e<5=o86<2b9>0`0=9;i019k8:00`?82b03;9o63;e8826f=:44d34>no7?=c:?7aa<6:j168hk513a891ca288h70:i0;31g>;3n80:>n524g0957e<5=l86<<<4j8:?647<>4j8:?641<84j8:?643<:4j8:?64=<44j8:?64d=<4j8:?657=>4j8:?651=84j8:?653=:4j8:?65==44j8:?65d=o4j8:?65f=i4j8:?65`=k4j8:?665><4j8:?667<6:j1699;513a8900?288h70;7b;31g>;2il0:>n525e3957e<52b9>20b=9;i01;6?:00`?80f;3;9o639c7826f=:>l31=?m4=62`>44d34=9j7?=c:?417<6:j16;:;513a892??288h709mb;31g>;0ll0:>n52813957e<518?6<2b9>44d343h=7?=c:?:`1<6:j165h9513a89<`f288h70o?d;31g>;f:90:>n52a21957e<5h>=6<2b9>e2`=9;i01l7=:00`?8gf=3;9o63nb9826f=:ijh1=?m4=`ff>44d34km=7?=c:?a41<6:j16n<9513a89g4f288h70l;e=90:>n52b71957e<5k==6h64=c54>`><5k=36h64=c5:>`><5k=j6h64=c5a>`><5k=h6h64=c5g>`><5k=n6h64=c5e>`><5k2;6h64=c:2>`><5k296h64=c:0>`><5k2?6h64=c:6>`><5k2=6h64=c:4>`><5k236h64=c::>`><5k2j6h64=c:a>`><5k2h6h64=c:g>`><5k2n6h64=c:e>`><5k3;6h64=c;2>`><5k396h64=c;0>`><5k3?6h64=c;6>`><5k3=6h64=c;4>`><5k336h64=c;:>`><5k3j6h64=c;a>`><5k3h6h64=c;g>`><5k3n6h64=c;e>`><5kk;6h64=cc2>`><5kk96h64=cc0>`><5kk?6h64=cc6>`><5kk=6<7k7;<`f7?c?34hn87k7;<`f1?c?34hn:7k7;<`f3?c?34hn47k7;<`f=?c?34hnm7k7;<`ff?c?34hno7k7;<`f`?c?34hni7k7;<`fb?c?34hm<7k7;<`e5?c?34hm>7k7;<`e7?c?34hm87k7;<`e1?c?34hm:7k7;<`e3?c?34hm47k7;<`e=?c?34hmm7k7;<`ef?c?34hmo7k7;<`e`?c?34hmi7k7;<`eb?c?34i;<7k7;7k7;7k7;7k7;7k7;7k7;7k7;7?=c:?g762b9>`63=m116h>8513a89a502l201i=7:00`?8b413o370j;c;k0n463k3b826f=:l:n1i552d2g957e<5m9m6h64=e63>44d34n?=7k7;4j8:?g01<6:j16h9;5e99>`10=9;i01i:8:d:89a2?288h70j;9;g;?8b3i3;9o63k4c8f<>;cn52d5f9a==:l=o1=?m4=e6e>`><5m?;6<>7?=c:?g162b9>`03=m116h88513a89a302l201i;7:00`?8b213o370j:a;31g>;c=k0n463k5b826f=:l44d34n==7k7;4j8:?g21<6:j16h;;5e99>`30=9;i01i88:d:89a0?288h70j99;g;?8b1i3;9o63k6c8f<>;c>j0:>n52d7f9a==:l?o1=?m4=e4e>`><5m=;6<7?=c:?g362b9>`23=m116h:8513a89a102l201i97:00`?8b013o370j8a;31g>;c?k0n463k7b826f=:l>n1i552d6g957e<5m=m6h64=e:3>44d34n3=7k7;4j8:?g<1<6:j16h5;5e99>`=0=9;i01i68:d:89a>?288h70j79;g;?8b?i3;9o63k8c8f<>;c0j0:>n52d9f9a==:l1o1=?m4=e:e>`><5m3;6<7?=c:?g=62b9>`<3=m116h48513a89a?02l201i77:00`?8b>13o370j6a;31g>;c1k0n463k9b826f=:l0n1i552d8g957e<5m3m6h64=ec3>44d34nj=7k7;4j8:?ge1<6:j16hl;5e99>`d0=9;i01io8:d:89ag?288h70jn9;g;?8bfi3;9o63kac8f<>;cij0:>n52d`f9a==:lho1=?m4=ece>`><5mh;6<7?=c:?gf62b9>`g3=m116ho8513a89ad02l201il7:00`?8be13o370jma;31g>;cjk0n463kbb826f=:lkn1i552dcg957e<5mhm6h64=ea3>44d34nh=7k7;4j8:?gg1<6:j16hn;5e99>`f0=9;i01im8:d:89ae?288h70jl9;g;?8bdi3;9o63kcc8f<>;ckj0:>n52dbf9a==:ljo1=?m4=eae>`><5mn;6<7?=c:?g`62b9>`a3=m116hi8513a89ab02l201ij7:00`?8bc13o370jka;31g>;clk0n463kdb826f=:lmn1i552deg957e<5mnm6h64=eg3>44d34nn=7k7;4j8:?ga1<6:j16hh;5e99>``0=9;i01ik8:d:89ac?288h70jj9;g;?8bbi3;9o63kec8f<>;cmj0:>n52ddf9a==:llo1=?m4=ege>`><5ml;6<7?=c:?gb62b9>`c3=m116hk8513a89a`02l201ih7:00`?8ba13o370jia;31g>;cnk0n463kfb826f=:lon1i552dgg957e<5mlm6h64=d23>44d34o;=7k7;4j8:?f41<6:j16i=;5e99>a50=9;i01h>8:d:89`6?288h70k?9;g;?8c7i3;9o63j0c8f<>;b8j0:>n52e1f9a==:m9o1=?m4=d2e>`><5l;;6<7?=c:?f562b9>a43=m116i<8513a89`702l201h?7:00`?8c613o370k>a;31g>;b9k0n463j1b826f=:m8n1i552e0g957e<5l;m6h64=d03>44d34o9=7k7;>4j8:?f61<6:j16i?;5e99>a70=9;i01h<8:d:89`4?288h70k=9;g;?8c5i3;9o63j2c8f<>;b:j0:>n52e3f9a==:m;o1=?m4=d0e>`><5l9;6<7?=c:?f762b9>a63=m116i>8513a89`502l201h=7:00`?8c413o370k;b;k0n463j3b826f=:m:n1i552e2g957e<5l9m6h64=d63>44d34o?=7k7;4j8:?f01<6:j16i9;5e99>a10=9;i01h:8:d:89`2?288h70k;9;g;?8c3i3;9o63j4c8f<>;bn52e5f9a==:m=o1=?m4=d6e>`><5l?;6<>7?=c:?f162b9>a03=m116i88513a89`302l201h;7:00`?8c213o370k:a;31g>;b=k0n463j5b826f=:m44d34o==7k7;4j8:?f21<6:j16i;;5e99>a30=9;i01h88:d:89`0?288h70k99;g;?8c1i3;9o63j6c8f<>;b>j0:>n52e7f9a==:m?o1=?m4=d4e>`><5l=;6<7?=c:?f362b9>a23=m116i:8513a89`102l201h97:00`?8c013o370k8a;31g>;b?k0n463j7b826f=:m>n1i552e6g957e<5l=m6h64=d:3>44d34o3=7k7;4j8:?f<1<6:j16i5;5e99>a=0=9;i01h68:d:89`>?288h70k79;g;?8c?i3;9o63j8c8f<>;b0j0:>n52e9f9a==:m1o1=?m4=d:e>`><5l3;6<7?=c:?f=62b9>a<3=m116i48513a89`?02l201h77:00`?8c>13o370k6a;31g>;b1k0n463j9b826f=:m0n1i552e8g957e<5l3m6h64=dc3>44d34oj=7k7;4j8:?fe1<6:j16il;5e99>ad0=9;i01ho8:d:89`g?288h70kn9;g;?8cfi3;9o63jac8f<>;bij0:>n52e`f9a==:mho1=?m4=dce>`><5lh;6<7?=c:?ff62b9>ag3=m116io8513a89`d02l201hl7:00`?8ce13o370kma;31g>;bjk0n463jbb826f=:mkn1i552ecg957e<5lhm6h64=da3>44d34oh=7k7;4j8:?fg1<6:j16in;5e99>af0=9;i01hm8:d:89`e?288h70kl9;g;?8cdi3;9o63jcc8f<>;bkj0:>n52ebf9a==:mjo1=?m4=dae>`><5ln;6<7?=c:?f`62b9>aa3=m116ii8513a89`b02l201hj7:00`?8cc13o370kka;31g>;blk0n463jdb826f=:mmn1i552eeg957e<5lnm6h64=dg3>44d34on=7k7;4j8:?fa1<6:j16ih;5e99>a`0=9;i01hk8:d:89`c?288h70kj9;g;?8cbi3;9o63jec8f<>;bmj0:>n52edf9a==:mlo1=?m4=dge>`><5ll;6<7?=c:?fb62b9>ac3=m116ik8513a89``02l201hh7:00`?8ca13o370kia;31g>;bnk0n463jfb826f=:mon1i552egg957e<5llm6h64=g23>44d34l;=7k7;4j8:?e41<6:j16j=;5e99>b50=9;i01k>8:d:89c6?288h70h?9;g;?8`7i3;9o63i0c8f<>;a8j0:>n52f1f9a==:n9o1=?m4=g2e>`><5o;;6<7?=c:?e562b9>b43=m116j<8513a89c702l201k?7:00`?8`613o370h>a;31g>;a9k0n463i1b826f=:n8n1i552f0g957e<5o;m6h64=g03>44d34l9=7k7;>4j8:?e61<6:j16j?;5e99>b70=9;i01k<8:d:89c4?288h70h=9;g;?8`5i3;9o63i2c8f<>;a:j0:>n52f3f9a==:n;o1=?m4=g0e>`><5o9;6<7?=c:?e762b9>b63=m116j>8513a89c502l201k=7:00`?8`413o370h;a;k0n463i3b826f=:n:n1i552f2g957e<5o9m6h64=g63>44d34l?=7k7;4j8:?e01<6:j16j9;5e99>b10=9;i01k:8:d:89c2?288h70h;9;g;?8`3i3;9o63i4c8f<>;an52f5f9a==:n=o1=?m4=g6e>`><5o?;6<>7?=c:?e162b9>b03=m116j88513a89c302l201k;7:00`?8`213o370h:a;31g>;a=k0n463i5b826f=:n44d34l==7k7;4j8:?e21<6:j16j;;5e99>b30=9;i01k88:d:89c0?288h70h99;g;?8`1i3;9o63i6c8f<>;a>j0:>n52f7f9a==:n?o1=?m4=g4e>`><5o=;6<7?=c:?e362b9>b23=m116j:8513a89c102l201k97:00`?8`013o370h8a;31g>;a?k0n463i7b826f=:n>n1i552f6g957e<5o=m6h64=g:3>44d34l3=7k7;4j8:?e<1<6:j16j5;5e99>b=0=9;i01k68:d:89c>?288h70h79;g;?8`?i3;9o63i8c8f<>;a0j0:>n52f9f9a==:n1o1=?m4=g:e>`><5o3;6<7?=c:?e=62b9>b<3=m116j48513a89c?02l201k77:00`?8`>13o370h6a;31g>;a1k0n463i9b826f=:n0n1i552f8g957e<5o3m6h64=gc3>44d34lj=7k7;4j8:?ee1<6:j16jl;5e99>bd0=9;i01ko8:d:89cg?288h70hn9;g;?8`fi3;9o63iac8f<>;aij0:>n52f`f9a==:nho1=?m4=gce>`><5oh;6<7?=c:?ef62b9>bg3=m116jo8513a89cd02l201kl7:00`?8`e13o370hma;31g>;ajk0n463ibb826f=:nkn1i552fcg957e<5ohm6h64=ga3>44d34lh=7k7;4j8:?eg1<6:j16jn;5e99>bf0=9;i01km8:d:89ce?288h70hl9;g;?8`di3;9o63icc8f<>;akj0:>n52fbf9a==:njo1=?m4=gae>`><5on;6<7?=c:?e`62b9>ba3=m116ji8513a89cb02l201kj7:00`?8`c13o370hka;31g>;alk0n463idb826f=:nmn1i552feg957e<5onm6h64=gg3>44d34ln=7k7;4j8:?ea1<6:j16jh;5e99>b`0=9;i01kk8:d:89cc?288h70hj9;g;?8`bi3;9o63iec8f<>;amj0:>n52fdf9a==:nlo1=?m4=gge>`><5ol;6<7?=c:?eb62b9>bc3=m116jk8513a89c`02l201kh7:00`?8`a13o370hia;31g>;ank0n463ifb826f=:non1i552fgg957e<5olm6h64=0234?75k27:<=?5e99>5565288h70??028f<>;689>1=?m4=0231?c?34;;<;4>2b9>55602l201<>?8;31g>;68931i552112b>44d34;;?d;g;?8778l0:>n52112e>`><58::<7?=c:?2447=m116==?=:00`?8779:0n463>006957e<58::97k7;<3353<6:j16==?8:d:8946603;9o63>00;9a==:99;j6<5545288h70??228f<>;68;>1=?m4=0211?c?34;;>;4>2b9>55402l201<>=8;31g>;68;31i552110b>44d34;;>o4j8:?247e=9;i01<>=d;g;?877:l0:>n52110e>`><58:8<7?=c:?2467=m116====:00`?877;:0n463>026957e<58:897k7;<3373<6:j16===8:d:8946403;9o63>02;9a==:999j6<m513a89464l3o370??3d826f=:999m6h64=0274?75k27:<9?5e99>5525288h70??428f<>;68=>1=?m4=0271?c?34;;8;4>2b9>55202l201<>;8;31g>;68=31i552116b>44d34;;8o4j8:?241e=9;i01<>;d;g;?877n52116e>`><58:><7?=c:?2407=m116==;=:00`?877=:0n463>046957e<58:>97k7;<3313<6:j16==;8:d:8946203;9o63>04;9a==:99?j6<5505288h70??628f<>;68?>1=?m4=0251?c?34;;:;4>2b9>55002l201<>98;31g>;68?31i552114b>44d34;;:o4j8:?243e=9;i01<>9d;g;?877>l0:>n52114e>`><58:<<7?=c:?2427=m116==9=:00`?877?:0n463>066957e<58:<97k7;<3333<6:j16==98:d:8946003;9o63>06;9a==:99=j6<55>5288h70??828f<>;681>1=?m4=02;1?c?34;;4;4>2b9>55>02l201<>78;31g>;68131i55211:b>44d34;;4o4j8:?24=e=9;i01<>7d;g;?8770l0:>n5211:e>`><58:2<7?=c:?24<7=m116==7=:00`?8771:0n463>086957e<58:297k7;<33=3<6:j16==78:d:8946>03;9o63>08;9a==:993j6<l3o370??9d826f=:993m6h64=02b4?75k27:55g5288h70??a28f<>;68h>1=?m4=02b1?c?34;;m;4>2b9>55g02l201<>n8;31g>;68h31i55rs0`40?6=;?:pR<>na:?0ag<6:=16?hl5137896ce288370=jb;317>;4mk0:>:523d`9574<5:oi6<<6;<1ff?759278in4>259>7`e=9;?01>kl:00;?85bk3;9?6344>349no7?=1:?0aa<6:916?k<513289167288?70:?0;311>;3890:>5524129575<5=:;6<<8;<634?75:27?<=4>289>056=9;;019>>:007?82793;9963;00826==:<9;1=?=4=522>44034>;=7?=2:?744<6:0168=?513389165288?70:?2;311>;38;0:>5524109575<5=:96<<8;<636?75:27?289>054=9;;019><:007?827;3;9963;02826==:<991=?=4=520>44034>;?7?=2:?746<6:0168==513389160288;70:?8;314>;3800:>=5241c9576<5=:i6<219>05c=9;:019>i:003?82683;9<63;108265=:<881=?>4=530>44734>:87?=0:?750<6:9168<8513289170288;70:>8;314>;3900:>=5240c9576<5==o6<219>0<5=9;:0197;:003?82>=3;9<63;978265=:<0=1=?>4=5;;>44734>257?=0:?7=d<6:91684l5132891?d288;70:6d;314>;31l0:>=5248d9576<5=k;6<219>0d5=9;:019o;:003?82f=3;9<63;a78265=:4=5c;>44734>j57?=0:?7f6<6:9168o:5132891d2288;70:me;314>;3jo0:>=524b59576<5=i36<219>0ad=9;:019jl:003?82cl3;9863;de8260=:44434>oh7?=7:?7`a<6:;168ij513;891bc288:70:ke;310>;3ll0:>8524eg957><5=nn6<<<;<6ga?75?27?hh4>239>0ac=9;3019jj:002?82cn3;9863;dg8260=:44434>oj7?=7:?7`c<6:;168ih513;891ba288:70:j0;310>;3m90:>8524d2957><5=o;6<<<;<6f4?75?27?i=4>239>0`6=9;3019k?:002?82b93;9863;e08260=:44434>n=7?=7:?7a4<6:;168h?513;891c6288:70:j2;310>;3m;0:>8524d0957><5=o96<<<;<6f6?75?27?i?4>239>0`4=9;3019k=:002?82b;3;9863;e28260=:44434>n?7?=7:?7a6<6:;168h=513;891c4288:70:j4;310>;3m=0:>8524d6957><5=o?6<<<;<6f0?75?27?i94>239>0`2=9;3019k;:002?82b=3;9863;e48260=:44434>n97?=7:?7a0<6:;168h;513;891c2288:70:j6;310>;3m?0:>8524d4957><5=o=6<<<;<6f2?75?27?i;4>239>0`0=9;3019k9:002?82b?3;9863;e68260=:44434>n;7?=7:?7a2<6:;168h9513;891c0288:70:j8;310>;3m10:>8524d:957><5=o36<<<;<6f239>0`>=9;3019k7:002?82b13;9863;e88260=:44434>n57?=7:?7a<<6:;168h7513;891c>288:70:ja;310>;3mh0:>8524dc957><5=oj6<<<;<6fe?75?27?il4>239>0`g=9;3019kn:002?82bj3;9863;ec8260=:44434>nn7?=7:?7ag<6:;168hl513;891ce288:70:jc;310>;3mj0:>8524da957><5=oh6<<<;<6fg?75?27?in4>239>0`e=9;3019kl:002?82bl3;9863;ee8260=:44434>nh7?=7:?7aa<6:;168hj513;891cc288:70:je;310>;3ml0:>8524dg957><5=on6<<<;<6fa?75?27?ih4>239>0`c=9;3019kj:002?82bn3;9863;eg8260=:44434>nj7?=7:?7ac<6:;168hh513;891ca288:70:i0;310>;3n90:>8524g2957><5=l;6<<<;<6e4?75?27?j=4>239>0c6=9;3019h?:002?82a93;9863;f08260=:44434>m=7?=7:?7b4<6:;168k?513;891`6288:70:i2;310>;3n;0:>8524g0957><5=l96<<<;<6e6?75?27?j?4>239>0c4=9;3019h=:002?82a;3;9863;f28260=:44434>m?7?=7:?7b6<6:;168k=513;891`4288:70:i4;310>;3n=0:>8524g6957><5=l?6<<<;<6e0?75?27?j94>239>0c2=9;3019h;:002?82a=3;9<63;f78265=:4=5d;>44734>m57?=0:?7bd<6:9168kl5132891`d288;70:id;314>;3nl0:>=524gd9576<5<:;6<219>155=9;:018>;:003?837=3;9<63:078265=:=9=1=?>4=42;>44734?;57?=0:?64d<6:9169=l51328906d288;70;?d;314>;28l0:>=5251d9576<5<;;6<=?4>219>145=9;:018?;:003?836=3;9<63:178265=:=8=1=?>4=43;>44734?:57?=0:?65d<6:9169d;314>;29l0:>=5250d9576<5<8;6<>?4>219>113=9;:01887:003?83?j3;9<63:ad8265=:=m;1=?>4=4d7>44734<:;7?=0:?57d<6:916:8j5132893>7288;708n3;314>;1k?0:>=526d;9576<5>:h6<219>323=9;:01:77:003?81ej3;9<638dd8265=:09;1=?>4=907>447342?;7?=0:?;2d<6:9164l=513289=bd288;707>5;314>;>=529959576<50kn6<219>=`1=9;:014hn:003?8g7l3;9<63n218265=:i:91=?>4=`65>44734k>57?=0:?b2f<6:916m:h513289d?5288;70on5;314>;fj10:>=52ab`9576<5hnn6<219>f41=9;:01o4=cc5>44734ho57?=0:?a`d<6:916nil513289gbd288;70lkd;314>;ell0:>=52bed9576<5ko;6<219>f`5=9;:01ok;:003?8db=3;9<63me78265=:jl=1=?>4=cg;>44734hn57?=0:?aad<6:916nhl513289gcd288;70ljd;314>;eml0:>=52bdd9576<5kl;6<219>fc5=9;:01oh;:003?8da=3;9<63mf78265=:jo=1=?>4=cd;>44734hm57?=0:?abd<6:916nkl513289g`d288;70lid;314>;enl0:>=52bgd9576<5j:;6<219>g55=9;:01n>;:003?8e7=3;9<63l078265=:k9=1=?>4=b2;>44734i;57?=0:?`4d<6:916o=l513289f6d288;70m?d;314>;d8l0:>=52c1d9576<5j;;6<219>g45=9;:01n?;:003?8e6=3;9<63l178265=:k8=1=?>4=b3;>44734i:57?=0:?`5d<6:916od;314>;d9l0:>=52c0d9576<5j8;6<?4>219>g75=9;:01n<;:003?8e5=3;9<63l278265=:k;=1=?>4=b0;>44734i957?=0:?`6d<6:916o?l513289f4d288;70m=d;314>;d:l0:>=52c3d9576<5j9;6<219>g65=9;:01n=;:003?8e4=3;9<63l378265=:k:=1=?>4=b60>77d34i?m7<>c:?`0g<59j16o9h520f89f152;;h70m83;02g>;d?=09=n52c67964e<5j==6??l;219>g`6=9;:01nk>:003?8eb:3;9<63le28265=:kl>1=?>4=bg6>6gc34in:7?=0:?`a2<6:916oh6513289fc>288;70mja;314>;dmk0:>=52cda97db<5joo6<219>gc6=9;:01nh>:003?8ea:3;9<63lf28265=:ko>1=?>4=bd6>44734im:7?=0:?`b2<6:916ok6513289a6d2;;n70j?d;02a>;c8l09=i52d1d964`<5m;;6??l;`45=:8n01i?;:33`?8b6138:o63k1`815f=:l8h1>77d34n:h7<>c:?g5`<59j16h;c:;09=n52d31964e<5m8?6??l;4>219>`62=9;:01i=::003?8b4>3;9<63k368265=:l:21=?>4=e1:>44734n8m7?=0:?g7g<6:916h>m513289a5c288;70j;c;o0:>=52d529576<5m>:6<4>219>`12=9;:01i:::003?8b3>3;9<63k468265=:l=21=?>4=e6:>44734n?m7?=0:?g0g<6:916h9m513289a2c288;70j;e;314>;c=52d429576<5m?:6<4>219>`02=9;:01i;::003?8b2>3;9<63k568265=:l<21=?>4=e7:>44734n>m7?=0:?g1g<6:916h8m513289a3c288;70j:e;314>;c=o0:>=52d729576<5m<:6<4>219>`32=9;:01i8::003?8b1>3;9<63k668265=:l?21=?>4=e4:>44734n=m7?=0:?g2g<6:916h;m513289a0c288;70j9e;314>;c>o0:>=52d629576<5m=:6<4>219>`22=9;:01i9::003?8b0>3;9<63k768265=:l>21=?>4=e5:>44734n;c?o0:>=52d929576<5m2:6<4>219>`=2=9;:01i6::003?8b?>3;9<63k868265=:l121=?>4=e::>44734n3m7?=0:?gc288;70j7e;314>;c0o0:>=52d829576<5m3:6<4>219>`<2=9;:01i7::003?8b>>3;9<63k968265=:l021=?>4=e;:>44734n2m7?=0:?g=g<6:916h4m513289a?c288;70j6e;314>;c1o0:>=52d`29576<5mk:6<4>219>`d2=9;:01io::003?8bf>3;9<63ka68265=:lh21=?>4=ec:>44734njm7?=0:?geg<6:916hlm513289agc288;70jne;314>;cio0:>=52dc29576<5mh:6<4>219>`g2=9;:01il::003?8be>3;9<63kb68265=:lk21=?>4=e`:>44734nim7?=0:?gfg<6:916hom513289adc288;70jme;314>;cjo0:>=52db29576<5mi:6<4>219>a52=9;:01h>::003?8c7>3;9<63j068265=:m921=?>4=d2:>44734o;m7?=0:?f4g<6:916i=m513289`6c288;70k?e;314>;b8o0:>=52e029576<5l;:6<4>219>a42=9;:01h?::003?8c6>3;9<63j168265=:m821=?>4=d3:>44734o:m7?=0:?f5g<6:916ie;314>;b9o0:>=52e329576<5l8:6<>4>219>a72=9;:01h<::003?8c5>3;9<63j268265=:m;21=?>4=d0:>44734o9m7?=0:?f6g<6:916i?m513289`4c288;70k=e;314>;b:o0:>=52e229576<5l9:6<4>219>a62=9;:01h=::003?8c4>3;9<63j368265=:m:21=?>4=d1:>44734o8m7?=0:?f7g<6:916i>m513289`5c288;70k;b;o0:>=52e529576<5l>:6<4>219>af2=9;:01hm::003?8`5>3;9<63i268265=:n;21=?>4=g0:>44734l9m7?=0:?e6g<6:916j?m513289c4c288;70h=e;314>;a:o0:>=52f229576<5o9:6<4>219>b62=9;:01k=::003?8`4>3;9<63i368265=:n:21=?>4=g1:>44734l8m7?=0:?e7g<6:916j>m513289c5c288;70h;a;o0:>=52f529576<5o>:6<4>219>b12=9;:01k:::003?8`3>3;9<63i468265=:n=21=?>4=g6:>44734l?m7?=0:?e0g<6:916j9m513289c2c288;70h;e;314>;a=52f429576<5o?:6<4>219>b02=9;:01k;::003?8`2>3;9<63i568265=:n<21=?>4=g7:>44734l>m7?=0:?e1g<6:916j8m513289c3c288;70h:e;314>;a=o0:>=52f729576<5o<:6<4>219>b32=9;:01k8::003?8`1>3;9<63i668265=:n?21=?>4=g4:>44734l=m7?=0:?e2g<6:916j;m513289c0c288;70h9e;314>;a>o0:>=52f629576<5o=:6<4>219>b22=9;:01k9::003?8`0>3;9<63i768265=:n>21=?>4=g5:>44734l;a?o0:>=52f929576<5o2:6<4>219>b=2=9;:01k6::003?8`?>3;9<63i868265=:nl21=?>4=gg:>4473ty:n:;50;;xZ4d5i278ih404g=;j201n;=:33g?8e1i38:o63l6c815f=:k?i1>77a34n;i7<>c:p5g11290:?vP>0e5891?02:ko70:68;1b`>;31008mi5248c97db<5=k26<=>;g01=:8o01n;n:33g?8e2k38:o63le180ea=:kl;1?lj4=bg1>6gc34in?7=nd:?g50<5:916h<8520a89a702;;m70j>8;02a>{t9k=<6=4>0z\24a><5=2i6>l7;<6;b?75j27?m54>309>g05=:8o01n;;:33g?8e2=38:h63l57815a=:k77d34i>h7<>f:?`1`<59m16h=o520g89a6e2;;n70j>5;02a>;c9?09=h5rs0`4nb:\223g67:8Z401?2T::;;4^0450>X6>?90R<892:\223764d8Z402m2T::8j4^046g>X6>>;0R<880:\223`67f8Z401k2T::;l4^0452>X6>6P>8538Z4>382T:4>h4^0:0a>X60:n0R<682:8Z4>4?2T:4>84^0:01>X60:>0R<6<3:\2<6483d8Z4>5m2T:4?j4^0:1g>X60;h0R<6=a:\2<7?8358Z4>5=2T:4?:4^0:17>X60;80R<6=1:\2<7680g8Z4>6l2T:4X60==0R<6;6:\2<1382a8Z4>492T:4?84^0:2f>X608k0R<9<2:\236773d8Z415l2T:;?m4^051f>X6?;k0R<9=9:\237>7348Z415=2T:;?:4^050=>X6?:20R<9<7:\23607268Z414;2T:;?k4^0517>X6?;80R<7l3:\2=f49b28Z4?en2T:5ok4^0;a`>X61kh0R<7ma:\2=g?9c58Z4?e>2T:5o;4^0;a0>X61k90R<7m2:\2=g69`g8Z4?fl2T:5lm4^0;bf>X61hk0R<7n9:\2=d>9`78Z4?f<2T:5l=4^0;b6>X61h;0R<7n0:\2=<`98f8Z4?>k2T:5n64^0;`3>X61j<0R<7l5:\2=f29c38Z4?f>2T:54l4^0;:e>;4m009=4523d`97g7<5:oi6>l=;<1ff?5e;278io47`d=;k>01>km:2`3?85bj3;:m6360a349nn7=9d:?0ag<4>j16?hl537`896ce2:;4mk08:5523d`9731<5:oi6>8:;<1ff?51<278io4<629>7`d=;?801>km:242?85bj39=<6363c349nn7=:c:?0ag<4=h16?hl534;896ce2:?370=jb;163>;4mk089;523d`9703<5:oi6>;;;<1ff?52;278io4<539>7`d=;<;01>km:26e?85bj39?i6362e349nn7=;a:?0ag<4<016?hl535:896ce2:><70=jb;172>;4mk08;8523d`9722<5:oi6>9<;<1ff?50:278io4<709>7`d=;>:01>km:245?85bj39>n6366b349nn7=?c:?0ag<48k16?hl531c896cd2:h:70=jc;1a6>;4mj08n>523da97g3<5:oh6>l;;<1fg?5e8278in4>1`9>7`e=;hl01>kl:2`5?85bk39=j6360e349no7=9a:?0af<4>016?hm537:896cd2:<<70=jc;151>;4mj08:9523da9735<5:oh6>8=;<1fg?519278in4<619>7`e=;kl:27f?85bk39>h6363>349no7=:8:?0af<4=>16?hm5344896cd2:?>70=jc;160>;4mj089>523da9704<5:oh6>;>;<1fg?53n278in4<4d9>7`e=;=n01>kl:26`?85bk39?n6362?349no7=;7:?0af<4;4mj08;?523da9727<5:oh6>9?;<1fg?51>278in4<5c9>7`e=;<:01>kl:266?85bk39;i6366f349nj7;4n<0:>o523gf9655<5:ln6?<<;<1eb?47;27?<=4056=;k8019>?:2`0?827839i963;0180f1=:<9:1?o>4=523>47f34>;<7=nf:?745<4j?168=>51018916728;:70:?0;324>;3890:k;<634?77k27?<=4>0c9>056=993019>?:02;?82783;;;63;018243=:<9:1==;4=523>46334>;<7??3:?745<68;168=>51138916728:;70:?0;df?82783lo70:?0;d`?82783li70:?0;db?82783l270:?0;d;?82783l<70:?0;d5?82783l>70:?0;d0?82783l970:?0;d2?82783l;70:?0;ge?82783on70:?0;gg?82783oh70:?0;ga?82783oj70:?0;32=>;3890:=5524129541<5=:;6159>056=99k019>?:gd891672o>019>?:d;891672::n70:?0;13g>;38908>6;<634?74k27?<=4>3`9>056=9:3019>?:01;?82783;8;63;018273=:<9:1=>;4=523>45334>;<7?;5:?745<6<=168=>51518916728>970:?0;375>;3890:8=52412956`<5=:;6<=j;<634?74l27?<=4>329>057=;k;019>>:2`1?827939i?63;0080f0=:<9;1?o:4=522>6d734>;=7?>a:?744<4io168=?53c4891662k<019>>:c68916628;870:?1;325>;3880:==52413955`<5=::6<>j;<635?77l27?<<4>0b9>057=99h019>>:02:?82793;;463;008242=:<9;1==84=522>46234>;=7??4:?744<68:168=?51108916628::70:?1;334>;3880mi63;008e`>;3880mo63;008ef>;3880mm63;008e=>;3880m463;008e3>;3880m:63;008e1>;3880m?63;008e6>;3880m=63;008e4>;3880nj63;008fa>;3880nh63;008fg>;3880nn63;008fe>;3880:=452413954><5=::627?<<4>149>057=98>019>>:02b?82793lm70:?1;d7?82793o270:?1;13a>;38808>n;<635?57127?<<4>3b9>057=9:k019>>:01:?82793;8463;008272=:<9;1=>84=522>45234>;=7?<4:?744<6<<168=?51568916628>870:?1;376>;3880:8<524139516<5=::6<=i;<635?74m27?<<4>3e9>057=9:9019>=:2`2?827:39i>63;0380f6=:<981?o;4=521>6d334>;>7=m0:?747<69h168=<53`d891652:h=70:?2;327>;38;0:=<524109546<5=:96<>i;<636?77m27?0e9>054=99i019>=:02a?827:3;;563;03824==:<981==94=521>46134>;>7??5:?747<68=168=<51118916528:970:?2;335>;38;0:<=524109b`=:<981ji524109bf=:<981jo524109bd=:<981j4524109b==:<981j:524109b3=:<981j8524109b6=:<981j?524109b4=:<981j=524109ac=:<981ih524109aa=:<981in524109ag=:<981il52410954?<5=:96179>054=98?019>=:037?827:3;;m63;038eb>;38;0m863;038f=>;38;08>m;<636?57i27?054=9:i019>=:01b?827:3;8563;03827==:<981=>94=521>45134>;>7?<5:?747<6;=168=<51578916528>?70:?2;377>;38;0:8?524109517<5=:96<:?;<636?74n27?3d9>054=9:n019>=:010?827;39i=63;0280f7=:<991?o=4=520>6d234>;?7=m4:?746<4j9168==510c891642:km70:?3;1a2>;38:0i:63;028a0>;38:0:=>524119547<5=:864>0d9>055=99n019><:02`?827;3;;n63;02824<=:<991==64=520>46034>;?7??6:?746<68<168==51168916428:870:?3;336>;38:0:<<524119556<5=:86kk4=520>cb<5=:86km4=520>cd<5=:86ko4=520>c?<5=:86k64=520>c1<5=:86k84=520>c3<5=:86k=4=520>c4<5=:86k?4=520>c6<5=:86hh4=520>`c<5=:86hj4=520>`e<5=:86hl4=520>`g<5=:864>169>055=98<019><:036?827;3;:863;02824d=:<991jk524119b1=:<991i452411975c<5=:86>>l;<637?57j27?<>4<0`9>055=;93019><:01`?827;3;8m63;02827<=:<991=>64=520>45034>;?7?<6:?746<6;<168==51268916428>>70:?3;370>;38:0:8>524119514<5=:86<:>;<637?73827?<>4>3g9>055=9:o019><:01g?827;3;8?63;058166=:<9?1>==4=525>76434>4288i70:75;037>;30?09>>524959655<5=236?><;<6;=?47;27?4l4=229>0=e=:990196k:320?82?m389?63;8g8146=:<0:1>==4=5;2>74434>2>7=nd:?7=6<4im1684:53`f891?22:ko70:66;1b`>;3im0:>o524c197db<5=h?6>ok;<6aa?5fl27?nk40f1=;hn019m7:2`;?82dj3;9n63;cd826g=:44e34>o?7?=b:?7`1<6:k168im53`f891bc2:h:70:kd;1a6>;3lm08n>524ef97g3<5=no6>l;;<6g`?5e827?hi4>1`9>0ab=;hl019jk:2`5?82cl39=j63;de802a=:60e34>oh7=9a:?7`a<4>0168ij537:891bc2:<<70:kd;151>;3lm08:9524ef9735<5=no6>8=;<6g`?51927?hi4<619>0ab=;h63;de801f=:63>34>oh7=:8:?7`a<4=>168ij5344891bc2:?>70:kd;160>;3lm089>524ef9704<5=no6>;>;<6g`?53n27?hi4<4d9>0ab=;=n019jk:26`?82cl39?n63;de800d=:62?34>oh7=;7:?7`a<4;3lm08;?524ef9727<5=no6>9?;<6g`?51>27?hi4<5c9>0ab=;<:019jk:266?82cl39;i63;de804f=:66>34>oh7=?8:?7`a<48>168ik53c3891bb2:h970:ke;1a7>;3ll08n8524eg97g2<5=nn6>l?;<6ga?76i27?hh40ac=;k<019jj:24e?82cm39=h63;dd802f=:60f34>oi7=99:?7``<4>1168ik5375891bb2:<>70:ke;150>;3ll08:>524eg9734<5=nn6>8>;<6ga?51827?hh4<5g9>0ac=;o63;dd801d=:63?34>oi7=:7:?7``<4=?168ik5347891bb2:??70:ke;167>;3ll089?524eg9707<5=nn6>:i;<6ga?53m27?hh4<4e9>0ac=;=i019jj:26a?82cm39?m63;dd800<=:62034>oi7=;6:?7``<4?<168ik5366891bb2:=870:ke;146>;3ll08;<524eg9726<5=nn6>89;<6ga?52j27?hh4<519>0ac=;=?019jj:22f?82cm39;o63;dd804g=:66?34>oi7=?7:?7`c<4j8168ih53c0891ba2:h870:kf;1a1>;3lo08n9524ed97g6<5=nm60a`=j?168ih5b59>0a`=;?l019ji:24g?82cn39=o63;dg802g=:60>34>oj7=98:?7`c<4>>168ih5377891ba2:;3lo08:?524ed9737<5=nm6>8?;<6gb?52n27?hk4<5d9>0a`=;m63;dg801<=:63034>oj7=:6:?7`c<4=<168ih5346891ba2:?870:kf;166>;3lo089<524ed971`<5=nm6>:j;<6gb?53l27?hk4<4b9>0a`=;=h019ji:26b?82cn39?563;dg800==:62134>oj7=85:?7`c<4?=168ih5361891ba2:=970:kf;145>;3lo08;=524ed9730<5=nm6>;m;<6gb?52827?hk4<449>0a`=;9o019ji:22`?82cn39;n63;dg804<=:66034>oj7?53c3891c72:h970:j0;1a7>;3m908n8524d297g2<5=o;6>l?;<6f4?76i27?i=40`6=;k<019k?:c4891c72k>019k?:24e?82b839=h63;e1802f=:60f34>n<7=99:?7a5<4>1168h>5375891c72:<>70:j0;150>;3m908:>524d29734<5=o;6>8>;<6f4?51827?i=4<5g9>0`6=;o63;e1801d=:63?34>n<7=:7:?7a5<4=?168h>5347891c72:??70:j0;167>;3m9089?524d29707<5=o;6>:i;<6f4?53m27?i=4<4e9>0`6=;=i019k?:26a?82b839?m63;e1800<=:62034>n<7=;6:?7a5<4?<168h>5366891c72:=870:j0;146>;3m908;<524d29726<5=o;6>89;<6f4?52j27?i=4<519>0`6=;=?019k?:22f?82b839;o63;e1804g=:66?34>n<7=?7:?7a5<6;j168h>512c891c62:h:70:j1;1a6>;3m808n>524d397g3<5=o:6>l;;<6f5?5e827?i<4>1`9>0`7=;hl019k>:2`5?82b939;i63;e0804f=:66>34>n=7=?7:?7a7<4j8168h<53c0891c52:h870:j2;1a1>;3m;08n9524d097g6<5=o960`4=;9o019k=:22`?82b:39;n63;e3804<=:6d634>n?7=m2:?7a6<4j:168h=53c7891c42:h?70:j3;1a4>;3m:0:=l524d197d`<5=o86>l9;<6f7?57m27?i>4<0b9>0`5=;9h019k<:22:?82b;39;;63;e580f4=:1?o<4=5g7>6d434>n87=m5:?7a1<4j=168h:53c2891c328;j70:j4;1bb>;3m=08n;524d6975c<5=o?6>>l;<6f0?57j27?i94<089>0`2=;9=019k::2`2?82b=39i>63;e480f6=:6d334>n97=m0:?7a0<69h168h;53`d891c22:h=70:j5;13a>;3m<086>>6;<6f1?57?27?i;40`0=;k8019k9:2`0?82b>39i963;e780f1=:4=5g5>47f34>n:7=nf:?7a3<4j?168h8531g891c12::h70:j6;13f>;3m?08<4524d49751<5=o<6>l>;<6f3?5e:27?i:40`1=;k?019k8:2`7?82b?39i<63;e6825d=:6d134>n;7=?e:?7a2<48j168h9531`891c02::270:j7;133>;3m108n<524d:97g4<5=o36>l<;<6f0`>=;k:019k7:03b?82b039jj63;e980f3=:66d34>n47=?b:?7a=<480168h65315891c>2:h:70:j9;1a6>;3m008n>524d;97g3<5=o26>l;;<6f=?5e827?i44>1`9>0`?=;hl019k6:2`5?82b139;i63;e8804f=:66>34>n57=?7:?7ad<4j8168ho53c0891cf2:h870:ja;1a1>;3mh08n9524dc97g6<5=oj60`g=;9o019kn:22`?82bi39;n63;e`804<=:6d634>nn7=m2:?7ag<4j:168hl53c7891ce2:h?70:jb;1a4>;3mk0:=l524d`97d`<5=oi6>l9;<6ff?d134>nn7l;;<6ff?57m27?io4<0b9>0`d=;9h019km:22:?82bj39;;63;ec827f=:o4=5g`>6d634>no7=m2:?7af<4j:168hm53c7891cd2:h?70:jc;1a4>;3mj0:=l524da97d`<5=oh6>l9;<6fg?d134>no7l;;<6fg?57m27?in4<0b9>0`e=;9h019kl:22:?82bk39;;63;eb827f=:o4=5gg>6d634>nh7=m2:?7aa<4j:168hj53c7891cc2:h?70:jd;1a4>;3mm0:=l524df97d`<5=oo6>l9;<6f`?d134>nh7l;;<6f`?57m27?ii4<0b9>0`b=;9h019kk:22:?82bl39;;63;ee827f=:o4=5gf>6d634>ni7=m2:?7a`<4j:168hk53c7891cb2:h?70:je;1a4>;3ml0:=l524dg97d`<5=on6>l9;<6fa?d134>ni7l;;<6fa?57m27?ih4<0b9>0`c=;9h019kj:22:?82bm39;;63;ed827f=:o4=5ge>6d634>nj7=m2:?7ac<4j:168hh53c7891ca2:h?70:jf;1a4>;3mo0:=l524dd97d`<5=om6>l9;<6fb?d134>nj7l;;<6fb?57m27?ik4<0b9>0``=;9h019ki:22:?82bn39;;63;eg827f=:o4=5d3>6d634>m<7=m2:?7b5<4j:168k>53c7891`72:h?70:i0;1a4>;3n90:=l524g297d`<5=l;6>l9;<6e4?d134>m<7l;;<6e4?57m27?j=4<0b9>0c6=;9h019h?:22:?82a839;;63;f1827f=:o4=5d2>6d634>m=7=m2:?7b4<4j:168k?53c7891`62:h?70:i1;1a4>;3n80:=l524g397d`<5=l:6>l9;<6e5?d134>m=7l;;<6e5?57m27?j<4<0b9>0c7=;9h019h>:22:?82a939;;63;f0827f=:o4=5d1>6d634>m>7=m2:?7b7<4j:168k<53c7891`52:h?70:i2;1a4>;3n;0:=l524g097d`<5=l96>l9;<6e6?d134>m>7l;;<6e6?57m27?j?4<0b9>0c4=;9h019h=:22:?82a:39;;63;f3827f=:o4=5d0>6d634>m?7=m2:?7b6<4j:168k=53c7891`42:h?70:i3;1a4>;3n:0:=l524g197d`<5=l86>l9;<6e7?d134>m?7l;;<6e7?57m27?j>4<0b9>0c5=;9h019h<:22:?82a;39;;63;f2827f=:o4=5d7>6d634>m87=m2:?7b1<4j:168k:53c7891`32:h?70:i4;1a4>;3n=0:=l524g697d`<5=l?6>l9;<6e0?d134>m87l;;<6e0?57m27?j94<0b9>0c2=;9h019h;:22:?82a<39;;63;f5827f=:1=>o4=5d6>6gc34>m:7=nd:?7b2<4im168k653`f891`>2:ko70:ia;1b`>;3nk08mi524ga97db<5=lo6>ok;<6ea?5fl27?jk4156=;hn018>>:2cg?837:39jh63:0280ea=:jjn1=?l4=cf;>44e34i?>7?=b:?g7727o:?478:?g2727o:;478:?g2327o:l478:?g2d27o:h478:?g2`27o;?478:?g3727o;;478:?g3327o;l478:?g3d27o;h478:?g3`27o4?478:?g<727o4;478:?g<327o4l478:?g27o4h478:?g<`27o5?478:?g=727o5;478:?g=327o5l478:?g=d27o5h478:?g=`27om?478:?ge727om;478:?ge327oml478:?ged27omh478:?ge`27on?478:?gf727on;478:?gf327onl478:?gfd27onh478:?gf`=476:?f65=479:?f67?476:?f67?479:?f619476:?f619479:?f63;476:?f63;479:?f6=5476:?f6=5479:?f6dl476:?f6dl479:?f6fn476:?f6fn479:?f6`h476:?f6`h479:?f75=476:?e65=479:?e67?476:?e67?479:?e619476:?e619479:?e635479:?e6dn479:?e6`27m45478:?e<=27m4l478:?e27m4n478:?e27m4h478:?e<`27m5=478:?e=527m5?478:?e=727m59478:?e=127m5;478:?e=327m55478:?e==27m5l478:?e=d27m5n478:?e=f27m5h478:?e=`27mm=478:?ee527mm?478:?ee727mm9478:?ee127mm;478:?ee327mm5478:?ee=27mml478:?eed27mmn478:?eef27mmh478:?ee`27mn=478:?ef527mn?478:?ef727mn9478:?ef127mn;478:?ef327mn5478:?ef=27mnl478:?efd27mnn478:?eff27mnh478:?ef`27mo=478:?eg527mo?478:?eg727mo9478:?eg127mo;478:?eg327mo5478:?eg=27mol478:?egd27mon478:?egf27moh478:?eg`27mh=478:?e`527mh?478:?e`727mh9478:?e`127mh;478:?e`327mh5478:?e`=27mhl478:?e`d27mhn478:?e`f27mhh478:?e``27mi=478:?ea527mi?478:?ea727mi9478:?ea127mi;478:?ea3?:9489467832370??018;=>;6898149521121>=0<58:;>767;<3347556321<01<>?4;:;?8778=03563>0149<1=:99:=6584=0232?>?34;;<;479:?245>=0=16==>7:9489467032370??098;=>;689k14952112b>=0<58:;m767;<334d556d21<01<>?c;:;?8778j03563>01g9<1=:99:n6584=023a?>?34;;;6888149521131>=0<58::>767;<3357557321<01<>>4;:;?8779=03563>0049<1=:99;=6584=0222?>?34;;=;479:?244>=0=16==?7:9489466032370??198;=>;688k14952113b>=0<58::m767;<335d557d21<01<>>c;:;?8779j03563>00g9<1=:99;n6584=022a?>?34;;=h479:?2476=0=16==;68;8149521101>=0<58:9>767;<3367554321<01<>=4;:;?877:=03563>0349<1=:998=6584=0212?>?34;;>;479:?247>=0=16==<7:9489465032370??298;=>;68;k14952110b>=0<58:9m767;<336d554d21<01<>=c;:;?877:j03563>03g9<1=:998n6584=021a?>?34;;>h479:?2466=0=16===?:9489464832370??318;=>;68:8149521111>=0<58:8>767;<3377:5859>555321<01<><4;:;?877;=03563>0249<1=:999=6584=0202?>?34;;?;479:?246>=0=16===7:9489464032370??398;=>;68:k14952111b>=0<58:8m767;<337dm5859>555d21<01<>02g9<1=:999n6584=020a?>?34;;?h479:?2416=0=16==:?:9489463832370??418;=>;68=8149521161>=0<58:?>767;<3307552321<01<>;4;:;?877<=03563>0549<1=:99>=6584=0272?>?34;;8;479:?241>=0=16==:7:9489463032370??498;=>;68=k14952116b>=0<58:?m767;<330d552d21<01<>;c;:;?87705g9<1=:99>n6584=027a?>?34;;8h479:?2406=0=16==;?:9489462832370??518;=>;68<8149521171>=0<58:>>767;<3317553321<01<>:4;:;?877==03563>0449<1=:99?=6584=0262?>?34;;9;479:?240>=0=16==;7:9489462032370??598;=>;68=0<58:>m767;<331d553d21<01<>:c;:;?877=j03563>04g9<1=:99?n6584=026a?>?34;;9h479:?2436=0=16==8?:9489461832370??618;=>;68?8149521141>=0<58:=>767;<3327550321<01<>94;:;?877>=03563>0749<1=:99<=6584=0252?>?34;;:;479:?243>=0=16==87:9489461032370??698;=>;68?k14952114b>=0<58:=m767;<332d550d21<01<>9c;:;?877>j03563>07g9<1=:99?34;;:h479:?2426=0=16==9?:9489460832370??718;=>;68>8149521151>=0<58:<>767;<3337551321<01<>84;:;?877?=03563>0649<1=:99==6584=0242?>?34;;;;479:?242>=0=16==97:9489460032370??798;=>;68>k14952115b>=0<58:551d21<01<>8c;:;?877?j03563>06g9<1=:99=n6584=024a?>?34;;;h479:?24=6=0=16==6?:948946?832370??818;=>;68181495211:1>=0<58:3>767;<33<755>321<01<>74;:;?8770=03563>0949<1=:992=6584=02;2?>?34;;4;479:?24=>=0=16==67:948946?032370??898;=>;681k1495211:b>=0<58:3m767;<3355>d21<01<>7c;:;?8770j03563>09g9<1=:992n6584=02;a?>?34;;4h479:?24<6=0=16==7?:948946>832370??918;=>;68081495211;1>=0<58:2>767;<33=755?321<01<>64;:;?8771=03563>0849<1=:993=6584=02:2?>?34;;5;479:?24<>=0=16==77:948946>032370??998;=>;680k1495211;b>=0<58:2m767;<33=d55?d21<01<>6c;:;?8771j03563>08g9<1=:993n6584=02:a?>?34;;5h479:?24d6=0=16==o?:948946f832370??a18;=>;68h81495211c1>=0<58:j>767;<33e755g321<01<>n4;:;?877i=03563>0`49<1=:99k=6584=02b2?>?34;;m;479:?24d>=0=16==o7:948946f032370??a98;=>{t9k=26=4<{_33ea=:k:=1?ll4=bf4>77c3ty:n:o50;1xZ457k27h<:4gd>=:8l0q~?m7c83>6}Y9::i70m?6;1bf>;di?09=k5rs0`4g?6=;rT:?=o4=b26>6ge34ij87<>f:p5g1c2908wS?<089>g52=;hh01no::33e?xu6j>o1<7=t^013<>;d8:08mo52c`1964`7=nb:?`e4<59o1v>:2ca?8ef:38:j6s|1c:2>5<4sW;8<952c1297dd<5jk;6??i;|q2f=4=839pR<=?3:?abc<4ik16o4k520d8yv7e0:0;6>uQ1221?8dam39jn63l9g815c=z{8h387>53z\2757<5klo6>om;b9494?5|V88mj63mfc80eg=:k0i1>2gf89g`>2:ki70m68;02b>{t9k226=4<{_31bf=:jo21?ll4=b;:>77a3ty:n5o50;1xZ44aj27ij:4g<1=:8l0q~?m8c83>6}Y9;l270li6;1bf>;d1<09=k5rs0`;g?6=;rT:>k64=cd6>6ge34i2:7<>f:p5g>c2908wS?=f69>fc2=;hh01n7;:33e?xu6j1o1<7=t^00e2>;en:08mo52c80964`7=nb:?`=6<59o1v01oh>:2ca?8e>938:j6s|1c;2>5<4sW;9j>52bg297dd<5j2m6??i;|q2f<4=839pR<520d8yv7e1:0;6>uQ13d2?8dbm39jn63l8d815c=z{8h287>53z\26c6<5koo6>om;c2;;m7p}>b8494?5|V88nh63mec80eg=:k1h1>03:1?vP>2d`89gc>2:ki70m7a;02b>{t9k326=4<{_31ad=:jl21?ll4=b:;>77a3ty:n4o50;1xZ44b127ii:4g=0=:8l0q~?m9c83>6}Y9;o370lj6;1bf>;d0>09=k5rs0`:g?6=;rT:>h94=cg6>6ge34i397<>f:p5g?c2908wS?=e79>f`2=;hh01n6<:33e?xu6j0o1<7=t^00f1>;em:08mo52c96964`7=nb:?`<7<59o1v:2ca?8e?838:j6s|1cc2>5<4sW;8uQ122g?8dcm39jn63l7e815c=z{8hj87>53z\2753<5kno6>om;b`494?5|V88nj63mdc80eg=:k>k1>2d189gb>2:ki70m89;02b>{t9kk26=4<{_37fg=:k:<1?ll4=bf6>77a3ty:nlo50;1xZ42ei27h?84ga0=:8l0q~?mac83>6}Y9=h270m<4;1bf>;dl=09=k5rs0`bg?6=;rT:8o64=b10>6ge34io>7<>f:p5ggc2908wS?;b69>g64=;hh01nj<:33e?xu6jho1<7=t^06a2>;d;808mo52ce3964`5<4sW;?n?52c3g97dd<5jin6??i;|q2fg4=839pR<:m1:?`6a<4ik16onm520d8yv7ej:0;6>uQ15`3?8e5k39jn63lce815c=z{8hi87>53z\20d`<5j8i6>om;2;;m7p}>bc494?5|V8>jh63l2880eg=:kjk1>4``89f402:ki70ml6;02b>{t9kh26=4<{_37ed=:k;<1?ll4=ba4>77a3ty:noo50;1xZ42f027h>84gf3=:8l0q~?mbc83>6}Y9=k<70m=4;1bf>;dk:09=k5rs0`ag?6=;rT:8l84=b00>6ge34ih87<>f:p5gdc2908wS?;a49>g74=;hh01nm=:33e?xu6jko1<7=t^06b0>;d:808mo52cb2964`5<4sW;?m<52c0g97dd<5jho6??i;|q2ff4=839pR<:n0:?`5a<4ik16ook520d8yv7ek:0;6>uQ15;e?8e6k39jn63lbb815c=z{8hh87>53z\20om;bb494?5|V8>2n63l1880eg=:kk31>48;89f702:ki70mm8;02b>{t9ki26=4<{_37===:k8<1?ll4=b`5>77a3ty:nno50;1xZ42>?27h=84gg2=:8l0q~?mcc83>6}Y9=3=70m>4;1bf>;dj<09=k5rs0``g?6=;rT:84;4=b30>6ge34ii?7<>f:p5gec2908wS?;959>g44=;hh01nl>:33e?xu6jjo1<7=t^06`4>;d9808mo52cc0964`i:2ca?8efm38:j6s|1cf2>5<4sW;?ni52c1g97dd<5jkm6??i;|q2fa4=839pR<:mc:?`4a<4ik16olj520d8yv7el:0;6>uQ15`7?8e7k39jn63lac815c=z{8ho87>53z\20d?<5j:i6>om;be494?5|V8>2?63l0880eg=:kh31>;4mh08=?523d`975?<5:oi6>>7;<1ff?57?278io4<079>7`e=;9301>kl:22;?85bk39;;6344e349mn7<=3:?0ba<4j116?kh513`891622:h370:71;017>;30<08n552495957d<5=226>l7;<6;g?75j27?4i40<6=;k2019ok:320?82e>389?63;c18166=:==4=5f7>76434>oh7=?a:?7`a<48?168ik531c891bb2::=70:kf;13e>;3lo08<;524d2975g<5=o;6>>9;<6f5?57i27?i<4<099>0`7=;9<019k=:22b?82b:39;463;e38043=:66?34>n?7=?6:?7a1<48h168h:531:891c32::=70:j5;13e>;3m<08<5524d79750<5=o=6>>n;<6f2?57027?i;4<079>0`1=;9k019k8:22;?82b?39;:63;e9804d=:66134>n57=?a:?7a<<481168h75314891cf2::j70:ja;13<>;3mh08<;524d`975g<5=oi6>>7;<6ff?57>27?in4<0`9>0`e=;92019kl:225?82bl39;m63;ee804==:66f34>ni7=?8:?7a`<48?168hh531c891ca2::370:jf;132>;3n908<5=l;6>>9;<6e5?57i27?j<4<099>0c7=;9<019h=:22b?82a:39;463;f38043=:66?34>m?7=?6:?7b1<48h168k:531:891`32::=70m;2;037>;c;;03863k338;2>;c;=03463k378;0>;c=j03:63k5d8;2>;c>903863k618;2>;c>;03863k658;0>;c>?03863k698;0>;c>h03863k6b8;0>;c>l03863k718;0>;c?;03863k758;0>;c??03863k798;0>;c?h03863k7b8;0>;c?l03863k818;0>;c0;03863k858;0>;c0?03863k898;0>;c0h03863k8b8;0>;c0l03863k918;0>;c1;03863k958;0>;c1?03863k998;0>;c1h03863k9b8;0>;c1l03863ka18;0>;ci;03863ka58;0>;ci?03863ka98;0>;cih03863kab8;0>;cil03863kb18;0>;cj;03863kb58;0>;cj?03863kb98;0>;cjh03863kbb8;0>;cjl03863kc18;0>;b>;03:63j638;=>;b>=03:63j658;=>;b>?03:63j678;=>;b>103:63j698;=>;b>h03:63j6`8;=>;b>j03:63j6b8;=>;b>l03:63j6d8;=>;b?903:63j718;=>;b?;03:63j738;=>;b?=03:63j758;=>;b??03:63j778;=>;b?103:63j798;=>;b?h03:63j7`8;=>;b?j03:63j7b8;=>;b?l03:63j7d8;=>;b0903:63j818;=>;b0;03:63j838;=>;b0=03:63j858;=>;b0?03:63j878;=>;b0103:63j898;=>;b0h03:63j8`8;=>;b0j03:63j8b8;=>;b0l03:63j8d8;=>;b1903:63j918;=>;b1;03:63j938;=>;b1=03:63j958;=>;b1?03:63j978;=>;b1103:63j998;=>;b1h03:63j9`8;=>;b1j03:63j9b8;=>;b1l03:63j9d8;=>;bi903:63ja18;=>;bi;03:63ja38;=>;bi=03:63ja58;=>;bi?03:63ja78;=>;bi103:63ja98;=>;bih03:63ja`8;=>;bij03:63jab8;=>;bil03:63jad8;=>;bj903:63jb18;=>;bj;03:63jb38;=>;bj=03:63jb58;=>;bj?03:63jb78;=>;bj103:63jb98;=>;bjh03:63jb`8;=>;bjj03:63jbb8;=>;bjl03:63jbd8;=>;bk903:63jc18;=>;bk;03463jc38;=>;a:?03863i278;2>;a:?03463i298;0>;a:103:63i298;<>;a:h03863i2`8;2>;a:h03463i2b8;0>;a:j03:63i2b8;<>;a:l03863i2d8;2>;a:l03463i318;0>;a;903:63i318;<>;a;;03863i338;2>;a;;03463i358;0>;a;=03:63i358;<>;a;?03863i378;2>;a;?03463i398;0>;a;103:63i398;<>;a;h03863i3`8;2>;a;h03463i3b8;0>;a;j03:63i3b8;<>;a;l03863i3d8;2>;a;l03463i418;0>;a<903:63i418;<>;a<;03863i438;2>;a<;03463i458;0>;a<=03:63i458;<>;a;a;a<103:63i498;<>;a;a;a;a;a;a=903:63i518;<>;a=;03863i538;2>;a=;03463i558;0>;a==03:63i558;<>;a=?03863i578;2>;a=?03463i598;0>;a=103:63i598;<>;a=h03863i5`8;2>;a=h03463i5b8;0>;a=j03:63i5b8;<>;a=l03863i5d8;2>;a=l03463i618;0>;a>903:63i618;<>;a>;03863i638;2>;a>;03463i658;0>;a>=03:63i658;<>;a>?03863i678;2>;a>?03463i698;0>;a>103:63i698;<>;a>h03863i6`8;2>;a>h03463i6b8;0>;a>j03:63i6b8;<>;a>l03863i6d8;2>;a>l03463i718;0>;a?903:63i718;<>;a?;03863i738;2>;a?;03463i758;0>;a?=03:63i758;<>;a??03863i778;2>;a??03463i798;0>;a?103:63i798;<>;a?h03863i7`8;2>;a?h03463i7b8;0>;a?j03:63i7b8;<>;a?l03863i7d8;2>;a?l03463i818;0>;a0903:63i818;<>;a0;03863i838;2>;a0;03463i858;0>;a0=03:63i858;<>;a0?03863i878;2>;a0?03563ie98;0>;am103:63ie98;<>{t9kn26=4={_3a6a=:<891?ll4}r3a`d<72;qU==j6;<623?5fj2wx=ojm:18185bj3h=70:?1;11`>{t9knh6=4={<1ff?d334>;=7==c:p5gbc2909w0=jb;`0?8279399n6s|1cff>5<5s49nn7l=;<635?55i2wx=oji:18185bj3h:70:?1;11<>{t9ko;6=4={<1ff?d734>;=7==7:p5gc62909w0=jb;ce?8279399:6s|1cg1>5<5s49nn7oj;<635?55=2wx=ok<:18185bj3hm70:?1;110>{t9ko?6=4={<1ff?db34>;=7==3:p5gc22909w0=jb;`g?8279399>6s|1cg5>5<5s49nn7ll;<635?5592wx=ok8:18185bj3hi70:?1;114>{t9ko36=4={<1ff?df34>;=7=>f:p5gc>2909w0=jb;`:?827939:h6s|1cgb>5<5s49nn7l7;<635?56k2wx=okm:18185bj3h<70:?1;12f>{t9koh6=4={<1ff?gc34>;=7=>a:p5gcc290:?v3477349nn7??f:?0ag<68l16?hl511f896ce28:h70=jb;33f>;4mk0:<4523d`955><5:oi6<>8;<1ff?77>278io4>049>7`d=99>01>km:020?85bj3;;>634=523>64f3ty:nhk50;0x96ce2oo019>?:20;?xu6jll1<7cb<5=:;6><8;|q2fc6=838p1>km:ga891672:8=7p}>bg394?4|5:oi6kl4=523>6423ty:nk<50;0x96ce2ok019>?:207?xu6jo91<7c?<5=:;6><<;|q2fc2=838p1>km:g:891672:897p}>bg794?4|5:oi6k94=523>6463ty:nk850;0x96ce2o<019>?:203?xu6jo=1<7c3<5=:;6>?i;|q2fc>=838p1>km:g1891672:;o7p}>bg;94?4|5:oi6k<4=523>67d3ty:nko50;0x96ce2o;019>?:23a?xu6joh1<7c6<5=:;6>?n;|q2fce=838p1>km:dd891672:;27p}>bgf94?4|5:oi6hk4=523>67?3ty:nkk50;0x96ce2ln019>?:234?xu6jol1<7`e<5=:;6>?9;|q2g56=838p1>km:d`891672:;>7p}>c1394?4|5:oi6ho4=523>6733ty:o=<50;0x96ce28;270:?0;177>{t9j:86=4={<1ff?76027?<=4<439~w4e7<3:1>v3;7p}>c1494?4|5:oi68:18185bj3;:863;01807`=z{8i;47>52z?0ag<68h168=>53268yv7d800;6?u23d`9bc=:<9:1??74}r3`4d<72;q6?hl5f59>056=;8o0q~?l0c83>7}:;lh1i45241297457`d=;;k01nj::33f?xu6k9n1<764?34io:7<>e:p5f6b2909w0=jb;113>;dl=09=h5rs0a3b?6=:r78io4<279>ga4=:8o0q~?l1183>7}:;lh1??;4=bf0>77b3ty:o{t9j;96=4={<1ff?55;27hok4=1d9~w4e6;3:1>v3c0794?4|5:oi6>52z?0ag<49m16onl520g8yv7d910;6?u23d`974e<5ji26??j;|q2g4?=838p1>km:23a?8edi38:i6s|1b3b>5<5s49nn7=>a:?`g=<59l1vb;296~;4mk08=452cb4964c7`d=;8201nm8:33f?xu6k8n1<767034ih97<>e:p5f7b2909w0=jb;122>;dk:09=h5rs0a2b?6=:r78io4<149>gf2=:8o0q~?l2183>7}:;lh1?<:4=ba1>77b3ty:o??50;0x96ce2:>870ml0;02a>{t9j896=4={<1ff?53:27ho<4=1d9~w4e5;3:1>v3c3794?4|5:oi6>=i;52z?0ag<4;=16ooo520g8yv7d:10;6?u23d`977?<5jhi6??j;|q2g7?=838p1>km:23f?8ee138:i6s|1b0b>5<5s49nn7=>3:?`f2<59l1vm4=2ga>45f349nn7?<9:?0ag<6;116?hl5125896ce289=70=jb;301>;4mk0:?9523d`9513<5:oi6<:;;<1ff?73;278io4>439>7`d=9=;01>km:063?85bj3;8j63j4=2ga>454349nn77<;<1ff??6349nn77?;<1ff?>a349nn76j;<1ff?>c349nn76l;<1ff?>e349nn77l;<1ff??e349nn77n;<1ff??>349nn777;<635?54;2wx=n{t9j8o6=4={<1ff??134>;=7=<1:p5f4b2909w0=jb;;6?8279398<6s|1b0e>5<5s49nn77;;<635?55n2wx=n=?:18185bj32j70:?1;11a>{t9j9:6=4={<1fg?d134>;?7==d:p5f552909w0=jc;`7?827;399o6s|1b10>5<5s49no7l<;<637?55j2wx=n=;:18185bk3h970:?3;11e>{t9j9>6=4={<1fg?d634>;?7==8:p5f512909w0=jc;`3?827;399;6s|1b14>5<5s49no7oi;<637?55>2wx=n=7:18185bk3kn70:?3;111>{t9j926=4={<1fg?da34>;?7==4:p5f5f2909w0=jc;`f?827;399?6s|1b1a>5<5s49no7lk;<637?55:2wx=n=l:18185bk3hh70:?3;115>{t9j9o6=4={<1fg?de34>;?7==0:p5f5b2909w0=jc;`b?827;39:j6s|1b1e>5<5s49no7l6;<637?56l2wx=n:?:18185bk3h370:?3;12g>{t9j>:6=4={<1fg?d034>;?7=>b:p5f252909w0=jc;cg?827;39:m6s|1b60>5<6;r78in4>129>7`e=98;01>kl:033?85bk3;;j6346d349no7??b:?0af<68016?hm511:896cd28:<70=jc;332>;4mj0:<8523da9552<5:oh6<><;<1fg?77:278in4>009>7`e=99:019>=:20b?xu6k=>1<7cc<5=:96><7;|q2g13=838p1>kl:gf891652:8<7p}>c5494?4|5:oh6km4=521>6413ty:o9950;0x96cd2oh019>=:206?xu6k=21<7cg<5=:96><;;|q2g1?=838p1>kl:g;891652:887p}>c5c94?4|5:oh6k64=521>6453ty:o9l50;0x96cd2o=019>=:202?xu6k=i1<7c0<5=:96>kl:g7891652:;m7p}>c5g94?4|5:oh6k=4=521>67c3ty:o9h50;0x96cd2o8019>=:23`?xu6k<:1<7c7<5=:96>?m;|q2g07=838p1>kl:g2891652:;j7p}>c4094?4|5:oh6hh4=521>67>3ty:o8=50;0x96cd2lo019>=:23;?xu6k<>1<7`b<5=:96>?8;|q2g03=838p1>kl:da891652:;=7p}>c4494?4|5:oh6hl4=521>6723ty:o8950;0x96cd2lk019>=:237?xu6k<21<747>34>;>7=;3:p5f3>2909w0=jc;32<>;38;088?5rs0a6e?6=:r78in4>169>054=;=;0q~?l5c83>7}:;li1=<84=521>6273ty:o8m50;0x96cd28;>70:?2;10b>{t9j?o6=4={<1fg?76<27?v3:4}r3`1c<72;q6?hm5fg9>054=;;30q~?l6183>7}:;li1j952410974c7`e=m0168=<53018yv7d>;0;6?u23da977g<5jk36??j;|q2g35=838p1>kl:20;?8ef>38:i6s|1b47>5<5s49no7==7:?`e1<59l1v;52c`7964c7`e=;;?01no<:33f?xu6k?=1<764334ij=7<>e:p5f0?2909w0=jc;117>;di;09=h5rs0a5=?6=:r78in4<239>gd6=:8o0q~?l6`83>7}:;li1???4=b;f>77b3ty:o;l50;0x96cd2:8;70m6f;02a>{t9jv3c7d94?4|5:oh6>?m;52z?0af<49016o47520g8yv7d?;0;6?u23da974><5j3<6??j;|q2g25=838p1>kl:234?8e>=38:i6s|1b57>5<5s49no7=>6:?`=3<59l1v7`e=;8>01n7=:33f?xu6k>=1<762434i2?7<>e:p5f1?2909w0=jc;176>;d1809=h5rs0a4=?6=:r78in4<409>g=`=:8o0q~?l7`83>7}:;li1?9>4=b;3>77b3ty:o:l50;0x96cd2:9m70m7e;02a>{t9j=h6=4={<1fg?54m27h4n4=1d9~w4e0l3:1>v3e2;;n7p}>c6d94?4|5:oh6>?j;521y>7`e=9:i01>kl:01b?85bk3;856394=2g`>451349no7?<5:?0af<6;=16?hm5157896cd28>?70=jc;377>;4mj0:8?523da9517<5:oh6<:?;<1fg?74n278in4>3d9>7`e=9:n01>kl:010?85bk33870=jc;;2?85bk33;70=jc;:e?85bk32n70=jc;:g?85bk32h70=jc;:a?85bk33h70=jc;;a?85bk33j70=jc;;:?85bk33370:?3;107>{t9j296=4={<1fg??034>;?7=<2:p5f>42909w0=jc;;5?827;398=6s|1b:7>5<5s49no77:;<637?5482wx=n6::18185bk33?70:?3;11b>{t9j2=6=4={<1fg?>f34>;?7==e:p5f>02909w0=jd;305>;4n908<>5rs0a;7`c=:9901n;=:33`?8b7k38:h63k0d815`=z{8i357>52z?0a`<6:k16?k?53118yv7d0h0;6>u23dg9755<5:om6<52z?0b4<4j116o9853118yv7d0m0;6?u23g09567<5:l86?<;;|q2g=c=83>p1>h=:2ca?8e1i38:h63l6c815a=:k?i1>2::87p}>c8294?2|5:l?6?><;`70=:8h0q~?l9083>6}:;o>1?o64=2d6>74434i?57=?3:p5f?52909w0=i5;137>;dlm0:?<5rs0a:7?6=:r78j;4>309>g15=;990q~?l9583>3}:;o<1?ll4=523>66?34>;=7=?8:?747<481168==531:89f242;;m7p}>c8794?4|5:l<6<=>;66034>;?7=?7:?`0d<59l1v7c>=;hh019>?:225?827939;:63;038043=:<991?=84=b6a>77b3ty:o4750;0x96`>288i70=id;137>{t9j3j6=4;{<1e=?47;27hhh4ga`=:8i01i<::33a?xu6k0h1<76d?34n997=?3:p5f?d2909w0=i9;137>;4nh0:>o5rs0a:`?6=:r78jl4=029>`71=;990q~?l9d83>7}:;ok1?o64=bdb>6643ty:o4h50;1x96`f2;8?70=ib;31f>;3810:?<5rs0ab4?6=:r78jo4<029>051=9:;0q~?la083>7}:;oi1=?l4=2de>6643ty:ol<50;6x96`d2;:870:?9;1bf>;d=909=n52c43964e4?:3y>7ce=;k201n;?:220?xu6kh>1<7=t=2d`>664349mh7?=b:?0b`<6:k1v5241;95677c`=;k201n:j:220?xu6kh=1<7mt=523>g0<5=:;6o:4=523>g5<5=:;6o<4=523>g7<5=:;6o>4=523>d`<5=:;6lk4=523>g`<5=:;6ok4=523>gb<5o826>om;|q2gd>=838p19>?:ca89c4e2:ki7p}>c`;94?4|5=:;6ol4=g04>6ge3ty:olo50;0x91672kk01kg?<5o8m6>om;|q2gde=838p19>?:c:89c562:ki7p}>c`f94?4|5=:;6o94=g10>6ge3ty:olk50;0x91672hn01k=8:2ca?xu6khl1<760a34>n>7=n0:p5fd72909w0:?0;15`>;3m;085h5rs0aa5?6=:r7?<=4<6b9>0`4=;0n0q~?lb383>7}:<9:1?;l4=5g1>6?d3ty:oo=50;0x91672:{t9jh?6=4={<634?51127?i?4<9`9~w4ee=3:1>v3;01802==:5375891c52:337p}>cc594?4|5=:;6>8:;<6f6?5>>2wx=nl7:181827839=863;e380=0=z{8ii57>52z?745<4>:168h<53868yv7djh0;6?u24129734<5=o96>7<;|q2ggd=838p19>?:242?82b:392>6s|1b``>5<5s4>;<7=90:?7a7<4181v056=;63c34>n>7=7e:p5fe72909w0:?0;16g>;3m;084i5rs0a`5?6=:r7?<=4<5`9>0`4=;1h0q~?lc383>7}:<9:1?874=5g1>6>f3ty:on=50;0x91672:?370:j2;1;=>{t9ji?6=4={<634?52?27?i?4<899~w4ed=3:1>v3;018013=:5347891c52:2=7p}>cb594?4|5=:;6>;;;<6f6?5?=2wx=nm7:181827839>?63;e380<1=z{8ih57>52z?745<4=;168h<53918yv7dkh0;6?u24129707<5=o96>6=;|q2gfd=838p19>?:26e?82b:393<6s|1ba`>5<5s4>;<7=;e:?7a7<4?o1v056=;=i019k=:25g?xu6kjl1<762e34>n>7=8c:p5fb72909w0:?0;17e>;3m;08;o5rs0ag5?6=:r7?<=4<489>0`4=;>k0q~?ld383>7}:<9:1?964=5g1>61>3ty:oi=50;0x91672:><70:j2;14<>{t9jn?6=4={<634?53>27?i?4<769~w4ec=3:1>v3;018030=:5366891c52:k>7p}>ce594?4|5=:;6>9<;<6f6?5f<2wx=nj7:181827839<>63;e380e6=z{8io57>52z?745<4?8168h<53`08yv7dlh0;6?u24129726<5=o96>o>;|q2gad=838p19>?:245?82b:392;6s|1bf`>5<5s4>;<7=:b:?7a7<40j1v056=;=?019k=:255?xu6kml1<7;t=523><5<5=::64=4=521><5<5=:864=4=cc5>4b>3ty:oh>50;7x916720;019>>:838916520;019><:8389gg128n<7p}>cd394?3|5=:;64>4=522><6<5=:964>4=520><6<5kk=6?:9d8916621l019>=:9d8916421l01oo9:0f6?xu6kl91<7;t=523>=c<5=::65k4=521>=c<5=:865k4=cc5>4b33ty:oh:50;7x916721n019>>:9f8916521n019><:9f89gg128n87p}>cd794?3|5=:;65m4=522>=e<5=:965m4=520>=e<5kk=6?:9`8916621h019>=:9`8916421h01oo9:0f2?xu6kl=1<7;t=523>7663ty:oh650;7x916720h019>>:8`8916520h019><:8`89gg12;:;7p}>cd;94?3|5=:;64o4=522>?:8;89166203019>=:8;8916420301oo9:0df?xu6klh1<7;t=523><><5=::6464=521><><5=:86464=cc5>4`c3ty:ohm50;7x916720=019>>:858916520=019><:8589gg128lh7p}>cdf94?3|5=:;6484=522><0<5=:96484=520><0<5kk=6?:878916620?019>=:878916420?01oo9:0g4?xu6kll1<7;t=523><2<5=::64:4=521><2<5=:864:4=cc5>4bd3ty:ok>50;7x916721k019>>:9c8916521k019><:9c89gg128n;7p}>cg394?4|5=::6o=4=g1:>6ge3ty:ok<50;0x91662k801k=::2ca?xu6ko91<7g7<5o9i6>om;|q2gc2=838p19>>:c289c5c2:ki7p}>cg794?4|5=::6lh4=g1e>6ge3ty:ok850;0x91662ho01k:>:2ca?xu6ko=1<7g`<5o>>6>om;|q2gc>=838p19>>:cg89c202:ki7p}>cg;94?4|5=::6oj4=g60>6ge3ty:oko50;0x91662ki01k:6:2ca?xu6koh1<7gd<5o>i6>om;|q2gce=838p19>>:cc89c2c2:ki7p}>cgf94?4|5=::6o74=g6e>6ge3ty:okk50;0x91662k201k;<:2ca?xu6kol1<7g1<5o?>6>om;|q2`56=838p19>>:`f89c362:ki7p}>d1394?4|5=::6>8i;<6fg?5f82wx=i>=:181827939=h63;eb80=`=z{8n;?7>52z?744<4>j168hm538f8yv7c8=0;6?u2413973d<5=oh6>7l;|q2`53=838p19>>:24b?82bk392n6s|1e25>5<5s4>;=7=99:?7af<41h1v057=;?=019kl:2;;?xu6l931<760234>no7=66:p5a6f2909w0:?1;150>;3mj08585rs0f3f?6=:r7?<<4<629>0`e=;0>0q~?k0b83>7}:<9;1?;<4=5g`>6?43ty:h=j50;0x91662:<:70:jc;1:6>{t9m:n6=4={<635?51827?in4<909~w4b7n3:1>v3;00801c=:4}r3g55<72;q68=?534g891cd2:2m7p}>d0394?4|5=::6>;k;<6fg?5?m2wx=i?=:181827939>o63;eb8052z?744<4=h168hm539`8yv7c9=0;6?u2413970?<5=oh6>6n;|q2`43=838p19>>:27;?82bk39356s|1e35>5<5s4>;=7=:7:?7af<4011v7;296~;388089;524da97=1057=;63334>no7=75:p5a7f2909w0:?1;167>;3mj08495rs0f2f?6=:r7?<<4<539>0`e=;190q~?k1b83>7}:<9;1?8?4=5g`>6>53ty:hm70:jc;1;4>{t9m;n6=4={<635?53m27?in4<7g9~w4b6n3:1>v3;00800a=:d3394?4|5=::6>:m;<6fg?50k2wx=i<=:181827939?m63;eb803g=z{8n9?7>52z?744<4<0168hm536c8yv7c:=0;6?u2413971><5=oh6>96;|q2`73=838p19>>:264?82bk39<46s|1e05>5<5s4>;=7=;6:?7af<4?>1v54?:3y>057=;>>019kl:2c6?xu6l;31<761434>no7=n4:p5a4f2909w0:?1;146>;3mj08m>5rs0f1f?6=:r7?<<4<709>0`e=;h80q~?k2b83>7}:<9;1?:>4=5g`>6g63ty:h?j50;0x91662:<=70:jc;1:3>{t9m8n6=4={<635?52j27?in4<8b9~w4b5n3:1>v3;008015=:d2394?4|5=::6>?6;52z?744<49>16i=<51238yv7c;=0;6?u24139740<5l:36<=>;|q2`63=838p19>>:236?8c7i3;8=6s|1e15>5<5s4>;=7=>4:?f4f<6;81v52e1g9567057=;=801h?=:012?xu6l:31<762634o:87?<1:p5a5f2909w0:?1;174>;b990:?<5rs0f0f?6=:r7?<<4<3g9>a40=9:;0q~?k3b83>7}:<9;1?>k4=d3;>4563ty:h>j50;0x91662:9?70k>a;305>{t9m9n6=4={<635?55127n=n4>309~w4b4n3:1>v3;00805`=:m;:1=>?4}r3g05<72;q68=?530189`45289:7p}>d5394?e|5=:96o84=521>g2<5=:96o=4=521>g4<5=:96o?4=521>g6<5=:96lh4=521>dc<5=:96oh4=521>gc<5=:96oj4=g74>6ge3ty:h9<50;0x91652ki01k;6:2ca?xu6l=91<7gd<5o?i6>om;|q2`12=838p19>=:cc89c3c2:ki7p}>d5794?4|5=:96o74=g42>6ge3ty:h9850;0x91652k201k8<:2ca?xu6l==1<7g1<5o?m6>om;|q2`1>=838p19>=:`f89c022:ki7p}>d5;94?4|5=:96>8i;<6f5?5f82wx=i:n:181827:39=h63;e080=`=z{8n?n7>52z?747<4>j168h?538f8yv7c7l;|q2`1b=838p19>=:24b?82b9392n6s|1e6f>5<5s4>;>7=99:?7a4<41h1v054=;?=019k>:2;;?xu6l<;1<760234>n=7=66:p5a352909w0:?2;150>;3m808585rs0f67?6=:r7?0`7=;0>0q~?k5583>7}:<981?;<4=5g2>6?43ty:h8;50;0x91652:<:70:j1;1:6>{t9m?=6=4={<636?51827?i<4<909~w4b2?3:1>v3;03801c=:4}r3g1=<72;q68=<534g891c62:2m7p}>d4;94?4|5=:96>;k;<6f5?5?m2wx=i;n:181827:39>o63;e080n7>52z?747<4=h168h?539`8yv7c=j0;6?u2410970?<5=o:6>6n;|q2`0b=838p19>=:27;?82b939356s|1e7f>5<5s4>;>7=:7:?7a4<4011v054=;:2:5?xu6l?;1<763334>n=7=75:p5a052909w0:?2;167>;3m808495rs0f57?6=:r7?0`7=;190q~?k6583>7}:<981?8?4=5g2>6>53ty:h;;50;0x91652:>m70:j1;1;4>{t9m<=6=4={<636?53m27?i<4<7g9~w4b1?3:1>v3;03800a=:d7;94?4|5=:96>:m;<6f5?50k2wx=i8n:181827:39?m63;e0803g=z{8n=n7>52z?747<4<0168h?536c8yv7c>j0;6?u2410971><5=o:6>96;|q2`3b=838p19>=:264?82b939<46s|1e4f>5<5s4>;>7=;6:?7a4<4?>1v054=;>>019k>:2c6?xu6l>;1<761434>n=7=n4:p5a152909w0:?2;146>;3m808m>5rs0f47?6=:r7?0`7=;h80q~?k7583>7}:<981?:>4=5g2>6g63ty:h:;50;0x91652:<=70:j1;1:3>{t9m==6=4={<636?52j27?i<4<8b9~w4b0?3:1>v3;038015=:d6;94?4|5=:86o=4=g44>6ge3ty:h:o50;0x91642k801k86:2ca?xu6l>h1<7g7<5oom;|q2`2e=838p19><:c289c0a2:ki7p}>d6f94?4|5=:86lh4=g52>6ge3ty:h:k50;0x91642ho01k8k:2ca?xu6l>l1<7g`<5o=86>om;|q2`=6=838p19><:cg89c122:ki7p}>d9394?4|5=:86oj4=g54>6ge3ty:h5<50;0x91642ki01k96:2ca?xu6l191<7gd<5o=o6>om;|q2`=2=838p19><:cc89c1a2:ki7p}>d9794?4|5=:86o74=g5a>6ge3ty:h5850;0x91642k201k6<:2ca?xu6l1=1<7g1<5o2>6>om;|q2`=>=838p19><:`f89c>62:ki7p}>d9;94?4|5=:86>8i;<6ff?5f82wx=i6n:181827;39=h63;ec80=`=z{8n3n7>52z?746<4>j168hl538f8yv7c0j0;6?u2411973d<5=oi6>7l;|q2`=b=838p19><:24b?82bj392n6s|1e:f>5<5s4>;?7=99:?7ag<41h1v055=;?=019km:2;;?xu6l0;1<760234>nn7=66:p5a?52909w0:?3;150>;3mk08585rs0f:7?6=:r7?<>4<629>0`d=;0>0q~?k9583>7}:<991?;<4=5ga>6?43ty:h4;50;0x91642:<:70:jb;1:6>{t9m3=6=4={<637?51827?io4<909~w4b>?3:1>v3;02801c=:4}r3g==<72;q68==534g891ce2:2m7p}>d8;94?4|5=:86>;k;<6ff?5?m2wx=i7n:181827;39>o63;ec8052z?746<4=h168hl539`8yv7c1j0;6?u2411970?<5=oi6>6n;|q2`<:27;?82bj39356s|1e;f>5<5s4>;?7=:7:?7ag<4011v055=;63334>nn7=75:p5ag52909w0:?3;167>;3mk08495rs0fb7?6=:r7?<>4<539>0`d=;190q~?ka583>7}:<991?8?4=5ga>6>53ty:hl;50;0x91642:>m70:jb;1;4>{t9mk=6=4={<637?53m27?io4<7g9~w4bf?3:1>v3;02800a=:d`;94?4|5=:86>:m;<6ff?50k2wx=ion:181827;39?m63;ec803g=z{8njn7>52z?746<4<0168hl536c8yv7cij0;6?u2411971><5=oi6>96;|q2`db=838p19><:264?82bj39<46s|1ecf>5<5s4>;?7=;6:?7ag<4?>1v055=;>>019km:2c6?xu6lk;1<761434>nn7=n4:p5ad52909w0:?3;146>;3mk08m>5rs0fa7?6=:r7?<>4<709>0`d=;h80q~?kb583>7}:<991?:>4=5ga>6g63ty:ho;50;0x91642:<=70:jb;1:3>{t9mh=6=4={<637?52j27?io4<8b9~w4be?3:1>v3;028015=:dc;94?4|5=:86>?6;52z?746<49>16i?851238yv7cjj0;6?u24119740<5l836<=>;|q2`gb=838p19><:236?8c5i3;8=6s|1e`f>5<5s4>;?7=>4:?f6`<6;81v52e229567055=;=801h62634o8>7?<1:p5ae52909w0:?3;174>;b;=0:?<5rs0f`7?6=:r7?<>4<3g9>a60=9:;0q~?kc583>7}:<991?>k4=d1;>4563ty:hn;50;0x91642:9?70k{t9mi=6=4={<637?55127n?h4>309~w4bd?3:1>v3;02805`=:m:k1=>?4}r3gg=<72;q68==530189`27289:7p}>db;94?5|5=:?6<v3;058046=:<8:1=>?4}r3ggg<72?q68=8513`8916a2:ki70m;8;02g>;d<009=i52c5f964b<5li96<=>;|q2`fe=838p19>9:2`;?8b5>39;?6s|1eag>5<19r7?<:4g2?=:8i01n9n:33`?8e0j38:o63l7b815f=:k>n1>77d34ic:?`<5<59j16o5?520a89f>52;;h70m73;02g>;d0=09=n52c97964e<5j2=6??l;g=?=:8i01n6n:33`?8e?j38:o63l8b815f=:k1n1>77d34i3j7<>c:?`=5<59j16o4?520a89f?52;;h70m63;02g>;d1=09=n52c87964e<5j3=6??l;gj38:o63l9b815f=:k0n1>77d34i2j7<>c:?`e5<59j16ol?520a89fg52;;h70mn3;02g>;di=09=n52c`7964e<5jk=6??l;gd?=:8i01non:33`?8efj38:o63lab815f=:khn1>77d34ijj7<>c:?`f5<59j16oo?520a89fd52;;h70mm3;02g>;dj=09=n52cc7964e<5jh=6??l;gg?=:8i01nln:33`?8eej38:o63lbb815f=:kkn1>77d34iij7<>c:?`g5<59j16on?520a89fe52;;h70ml3;02g>;dk=09=n52cb7964e<5ji=6??l;gf?=:8i01nmn:33`?8edj38:o63lcb815f=:kjn1>77d34ihj7<>c:?``5<59j16oi?520a89fb52;;h70mk3;02g>;dl=09=n52ce7964e<5jn=6??l;:4=1c9~w4bdm3:19v3;0`8274=:==4=5`g>44e34>ii7=nb:?7`f<6;81vde094?4|5=:o6<=>;?>4}r3g`1<72;q68=k512389f2?2::87p}>de794?0|5=:n6>om;g1>=:8o01i?>:33f?8b183;8=6s|1ef5>5<5s4>;j7?<1:?`0a<48:1v<6??j;g3b=:8n01i>k:33`?8b7n38:h63k10815c=:l:>1=>?4}r3g`=<72;q68de;94?4|5=;:6>om;<62e?g13ty:hio50;0x9175289:70m;4;137>{t9mni6=4={<626?5fj27?=l4n8:p5abd290=8v3;128274=:k<:1>77c34i<57<>d:?`3d<59m16o:l520f89f1d2;;o70m8d;02`>;d?l09=i52c6d964b<5j2;6??k;g=5=:8n01n6;:33g?8e?=38:h63l87815a=:k1=1>77c34i357<>d:?`d2;;o70m7d;02`>;d0l09=i52c9d964b<5j3;6??k;g<5=:8n01n7;:33g?8e>=38:h63l97815a=:k0=1>77c34i257<>d:?`=d<59m16o4l520f89f?d2;;o70m6d;02`>;d1l09=i52c8d964b<5jk;6??k;gd5=:8n01no;:33g?8ef=38:h63la7815a=:kh=1>77c34ij57<>d:?`ed<59m16oll520f89fgd2;;o70mnd;02`>;dil09=i52c`d964b<5jh;6??k;gg5=:8n01nl;:33g?8ee=38:h63lb7815a=:kk=1>77c34ii57<>d:?`fd<59m16ool520f89fdd2;;o70mmd;02`>;djl09=i52ccd964b<5ji;6??k;gf5=:8n01nm;:33g?8ed=38:h63lc7815a=:kj=1>77c34ih57<>d:?`gd<59m16onl520f89fed2;;o70mld;02`>;dkl09=i52cbd964b<5jn;6??k;ga5=:8n01nj;:33g?8ec=38:h63ld7815a=:km=1>77b34l3;7=nb:p5abc2908w0:>3;1b`>;39>08mi52c5d9755042=9:;01n98:33g?8b4?39jn63jc58274=z{8noj7>52z?751<4ik168l951238yv7cm90;6>u24079567<5=;36>om;:180826=39jn63l45815`=:k=i1>{t9mo86=4={<622?5fj27?=l4na:p5ac32909w0:>7;305>;d=808<>5rs0ff1?6=>r7?=54>309>04?=;hh01n:::33`?8e3?38:j63l49815a=:k=n1>dd594?5|5=;j6l:4=e25>6ge34n;i7<=0:p5ac?290>w0:>a;c1?82dk38;?63l47815a=:k?h1>6ge3ty:hh750;7x917f2h:019mk:320?8e3>38:i63l6`815`=:kl=1?ll4}r3gad<72:q68{t9moi6=4<{<62e?7a127o:;4>309>a34=9:;0q~?keb83>6}:<8k1=k64=e41>45634o=:7?<1:p5acc2908w0:>a;3e3>;c>10:?<52e7:956704g=9o<01i8n:012?8c1i3;8=6s|1ege>5<4s4>:m7?i5:?g2f<6;816i;m51238yv7cn90;6>u240c95c2<5m;:180826i3;m?63k738274=:m>81=>?4}r3gb7<72:q68{t9ml86=4<{<62e?7a827o;=4>309>a22=9:;0q~?kf583>6}:<8k1=hh4=e55>45634o<:7?<1:p5a`22908w0:>a;3fa>;c?10:?<52e6:956704g=9ln01i9n:012?8c0i3;8=6s|1ed4>5<4s4>:m7?jc:?g3f<6;816i:k51238yv7cn10;6>u240c95`d<5m2;6<=>;i1=>?4}r3gbd<72:q68{t9mli6=4<{<62e?7b027o494>309>a=2=9:;0q~?kfb83>6}:<8k1=h84=e:5>45634o3:7?<1:p5a`c2908w0:>a;3f1>;c010:?<52e9:956704g=9l>01i6n:012?8c?k3;8=6s|1ede>5<4s4>:m7?j3:?g<`<6;816i5k51238yv7b890;6>u240c95`4<5m3;6<=>;>:180826i3;n=63k8b8274=:m0:1=>?4}r3f47<72:q68{t9l:86=4<{<62e?7cn27o594>309>a<2=9:;0q~?j0583>6}:<8k1=ik4=e;5>45634o2:7?<1:p5`622908w0:>a;3g`>;c110:?<52e8c956704g=9mh01i7l:012?8c>k3;8=6s|1d24>5<4s4>:m7?ka:?g=`<6;816i4651238yv7b810;6>u240c95a?<5m3j6<=>;6:180826i3;o;63ka18274=:mh:1=>?4}r3f4d<72:q68{t9l:i6=4<{<62e?7c=27om94>309>ad2=9:;0q~?j0b83>6}:<8k1=i:4=ec5>45634oj47?<1:p5`6c2908w0:>a;3g7>;cih0:?<52e`c956704g=9m801iol:012?8cf>3;8=6s|1d2e>5<4s4>:m7?k1:?ge=<6;816ilm51238yv7b990;6>u240c9657<5mkn6<=>;:180826i38;<63kb18274=:mk:1=>?4}r3f57<72:q68{t9l;86=4<{<62e?7am27on94>309>ag0=9:;0q~?j1583>6}:<8k1=kj4=e`;>45634oi47?<1:p5`722908w0:>a;3eg>;cjh0:?<52ec6956704g=9o801il9:012?8cei3;8=6s|1d34>5<4s4>:m7?j7:?gff<6;816iom51238yv7b910;6>u240c95ae<5mhn6<=>;?4}r3f5d<72;q68:j5123891>62::87p}>e0`94?5|5==o6>om;<6`5?47;27o=>4=1g9~w4c6k3:1?v3;7d8274=:<1:1>?:4=5:2>44e3ty:i;31j0:?<52d3;964d02`=:9901979:012?82e<3;8=63;c7826g=:e3294?4|5==m6>><;<6;4?75j2wx=h<>:18782?838;?63;b98146=:==4=5a;>6ge3ty:i?<50;0x91>72:h370m:7;137>{t9l886=4:{<6;6?47;27h984=1b9>g`6=;hh01i<7:33a?8b4838:n6s|1d07>5<4s4>3>7=m8:?7<6<5::16o8;53118yv7b:<0;6?u24919755<5jo;6<=>;|q2a70=838p196;:00a?82??39;?6s|1d04>5<0s4>387;c8h09=i52d1`964b54?:3y>0=2=;k201n;m:220?xu6m;31<7=t=5:7>66434>397?=b:?7<3<6:k1v524859567o4?:3y>0=1=;k201n;7:220?xu6m;i1<744e34>2<7=?3:p5`4c2909w0:78;1a<>;d=008<>5rs0g1a?6=;r7?454<029>0=?=9;h0196n:00a?xu6m;l1<766434>247?<1:p5`572909w0:7b;31f>;30j08<>5rs0g05?6=0r7?4o4=029>077a34n;n7<>f:p5`552908w0:7b;137>;30m0:>o5249g957d4?:3y>0=e=;k201i<7:220?xu6m:>1<766434>257?<1:p5`522909w0:7f;1a<>;c;908<>5rs0g02?6=;r7?4k4<029>0<6=9;h0197>:00a?xu6m:=1<766434>2m7?<1:p5`5?2909w0:62;305>;3i=08mo5rs0g0=?6=:r7?5?4fd0=;j20q~?j3`83>7}:<091=>?4=5c5>6ge3ty:i>l50;1x91?42:ki70:if;305>;?>h08o55rs0g0g?6=:r7?594>309>g05=;990q~?j3e83><}:<0>1?ll4=5;a>45634i>?7<>c:?`13<59l16o89520f89a722;;h70j>6;02b>;c9>09>=52d0:964e0<3=9:;01n;;:220?xu6m:l1<79t=5;6>6ge34i>?7<>d:?`11<59j16o88520a89f3f2;;n70j>5;02`>;c9?09=i5rs0g74?6=:r7?5;4fd0=i91v045634>2j7=nb:?`26<59m16o;6520f89a752;;n7p}>e5694?5|5=3o6>om;77d34n:?7<>e:?g52<59m1v0<`=9:;019o8:2ca?8e1838:h63l60815a=:k?81>77d34i=87<>c:?`20<59m16o;9520f89a4f2;;i70j<1;02f>{t9l>36=4={<6b4?74927o=:4<029~w4c313:1?v3;a180eg=:k??1>77c3ty:i9o50;0x91g6289:70m:c;137>{t9l>i6=47{<6b5?5fj27h:?4=1b9>g33=:8o01n89:33`?8e1?38:o63l69815f=:k?31>77e3ty:i9m50;0x91g5289:70j=9;137>{t9l>o6=4={<6b6?5fj27?o?4=029~w4c3m3:1>v3;a28274=:l8?1?==4}r3f0c<72;q68l:512389a712::87p}>e4294?4|5=k>6<=>;:18782f=39jn63l61815`=:k?<1>77d3ty:i8<50;0x91g1289:70m:a;137>{t9l?86=4={<6b4>309~w4c2<3:14v3;a880eg=:k<=1>77c34i>j7<>c:?g55<59m16h<;520d89a702;;n70j>8;02`>{t9l?>6=4<{<6be?75j27?mi4<029>0g6=9;h0q~?j5783>6}:?=4=5`3>6d?34i>h7=?3:p5`302909w0:na;137>;dm:0:?<5rs0g62c9>0dc=9;h019oi:307?xu6m<31<7=t=5ca>74434>ji7=m8:?g4g<48:1v52cd395670de=9;h019oi:00a?82e838986s|1d7`>5<4s4>jo7<=3:?7ec<4j116h=o53118yv7b=m0;6?u24`a9755<5jo96<=>;|q2a0c=838p19ok:2`;?8e2m39;?6s|1d7e>5<2s4>ji7{t9l<;6=4:{<6bb?47;27h954=1b9>g0?=:8n01nk=:2ca?8b7i38:o6s|1d42>5<2s4>i<7{t9l<96=4<{<6a5?75j27?n?4>2c9>0g5=;hh0q~?j6283>0}:==4=5d6>456342=m7o?;?=4=bda>6643ty:i;;50;0x91d52::870mj4;305>{t9l<=6=4<{<6a0?5fj27?j;4>309><3g=i;1v0g3=;hh01nk;:2cg?xu6m?31<7=t=5`5>44e34>i47<=4:?7f`<6;81v524cd95670g1=9;h019l6:220?xu6m?i1<76d?34i==7=?3:p5`0c2909w0:m7;137>;3j10:>o5rs0g5a?6=:r7?n54g36=;990q~?j6g83>7}:6643ty:i:>50;0x91d>2:h370m92;137>{t9l=:6=4={<6ae?75j27?no4<029~w4c0:3:1>v3;b`8146=:l;l1?==4}r3f36<72;q68oo53c:89a4f2::87p}>e6694?4|5=hi6<52z?7ff<6:k168oj53118yv7b?>0;6?u24ca97g><5j><;|q2a2>=838p19lk:320?8b5m39;?6s|1d5:>5<5s4>ih7=m8:?g74<48:1v52z?7g5<48:168n651238yv7b?m0;6?u24b3957d<5=i96>><;|q2a2c=838p19m>:2`;?8e1>39;?6s|1d5e>5<5s4>h>7?=b:?7g6<48:1v0f5=9;h019m;:220?xu6m181<7:t=5a0>76434n;57=nb:?g56<59j16h?h520`8yv7b0:0;6?u24b197g><5j<<6>><;|q2a=2=838p19m;:00a?82d=39;?6s|1d:6>5<5s4>h87=m8:?`2=<48:1vo524b497550f3=:9901i6d?34i=57=?3:p5`>>290?w0:l6;037>;c8108mo52d00964e<5m8n6??m;|q2a=g=838p19m9:2`;?8b5j39;?6s|1d:a>5<4s4>h57?=b:?7gg<48:168nj513`8yv7b0j0;6>u24b;9675<5=io6>l7;53z?7gd<6:k168nm513`891ec2;8?7p}>e9d94?5|5=ij6?<<;<6`g?5e027h:o4<029~w4c>83:1>v3;c`8046=:kl<1=>?4}r3f=4<72;q68nl53c:89f0d2::87p}>e8094?5|5=in6?<<;<6g5?5e027hjn4<029~w4c>;3:1>v3;cd8046=:kl31=>?4}r3f=1<72:q68nh513`891b7288i70:k1;010>{t9l3>6=4<{<6`b?45;27?h=4g3b=;990q~?j9783>7}:4563ty:i4950;6x91b72;:870m;4;02g>;d>m09=n52cd:97dd0a7=:9901n:;:33g?8e3k38:o63le880eg=:koi1>3?33n70l;dmh08mo5rs0g:e?6=;r7?h?40a5=:;901n8i:220?xu6m0h1<766434inm7?<1:p5`?d2909w0:k4;1a<>;d?908<>5rs0g:`?6=;r7?h94<029>0a3=9;h019j9:00a?xu6m0o1<76t=5f6>76434?:o7?<1:?4``<>m273>946e:?a6d<>m27i9=46e:?`2`<59l16ohl53``8yv7b1o0;6>u24e797g><5=n=6?<<;39;?63lec8274=z{8oj=7>52z?7`2<6;816o:?53118yv7bi;0;6?u24e597dd<5m?n6<=>;|q2ad5=839p19j7:00a?82c13;9n63;d`80eg=z{8oj87>55z?7`=<58:169??512389=0f2h<01nkk:2ca?8eal38:o6s|1dc6>5<4s4>o47=m8:?7`<<5::16okj53118yv7bi?0;6?u24e;9755<5joo6<=>;|q2ad1=83?p19jn:012?8e1m38:o63l6g815a=:k>:1>6ge3ty:il650;6x91be289:70mja;1a<>;dmk08mi52d4d97dd0ad=;hh01nkk:2cg?xu6mhk1<7=t=5f`>6ge34?9<7?<1:?;2d{t9lkh6=4={<6g`?dd34h8h7?97:p5`gc2909w0:kd;`a?8d4l3;=:6s|1dcf>5<5s4>oh7ln;<`0`?71=2wx=hoi:18182cl3h270l{t9lh;6=4={<6g`?d?34h8h7?93:p5`d62909w0:kd;`4?8d4l3;=>6s|1d`1>5<5s4>oh7ok;<`0`?72i2wx=hl<:18g82cl3;8o63;de827d=:74=5fg>45?34>oh7?<7:?7`a<6;?168ij5127891bc289?70:kd;371>;3lm0:89524ef9515<5hnn6<;8;<`1e?7112wx=hl;:18082cl3;?>63ndd8210=:j;k1=;94}r3ff0<72:q68ij515389dbb28??70l=a;352>{t9lh=6=4<{<6g`?73827jhh4>529>f7g=9??0q~?jb683>6}:h4=`ff>43534h9m7?94:p5`d?2908w0:kd;30a>;fll0:9<52b3c95350ab=9:n01ljj:073?8d5i3;=>6s|1d`b>5<4s4>oh7?<3:?b``<6<116n?o514c8yv7bjk0;6?u24ef97d6<5=o86>8i;|q2age=838p19jk:2;f?82b;39=h6s|1d`g>5<5s4>oh7=6d:?7a6<4>j1v0ab=;0h019k<:24b?xu6mj:1<76?f34>n?7=99:p5`e62909w0:kd;1:=>;3m:08:55rs0g`6?6=:r7?hi4<999>0`5=;?=0q~?jc283>7}:6023ty:in:50;0x91bc2:3>70:j3;150>{t9li>6=4={<6g`?5><27?i>4<629~w4cd>3:1>v3;de80=6=:eb:94?4|5=no6>7>;<6f7?5182wx=hm6:18182cl392<63;e2801c=z{8ohm7>52z?7`a<40o168h=534g8yv7bkk0;6?u24ef97=c<5=o86>;k;|q2afe=838p19jk:2:g?82b;39>o6s|1dag>5<5s4>oh7=7b:?7a6<4=h1v0ab=;13019k<:27;?xu6mm:1<76>?34>n?7=:7:p5`b62909w0:kd;1;3>;3m:089;5rs0gg6?6=:r7?hi4<879>0`5=;7}:6333ty:ii:50;0x91bc2:2?70:j3;167>{t9ln>6=4={<6g`?5?;27?i>4<539~w4cc>3:1>v3;de80<7=:m7p}>ee:94?4|5=no6>9i;<6f7?53m2wx=hj6:18182cl3952z?7`a<4?m168h=535a8yv7blk0;6?u24ef972e<5=o86>:m;|q2aae=838p19jk:25a?82b;39?m6s|1dfg>5<5s4>oh7=8a:?7a6<4<01v0ab=;>2019k<:264?xu6ml:1<761034>n?7=;6:p5`c62909w0:kd;1b2>;3m:08;85rs0gf6?6=:r7?hi40`5=;>>0q~?je283>7}:6143ty:ih:50;0x91bc2:k870:j3;146>{t9lo>6=4={<6g`?5f:27?i>4<709~w4cb>3:1>v3;de80e4=:4}r3fa2<72;q68ij5385891c42:<=7p}>ed:94?4|5=no6>6l;<6f7?52j2wx=hk6:18182cl393=63;e28015=z{8onm7>52z?7`a<4??168h=53578yv7bmk0;68u24ef9=6=:524ed9=6=:5287c95a?0ab=18168ik5909>0a`=18168h>5909><3g=9m=0q~?jee83>0}:0ac=0o168ih58g9>0`6=0o164;o51e78yv7bmo0;68u24ef9<`=:0ab=0m168ik58e9>0a`=0m168h>58e9><3g=9m90q~?jf083>0}:0ac=0k168ih58c9>0`6=0k164;o51e38yv7bn:0;68u24ef9=f=:0ab=1k168ik59c9>0a`=1k168h>59c9><3g=:9:0q~?jf483>0}:0ac=10168ih5989>0`6=10164;o51gg8yv7bn>0;68u24ef9===:0ab=1>168ik5969>0a`=1>168h>5969><3g=9oi0q~?jf883>0}:0ac=1<168ih5949>0`6=1<164;o51d58yv7bnk0;68u24ef9=1=:0ab=0h168ik58`9>0a`=0h168h>58`9><3g=9m:0q~?jfe83>f}:524eg9f7=:0ac=jj16n;=51758yv7bno0;6?u24eg9fg=:j?91=;84}r3e45<72;q68ik5b`9>f35=9??0q~?i0083>7}:0ac=j116n;=51718yv7a8:0;6?u24eg9f2=:j?91=;<4}r3e41<72;q68ik5ae9>f35=9a}:m4=5ff>45f34>oi7?<9:?7``<6;1168ik5125891bb289=70:ke;301>;3ll0:?9524eg9513<5=nn6<:;;<6ga?73;27i<94>569>f06=9?30q~?i0783>6}:43234h><7?97:p5c602908w0:ke;375>;e8=0:9952b4295300ac=9=:01o>;:070?8d283;=96s|1g2:>5<4s4>oi7?51768yv7a8h0;6>u24eg956c<5k:?6<;>;<`64?71;2wx=k>m:18082cm3;8h63m058215=:j<:1=;<4}r3e4f<72:q68ik512189g6328>370l:0;36e>{t9o:o6=4={<6ga?5f827?i:4<6g9~w4`7m3:1>v3;dd80=`=:f0294?4|5=nn6>7l;<6f3?51j2wx=k?>:18182cm392n63;e6802d=z{8l:>7>52z?7``<41h168h9537;8yv7a9:0;6?u24eg9787;|q2b42=838p19jj:2;;?82b?39=;6s|1g36>5<5s4>oi7=66:?7a2<4><1v6;296~;3ll0858524d597320ac=;0>019k8:240?xu6n821<76?434>n;7=92:p5c7>2909w0:ke;1:6>;3m>08:<5rs0d2e?6=:r7?hh4<909>0`1=;?:0q~?i1c83>7}:4=5g4>63a3ty:j{t9o;o6=4={<6ga?5?m27?i:4<5e9~w4`6m3:1>v3;dd80f3294?4|5=nn6>6n;<6f3?5212wx=k<>:18182cm393563;e6801==z{8l9>7>52z?7``<401168h953458yv7a::0;6?u24eg97=1<5=o<6>;9;|q2b72=838p19jj:2:5?82b?39>96s|1g06>5<5s4>oi7=75:?7a2<4==1v:4?:3y>0ac=;19019k8:271?xu6n;21<76>534>n;7=:1:p5c4>2909w0:ke;1;4>;3m>088k5rs0d1e?6=:r7?hh4<7g9>0`1=;=o0q~?i2c83>7}:62c3ty:j?m50;0x91bb2:=o70:j7;17g>{t9o8o6=4={<6ga?50k27?i:4<4c9~w4`5m3:1>v3;dd803g=:27p}>f2294?4|5=nn6>96;<6f3?5302wx=k=>:18182cm39<463;e68002=z{8l8>7>52z?7``<4?>168h953548yv7a;:0;6?u24eg97d0<5=o<6>9:;|q2b62=838p19jj:2c6?82b?39<86s|1g16>5<5s4>oi7=n4:?7a2<4?:1v524d597240ac=;h8019k8:252?xu6n:21<76g634>n;7=80:p5c5>2909w0:ke;1:3>;3m>08:;5rs0d0e?6=:r7?hh4<8b9>0`1=;7}:6373ty:j>m50;0x91bb2:==70:j7;171>{t9o9o6=4={<6gb?d4342;=7?91:p5c5b2909w0:kf;`1?8>793;>j6s|1g1e>5<5s4>oj7l>;<:35?72m2wx=k:?:18182cn3h;706?1;36`>{t9o>:6=4={<6gb?ga342;=7?:c:p5c252909w0:kf;cf?8>793;>n6s|1g60>5<5s4>oj7li;<:35?71j2wx=k:;:18182cn3hn706?1;35e>{t9o>>6=4={<6gb?dc342;=7?99:p5c212909w0:kf;``?8>793;=;6s|1g64>5<5s4>oj7lm;<:35?71>2wx=k:7:18182cn3hj706?1;351>{t9o>26=4={<6gb?d>342;=7?94:p5c2f2909w0:kf;`;?8>793;=?6s|1g6a>5<5s4>oj7l8;<:35?71:2wx=k:l:18182cn3ko706?1;36e>{t9o>o6=4<{<6gb?74127<9?4>4g9>3ac=9?;0q~?i4d83>6}:64=671>42c34=oi7?:f:p5c2a2908w0:kf;303>;0=;0:8n527eg950c0a`=9:<01:;=:06a?81cm3;>h6s|1g72>5<4s4>oj7?<5:?417<6u24ed9562<5>?96<:6;<5ga?72j2wx=k;<:18082cn3;?963853821<=:?mo1=;l4}r3e11<72:q68ih51568923528?3709ke;35e>{t9o?>6=4<{<6gb?73;27<9?4>569>3ac=9?30q~?i5783>6}:43234=oi7?97:p5c302908w0:kf;375>;0=;0:99527eg95300a`=9=:01:;=:070?81cm3;=96s|1g7:>5<4s4>oj7?u24ed956c<5>?96<;>;<5ga?71;2wx=k;m:18082cn3;8h638538215=:?mo1=;<4}r3e1f<72:q68ih51218923528>3709ke;36e>{t9o?o6=4={<6gb?5f827?ii4<6g9~w4`2m3:1>v3;dg80=`=:f7294?4|5=nm6>7l;<6f`?51j2wx=k8>:18182cn392n63;ee802d=z{8l=>7>52z?7`c<41h168hj537;8yv7a>:0;6?u24ed9787;|q2b32=838p19ji:2;;?82bl39=;6s|1g46>5<5s4>oj7=66:?7aa<4><1v0a`=;0>019kk:240?xu6n?21<76?434>nh7=92:p5c0>2909w0:kf;1:6>;3mm08:<5rs0d5e?6=:r7?hk4<909>0`b=;?:0q~?i6c83>7}:4=5gg>63a3ty:j;m50;0x91ba2:2m70:jd;16a>{t9ov3;dg80f6294?4|5=nm6>6n;<6f`?5212wx=k9>:18182cn393563;ee801==z{8l<>7>52z?7`c<401168hj53458yv7a?:0;6?u24ed97=1<5=oo6>;9;|q2b22=838p19ji:2:5?82bl39>96s|1g56>5<5s4>oj7=75:?7aa<4==1v0a`=;19019kk:271?xu6n>21<76>534>nh7=:1:p5c1>2909w0:kf;1;4>;3mm088k5rs0d4e?6=:r7?hk4<7g9>0`b=;=o0q~?i7c83>7}:62c3ty:j:m50;0x91ba2:=o70:jd;17g>{t9o=o6=4={<6gb?50k27?ii4<4c9~w4`0m3:1>v3;dg803g=:27p}>f9294?4|5=nm6>96;<6f`?5302wx=k6>:18182cn39<463;ee8002=z{8l3>7>52z?7`c<4?>168hj53548yv7a0:0;6?u24ed97d0<5=oo6>9:;|q2b=2=838p19ji:2c6?82bl39<86s|1g:6>5<5s4>oj7=n4:?7aa<4?:1v524df97240a`=;h8019kk:252?xu6n121<76g634>nh7=80:p5c>>2909w0:kf;1:3>;3mm08:;5rs0d;e?6=:r7?hk4<8b9>0`b=;7}:6373ty:j5m50;0x91ba2:==70:jd;171>{t9o2o6=4={<6f4?d4342?;7?91:p5c>b2909w0:j0;`1?8>3?3;>j6s|1g:e>5<5s4>n<7l>;<:73?72m2wx=k7?:18182b83h;706;7;36`>{t9o3:6=4={<6f4?ga342?;7?:c:p5c?52909w0:j0;cf?8>3?3;>n6s|1g;0>5<5s4>n<7li;<:73?71j2wx=k7;:18182b83hn706;7;35e>{t9o3>6=4={<6f4?dc342?;7?99:p5c?12909w0:j0;``?8>3?3;=;6s|1g;4>5<5s4>n<7lm;<:73?71>2wx=k77:18182b83hj706;7;351>{t9o326=4={<6f4?d>342?;7?94:p5c?f2909w0:j0;`;?8>3?3;=?6s|1g;a>5<5s4>n<7l8;<:73?71:2wx=k7l:18182b83ko706;7;36e>{t9o3o6=4<{<6f4?74127<554>4g9><72=9?;0q~?i9d83>6}:64=6;;>42c342987?:f:p5c?a2908w0:j0;303>;0110:8n52836950c0`6=9:<01:77:06a?8>5<3;>h6s|1gc2>5<4s4>n<7?<5:?4==<6u24d29562<5>336<:6;<:10?72j2wx=ko<:18082b83;?963899821<=:0;>1=;l4}r3ee1<72:q68h>5156892??28?3706=4;35e>{t9ok>6=4<{<6f4?73;27<554>569><72=9?30q~?ia783>6}:432342987?97:p5cg02908w0:j0;375>;0110:995283695300`6=9=:01:77:070?8>5<3;=96s|1gc:>5<4s4>n<7?u24d2956c<5>336<;>;<:10?71;2wx=kom:18082b83;8h638998215=:0;>1=;<4}r3eef<72:q68h>5121892??28>3706=4;36e>{t9oko6=4={<6f4?5f827?j<4<6g9~w4`fm3:1>v3;e180=`=:538f891`62:fc294?4|5=o;6>7l;<6e5?51j2wx=kl>:18182b8392n63;f0802d=z{8li>7>52z?7a5<41h168k?537;8yv7aj:0;6?u24d29787;|q2bg2=838p19k?:2;;?82a939=;6s|1g`6>5<5s4>n<7=66:?7b4<4><1v0`6=;0>019h>:240?xu6nk21<76?434>m=7=92:p5cd>2909w0:j0;1:6>;3n808:<5rs0dae?6=:r7?i=4<909>0c7=;?:0q~?ibc83>7}:4=5d2>63a3ty:jom50;0x91c72:2m70:i1;16a>{t9oho6=4={<6f4?5?m27?j<4<5e9~w4`em3:1>v3;e180539`891`62:?j7p}>fb294?4|5=o;6>6n;<6e5?5212wx=km>:18182b8393563;f0801==z{8lh>7>52z?7a5<401168k?53458yv7ak:0;6?u24d297=1<5=l:6>;9;|q2bf2=838p19k?:2:5?82a939>96s|1ga6>5<5s4>n<7=75:?7b4<4==1v0`6=;19019h>:271?xu6nj21<76>534>m=7=:1:p5ce>2909w0:j0;1;4>;3n8088k5rs0d`e?6=:r7?i=4<7g9>0c7=;=o0q~?icc83>7}:62c3ty:jnm50;0x91c72:=o70:i1;17g>{t9oio6=4={<6f4?50k27?j<4<4c9~w4`dm3:1>v3;e1803g=:536c891`62:>27p}>fe294?4|5=o;6>96;<6e5?5302wx=kj>:18182b839<463;f08002=z{8lo>7>52z?7a5<4?>168k?53548yv7al:0;6?u24d297d0<5=l:6>9:;|q2ba2=838p19k?:2c6?82a939<86s|1gf6>5<5s4>n<7=n4:?7b4<4?:1v524g397240`6=;h8019h>:252?xu6nm21<76g634>m=7=80:p5cb>2909w0:j0;1:3>;3n808:;5rs0dge?6=:r7?i=4<8b9>0c7=;7}:6373ty:jim50;0x91c72:==70:i1;171>{t9ono6=4l{<6f5?d134>n=7l;;<6f5?d434>n=7l=;<6f5?d634>n=7l?;<6f5?ga34>n=7oj;<6f5?da34>n=7lj;<6f5?dc343h=7?99:p5cbb2909w0:j1;``?8?d93;=;6s|1gfe>5<5s4>n=7lm;<;`5?71>2wx=kk?:18182b93hj707l1;351>{t9oo:6=4={<6f5?d>343h=7?94:p5cc52909w0:j1;`;?8?d93;=?6s|1gg0>5<5s4>n=7l8;<;`5?71:2wx=kk;:18182b93ko707l1;36e>{t9oo>6=4={<6f5?51n27?i;43:1>v3;e0802a=:fd:94?4|5=o:6>8m;<6f2?5>k2wx=kk6:18182b939=m63;e780=g=z{8lnm7>52z?7a4<4>0168h8538c8yv7amk0;6?u24d3973><5=o=6>76;|q2b`e=838p19k>:244?82b>39246s|1ggg>5<5s4>n=7=95:?7a3<41?1v0`7=;?9019k9:2;7?xu6no:1<760534>n:7=63:p5c`62909w0:j1;155>;3m?085?5rs0de6?6=:r7?i<4<619>0`0=;0;0q~?if283>7}:6?73ty:jk:50;0x91c62:?n70:j6;1;b>{t9ol>6=4={<6f5?52l27?i;4<8d9~w4`a>3:1>v3;e0801f=:fg:94?4|5=o:6>;6;<6f2?5?i2wx=kh6:18182b939>463;e780<<=z{8lmm7>52z?7a4<4=>168h8539:8yv7ank0;6?u24d39700<5=o=6>68;|q2bce=838p19k>:276?82b>393:6s|1gdg>5<5s4>n=7=:4:?7a3<40<1v524d497=20`7=;<8019k9:2:0?xu589:1<763634>n:7=72:p65662909w0:j1;17b>;3m?084=5rs3236?6=:r7?i<4<4d9>0`0=;>l0q~7}:61b3ty9<=:50;0x91c62:>h70:j6;14`>{t:9:>6=4={<6f5?53j27?i;4<7b9~w767>3:1>v3;e0800d=::7;<6f2?5012wx>=>6:18182b939?;63;e7803==z{;:;m7>52z?7a4<4o9;|q145e=838p19k>:257?82b>39j96s|212g>5<5s4>n=7=83:?7a3<4i=1v?>?e;296~;3m808;?524d497d50`7=;>;019k9:2c1?xu588:1<761734>n:7=n1:p65762909w0:j1;152>;3m?085:5rs3226?6=:r7?i<4<5c9>0`0=;1i0q~7}:4=5g5>6>63ty9<<:50;0x91c62:>>70:j6;142>{t:9;>6=4k{<6f5?74k27?i<4>3`9>0`7=9:3019k>:01;?82b93;8;63;e08273=:;4=5g2>45334>n=7?;5:?7a4<6<=168h?515189{t:9;=6=4<{<6f5?73:272mh4>669>f=`=9:;0q~6}:40134h2<7?<1:p657?2908w0:j1;374>;>il0::852b8395670`7=9:l014oj:047?8d>:3;8=6s|213b>5<4s4>n=7?:16n4=51238yv479k0;6>u24d3956b<50kn6<8=;<`:0?7492wx>=?l:18082b93;8?636ad821d=:j0?1=>?4}r035a<720`4=1:168hl5929>0`e=1:1655951e;8yv479l0;68u24d39=4=:0`7=19168h<5919>0`d=19168hm5919>==1=9m<0q~0}:0`4=0l168hl58d9>0`e=0l1655951e68yv47:;0;68u24d39>4?:4y>0`7=0j168h<58b9>0`d=0j168hm58b9>==1=9m80q~0}:0`4=1j168hl59b9>0`e=1j1655952138yv47:?0;68u24d39=g=::4?:4y>0`7=1h168h<59`9>0`d=1h168hm59`9>==1=9ol0q~0}:0`4=11168hl5999>0`e=111655951gf8yv47:h0;68u24d39=2=:o4?:4y>0`7=1?168h<5979>0`d=1?168hm5979>==1=9o80q~0}:0`4=1=168hl5959>0`e=1=1655951ea8yv47:l0;68u24d39k4?:by>0`4=j?168h<5b59>0`4=j:168h<5b39>0`4=j8168h<5b19>0`4=io168h<5ad9>0`4=jo168h<5bd9>0`4=jm165h9517;8yv47;90;6?u24d09ff=:1l=1=;94}r0374<72;q68h<5bc9>=`1=9?<0q~7}:4?:3y>0`4=j0165h951768yv47;=0;6?u24d09f==:1l=1=;=4}r0370<72;q68h<5b69>=`1=9?80q~7}:0`4=;?l019kn:2c3?xu58:21<760c34>nm7=6e:p655>2909w0:j2;15g>;3mh085i5rs320e?6=:r7?i?4<6c9>0`g=;0i0q~7}:6?e3ty9<>m50;0x91c52:<270:ja;1:e>{t:99o6=4={<6f6?51027?il4<989~w764m3:1>v3;e38022=:8;;<6fe?5>=2wx>=:>:18182b:39=?63;e`80=1=z{;:?>7>52z?7a7<4>;168ho53818yv47<:0;6?u24d09737<5=oj6>7=;|q1412=838p19k=:243?82bi392=6s|2166>5<5s4>n>7=:f:?7ad<4191v?>;6;296~;3m;089h524dc97=`0`4=;63d34>nm7=7d:p652>2909w0:j2;16e>;3mh084o5rs327e?6=:r7?i?4<589>0`g=;1k0q~7}:6>>3ty9<9m50;0x91c52:?<70:ja;1;<>{t:9>o6=4={<6f6?52>27?il4<869~w763m3:1>v3;e38010=:7p}=04294?4|5=o96>;<;<6fe?5?<2wx>=;>:18182b:39>>63;e`80<6=z{;:>>7>52z?7a7<4=8168ho53908yv47=:0;6?u24d0971`<5=oj6>6?;|q1402=838p19k=:26f?82bi395<5s4>n>7=;d:?7ad<4?l1v?>:6;296~;3m;088n524dc972b0`4=;=h019kn:25`?xu58<21<762f34>nm7=8b:p653>2909w0:j2;17=>;3mh08;l5rs326e?6=:r7?i?4<499>0`g=;>30q~7}:61?3ty9<8m50;0x91c52:>=70:ja;143>{t:9?o6=4={<6f6?50=27?il4v3;e38031=:9=;<6fe?5f;2wx>=8>:18182b:39<=63;e`80e7=z{;:=>7>52z?7a7<4?9168ho53`38yv47>:0;6?u24d09730<5=oj6>78;|q1432=838p19k=:27a?82bi393o6s|2146>5<5s4>n>7=:0:?7ad<4081v?>96;296~;3m;0888524dc97200`4=9:i019k=:01b?82b:3;8563;e3827==:94=5g1>45134>n>7?<5:?7a7<6;=168h<5157891c528>?70:j2;377>;>l=0::452b6495670`4=9=8014j;:044?8d0?3;8=6s|214:>5<4s4>n>7?;1:?:`1<6>?16n:651238yv47>h0;6>u24d09516<50n?6<8:;<`4=?7492wx>=8m:18082b:3;8j636d58221=:j>k1=>?4}r032f<72:q68h<512g89{t:9639>f2e=9:;0q~6}:=4=8f7>43f34h5<5s4>n?7ll;=9>:18182b;3hi70oi1;352>{t:9=96=4={<6f7?df34km=7?95:p65142909w0:j3;`:?8ga93;=86s|2157>5<5s4>n?7l7;=9::18182b;3h<70oi1;356>{t:9==6=4={<6f7?gc34km=7?:a:p6510290ow0:j3;30g>;3m:0:?l524d1956?<5=o86<=7;<6f7?74?27?i>4>379>0`5=9:?019k<:017?82b;3;?963;e28201=:43034koi7?99:p651?2908w0:j3;376>;f1;0:9852aeg95310`5=9=;01l7=:077?8gcm3;=:6s|215b>5<4s4>n?7?;0:?b=7<6=:16mik51778yv47?k0;6>u24d1956`<5h396<;=;=9l:18082b;3;8i63n938214=:imo1=;=4}r033a<72:q68h=512f89d?528?;70oke;356>{t:9=n6=4<{<6f7?74;27j5?4>499>eac=97}:4=5g7>60a3ty9<5>50;0x91c42:3n70:j4;15`>{t:92:6=4={<6f7?5>l27?i94<6b9~w76?:3:1>v3;e280=f=:1?;l4}r03<6<72;q68h=538`891c32:7n;<6f0?5112wx>=6::18182b;392563;e5802==z{;:3:7>52z?7a6<411168h:53758yv470>0;6?u24d197<0<5=o?6>8:;|q14=>=838p19k<:2;6?82b<39=86s|21::>5<5s4>n?7=64:?7a1<4>:1v?>7a;296~;3m:085>524d697340`5=;08019k;:242?xu581i1<76?634>n87=90:p65>c2909w0:j3;1:4>;3m=089k5rs32;a?6=:r7?i>4<8g9>0`2=;7}:63c3ty9<4>50;0x91c42:2o70:j4;16g>{t:93:6=4={<6f7?5?j27?i94<5`9~w76>:3:1>v3;e2801?874}r03=6<72;q68h=539;891c32:?37p}=08694?4|5=o86>67;<6f0?52?2wx>=7::18182b;393;63;e58013=z{;:2:7>52z?7a6<40?168h:53478yv471>0;6?u24d197=3<5=o?6>;;;|q14<>=838p19k<:2:7?82b<39>?6s|21;:>5<5s4>n?7=73:?7a1<4=;1v?>6a;296~;3m:084?524d697070`5=;1:019k;:26e?xu580i1<761a34>n87=;e:p65?c2909w0:j3;14a>;3m=088i5rs32:a?6=:r7?i>4<7e9>0`2=;=i0q~7}:62e3ty950;0x91c42:=i70:j4;17e>{t:9k:6=4={<6f7?50i27?i94<489~w76f:3:1>v3;e2803<=:1?964}r03e6<72;q68h=536:891c32:><7p}=0`694?4|5=o86>98;<6f0?53>2wx>=o::18182b;39j:63;e58030=z{;:j:7>52z?7a6<4i<168h:53668yv47i>0;6?u24d197d2<5=o?6>9<;|q14d>=838p19k<:2c0?82b<39<>6s|21c:>5<5s4>n?7=n2:?7a1<4?81v?>na;296~;3m:08m<524d697260`5=;0=019k;:245?xu58hi1<76>d34>n87=:b:p65gc2909w0:j3;1;5>;3m=089=5rs32ba?6=:r7?i>4<779>0`2=;=?0q~0}:524d59=6=:524g39=6=:0h91=i74}r03f5<720`1=18168hj5909>0c7=18164l=51e58yv47j80;68u24d19=5=:0`5=0o168h958g9>0`b=0o168k?58g9>0}:0`1=0m168hj58e9>0c7=0m164l=51e18yv47j<0;68u24d190`5=0k168h958c9>0`b=0k168k?58c9>0}:=?4}r03f=<720`1=1k168hj59c9>0c7=1k164l=52128yv47j00;68u24d19=d=:0`5=10168h95989>0`b=10168k?5989>0}:0`1=1>168hj5969>0c7=1>164l=51ga8yv47jm0;68u24d19=3=:0`5=1<168h95949>0`b=1<168k?5949>0}:0`1=0h168hj58`9>0c7=0h164l=51e28yv47k80;6nu24d69f3=:1n9524d69f6=:1n?524d69f4=:1n=524d69ec=:1mh524d69fc=:1nh524d69fa=:ih?1=;74}r03g7<72;q68h:5bb9>ed3=9?=0q~7}:1no52a`795300`2=jh16ml;51778yv47k<0;6?u24d69f<=:ih?1=;:4}r03g3<72;q68h:5b99>ed3=9?90q~7}:1n:52a`795340`2=im16ml;514c8yv47k00;6iu24d6956e<5=o?6<=n;<6f0?74127?i94>399>0`2=9:=019k;:015?82b<3;8963;e58271=:1=9;4=5g7>42334>n87?;3:?b03<6=>16m4<517;8yv47kh0;6>u24d69514<5h>=6<;:;=mm:18082b<3;?=63n478211=:i081=;84}r03gf<72:q68h:515289d2128?870o62;351>{t:9io6=4<{<6f0?74n27j8;4>539>e<4=9?>0q~6}:1=>k4=`65>43634k2>7?93:p65ea2908w0:j4;30`>;f0`2=9:901l:9:06;?8g>:3;>m6s|21f2>5<5s4>n87=n0:?7a0<4>o1v?>k2;296~;3m=085h524d7973b4?:3y>0`2=;0n019k::24`?xu58m>1<76?d34>n97=9b:p65b22909w0:j4;1:f>;3m<08:l5rs32g2?6=:r7?i94<9`9>0`3=;?30q~7}:1?474=5g6>60?3ty9{t:9n26=4={<6f0?5>>27?i84<649~w76ci3:1>v3;e580=0=:7<;<6f1?51:2wx>=jk:18182b<392>63;e48024=z{;:oi7>52z?7a1<418168h;53728yv47lo0;6?u24d697<6<5=o>6>;i;|q14`6=838p19k;:2:e?82b=39>i6s|21g2>5<5s4>n87=7e:?7a0<4=m1v?>j2;296~;3m=084i524d7970e4?:3y>0`2=;1h019k::27b?xu58l>1<76>f34>n97=:9:p65c22909w0:j4;1;=>;3m<08955rs32f2?6=:r7?i94<899>0`3=;<=0q~7}:1?594=5g6>6313ty9{t:9o26=4={<6f0?5?=27?i84<559~w76bi3:1>v3;e580<1=:6=;<6f1?5292wx>=kk:18182b<393<63;e4800c=z{;:ni7>52z?7a1<4?o168h;535g8yv47mo0;6?u24d6972c<5=o>6>:k;|q14c6=838p19k;:25g?82b=39?o6s|21d2>5<5s4>n87=8c:?7a0<4i2;296~;3m=08;o524d7971g4?:3y>0`2=;>k019k::26:?xu58o>1<761>34>n97=;8:p65`22909w0:j4;14<>;3m<088:5rs32e2?6=:r7?i94<769>0`3=;=<0q~7}:1?l84=5g6>6123ty970:j5;140>{t:9l26=4={<6f0?5f<27?i84<729~w76ai3:1>v3;e580e6=:o>;<6f1?5082wx>=hk:18182b<392;63;e48023=z{;:mi7>52z?7a1<40j168h;534`8yv47no0;6?u24d697=7<5=o>6>;?;|q1556=838p19k;:255?82b=39?96s|2022>5<2s4>n877<;<6fni77<;<6e6??4342oo7?k9:p6465290>w0:j4;;2?82b033:70:je;;2?82a:33:706kc;3g3>{t:8:86=4:{<6f0??734>n477?;<6fa??734>m>77?;<:gg?7c>2wx><>;:18682b<32m70:j8;:e?82bm32m70:i2;:e?8>ck3;o96s|2026>5<2s4>n876j;<6fb34>ni76j;<6e6?>b342oo7?k4:p6461290>w0:j4;:g?82b032o70:je;:g?82a:32o706kc;3g7>{t:8:<6=4:{<6f0?>d34>n476l;<6fa?>d34>m>76l;<:gg?7c:2wx><>7:18682b<32i70:j8;:a?82bm32i70:i2;:a?8>ck3;o=6s|202:>5<2s4>n877l;<6fni77l;<6e6??d342oo7w0:j4;;a?82b033i70:je;;a?82a:33i706kc;034>{t:8:i6=4:{<6f0??f34>n477n;<6fa??f34>m>77n;<:gg?7an2wx><>l:18682b<33270:j8;;:?82bm33270:i2;;:?8>ck3;mi6s|202g>5<2s4>n8777;<6fni777;<6e6???342oo7?id:p646b290>w0:j4;;4?82b033<70:je;;4?82a:33<706kc;3eg>{t:8:m6=4:{<6f0??134>n4779;<6fa??134>m>779;<:gg?7a:2wx>70:j8;;6?82bm33>70:i2;;6?8>ck3;n;6s|2032>5<2s4>n877;;<6fni77;;<6e6??3342oo7?kc:p6475290>w0:j4;:b?82b032j70:je;:b?82a:32j706kc;3g4>{t:8;86=4l{<6f1?d134>n97l;;<6f1?d434>n97l=;<6f1?d634>n97l?;<6f1?ga34>n97oj;<6f1?da34>n97lj;<6f1?dc34k>57?99:p64732909w0:j5;``?8g213;=;6s|2036>5<5s4>n97lm;2wx>{t:8;<6=4={<6f1?d>34k>57?94:p647?2909w0:j5;`;?8g213;=?6s|203:>5<5s4>n97l8;{t:8;i6=4k{<6f1?74k27?i84>3`9>0`3=9:3019k::01;?82b=3;8;63;e48273=:;4=5g6>45334>n97?;5:?7a0<6<=168h;515189<`f28?<70o;6;35=>{t:8;h6=4<{<6f1?73:272jl4>549>e10=9?=0q~<>1e83>6}:43334k?:7?96:p647b2908w0:j5;374>;>nh0:9>52a5495330`3=9:l014hn:071?8g3>3;=86s|2003>5<4s4>n97?u24d7956b<50lj6<;?;<<=:18082b=3;8?636f`820==:i=<1=8o4}r0266<72;q68h;53`2891c12:6>7j;<6f2?51l2wx><<::18182b=392h63;e7802f=z{;;9:7>52z?7a0<41j168h8537`8yv46:>0;6?u24d7978n;|q157>=838p19k::2;b?82b>39=56s|200:>5<5s4>n97=69:?7a3<4>11v??=a;296~;3m<0855524d49731o4?:3y>0`3=;0<019k9:246?xu59;i1<76?234>n:7=94:p644c2909w0:j5;1:0>;3m?08:>5rs331a?6=:r7?i84<929>0`0=;?80q~<>2g83>7}:6063ty9=>>50;0x91c22:3:70:j6;154>{t:89:6=4={<6f1?5>827?i;4<5g9~w774:3:1>v3;e4806>6k;<6f2?52k2wx><=::18182b=393n63;e7801d=z{;;8:7>52z?7a0<40h168h8534;8yv46;>0;6?u24d797=?<5=o=6>;7;|q156>=838p19k::2:;?82b>39>;6s|201:>5<5s4>n97=77:?7a3<4=?1v??0`3=;1?019k9:277?xu59:i1<76>334>n:7=:3:p645c2909w0:j5;1;7>;3m?089?5rs330a?6=:r7?i84<839>0`0=;<;0q~<>3g83>7}:4=5g5>62a3ty9=9>50;0x91c22:=m70:j6;17a>{t:8>:6=4={<6f1?50m27?i;4<4e9~w773:3:1>v3;e4803a=:i7p}=15694?4|5=o>6>9m;<6f2?53i2wx><:::18182b=3952z?7a0<4?0168h8535:8yv46<>0;6?u24d7972><5=o=6>:8;|q151>=838p19k::254?82b>39?:6s|206:>5<5s4>n97=n6:?7a3<4?<1v??;a;296~;3m<08m8524d497220`3=;h>019k9:250?xu59=i1<76g434>n:7=82:p642c2909w0:j5;1b6>;3m?08;<5rs337a?6=:r7?i840`0=;>:0q~<>4g83>7}:6013ty9=8>50;0x91c22:2h70:j6;16f>{t:8?:6=4={<6f1?5?927?i;4<519~w772:3:1>v3;e48033=:0`?=1:168hh5929>0c5=1:165<;51e;8yv46==0;68u24d79=4=:0`3=19168h75919>0``=19168k=5919>=43=9m<0q~<>5783>0}:0`?=0l168hh58d9>0c5=0l165<;51e68yv46=10;68u24d790`3=0j168h758b9>0``=0j168k=58b9>=43=9m80q~<>5`83>0}:0`?=1j168hh59b9>0c5=1j165<;52138yv46=j0;68u24d79=g=:0`3=1h168h759`9>0``=1h168k=59`9>=43=9ol0q~<>5d83>0}:0`?=11168hh5999>0c5=11165<;51gf8yv46>90;68u24d79=2=:0`3=1?168h75979>0``=1?168k=5979>=43=9o80q~<>6383>0}:0`?=1=168hh5959>0c5=1=165<;51ea8yv46>=0;68u24d790`0=j?168h85b59>0`0=j:168h85b39>0`0=j8168h85b19>0`0=io168h85ad9>0`0=jo168h85bd9>0`0=jm16m=j517;8yv46>?0;6?u24d49ff=:i9n1=;94}r0222<72;q68h85bc9>e5b=9?<0q~<>6983>7}:0`0=j016m=j51768yv46>h0;6?u24d49f==:i9n1=;=4}r022g<72;q68h85b69>e5b=9?80q~<>6b83>7}:0`0=9:i019k9:01b?82b>3;8563;e7827==:94=5g5>45134>n:7?<5:?7a3<6;=168h85157891c128>?70:j6;377>;>il0:9:529gc953?0`0=9=8014oj:076?8?ai3;=;6s|204e>5<4s4>n:7?;1:?:e`<6==165ko51748yv46?90;6>u24d49516<50kn6<;<;<;ee?71=2wx><9>:18082b>3;8j636ad8217=:1ok1=;:4}r0237<72:q68h8512g89{t:8=86=4<{<6f2?74l272mh4>519>=cg=9?80q~<>7583>6}:=4=8cf>42?343mm7?:a:p6412290>w0:j6;;0?82bi33870:i0;;0?82a<338707;e;3g=>{t:8==6=4:{<6f2??634>nm77>;<6e4??634>m877>;<;7a?7c?2wx><98:18682b>33;70:ja;;3?82a833;70:i4;;3?8?3m3;o:6s|205;>5<2s4>n:76i;<6fe?>a34>m<76i;<6e0?>a343?i7?k5:p641>290>w0:j6;:f?82bi32n70:i0;:f?82a<32n707;e;3g0>{t:8=j6=4:{<6f2?>c34>nm76k;<6e4?>c34>m876k;<;7a?7c;2wx><9m:18682b>32h70:ja;:`?82a832h70:i4;:`?8?3m3;o>6s|205`>5<2s4>n:76m;<6fe?>e34>m<76m;<6e0?>e343?i7?k1:p641c290>w0:j6;;`?82bi33h70:i0;;`?82a<33h707;e;035>{t:8=n6=4:{<6f2??e34>nm77m;<6e4??e34>m877m;<;7a?4782wx><9i:18682b>33j70:ja;;b?82a833j70:i4;;b?8?3m3;mj6s|20:3>5<2s4>n:776;<6fe??>34>m<776;<6e0??>343?i7?ie:p64>6290>w0:j6;;;?82bi33370:i0;;;?82a<333707;e;3e`>{t:8296=4:{<6f2??034>nm778;<6e4??034>m8778;<;7a?7ak2wx><6<:18682b>33=70:ja;;5?82a833=70:i4;;5?8?3m3;m>6s|20:7>5<2s4>n:77:;<6fe??234>m<77:;<6e0??2343?i7?j7:p64>2290>w0:j6;;7?82bi33?70:i0;;7?82a<33?707;e;3gg>{t:82=6=4:{<6f2?>f34>nm76n;<6e4?>f34>m876n;<;7a?7c82wx><68:18`82b?3h=70:j7;`7?82b?3h870:j7;`1?82b?3h:70:j7;`3?82b?3km70:j7;cf?82b?3hm70:j7;`f?82b?3ho70l>7;35=>{t:8236=4={<6f3?dd34h:;7?97:p64>>2909w0:j7;`a?8d6?3;=:6s|20:b>5<5s4>n;7ln;<`23?71=2wx><6m:18182b?3h270l>7;350>{t:82h6=4={<6f3?d?34h:;7?93:p64>c2909w0:j7;`4?8d6?3;=>6s|20:f>5<5s4>n;7ok;<`23?72i2wx><6i:18g82b?3;8o63;e6827d=:74=5g4>45?34>n;7?<7:?7a2<6;?168h95127891c0289?70:j7;371>;3m>0:89524d59515<5hh36<;8;<`30?7112wx><7?:18082b?3;?>63nb98210=:j9>1=;94}r02=4<72:q68h9515389dd?28??70l?4;352>{t:8396=4<{<6f3?73827jn54>529>f52=9??0q~<>9283>6}:h4=``;>43534h;87?94:p64?32908w0:j7;30a>;fj10:9<52b1695350`1=9:n01ll7:073?8d7<3;=>6s|20;5>5<4s4>n;7?<3:?bf=<6<116n=:514c8yv461>0;6?u24d597d6<5=o36>8i;|q15<>=838p19k8:2;f?82b039=h6s|20;:>5<5s4>n;7=6d:?7a=<4>j1v??6a;296~;3m>085n524d:973d0`1=;0h019k7:24b?xu590i1<76?f34>n47=99:p64?c2909w0:j7;1:=>;3m108:55rs33:a?6=:r7?i:4<999>0`>=;?=0q~<>9g83>7}:6023ty9=l>50;0x91c02:3>70:j8;150>{t:8k:6=4={<6f3?5><27?i54<629~w77f:3:1>v3;e680=6=:7>;<6f52z?7a2<40o168h6534g8yv46i>0;6?u24d597=c<5=o36>;k;|q15d>=838p19k8:2:g?82b039>o6s|20c:>5<5s4>n;7=7b:?7a=<4=h1v??na;296~;3m>084l524d:970?0`1=;13019k7:27;?xu59hi1<76>?34>n47=:7:p64gc2909w0:j7;1;3>;3m1089;5rs33ba?6=:r7?i:4<879>0`>=;ag83>7}:6333ty9=o>50;0x91c02:2?70:j8;167>{t:8h:6=4={<6f3?5?;27?i54<539~w77e:3:1>v3;e680<7=:m7p}=1c694?4|5=o<6>9i;<6f52z?7a2<4?m168h6535a8yv46j>0;6?u24d5972e<5=o36>:m;|q15g>=838p19k8:25a?82b039?m6s|20`:>5<5s4>n;7=8a:?7a=<4<01v??ma;296~;3m>08;4524d:971>0`1=;>2019k7:264?xu59ki1<761034>n47=;6:p64dc2909w0:j7;1b2>;3m108;85rs33aa?6=:r7?i:40`>=;>>0q~<>bg83>7}:6143ty9=n>50;0x91c02:k870:j8;146>{t:8i:6=4={<6f3?5f:27?i54<709~w77d:3:1>v3;e680e4=:4}r02g6<72;q68h95385891c?2:<=7p}=1b694?4|5=o<6>6l;<6f52z?7a2<4??168h653578yv46k>0;6nu24d:9f3=:efd=9?=0q~<>c883>7}:0`>=jh16mnl51778yv46kk0;6?u24d:9f<=:ijh1=;:4}r02gf<72;q68h65b99>efd=9?90q~<>ce83>7}:0`>=im16mnl514c8yv46ko0;6iu24d:956e<5=o36<=n;<6f399>0`>=9:=019k7:015?82b03;8963;e98271=:42334>n47?;3:?b2f<6=>16mo6517;8yv46l90;6>u24d:9514<5h:18082b03;?=63n6b8211=:ik21=;84}r02`7<72:q68h6515289d0d28?870om8;351>{t:8n86=4<{<6f539>eg>=9?>0q~<>d583>6}:k4=`4`>43634ki47?93:p64b22908w0:j8;30`>;f>j0:9=52ac:95340`>=9:901l8l:06;?8ge03;>m6s|20f4>5<5s4>n47=n0:?7a<<4>o1v??k8;296~;3m1085h524d;973b0`>=;0n019k6:24`?xu59mk1<76?d34>n57=9b:p64be2909w0:j8;1:f>;3m008:l5rs33gg?6=:r7?i54<9`9>0`?=;?30q~<>de83>7}:60?3ty9=ik50;0x91c?2:3370:j9;153>{t:8nm6=4={<6f>27?i44<649~w77b83:1>v3;e980=0=:2:<87p}=1d094?4|5=o36>7<;<6f=?51:2wx>63;e88024=z{;;n87>52z?7a=<418168h753728yv46m<0;6?u24d:97<6<5=o26>;i;|q15`0=838p19k7:2:e?82b139>i6s|20g4>5<5s4>n47=7e:?7a<<4=m1v??j8;296~;3m1084i524d;970e0`>=;1h019k6:27b?xu59lk1<76>f34>n57=:9:p64ce2909w0:j8;1;=>;3m008955rs33fg?6=:r7?i54<899>0`?=;<=0q~<>ee83>7}:6313ty9=hk50;0x91c?2:2=70:j9;161>{t:8om6=4={<6fv3;e980<1=:2:?97p}=1g094?4|5=o36>6=;<6f=?5292wx>52z?7a=<4?o168h7535g8yv46n<0;6?u24d:972c<5=o26>:k;|q15c0=838p19k7:25g?82b139?o6s|20d4>5<5s4>n47=8c:?7a<<40`>=;>k019k6:26:?xu59ok1<761>34>n57=;8:p64`e2909w0:j8;14<>;3m0088:5rs33eg?6=:r7?i54<769>0`?=;=<0q~<>fe83>7}:6123ty9=kk50;0x91c?2:k>70:j9;140>{t:8lm6=4={<6fv3;e980e6=:2:=:7p}=21094?4|5=o36>o>;<6f=?5082wx>?><:18182b0392;63;e88023=z{;8;87>52z?7a=<40j168h7534`8yv458<0;6?u24d:97=7<5=o26>;?;|q1650=838p19k7:255?82b139?96s|2324>5n57l9;<6f=?d334>n57l<;<6f=?d534>n57l>;<6f=?d734>n57oi;<6f=?gb34>n57li;<6f=?db34>n57lk;?>7:18182b13hh70o8f;353>{t:;:26=4={<6f=?de34k5<5s4>n57l6;?>l:18182b13h370o8f;357>{t:;:o6=4={<6f=?d034km6s|232e>5n57?289370:j9;303>;3m00:?;524d;9563<5=o26<=;;<6f=?73=27?i44>459>0`?=9=901l5<4s4>n57?;2:?b65<6=<16m;m51758yv45980;6>u24d;9517<5h8;6<;;;2wx>??=:18082b13;?<63n218216=:i?i1=;;4}r0156<72:q68h7512d89d4728?970o9c;350>{t:;;?6=4<{<6f=?74m27j>=4>509>e3e=9?90q~<=1483>6}:j4=`03>43734k=o7?92:p67712908w0:j9;307>;f:90:8552a7a950g0`?=;h:019kn:24e?xu5:821<76?b34>nm7=9d:p677>2909w0:j9;1:`>;3mh08:n5rs302e?6=:r7?i44<9b9>0`g=;?h0q~<=1c83>7}:60f3ty9>2:3j70:ja;15=>{t:;;o6=4={<6f=?5>127?il4<699~w746m3:1>v3;e880===:7p}=23294?4|5=o26>7:;<6fe?51<2wx>?<>:18182b1392863;e`8026=z{;89>7>52z?7a<<41:168ho53708yv45::0;6?u24d;97<4<5=oj6>8>;|q1672=838p19k6:2;2?82bi39=<6s|2306>5<5s4>n57=60:?7ad<4=o1v?<=6;296~;3m0084k524dc970c:4?:3y>0`?=;1o019kn:27g?xu5:;21<76>c34>nm7=:c:p674>2909w0:j9;1;f>;3mh089l5rs301e?6=:r7?i44<8`9>0`g=;<30q~<=2c83>7}:63?3ty9>?m50;0x91c>2:2370:ja;163>{t:;8o6=4={<6f=?5??27?il4<579~w745m3:1>v3;e880<3=:6;;<6fe?52;2wx>?=>:18182b1393?63;e`8017=z{;88>7>52z?7a<<40;168ho53438yv45;:0;6?u24d;97=6<5=oj6>:i;|q1662=838p19k6:25e?82bi39?i6s|2316>5<5s4>n57=8e:?7ad<40`?=;>i019kn:26a?xu5::21<761e34>nm7=;a:p675>2909w0:j9;14e>;3mh08845rs300e?6=:r7?i44<789>0`g=;=20q~<=3c83>7}:6203ty9>>m50;0x91c>2:=<70:ja;172>{t:;9o6=4={<6f=?5f>27?il4<749~w744m3:1>v3;e880e0=:o<;<6fe?50:2wx>?:>:18182b139j>63;e`8034=z{;8?>7>52z?7a<<4i8168ho53628yv45<:0;6?u24d;97<1<5=oj6>89;|q1612=838p19k6:2:`?82bi39>n6s|2366>5<5s4>n57=71:?7ad<4=91v?<;6;296~;3m008;;524dc97130`g=j?168ho5b59>0`g=j:168ho5b39>0`g=j8168ho5b19>0`g=io168ho5ad9>0`g=jo168ho5bd9>0`g=jm16m>=517;8yv45<10;6?u24dc9ff=:i:91=;94}r010<<72;q68ho5bc9>e65=9?<0q~<=4`83>7}:0`g=j016m>=51768yv45e65=9?80q~<=4d83>7}:0`g=9:i019kn:01b?82bi3;8563;e`827==:94=5gb>45134>nm7?<5:?7ad<6;=168ho5157891cf28>?70:ja;377>;>l=0:9:52a32953?0`g=9=8014j;:076?8g583;=;6s|2372>5<4s4>nm7?;1:?:`1<6==16m?>51748yv45=;0;6>u24dc9516<50n?6<;<;?;<:18082bi3;8j636d58217=:i;:1=;:4}r0111<72:q68ho512g89{t:;?>6=4<{<6fe?74l272h94>519>e76=9?80q~<=5783>6}:=4=8f7>42?34k9<7?:a:p67302909w0:jb;`0?833=3;==6s|237;>5<5s4>nn7l=;<771?72n2wx>?;6:18182bj3h:70;;5;36a>{t:;?j6=4={<6ff?d734??97?:d:p673e2909w0:jb;ce?833=3;>o6s|237`>5<5s4>nn7oj;<771?72j2wx>?;k:18182bj3hm70;;5;35f>{t:;?n6=4={<6ff?db34??97?9a:p673a2909w0:jb;`g?833=3;=56s|2343>5<5s4>nn7ll;<771?71?2wx>?8>:18182bj3hi70;;5;352>{t:;<96=4={<6ff?df34??97?95:p67042909w0:jb;`:?833=3;=86s|2347>5<5s4>nn7l7;<771?71;2wx>?8::18182bj3h<70;;5;356>{t:;<=6=4={<6ff?gc34??97?:a:p67002909w0:jb;15b>;3n908m=5rs3050c6=;0o0q~<=6883>7}:6?c3ty9>;o50;0x91ce2:{t:;v3;ec802<=:88;<6e4?5>02wx>?8i:18182bj39=963;f180=3=z{;8<<7>52z?7ag<4>=168k>53878yv45?80;6?u24d`9735<5=l;6>7;;|q1624=838p19km:241?82a8392?6s|2350>5<5s4>nn7=91:?7b5<41;1v?<84;296~;3mk08:=524g297<70`d=;<1<763b34>m<7=7f:p67102909w0:jb;16`>;3n9084h5rs3040c6=;1n0q~<=7883>7}:6>e3ty9>:o50;0x91ce2:?270:i0;1;e>{t:;=i6=4={<6ff?52027?j=4<889~w740k3:1>v3;ec8012=:;:;<6e4?5?>2wx>?9i:18182bj39>863;f180<0=z{;83<7>52z?7ag<4=:168k>53968yv45080;6?u24d`9704<5=l;6>6<;|q16=4=838p19km:272?82a8393>6s|23:0>5<5s4>nn7=;f:?7b5<4091v?<74;296~;3mk088h524g2972`0`d=;=n019h?:25f?xu5:1<1<762d34>m<7=8d:p67>02909w0:jb;17f>;3n908;n5rs30;0c6=;>h0q~<=8883>7}:61f3ty9>5o50;0x91ce2:>370:i0;14=>{t:;2i6=4={<6ff?53?27?j=4<799~w74?k3:1>v3;ec8003=:9;;<6e4?5f=2wx>?6i:18182bj3952z?7ag<4?;168k>53`18yv45180;6?u24d`9727<5=l;6>o=;|q16<4=838p19km:253?82a839j=6s|23;0>5<5s4>nn7=96:?7b5<41>1v?<64;296~;3mk089o524g297=e0`d=;<:019h?:2:2?xu5:0<1<762234>m<7=86:p67?02908w0:jb;30=>;2:;0::<52b8495670`d=9:2018<=:07e?8d>?3;8=6s|23;:>5<4s4>nn7?<7:?667<6=l16n4651238yv451h0;6>u24d`9560<5<896<;k;<`:=?7492wx>?7m:18082bj3;8963:23821f=:j0k1=>?4}r01=f<72:q68hl51268904528?i70l6b;305>{t:;3o6=4<{<6ff?73=27>>?4>6c9>f6}:40f34h2h7?<1:p67?a2908w0:jb;377>;2:;0::452b8g95670`d=9=8018<=:044?8d>n3;8=6s|23c2>5<4s4>nn7?;1:?667<6>?16nl>51238yv45i;0;6>u24d`9516<5<896<8:;<`b5?7492wx>?o<:18082bj3;8j63:238221=:jh81=>?4}r01e1<72:q68hl512g8904528<870ln3;305>{t:;k>6=4<{<6ff?74l27>>?4>639>fd2=9:;0q~<=a783>6}:=4=401>43f34hj97?<1:p67g02909w0:jc;`0?83?j3;==6s|23c;>5<5s4>no7l=;<7;f?72n2wx>?o6:18182bk3h:70;7b;36a>{t:;kj6=4={<6fg?d734?3n7?:d:p67ge2909w0:jc;ce?83?j3;>o6s|23c`>5<5s4>no7oj;<7;f?72j2wx>?ok:18182bk3hm70;7b;35f>{t:;kn6=4={<6fg?db34?3n7?9a:p67ga2909w0:jc;`g?83?j3;=56s|23`3>5<5s4>no7ll;<7;f?71?2wx>?l>:18182bk3hi70;7b;352>{t:;h96=4={<6fg?df34?3n7?95:p67d42909w0:jc;`:?83?j3;=86s|23`7>5<5s4>no7l7;<7;f?71;2wx>?l::18182bk3h<70;7b;356>{t:;h=6=4={<6fg?gc34?3n7?:a:p67d02909w0:jc;15b>;3n=08m=5rs30a0c2=;0o0q~<=b883>7}:6?c3ty9>oo50;0x91cd2:{t:;hi6=4={<6fg?51i27?j94<9c9~w74ek3:1>v3;eb802<=:1?4o4}r01fa<72;q68hm537:891`32:327p}=2cg94?4|5=oh6>88;<6e0?5>02wx>?li:18182bk39=963;f580=3=z{;8h<7>52z?7af<4>=168k:53878yv45k80;6?u24da9735<5=l?6>7;;|q16f4=838p19kl:241?82a<392?6s|23a0>5<5s4>no7=91:?7b1<41;1v?0`e=;63b34>m87=7f:p67e02909w0:jc;16`>;3n=084h5rs30`0c2=;1n0q~<=c883>7}:6>e3ty9>no50;0x91cd2:?270:i4;1;e>{t:;ii6=4={<6fg?52027?j94<889~w74dk3:1>v3;eb8012=:1?564}r01ga<72;q68hm5344891`32:2<7p}=2bg94?4|5=oh6>;:;<6e0?5?>2wx>?mi:18182bk39>863;f580<0=z{;8o<7>52z?7af<4=:168k:53968yv45l80;6?u24da9704<5=l?6>6<;|q16a4=838p19kl:272?82a<393>6s|23f0>5<5s4>no7=;f:?7b1<4091v?0`e=;=n019h;:25f?xu5:m<1<762d34>m87=8d:p67b02909w0:jc;17f>;3n=08;n5rs30g0c2=;>h0q~<=d883>7}:61f3ty9>io50;0x91cd2:>370:i4;14=>{t:;ni6=4={<6fg?53?27?j94<799~w74ck3:1>v3;eb8003=:1?:94}r01`a<72;q68hm5367891`32:k=7p}=2eg94?4|5=oh6>9;;<6e0?5f=2wx>?ji:18182bk3952z?7af<4?;168k:53`18yv45m80;6?u24da9727<5=l?6>o=;|q16`4=838p19kl:253?82a<39j=6s|23g0>5<5s4>no7=96:?7b1<41>1v?0`e=;<:019h;:2:2?xu5:l<1<762234>m87=86:p67c02908w0:jc;30=>;2>10::<52b6g95670`e=9:201887:07e?8d0n3;8=6s|23g:>5<4s4>no7?<7:?62=<6=l16n5>51238yv45mh0;6>u24da9560<5<<36<;k;<`;5?7492wx>?km:18082bk3;8963:69821f=:j181=>?4}r01af<72:q68hm51268900?28?i70l73;305>{t:;oo6=4<{<6fg?73=27>:54>6c9>f=2=9:;0q~<=ed83>6}:40f34h397?<1:p67ca2908w0:jc;377>;2>10::452b9495670`e=9=801887:044?8d??3;8=6s|23d2>5<4s4>no7?;1:?62=<6>?16n5651238yv45n;0;6>u24da9516<5<<36<8:;<`;=?7492wx>?h<:18082bk3;8j63:698221=:j1k1=>?4}r01b1<72:q68hm512g8900?28<870l7b;305>{t:;l>6=4<{<6fg?74l27>:54>639>f=e=9:;0q~<=f783>6}:=4=44;>43f34h3h7?<1:p67`02909w0:jd;`0?810=3;==6s|23d;>5<5s4>nh7l=;<541?72n2wx>?h6:18182bl3h:70985;36a>{t:;lj6=4={<6f`?d734=<97?:d:p67`e2909w0:jd;ce?810=3;>o6s|23d`>5<5s4>nh7oj;<541?72j2wx>?hk:18182bl3hm70985;35f>{t:;ln6=4={<6f`?db34=<97?9a:p67`a2909w0:jd;`g?810=3;=56s|2223>5<5s4>nh7ll;<541?71?2wx>>>>:18182bl3hi70985;352>{t:::96=4={<6f`?df34=<97?95:p66642909w0:jd;`:?810=3;=86s|2227>5<5s4>nh7l7;<541?71;2wx>>>::18182bl3h<70985;356>{t:::=6=4={<6f`?gc34=<97?:a:p66602908w0:jd;30=>;1k?0:8k5274095370`b=9:201;m9:06g?812:3;>j6s|222:>5<4s4>nh7?<7:?5g3<6u24df9560<5?i=6<:m;<566?72l2wx>>>m:18082bl3;89639c7820d=:?<81=8m4}r004f<72:q68hj5126893e128>2709:2;36f>{t:::o6=4<{<6f`?73=27=o;4>589>304=9?h0q~<<0d83>6}:43?34=>>7?9a:p666a2908w0:jd;377>;1k?0:9:52740953?0`b=9=801;m9:076?812:3;=;6s|2232>5<4s4>nh7?;1:?5g3<6==16;8<51748yv449;0;6>u24df9516<5?i=6<;<;<566?71=2wx>>?<:18082bl3;8j639c78217=:?<81=;:4}r0051<72:q68hj512g893e128?:709:2;357>{t::;>6=4<{<6f`?74l27=o;4>519>304=9?80q~<<1783>6}:=4=7a5>42?34=>>7?:a:p66702909w0:jd;1b4>;3ml08:k5rs3120`c=;?n0q~<<1883>7}:60d3ty9?{t::;i6=4={<6f`?5>j27?ih4<6`9~w756k3:1>v3;ee80=d=:77;<6fa?51?2wx>>?i:18182bl392:63;ed8020=z{;99<7>52z?7aa<41<168hk53768yv44:80;6?u24df97<2<5=on6>8<;|q1774=838p19kk:2;0?82bm39=>6s|2200>5<5s4>nh7=62:?7a`<4>81v?==4;296~;3mm085<524dg973684?:3y>0`b=;0:019kj:27e?xu5;;<1<76>a34>ni7=:e:p66402909w0:jd;1;a>;3ml089i5rs3110`c=;7}:63f3ty9??o50;0x91cc2:2j70:je;16=>{t::8i6=4={<6f`?5?127?ih4<599~w755k3:1>v3;ee80<==:69;<6fa?52=2wx>>52z?7aa<40=168hk53418yv44;80;6?u24df97=5<5=on6>;=;|q1764=838p19kk:2:1?82bm39>=6s|2210>5<5s4>nh7=70:?7a`<40`b=;>o019kj:26g?xu5;:<1<761c34>ni7=;c:p66502909w0:jd;14g>;3ml088o5rs3100`c=;=k0q~<<3883>7}:62>3ty9?>o50;0x91cc2:=270:je;17<>{t::9i6=4={<6f`?50027?ih4<469~w754k3:1>v3;ee8032=:7p}=32g94?4|5=oo6>o:;<6fa?50<2wx>>=i:18182bl39j863;ed8036=z{;9?<7>52z?7aa<4i:168hk53608yv44<80;6?u24df97d4<5=on6>9>;|q1714=838p19kk:2c2?82bm39<<6s|2260>5<5s4>nh7=67:?7a`<4>?1v?=;4;296~;3mm084n524dg970d0`b=;1;019kj:273?xu5;=<1<761134>ni7=;5:p66202909w0:je;`0?80b13;==6s|226;>5<5s4>ni7l=;<4f=?72n2wx>>:6:18182bm3h:708j9;36a>{t::>j6=4={<6fa?d734o6s|226`>5<5s4>ni7oj;<4f=?72j2wx>>:k:18182bm3hm708j9;35f>{t::>n6=4={<6fa?db345<5s4>ni7ll;<4f=?71?2wx>>;>:18182bm3hi708j9;352>{t::?96=4={<6fa?df345<5s4>ni7l7;<4f=?71;2wx>>;::18182bm3h<708j9;356>{t::?=6=4={<6fa?gc34;1;h0:8k526b495370`c=9:201;=n:06g?80d>3;>j6s|227:>5<4s4>ni7?<7:?57d<6u24dg9560<5?9j6<:m;<4`2?72l2wx>>;m:18082bm3;896393`820d=:>j<1=8m4}r001f<72:q68hk51268935f28>2708l6;36f>{t::?o6=4<{<6fa?73=27=?l4>589>2f0=9?h0q~<<5d83>6}:43?34;1;h0:9:526b4953?0`c=9=801;=n:076?80d>3;=;6s|2242>5<4s4>ni7?;1:?57d<6==16:n851748yv44>;0;6>u24dg9516<5?9j6<;<;<4`2?71=2wx>>8<:18082bm3;8j6393`8217=:>j<1=;:4}r0021<72:q68hk512g8935f28?:708l6;357>{t::<>6=4<{<6fa?74l27=?l4>519>2f0=9?80q~<<6783>6}:=4=71b>42?34;3mo08:k5rs3150``=;?n0q~<<6883>7}:60d3ty9?;o50;0x91cb2:3h70:jf;15f>{t::j27?ik4<6`9~w751k3:1>v3;ed80=d=:77;<6fb?51?2wx>>8i:18182bm392:63;eg8020=z{;9<<7>52z?7a`<41<168hh53768yv44?80;6?u24dg97<2<5=om6>8<;|q1724=838p19kj:2;0?82bn39=>6s|2250>5<5s4>ni7=62:?7ac<4>81v?=84;296~;3ml085<524dd97360`c=;0:019ki:27e?xu5;><1<76>a34>nj7=:e:p66102909w0:je;1;a>;3mo089i5rs3140``=;7}:63f3ty9?:o50;0x91cb2:2j70:jf;16=>{t::=i6=4={<6fa?5?127?ik4<599~w750k3:1>v3;ed80<==:69;<6fb?52=2wx>>9i:18182bm393963;eg8011=z{;93<7>52z?7a`<40=168hh53418yv44080;6?u24dg97=5<5=om6>;=;|q17=4=838p19kj:2:1?82bn39>=6s|22:0>5<5s4>ni7=70:?7ac<40`c=;>o019ki:26g?xu5;1<1<761c34>nj7=;c:p66>02909w0:je;14g>;3mo088o5rs31;0``=;=k0q~<<8883>7}:62>3ty9?5o50;0x91cb2:=270:jf;17<>{t::2i6=4={<6fa?50027?ik4<469~w75?k3:1>v3;ed8032=:7p}=39g94?4|5=on6>o:;<6fb?50<2wx>>6i:18182bm39j863;eg8036=z{;92<7>52z?7a`<4i:168hh53608yv44180;6?u24dg97d4<5=om6>9>;|q17<4=838p19kj:2c2?82bn39<<6s|22;0>5<5s4>ni7=67:?7ac<4>?1v?=64;296~;3ml084n524dd970d0`c=;1;019ki:273?xu5;0<1<761134>nj7=;5:p66?02909w0:jf;`0?802l3;==6s|22;;>5<5s4>nj7l=;<46`?72n2wx>>76:18182bn3h:708:d;36a>{t::3j6=4={<6fb?d734<>h7?:d:p66?e2909w0:jf;ce?802l3;>o6s|22;`>5<5s4>nj7oj;<46`?72j2wx>>7k:18182bn3hm708:d;35f>{t::3n6=4={<6fb?db34<>h7?9a:p66?a2909w0:jf;`g?802l3;=56s|22c3>5<5s4>nj7ll;<46`?71?2wx>>o>:18182bn3hi708:d;352>{t::k96=4={<6fb?df34<>h7?95:p66g42909w0:jf;`:?802l3;=86s|22c7>5<5s4>nj7l7;<46`?71;2wx>>o::18182bn3h<708:d;356>{t::k=6=4={<6fb?gc34<>h7?:a:p66g02908w0:jf;30=>;2il0:8k5262c95370``=9:2018oj:06g?804i3;>j6s|22c:>5<4s4>nj7?<7:?6e`<6o514g8yv44ih0;6>u24dd9560<5>om:18082bn3;8963:ad820d=:>:k1=8m4}r00ef<72:q68hh5126890gb28>2708{t::ko6=4<{<6fb?73=27>mh4>589>26g=9?h0q~<6}:43?34<8m7?9a:p66ga2908w0:jf;377>;2il0:9:5262c953?0``=9=8018oj:076?804i3;=;6s|22`2>5<4s4>nj7?;1:?6e`<6==16:>o51748yv44j;0;6>u24dd9516<5>l<:18082bn3;8j63:ad8217=:>:k1=;:4}r00f1<72:q68hh512g890gb28?:708{t::h>6=4<{<6fb?74l27>mh4>519>26g=9?80q~<6}:=4=4cf>42?34<8m7?:a:p66d02909w0:jf;1b4>;3n908:k5rs31a0c6=;?n0q~<7}:60d3ty9?oo50;0x91ca2:3h70:i0;15f>{t::hi6=4={<6fb?5>j27?j=4<6`9~w75ek3:1>v3;eg80=d=:77;<6e4?51?2wx>>li:18182bn392:63;f18020=z{;9h<7>52z?7ac<41<168k>53768yv44k80;6?u24dd97<2<5=l;6>8<;|q17f4=838p19ki:2;0?82a839=>6s|22a0>5<5s4>nj7=62:?7b5<4>81v?=l4;296~;3mo085<524g297360``=;0:019h?:27e?xu5;j<1<76>a34>m<7=:e:p66e02909w0:jf;1;a>;3n9089i5rs31`0c6=;7}:63f3ty9?no50;0x91ca2:2j70:i0;16=>{t::ii6=4={<6fb?5?127?j=4<599~w75dk3:1>v3;eg80<==:69;<6e4?52=2wx>>mi:18182bn393963;f18011=z{;9o<7>52z?7ac<40=168k>53418yv44l80;6?u24dd97=5<5=l;6>;=;|q17a4=838p19ki:2:1?82a839>=6s|22f0>5<5s4>nj7=70:?7b5<40``=;>o019h?:26g?xu5;m<1<761c34>m<7=;c:p66b02909w0:jf;14g>;3n9088o5rs31g0c6=;=k0q~<7}:62>3ty9?io50;0x91ca2:=270:i0;17<>{t::ni6=4={<6fb?50027?j=4<469~w75ck3:1>v3;eg8032=:7p}=3eg94?4|5=om6>o:;<6e4?50<2wx>>ji:18182bn39j863;f18036=z{;9n<7>52z?7ac<4i:168k>53608yv44m80;6?u24dd97d4<5=l;6>9>;|q17`4=838p19ki:2c2?82a839<<6s|22g0>5<5s4>nj7=67:?7b5<4>?1v?=j4;296~;3mo084n524g2970d0``=;1;019h?:273?xu5;l<1<761134>m<7=;5:p66c02909w0:i0;`0?83c93;==6s|22g;>5<5s4>m<7l=;<7g5?72n2wx>>k6:18182a83h:70;k1;36a>{t::oj6=4={<6e4?d734?o=7?:d:p66ce2909w0:i0;ce?83c93;>o6s|22g`>5<5s4>m<7oj;<7g5?72j2wx>>kk:18182a83hm70;k1;35f>{t::on6=4={<6e4?db34?o=7?9a:p66ca2909w0:i0;`g?83c93;=56s|22d3>5<5s4>m<7ll;<7g5?71?2wx>>h>:18182a83hi70;k1;352>{t::l96=4={<6e4?df34?o=7?95:p66`42909w0:i0;`:?83c93;=86s|22d7>5<5s4>m<7l7;<7g5?71;2wx>>h::18182a83h<70;k1;356>{t::l=6=4={<6e4?gc34?o=7?:a:p66`02908w0:i0;30=>;2:;0:8k525`g95370c6=9:2018<=:06g?83fm3;>j6s|22d:>5<4s4>m<7?<7:?667<6u24g29560<5<896<:m;<7ba?72l2wx>>hm:18082a83;8963:23820d=:=ho1=8m4}r00bf<72:q68k>51268904528>270;ne;36f>{t::lo6=4<{<6e4?73=27>>?4>589>1dc=9?h0q~<6}:43?34?ji7?9a:p66`a2908w0:i0;377>;2:;0:9:525`g953?0c6=9=8018<=:076?83fm3;=;6s|2522>5<4s4>m<7?;1:?667<6==169lk51748yv438;0;6>u24g29516<5<896<;<;<7ba?71=2wx>9><:18082a83;8j63:238217=:=ho1=;:4}r0741<72:q68k>512g8904528?:70;ne;357>{t:=:>6=4<{<6e4?74l27>>?4>519>1dc=9?80q~<;0783>6}:=4=401>42?34?ji7?:a:p61602909w0:i1;`0?81ej3;==6s|252;>5<5s4>m=7l=;<5af?72n2wx>9>6:18182a93h:709mb;36a>{t:=:j6=4={<6e5?d734=in7?:d:p616e2909w0:i1;ce?81ej3;>o6s|252`>5<5s4>m=7oj;<5af?72j2wx>9>k:18182a93hm709mb;35f>{t:=:n6=4={<6e5?db34=in7?9a:p616a2909w0:i1;`g?81ej3;=56s|2533>5<5s4>m=7ll;<5af?71?2wx>9?>:18182a93hi709mb;352>{t:=;96=4={<6e5?df34=in7?95:p61742909w0:i1;`:?81ej3;=86s|2537>5<5s4>m=7l7;<5af?71;2wx>9?::18182a93h<709mb;356>{t:=;=6=4={<6e5?gc34=in7?:a:p61702908w0:i1;30=>;08j0:8k5278:95370c7=9:201:>l:06g?81>03;>j6s|253:>5<4s4>m=7?<7:?44f<6u24g39560<5>:h6<:m;<5:9?m:18082a93;896380b820d=:?021=8m4}r075f<72:q68k?51268926d28>270968;36f>{t:=;o6=4<{<6e5?73=27<589>3<>=9?h0q~<;1d83>6}:43?34=247?9a:p617a2908w0:i1;377>;08j0:9:5278:953?=4?:2y>0c7=9=801:>l:076?81>03;=;6s|2502>5<4s4>m=7?;1:?44f<6==16;4651748yv43:;0;6>u24g39516<5>:h6<;<;<5:9<<:18082a93;8j6380b8217=:?021=;:4}r0761<72:q68k?512g8926d28?:70968;357>{t:=8>6=4<{<6e5?74l27<519>3<>=9?80q~<;2783>6}:=4=62`>42?34=247?:a:p61402909w0:i1;1b4>;3n;08:k5rs3610c4=;?n0q~<;2883>7}:60d3ty98?o50;0x91`62:3h70:i2;15f>{t:=8i6=4={<6e5?5>j27?j?4<6`9~w725k3:1>v3;f080=d=:77;<6e6?51?2wx>98<7>52z?7b4<41<168k<53768yv43;80;6?u24g397<2<5=l96>8<;|q1064=838p19h>:2;0?82a:39=>6s|2510>5<5s4>m=7=62:?7b7<4>81v?:<4;296~;3n8085<524g097360c7=;0:019h=:27e?xu5<:<1<76>a34>m>7=:e:p61502909w0:i1;1;a>;3n;089i5rs3600c4=;7}:63f3ty98>o50;0x91`62:2j70:i2;16=>{t:=9i6=4={<6e5?5?127?j?4<599~w724k3:1>v3;f080<==:69;<6e6?52=2wx>9=i:18182a9393963;f38011=z{;>?<7>52z?7b4<40=168k<53418yv43<80;6?u24g397=5<5=l96>;=;|q1014=838p19h>:2:1?82a:39>=6s|2560>5<5s4>m=7=70:?7b7<40c7=;>o019h=:26g?xu5<=<1<761c34>m>7=;c:p61202909w0:i1;14g>;3n;088o5rs3670c4=;=k0q~<;4883>7}:62>3ty989o50;0x91`62:=270:i2;17<>{t:=>i6=4={<6e5?50027?j?4<469~w723k3:1>v3;f08032=:7p}=45g94?4|5=l:6>o:;<6e6?50<2wx>9:i:18182a939j863;f38036=z{;>><7>52z?7b4<4i:168k<53608yv43=80;6?u24g397d4<5=l96>9>;|q1004=838p19h>:2c2?82a:39<<6s|2570>5<5s4>m=7=67:?7b7<4>?1v?::4;296~;3n8084n524g0970d0c7=;1;019h=:273?xu5<<<1<761134>m>7=;5:p61302909w0:i2;`0?815n3;==6s|257;>5<5s4>m>7l=;<51b?72n2wx>9;6:18182a:3h:709=f;36a>{t:=?j6=4={<6e6?d734=9j7?:d:p613e2909w0:i2;ce?815n3;>o6s|257`>5<5s4>m>7oj;<51b?72j2wx>9;k:18182a:3hm709=f;35f>{t:=?n6=4={<6e6?db34=9j7?9a:p613a2909w0:i2;`g?815n3;=56s|2543>5<5s4>m>7ll;<51b?71?2wx>98>:18182a:3hi709=f;352>{t:=<96=4={<6e6?df34=9j7?95:p61042909w0:i2;`:?815n3;=86s|2547>5<5s4>m>7l7;<51b?71;2wx>98::18182a:3h<709=f;356>{t:=<=6=4={<6e6?gc34=9j7?:a:p61002908w0:i2;30=>;1090:8k5271a95370c4=9:201;6?:06g?817k3;>j6s|254:>5<4s4>m>7?<7:?5<5<6h0;6>u24g09560<5?2;6<:m;<53g?72l2wx>98m:18082a:3;8963981820d=:?9i1=8m4}r072f<72:q68k<5126893>728>2709?c;36f>{t:=589>35e=9?h0q~<;6d83>6}:43?34=;o7?9a:p610a2908w0:i2;377>;1090:9:5271a953?0c4=9=801;6?:076?817k3;=;6s|2552>5<4s4>m>7?;1:?5<5<6==16;=m51748yv43?;0;6>u24g09516<5?2;6<;<;<53g?71=2wx>99<:18082a:3;8j639818217=:?9i1=;:4}r0731<72:q68k<512g893>728?:709?c;357>{t:==>6=4<{<6e6?74l27=4=4>519>35e=9?80q~<;7783>6}:=4=7:3>42?34=;o7?:a:p61102909w0:i2;1b4>;3n:08:k5rs3640c5=;?n0q~<;7883>7}:60d3ty98:o50;0x91`52:3h70:i3;15f>{t:==i6=4={<6e6?5>j27?j>4<6`9~w720k3:1>v3;f380=d=:77;<6e7?51?2wx>99i:18182a:392:63;f28020=z{;>3<7>52z?7b7<41<168k=53768yv43080;6?u24g097<2<5=l86>8<;|q10=4=838p19h=:2;0?82a;39=>6s|25:0>5<5s4>m>7=62:?7b6<4>81v?:74;296~;3n;085<524g197360c4=;0:019h<:27e?xu5<1<1<76>a34>m?7=:e:p61>02909w0:i2;1;a>;3n:089i5rs36;0c5=;7}:63f3ty985o50;0x91`52:2j70:i3;16=>{t:=2i6=4={<6e6?5?127?j>4<599~w72?k3:1>v3;f380<==:69;<6e7?52=2wx>96i:18182a:393963;f28011=z{;>2<7>52z?7b7<40=168k=53418yv43180;6?u24g097=5<5=l86>;=;|q10<4=838p19h=:2:1?82a;39>=6s|25;0>5<5s4>m>7=70:?7b6<40c4=;>o019h<:26g?xu5<0<1<761c34>m?7=;c:p61?02909w0:i2;14g>;3n:088o5rs36:0c5=;=k0q~<;9883>7}:62>3ty984o50;0x91`52:=270:i3;17<>{t:=3i6=4={<6e6?50027?j>4<469~w72>k3:1>v3;f38032=:7p}=48g94?4|5=l96>o:;<6e7?50<2wx>97i:18182a:39j863;f28036=z{;>j<7>52z?7b7<4i:168k=53608yv43i80;6?u24g097d4<5=l86>9>;|q10d4=838p19h=:2c2?82a;39<<6s|25c0>5<5s4>m>7=67:?7b6<4>?1v?:n4;296~;3n;084n524g1970d0c4=;1;019h<:273?xu561134>m?7=;5:p61g02909w0:i3;`0?80f;3;==6s|25c;>5<5s4>m?7l=;<4b7?72n2wx>9o6:18182a;3h:708n3;36a>{t:=kj6=4={<6e7?d734o6s|25c`>5<5s4>m?7oj;<4b7?72j2wx>9ok:18182a;3hm708n3;35f>{t:=kn6=4={<6e7?db345<5s4>m?7ll;<4b7?71?2wx>9l>:18182a;3hi708n3;352>{t:=h96=4={<6e7?df345<5s4>m?7l7;<4b7?71;2wx>9l::18182a;3h<708n3;356>{t:=h=6=4={<6e7?gc34;2n=0:8k5269295370c5=9:2018h;:06g?80?83;>j6s|25`:>5<4s4>m?7?<7:?6b1<6514g8yv43jh0;6>u24g19560<59lm:18082a;3;8963:f5820d=:>1:1=8m4}r07ff<72:q68k=5126890`328>270870;36f>{t:=ho6=4<{<6e7?73=27>j94>589>2=6=9?h0q~<;bd83>6}:43?34<3<7?9a:p61da2908w0:i3;377>;2n=0:9:52692953?0c5=9=8018h;:076?80?83;=;6s|25a2>5<4s4>m?7?;1:?6b1<6==16:5>51748yv43k;0;6>u24g19516<59m<:18082a;3;8j63:f58217=:>1:1=;:4}r07g1<72:q68k=512g890`328?:70870;357>{t:=i>6=4<{<6e7?74l27>j94>519>2=6=9?80q~<;c783>6}:=4=4d7>42?34<3<7?:a:p61e02909w0:i3;1b4>;3n=08:k5rs36`4<9d9>0c2=;?n0q~<;c883>7}:60d3ty98no50;0x91`42:3h70:i4;15f>{t:=ii6=4={<6e7?5>j27?j94<6`9~w72dk3:1>v3;f280=d=:1?;74}r07ga<72;q68k=538;891`32:<37p}=4bg94?4|5=l86>77;<6e0?51?2wx>9mi:18182a;392:63;f58020=z{;>o<7>52z?7b6<41<168k:53768yv43l80;6?u24g197<2<5=l?6>8<;|q10a4=838p19h<:2;0?82a<39=>6s|25f0>5<5s4>m?7=62:?7b1<4>81v?:k4;296~;3n:085<524g697360c5=;0:019h;:27e?xu56>a34>m87=:e:p61b02909w0:i3;1;a>;3n=089i5rs36g4<8e9>0c2=;7}:63f3ty98io50;0x91`42:2j70:i4;16=>{t:=ni6=4={<6e7?5?127?j94<599~w72ck3:1>v3;f280<==:1?894}r07`a<72;q68k=5395891`32:?=7p}=4eg94?4|5=l86>69;<6e0?52=2wx>9ji:18182a;393963;f58011=z{;>n<7>52z?7b6<40=168k:53418yv43m80;6?u24g197=5<5=l?6>;=;|q10`4=838p19h<:2:1?82a<39>=6s|25g0>5<5s4>m?7=70:?7b1<40c5=;>o019h;:26g?xu561c34>m87=;c:p61c02909w0:i3;14g>;3n=088o5rs36f4<7c9>0c2=;=k0q~<;e883>7}:62>3ty98ho50;0x91`42:=270:i4;17<>{t:=oi6=4={<6e7?50027?j94<469~w72bk3:1>v3;f28032=:1?984}r07aa<72;q68k=53`4891`32:=>7p}=4dg94?4|5=l86>o:;<6e0?50<2wx>9ki:18182a;39j863;f58036=z{;>m<7>52z?7b6<4i:168k:53608yv43n80;6?u24g197d4<5=l?6>9>;|q10c4=838p19h<:2c2?82a<39<<6s|25d0>5<5s4>m?7=67:?7b1<4>?1v?:i4;296~;3n:084n524g6970d0c5=;1;019h;:273?xu561134>m87=;5:p61`02909w0:i4;`0?806?3;==6s|25d;>5<5s4>m87l=;<423?72n2wx>9h6:18182a<3h:708>7;36a>{t:=lj6=4={<6e0?d734<:;7?:d:p61`e2909w0:i4;ce?806?3;>o6s|25d`>5<5s4>m87oj;<423?72j2wx>9hk:18182a<3hm708>7;35f>{t:=ln6=4={<6e0?db34<:;7?9a:p61`a2909w0:i4;`g?806?3;=56s|2423>5<5s4>m87ll;<423?71?2wx>8>>:18182a<3hi708>7;352>{t:<:96=4={<6e0?df34<:;7?95:p60642909w0:i4;`:?806?3;=86s|2427>5<5s4>m87l7;<423?71;2wx>8>::18182a<3h<708>7;356>{t:<:=6=4={<6e0?gc34<:;7?:a:p60602908w0:i4;30=>;2>10:8k525g69537<54?:2y>0c2=9:201887:06g?83a<3;>j6s|242:>5<4s4>m87?<7:?62=<6u24g69560<5<<36<:m;<7e0?72l2wx>8>m:18082a<3;8963:69820d=:=o>1=8m4}r064f<72:q68k:51268900?28>270;i4;36f>{t:<:o6=4<{<6e0?73=27>:54>589>1c2=9?h0q~<:0d83>6}:1=9:4=44;>43?34?m87?9a:p606a2908w0:i4;377>;2>10:9:525g6953?==4?:2y>0c2=9=801887:076?83a<3;=;6s|2432>5<4s4>m87?;1:?62=<6==169k:51748yv429;0;6>u24g69516<5<<36<;<;<7e0?71=2wx>8?<:18082a<3;8j63:698217=:=o>1=;:4}r0651<72:q68k:512g8900?28?:70;i4;357>{t:<;>6=4<{<6e0?74l27>:54>519>1c2=9?80q~<:1783>6}:1=>=4=44;>42?34?m87?:a:p60702908w0:i5;1bf>;3n>0:?<528`19e5=z{;?:47>53z?7b3<4ik168k6512389=g42h80q~<:1883>6}:456342oo7o?;|q114g=839p19h7:2ca?82ai3;8=637db8b6>{t:<;i6=4<{<6e=?5fj27?jo4>309>=43=i91v?;>c;297~;3nh08mo524ga9567<50;>6l<4}r065a<72:q68kl53``891`c289:707;e;c3?xu5=8o1<7=t=5d`>6ge34>mi7?<1:?:0`8?i:18182al39jn636868b4>{t:<8;6=4={<6ea?5fj2724:4n2:p60462908w0:if;1bf>;2890:?<528`197f>>?4?:2y>156=;hh018>>:012?8>ck39h46s|2400>5<4s4?;=7=nb:?647<6;8165<;53b:8yv42:=0;6>u251097dd<5<:86<=>;<;7a?5d02wx>8<::181837;39jn6368680g==z{;?9:7>59z?641<6;8169=o53``890b62:i<708>7;1`3>;f8m08o:52a2197f1<5j=86??k;6e0343h=7=l7:?:a2<4k>16o:<520f89a462;;o70j=2;02`>;c::09=i52d36964b>54?:7y>153=9:;018>k:2ca?83fm39h;63:f580g2=:1ok1?n94=`03>6e03ty99?750;7x90622:ki70;=2;1`3>;2>108o:529`g97f1<50n?6>m8;|q117g=839p18>9:012?837j39jn6364d8b<>{t:<8i6=4={<732?5fj2724:4n8:p604d2908w0;?7;305>;28j08mo5295g9e3=z{;?9h7>52z?642<4ik165595a79~w735m3:1:v3:098274=:=9o1?ll4=4f2>om;<7ba??b34?m877j;<;ee??b34k9<77j;|q1167=83?p18>6:2ca?835:33n70;98;;f?8?fm33n707k4;;f?xu5=:81<77t=42b>45634?:=7=nb:?51a<4k>16:l=53b589d3>2:i<70o8f;1`3>;d?=09=i52d0`964b<5m;h6??k;|q1165=839p18>m:012?836:39jn636148b<>{t:<9?6=4<{<73g?74927>=>4=43=i?1v?;<5;292~;28m0:?<5250297dd<5?9j6>m8;<4;4?5d?27j8;4e3e=;j=0q~<:3783>3}:=9o1=>?4=437>6ge34<>h77j;<4b7??b34k>577j;950;4x906a289:70;>5;1bf>;1;h02i639818:a>;f{t:<936=49{<724?74927>=:42f0=;j=01:>l:2a4?8g>:39h;63nb980g2=z{;?857>59z?654<6;8169<853``893c>2:i<709=f;1`3>;fi<08o:52ab`97f1<5j=>6??k;d>?o4?:2y>145=9:;018?6:2ca?8>ck3k=7p}=52a94?0|5<;?6<=>;<72f?5fj27=i446e:?46c<>m27jm846e:?bgg<>m2wx>8=k:185836=3;8=63:1`80eg=:>j<15h5271a9=`=:i0815h52ac:9=`=z{;?8i7>59z?653<6;8169;fn808o:52b0597f1<5j==6??k;=4=1e9~w734n3:1:v3:168274=:=8o1?ll4=671>6e034=247=l7:?b``<4k>16n=:53b58yv42<90;6>u250:9567<5<8;6>om;<:b7?g?3ty999?50;1x907>289:70;=1;1bf>;?i:0j:6s|2461>5<1s4?:m7?<1:?65f<4ik16;8<59d9>3<>=1l16mik59d9>f52=1l1v?;;3;292~;29k0:?<5250d97dd<5>=>64k4=6`a>894?:6y>14b=9:;015>>:2a4?8>3?39h;63m3e80g2=:j?91?n94=e16>6ge34l3:7?<1:p6022290e;305>;0ll08o:5283697f1<5k8j6>m8;<`64?5d?27no84b`>=9:;0q~<:4783>6}:==?1=9h4=c;5>6ge34nn>7?<1:p60202908w0;;5;37`>;e1>08mo52dd69567854?:2y>113=9=i01o77:2ca?8bb>3;8=6s|246:>5<4s4??97?;b:?a=<<4ik16hh651238yv42u2557951g<5k3j6>om;8:m:180833=3;?563m9c80eg=:llo1=>?4}r060f<72:q699;514;89g?d2:ki70jja;305>{t:<>o6=4<{<771?72027i5i4`c6=9:;0q~<:4d83>6}:==?1=894=c;f>6ge34nm>7?<1:p602a2908w0;;5;361>;e1o08mo52dg695679=4?:2y>113=9<>01oo?:2ca?8ba>3;8=6s|2472>5<4s4??97?:3:?ae4<4ik16hko51238yv42=;0;6>u25579504<5kk96>om;8;<:180833=3;>=63ma280eg=:lo21=>?4}r0611<72:q699;514289gg32:ki70jie;305>{t:6=4<{<771?73027im84a56=9:;0q~<:5783>6}:=1h1=9h4=c5f>6ge34nh>7?<1:p60302908w0;7b;37`>;e?o08mo52db49567954?:2y>1=d=9=i01o6?:2ca?8bd03;8=6s|247:>5<4s4?3n7?;b:?a<4<4ik16hn:51238yv42=h0;6>u259`951g<5k296>om;8;m:18083?j3;?563m8280eg=:lji1=>?4}r061f<72:q695l514;89g>32:ki70jle;305>{t:`a6=9:;0q~<:5d83>6}:=1h1=894=c:5>6ge34no87?<1:p603a2908w0;7b;361>;e0>08mo52de49567:=4?:2y>1=d=9<>01o67:2ca?8bc:3;8=6s|2442>5<4s4?3n7?:3:?a<<<4ik16hi651238yv42>;0;6>u259`9504<5k2j6>om;88<:18083?j3;>=63m8c80eg=:lmi1=>?4}r0621<72:q695l514289g>d2:ki70jke;305>{t:<<>6=4<{<7;f?73027i4i4``6=9:;0q~<:6783>6}:=m;1=9h4=eg0>6ge34on:7?<1:p60002908w0;k1;37`>;cm<08mo52ed:9567:54?:2y>1a7=9=i01ik8:2ca?8cbk3;8=6s|244:>5<4s4?o=7?;b:?ga<<4ik16ihk51238yv42>h0;6>u25e3951g<5moo6>om;88m:18083c93;?563keg80eg=:mo:1=>?4}r062f<72:q69i?514;89ace2:ki70ki2;305>{t:<ac2=9:;0q~<:6d83>6}:=m;1=894=ed0>6ge34om:7?<1:p600a2908w0;k1;361>;cn<08mo52egc9567;=4?:2y>1a7=9<>01ih8:2ca?8cak3;8=6s|2452>5<4s4?o=7?:3:?gbg<4ik16ik651238yv42?;0;6>u25e39504<5mlo6>om;89<:18083c93;>=63kf880eg=:n9:1=>?4}r0631<72:q69i?514289a`a2:ki70h?2;305>{t:<=>6=4<{<7g5?73027n<<4b52=9:;0q~<:7783>6}:>8=1=9h4=ea0>6ge34oh47?<1:p60102908w08>7;37`>;ck>08mo52eb49567;54?:2y>241=9=i01im6:2ca?8cdi3;8=6s|245:>5<4s4<:;7?;b:?gg0<4ik16inm51238yv42?h0;6>u2605951g<5mii6>om;89m:180806?3;?563kce80eg=:mm:1=>?4}r063f<72:q6:<9514;89aea2:ki70kk4;305>{t:<=o6=4<{<423?72027oh<4aa0=9:;0q~<:7d83>6}:>8=1=894=ef6>6ge34oo>7?<1:p601a2908w08>7;361>;cl>08mo52ee:95674=4?:2y>241=9<>01ij<:2ca?8cci3;8=6s|24:2>5<4s4<:;7?:3:?g`<<4ik16iim51238yv420;0;6>u26059504<5mni6>om;86<:180806?3;>=63kde80eg=:ml81=>?4}r06<1<72:q6:<9514289aba2:ki70kj4;305>{t:<2>6=4<{<423?73027oi<4a`6=9:;0q~<:8783>6}:>6ge34ljm7?<1:p60>02908w08:d;37`>;bm008mo52f`a9567454?:2y>20b=9=i01hkk:2ca?8`f03;8=6s|24::>5<4s4<>h7?;b:?fac<4ik16jlk51238yv420h0;6>u264f951g<5loi6>om;86m:180802l3;?563jf080eg=:nk81=>?4}r06{t:<2o6=4<{<46`?72027nj84bg>=9:;0q~<:8d83>6}:>6ge34lim7?<1:p60>a2908w08:d;361>;bnk08mo52fc495675=4?:2y>20b=9<>01hhk:2ca?8`ek3;8=6s|24;2>5<4s4<>h7?:3:?fb<<4ik16jok51238yv421;0;6>u264f9504<5llm6>om;87<:180802l3;>=63i0080eg=:nj81=>?4}r06=1<72:q6:8j514289c642:ki70hl4;305>{t:<3>6=4<{<46`?73027m<84bf0=9:;0q~<:9783>6}:>h91=9h4=da:>6ge34l3m7?<1:p60?02908w08n3;37`>;bk>08mo52f9a9567554?:2y>2d5=9=i01hmm:2ca?8`?03;8=6s|24;:>5<4s451238yv421h0;6>u26`1951g<5lim6>om;87m:18080f;3;?563jd080eg=:n1o1=>?4}r06=f<72:q6:l=514;89`b22:ki70h64;305>{t:<3o6=4<{<4b7?72027nh:4b<0=9:;0q~<:9d83>6}:>h91=894=df0>6ge34l247?<1:p60?a2908w08n3;361>;bl008mo52f8c9567m=4?:2y>2d5=9<>01hjm:2ca?8`>m3;8=6s|24c2>5<4s451238yv42i;0;6>u26`19504<5lnm6>om;8o<:18080f;3;>=63je280eg=:nh81=>?4}r06e1<72:q6:l=514289`c22:ki70hn4;305>{t:6=4<{<4b7?73027ni<4bd0=9:;0q~<:a783>6}:>l31=9h4=gca>6ge34;;309~w73f?3:1?v39e8820a=:nhn1?ll4=023g?7492wx>8o7:18080b13;?o63ia880eg=:99:n6<=>;|q11d?=839p1;k6:06a?8`fn39jn63>0029567ml4?:2y>2`?=9=k01kl>:2ca?8779;0:?<5rs37bf?6=;r7=i44>489>bg5=;hh01<>>6;305>{t:557?289:7p}=5`f94?5|5?o26<;7;u26d;9501<5ohi6>om;<335d<6;81v?;nf;297~;1m00:9852fc597dd<58::o7?<1:p60d72908w08j9;360>;ajm08mo52113f>4563ty99o?50;1x93c>28?870hmf;1bf>;68;:1=>?4}r06f7<72:q6:h7514089ce62:ki70??258274=z{;?i?7>53z?5a<<6=816jn=53``89465>3;8=6s|24`7>5<4s442?34lh;7=nb:?247>=9:;0q~<:b783>6}:?;l1=9h4=g:a>6ge34lnm7?<1:p60d02908w09=f;37`>;a0m08mo52fdg9567n54?:2y>37`=9=i01k66:2ca?8`a83;8=6s|24`:>5<4s4=9j7?;b:?e=4<4ik16jhm51238yv42jh0;6>u273d951g<5o386>om;8lm:180815n3;?563i8g80eg=:no>1=>?4}r06ff<72:q6;?h514;89c?22:ki70hi6;305>{t:bc>=9:;0q~<:bd83>6}:?;l1=894=g;:>6ge34lmo7?<1:p60da2908w09=f;361>;a1k08mo52fgg9567o=4?:2y>37`=9<>01k7i:2ca?8`ai3;8=6s|24a2>5<4s4=9j7?:3:?ee4<4ik16==>?:012?xu5=j81<7=t=60e>43534l2h7=nb:?2454=9:;0q~<:c283>6}:?;l1=8?4=gc0>6ge34;;<94>309~w73d<3:1?v382g8215=:nh?1?ll4=0232?7492wx>8m::180815n3;?463ia680eg=:99:36<=>;|q11f0=839p1:9::06e?8778k08mo52114`>4563ty99n950;1x921228>o70??0e80eg=:99;|q11f>=839p1:9::06`?8778o08mo521153>4563ty99n750;1x921228>i70??1080eg=:99=96<=>;|q11fg=839p1:9::06b?8779:08mo52114f>4563ty99nl50;1x921228>270??1680eg=:99=?6<=>;|q11fe=839p1:9::07:?8779008mo521155>4563ty99nj50;1x921228?370??1480eg=:99=36<=>;|q11fc=839p1:9::074?8779k08mo52115b>4563ty99nh50;1x921228?>70??1e80eg=:99=n6<=>;|q11a6=839p1:9::077?8779o08mo5211:3>4563ty99i?50;1x921228?870??2080eg=:99=h6<=>;|q11a4=839p1:9::071?877:<08mo5211:1>4563ty99i=50;1x921228?:70??2680eg=:992?6<=>;|q11a2=839p1:9::073?877::08mo5211:5>4563ty99i;50;1x921228>370??2880eg=:99236<=>;|q11a0=839p1:lm:06e?8`bj39jn63>05a9567h:4?:2y>3gd=9=n01kki:2ca?8774b9>bc7=;hh01<>;e;305>{t:5537289:7p}=5ec94?5|5>hi6<:n;u27c`951?<5ol>6>om;<3311<6;81v?;kc;297~;0jk0:9452fg597dd<58:>47?<1:p60bc2908w09mb;36<>;an008mo52117b>4563ty99ik50;1x92de28?<70hid;1bf>;68<<1=>?4}r06`c<72:q6;ol514789c`a2:ki70??5b8274=z{;?n<7>53z?4fg<6==16jkl53``89462m3;8=6s|24g2>5<4s4=in7?:3:?2457=;hh01<>90;305>{t:5<4s4=in7?:1:?2453=;hh01<>96;305>{t:5<4s4=in7?;8:?245?=;hh01<>94;305>{t:4v38dd820a=:lh?1?ll4}r06a=<72;q6;ik515a89ag02:ki7p}=5d;94?4|5>nn6<:m;8kn:18181cm3;?m63kae80eg=z{;?nn7>52z?4``<6<016hl753``8yv42mj0;6?u27eg950?<5mkm6>om;|q11`b=838p1:jj:07;?8be939jn6s|24gf>5<5s4=oi7?:7:?gf6<4ik1v?;jf;296~;0ll0:9852dc797ddj=4?:3y>3ac=9<>01il6:2ca?xu5=o;1<743434nin7=nb:p60`52909w09ke;366>;cj>08mo5rs37e7?6=:r7509>`gb=;hh0q~<:f583>7}:?mo1=8>4=e`e>6ge3ty99k;50;0x92bb28>370jl1;1bf>{t:0;6?u2813951b<58:=n7=nb:p60`?2909w06?1;37g>;68>;1?ll4}r06b<<72;q64=?515`89460;39jn6s|24db>5<5s42;=7?;a:?243`=;hh0q~<:fc83>7}:09;1=974=0241?5fj2wx>8hl:1818>793;>563>06597ddji4?:3y><57=9<201<>89;1bf>{t:;681;1?ll4}r0544<72;q64=?514189460l39jn6s|2721>5<5s42;=7?:2:?24=5=;hh0q~<90283>7}:09;1=8?4=02;1?5fj2wx>;>;:1818>793;><63>09597dd<57=9=201<>79;1bf>{t:?:=6=4={<:10?73n27o;84v3725820a=:l>;1?ll4}r054=<72;q64?:515a89a102:ki7p}=61;94?4|518?6<:m;;>n:1818>5<3;?m63k7c80eg=z{;<;n7>52z?;61<6<016h:j53``8yv418j0;6?u2836950?<5m2:6>om;|q125b=838p15<;:07;?8b?;39jn6s|272f>5<5s42987?:7:?g3c<4ik1v?8?f;296~;?:=0:9852d9797dd<72=9<>01i68:2ca?xu5>8;1<743434n357=nb:p63752909w06=4;366>;c0k08mo5rs3427?6=:r73>94>509>`=`=;hh0q~<91583>7}:0;>1=8>4=e;2>6ge3ty9:<;50;0x9=4328>370j7d;1bf>{t:?;=6=4={<:73?73n27:<9j53``8yv419>0;6?u2855951b<58:?n7=nb:p637?2909w06;7;37g>;68=l1?ll4}r055<<72;q6499515`89462939jn6s|273b>5<5s42?;7?;a:?2405=;hh0q~<91c83>7}:0==1=974=0261?5fj2wx>;?l:1818>3?3;>563>04;97dd<11=9<201<>:b;1bf>{t:?;n6=4={<:73?72?27:<8953``8yv419o0;6?u28559503<58:>h7=nb:p63472909w06;7;360>;685<5s42?;7?:2:?2435=;hh0q~<92283>7}:0==1=8?4=0253?5fj2wx>;<;:1818>3?3;><63>07;97dd84?:3y><11=9=201<>95;1bf>{t:?8=6=4<{<:5e?70=27im;4>749>`6d=;hh0q~<92683>6}:0?k1=:=4=cc5>41434n8h7=nb:p634?2908w069a;346>;ei?0:;?52d2;97dd44?:2y><3g=9>;01oo9:052?8b4n39jn6s|270b>5<4s42=m7?80:?ae3<6?916h9?53``8yv41:k0;6>u287c953`<5kk=6<8i;;1i3;=i63ma7822`=:l=?1?ll4}r056a<72:q64;o517f89gg128{t:?8n6=4<{<:5e?7>l27im;4>9e9>`1d=;hh0q~<92g83>6}:0?k1=4m4=cc5>4?d34n?;7=nb:p63572908w069a;3:f>;ei?0:5o52d5f97dd<3g=90k01oo9:0;b?8b3n39jn6s|2711>5<4s42=m7?69:?ae3<61016h8?53``8yv41;:0;6>u287c95<><5kk=6<77;;=;:1808>1i3;3i63ma782<`=:l<=1?ll4}r0570<72:q64;o519189gg1282870j:9;1bf>{t:?9=6=4<{<:5e?70027im;4>799>`03=;hh0q~<93683>6}:0?k1=;m4=cc5>40d34n>n7=nb:p635?2909w069a;3b3>;?i:0:;85rs340=?6=:r73:l4>a49>90q~<93`83>7}:0?k1=l:4=9c0>4153ty9:>l50;0x9=0f28k8706n3;345>{t:?9h6=4={<:5e?7f:273m>4>719~w704l3:1>v376`82e4=:0h91=;h4}r057`<72;q64;o51`289=g428;:?:1818>1i3;hj637a282=a=z{;52z?;2d<6kl164l=518a8yv41<;0;6?u287c95fb<51k86<7m;|q1215=838p158n:0a`?8>f;3;2m6s|2767>5<5s42=m7?lb:?;e6<6101v?8;5;296~;?>h0:ol528`195<><3g=9j:015o<:0:f?xu5>==1<74d2342j?7?73:p632?2909w069a;3be>;?i:0:;55rs347=?6=:r73:l4>9d9>7}:0h91=l94=9f`>4123ty9:9l50;0x9=g428k>706kc;347>{t:?>h6=4={<:b7?7f<273hn4>739~w703l3:1>v37a282e6=:0mi1=:?4}r050`<72;q64l=51`089=bd28=;7p}=65d94?4|51k86;<:gg?71n2wx>;;?:1818>f;3;j<637db822`=z{;<>=7>52z?;e6<61o164im517f8yv41=;0;6?u28`195f`<51nh6<7k;|q1205=838p15o<:0af?8>ck3;2o6s|2777>5<5s42j?7?ld:?;`f<61k1v?8:5;296~;?i:0:on528ea95<=1<74ef342oo7?68:p633?2909w06n3;3`4>;?lj0:4h5rs346=?6=:r73m>4>b49>7}:0h91=lo4=9f`>41?3ty9:8l50;0x9=g4283n706kc;35g>{t:??h6=4={<:gg?7f?272=84>749~w702l3:1>v37db82e0=:18?1=:=4}r051`<72;q64im51`689<7228=97p}=64d94?4|51nh6;8?:1818>ck3;j>636148235=z{;<==7>52z?;`f<6i8165<;517d8yv41>;0;6?u28ea95d6<50;>6<8j;|q1235=838p15jl:0;e?8?6=3;=h6s|2747>5<5s42oo7?lf:?:50<61m1v?895;296~;?lj0:oh5290795?=1<74ed343:97?6a:p630?2909w06kc;3`f>;>9<0:545rs345=?6=:r73hn4>c`9>=43=9020q~<96`83>7}:0mi1=n>4=836>4>b3ty9:;l50;0x9=bd28h>707>5;3;7>{t:?799~w701l3:1>v37db82=`=:18?1=;m4}r052`<72;q65<;51`589<2b28=>7p}=67d94?4|50;>6;9?:1818?6=3;j86364d8237=z{;<<=7>52z?:50<6i:1659k51638yv41?;0;6?u290795d4<50>n6<9?;|q1225=838p14?::0c2?8?3m3;=j6s|2757>5<5s43:97?n0:?:0`<6>l1v?885;296~;>9<0:5k5295g953b=43=9jl014:j:0;g?xu5>>=1<74eb343?i7?6c:p631?2909w07>5;3``>;>cb9>=1c=90k0q~<97`83>7}:18?1=nl4=86f>4?>3ty9::l50;0x9<7228ij707;e;3:<>{t:?=h6=4={<;21?7d82728h4>8d9~w700l3:1>v361482f0=:1=o1=5=4}r053`<72;q65<;51`c89<2b28=37p}=66d94?4|50;>6<7j;<;7a?71k2wx>;6?:1818?3m3;j;636868230=z{;<3=7>52z?:0`<6i<1655951618yv410;0;6?u295g95d2<502<6<9=;|q12=5=838p14:j:0c0?8???3;<=6s|27:7>5<5s43?i7?n2:?:<2<6?91v?875;296~;>=1c=9h:01468:04f?xu5>1=1<74?a3433;7?9d:p63>?2909w07;e;3`b>;>0>0:5i5rs34;=?6=:r728h4>cd9>==1=90i0q~<98`83>7}:1=o1=nj4=8:4>4?e3ty9:5l50;0x9<2b28ih70777;3:e>{t:?2h6=4={<;7a?7dj2724:4>989~w70?l3:1>v364d82gd=:11=1=464}r05<`<72;q659k51b289<>0282n7p}=69d94?4|50>n6;7?:1818?3m3;jm63686823==z{;<2=7>52z?:0`<61l16559517a8yv411;0;6>u29b39501<5k2n6>om;;7<:1808?d93;>963m8g80eg=:m<>1=>?4}r05=1<72:q65n?514689g?72:ki70k:6;305>{t:?3>6=4<{<;`5?72;27i5<4a0>=9:;0q~<99783>6}:1j;1=8<4=c;1>6ge34o>m7?<1:p63?02908w07l1;365>;e1:08mo52e4g9567=f7=9<:01o7;:2ca?8c183;8=6s|27;:>5<4s43h=7?;8:?a=0<4ik16i8m51238yv411h0;6>u29d59501<5k==6>om;;7m:1808?b?3;>963m7680eg=:m=>1=>?4}r05=f<72:q65h9514689g1?2:ki70k;6;305>{t:?3o6=4<{<;f3?72;27i;44a1g=9:;0q~<99d83>6}:1l=1=8<4=c5b>6ge34o?o7?<1:p63?a2908w07j7;365>;e?k08mo52e5:9567=`1=9<:01o9l:2ca?8c3m3;8=6s|27c2>5<4s43n;7?;8:?a3a<4ik16i8>51238yv41i;0;6>u2a1f9501<5l?86>om;;o<:1808g7l3;>963j5480eg=:n821=>?4}r05e1<72:q6m=j514689`302:ki70h>a;305>{t:?k>6=4<{b4e=9:;0q~<9a783>6}:i9n1=8<4=d7a>6ge34l9<7?<1:p63g02908w0o?d;365>;b=o08mo52f309567e5b=9<:01h8>:2ca?8`6m3;8=6s|27c:>5<4s4k;h7?;8:?f1a<4ik16j?:51238yv41ih0;6>u2a219501<5l>86>om;;om:1808g4;3;>963j4480eg=:n921=>?4}r05ef<72:q6m>=514689`202:ki70h?c;305>{t:?ko6=4<{b5c=9:;0q~<9ad83>6}:i:91=8<4=d6g>6ge34l;m7?<1:p63ga2908w0o<3;365>;b<008mo52f029567e65=9<:01h:i:2ca?8`6:3;8=6s|27`2>5<4s4k8?7?;8:?f14<4ik16j<:51238yv41j;0;6>u2a4;9501<5o;<6>om;;l<:1808g213;>963i1880eg=:nmk1=>?4}r05f1<72:q6m87514689c7e2:ki70hkc;305>{t:?h>6=4<{b`6=9:;0q~<9b783>6}:i<31=8<4=g02>6ge34ln>7?<1:p63d02908w0o:9;365>;a::08mo52feg9567e0?=9<:01k?i:2ca?8`b<3;8=6s|27`:>5<4s4k>57?;8:?e60<4ik16jh851238yv41jh0;6>u2a6d9501<5o:<6>om;;lm:1808g0n3;>963i0880eg=:nji1=>?4}r05ff<72:q6m:h514689c6c2:ki70hle;305>{t:?ho6=4<{bfg=9:;0q~<9bd83>6}:i>l1=8<4=g2a>6ge34lo<7?<1:p63da2908w0o8f;365>;a9808mo52fe09567e2`=9<:01k?<:2ca?8`c<3;8=6s|27a2>5<4s4ku2a`79501<5on26>om;<337d<6;81v?8l3;297~;fi<0:9852fe`97dd<58:8i7?<1:p63e32908w0on5;360>;alm08mo521163>4563ty9:n;50;1x9dg228?870hj1;1bf>;68:i1=>?4}r05g3<72:q6ml;514089cc42:ki70??438274=z{;53z?be0<6=816jih53``89463<3;8=6s|27a;>5<4s4kj97?:0:?ea0<4ik16==:9:012?xu5>j31<7=t=`c6>42?34ln;7=nb:?241>=9:;0q~<9c`83>6}:ijh1=894=ga:>6ge34;;>n4>309~w70dj3:1?v3ncc8210=:njn1?ll4=021a?7492wx>;ml:1808gdj3;>863icg80eg=:998j6<=>;|q12fb=839p1lmm:070?8`dj39jn63>0229567efd=9<801kj>:2ca?877;;0:?<5rs34`b?6=;r7joo4>509>ba5=;hh01<><4;305>{t:?n;6=4<{5551289:7p}=6e394?5|5hii6<:7;651238yv41l;0;6>u2ag39501<58:8n7=nb:?246}:io;1=8;4=020b?5fj27:<4k51238yv41l=0;6>u2ag39502<58:?=7=nb:?246}:io;1=8=4=020`?5fj27:51238yv41l?0;6>u2ag39504<58:??7=nb:?24d4=9:;0q~<9d683>6}:io;1=8?4=0271?5fj27:u2ag39506<58:?;7=nb:?24d0=9:;0q~<9d883>6}:io;1=964=027=?5fj27:u2b059501<58:9h7=nb:?24=e=9:;0q~<9dc83>6}:j8=1=8;4=021b?5fj27:<5o51238yv41lj0;6>u2b059502<58:9n7=nb:?24=c=9:;0q~<9de83>6}:j8=1=8=4=0205?5fj27:<4>51238yv41ll0;6>u2b059504<58:8?7=nb:?24<4=9:;0q~<9dg83>6}:j8=1=8?4=0201?5fj27:<4:51238yv41m90;6>u2b059506<58:8;7=nb:?24<0=9:;0q~<9e083>6}:j8=1=964=020=?5fj27:<4651238yv41m;0;6?u2b3c9501<5m386>om;|q12`5=838p1o=39jn6s|27g7>5<5s4h9m7?:4:?g=2<4ik1v?8j5;296~;e:h0:9>52d8;97ddf7g=9<801i7k:2ca?xu5>l=1<743634n2j7=nb:p63c?2909w0l=a;364>;c1k08mo5rs34f=?6=:r7i>l4>499>`d7=;hh0q~<9e`83>7}:j:n1=894=02:`?5fj2wx>;km:1818d4l3;>963>08d97ddf6b=9<>01<>6b;1bf>{t:?oo6=4={<`0`?72;27:;68h?1?ll4}r05b5<72;q6n>j51428946f?39jn6s|27d2>5<5s4h8h7?;8:?24d?=;hh0q~<9f383>7}:j<:1=894=e46>6ge3ty9:k=50;0x9g3728?>70j97;1bf>{t:?l?6=4={<`64?72<27o:>4v3m518216=:l?31?ll4}r05b3<72;q6n8>514089a0e2:ki7p}=6g594?4|5k?;6<;>;;h7:1818d283;><63k6g80eg=z{;52z?a15<6<116h:=53``8yv41nh0;6?u2b719501<58:3h7=nb:p63`e2909w0l93;361>;681h1?ll4}r05bf<72;q6n;=51468946?n39jn6s|27dg>5<5s4h=?7?:3:?24<7=;hh0q~<9fd83>7}:j?91=8<4=02:7?5fj2wx>;hi:1818d1;3;>=63>08797ddf35=9<:01<>67;1bf>{t:>::6=4={<`57?73027:<4753``8yv408;0;644734h<;7?=0:?a3=<6:916n:7513289g1f288;70l8b;314>;e?j0:>=52b6f9576<5k=n6<219>f=7=9;:01o6=:003?8d?;3;9<63m858265=:j1?1=?>4=e07>6643ty9;==50;028d?>3;9<63m868265=:j121=?>4=c::>44734h3m7?=0:?ac288;70l7e;314>;e0o0:>=52b829576<5k3:6<4>219>f<2=9;:01o7::003?8d>>3;9<63m968265=:j021=?>4=c;:>44734h2m7?=0:?a=g<6:916n4m513289g?c288;70l6e;314>;e1o0:>=52b`29576<5kk:6<4>219>fd2=9;:01oo::003?8b5;39;?6s|2627>5<5s4hj:7o9;:>::1808ddl389?63md980f==:k>21?==4}r0443<72;q6nnj531189f`4289:7p}=71594?5|5kin6<6643ty9;=750;0x9geb2::870mje;305>{t:>:j6=4<{<``b?75j27ih94>2c9>fa3=:;>0q~<80c83>6}:jjl1>?=4=cf7>6d?34imj7=?3:p626d2909w0llf;137>;dmo0:?<5rs353`?6=;r7ih=4>2c9>fa3=9;h01oj9:307?xu5?9o1<7=t=cf3>74434ho97=m8:?g45<48:1v?9?f;296~;el908<>52cg29567fa7=9;h01oj9:00a?8dc?38986s|2632>5<4s4ho=7<=3:?a`3<4j116h=?53118yv409;0;6?u2be39755<5jl:6<=>;|q1345=839p1oj=:00a?8dc?3;9n63md98161=z{;=:87>53z?a`7<5::16ni953c:89a652::87p}=70794?4|5kn96>><;:?9:18g8dc;38;?63l4g815`=:k<:1>77c34io;7<>e:?``=<59m16oi7520f89fbf2;;o70mkb;02`>;dlj09=i52cdg97dd<5jln6??l;:?8:1808dc<38;?63leg80eg=:kol1>{t:>;26=4<{<`g2?47;27hj<4`57=:8i0q~<81`83>6}:jm=1>==4=bd1>6ge34n;>7<>c:p627e2908w0lk8;037>;d?109=n52cg197ddfa?=9:;01n96:220?xu5?8n1<745634i;d?h08<>5rs352b?6=:r7ihn4>309>g2e=;990q~<82183>7}:jmn1=>?4=b5f>6643ty9;??50;0x9gbb289:70m8d;137>{t:>896=4={<`gb?74927h;k4<029~w715;3:1>v3me18274=:k1;1?==4}r0461<72;q6nh?512389f>72::87p}=73794?4|5ko96<=>;:<9:1818db;3;8=63l858046=z{;=9;7>52z?aa1<6;816o5=53118yv40:10;6?u2bd79567<5j2>6>><;|q137?=838p1ok9:012?8e??39;?6s|260b>5<5s4hn;7?<1:?`<3<48:1v?9=b;296~;em10:?<52c9:9755n4?:3y>f`?=9:;01n6n:220?xu5?;n1<745634i357=?3:p624b2909w0ljb;305>;d0k08<>5rs351b?6=:r7iin4>309>g=b=;990q~<83183>7}:jln1=>?4=b:`>6643ty9;>?50;0x9gcb289:70m7e;137>{t:>996=4={<`fb?74927h5=4<029~w714;3:1>v3mf18274=:k1l1?==4}r0471<72;q6nk?512389f?62::87p}=72794?4|5kl96<=>;:=9:1818da;3;8=63l938046=z{;=8;7>52z?ab1<6;816o4:53118yv40;10;6?u2bg79567<5j3=6>><;|q136?=838p1oh9:012?8e>=39;?6s|261b>5<5s4hm;7?<1:?`=2<48:1v?9fc?=9:;01n77:220?xu5?:n1<745634i2m7=?3:p625b2909w0lib;305>;d1j08<>5rs350b?6=:r7ijn4>309>g7}:jon1=>?4=b;g>6643ty9;9?50;0x9g`b289:70m6f;137>{t:>>96=4={<`eb?74927h5h4<029~w713;3:1>v3l018274=:kh:1?==4}r0401<72;q6o=?512389fg52::87p}=75794?4|5j:96<=>;::9:1818e7;3;8=63la28046=z{;=?;7>52z?`41<6;816ol;53118yv40<10;6?u2c179567<5jk?6>><;|q131?=838p1n>9:012?8ef>39;?6s|266b>5<5s4i;;7?<1:?`e=<48:1v?9;b;296~;d810:?<52c`59755g5?=9:;01no6:220?xu5?=n1<745634ijm7=?3:p622b2909w0m?b;305>;dij08<>5rs357b?6=:r7h309>gdd=;990q~<85183>7}:k9n1=>?4=bcg>6643ty9;8?50;0x9f6b289:70mnf;137>{t:>?96=4={v3l118274=:kk:1?==4}r0411<72;q6o;:;9:1818e6;3;8=63lb28046=z{;=>;7>52z?`51<6;816oo;53118yv40=10;6?u2c079567<5jh?6>><;|q130?=838p1n?9:012?8ee>39;?6s|267b>5<5s4i:;7?<1:?`f=<48:1v?9:b;296~;d910:?<52cc59755g4?=9:;01nl6:220?xu5?45634iin7=?3:p623b2909w0m>b;305>;djh08<>5rs356b?6=:r7h=n4>309>gge=;990q~<86183>7}:k8n1=>?4=b`f>6643ty9;;?50;0x9f7b289:70mmd;137>{t:><96=4={v3l218274=:kj;1?==4}r0421<72;q6o??512389fe72::87p}=77794?4|5j896<=>;:89:1818e5;3;8=63lc58046=z{;==;7>52z?`61<6;816on=53118yv40>10;6?u2c379567<5ji>6>><;|q133?=838p1n<9:012?8ed?39;?6s|264b>5<5s4i9;7?<1:?`g3<48:1v?99b;296~;d:10:?<52cb:9755g7?=9:;01nmn:220?xu5??n1<745634ih57=?3:p620b2909w0m=b;305>;dkk08<>5rs355b?6=:r7h>n4>309>gfb=;990q~<87183>7}:k;n1=>?4=ba`>6643ty9;:?50;0x9f4b289:70mle;137>{t:>=96=4={v3l318274=:kjl1?==4}r0431<72;q6o>?512389fb62::87p}=76794?4|5j996<=>;:99:1818e4;3;8=63ld38046=z{;=<;7>52z?`71<6;816oi:53118yv40?10;6?u2c279567<5jn=6>><;|q132?=838p1n=9:012?8ec=39;?6s|265b>5<5s4i8;7?<1:?``2<48:1v?98b;297~;d;10:>o52c2f957d<5j9n6?<;;|q132e=839p1n=7:300?8e4l39i463ldb8046=z{;=52z?`7=<48:16ok:51238yv40?l0;6>u2c2;957d<5j9n6<:9i:1808e41389?63l3d80f==:kmh1?==4}r04<5<72;q6o>7531189f`2289:7p}=79394?5|5j9j6<6643ty9;5=50;0x9f5f2::870mi6;305>{t:>2?6=4<{2c9>g17=:;>0q~<88483>6}:k:h1>?=4=b63>6d?34io57=?3:p62>12909w0m;dn>0:?<5rs35;3?6=;r7h?n4>2c9>g17=9;h01n:=:220?xu5?121<7=t=b1`>74434i?=7=m8:?``=<48:1v?979;296~;d;j08<>52cg:9567g6b=:9901n:j:33`?8eck38:o63lf580eg=z{;=3n7>54z?`7`<58:16o9k520f89fbe2;;h70mi5;1bf>{t:>2h6=4;{gag=:8i01nh9:2ca?xu5?1n1<7:t=b63>76434i?i7<>f:?``<<59j16ok953``8yv400l0;69u2c539655<5j>n6?v3l4380f==:l;i1?==4}r04=5<72=q6o9=520f89f2f2;;o70m;b;02`>;am008mo5rs35:5?6=:r7h8>4=1d9>af5=;hh0q~<89383>0}:k=<1>77d34n;?7=nb:?g4f<59j16h=k520d8yv401:0;6>u2c55964b<5m:?6>om;:7;:1808e2n38:h63le480eg=:l8:1>{t:>3=6=4<{`42=:8o0q~<89683>77|5j=96>><;4>219>`f2=9;:01im::003?8bd>3;9<63kc68265=:lj21=?>4=ea:>44734nhm7?=0:?ggg<6:916hnm513289aec288;70jle;314>;cko0:>=52de29576<5mn:6<4>219>`a2=9;:01ij::003?8bc>3;9<63kd68265=:lm21=?>4=ef:>44734nom7?=0:?g`g<6:916him513289abc288;70jke;314>;clo0:>=52dd29576<5mo:6<=838:w0m83;137>;bk?0:>=52eb59576<5li36<219>afd=9;:01hml:003?8cdl3;9<63jcd8265=:mjl1=?>4=df3>44734oo=7?=0:?f`7<6:916ii=513289`b3288;70kk5;314>;bl?0:>=52ee59576<5ln36<219>aad=9;:01hjl:003?8ccl3;9<63jdd8265=:mml1=?>4=dg3>44734on=7?=0:?fa7<6:916ih=513289`c3288;70kj5;314>{t:>326=4=1z?`31<48:16j56513289c>>288;70h7a;314>;a0k0:>=52f9a9576<5o2o6<219>b<6=9;:01k7>:003?8`>:3;9<63i928265=:n0>1=?>4=g;6>44734l2:7?=0:?e=2<6:916j46513289c?>288;70h6a;314>;a1k0:>=52f8a9576<5o3o6<219>bd6=9;:01ko>:003?8`f:3;9<63ia28265=:nh>1=?>4=gc6>44734lj:7?=0:?ee2<6:91v?96a;2964}:k>?1?==4=ggb>44734lnn7?=0:?eaf<6:916jhj513289ccb288;70hjf;314>;an90:>=52fg39576<5ol96<219>bc3=9;:01kh9:003?8`a?3;9<63if98265=:no31=?>4=gdb>44734lmn7?=0:?ebf<6:916jkj513289c`b288;70hif;314>;689:1=?>4=0235?75827:<=<513289467;3;9<63>0169576<58:;97?=0:?2450=9;:01<>?7;314>;68921=?>4=023=?7582wx>:7m:1815~;d??08<>52116b>44734;;8o4>219>552d288;70??4e8265=:99>n6<=521171>44734;;9>4>219>5533288;70??548265=:99?=6<=52117b>44734;;9o4>219>553d288;70??5e8265=:99?n6<80:>=521141>44734;;:>4>219>5503288;70??648265=:99<=6<00:>=5rs35:g?6=>8q6o:9531189`05288;70k93;314>;b>=0:>=52e779576<5l<=6<219>a3?=9;:01h8n:003?8c1j3;9<63j6b8265=:m?n1=?>4=d4f>44734o=j7?=0:?f35<6:916i:?513289`15288;70k83;314>;b?=0:>=52e679576<5l==6<219>a2?=9;:01h9n:003?8c0j3;9<63j7b8265=:m>n1=?>4=d5f>44734o5288;70k73;314>;b0=0:>=52e979576<5l2=6<219>a=?=9;:01h6n:003?8c?j3;9<63j8b8265=:m1n1=?>4=d:f>44734o3j7?=0:?f=5<6:916i4?513289`?5288;70k63;314>;b1=0:>=52e879576<5l3=6<219>aj3;9<63j9b8265=:m0n1=?>4=d;f>44734o2j7?=0:?fe5<6:916il?513289`g5288;70kn3;314>;bi=0:>=52e`79576<5lk=6<219>ad?=9;:01hon:003?8cfj3;9<63jab8265=:mhn1=?>4=dcf>44734ojj7?=0:?ff5<6:916io?513289`d5288;70km3;314>;bj=0:>=52ec79576<5lh=6<219>ag?=9;:01hln:003?8cej3;9<63jbb8265=:mkn1=?>4=d`f>44734oij7?=0:?fg5<6:916in?51328yv401m0;6?u2c6;964c<5l>:6>om;|q135<5s4ie:?f7g<4ik1v?9n0;296~;d?j09=h52e2f97ddg2b=:8o01h=8:2ca?xu5?h81<777b34o857=nb:p62g42909w0m8f;02a>;b;<08mo5rs35b0?6=:r7h4=4=1d9>a7b=;hh0q~<8a483>7}:k1;1>6ge3ty9;l850;0x9f>52;;n70k<1;1bf>{t:>k<6=4={o4v3l85815`=:m;l1?ll4}r04e<<72;q6o5;520g89`4>2:ki7p}=7`c94?4|5j2=6??j;:om:1818e??38:i63j2680eg=z{;=jo7>52z?`<=<59l16iom;|q13dc=838p1no6:33f?8c5939jn6s|26ce>5<5s4ijm7<>e:?f5a<4ik1v?9m0;296~;dik09=h52e0;97ddgde=:8o01h?m:2ca?xu5?k81<777b34o:;7=nb:p62d42909w0mne;02a>;b9<08mo5rs35a0?6=:r7hmk4=1d9>a47=;hh0q~<8b483>7}:kk:1>6ge3ty9;o850;0x9fd62;;n70k?d;1bf>{t:>h<6=4={v3lb2815`=:m9h1?ll4}r04f<<72;q6oo:520g89`642:ki7p}=7cc94?4|5jh>6??j;:lm:1818ee>38:i63j0680eg=z{;=io7>52z?`f=<59l16i=;53``8yv40jm0;6?u2ceg9567<5jnm6>><;|q13gc=838p1nk::012?8b6839;?6s|26`e>5<5s4ino7?<1:?g51<48:1v?9l0;296~;c8:0:?<52d1a9755`52=9:;01i?>:220?xu5?j81<745634n;h7=?3:p62e42908w0j?5;1bf>;c8m09=i52d1d964c`50=9:;01i>j:220?xu5?j?1<745634n;j7=?3:p62e12909w0j?8;305>;c9;08<>5rs35`3?6=:r7o<44>309>`45=;990q~<8c983>77|5m;26>><;219>a`>=9;:01hk6:003?8cbi3;9<63jec8265=:mli1=?>4=dgg>44734oni7?=0:?fac<6:916ik>513289``6288;70ki2;314>;bn:0:>=52eg69576<5ll>6<219>ac>=9;:01hh6:003?8cai3;9<63jfc8265=:moi1=?>4=ddg>44734omi7?=0:?fbc<6:916j=>513289c66288;70h?2;314>;a8:0:>=52f169576<5o:>6<a;137>;a8?0:>=52f159576<5o:36<219>b5d=9;:01k>l:003?8`7l3;9<63i0d8265=:n9l1=?>4=g33>44734l:=7?=0:?e57<6:916j<=513289c73288;70h>5;314>;a9?0:>=52f059576<5o;36<219>b4d=9;:01k?l:003?8`6l3;9<63i1d8265=:n8l1=?>4=g03>44734l9=7?=0:?e67<6:916j?=513289c43288;70h=5;314>{t:>ij6=4=1z?g5g<48:16jl6513289cg>288;70hna;314>;aik0:>=52f`a9576<5oko6<219>bg6=9;:01kl>:003?8`e:3;9<63ib28265=:nk>1=?>4=g`6>44734li:7?=0:?ef2<6:916jo6513289cd>288;70hma;314>;ajk0:>=52fca9576<5oho6<219>bf6=9;:01km>:003?8`d:3;9<63ic28265=:nj>1=?>4=ga6>44734lh:7?=0:?eg2<6:91v?9lb;2964}:l8i1?==4=ga;>44734lh57?=0:?egd<6:916jnl513289ced288;70hld;314>;akl0:>=52fbd9576<5on;6<219>ba5=9;:01kj;:003?8`c=3;9<63id78265=:nm=1=?>4=gf;>44734lo57?=0:?e`d<6:916jil513289cbd288;70hkd;314>;all0:>=52fed9576<5oo;6<219>b`5=9;:01kk;:003?8`b=3;9<63ie78265=:nl=1=?>4}r04gf<72;;p1i?k:220?8778h0:>=52112a>44734;;219>556c288;70??0d8265=:99:m6<:003?8779;0:>=521130>44734;;=94>219>5572288;70??178265=:99;<6<=52113a>44734;;=n4>219>557c288;70??1d8265=:99;m6<:003?877:;0:>=521100>44734;;>94>219>5542288;70??278265=:998<6<{03a9576<58:9h7?=0:?247c=9;:01<>=f;314>;68::1=?>4=0205?75827:<><513289464;3;9<63>0269576<58:897?=0:?2460=9;:01<><7;314>;68:21=?>4=020=?75827:<>o513289464j3;9<63>02a9576<58:8h7?=0:?246c=9;:01<>;68=:1=?>4=0275?75827:<9<513289463;3;9<63>0569576<58:?97?=0:?2410=9;:01<>;7;314>;68=21=?>4=027=?7582wx>:mj:1815~;c9o08<>52114b>44734;;:o4>219>550d288;70??6e8265=:99=521151>44734;;;>4>219>5513288;70??748265=:99==6<=52115b>44734;;;o4>219>551d288;70??7e8265=:99=n6<=5211:1>44734;;4>4>219>55>3288;70??848265=:992=6<=5rs35`b?6=:8q6h?>53118946?i3;9<63>09`9576<58:3o7?=0:?24=b=9;:01<>7e;314>;681l1=?>4=02:4?75827:<4?51328946>:3;9<63>0819576<58:287?=0:?24<3=9;:01<>66;314>;680=1=?>4=02:i3;9<63>08`9576<58:2o7?=0:?246e;314>;680l1=?>4=02b4?75827:0`19576<58:j87?=0:?24d3=9;:01<>n6;314>;68h=1=?>4=02b66434nn>7?=0:?ga6<6:916hh:513289ac2288;70jj6;314>;cm>0:>=52dd:9576<5mo26<219>``e=9;:01ikk:003?8bbm3;9<63keg8265=:lo:1=?>4=ed2>44734nm>7?=0:?gb6<6:916hk:513289a`2288;70ji6;314>;cn>0:>=52dg:9576<5ml26<219>`ce=9;:01ihk:003?8bam3;9<63kfg8265=:m9:1=?>4=d22>4473ty9;i?50;028b5:39;?63j438265=:m=91=?>4=d67>44734o?97?=0:?f03<6:916i99513289`2?288;70k;9;314>;b=52e5`9576<5l>h6<219>a1`=9;:01h;?:003?8c293;9<63j538265=:m<91=?>4=d77>44734o>97?=0:?f13<6:916i89513289`3?288;70k:9;314>;b=h0:>=52e4`9576<5l?h6<219>a0`=9;:01h8?:003?8c193;9<6s|26f1>5<5s4n8>7=nb:?g76<6;81v?9k3;296~;c;=08mo52d279567`60=;hh01i=8:012?xu5?m?1<76ge34n857?<1:p62b12909w0j;c;k0:?<5rs35g3?6=:r7o?n4`6b=9:;0q~<8d983>7}:l:o1?ll4=e1e>4563ty9;i750;0x9a272:ki70j;1;305>{t:>nj6=4={4>309~w71cj3:1>v3k4580eg=:l=?1=>?4}r04`f<72;q6h9853``89a20289:7p}=7ef94?4|5m>36>om;:jj:1818b3i39jn63k4c8274=z{;=oj7>52z?g0f<4ik16h9j51238yv40m90;6?u2d5g97dd<5m>m6<=>;|q13`7=838p1i;?:2ca?8b293;8=6s|26g1>5<5s4n>>7=nb:?g16<6;81v?9j3;296~;c==08mo52d479567`00=;hh01i;8:012?xu5?l?1<76ge34n>57?<1:p62c12909w0j:a;1bf>;c=k0:?<5rs35f3?6=:r7o9n4`0b=9:;0q~<8e983>7}:l4563ty9;h750;0x9a072:ki70j91;305>{t:>oj6=4={4>309~w71bj3:1>v3k6580eg=:l??1=>?4}r04af<72;q6h;853``89a00289:7p}=7df94?4|5m<36>om;:kj:1818b1i39jn63k6c8274=z{;=nj7>52z?g2f<4ik16h;j51238yv40n90;6?u2d7g97dd<5m;|q13c7=838p1i9?:2ca?8b093;8=6s|26d1>5<5s4n<>7=nb:?g36<6;81v?9i3;296~;c?=08mo52d679567`20=;hh01i98:012?xu5?o?1<76ge34n<57?<1:p62`12909w0j8a;1bf>;c?k0:?<5rs35e3?6=:r7o;n4`2b=9:;0q~<8f983>7}:l>o1?ll4=e5e>4563ty9;k750;0x9a>72:ki70j71;305>{t:>lj6=4={4>309~w71aj3:1>v3k8580eg=:l1?1=>?4}r04bf<72;q6h5853``89a>0289:7p}=7gf94?4|5m236>om;:hj:1818b?i39jn63k8c8274=z{;=mj7>52z?g;|q1<57=838p1i7?:2ca?8b>93;8=6s|2921>5<5s4n2>7=nb:?g=6<6;81v?6?3;296~;c1=08mo52d879567`<0=;hh01i78:012?xu509?1<76ge34n257?<1:p6=612909w0j6a;1bf>;c1k0:?<5rs3:33?6=:r7o5n4`7}:l0o1?ll4=e;e>4563ty94=750;0x9ag72:ki70jn1;305>{t:1:j6=4={4>309~w7>7j3:1>v3ka580eg=:lh?1=>?4}r0;4f<72;q6hl853``89ag0289:7p}=81f94?4|5mk36>om;5>j:1818bfi39jn63kac8274=z{;2;j7>52z?gef<4ik16hlj51238yv4?990;6?u2d`g97dd<5mkm6<=>;|q1<47=838p1il?:2ca?8be93;8=6s|2931>5<5s4ni>7=nb:?gf6<6;81v?6>3;296~;cj=08mo52dc79567`g0=;hh01il8:012?xu508?1<76ge34ni57?<1:p6=712909w0jma;1bf>;cjk0:?<5rs3:23?6=:r7onn4`gb=9:;0q~<71983>7}:lko1?ll4=e`e>4563ty94<750;0x9ae72:ki70jl1;305>{t:1;j6=4={4>309~w7>6j3:1>v3kc580eg=:lj?1=>?4}r0;5f<72;q6hn853``89ae0289:7p}=80f94?4|5mi36>om;5?j:1818bdi39jn63kcc8274=z{;2:j7>52z?ggf<4ik16hnj51238yv4?:90;6?u2dbg97dd<5mim6<=>;|q1<77=838p1ij?:2ca?8bc93;8=6s|2901>5<5s4no>7=nb:?g`6<6;81v?6=3;296~;cl=08mo52de7956794?:3y>`a0=;hh01ij8:012?xu50;?1<76ge34no57?<1:p6=412909w0jka;1bf>;clk0:?<5rs3:13?6=:r7ohn4`ab=9:;0q~<72983>7}:lmo1?ll4=efe>4563ty94?750;0x9ac72:ki70jj1;305>{t:18j6=4={4>309~w7>5j3:1>v3ke580eg=:ll?1=>?4}r0;6f<72;q6hh853``89ac0289:7p}=83f94?4|5mo36>om;552z?gaf<4ik16hhj51238yv4?;90;6?u2ddg97dd<5mom6<=>;|q1<67=838p1ih?:2ca?8ba93;8=6s|2911>5<5s4nm>7=nb:?gb6<6;81v?6<3;296~;cn=08mo52dg79567`c0=;hh01ih8:012?xu50:?1<76ge34nm57?<1:p6=512909w0jia;1bf>;cnk0:?<5rs3:03?6=:r7ojn4`cb=9:;0q~<73983>7}:loo1?ll4=ede>4563ty94>750;0x9`672:ki70k?1;305>{t:19j6=4={4>309~w7>4j3:1>v3j0580eg=:m9?1=>?4}r0;7f<72;q6i=853``89`60289:7p}=82f94?4|5l:36>om;5=j:1818c7i39jn63j0c8274=z{;28j7>52z?f4f<4ik16i=j51238yv4?<90;6?u2e1g97dd<5l:m6<=>;|q1<17=838p1h??:2ca?8c693;8=6s|2961>5<5s4o:>7=nb:?f56<6;81v?6;3;296~;b9=08mo52e079567a40=;hh01h?8:012?xu50=?1<76ge34o:57?<1:p6=212909w0k>a;1bf>;b9k0:?<5rs3:73?6=:r7n=n4a4b=9:;0q~<74983>7}:m8o1?ll4=d3e>4563ty949750;0x9`472:ki70k=1;305>{t:1>j6=4={>4>309~w7>3j3:1>v3j2580eg=:m;?1=>?4}r0;0f<72;q6i?853``89`40289:7p}=85f94?4|5l836>om;5:j:1818c5i39jn63j2c8274=z{;2?j7>52z?f6f<4ik16i?j51238yv4?=90;6?u2e3g97dd<5l8m6<=>;|q1<07=838p1h=?:2ca?8c493;8=6s|2971>5<5s4o8>7=nb:?f76<6;81v?6:3;296~;b;=08mo52e279567a60=;hh01h=8:012?xu506ge34o857?<1:p6=312909w0k;b;k0:?<5rs3:63?6=:r7n?n4a6b=9:;0q~<75983>7}:m:o1?ll4=d1e>4563ty948750;0x9`272:ki70k;1;305>{t:1?j6=4={4>309~w7>2j3:1>v3j4580eg=:m=?1=>?4}r0;1f<72;q6i9853``89`20289:7p}=84f94?4|5l>36>om;5;j:1818c3i39jn63j4c8274=z{;2>j7>52z?f0f<4ik16i9j51238yv4?>90;6?u2e5g97dd<5l>m6<=>;|q1<37=838p1h;?:2ca?8c293;8=6s|2941>5<5s4o>>7=nb:?f16<6;81v?693;296~;b==08mo52e479567a00=;hh01h;8:012?xu50??1<76ge34o>57?<1:p6=012909w0k:a;1bf>;b=k0:?<5rs3:53?6=:r7n9n4a0b=9:;0q~<76983>7}:m4563ty94;750;0x9`072:ki70k91;305>{t:14>309~w7>1j3:1>v3j6280eg=:n;k1=>?4}r0;2f<72;q6i;:53``89`02289:7p}=87f94?4|5l<>6>om;58j:1818c1>39jn63j668274=z{;2=j7>52z?f22<4ik16j?851238yv4??90;6?u2e7:97dd<5l<26<=>;|q1<27=838p1h86:2ca?8`5k3;8=6s|2951>5<5s4o=m7=nb:?f2g<6;81v?683;296~;b>k08mo52f3g9567a3e=;hh01h8k:012?xu50>?1<76ge34l8<7?<1:p6=112909w0k9e;1bf>;b>o0:?<5rs3:43?6=:r7n:k4b6>=9:;0q~<77983>7}:m>:1?ll4=d52>4563ty94:750;0x9`162:ki70h<2;305>{t:1=j6=4={4>309~w7>0j3:1>v3j7280eg=:n:<1=>?4}r0;3f<72;q6i::53``89`12289:7p}=86f94?4|5l=>6>om;59j:1818c0>39jn63j768274=z{;252z?f32<4ik16j>o51238yv4?090;6?u2e6:97dd<5l=26<=>;|q1<=7=838p1h96:2ca?8`4k3;8=6s|29:1>5<5s4oa2e=;hh01h9k:012?xu501?1<76ge34l?:7?<1:p6=>12909w0k8e;1bf>;b?o0:?<5rs3:;3?6=:r7n;k4b16=9:;0q~<78983>7}:m1:1?ll4=d:2>4563ty945750;0x9`>62:ki70h;4;305>{t:12j6=4={4>309~w7>?j3:1>v3j8280eg=:n=81=>?4}r0;2289:7p}=89f94?4|5l2>6>om;56j:1818c?>39jn63j868274=z{;23j7>52z?f<2<4ik16j9o51238yv4?190;6?u2e9:97dd<5l226<=>;|q1<<7=838p1h66:2ca?8`3k3;8=6s|29;1>5<5s4o3m7=nb:?fa=e=;hh01h6k:012?xu500?1<76ge34l?i7?<1:p6=?12909w0k7e;1bf>;b0o0:?<5rs3::3?6=:r7n4k4b04=9:;0q~<79983>7}:m0:1?ll4=d;2>4563ty944750;0x9`?62:ki70h:0;305>{t:13j6=4={4>309~w7>>j3:1>v3j9280eg=:n<<1=>?4}r0;=f<72;q6i4:53``89`?2289:7p}=88f94?4|5l3>6>om;57j:1818c>>39jn63j968274=z{;22j7>52z?f=2<4ik16j8o51238yv4?i90;6?u2e8:97dd<5l326<=>;|q15<5s4o2m7=nb:?f=g<6;81v?6n3;296~;b1k08mo52f4a9567a6ge34l=<7?<1:p6=g12909w0k6e;1bf>;b1o0:?<5rs3:b3?6=:r7n5k4b0c=9:;0q~<7a983>7}:mh:1?ll4=dc2>4563ty94l750;0x9`g62:ki70h94;305>{t:1kj6=4={4>309~w7>fj3:1>v3ja280eg=:n?<1=>?4}r0;ef<72;q6il:53``89`g2289:7p}=8`f94?4|5lk>6>om;5oj:1818cf>39jn63ja68274=z{;2jj7>52z?fe2<4ik16j:>51238yv4?j90;6?u2e`:97dd<5lk26<=>;|q15<5s4ojm7=nb:?feg<6;81v?6m3;296~;bik08mo52f7g9567ade=;hh01hok:012?xu50k?1<76ge34l=o7?<1:p6=d12909w0kne;1bf>;bio0:?<5rs3:a3?6=:r7nmk4b24=9:;0q~<7b983>7}:mk:1?ll4=d`2>4563ty94o750;0x9`d62:ki70h84;305>{t:1hj6=4={4>309~w7>ej3:1>v3jb280eg=:n><1=>?4}r0;ff<72;q6io:53``89`d2289:7p}=8cf94?4|5lh>6>om;5lj:1818ce>39jn63jb68274=z{;2ij7>52z?ff2<4ik16j:651238yv4?k90;6?u2ec:97dd<5lh26<=>;|q15<5s4oim7=nb:?ffg<6;81v?6l3;296~;bjk08mo52f6c9567age=;hh01hlk:012?xu50j?1<76ge34l3>7?<1:p6=e12909w0kme;1bf>;bjo0:?<5rs3:`3?6=:r7nnk4b=2=9:;0q~<7c983>7}:mj:1?ll4=da2>4563ty94n750;0x9`e62:ki70h70;305>{t:1ij6=4={4>309~w7>dj3:1>v3jc580eg=:mj?1=>?4}r0;gf<72;q6in853``89`e0289:7p}=8bf94?4|5li36>om;5mj:1818cdi39jn63jcc8274=z{;2hj7>52z?fgf<4ik16inj51238yv4?l90;6?u2ebg97dd<5lim6<=>;|q15<5s4oo>7=nb:?f`6<6;81v?6k3;296~;bl=08mo52ee79567aa0=;hh01hj8:012?xu50m?1<76ge34oo57?<1:p6=b12909w0kka;1bf>;blk0:?<5rs3:g3?6=:r7nhn4aab=9:;0q~<7d983>7}:mmo1?ll4=dfe>4563ty94i750;0x9`c72:ki70kj1;305>{t:1nj6=4={4>309~w7>cj3:1>v3je580eg=:ml?1=>?4}r0;`f<72;q6ih853``89`c0289:7p}=8ef94?4|5lo36>om;5jj:1818cbi39jn63jec8274=z{;2oj7>52z?faf<4ik16ihj51238yv4?m90;6?u2edg97dd<5lom6<=>;|q1<`7=838p1hh?:2ca?8ca93;8=6s|29g1>5<5s4om>7=nb:?fb6<6;81v?6j3;296~;bn=08mo52eg79567ac0=;hh01hh8:012?xu50l?1<76ge34om57?<1:p6=c12909w0kia;1bf>;bnk0:?<5rs3:f3?6=:r7njn4acb=9:;0q~<7e983>7}:moo1?ll4=dde>4563ty94h750;0x9c672:ki70h?1;305>{t:1oj6=4={4>309~w7>bj3:1>v3i0580eg=:n9?1=>?4}r0;af<72;q6j=853``89c60289:7p}=8df94?4|5o:36>om;5kj:1818`7i39jn63i0c8274=z{;2nj7>52z?e4f<4ik16j=j51238yv4?n90;6?u2f1g97dd<5o:m6<=>;|q15<5s4l:>7=nb:?e56<6;81v?6i3;296~;a9=08mo52f079567b40=;hh01k?8:012?xu50o?1<76ge34l:57?<1:p6=`12909w0h>a;1bf>;a9k0:?<5rs3:e3?6=:r7m=n4b4b=9:;0q~<7f983>7}:n8o1?ll4=g3e>4563ty94k750;0x9c472:ki70h=1;305>{t:1lj6=4={>4>309~w7>aj3:1>v3i2580eg=:n;?1=>?4}r0;bf<72;q6j?853``89c40289:7p}=8gf94?4|5o836>om;5hj:1818`5i39jn63i2c8274=z{;2mj7>52z?e6f<4ik16j?j51238yv4>890;6?u2f3g97dd<5o8m6<=>;|q1=57=838p1k=?:2ca?8`493;8=6s|2821>5<5s4l8>7=nb:?e76<6;81v?7?3;296~;a;=08mo52f279567b60=;hh01k=8:012?xu519?1<76ge34l857?<1:p6<612909w0h;a;k0:?<5rs3;33?6=:r7m?n4b6b=9:;0q~<60983>7}:n:o1?ll4=g1e>4563ty95=750;0x9c272:ki70h;1;305>{t:0:j6=4={4>309~w7?7j3:1>v3i4580eg=:n=?1=>?4}r0:4f<72;q6j9853``89c20289:7p}=91f94?4|5o>36>om;4>j:1818`3i39jn63i4c8274=z{;3;j7>52z?e0f<4ik16j9j51238yv4>990;6?u2f5g97dd<5o>m6<=>;|q1=47=838p1k;?:2ca?8`293;8=6s|2831>5<5s4l>>7=nb:?e16<6;81v?7>3;296~;a==08mo52f479567b00=;hh01k;8:012?xu518?1<76ge34l>57?<1:p6<712909w0h:a;1bf>;a=k0:?<5rs3;23?6=:r7m9n4b0b=9:;0q~<61983>7}:n4563ty95<750;0x9c072:ki70h91;305>{t:0;j6=4={4>309~w7?6j3:1>v3i6580eg=:n??1=>?4}r0:5f<72;q6j;853``89c00289:7p}=90f94?4|5o<36>om;4?j:1818`1i39jn63i6c8274=z{;3:j7>52z?e2f<4ik16j;j51238yv4>:90;6?u2f7g97dd<5o;|q1=77=838p1k9?:2ca?8`093;8=6s|2801>5<5s4l<>7=nb:?e36<6;81v?7=3;296~;a?=08mo52f67956794?:3y>b20=;hh01k98:012?xu51;?1<76ge34l<57?<1:p6<412909w0h8a;1bf>;a?k0:?<5rs3;13?6=:r7m;n4b2b=9:;0q~<62983>7}:n>o1?ll4=g5e>4563ty95?750;0x9c>72:ki70h71;305>{t:08j6=4={4>309~w7?5j3:1>v3i8580eg=:n1?1=>?4}r0:6f<72;q6j5853``89c>0289:7p}=93f94?4|5o236>om;452z?e;90;6?u2f9g97dd<5o2m6<=>;|q1=67=838p1k7?:2ca?8`>93;8=6s|2811>5<5s4l2>7=nb:?e=6<6;81v?7<3;296~;a1=08mo52f879567b<0=;hh01k78:012?xu51:?1<76ge34l257?<1:p6<512909w0h6a;1bf>;a1k0:?<5rs3;03?6=:r7m5n4b7}:n0o1?ll4=g;e>4563ty95>750;0x9cg72:ki70hn1;305>{t:09j6=4={4>309~w7?4j3:1>v3ia580eg=:nh?1=>?4}r0:7f<72;q6jl853``89cg0289:7p}=92f94?4|5ok36>om;4=j:1818`fi39jn63iac8274=z{;38j7>52z?eef<4ik16jlj51238yv4><90;6?u2f`g97dd<5okm6<=>;|q1=17=838p1kl?:2ca?8`e93;8=6s|2861>5<5s4li>7=nb:?ef6<6;81v?7;3;296~;aj=08mo52fc79567bg0=;hh01kl8:012?xu51=?1<76ge34li57?<1:p6<212909w0hma;1bf>;ajk0:?<5rs3;73?6=:r7mnn4bgb=9:;0q~<64983>7}:nko1?ll4=g`e>4563ty959750;0x9ce72:ki70hl1;305>{t:0>j6=4={4>309~w7?3j3:1>v3ic580eg=:nj?1=>?4}r0:0f<72;q6jn853``89ce0289:7p}=95f94?4|5oi36>om;4:j:1818`di39jn63icc8274=z{;3?j7>52z?egf<4ik16jnj51238yv4>=90;6?u2fbg97dd<5oim6<=>;|q1=07=838p1kj?:2ca?8`c93;8=6s|2871>5<5s4lo>7=nb:?e`6<6;81v?7:3;296~;al=08mo52fe79567ba0=;hh01kj8:012?xu516ge34lo57?<1:p6<312909w0hka;1bf>;alk0:?<5rs3;63?6=:r7mhn4bab=9:;0q~<65983>7}:nmo1?ll4=gfe>4563ty958750;0x9cc72:ki70hj1;305>{t:0?j6=4={4>309~w7?2j3:1>v3ie580eg=:nl?1=>?4}r0:1f<72;q6jh853``89cc0289:7p}=94f94?4|5oo36>om;4;j:1818`bi39jn63iec8274=z{;3>j7>52z?eaf<4ik16jhj51238yv4>>90;6?u2fdg97dd<5oom6<=>;|q1=37=838p1kh?:2ca?8`a93;8=6s|2841>5<5s4lm>7=nb:?eb6<6;81v?793;296~;an=08mo52fg79567bc0=;hh01kh8:012?xu51??1<76ge34lm57?<1:p6<012909w0hia;1bf>;ank0:?<5rs3;53?6=:r7mjn4bcb=9:;0q~<66983>7}:noo1?ll4=gde>4563ty95;750;0x9467839jn63>013956755652:ki70??028274=z{;3=n7>52z?2452=;hh01<>?5;305>{t:08:012?xu51?n1<7>l0;6?u2112b>6ge34;;309~w7?1n3:1>v3>01a97dd<58:;h7?<1:p6<172909w0??0d80eg=:99:m6<=>;|q1=27=838p1<>>0;1bf>;688;1=>?4}r0:37<72;q6==?=:2ca?8779:0:?<5rs3;47?6=:r7:<<:53``89466=3;8=6s|2857>5<5s4;;=;45570289:7p}=96794?4|58::47=nb:?244?=9:;0q~<67783>7}:99;j6>om;<335g<6;81v?787;296~;688i1?ll4=022`?7492wx>497:1818779l08mo52113e>4563ty95:750;0x9465839jn63>033956755452:ki70??228274=z{;352z?2472=;hh01<>=5;305>{t:0=h6=4={<3363<4ik16==<8:012?xu51>n1<7?l0;6?u2110b>6ge34;;>o4>309~w7?0n3:1>v3>03a97dd<58:9h7?<1:p6<>72909w0??2d80eg=:998m6<=>;|q1==7=838p1<><0;1bf>;68:;1=>?4}r0:<7<72;q6====:2ca?877;:0:?<5rs3;;7?6=:r7:<>:53``89464=3;8=6s|28:7>5<5s4;;?;45550289:7p}=99794?4|58:847=nb:?246?=9:;0q~<68783>7}:999j6>om;<337g<6;81v?777;296~;68:i1?ll4=020`?7492wx>467:181877;l08mo52111e>4563ty955750;0x9463839jn63>053956755252:ki70??428274=z{;33n7>52z?2412=;hh01<>;5;305>{t:02h6=4={<3303<4ik16==:8:012?xu511n1<70l0;6?u2116b>6ge34;;8o4>309~w7??n3:1>v3>05a97dd<58:?h7?<1:p6m6<=>;|q1=<7=838p1<>:0;1bf>;68<;1=>?4}r0:=7<72;q6==;=:2ca?877=:0:?<5rs3;:7?6=:r7:<8:53``89462=3;8=6s|28;7>5<5s4;;9;45530289:7p}=98794?4|58:>47=nb:?240?=9:;0q~<69783>7}:99?j6>om;<331g<6;81v?767;296~;68477:181877=l08mo52117e>4563ty954750;0x9461839jn63>073956755052:ki70??628274=z{;32n7>52z?2432=;hh01<>95;305>{t:03h6=4={<3323<4ik16==88:012?xu510n1<71l0;6?u2114b>6ge34;;:o4>309~w7?>n3:1>v3>07a97dd<58:=h7?<1:p6;|q1=d7=838p1<>80;1bf>;68>;1=>?4}r0:e7<72;q6==9=:2ca?877?:0:?<5rs3;b7?6=:r7:<::53``89460=3;8=6s|28c7>5<5s4;;;;45510289:7p}=9`794?4|58:<47=nb:?242?=9:;0q~<6a783>7}:99=j6>om;<333g<6;81v?7n7;296~;68>i1?ll4=024`?7492wx>4o7:181877?l08mo52115e>4563ty95l750;0x946?839jn63>093956755>52:ki70??828274=z{;3jn7>52z?24=2=;hh01<>75;305>{t:0kh6=4={<33<3<4ik16==68:012?xu51hn1<7il0;6?u211:b>6ge34;;4o4>309~w7?fn3:1>v3>09a97dd<58:3h7?<1:p6;|q1=g7=838p1<>60;1bf>;680;1=>?4}r0:f7<72;q6==7=:2ca?8771:0:?<5rs3;a7?6=:r7:<4:53``8946>=3;8=6s|28`7>5<5s4;;5;455?0289:7p}=9c794?4|58:247=nb:?247}:993j6>om;<33=g<6;81v?7m7;296~;680i1?ll4=02:`?7492wx>4l7:1818771l08mo5211;e>4563ty95o750;0x946f839jn63>0`3956755g52:ki70??a28274=z{;3in7>52z?24d2=;hh01<>n5;305>{t:0hh6=4={<33e3<4ik16==o8:012?xu51kn1<7;4m10:n?h4$2a1>71f3ty:0`d8 6e52;3i7p}>0`c94?4|V8:jm63m=:3;f?xu68m=1<7;4m10:7c23ty:0e:8 6e52;ln7p}>0b`94?4|V8:i563m8:?0a=<68k20(>m=:374?xu68j21<7;4m10:73?3ty:278i54>0c48 6e52;?27p}>0b494?4|V8:i963m4:?0a=<68k>0(>m=:37a?xu68j>1<7;4m10:73d3ty:0c08 6e52;?o7p}>0e694?4|V8:h=63l0:?0a=<68j:0(>m=:347?xu68m81<7;4m10:70a3ty:0cg8 6e52;=i7p}>0e294?4|V8:ih63mc:?0a=<68ki0(>m=:3;2?xu68jo1<7;4m10:7?23ty:0cc8 6e52;3=7p}>0ba94?4|V8:i=63m0:?0a=<68k:0(>m=:3;;?xu6j;o1<7;4m10:n?k4$2a1>7?>3ty:n?j50;0xZ4d5l278i54>b3f8 6e52;3j7p}>0e;94?4|V8:o563m=:37e?xu69j21<7;4m10:==<4$2a1>7073ty:=n950;0xZ4779278i54>1138 6e52;<:7p}>1b494?4|V8;;<63ie:?0a=<68oo0(>m=:340?xu69j91<7;4m10:7023ty:=n<50;0xZ46ak278i54>0ga8 6e52;<=7p}>1b394?4|V8:mn63ia:?0a=<68ok0(>m=:34;?xu69kl1<7;4m10:70>3ty:=ok50;0xZ46a0278i54>0g:8 6e52;1cf94?4|V8:m;63i6:?0a=<68o<0(>m=:34`?xu69kh1<7;4m10:70c3ty:=i<50;0xZ477i278i54>11c8 6e52;1e394?4|V8;;563m=:352?xu69jl1<7;4m10:==94$2a1>7153ty:=nk50;0xZ477>278i54>1148 6e52;=87p}>1bf94?4|V8;;9630(>m=:356?xu69jh1<7;4m10:7113ty:=n;50;0xZ46a<278i54>0g68 6e52;=<7p}>1cc94?4|V8:m?63m=:35:?xu69o31<7;4m10:=h<4$2a1>71d3ty:=k650;0xZ47b9278i54>1d38 6e52;=o7p}>1g594?4|V8;n<63m=:35e?xu69o>1<7;4m10:=ij4$2a1>7>73ty:=k=50;0xZ47ck278i54>1ea8 6e52;2:7p}>1g094?4|V8;on63m=:3:0?xu69o:1<7;4m10:=i74$2a1>7>33ty:=hh50;0xZ47c0278i54>1e:8 6e52;2>7p}>1dg94?4|V8;o;63m=:3:;?xu69li1<7;4m10:=i;4$2a1>7>>3ty:>==50;0xZ47bi278i54>1dc8 6e52;2j7p}>21094?4|V8;n563m=:3:`?xu6:9:1<7;4m10:=h94$2a1>7>c3ty:=kh50;0xZ47b>278i54>1d48 6e52;2n7p}>1gg94?4|V8;n9630(>m=:3;3?xu69oi1<7;4m10:=ih4$2a1>7?53ty:=k850;0xZ47c<278i54>1e68 6e52;387p}>1d`94?4|V8;o?63m=:3;e?xu6<131<7;4m10:?=l4$2a1>7g73ty:85650;0xZ457i278i54>31c8 6e52;k:7p}>49594?4|V89;563m=:3c0?xu6<1?1<7;4m10:?=94$2a1>7g33ty:85:50;0xZ457>278i54>3148 6e52;k>7p}>49094?4|V89;863m=:3c4?xu6<1:1<7;4m10:?=<4$2a1>7g?3ty:8:h50;0xZ4579278i54>3138 6e52;k27p}>46g94?4|V89;<63m=:3ca?xu6<>i1<7;4m10:>kk4$2a1>7gd3ty:8:l50;0xZ44al278i54>2gf8 6e52;ko7p}>46c94?4|V88mo63m=:3ce?xu6<>=1<7;4m10:>k74$2a1>7d73ty:8:850;0xZ44a0278i54>2g:8 6e52;h:7p}>46794?4|V88m;63m=:3`0?xu6<>91<7;4m10:>k;4$2a1>7d33ty:8:<50;0xZ44a<278i54>2g68 6e52;h>7p}>46394?4|V88m?63m=:3`4?xu6;4m10:>k?4$2a1>7d?3ty:8;k50;0xZ44a8278i54>2g28 6e52;h27p}>47a94?4|V88ni63m=:3`a?xu6;4m10:>hm4$2a1>7dd3ty:8;750;0xZ44bj278i54>2d`8 6e52;ho7p}>47:94?4|V88nm63m=:3`e?xu6;4m10:>h64$2a1>7e73ty:8;;50;0xZ44b?278i54>2d58 6e52;i:7p}>47694?4|V88n:63m=:3a0?xu6<0;1<7;4m10:?7e33ty:84>50;0xZ4568278i54>3028 6e52;i>7p}>49d94?4|V89;j63m=:3a4?xu6<1n1<7;4m10:?=j4$2a1>7e?3ty:85m50;0xZ457=278i54>3178 6e52;i27p}>49194?4|V88mm63=838pR<m=:3aa?xu6;4m10:>h:4$2a1>7ed3ty:8;<50;0xZ44b;278i54>2d18 6e52;io7p}>4dc94?4|V8>in63=838pR<:ma:?0a=<6m=:3ae?xu6;4m10:8o74$2a1>7b73ty:8h850;0xZ42e0278i54>4c:8 6e52;n:7p}>4d794?4|V8>i;63m=:3f0?xu6;4m10:8o;4$2a1>7b33ty:8h?50;0xZ42e;278i54>4c18 6e52;n>7p}>4d294?4|V8>i>63m=:3f4?xu6;4m10:8o>4$2a1>7b?3ty:8ij50;0xZ42fn278i54>4`d8 6e52;n27p}>4ea94?4|V8>ji63m=:3fa?xu6;4m10:8lm4$2a1>7bd3ty:8i750;0xZ42fj278i54>4``8 6e52;no7p}>4e:94?4|V8>jm63m=:3fe?xu6;4m10:8l94$2a1>7c73ty:8i:50;0xZ42f>278i54>4`48 6e52;o:7p}>4e194?4|V8>j9630(>m=:3g0?xu6;4m10:8l=4$2a1>7c33ty:8i>50;0xZ42f:278i54>4`08 6e52;o=7p}>4bd94?4|V8>j=63m=:3g;?xu6;4m10:84h4$2a1>7c>3ty:8nl50;0xZ42>l278i54>48f8 6e52;oj7p}>4bc94?4|V8>2o63m=:3g`?xu6;4m10:84o4$2a1>7cc3ty:8n950;0xZ42>1278i54>48;8 6e52;on7p}>4b494?4|V8>2463<,:i96?ki;|q20f3=838pR<:67:?0a=<6<0=0(>m=:3d3?xu61<7;4m10:8484$2a1>7`63ty:8n=50;0xZ42>=278i54>4878 6e52;l97p}>4b094?4|V8>2863m=:3d7?xu6;4m10:8oh4$2a1>7`23ty:8hk50;0xZ42em278i54>4cg8 6e52;l=7p}>4df94?4|V8>ih63m=:3d;?xu6;4m10:8o:4$2a1>7`>3ty:8h<50;0xZ42f1278i54>4`;8 6e52;lj7p}>4e594?4|V8>2i63m=:3d`?xu6;4m10:84<4$2a1>7`c3twemnon:181M5d;2wemnom:180e~N4k:1vblmnc;297d}O;j90qcolae83>6}O;j90qcolad83>7}O;j90qcolag83>7}O;j90qcolb183>7}O;j90qcolb083>7}O;j90qcolb383>7}O;j90qcolb283>7}O;j90qcolb583>7}O;j90qcolb483>7}O;j90qcolb783>7}O;j90qcolb683>7}O;j90qcolb983>7}O;j90qcolb883>7}O;j90qcolb`83>7}O;j90qcolbc83>7}O;j90qcolbb83>7}O;j90qcolbe83>7}O;j90qcolbd83>7}O;j90qcolbg83>7}O;j90qcolc183>7}O;j90qcolc083>7}O;j90qcolc383>7}O;j90qcolc283>7}O;j90qcolc583>7}O;j90qcolc483>6}O;j90qcolc783>6}O;j90qcolc683>6}O;j90qcolc983>6}O;j90qcolc883>6}O;j90qcolc`83>6}O;j90qcolcc83>6}O;j90qcolcb83>6}O;j90qcolce83>6}O;j90qcolcd83>6}O;j90qcolcg83>6}O;j90qcold183>6}O;j90qcold083>6}O;j90qcold383>6}O;j90qcold283>6}O;j90qcold583>6}O;j90qcold483>6}O;j90qcold783>6}O;j90qcold683>6}O;j90qcold983>6}O;j90qcold883>6}O;j90qcold`83>6}O;j90qcoldc83>6}O;j90qcoldb83>6}O;j90qcolde83>6}O;j90qcoldd83>6}O;j90qcoldg83>6}O;j90qcole183>6}O;j90qcole083>6}O;j90qcole383>6}O;j90qcole283>6}O;j90qcole583>6}O;j90qcole483>6}O;j90qcole783>6}O;j90qcole683>6}O;j90qcole983>6}O;j90qcole883>6}O;j90qcole`83>6}O;j90qcolec83>6}O;j90qcoleb83>6}O;j90qcolee83>6}O;j90qcoled83>6}O;j90qcoleg83>6}O;j90qcolf183>6}O;j90qcolf083>6}O;j90qcolf383>6}O;j90qcolf283>6}O;j90qcolf583>6}O;j90qcolf483>7}O;j90qcolf783>7}O;j90qcolf683>7}O;j90qcolf983>7}O;j90qcolf883>7}O;j90qcolf`83>7}O;j90qcolfc83>7}O;j90qcolfb83>7}O;j90qcolfe83>7}O;j90qcolfd83>7}O;j90qcolfg83>7}O;j90qcok0183>7}O;j90qcok0083>7}O;j90qcok0383>7}O;j90qcok0283>7}O;j90qcok0583>7}O;j90qcok0483>7}O;j90qcok0783>7}O;j90qcok0683>7}O;j90qcok0983>7}O;j90qcok0883>7}O;j90qcok0`83>7}O;j90qcok0c83>7}O;j90qcok0b83>7}O;j90qcok0e83>7}O;j90qcok0d83>7}O;j90qcok0g83>7}O;j90qcok1183>7}O;j90qcok1083>7}O;j90qcok1383>7}O;j90qcok1283>7}O;j90qcok1583>7}O;j90qcok1483>7}O;j90qcok1783>7}O;j90qcok1683>7}O;j90qcok1983>7}O;j90qcok1883>7}O;j90qcok1`83>7}O;j90qcok1c83>7}O;j90qcok1b83>7}O;j90qcok1e83>7}O;j90qcok1d83>7}O;j90qcok1g83>7}O;j90qcok2183>7}O;j90qcok2083>7}O;j90qcok2383>7}O;j90qcok2283>7}O;j90qcok2583>7}O;j90qcok2483>7}O;j90qcok2783>7}O;j90qcok2683>7}O;j90qcok2983>7}O;j90qcok2883>7}O;j90qcok2`83>7}O;j90qcok2c83>7}O;j90qcok2b83>7}O;j90qcok2e83>7}O;j90qcok2d83>7}O;j90qcok2g83>7}O;j90qcok3183>7}O;j90qcok3083>7}O;j90qcok3383>7}O;j90qcok3283>7}O;j90qcok3583>7}O;j90qcok3483>7}O;j90qcok3783>7}O;j90qcok3683>7}O;j90qcok3983>7}O;j90qcok3883>7}O;j90qcok3`83>7}O;j90qcok3c83>7}O;j90qcok3b83>7}O;j90qcok3e83>7}O;j90qcok3d83>7}O;j90qcok3g83>7}O;j90qcok4183>7}O;j90qcok4083>7}O;j90qcok4383>7}O;j90qcok4283>7}O;j90qcok4583>7}O;j90qcok4483>7}O;j90qcok4783>7}O;j90qcok4683>7}O;j90qcok4983>7}O;j90qcok4883>7}O;j90qcok4`83>7}O;j90qcok4c83>7}O;j90qcok4b83>7}O;j90qcok4e83>7}O;j90qcok4d83>7}O;j90qcok4g83>7}O;j90qcok5183>7}O;j90qcok5083>7}O;j90qcok5383>7}O;j90qc7j6583>4}O;j90qc7j6483>4}O;j90qc7jc683>4}O;j90qc7jc983>4}O;j90qc7jc883>4}O;j90qc7jc`83>4}O;j90qc7jcc83>4}O;j90qc7jcb83>4}O;j90qc7jce83>4}O;j90qc7jcd83>4}O;j90qc7jcg83>4}O;j90qc7jd183>4}O;j90qc7jd083>4}O;j90qc7jd383>4}O;j90qc7jd283>4}O;j90qc7jd583>4}O;j90qc7jd483>4}O;j90qc7jd783>4}O;j90qc7jd683>4}O;j90qc7jd983>4}O;j90qc7jd883>4}O;j90qc7jd`83>4}O;j90qc7jdc83>4}O;j90qc7jdb83>4}O;j90qc7jde83>4}O;j90qc7jdd83>4}O;j90qc7jdg83>4}O;j90qc7je183>4}O;j90qc7je083>4}O;j90qc7je383>4}O;j90qc7je283>4}O;j90qc7je583>4}O;j90qc7je483>4}O;j90qc7je783>4}O;j90qc7je683>4}O;j90qc7je983>4}O;j90qc7je883>4}O;j90qc7je`83>4}O;j90qc7jec83>4}O;j90qc7jeb83>4}O;j90qc7jee83>4}O;j90qc7jed83>4}O;j90qc7jeg83>4}O;j90qc7jf183>4}O;j90qc7jf083>4}O;j90qc7jf383>4}O;j90qc7jf283>4}O;j90qc7jf583>4}O;j90qc7jf483>4}O;j90qc7jf783>4}O;j90qc7jf683>4}O;j90qc7jf983>4}O;j90qc7jf883>4}O;j90qc7jf`83>4}O;j90qc7jfc83>4}O;j90qc7jfb83>4}O;j90qc7jfe83>4}O;j90qc7jfd83>4}O;j90qc7jfg83>4}O;j90qc7i0183>4}O;j90qc7i0083>4}O;j90qc7i0383>4}O;j90qc7i0283>4}O;j90qc7i0583>4}O;j90qc7i0483>4}O;j90qc7i0783>4}O;j90qc7i0683>4}O;j90qc7i0983>4}O;j90qc7i0883>4}O;j90qc7i0`83>4}O;j90qc7i0c83>4}O;j90qc7i0b83>4}O;j90qc7i0e83>4}O;j90qc7i0d83>4}O;j90qc7i0g83>4}O;j90qc7i1183>4}O;j90qc7i1083>4}O;j90qc7i1383>4}O;j90qc7i1283>4}O;j90qc7i1583>4}O;j90qc7i1483>4}O;j90qc7i1783>4}O;j90qc7i1683>4}O;j90qc7i1983>4}O;j90qc7i1883>4}O;j90qc7i1`83>4}O;j90qc7i1c83>4}O;j90qc7i1b83>4}O;j90qc7i1e83>4}O;j90qc7i1d83>4}O;j90qc7i1g83>4}O;j90qc7i2183>4}O;j90qc7i2083>4}O;j90qc7i2383>4}O;j90qc7i2283>4}O;j90qc7i2583>4}O;j90qc7i2483>4}O;j90qc7i2783>4}O;j90qc7i2683>4}O;j90qc7i2983>4}O;j90qc7i2883>4}O;j90qc7i2`83>4}O;j90qc7i2c83>4}O;j90qc7i2b83>4}O;j90qc7i2e83>4}O;j90qc7i2d83>4}O;j90qc7i2g83>4}O;j90qc7i3183>4}O;j90qc7i3083>4}O;j90qc7i3383>4}O;j90qc7i3283>4}O;j90qc7i3583>4}O;j90qc7i3483>4}O;j90qc7i3783>4}O;j90qc7i3683>4}O;j90qc7i3983>4}O;j90qc7i3883>4}O;j90qc7i3`83>4}O;j90qc7i3c83>4}O;j90qc7i3b83>4}O;j90qc7i3e83>4}O;j90qc7i3d83>4}O;j90qc7i3g83>4}O;j90qc7i4183>4}O;j90qc7i4083>4}O;j90qc7i4383>4}O;j90qc7i4283>4}O;j90qc7i4583>4}O;j90qc7i4483>4}O;j90qc7i4783>4}O;j90qc7i4683>4}O;j90qc7i4983>4}O;j90qc7i4883>4}O;j90qc7i4`83>4}O;j90qc7i4c83>4}O;j90qc7i4b83>4}O;j90qc7i4e83>4}O;j90qc7i4d83>4}O;j90qc7i4g83>4}O;j90qc7i5183>4}O;j90qc7i5083>4}O;j90qc7i5383>4}O;j90qc7i5283>4}O;j90qc7i5583>4}O;j90qc7i5483>4}O;j90qc7i5783>4}O;j90qc7i5683>4}O;j90qc7i5983>4}O;j90qc7i5883>4}O;j90qc7i5`83>4}O;j90qc7i5c83>4}O;j90qc7i5b83>4}O;j90qc7i5e83>4}O;j90qc7i5d83>4}O;j90qc7i5g83>4}O;j90qc7i6183>4}O;j90qc7i6083>4}O;j90qc7i6383>4}O;j90qc7i6283>4}O;j90qc7i6583>4}O;j90qc7i6483>4}O;j90qc7i6783>4}O;j90qc7i6683>4}O;j90qc7i6983>4}O;j90qc7i6883>4}O;j90qc7i6`83>4}O;j90qc7i6c83>4}O;j90qc7i6b83>4}O;j90qc7i6e83>4}O;j90qc7i6d83>4}O;j90qc7i6g83>4}O;j90qc7i7183>4}O;j90qc7i7083>4}O;j90qc7i7383>4}O;j90qc7i7283>4}O;j90qc7i7583>4}O;j90qc7i7483>4}O;j90qc7i7783>4}O;j90qc7i7683>4}O;j90qc7i7983>4}O;j90qc7i7883>4}O;j90qc7i7`83>4}O;j90qc7i7c83>4}O;j90qc7i7b83>4}O;j90qc7i7e83>4}O;j90qc7i7d83>4}O;j90qc7i7g83>4}O;j90qc7i8183>4}O;j90qc7i8083>4}O;j90qc7i8383>4}O;j90qc7i8283>4}O;j90qc7i8583>4}O;j90qc7i8483>4}O;j90qc7i8783>4}O;j90qc7i8683>4}O;j90qc7i8983>4}O;j90qc7i8883>4}O;j90qc7i8`83>4}O;j90qc7i8c83>4}O;j90qc7i8b83>4}O;j90qc7i8e83>4}O;j90qc7i8d83>4}O;j90qc7i8g83>4}O;j90qc7i9183>4}O;j90qc7i9083>4}O;j90qc7i9383>4}O;j90qc7i9283>4}O;j90qc7i9583>4}O;j90qc7i9483>4}O;j90qc7i9783>4}O;j90qc7i9683>4}O;j90qc7i9983>4}O;j90qc7i9883>4}O;j90qc7i9`83>4}O;j90qc7i9c83>4}O;j90qc7i9b83>4}O;j90qc7i9e83>4}O;j90qc7i9d83>4}O;j90qc7i9g83>4}O;j90qc7ia183>4}O;j90qc7ia083>4}O;j90qc7ia383>4}O;j90qc7ia283>4}O;j90qc7ia583>4}O;j90qc7ia483>4}O;j90qc7ia783>4}O;j90qc7ia683>4}O;j90qc7ia983>4}O;j90qc7ia883>4}O;j90qc7ia`83>4}O;j90qc7iac83>4}O;j90qc7iab83>4}O;j90qc7iae83>4}O;j90qc7iad83>4}O;j90qc7iag83>4}O;j90qc7ib183>4}O;j90qc7ib083>4}O;j90qc7ib383>4}O;j90qc7ib283>4}O;j90qc7ib583>4}O;j90qc7ib483>4}O;j90qc7ib783>4}O;j90qc7ib683>4}O;j90qc7ib983>4}O;j90qc7ib883>4}O;j90qc7ib`83>4}O;j90qc7ibc83>4}O;j90qc7ibb83>4}O;j90qc7ibe83>4}O;j90qc7ibd83>4}O;j90qc7ibg83>4}O;j90qc7ic183>4}O;j90qc7ic083>4}O;j90qc7ic383>4}O;j90qc7ic283>4}O;j90qc7ic583>4}O;j90qc7ic483>4}O;j90qc7ic783>4}O;j90qc7ic683>4}O;j90qc7ic983>4}O;j90qc7ic883>4}O;j90qc7ic`83>4}O;j90qc7icc83>7}O;j90qc7icb83>7}O;j90qc7ice83>7}O;j90qc7icd83>7}O;j90qc7icg83>7}O;j90qc7id183>7}O;j90qc7id083>7}O;j90qc7id383>7}O;j90qc7id283>7}O;j90qc7id583>7}O;j90qc7id483>7}O;j90qc7id783>7}O;j90qc7id683>7}O;j90qc7id983>7}O;j90qc7id883>7}O;j90qc7id`83>7}O;j90qc7idc83>7}O;j90qc7idb83>7}O;j90qc7ide83>4}O;j90qc7idd83>4}O;j90qc7idg83>4}O;j90qc7ie183>4}O;j90qc7ie083>4}O;j90qc7ie383>4}O;j90qc7ie283>4}O;j90qc7ie583>4}O;j90qc7ie483>4}O;j90qc7ie783>4}O;j90qc7ie683>4}O;j90qc7ie983>4}O;j90qc7ie883>4}O;j90qc7ie`83>4}O;j90qc7iec83>4}O;j90qc7ieb83>4}O;j90qc7iee83>4}O;j90qc7ied83>4}O;j90qc7ieg83>4}O;j90qc7if183>4}O;j90qc7if083>4}O;j90qc7if383>4}O;j90qc7if283>4}O;j90qc7if583>4}O;j90qc7if483>4}O;j90qc7if783>4}O;j90qc7if683>4}O;j90qc7if983>4}O;j90qc7if883>4}O;j90qc7if`83>4}O;j90qc7ifc83>4}O;j90qc7ifb83>4}O;j90qc7ife83>4}O;j90qc7ifd83>4}O;j90qc7ifg83>4}O;j90qco?0183>4}O;j90qco?0083>4}O;j90qco?0383>4}O;j90qco?0283>4}O;j90qco?0583>4}O;j90qco?0483>4}O;j90qco?0783>4}O;j90qco?0683>4}O;j90qco?0983>4}O;j90qco?0883>4}O;j90qco?0`83>4}O;j90qco?0c83>4}O;j90qco?0b83>4}O;j90qco?0e83>4}O;j90qco?0d83>4}O;j90qco?0g83>4}O;j90qco?1183>4}O;j90qco?1083>4}O;j90qco?1383>4}O;j90qco?1283>4}O;j90qco?1583>4}O;j90qco?1483>4}O;j90qco?1783>4}O;j90qco?1683>4}O;j90qco?1983>4}O;j90qco?1883>4}O;j90qco?1`83>4}O;j90qco?1c83>4}O;j90qco?1b83>4}O;j90qco?1e83>4}O;j90qco?1d83>4}O;j90qco?1g83>4}O;j90qco?2183>4}O;j90qco?2083>4}O;j90qco?2383>4}O;j90qco?2283>4}O;j90qco?2583>4}O;j90qco?2483>4}O;j90qco?2783>4}O;j90qco?2683>4}O;j90qco?2983>4}O;j90qco?2883>4}O;j90qco?2`83>4}O;j90qco?2c83>4}O;j90qco?2b83>4}O;j90qco?2e83>4}O;j90qco?2d83>4}O;j90qco?2g83>4}O;j90qco?3183>4}O;j90qco?3083>4}O;j90qco?3383>4}O;j90qco?3283>4}O;j90qco?3583>4}O;j90qco?3483>4}O;j90qco?3783>4}O;j90qco?3683>4}O;j90qco?3983>4}O;j90qco?3883>4}O;j90qco?3`83>4}O;j90qco?3c83>4}O;j90qco?3b83>4}O;j90qco?3e83>4}O;j90qco?3d83>4}O;j90qco?3g83>4}O;j90qco?4183>4}O;j90qco?4083>4}O;j90qco?4383>4}O;j90qco?4283>4}O;j90qco?4583>4}O;j90qco?4483>4}O;j90qco?4783>4}O;j90qco?4683>4}O;j90qco?4983>4}O;j90qco?4883>4}O;j90qco?4`83>4}O;j90qco?4c83>4}O;j90qco?4b83>4}O;j90qco?4e83>4}O;j90qco?4d83>4}O;j90qco?4g83>4}O;j90qco?5183>4}O;j90qco?5083>4}O;j90qco?5383>4}O;j90qco?5283>4}O;j90qco?5583>4}O;j90qco?5483>4}O;j90qco?5783>4}O;j90qco?5683>4}O;j90qco?5983>4}O;j90qco?5883>4}O;j90qco?5`83>4}O;j90qco?5c83>4}O;j90qco?5b83>4}O;j90qco?5e83>4}O;j90qco?5d83>4}O;j90qco?5g83>4}O;j90qco?6183>4}O;j90qco?6083>4}O;j90qco?6383>4}O;j90qco?6283>4}O;j90qco?6583>4}O;j90qco?6483>4}O;j90qco?6783>4}O;j90qco?6683>4}O;j90qco?6983>4}O;j90qco?6883>4}O;j90qco?6`83>4}O;j90qco?6c83>4}O;j90qco?6b83>4}O;j90qco?6e83>4}O;j90qco?6d83>4}O;j90qco?6g83>4}O;j90qco?7183>4}O;j90qco?7083>4}O;j90qco?7383>4}O;j90qco?7283>4}O;j90qco?7583>4}O;j90qco?7483>4}O;j90qco?7783>4}O;j90qco?7683>4}O;j90qco?7983>4}O;j90qco?7883>4}O;j90qco?7`83>4}O;j90qco?7c83>4}O;j90qco?7b83>4}O;j90qco?7e83>4}O;j90qco?7d83>4}O;j90qco?7g83>4}O;j90qco?8183>4}O;j90qco?8083>4}O;j90qco?8383>4}O;j90qco?8283>4}O;j90qco?8583>4}O;j90qco?8483>4}O;j90qco?8783>4}O;j90qco?8683>4}O;j90qco?8983>4}O;j90qco?8883>4}O;j90qco?8`83>4}O;j90qco?8c83>4}O;j90qco?8b83>4}O;j90qco?8e83>4}O;j90qco?8d83>4}O;j90qco?8g83>4}O;j90qco?9183>4}O;j90qco?9083>4}O;j90qco?9383>4}O;j90qco?9283>4}O;j90qco?9583>4}O;j90qco?9483>4}O;j90qco?9783>4}O;j90qco?9683>4}O;j90qco?9983>4}O;j90qco?9883>4}O;j90qco?9`83>4}O;j90qco?9c83>4}O;j90qco?9b83>4}O;j90qco?9e83>4}O;j90qco?9d83>4}O;j90qco?9g83>4}O;j90qco?a183>4}O;j90qco?a083>4}O;j90qco?a383>4}O;j90qco?a283>4}O;j90qco?a583>4}O;j90qco?a483>4}O;j90qco?a783>4}O;j90qco?a683>4}O;j90qco?a983>4}O;j90qco?a883>4}O;j90qco?a`83>4}O;j90qco?ac83>4}O;j90qco?ab83>4}O;j90qco?ae83>4}O;j90qco?ad83>4}O;j90qco?ag83>4}O;j90qco?b183>4}O;j90qco?b083>4}O;j90qco?b383>4}O;j90qco?b283>4}O;j90qco?b583>4}O;j90qco?b483>4}O;j90qco?b783>4}O;j90qco?b683>4}O;j90qco?b983>4}O;j90qco?b883>4}O;j90qco?b`83>4}O;j90qco?bc83>4}O;j90qco?bb83>4}O;j90qco?be83>4}O;j90qco?bd83>4}O;j90qco?bg83>4}O;j90qco?c183>4}O;j90qco?c083>4}O;j90qco?c383>4}O;j90qco?c283>4}O;j90qco?c583>4}O;j90qco?c483>7}O;j90qco?c783>4}O;j90qco?c683>7}O;j90qco?c983>4}O;j90qco?c883>4}O;j90qco?c`83>4}O;j90qco?cc83>4}O;j90qco?cb83>4}O;j90qco?ce83>4}O;j90qco?cd83>4}O;j90qco?cg83>7}O;j90qco?d183>4}O;j90qco?d083>4}O;j90qco?d383>4}O;j90qco?d283>7}O;j90qco?d583>7}O;j90qco?d483>7}O;j90qco?d783>7}O;j90qco?d683>7}O;j90qco?d983>7}O;j90qco?d883>7}O;j90qco?d`83>7}O;j90qco?dc83>7}O;j90qco?db83>7}O;j90qco?de83>7}O;j90qco?dd83>7}O;j90qco?dg83>7}O;j90qco?e183>7}O;j90qco?e083>7}O;j90qco?e383>7}O;j90qco?e283>7}O;j90qco?e583>7}O;j90qco?e483>7}O;j90qco?e783>7}O;j90qco?e683>7}O;j90qco?e983>7}O;j90qco?e883>7}O;j90qco?e`83>7}O;j90qco?ec83>7}O;j90qco?eb83>7}O;j90qco?ee83>7}O;j90qco?ed83>7}O;j90qco?eg83>7}O;j90qco?f183>7}O;j90qco?f083>7}O;j90qco?f383>7}O;j90qco?f283>7}O;j90qco?f583>7}O;j90qco?f483>7}O;j90qco?f783>7}O;j90qco?f683>7}O;j90qco?f983>7}O;j90qco?f883>7}O;j90qco?f`83>7}O;j90qco?fc83>7}O;j90qco?fb83>7}O;j90qco?fe83>7}O;j90qco?fd83>7}O;j90qco?fg83>7}O;j90qco>0183>7}O;j90qco>0083>7}O;j90qco>0383>7}O;j90qco>0283>7}O;j90qco>0583>7}O;j90qco>0483>7}O;j90qco>0783>4}O;j90qco>0683>4}O;j90qco>0983>4}O;j90qco>0883>4}O;j90qco>0`83>4}O;j90qco>0c83>4}O;j90qco>0b83>4}O;j90qco>0e83>4}O;j90qco>0d83>4}O;j90qco>0g83>4}O;j90qco>1183>4}O;j90qco>1083>4}O;j90qco>1383>4}O;j90qco>1283>4}O;j90qco>1583>4}O;j90qco>1483>4}O;j90qco>1783>4}O;j90qco>1683>4}O;j90qco>1983>4}O;j90qco>1883>4}O;j90qco>1`83>4}O;j90qco>1c83>4}O;j90qco>1b83>4}O;j90qco>1e83>4}O;j90qco>1d83>4}O;j90qco>1g83>4}O;j90qco>2183>4}O;j90qco>2083>4}O;j90qco>2383>4}O;j90qco>2283>4}O;j90qco>2583>4}O;980D>m<;|lb573=838pD>m<;|lb570=83;pD>>=;I1`7>{ii88<6=4={I1`7>{ii8836=4>{I1`7>{ii8826=4>{I1`7>{ii88j6=4>{I1`7>{ii88i6=4>{I1`7>{ii88h6=4={I1`7>{ii88o6=4>{I1`7>{ii88n6=4>{I1`7>{ii88m6=4>{I1`7>{ii89;6=4>{I1`7>{ii89:6=4>{I1`7>{ii8996=4>{I1`7>{ii8986=4>{I1`7>{ii89?6=4>{I1`7>{ii89>6=4>{I1`7>{ii89=6=4>{I1`7>{ii89<6=4>{I1`7>{ii8936=4>{I1`7>{ii8926=4>{I1`7>{ii89j6=4>{I1`7>{ii89i6=4>{I1`7>{ii89h6=4>{I1`7>{ii89o6=4>{I1`7>{ii89n6=4>{I1`7>{ii89m6=4>{I1`7>{ii8>;6=4>{I1`7>{ii8>:6=4>{I1`7>{ii8>96=4>{I1`7>{ii8>86=4>{I1`7>{ii8>?6=4>{I1`7>{ii8>>6=4>{I1`7>{ii8>=6=4>{I1`7>{ii8><6=4>{I1`7>{ii8>36=4>{I1`7>{ii8>26=4>{I1`7>{ii8>j6=4>{I1`7>{ii8>i6=4>{I1`7>{ii8>h6=4>{I1`7>{ii8>o6=4>{I1`7>{ii8>n6=4>{I1`7>{ii8>m6=4={I136>N4k:1vbl?:0;295~N4k:1vbl?:1;295~N4k:1vbl?:2;296~N48;1C?n=4}oc216<728qC?n=4}oc211<728qC?n=4}oc210<728qC?n=4}oc213<728qC?n=4}oc212<728qC?n=4}oc21=<728qC?n=4}oc21<<728qC?n=4}oc21d<728qC?n=4}oc21g<728qC?n=4}oc21f<728qC?n=4}oc21a<728qC?n=4}oc21`<728qC?n=4}oc21c<728qC?n=4}oc225<728qC?n=4}oc224<728qC?n=4}oc227<728qC?n=4}oc226<728qC?n=4}oc221<728qC?n=4}oc220<728qC?n=4}oc223<728qC?n=4}oc222<728qC?n=4}oc22=<728qC?n=4}oc22<<728qC?n=4}oc22d<728qC?n=4}oc22g<728qC?n=4}oc22f<728qC?n=4}oc22a<728qC?n=4}oc22`<728qC?n=4}oc22c<728qC?n=4}oc235<728qC?n=4}oc234<728qC?n=4}oc237<728qC?n=4}oc236<728qC?n=4}oc231<728qC?n=4}oc230<728qC?n=4}oc233<728qC?n=4}oc232<728qC?n=4}oc23=<728qC?n=4}oc23<<728qC?n=4}oc23d<728qC?n=4}oc23g<728qC?n=4}oc23f<728qC?n=4}oc23a<728qC?n=4}oc23`<728qC?n=4}oc23c<728qC?n=4}oc2<5<728qC?n=4}oc2<4<728qC?n=4}oc2<7<728qC?n=4}oc2<6<728qC?n=4}oc2<1<728qC?n=4}oc2<0<721<7?tH2a0?xhf90?1<7?tH2a0?xhf90<1<7:180M5d;2wem:182M5d;2wem:182M5d;2wem:182M5d;2wem:181M5d;2wem:180M5d;2wem?:182M5d;2wem?>>:182M5d;2wem?>=:182M5d;2wem?><:182M5d;2wem?>;:182M5d;2wem?>::182M5d;2wem?>9:182M5d;2wem?>8:182M5d;2wem?>7:182M5d;2wem?>6:182M5d;2wem?>n:182M5d;2wem?>m:182M5d;2wem?>l:182M5d;2wem?>k:182M5d;2wem?>j:182M5d;2wem?>i:182M5d;2wem???:182M5d;2wem??>:182M5d;2wem??=:182M5d;2wem??<:182M5d;2wem??;:182M5d;2wem??::182M5d;2wem??9:182M5d;2wem??8:182M5d;2wem??7:182M5d;2wem??6:182M5d;2wem??n:182M5d;2wem??m:182M5d;2wem??l:182M5d;2wem??k:182M5d;2wem??j:182M5d;2wem??i:182M5d;2wem?:182M5d;2wem?<=:182M5d;2wem?<<:182M5d;2wem?<;:182M5d;2wem?<::182M5d;2wem?<9:182M5d;2wem?<8:182M5d;2wem?<7:182M5d;2wem?<6:181M5d;2wem?:181M5d;2wem?==:181M5d;2wem?=<:181M5d;2wem?=;:181M5d;2wem?=::181M5d;2wem?=9:181M5d;2wem?=8:181M5d;2wem?=7:181M5d;2wem?=6:180M5d;2wem?=n:180M5d;2wem?=m:180M5d;2wem?=l:180M5d;2wem?=k:180M5d;2wem?=j:180M5d;2wem?=i:180M5d;2wem?:?:180M5d;2wem?:>:180M5d;2wem?:=:180M5d;2wem?:<:180M5d;2wem?:;:180M5d;2wem?:::180M5d;2wem?:9:180M5d;2wem?:8:180M5d;2wem?:7:180M5d;2wem?:6:182M5d;2wem?:n:182M5d;2wem?:m:182M5d;2wem?:l:182M5d;2wem?:k:182M5d;2wem?:j:182M5d;2wem?:i:182M5d;2wem?;?:182M5d;2wem?;>:182M5d;2wem?;=:182M5d;2wem?;<:182M5d;2wem?;;:182M5d;2wem?;::182M5d;2wem?;9:182M5d;2wem?;8:182M5d;2wem?;7:182M5d;2wem?;6:182M5d;2wem?;n:182M5d;2wem?;m:182M5d;2wem?;l:182M5d;2wem?;k:182M5d;2wem?;j:182M5d;2wem?;i:182M5d;2wem?8?:182M5d;2wem?8>:182M5d;2wem?8=:182M5d;2wem?8<:182M5d;2wem?8;:182M5d;2wem?8::182M5d;2wem?89:182M5d;2wem?88:182M5d;2wem?87:182M5d;2wem?86:182M5d;2wem?8n:182M5d;2wem?8m:182M5d;2wem?8l:182M5d;2wem?8k:182M5d;2wem?8j:182M5d;2wem?8i:182M5d;2wem?9?:182M5d;2wem?9>:182M5d;2wem?9=:182M5d;2wem?9<:182M5d;2wem?9;:182M5d;2wem?9::182M5d;2wem?99:182M5d;2wem?98:182M5d;2wem?97:182M5d;2wem?96:181M5d;2wem?9n:181M5d;2wem?9m:181M5d;2wem?9l:181M5d;2wem?9k:181M5d;2wem?9j:181M5d;2wem?9i:181M5d;2wem?6?:181M5d;2wem?6>:181M5d;2wem?6=:181M5d;2wem?6<:181M5d;2wem?6;:181M5d;2wem?6::181M5d;2wem?69:181M5d;2wem?68:181M5d;2wem?67:181M5d;2wem?66:180M5d;2wem?6n:180M5d;2wem?6m:180M5d;2wem?6l:180M5d;2wem?6k:180M5d;2wem?6j:180M5d;2wem?6i:180M5d;2wem?7?:180M5d;2wem?7>:180M5d;2wem?7=:180M5d;2wem?7<:180M5d;2wem?7;:180M5d;2wem?7::180M5d;2wem?79:180M5d;2wem?78:180M5d;2wem?77:180M5d;2wem?76:182M5d;2wem?7n:182M5d;2wem?7m:182M5d;2wem?7l:182M5d;2wem?7k:182M5d;2wem?7j:182M5d;2wem?7i:182M5d;2wem?o?:182M5d;2wem?o>:182M5d;2wem?o=:182M5d;2wem?o<:182M5d;2wem?o;:182M5d;2wem?o::182M5d;2wem?o9:182M5d;2wem?o8:182M5d;2wem?o7:182M5d;2wem?o6:182M5d;2wem?on:182M5d;2wem?om:182M5d;2wem?ol:182M5d;2wem?ok:182M5d;2wem?oj:182M5d;2wem?oi:182M5d;2wem?l?:182M5d;2wem?l>:182M5d;2wem?l=:182M5d;2wem?l<:182M5d;2wem?l;:182M5d;2wem?l::182M5d;2wem?l9:182M5d;2wem?l8:182M5d;2wem?l7:182M5d;2wem?l6:182M5d;2wem?ln:182M5d;2wem?lm:182M5d;2wem?ll:182M5d;2wem?lk:182M5d;2wem?lj:182M5d;2wem?li:182M5d;2wem?m?:182M5d;2wem?m>:182M5d;2wem?m=:182M5d;2wem?m<:182M5d;2wem?m;:182M5d;2wem?m::182M5d;2wem?m9:182M5d;2wem?m8:182M5d;2wem?m7:182M5d;2wem?m6:181M5d;2wem?mn:181M5d;2wem?mm:181M5d;2wem?ml:181M5d;2wem?mk:181M5d;2wem?mj:181M5d;2wem?mi:181M5d;2wem?j?:181M5d;2wem?j>:182M5d;2wem?j=:182M5d;2wem?j<:182M5d;2wem?j;:182M5d;2wem?j::182M5d;2wem?j9:182M5d;2wem?j8:182M5d;2wem?j7:182M5d;2wem?j6:182M5d;2wem?jn:182M5d;2wem?jm:182M5d;2wem?jl:182M5d;2wem?jk:182M5d;2wem?jj:182M5d;2wem?ji:182M5d;2wem?k?:182M5d;2wem?k>:182M5d;2wem?k=:182M5d;2wem?k<:182M5d;2wem?k;:182M5d;2wem?k::182M5d;2wem?k9:182M5d;2wem?k8:182M5d;2wem?k7:182M5d;2wem?k6:182M5d;2wem?kn:182M5d;2wem?km:182M5d;2wem?kl:182M5d;2wem?kk:182M5d;2wem?kj:182M5d;2wem?ki:182M5d;2wem?h?:182M5d;2wem?h>:182M5d;2wem?h=:182M5d;2wem?h<:182M5d;2wem?h;:182M5d;2wem?h::182M5d;2wem?h9:182M5d;2wem?h8:182M5d;2wem?h7:182M5d;2wem?h6:182M5d;2wem?hn:182M5d;2wem?hm:182M5d;2wem?hl:182M5d;2wem?hk:182M5d;2wem?hj:182M5d;2wem?hi:182M5d;2wem>>?:182M5d;2wem>>>:181M5d;2wem>>=:181M5d;2wem>><:181M5d;2wem>>;:181M5d;2wem>>::181M5d;2wem>>9:181M5d;2wem>>8:181M5d;2wem>>7:181M5d;2wem>>6:181M5d;2wem>>n:181M5d;2wem>>m:181M5d;2wem>>l:181M5d;2wem>>k:181M5d;2wem>>j:181M5d;2wem>>i:181M5d;2wem>??:181M5d;2wem>?>:181M5d;2wem>?=:181M5d;2wem>?<:181M5d;2wem>?;:181M5d;2wem>?::181M5d;2wem>?9:181M5d;2wem>?8:181M5d;2wem>?7:181M5d;2wem>?6:181M5d;2wem>?n:181M5d;2wem>?m:181M5d;2wem>?l:181M5d;2wem>?k:181M5d;2wem>?j:181M5d;2wem>?i:181M5d;2wem><>:181M5d;2wem><=:181M5d;2wem><<:182M5d;2wem><;:182M5d;2wem><::182M5d;2wem><9:182M5d;2wem><8:182M5d;2wem><7:182M5d;2wem><6:182M5d;2wem>=?:182M5d;2wem>=>:182M5d;2wem>==:182M5d;2wem>=<:182M5d;2wem>=;:182M5d;2wem>=::182M5d;2wem>=9:182M5d;2wem>=8:182M5d;2wem>=7:182M5d;2wem>=6:182M5d;2wem>=n:182M5d;2wem>=m:182M5d;2wem>=l:182M5d;2wem>=k:182M5d;2wem>=j:182M5d;2wem>=i:182M5d;2wem>:?:182M5d;2wem>:>:182M5d;2wem>:=:182M5d;2wem>:<:182M5d;2wem>:;:182M5d;2wem>:::182M5d;2wem>:9:182M5d;2wem>:8:182M5d;2wem>:7:182M5d;2wem>:6:182M5d;2wem>:n:182M5d;2wem>:m:182M5d;2wem>:l:182M5d;2wem>:k:182M5d;2wem>:j:182M5d;2wem>:i:182M5d;2wem>;?:182M5d;2wem>;>:182M5d;2wem>;=:182M5d;2wem>;<:181M5d;2wem>;;:181M5d;2wem>;::181M5d;2wem>;9:181M5d;2wem>;8:181M5d;2wem>;7:181M5d;2wem>;6:181M5d;2wem>;n:181M5d;2wem>;m:181M5d;2wem>;l:181M5d;2wem>;k:181M5d;2wem>;j:181M5d;2wem>;i:181M5d;2wem>8?:181M5d;2wem>8>:181M5d;2wem>8=:181M5d;2wem>8<:180M5d;2wem>8;:180M5d;2wem>8::180M5d;2wem>89:180M5d;2wem>88:180M5d;2wem>87:180M5d;2wem>86:180M5d;2wem>8n:180M5d;2wem>8m:182M5d;2wem>8l:182M5d;2wem>8k:182M5d;2wem>8j:182M5d;2wem>8i:182M5d;2wem>9?:182M5d;2wem>9>:182M5d;2wem>9=:182M5d;2wem>9<:182M5d;2wem>9;:182M5d;2wem>9::182M5d;2wem>99:182M5d;2wem>98:182M5d;2wem>97:182M5d;2wem>96:182M5d;2wem>9n:182M5d;2wem>9m:182M5d;2wem>9l:182M5d;2wem>9k:182M5d;2wem>9j:182M5d;2wem>9i:182M5d;2wem>6?:182M5d;2wem>6>:182M5d;2wem>6=:182M5d;2wem>6<:182M5d;2wem>6;:182M5d;2wem>6::182M5d;2wem>69:182M5d;2wem>68:182M5d;2wem>67:182M5d;2wem>66:182M5d;2wem>6n:182M5d;2wem>6m:182M5d;2wem>6l:182M5d;2wem>6k:182M5d;2wem>6j:182M5d;2wem>6i:182M5d;2wem>7?:182M5d;2wem>7>:182M5d;2wem>7=:182M5d;2wem>7<:182M5d;2wem>7;:182M5d;2wem>7::182M5d;2wem>79:182M5d;2wem>78:182M5d;2wem>77:182M5d;2wem>76:182M5d;2wem>7n:182M5d;2wem>7m:181M5d;2wem>7l:181M5d;2wem>7k:181M5d;2wem>7j:181M5d;2wem>7i:181M5d;2wem>o?:181M5d;2wem>o>:181M5d;2wem>o=:181M5d;2wem>o<:181M5d;2wem>o;:181M5d;2wem>o::181M5d;2wem>o9:181M5d;2wem>o8:181M5d;2wem>o7:181M5d;2wem>o6:181M5d;2wem>on:181M5d;2wem>om:181M5d;2wem>ol:181M5d;2wem>ok:181M5d;2wem>oj:181M5d;2wem>oi:181M5d;2wem>l?:181M5d;2wem>l>:181M5d;2wem>l=:181M5d;2wem>l<:181M5d;2wem>l;:181M5d;2wem>l::180M5d;2wem>l9:180M5d;2wem>l8:180M5d;2wem>l7:180M5d;2wem>l6:180M5d;2wem>ln:180M5d;2wem>lm:180M5d;2wem>ll:180M5d;2wem>lk:182M5d;2wem>lj:182M5d;2wem>li:182M5d;2wem>m?:182M5d;2wem>m>:182M5d;2wem>m=:182M5d;2wem>m<:182M5d;2wem>m;:182M5d;2wem>m::182M5d;2wem>m9:182M5d;2wem>m8:182M5d;2wem>m7:182M5d;2wem>m6:182M5d;2wem>mn:182M5d;2wem>mm:182M5d;2wem>ml:182M5d;2wem>mk:182M5d;2wem>mj:182M5d;2wem>mi:182M5d;2wem>j?:182M5d;2wem>j>:182M5d;2wem>j=:182M5d;2wem>j<:182M5d;2wem>j;:182M5d;2wem>j::182M5d;2wem>j9:182M5d;2wem>j8:182M5d;2wem>j7:182M5d;2wem>j6:182M5d;2wem>jn:182M5d;2wem>jm:182M5d;2wem>jl:182M5d;2wem>jk:182M5d;2wem>jj:182M5d;2wem>ji:182M5d;2wem>k?:182M5d;2wem>k>:182M5d;2wem>k=:182M5d;2wem>k<:182M5d;2wem>k;:182M5d;2wem>k::182M5d;2wem>k9:182M5d;2wem>k8:182M5d;2wem>k7:182M5d;2wem>k6:182M5d;2wem>kn:182M5d;2wem>km:182M5d;2wem>kl:182M5d;2wem>kk:181M5d;2wem>kj:181M5d;2wem>ki:181M5d;2wem>h?:181M5d;2wem>h>:181M5d;2wem>h=:181M5d;2wem>h<:181M5d;2wem>h;:181M5d;2wem>h::181M5d;2wem>h9:181M5d;2wem>h8:181M5d;2wem>h7:181M5d;2wem>h6:181M5d;2wem>hn:181M5d;2wem>hm:181M5d;2wem>hl:181M5d;2wem>hk:181M5d;2wem>hj:181M5d;2wem>hi:181M5d;2wem9>?:181M5d;2wem9>>:181M5d;2wem9>=:181M5d;2wem9><:181M5d;2wem9>;:181M5d;2wem9>::181M5d;2wem9>9:181M5d;2wem9>8:180M5d;2wem9>7:180M5d;2wem9>6:180M5d;2wem9>n:180M5d;2wem9>m:180M5d;2wem9>l:180M5d;2wem9>k:180M5d;2wem9>j:180M5d;2wem9>i:182M5d;2wem9??:182M5d;2wem9?>:182M5d;2wem9?=:182M5d;2wem9?<:182M5d;2wem9?;:182M5d;2wem9?::182M5d;2wem9?9:182M5d;2wem9?8:182M5d;2wem9?7:182M5d;2wem9?6:182M5d;2wem9?n:182M5d;2wem9?m:182M5d;2wem9?l:182M5d;2wem9?k:182M5d;2wem9?j:182M5d;2wem9?i:182M5d;2wem9:182M5d;2wem9<=:182M5d;2wem9<<:182M5d;2wem9<;:182M5d;2wem9<::182M5d;2wem9<9:182M5d;2wem9<8:182M5d;2wem9<7:182M5d;2wem9<6:182M5d;2wem9:182M5d;2wem9==:182M5d;2wem9=<:182M5d;2wem9=;:182M5d;2wem9=::182M5d;2wem9=9:182M5d;2wem9=8:182M5d;2wem9=7:182M5d;2wem9=6:182M5d;2wem9=n:182M5d;2wem9=m:182M5d;2wem9=l:182M5d;2wem9=k:182M5d;2wem9=j:182M5d;2wem9=i:181M5d;2wem9:?:181M5d;2wem9:>:181M5d;2wem9:=:181M5d;2wem9:<:181M5d;2wem9:;:181M5d;2wem9:::181M5d;2wem9:9:181M5d;2wem9:8:181M5d;2wem9:7:181M5d;2wem9:6:181M5d;2wem9:n:181M5d;2wem9:m:181M5d;2wem9:l:181M5d;2wem9:k:181M5d;2wem9:j:181M5d;2wem9:i:181M5d;2wem9;?:181M5d;2wem9;>:181M5d;2wem9;=:181M5d;2wem9;<:181M5d;2wem9;;:181M5d;2wem9;::181M5d;2wem9;9:181M5d;2wem9;8:181M5d;2wem9;7:181M5d;2wem9;6:180M5d;2wem9;n:180M5d;2wem9;m:180M5d;2wem9;l:180M5d;2wem9;k:180M5d;2wem9;j:180M5d;2wem9;i:180M5d;2wem98?:180M5d;2wem98>:181M5d;2wem98=:181M5d;2wem98<:181M5d;2wem98;:181M5d;2wem98::181M5d;2wem989:181M5d;2wem988:181M5d;2wem987:181M5d;2wem986:181M5d;2wem98n:181M5d;2wem98m:181M5d;2wem98l:181M5d;2wem98k:181M5d;2wem98j:181M5d;2wem98i:181M5d;2wem99?:181M5d;2wem99>:181M5d;2wem99=:181M5d;2wem99<:181M5d;2wem99;:181M5d;2wem99::181M5d;2wem999:181M5d;2wem998:181M5d;2wem997:181M5d;2wem996:181M5d;2wem99n:181M5d;2wem99m:180M5d;2wem99l:180M5d;2wem99k:180M5d;2wem99j:180M5d;2wem99i:180M5d;2wem96?:180M5d;2wem96>:180M5d;2wem96=:180M5d;2wem96<:182M5d;2wem96;:182M5d;2wem96::182M5d;2wem969:182M5d;2wem968:182M5d;2wem967:182M5d;2wem966:182M5d;2wem96n:182M5d;2wem96m:182M5d;2wem96l:182M5d;2wem96k:182M5d;2wem96j:182M5d;2wem96i:182M5d;2wem97?:182M5d;2wem97>:182M5d;2wem97=:182M5d;2wem97<:182M5d;2wem97;:182M5d;2wem97::182M5d;2wem979:182M5d;2wem978:182M5d;2wem977:182M5d;2wem976:182M5d;2wem97n:182M5d;2wem97m:182M5d;2wem97l:182M5d;2wem97k:182M5d;2wem97j:182M5d;2wem97i:182M5d;2wem9o?:182M5d;2wem9o>:182M5d;2wem9o=:182M5d;2wem9o<:182M5d;2wem9o;:182M5d;2wem9o::182M5d;2wem9o9:182M5d;2wem9o8:182M5d;2wem9o7:182M5d;2wem9o6:182M5d;2wem9on:182M5d;2wem9om:182M5d;2wem9ol:182M5d;2wem9ok:182M5d;2wem9oj:182M5d;2wem9oi:182M5d;2wem9l?:182M5d;2wem9l>:182M5d;2wem9l=:182M5d;2wem9l<:181M5d;2wem9l;:181M5d;2wem9l::181M5d;2wem9l9:181M5d;2wem9l8:181M5d;2wem9l7:181M5d;2wem9l6:181M5d;2wem9ln:181M5d;2wem9lm:180M5d;2wem9ll:180M5d;2wem9lk:180M5d;2wem9lj:180M5d;2wem9li:180M5d;2wem9m?:180M5d;2wem9m>:180M5d;2wem9m=:180M5d;2wem9m<:182M5d;2wem9m;:182M5d;2wem9m::182M5d;2wem9m9:182M5d;2wem9m8:182M5d;2wem9m7:182M5d;2wem9m6:182M5d;2wem9mn:182M5d;2wem9mm:182M5d;2wem9ml:182M5d;2wem9mk:182M5d;2wem9mj:182M5d;2wem9mi:182M5d;2wem9j?:182M5d;2wem9j>:182M5d;2wem9j=:182M5d;2wem9j<:182M5d;2wem9j;:182M5d;2wem9j::182M5d;2wem9j9:182M5d;2wem9j8:182M5d;2wem9j7:182M5d;2wem9j6:182M5d;2wem9jn:182M5d;2wem9jm:182M5d;2wem9jl:182M5d;2wem9jk:182M5d;2wem9jj:182M5d;2wem9ji:182M5d;2wem9k?:182M5d;2wem9k>:182M5d;2wem9k=:182M5d;2wem9k<:182M5d;2wem9k;:182M5d;2wem9k::182M5d;2wem9k9:182M5d;2wem9k8:182M5d;2wem9k7:182M5d;2wem9k6:182M5d;2wem9kn:182M5d;2wem9km:182M5d;2wem9kl:182M5d;2wem9kk:182M5d;2wem9kj:182M5d;2wem9ki:182M5d;2wem9h?:182M5d;2wem9h>:182M5d;2wem9h=:182M5d;2wem9h<:181M5d;2wem9h;:181M5d;2wem9h::181M5d;2wem9h9:181M5d;2wem9h8:181M5d;2wem9h7:181M5d;2wem9h6:181M5d;2wem9hn:181M5d;2wem9hm:180M5d;2wem9hl:180M5d;2wem9hk:180M5d;2wem9hj:180M5d;2wem9hi:180M5d;2wem8>?:180M5d;2wem8>>:180M5d;2wem8>=:180M5d;2wem8><:182M5d;2wem8>;:182M5d;2wem8>::182M5d;2wem8>9:182M5d;2wem8>8:182M5d;2wem8>7:182M5d;2wem8>6:182M5d;2wem8>n:182M5d;2wem8>m:182M5d;2wem8>l:182M5d;2wem8>k:182M5d;2wem8>j:182M5d;2wem8>i:182M5d;2wem8??:182M5d;2wem8?>:182M5d;2wem8?=:182M5d;2wem8?<:182M5d;2wem8?;:182M5d;2wem8?::182M5d;2wem8?9:182M5d;2wem8?8:182M5d;2wem8?7:182M5d;2wem8?6:182M5d;2wem8?n:182M5d;2wem8?m:182M5d;2wem8?l:182M5d;2wem8?k:182M5d;2wem8?j:182M5d;2wem8?i:182M5d;2wem8:182M5d;2wem8<=:182M5d;2wem8<<:182M5d;2wem8<;:182M5d;2wem8<::182M5d;2wem8<9:182M5d;2wem8<8:182M5d;2wem8<7:182M5d;2wem8<6:182M5d;2wem8:182M5d;2wem8==:182M5d;2wem8=<:181M5d;2wem8=;:181M5d;2wem8=::181M5d;2wem8=9:181M5d;2wem8=8:181M5d;2wem8=7:181M5d;2wem8=6:181M5d;2wem8=n:181M5d;2wem8=m:180M5d;2wem8=l:180M5d;2wem8=k:180M5d;2wem8=j:180M5d;2wem8=i:180M5d;2wem8:?:180M5d;2wem8:>:180M5d;2wem8:=:180M5d;2wem8:<:181M5d;2wem8:;:181M5d;2wem8:::181M5d;2wem8:9:181M5d;2wem8:8:181M5d;2wem8:7:181M5d;2wem8:6:181M5d;2wem8:n:181M5d;2wem8:m:181M5d;2wem8:l:181M5d;2wem8:k:181M5d;2wem8:j:181M5d;2wem8:i:181M5d;2wem8;?:181M5d;2wem8;>:181M5d;2wem8;=:181M5d;2wem8;<:182M5d;2wem8;;:182M5d;2wem8;::182M5d;2wem8;9:182M5d;2wem8;8:182M5d;2wem8;7:182M5d;2wem8;6:182M5d;2wem8;n:182M5d;2wem8;m:182M5d;2wem8;l:182M5d;2wem8;k:182M5d;2wem8;j:182M5d;2wem8;i:182M5d;2wem88?:182M5d;2wem88>:182M5d;2wem88=:182M5d;2wem88<:182M5d;2wem88;:182M5d;2wem88::182M5d;2wem889:182M5d;2wem888:182M5d;2wem887:182M5d;2wem886:182M5d;2wem88n:182M5d;2wem88m:182M5d;2wem88l:182M5d;2wem88k:182M5d;2wem88j:182M5d;2wem88i:182M5d;2wem89?:182M5d;2wem89>:182M5d;2wem89=:182M5d;2wem89<:182M5d;2wem89;:182M5d;2wem89::182M5d;2wem899:182M5d;2wem898:182M5d;2wem897:182M5d;2wem896:182M5d;2wem89n:182M5d;2wem89m:182M5d;2wem89l:182M5d;2wem89k:182M5d;2wem89j:182M5d;2wem89i:182M5d;2wem86?:182M5d;2wem86>:182M5d;2wem86=:182M5d;2wem86<:181M5d;2wem86;:181M5d;2wem86::181M5d;2wem869:181M5d;2wem868:181M5d;2wem867:181M5d;2wem866:181M5d;2wem86n:181M5d;2wem86m:181M5d;2wem86l:181M5d;2wem86k:181M5d;2wem86j:181M5d;2wem86i:181M5d;2wem87?:181M5d;2wem87>:181M5d;2wem87=:181M5d;2wem87<:181M5d;2wem87;:181M5d;2wem87::181M5d;2wem879:181M5d;2wem878:181M5d;2wem877:181M5d;2wem876:181M5d;2wem87n:181M5d;2wem87m:181M5d;2wem87l:181M5d;2wem87k:181M5d;2wem87j:181M5d;2wem87i:181M5d;2wem8o?:181M5d;2wem8o>:181M5d;2wem8o=:181M5d;2wem8o<:182M5d;2wem8o;:182M5d;2wem8o::182M5d;2wem8o9:182M5d;2wem8o8:182M5d;2wem8o7:182M5d;2wem8o6:182M5d;2wem8on:182M5d;2wem8om:182M5d;2wem8ol:182M5d;2wem8ok:182M5d;2wem8oj:182M5d;2wem8oi:182M5d;2wem8l?:182M5d;2wem8l>:182M5d;2wem8l=:182M5d;2wem8l<:182M5d;2wem8l;:182M5d;2wem8l::182M5d;2wem8l9:182M5d;2wem8l8:182M5d;2wem8l7:182M5d;2wem8l6:182M5d;2wem8ln:182M5d;2wem8lm:182M5d;2wem8ll:182M5d;2wem8lk:182M5d;2wem8lj:182M5d;2wem8li:182M5d;2wem8m?:182M5d;2wem8m>:182M5d;2wem8m=:182M5d;2wem8m<:182M5d;2wem8m;:182M5d;2wem8m::182M5d;2wem8m9:182M5d;2wem8m8:182M5d;2wem8m7:182M5d;2wem8m6:182M5d;2wem8mn:182M5d;2wem8mm:182M5d;2wem8ml:182M5d;2wem8mk:182M5d;2wem8mj:182M5d;2wem8mi:182M5d;2wem8j?:182M5d;2wem8j>:182M5d;2wem8j=:182M5d;2wem8j<:181M5d;2wem8j;:181M5d;2wem8j::181M5d;2wem8j9:181M5d;2wem8j8:181M5d;2wem8j7:181M5d;2wem8j6:181M5d;2wem8jn:181M5d;2wem8jm:181M5d;2wem8jl:181M5d;2wem8jk:181M5d;2wem8jj:181M5d;2wem8ji:181M5d;2wem8k?:181M5d;2wem8k>:181M5d;2wem8k=:181M5d;2wem8k<:181M5d;2wem8k;:181M5d;2wem8k::181M5d;2wem8k9:181M5d;2wem8k8:181M5d;2wem8k7:181M5d;2wem8k6:181M5d;2wem8kn:181M5d;2wem8km:181M5d;2wem8kl:181M5d;2wem8kk:181M5d;2wem8kj:181M5d;2wem8ki:181M5d;2wem8h?:181M5d;2wem8h>:181M5d;2wem8h=:181M5d;2wem8h<:180M5d;2wem8h;:180M5d;2wem8h::180M5d;2wem8h9:180M5d;2wem8h8:180M5d;2wem8h7:180M5d;2wem8h6:180M5d;2wem8hn:180M5d;2wem8hm:180M5d;2wem8hl:180M5d;2wem8hk:180M5d;2wem8hj:180M5d;2wem8hi:180M5d;2wem;>?:180M5d;2wem;>>:180M5d;2wem;>=:180M5d;2wem;><:182M5d;2wem;>;:182M5d;2wem;>::182M5d;2wem;>9:182M5d;2wem;>8:182M5d;2wem;>7:182M5d;2wem;>6:182M5d;2wem;>n:182M5d;2wem;>m:182M5d;2wem;>l:182M5d;2wem;>k:182M5d;2wem;>j:182M5d;2wem;>i:182M5d;2wem;??:182M5d;2wem;?>:182M5d;2wem;?=:182M5d;2wem;?<:182M5d;2wem;?;:182M5d;2wem;?::182M5d;2wem;?9:182M5d;2wem;?8:182M5d;2wem;?7:182M5d;2wem;?6:182M5d;2wem;?n:182M5d;2wem;?m:182M5d;2wem;?l:182M5d;2wem;?k:182M5d;2wem;?j:182M5d;2wem;?i:182M5d;2wem;:182M5d;2wem;<=:182M5d;2wem;<<:182M5d;2wem;<;:182M5d;2wem;<::182M5d;2wem;<9:182M5d;2wem;<8:182M5d;2wem;<7:182M5d;2wem;<6:182M5d;2wem;:182M5d;2wem;==:182M5d;2wem;=<:181M5d;2wem;=;:181M5d;2wem;=::181M5d;2wem;=9:181M5d;2wem;=8:181M5d;2wem;=7:181M5d;2wem;=6:181M5d;2wem;=n:181M5d;2wem;=m:181M5d;2wem;=l:181M5d;2wem;=k:181M5d;2wem;=j:181M5d;2wem;=i:181M5d;2wem;:?:181M5d;2wem;:>:181M5d;2wem;:=:181M5d;2wem;:<:180M5d;2wem;:;:180M5d;2wem;:::180M5d;2wem;:9:180M5d;2wem;:8:180M5d;2wem;:7:180M5d;2wem;:6:180M5d;2wem;:n:180M5d;2wem;:m:180M5d;2wem;:l:180M5d;2wem;:k:180M5d;2wem;:j:180M5d;2wem;:i:180M5d;2wem;;?:180M5d;2wem;;>:180M5d;2wem;;=:180M5d;2wem;;<:182M5d;2wem;;;:182M5d;2wem;;::182M5d;2wem;;9:182M5d;2wem;;8:182M5d;2wem;;7:182M5d;2wem;;6:182M5d;2wem;;n:182M5d;2wem;;m:182M5d;2wem;;l:182M5d;2wem;;k:182M5d;2wem;;j:182M5d;2wem;;i:182M5d;2wem;8?:182M5d;2wem;8>:182M5d;2wem;8=:182M5d;2wem;8<:182M5d;2wem;8;:182M5d;2wem;8::182M5d;2wem;89:182M5d;2wem;88:182M5d;2wem;87:182M5d;2wem;86:182M5d;2wem;8n:182M5d;2wem;8m:182M5d;2wem;8l:182M5d;2wem;8k:182M5d;2wem;8j:182M5d;2wem;8i:182M5d;2wem;9?:182M5d;2wem;9>:182M5d;2wem;9=:182M5d;2wem;9<:182M5d;2wem;9;:182M5d;2wem;9::182M5d;2wem;99:182M5d;2wem;98:182M5d;2wem;97:182M5d;2wem;96:182M5d;2wem;9n:182M5d;2wem;9m:182M5d;2wem;9l:182M5d;2wem;9k:182M5d;2wem;9j:182M5d;2wem;9i:182M5d;2wem;6?:182M5d;2wem;6>:182M5d;2wem;6=:182M5d;2wem;6<:181M5d;2wem;6;:181M5d;2wem;6::181M5d;2wem;69:181M5d;2wem;68:181M5d;2wem;67:181M5d;2wem;66:181M5d;2wem;6n:181M5d;2wem;6m:181M5d;2wem;6l:181M5d;2wem;6k:181M5d;2wem;6j:181M5d;2wem;6i:181M5d;2wem;7?:181M5d;2wem;7>:181M5d;2wem;7=:181M5d;2wem;7<:180M5d;2wem;7;:180M5d;2wem;7::180M5d;2wem;79:180M5d;2wem;78:180M5d;2wem;77:180M5d;2wem;76:180M5d;2wem;7n:180M5d;2wem;7m:180M5d;2wem;7l:180M5d;2wem;7k:180M5d;2wem;7j:180M5d;2wem;7i:180M5d;2wem;o?:180M5d;2wem;o>:180M5d;2wem;o=:180M5d;2wem;o<:182M5d;2wem;o;:182M5d;2wem;o::182M5d;2wem;o9:182M5d;2wem;o8:182M5d;2wem;o7:182M5d;2wem;o6:182M5d;2wem;on:182M5d;2wem;om:182M5d;2wem;ol:182M5d;2wem;ok:182M5d;2wem;oj:182M5d;2wem;oi:182M5d;2wem;l?:182M5d;2wem;l>:182M5d;2wem;l=:182M5d;2wem;l<:182M5d;2wem;l;:182M5d;2wem;l::182M5d;2wem;l9:182M5d;2wem;l8:182M5d;2wem;l7:182M5d;2wem;l6:182M5d;2wem;ln:182M5d;2wem;lm:182M5d;2wem;ll:182M5d;2wem;lk:182M5d;2wem;lj:182M5d;2wem;li:182M5d;2wem;m?:182M5d;2wem;m>:182M5d;2wem;m=:182M5d;2wem;m<:182M5d;2wem;m;:182M5d;2wem;m::182M5d;2wem;m9:182M5d;2wem;m8:182M5d;2wem;m7:182M5d;2wem;m6:182M5d;2wem;mn:182M5d;2wem;mm:182M5d;2wem;ml:182M5d;2wem;mk:182M5d;2wem;mj:182M5d;2wem;mi:182M5d;2wem;j?:182M5d;2wem;j>:182M5d;2wem;j=:182M5d;2wem;j<:181M5d;2wem;j;:181M5d;2wem;j::181M5d;2wem;j9:181M5d;2wem;j8:181M5d;2wem;j7:181M5d;2wem;j6:181M5d;2wem;jn:181M5d;2wem;jm:181M5d;2wem;jl:181M5d;2wem;jk:181M5d;2wem;jj:181M5d;2wem;ji:181M5d;2wem;k?:181M5d;2wem;k>:181M5d;2wem;k=:181M5d;2wem;k<:180M5d;2wem;k;:180M5d;2wem;k::180M5d;2wem;k9:180M5d;2wem;k8:180M5d;2wem;k7:180M5d;2wem;k6:180M5d;2wem;kn:180M5d;2wem;km:180M5d;2wem;kl:180M5d;2wem;kk:180M5d;2wem;kj:180M5d;2wem;ki:180M5d;2wem;h?:180M5d;2wem;h>:180M5d;2wem;h=:180M5d;2wem;h<:181M5d;2wem;h;:181M5d;2wem;h::181M5d;2wem;h9:181M5d;2wem;h8:181M5d;2wem;h7:181M5d;2wem;h6:181M5d;2wem;hn:181M5d;2wem;hm:181M5d;2wem;hl:181M5d;2wem;hk:181M5d;2wem;hj:181M5d;2wem;hi:181M5d;2wem:>?:181M5d;2wem:>>:181M5d;2wem:>=:181M5d;2wem:><:180M5d;2wem:>;:180M5d;2wem:>::180M5d;2wem:>9:180M5d;2wem:>8:180M5d;2wem:>7:180M5d;2wem:>6:180M5d;2wem:>n:180M5d;2wem:>m:180M5d;2wem:>l:180M5d;2wem:>k:180M5d;2wem:>j:180M5d;2wem:>i:180M5d;2wem:??:180M5d;2wem:?>:180M5d;2wem:?=:180M5d;2wem:?<:182M5d;2wem:?;:182M5d;2wem:?::182M5d;2wem:?9:182M5d;2wem:?8:182M5d;2wem:?7:182M5d;2wem:?6:182M5d;2wem:?n:182M5d;2wem:?m:182M5d;2wem:?l:182M5d;2wem:?k:182M5d;2wem:?j:182M5d;2wem:?i:182M5d;2wem::182M5d;2wem:<=:182M5d;2wem:<<:182M5d;2wem:<;:182M5d;2wem:<::182M5d;2wem:<9:182M5d;2wem:<8:182M5d;2wem:<7:182M5d;2wem:<6:182M5d;2wem::182M5d;2wem:==:182M5d;2wem:=<:182M5d;2wem:=;:182M5d;2wem:=::182M5d;2wem:=9:182M5d;2wem:=8:182M5d;2wem:=7:182M5d;2wem:=6:182M5d;2wem:=n:182M5d;2wem:=m:182M5d;2wem:=l:182M5d;2wem:=k:182M5d;2wem:=j:182M5d;2wem:=i:182M5d;2wem::?:182M5d;2wem::>:182M5d;2wem::=:182M5d;2wem::<:181M5d;2wem::;:181M5d;2wem::::181M5d;2wem::9:181M5d;2wem::8:181M5d;2wem::7:181M5d;2wem::6:181M5d;2wem::n:181M5d;2wem::m:181M5d;2wem::l:181M5d;2wem::k:181M5d;2wem::j:181M5d;2wem::i:181M5d;2wem:;?:181M5d;2wem:;>:181M5d;2wem:;=:181M5d;2wem:;<:180M5d;2wem:;;:180M5d;2wem:;::180M5d;2wem:;9:180M5d;2wem:;8:180M5d;2wem:;7:180M5d;2wem:;6:180M5d;2wem:;n:180M5d;2wem:;m:180M5d;2wem:;l:180M5d;2wem:;k:180M5d;2wem:;j:180M5d;2wem:;i:180M5d;2wem:8?:180M5d;2wem:8>:180M5d;2wem:8=:180M5d;2wem:8<:182M5d;2wem:8;:182M5d;2wem:8::182M5d;2wem:89:182M5d;2wem:88:182M5d;2wem:87:182M5d;2wem:86:182M5d;2wem:8n:182M5d;2wem:8m:182M5d;2wem:8l:182M5d;2wem:8k:182M5d;2wem:8j:182M5d;2wem:8i:182M5d;2wem:9?:182M5d;2wem:9>:182M5d;2wem:9=:182M5d;2wem:9<:182M5d;2wem:9;:182M5d;2wem:9::182M5d;2wem:99:182M5d;2wem:98:182M5d;2wem:97:182M5d;2wem:96:182M5d;2wem:9n:182M5d;2wem:9m:182M5d;2wem:9l:182M5d;2wem:9k:182M5d;2wem:9j:182M5d;2wem:9i:182M5d;2wem:6?:182M5d;2wem:6>:182M5d;2wem:6=:182M5d;2wem:6<:182M5d;2wem:6;:182M5d;2wem:6::182M5d;2wem:69:182M5d;2wem:68:182M5d;2wem:67:182M5d;2wem:66:182M5d;2wem:6n:182M5d;2wem:6m:182M5d;2wem:6l:182M5d;2wem:6k:182M5d;2wem:6j:182M5d;2wem:6i:182M5d;2wem:7?:182M5d;2wem:7>:182M5d;2wem:7=:182M5d;2wem:7<:181M5d;2wem:7;:181M5d;2wem:7::181M5d;2wem:79:181M5d;2wem:78:181M5d;2wem:77:181M5d;2wem:76:181M5d;2wem:7n:181M5d;2wem:7m:181M5d;2wem:7l:181M5d;2wem:7k:181M5d;2wem:7j:181M5d;2wem:7i:181M5d;2wem:o?:181M5d;2wem:o>:181M5d;2wem:o=:181M5d;2wem:o<:180M5d;2wem:o;:180M5d;2wem:o::180M5d;2wem:o9:180M5d;2wem:o8:180M5d;2wem:o7:180M5d;2wem:o6:180M5d;2wem:on:180M5d;2wem:om:180M5d;2wem:ol:180M5d;2wem:ok:180M5d;2wem:oj:180M5d;2wem:oi:180M5d;2wem:l?:180M5d;2wem:l>:180M5d;2wem:l=:180M5d;2wem:l<:182M5d;2wem:l;:182M5d;2wem:l::182M5d;2wem:l9:182M5d;2wem:l8:182M5d;2wem:l7:182M5d;2wem:l6:182M5d;2wem:ln:182M5d;2wem:lm:182M5d;2wem:ll:182M5d;2wem:lk:182M5d;2wem:lj:182M5d;2wem:li:182M5d;2wem:m?:182M5d;2wem:m>:182M5d;2wem:m=:182M5d;2wem:m<:182M5d;2wem:m;:182M5d;2wem:m::182M5d;2wem:m9:182M5d;2wem:m8:182M5d;2wem:m7:182M5d;2wem:m6:182M5d;2wem:mn:182M5d;2wem:mm:182M5d;2wem:ml:182M5d;2wem:mk:182M5d;2wem:mj:182M5d;2wem:mi:182M5d;2wem:j?:182M5d;2wem:j>:182M5d;2wem:j=:182M5d;2wem:j<:182M5d;2wem:j;:182M5d;2wem:j::182M5d;2wem:j9:182M5d;2wem:j8:182M5d;2wem:j7:182M5d;2wem:j6:182M5d;2wem:jn:182M5d;2wem:jm:182M5d;2wem:jl:182M5d;2wem:jk:182M5d;2wem:jj:182M5d;2wem:ji:182M5d;2wem:k?:182M5d;2wem:k>:182M5d;2wem:k=:182M5d;2wem:k<:181M5d;2wem:k;:181M5d;2wem:k::181M5d;2wem:k9:181M5d;2wem:k8:181M5d;2wem:k7:181M5d;2wem:k6:181M5d;2wem:kn:181M5d;2wem:km:181M5d;2wem:kl:181M5d;2wem:kk:181M5d;2wem:kj:181M5d;2wem:ki:181M5d;2wem:h?:181M5d;2wem:h>:181M5d;2wem:h=:181M5d;2wem:h<:180M5d;2wem:h;:180M5d;2wem:h::180M5d;2wem:h9:180M5d;2wem:h8:180M5d;2wem:h7:180M5d;2wem:h6:180M5d;2wem:hn:180M5d;2wem:hm:180M5d;2wem:hl:180M5d;2wem:hk:180M5d;2wem:hj:180M5d;2wem:hi:180M5d;2wem5>?:180M5d;2wem5>>:180M5d;2wem5>=:180M5d;2wem5><:181M5d;2wem5>;:181M5d;2wem5>::181M5d;2wem5>9:181M5d;2wem5>8:181M5d;2wem5>7:181M5d;2wem5>6:181M5d;2wem5>n:181M5d;2wem5>m:181M5d;2wem5>l:181M5d;2wem5>k:181M5d;2wem5>j:181M5d;2wem5>i:181M5d;2wem5??:181M5d;2wem5?>:181M5d;2wem5?=:186M5d;2wem5?<:186M5d;2wem5?;:186M5d;2wem5?::186M5d;2wem5?9:181M5d;2wem5?8:181M5d;2wem5?7:181M5d;2wem5?6:181M5d;2wem5?n:186M5d;2wem5?m:186M5d;2wem5?l:186M5d;2wem5?k:186M5d;2wem5?j:186M5d;2wem5?i:181M5d;2wem5:186M5d;2wem5<=:186M5d;2wem5<<:186M5d;2wem5<;:186M5d;2wem5<::186M5d;2wem5<9:181M5d;2wem5<8:181M5d;2wem5<7:186M5d;2wem5<6:186M5d;2wem5:186M5d;2wem5==:181M5d;2wem5=<:181M5d;2wem5=;:181M5d;2wem5=::181M5d;2wem5=9:181M5d;2wem5=8:181M5d;2wem5=7:181M5d;2wem5=6:181M5d;2wem5=n:181M5d;2wem5=m:181M5d;2wem5=l:181M5d;2wem5=k:181M5d;2wem5=j:181M5d;2wem5=i:181M5d;2wem5:?:181M5d;2wem5:>:181M5d;2wem5:=:181M5d;2wem5:<:181M5d;2wem5:;:181M5d;2wem5:::181M5d;2wem5:9:181M5d;2wem5:8:181M5d;2wem5:7:181M5d;2wem5:6:181M5d;2wem5:n:181M5d;2wem5:m:181M5d;2wem5:l:181M5d;2wem5:k:181M5d;2wem5:j:181M5d;2wem5:i:181M5d;2wem5;?:181M5d;2wem5;>:181M5d;2wem5;=:181M5d;2wem5;<:181M5d;2wem5;;:181M5d;2wem5;::181M5d;2wem5;9:181M5d;2wem5;8:181M5d;2wem5;7:181M5d;2wem5;6:181M5d;2wem5;n:181M5d;2wem5;m:181M5d;2wem5;l:181M5d;2wem5;k:181M5d;2wem5;j:181M5d;2wem5;i:181M5d;2wem58?:181M5d;2wem58>:181M5d;2wem58=:181M5d;2wem58<:181M5d;2wem58;:181M5d;2wem58::181M5d;2wem589:181M5d;2wem588:181M5d;2wem587:181M5d;2wem586:181M5d;2wem58n:181M5d;2wem58m:181M5d;2wem58l:181M5d;2wem58k:181M5d;2wem58j:181M5d;2wem58i:181M5d;2wem59?:181M5d;2wem59>:181M5d;2wem59=:181M5d;2wem59<:181M5d;2wem59;:181M5d;2wem59::181M5d;2wem599:181M5d;2wem598:181M5d;2wem597:181M5d;2wem596:181M5d;2wem59n:181M5d;2wem59m:181M5d;2wem59l:181M5d;2wem59k:181M5d;2wem59j:181M5d;2wem59i:181M5d;2wem56?:181M5d;2wem56>:181M5d;2wem56=:181M5d;2wem56<:181M5d;2wem56;:181M5d;2wem56::181M5d;2wem569:181M5d;2wem568:181M5d;2wem567:181M5d;2wem566:181M5d;2wem56n:181M5d;2wem56m:181M5d;2wem56l:181M5d;2wem56k:181M5d;2wem56j:181M5d;2wem56i:181M5d;2wem57?:181M5d;2wem57>:181M5d;2wem57=:181M5d;2wem57<:181M5d;2wem57;:181M5d;2wem57::181M5d;2wem579:181M5d;2wem578:181M5d;2wem577:181M5d;2wem576:181M5d;2wem57n:181M5d;2wem57m:181M5d;2wem57l:181M5d;2wem57k:181M5d;2wem57j:181M5d;2wem57i:181M5d;2wem5o?:181M5d;2wem5o>:181M5d;2wem5o=:181M5d;2wem5o<:181M5d;2wem5o;:181M5d;2wem5o::181M5d;2wem5o9:181M5d;2wem5o8:181M5d;2wem5o7:181M5d;2wem5o6:181M5d;2wem5on:181M5d;2wem5om:181M5d;2wem5ol:181M5d;2wem5ok:181M5d;2wem5oj:181M5d;2wem5oi:181M5d;2wem5l?:181M5d;2wem5l>:181M5d;2wem5l=:181M5d;2wem5l<:181M5d;2wem5l;:181M5d;2wem5l::181M5d;2wem5l9:181M5d;2wem5l8:181M5d;2wem5l7:181M5d;2wem5l6:181M5d;2wem5ln:181M5d;2wem5lm:181M5d;2wem5ll:181M5d;2wem5lk:181M5d;2wem5lj:181M5d;2wem5li:181M5d;2wem5m?:181M5d;2wem5m>:181M5d;2wem5m=:181M5d;2wem5m<:181M5d;2wem5m;:181M5d;2wem5m::181M5d;2wem5m9:181M5d;2wem5m8:181M5d;2wem5m7:181M5d;2wem5m6:181M5d;2wem5mn:181M5d;2wem5mm:181M5d;2wem5ml:181M5d;2wem5mk:181M5d;2wem5mj:181M5d;2wem5mi:181M5d;2wem5j?:181M5d;2wem5j>:181M5d;2wem5j=:181M5d;2wem5j<:181M5d;2wem5j;:181M5d;2wem5j::181M5d;2wem5j9:181M5d;2wem5j8:181M5d;2wem5j7:181M5d;2wem5j6:181M5d;2wem5jn:181M5d;2wem5jm:181M5d;2wem5jl:181M5d;2wem5jk:181M5d;2wem5jj:181M5d;2wem5ji:181M5d;2wem5k?:181M5d;2wem5k>:181M5d;2wem5k=:181M5d;2wem5k<:181M5d;2wem5k;:181M5d;2wem5k::181M5d;2wem5k9:181M5d;2wem5k8:181M5d;2wem5k7:181M5d;2wem5k6:181M5d;2wem5kn:181M5d;2wem5km:181M5d;2wem5kl:181M5d;2wem5kk:181M5d;2wem5kj:181M5d;2wem5ki:181M5d;2wem5h?:181M5d;2wem5h>:181M5d;2wem5h=:181M5d;2wem5h<:181M5d;2wem5h;:181M5d;2wem5h::181M5d;2wem5h9:181M5d;2wem5h8:181M5d;2wem5h7:181M5d;2wem5h6:181M5d;2wem5hn:181M5d;2wem5hm:181M5d;2wem5hl:181M5d;2wem5hk:181M5d;2wem5hj:181M5d;2wem5hi:181M5d;2wem4>?:181M5d;2wem4>>:181M5d;2wem4>=:181M5d;2wem4><:181M5d;2wem4>;:181M5d;2wem4>::181M5d;2wem4>9:181M5d;2wem4>8:181M5d;2wem4>7:181M5d;2wem4>6:181M5d;2wem4>n:181M5d;2wem4>m:181M5d;2wem4>l:181M5d;2wem4>k:181M5d;2wem4>j:181M5d;2wem4>i:181M5d;2wem4??:181M5d;2wem4?>:181M5d;2wem4?=:180M5d;2wem4?<:180M5d;2wem4?;:180M5d;2wem4?::180M5d;2wem4?9:180M5d;2wem4?8:180M5d;2wem4?7:180M5d;2wem4?6:180M5d;2wem4?n:180M5d;2wem4?m:180M5d;2wem4?l:180M5d;2wem4?k:180M5d;2wem4?j:180M5d;2wem4?i:180M5d;2wem4:180M5d;2wem4<=:180M5d;2wem4<<:180M5d;2wem4<;:180M5d;2wem4<::180M5d;2wem4<9:180M5d;2wem4<8:180M5d;2wem4<7:180M5d;2wem4<6:180M5d;2wem4:180M5d;2wem4==:180M5d;2wem4=<:180M5d;2wem4=;:180M5d;2wem4=::180M5d;2wem4=9:180M5d;2wem4=8:180M5d;2wem4=7:180M5d;2wem4=6:180M5d;2wem4=n:180M5d;2wem4=m:180M5d;2wem4=l:180M5d;2wem4=k:180M5d;2wem4=j:180M5d;2wem4=i:180M5d;2wem4:?:180M5d;2wem4:>:180M5d;2wem4:=:180M5d;2wem4:<:180M5d;2wem4:;:180M5d;2wem4:::180M5d;2wem4:9:180M5d;2wem4:8:180M5d;2wem4:7:180M5d;2wem4:6:180M5d;2wem4:n:180M5d;2wem4:m:180M5d;2wem4:l:180M5d;2wem4:k:180M5d;2wem4:j:180M5d;2wem4:i:180M5d;2wem4;?:180M5d;2wem4;>:180M5d;2wem4;=:180M5d;2wem4;<:180M5d;2wem4;;:180M5d;2wem4;::180M5d;2wem4;9:180M5d;2wem4;8:180M5d;2wem4;7:180M5d;2wem4;6:180M5d;2wem4;n:180M5d;2wem4;m:180M5d;2wem4;l:180M5d;2wem4;k:180M5d;2wem4;j:180M5d;2wem4;i:180M5d;2wem48?:180M5d;2wem48>:180M5d;2wem48=:180M5d;2wem48<:180M5d;2wem48;:180M5d;2wem48::180M5d;2wem489:180M5d;2wem488:180M5d;2wem487:180M5d;2wem486:180M5d;2wem48n:180M5d;2wem48m:180M5d;2wem48l:180M5d;2wem48k:180M5d;2wem48j:180M5d;2wem48i:180M5d;2wem49?:180M5d;2wem49>:180M5d;2wem49=:180M5d;2wem49<:180M5d;2wem49;:180M5d;2wem49::180M5d;2wem499:180M5d;2wem498:180M5d;2wem497:180M5d;2wem496:180M5d;2wem49n:180M5d;2wem49m:180M5d;2wem49l:180M5d;2wem49k:180M5d;2wem49j:181M5d;2wem49i:181M5d;2wem46?:181M5d;2wem46>:181M5d;2wem46=:181M5d;2wem46<:181M5d;2wem46;:181M5d;2wem46::181M5d;2wem469:181M5d;2wem468:181M5d;2wem467:181M5d;2wem466:181M5d;2wem46n:181M5d;2wem46m:181M5d;2wem46l:181M5d;2wem46k:181M5d;2wem46j:181M5d;2wem46i:181M5d;2wem47?:181M5d;2wem47>:181M5d;2wem47=:181M5d;2wem47<:181M5d;2wem47;:181M5d;2wem47::181M5d;2wem479:181M5d;2wem478:181M5d;2wem477:181M5d;2wem476:181M5d;2wem47n:181M5d;2wem47m:181M5d;2wem47l:181M5d;2wem47k:181M5d;2wem47j:181M5d;2wem47i:181M5d;2wem4o?:181M5d;2wem4o>:181M5d;2wem4o=:181M5d;2wem4o<:181M5d;2wem4o;:181M5d;2wem4o::181M5d;2wem4o9:181M5d;2wem4o8:181M5d;2wem4o7:181M5d;2wem4o6:181M5d;2wem4on:181M5d;2wem4om:181M5d;2wem4ol:181M5d;2wem4ok:181M5d;2wem4oj:181M5d;2wem4oi:181M5d;2wem4l?:181M5d;2wem4l>:181M5d;2wem4l=:181M5d;2wem4l<:181M5d;2wem4l;:181M5d;2wem4l::181M5d;2wem4l9:181M5d;2wem4l8:181M5d;2wem4l7:181M5d;2wem4l6:181M5d;2wem4ln:181M5d;2wem4lm:181M5d;2wem4ll:181M5d;2wem4lk:181M5d;2wem4lj:181M5d;2wem4li:181M5d;2wem4m?:181M5d;2wem4m>:181M5d;2wem4m=:181M5d;2wem4m<:181M5d;2wem4m;:181M5d;2wem4m::181M5d;2wem4m9:181M5d;2wem4m8:181M5d;2wem4m7:181M5d;2wem4m6:181M5d;2wem4mn:181M5d;2wem4mm:181M5d;2wem4ml:181M5d;2wem4mk:181M5d;2wem4mj:181M5d;2wem4mi:181M5d;2wem4j?:181M5d;2wem4j>:181M5d;2wem4j=:181M5d;2wem4j<:181M5d;2wem4j;:181M5d;2wem4j::181M5d;2wem4j9:181M5d;2wem4j8:181M5d;2wem4j7:181M5d;2wem4j6:181M5d;2wem4jn:181M5d;2wem4jm:181M5d;2wem4jl:181M5d;2wem4jk:181M5d;2wem4jj:181M5d;2wem4ji:181M5d;2wem4k?:181M5d;2wem4k>:181M5d;2wem4k=:181M5d;2wem4k<:181M5d;2wem4k;:181M5d;2wem4k::181M5d;2wem4k9:181M5d;2wem4k8:181M5d;2wem4k7:181M5d;2wem4k6:181M5d;2wem4kn:181M5d;2wem4km:181M5d;2wem4kl:181M5d;2wem4kk:181M5d;2wem4kj:182M5d;2wem4ki:182M5d;2wem4h?:181M5d;2wem4h>:182M5d;2wem4h=:182M5d;2wem4h<:182M5d;2wem4h;:182M5d;2wem4h::182M5d;2wem4h9:182M5d;2wem4h8:182M5d;2wem4h7:182M5d;2wem4h6:182M5d;2wem4hn:182M5d;2wem4hm:182M5d;2wem4hl:182M5d;2wem4hk:182M5d;2wem4hj:182M5d;2wem4hi:182M5d;2weml>?:182M5d;2weml>>:182M5d;2weml>=:182M5d;2weml><:182M5d;2weml>;:182M5d;2weml>::182M5d;2weml>9:182M5d;2weml>8:182M5d;2weml>7:182M5d;2weml>6:182M5d;2weml>n:182M5d;2weml>m:182M5d;2weml>l:182M5d;2weml>k:182M5d;2weml>j:182M5d;2weml>i:182M5d;2weml??:182M5d;2weml?>:182M5d;2weml?=:182M5d;2weml?<:182M5d;2weml?;:182M5d;2weml?::182M5d;2weml?9:182M5d;2weml?8:182M5d;2weml?7:182M5d;2weml?6:182M5d;2weml?n:182M5d;2weml?m:182M5d;2weml?l:182M5d;2weml?k:182M5d;2weml?j:182M5d;2weml?i:182M5d;2weml:182M5d;2weml<=:182M5d;2weml<<:182M5d;2weml<;:182M5d;2weml<::182M5d;2weml<9:182M5d;2weml<8:182M5d;2weml<7:182M5d;2weml<6:182M5d;2weml:182M5d;2weml==:182M5d;2weml=<:182M5d;2weml=;:182M5d;2weml=::182M5d;2weml=9:182M5d;2weml=8:182M5d;2weml=7:182M5d;2weml=6:182M5d;2weml=n:182M5d;2weml=m:182M5d;2weml=l:182M5d;2weml=k:182M5d;2weml=j:182M5d;2weml=i:182M5d;2weml:?:182M5d;2weml:>:182M5d;2weml:=:182M5d;2weml:<:182M5d;2weml:;:182M5d;2weml:::182M5d;2weml:9:182M5d;2weml:8:182M5d;2weml:7:182M5d;2weml:6:182M5d;2weml:n:182M5d;2weml:m:182M5d;2weml:l:182M5d;2weml:k:182M5d;2weml:j:182M5d;2weml:i:182M5d;2weml;?:182M5d;2weml;>:182M5d;2weml;=:182M5d;2weml;<:182M5d;2weml;;:182M5d;2weml;::182M5d;2weml;9:182M5d;2weml;8:182M5d;2weml;7:182M5d;2weml;6:182M5d;2weml;n:182M5d;2weml;m:182M5d;2weml;l:182M5d;2weml;k:182M5d;2weml;j:182M5d;2weml;i:182M5d;2weml8?:182M5d;2weml8>:182M5d;2weml8=:182M5d;2weml8<:182M5d;2weml8;:182M5d;2weml8::182M5d;2weml89:182M5d;2weml88:182M5d;2weml87:182M5d;2weml86:182M5d;2weml8n:182M5d;2weml8m:182M5d;2weml8l:182M5d;2weml8k:182M5d;2weml8j:182M5d;2weml8i:182M5d;2weml9?:182M5d;2weml9>:182M5d;2weml9=:182M5d;2weml9<:182M5d;2weml9;:182M5d;2weml9::182M5d;2weml99:182M5d;2weml98:182M5d;2weml97:182M5d;2weml96:182M5d;2weml9n:182M5d;2weml9m:182M5d;2weml9l:182M5d;2weml9k:182M5d;2weml9j:182M5d;2weml9i:182M5d;2weml6?:182M5d;2weml6>:182M5d;2weml6=:182M5d;2weml6<:182M5d;2weml6;:182M5d;2weml6::182M5d;2weml69:182M5d;2weml68:182M5d;2weml67:182M5d;2weml66:182M5d;2weml6n:182M5d;2weml6m:182M5d;2weml6l:182M5d;2weml6k:182M5d;2weml6j:182M5d;2weml6i:182M5d;2weml7?:182M5d;2weml7>:182M5d;2weml7=:182M5d;2weml7<:182M5d;2weml7;:182M5d;2weml7::182M5d;2weml79:182M5d;2weml78:182M5d;2weml77:182M5d;2weml76:182M5d;2weml7n:182M5d;2weml7m:182M5d;2weml7l:182M5d;2weml7k:182M5d;2weml7j:182M5d;2weml7i:182M5d;2wemlo?:182M5d;2wemlo>:182M5d;2wemlo=:182M5d;2wemlo<:182M5d;2wemlo;:182M5d;2wemlo::182M5d;2wemlo9:182M5d;2wemlo8:182M5d;2wemlo7:182M5d;2wemlo6:182M5d;2wemlon:182M5d;2wemlom:182M5d;2wemlol:182M5d;2wemlok:182M5d;2wemloj:182M5d;2wemloi:182M5d;2wemll?:182M5d;2wemll>:182M5d;2wemll=:182M5d;2wemll<:182M5d;2wemll;:182M5d;2wemll::182M5d;2wemll9:182M5d;2wemll8:182M5d;2wemll7:182M5d;2wemll6:182M5d;2wemlln:182M5d;2wemllm:182M5d;2wemlll:182M5d;2wemllk:182M5d;2wemllj:182M5d;2wemlli:182M5d;2wemlm?:182M5d;2wemlm>:182M5d;2wemlm=:182M5d;2wemlm<:182M5d;2wemlm;:182M5d;2wemlm::182M5d;2wemlm9:182M5d;2wemlm8:182M5d;2wemlm7:182M5d;2wemlm6:182M5d;2wemlmn:182M5d;2wemlmm:182M5d;2wemlml:182M5d;2wemlmk:182M5d;2wemlmj:182M5d;2wemlmi:182M5d;2wemlj?:182M5d;2wemlj>:182M5d;2wemlj=:182M5d;2wemlj<:182M5d;2wemlj;:182M5d;2wemlj::182M5d;2wemlj9:182M5d;2wemlj8:182M5d;2wemlj7:182M5d;2wemlj6:182M5d;2wemljn:182M5d;2wemljm:182M5d;2wemljl:182M5d;2wemljk:182M5d;2wemljj:182M5d;2wemlji:182M5d;2wemlk?:182M5d;2wemlk>:182M5d;2wemlk=:182M5d;2wemlk<:182M5d;2wemlk;:182M5d;2wemlk::182M5d;2wemlk9:182M5d;2wemlk8:182M5d;2wemlk7:182M5d;2wemlk6:182M5d;2wemlkn:182M5d;2wemlkm:182M5d;2wemlkl:182M5d;2wemlkk:182M5d;2wemlkj:182M5d;2wemlki:182M5d;2wemlh?:182M5d;2wemlh>:182M5d;2wemlh=:182M5d;2wemlh<:182M5d;2wemlh;:182M5d;2wemlh::182M5d;2wemlh9:182M5d;2wemlh8:182M5d;2wemlh7:182M5d;2wemlh6:182M5d;2wemlhn:182M5d;2wemlhm:182M5d;2wemlhl:182M5d;2wemlhk:182M5d;2wemlhj:182M5d;2wemlhi:182M5d;2wemo>?:182M5d;2wemo>>:182M5d;2wemo>=:182M5d;2wemo><:182M5d;2wemo>;:182M5d;2wemo>::182M5d;2wemo>9:182M5d;2wemo>8:182M5d;2wemo>7:182M5d;2wemo>6:182M5d;2wemo>n:182M5d;2wemo>m:182M5d;2wemo>l:182M5d;2wemo>k:182M5d;2wemo>j:182M5d;2wemo>i:182M5d;2wemo??:182M5d;2wemo?>:182M5d;2wemo?=:182M5d;2wemo?<:182M5d;2wemo?;:182M5d;2wemo?::182M5d;2wemo?9:182M5d;2wemo?8:182M5d;2wemo?7:182M5d;2wemo?6:182M5d;2wemo?n:182M5d;2wemo?m:182M5d;2wemo?l:182M5d;2wemo?k:182M5d;2wemo?j:182M5d;2wemo?i:182M5d;2wemo:182M5d;2wemo<=:182M5d;2wemo<<:182M5d;2wemo<;:182M5d;2wemo<::182M5d;2wemo<9:182M5d;2wemo<8:182M5d;2wemo<7:182M5d;2wemo<6:182M5d;2wemo:182M5d;2wemo==:182M5d;2wemo=<:182M5d;2wemo=;:182M5d;2wemo=::182M5d;2wemo=9:182M5d;2wemo=8:182M5d;2wemo=7:182M5d;2wemo=6:182M5d;2wemo=n:182M5d;2wemo=m:182M5d;2wemo=l:182M5d;2wemo=k:182M5d;2wemo=j:182M5d;2wemo=i:182M5d;2wemo:?:182M5d;2wemo:>:182M5d;2wemo:=:182M5d;2wemo:<:182M5d;2wemo:;:182M5d;2wemo:::182M5d;2wemo:9:182M5d;2wemo:8:182M5d;2wemo:7:182M5d;2wemo:6:182M5d;2wemo:n:182M5d;2wemo:m:182M5d;2wemo:l:182M5d;2wemo:k:182M5d;2wemo:j:182M5d;2wemo:i:182M5d;2wemo;?:182M5d;2wemo;>:182M5d;2wemo;=:182M5d;2wemo;<:182M5d;2wemo;;:182M5d;2wemo;::182M5d;2wemo;9:182M5d;2wemo;8:182M5d;2wemo;7:182M5d;2wemo;6:182M5d;2wemo;n:182M5d;2wemo;m:182M5d;2wemo;l:182M5d;2wemo;k:182M5d;2wemo;j:182M5d;2wemo;i:182M5d;2wemo8?:182M5d;2wemo8>:182M5d;2wemo8=:182M5d;2wemo8<:182M5d;2wemo8;:182M5d;2wemo8::182M5d;2wemo89:182M5d;2wemo88:182M5d;2wemo87:182M5d;2wemo86:182M5d;2wemo8n:182M5d;2wemo8m:182M5d;2wemo8l:182M5d;2wemo8k:182M5d;2wemo8j:182M5d;2wemo8i:182M5d;2wemo9?:182M5d;2wemo9>:182M5d;2wemo9=:182M5d;2wemo9<:182M5d;2wemo9;:182M5d;2wemo9::182M5d;2wemo99:182M5d;2wemo98:182M5d;2wemo97:182M5d;2wemo96:182M5d;2wemo9n:182M5d;2wemo9m:182M5d;2wemo9l:182M5d;2wemo9k:182M5d;2wemo9j:182M5d;2wemo9i:182M5d;2wemo6?:182M5d;2wemo6>:182M5d;2wemo6=:182M5d;2wemo6<:182M5d;2wemo6;:182M5d;2wemo6::182M5d;2wemo69:182M5d;2wemo68:182M5d;2wemo67:182M5d;2wemo66:182M5d;2wemo6n:182M5d;2wemo6m:182M5d;2wemo6l:182M5d;2wemo6k:182M5d;2wemo6j:182M5d;2wemo6i:182M5d;2wemo7?:182M5d;2wemo7>:182M5d;2wemo7=:182M5d;2wemo7<:182M5d;2wemo7;:182M5d;2wemo7::182M5d;2wemo79:182M5d;2wemo78:182M5d;2wemo77:182M5d;2wemo76:182M5d;2wemo7n:182M5d;2wemo7m:182M5d;2wemo7l:182M5d;2wemo7k:182M5d;2wemo7j:182M5d;2wemo7i:182M5d;2wemoo?:182M5d;2wemoo>:182M5d;2wemoo=:182M5d;2wemoo<:182M5d;2wemoo;:182M5d;2wemoo::182M5d;2wemoo9:182M5d;2wemoo8:182M5d;2wemoo7:182M5d;2wemoo6:182M5d;2wemoon:182M5d;2wemoom:182M5d;2wemool:182M5d;2wemook:182M5d;2wemooj:182M5d;2wemooi:182M5d;2wemol?:182M5d;2wemol>:182M5d;2wemol=:182M5d;2wemol<:182M5d;2wemol;:182M5d;2wemol::182M5d;2wemol9:182M5d;2wemol8:182M5d;2wemol7:182M5d;2wemol6:182M5d;2wemoln:182M5d;2wemolm:182M5d;2wemoll:182M5d;2wemolk:182M5d;2wemolj:182M5d;2wemoli:182M5d;2wemom?:182M5d;2wemom>:182M5d;2wemom=:182M5d;2wemom<:182M5d;2wemom;:182M5d;2wemom::182M5d;2wemom9:182M5d;2wemom8:182M5d;2wemom7:182M5d;2wemom6:182M5d;2wemomn:182M5d;2wemomm:182M5d;2wemoml:182M5d;2wemomk:182M5d;2wemomj:182M5d;2wemomi:182M5d;2wemoj?:182M5d;2wemoj>:182M5d;2wemoj=:182M5d;2wemoj<:182M5d;2wemoj;:182M5d;2wemoj::182M5d;2wemoj9:182M5d;2wemoj8:182M5d;2wemoj7:182M5d;2wemoj6:182M5d;2wemojn:182M5d;2wemojm:182M5d;2wemojl:182M5d;2wemojk:182M5d;2wemojj:182M5d;2wemoji:182M5d;2wemok?:182M5d;2wemok>:182M5d;2wemok=:182M5d;2wemok<:182M5d;2wemok;:182M5d;2wemok::182M5d;2wemok9:182M5d;2wemok8:182M5d;2wemok7:182M5d;2wemok6:182M5d;2wemokn:182M5d;2wemokm:182M5d;2wemokl:182M5d;2wemokk:182M5d;2wemokj:182M5d;2wemoki:182M5d;2wemoh?:182M5d;2wemoh>:182M5d;2wemoh=:182M5d;2wemoh<:182M5d;2wemoh;:182M5d;2wemoh::182M5d;2wemoh9:182M5d;2wemoh8:182M5d;2wemoh7:182M5d;2wemoh6:182M5d;2wemohn:182M5d;2wemohm:182M5d;2wemohl:182M5d;2wemohk:182M5d;2wemohj:182M5d;2wemohi:182M5d;2wemn>?:182M5d;2wemn>>:182M5d;2wemn>=:182M5d;2wemn><:182M5d;2wemn>;:182M5d;2wemn>::182M5d;2wemn>9:182M5d;2wemn>8:182M5d;2wemn>7:182M5d;2wemn>6:182M5d;2wemn>n:182M5d;2wemn>m:182M5d;2wemn>l:182M5d;2wemn>k:182M5d;2wemn>j:182M5d;2wemn>i:182M5d;2wemn??:182M5d;2wemn?>:182M5d;2wemn?=:182M5d;2wemn?<:182M5d;2wemn?;:182M5d;2wemn?::182M5d;2wemn?9:182M5d;2wemn?8:182M5d;2wemn?7:182M5d;2wemn?6:182M5d;2wemn?n:182M5d;2wemn?m:182M5d;2wemn?l:182M5d;2wemn?k:182M5d;2wemn?j:182M5d;2wemn?i:182M5d;2wemn:182M5d;2wemn<=:182M5d;2wemn<<:182M5d;2wemn<;:182M5d;2wemn<::182M5d;2wemn<9:182M5d;2wemn<8:182M5d;2wemn<7:182M5d;2wemn<6:182M5d;2wemn:182M5d;2wemn==:182M5d;2wemn=<:182M5d;2wemn=;:182M5d;2wemn=::182M5d;2wemn=9:182M5d;2wemn=8:182M5d;2wemn=7:182M5d;2wemn=6:182M5d;2wemn=n:182M5d;2wemn=m:182M5d;2wemn=l:182M5d;2wemn=k:182M5d;2wemn=j:182M5d;2wemn=i:182M5d;2wemn:?:182M5d;2wemn:>:182M5d;2wemn:=:182M5d;2wemn:<:182M5d;2wemn:;:182M5d;2wemn:::182M5d;2wemn:9:182M5d;2wemn:8:182M5d;2wemn:7:182M5d;2wemn:6:182M5d;2wemn:n:182M5d;2wemn:m:182M5d;2wemn:l:182M5d;2wemn:k:182M5d;2wemn:j:182M5d;2wemn:i:182M5d;2wemn;?:182M5d;2wemn;>:182M5d;2wemn;=:182M5d;2wemn;<:182M5d;2wemn;;:182M5d;2wemn;::182M5d;2wemn;9:182M5d;2wemn;8:182M5d;2wemn;7:182M5d;2wemn;6:182M5d;2wemn;n:182M5d;2wemn;m:182M5d;2wemn;l:182M5d;2wemn;k:182M5d;2wemn;j:182M5d;2wemn;i:182M5d;2wemn8?:182M5d;2wemn8>:182M5d;2wemn8=:182M5d;2wemn8<:182M5d;2wemn8;:182M5d;2wemn8::182M5d;2wemn89:182M5d;2wemn88:182M5d;2wemn87:182M5d;2wemn86:182M5d;2wemn8n:182M5d;2wemn8m:182M5d;2wemn8l:182M5d;2wemn8k:182M5d;2wemn8j:182M5d;2wemn8i:182M5d;2wemn9?:182M5d;2wemn9>:182M5d;2wemn9=:182M5d;2wemn9<:182M5d;2wemn9;:182M5d;2wemn9::182M5d;2wemn99:182M5d;2wemn98:182M5d;2wemn97:182M5d;2wemn96:182M5d;2wemn9n:182M5d;2wemn9m:182M5d;2wemn9l:182M5d;2wemn9k:182M5d;2wemn9j:182M5d;2wemn9i:182M5d;2wemn6?:182M5d;2wemn6>:182M5d;2wemn6=:182M5d;2wemn6<:182M5d;2wemn6;:182M5d;2wemn6::182M5d;2wemn69:182M5d;2wemn68:182M5d;2wemn67:182M5d;2wemn66:182M5d;2wemn6n:182M5d;2wemn6m:182M5d;2wemn6l:182M5d;2wemn6k:182M5d;2wemn6j:182M5d;2wemn6i:182M5d;2wemn7?:182M5d;2wemn7>:182M5d;2wemn7=:182M5d;2wemn7<:182M5d;2wemn7;:182M5d;2wemn7::182M5d;2wemn79:182M5d;2wemn78:182M5d;2wemn77:182M5d;2wemn76:182M5d;2wemn7n:182M5d;2wemn7m:182M5d;2wemn7l:182M5d;2wemn7k:182M5d;2wemn7j:182M5d;2wemn7i:182M5d;2wemno?:182M5d;2wemno>:182M5d;2wemno=:182M5d;2wemno<:182M5d;2wemno;:182M5d;2wemno::182M5d;2wemno9:182M5d;2wemno8:182M5d;2wemno7:182M5d;2wemno6:182M5d;2we=:kn:182M5d;2we=:km:182M5d;2we=:kl:182M5d;2we=:kk:182M5d;2we=:kj:182M5d;2we=:ki:182M5d;2we=:h?:182M5d;2we=:h>:182M5d;2we=:h=:182M5d;2we=:h<:182M5d;2we=:h;:182M5d;2we=:h::182M5d;2we=:h9:182M5d;2we=:h8:182M5d;2we=:h7:182M5d;2we=:h6:182M5d;2we=:hn:182M5d;2we=:hm:182M5d;2we=:hl:182M5d;2we=:hk:182M5d;2we=:hj:182M5d;2we=:hi:182M5d;2we=5>?:182M5d;2we=5>>:182M5d;2we=5>=:182M5d;2we=5><:182M5d;2we=5>;:182M5d;2we=5>::182M5d;2we=5>9:182M5d;2we=5>8:182M5d;2we=5>7:182M5d;2we=5>6:182M5d;2we=5>n:182M5d;2we=5>m:182M5d;2we=5>l:182M5d;2we=5>k:182M5d;2we=5>j:182M5d;2we=5>i:182M5d;2we=5??:182M5d;2we=5?>:182M5d;2we=5?=:182M5d;2we=5?<:182M5d;2we=5?;:182M5d;2we=5?::182M5d;2we=5?9:182M5d;2we=5?8:182M5d;2we=5?7:182M5d;2we=5?6:182M5d;2we=5?n:182M5d;2we=5?m:182M5d;2we?nkj:182M5d;2we?nki:182M5d;2we?nh?:182M5d;2we?nh>:182M5d;2we?nh=:182M5d;2we?nh<:182M5d;2we?nh;:182M5d;2we?nh::182M5d;2we?nh9:182M5d;2we?nh8:182M5d;2we?nh7:182M5d;2we?nh6:182M5d;2we?nhn:182M5d;2we?nhm:182M5d;2we?nhl:182M5d;2we?nhk:182M5d;2we?nhj:182M5d;2we?nhi:182M5d;2we?h:?:182M5d;2we?h:>:182M5d;2we?h:=:182M5d;2we?h:<:182M5d;2we?h:;:182M5d;2we?h:::182M5d;2we?h:9:182M5d;2we?h:8:182M5d;2we?h:7:182M5d;2we?h:6:182M5d;2we?h:n:182M5d;2we?h:m:182M5d;2we?h:l:182M5d;2we?h:k:182M5d;2we?h:j:182M5d;2we?h:i:182M5d;2we?h;?:182M5d;2we?h;>:182M5d;2we?k7=:182M5d;2we?k7<:182M5d;2we?k7;:182M5d;2we?k7::182M5d;2we?k79:182M5d;2we?k78:182M5d;2we?k77:182M5d;2we?k76:182M5d;2we?k7n:182M5d;2we?k7m:182M5d;2we?k7l:182M5d;2we?k7k:182M5d;2we?k7j:182M5d;2we?k7i:182M5d;2we?ko?:182M5d;2we?ko>:182M5d;2we?ko=:182M5d;2we?ko<:182M5d;2we8=k;:182M5d;2we8=k::182M5d;2we8=k9:182M5d;2we8=k8:182M5d;2we8=k7:182M5d;2we8=k6:182M5d;2we8=kn:182M5d;2we8=km:182M5d;2we8=kl:182M5d;2we8=kk:182M5d;2we8=kj:182M5d;2we8=ki:182M5d;2we8=h?:182M5d;2we8=h>:182M5d;2we8=h=:182M5d;2we8=h<:182M5d;2we8=h;:182M5d;2we8=h::182M5d;2we8?=9:182M5d;2we8?=8:182M5d;2we8?=7:182M5d;2we8?=6:182M5d;2we8?=n:182M5d;2we8?=m:182M5d;2we8?=l:182M5d;2we8?=k:182M5d;2we8?=j:182M5d;2we8?=i:182M5d;2we8?:?:182M5d;2we8?:>:182M5d;2we8?:=:182M5d;2we8?:<:182M5d;2we8?:;:182M5d;2we8?:::182M5d;2we8?:9:182M5d;2we8?:8:182M5d;2we8>67:182M5d;2we8>66:182M5d;2we8>6n:182M5d;2we8>6m:182M5d;2we8>6l:182M5d;2we8>6k:182M5d;2we8>6j:182M5d;2we8>6i:182M5d;2we8>7?:182M5d;2we8>7>:182M5d;2we8>7=:182M5d;2we8>7<:182M5d;2we8>7;:182M5d;2we8>7::182M5d;2we8>79:182M5d;2we8>78:182M5d;2we8>77:182M5d;2we8>76:182M5d;2we89jn:182M5d;2we89jm:182M5d;2we89jl:182M5d;2we89jk:182M5d;2we89jj:182M5d;2we89ji:182M5d;2we89k?:182M5d;2we89k>:182M5d;2we89k=:182M5d;2we89k<:182M5d;2we89k;:182M5d;2we89k::182M5d;2we89k9:182M5d;2we89k8:182M5d;2we89k7:182M5d;2we89k6:182M5d;2we89kn:182M5d;2we89km:182M5d;2we8;:182M5d;2we8;==:182M5d;2we8;=<:182M5d;2we8;=;:182M5d;2we8;=::182M5d;2we8;=9:182M5d;2we8;=8:182M5d;2we8;=7:182M5d;2we8;=6:182M5d;2we8;=n:182M5d;2we8;=m:182M5d;2we8;=l:182M5d;2we8;=k:182M5d;2we8:9j:182M5d;2we8:9i:182M5d;2we8:6?:182M5d;2we8:6>:182M5d;2we8:6=:182M5d;2we8:6<:182M5d;2we8:6;:182M5d;2we8:6::182M5d;2we8:69:182M5d;2we8:68:182M5d;2we8:67:182M5d;2we8:66:182M5d;2we8:6n:182M5d;2we8:6m:182M5d;2we8:6l:182M5d;2we8:6k:182M5d;2we8:6j:182M5d;2we8:6i:182M5d;2we85j?:182M5d;2we85j>:182M5d;2we85j=:182M5d;2we85j<:182M5d;2we85j;:182M5d;2we85j::182M5d;2we85j9:182M5d;2we85j8:182M5d;2we85j7:182M5d;2we85j6:182M5d;2we85jn:182M5d;2we85jm:182M5d;2we85jl:182M5d;2we85jk:182M5d;2we85jj:182M5d;2we85ji:182M5d;2we85k?:182M5d;2we85k>:182M5d;2we8l<=:182M5d;2we8l<<:182M5d;2we8l<;:182M5d;2we8l<::182M5d;2we8l<9:182M5d;2we8l<8:182M5d;2we8l<7:182M5d;2we8l<6:182M5d;2we8l:182M5d;2we8l==:182M5d;2we8l=<:182M5d;2we8o9;:182M5d;2we8o9::182M5d;2we8o99:182M5d;2we8o98:182M5d;2we8o97:182M5d;2we8o96:182M5d;2we8o9n:182M5d;2we8o9m:182M5d;2we8o9l:182M5d;2we8o9k:182M5d;2we8o9j:182M5d;2we8o9i:182M5d;2we8o6?:182M5d;2we8o6>:182M5d;2we8o6=:182M5d;2we8o6<:182M5d;2we8o6;:182M5d;2we8o6::182M5d;2we8nm9:182M5d;2we8nm8:182M5d;2we8nm7:182M5d;2we8nm6:182M5d;2we8nmn:182M5d;2we8nmm:182M5d;2we8nml:182M5d;2we8nmk:182M5d;2we8nmj:182M5d;2we8nmi:182M5d;2we8nj?:182M5d;2we8nj>:182M5d;2we8nj=:182M5d;2we8nj<:182M5d;2we8nj;:182M5d;2we8nj::182M5d;2we8nj9:182M5d;2we8nj8:182M5d;2we8h?7:182M5d;2we8h?6:182M5d;2we8h?n:182M5d;2we8h?m:182M5d;2we8h?l:182M5d;2we8h?k:182M5d;2we8h?j:182M5d;2we8h?i:182M5d;2we8h:182M5d;2we8h<=:182M5d;2we8h<<:182M5d;2we8h<;:182M5d;2we8h<::182M5d;2we8h<9:182M5d;2we8h<8:182M5d;2we8h<7:182M5d;2we8h<6:182M5d;2we8k8n:182M5d;2we8k8m:182M5d;2we8k8l:182M5d;2we8k8k:182M5d;2we8k8j:182M5d;2we8k8i:182M5d;2we8k9?:182M5d;2we8k9>:182M5d;2we8k9=:182M5d;2we8k9<:182M5d;2we8k9;:182M5d;2we8k9::182M5d;2we8k99:182M5d;2we8k98:182M5d;2we8k97:182M5d;2we8k96:182M5d;2we8k9n:182M5d;2we8k9m:182M5d;2we9=ll:182M5d;2we9=lk:182M5d;2we9=lj:182M5d;2we9=li:182M5d;2we9=m?:182M5d;2we9=m>:182M5d;2we9=m=:182M5d;2we9=m<:182M5d;2we9=m;:182M5d;2we9=m::182M5d;2we9=m9:182M5d;2we9=m8:182M5d;2we9=m7:182M5d;2we9=m6:182M5d;2we9=mn:182M5d;2we9=mm:182M5d;2we9=ml:182M5d;2we9=mk:182M5d;2we9?>j:182M5d;2we9?>i:182M5d;2we9???:182M5d;2we9??>:182M5d;2we9??=:182M5d;2we9??<:182M5d;2we9??;:182M5d;2we9??::182M5d;2we9??9:182M5d;2we9??8:182M5d;2we9??7:182M5d;2we9??6:182M5d;2we9??n:182M5d;2we9??m:182M5d;2we9??l:182M5d;2we9??k:182M5d;2we9??j:182M5d;2we9??i:182M5d;2we9>8?:182M5d;2we9>8>:182M5d;2we9>8=:182M5d;2we9>8<:182M5d;2we9>8;:182M5d;2we9>8::182M5d;2we9>89:182M5d;2we9>88:182M5d;2we9>87:182M5d;2we9>86:182M5d;2we9>8n:182M5d;2we9>8m:182M5d;2we9>8l:182M5d;2we9>8k:182M5d;2we9>8j:182M5d;2we9>8i:182M5d;2we9>9?:182M5d;2we9>9>:182M5d;2we99l=:182M5d;2we99l<:182M5d;2we99l;:182M5d;2we99l::182M5d;2we99l9:182M5d;2we99l8:182M5d;2we99l7:182M5d;2we99l6:182M5d;2we99ln:182M5d;2we99lm:182M5d;2we99ll:182M5d;2we99lk:182M5d;2we99lj:182M5d;2we99li:182M5d;2we99m?:182M5d;2we99m>:182M5d;2we99m=:182M5d;2we99m<:182M5d;2we9;>;:182M5d;2we9;>::182M5d;2we9;>9:182M5d;2we9;>8:182M5d;2we9;>7:182M5d;2we9;>6:182M5d;2we9;>n:182M5d;2we9;>m:182M5d;2we9;>l:182M5d;2we9;>k:182M5d;2we9;>j:182M5d;2we9;>i:182M5d;2we9;??:182M5d;2we9;?>:182M5d;2we9;?=:182M5d;2we9;?<:182M5d;2we9;?;:182M5d;2we9;?::182M5d;2we9:;9:182M5d;2we9:;8:182M5d;2we9:;7:182M5d;2we9:;6:182M5d;2we9:;n:182M5d;2we9:;m:182M5d;2we9:;l:182M5d;2we9:;k:182M5d;2we9:;j:182M5d;2we9:;i:182M5d;2we9:8?:182M5d;2we9:8>:182M5d;2we9:8=:182M5d;2we9:8<:182M5d;2we9:8;:182M5d;2we9:8::182M5d;2we9:89:182M5d;2we9:88:182M5d;2we95o7:182M5d;2we95o6:182M5d;2we95on:182M5d;2we95om:182M5d;2we95ol:182M5d;2we95ok:182M5d;2we95oj:182M5d;2we95oi:182M5d;2we95l?:182M5d;2we95l>:182M5d;2we95l=:182M5d;2we95l<:182M5d;2we95l;:182M5d;2we95l::182M5d;2we95l9:182M5d;2we95l8:182M5d;2we95l7:182M5d;2we95l6:182M5d;2we94hn:182M5d;2we94hm:182M5d;2we94hl:182M5d;2we94hk:182M5d;2we94hj:182M5d;2we94hi:182M5d;2we9l>?:182M5d;2we9l>>:182M5d;2we9l>=:182M5d;2we9l><:182M5d;2we9l>;:182M5d;2we9l>::182M5d;2we9l>9:182M5d;2we9l>8:182M5d;2we9l>7:182M5d;2we9l>6:182M5d;2we9l>n:182M5d;2we9l>m:182M5d;2we9o:l:182M5d;2we9o:k:182M5d;2we9o:j:182M5d;2we9o:i:182M5d;2we9o;?:182M5d;2we9o;>:182M5d;2we9o;=:182M5d;2we9o;<:182M5d;2we9o;;:182M5d;2we9o;::182M5d;2we9o;9:182M5d;2we9o;8:182M5d;2we9o;7:182M5d;2we9o;6:182M5d;2we9o;n:182M5d;2we9o;m:182M5d;2we9o;l:182M5d;2we9o;k:182M5d;2we9i7?:182M5d;2we9i7>:182M5d;2we9i7=:182M5d;2we9i7<:182M5d;2we9i7;:182M5d;2we9i7::182M5d;2we9i79:182M5d;2we9i78:182M5d;2we9i77:182M5d;2we9i76:182M5d;2we9i7n:182M5d;2we9i7m:182M5d;2we9i7l:182M5d;2we9i7k:182M5d;2we9i7j:182M5d;2we9i7i:182M5d;2we9io?:182M5d;2we9io>:182M5d;2we9io=:182M5d;2we9io<:182M5d;2we9io;:182M5d;2we9io::182M5d;2we9io9:182M5d;2we9io8:182M5d;2we9io7:182M5d;2we9io6:182M5d;2we9ion:182M5d;2we9iom:182M5d;2we9iol:182M5d;2we9iok:182M5d;2we9ioj:182M5d;2we9ioi:182M5d;2we9il?:182M5d;2we9il>:182M5d;2we9il=:182M5d;2we9il<:182M5d;2we9il;:182M5d;2we9il::182M5d;2we9kk7:182M5d;2we9kk6:182M5d;2we9kkn:182M5d;2we9kkm:182M5d;2we9kkl:182M5d;2we9kkk:182M5d;2we9kkj:182M5d;2we9kki:182M5d;2we9kh?:182M5d;2we9kh>:182M5d;2we9kh=:182M5d;2we9kh<:182M5d;2we9kh;:182M5d;2we9kh::182M5d;2we9kh9:182M5d;2we9kh8:182M5d;2we9kh7:182M5d;2we9kh6:182M5d;2we9khn:182M5d;2we9khm:182M5d;2we9khl:182M5d;2we9khk:182M5d;2we9khj:182M5d;2we9khi:182M5d;2we:=>?:182M5d;2we:=>>:182M5d;2we:=>=:182M5d;2we:=><:182M5d;2we:=>;:182M5d;2we:=>::182M5d;2we:=>9:182M5d;2we:=>8:182M5d;2we:=>7:182M5d;2we:=>6:182M5d;2we:=>n:182M5d;2we:=>m:182M5d;2we:=>l:182M5d;2we:=>k:182M5d;2we:?:?:182M5d;2we:?:>:182M5d;2we:?:=:182M5d;2we:?:<:182M5d;2we:?:;:182M5d;2we:?:::182M5d;2we:?:9:182M5d;2we:?:8:182M5d;2we:?:7:182M5d;2we:?:6:182M5d;2we:?:n:182M5d;2we:?:m:182M5d;2we:?:l:182M5d;2we:?:k:182M5d;2we:?:j:182M5d;2we:?:i:182M5d;2we:?;?:182M5d;2we:?;>:182M5d;2we:?;=:182M5d;2we:?;<:182M5d;2we:?;;:182M5d;2we:?;::182M5d;2we:?;9:182M5d;2we:?;8:182M5d;2we:?;7:182M5d;2we:?;6:182M5d;2we:?;n:182M5d;2we:?;m:182M5d;2we:?;l:182M5d;2we:?;k:182M5d;2we:?;j:182M5d;2we:?;i:182M5d;2we:?8?:182M5d;2we:?8>:182M5d;2we:?8=:182M5d;2we:?8<:182M5d;2we:?8;:182M5d;2we:?8::182M5d;2we:977:182M5d;2we:976:182M5d;2we:97n:182M5d;2we:97m:182M5d;2we:97l:182M5d;2we:97k:182M5d;2we:97j:182M5d;2we:97i:182M5d;2we:9o?:182M5d;2we:9o>:182M5d;2we:9o=:182M5d;2we:9o<:182M5d;2we:9o;:182M5d;2we:9o::182M5d;2we:9o9:182M5d;2we:9o8:182M5d;2we:9o7:182M5d;2we:9o6:182M5d;2we:9on:182M5d;2we:9om:182M5d;2we:9ol:182M5d;2we:9ok:182M5d;2we:9oj:182M5d;2we:9oi:182M5d;2we:9l?:182M5d;2we:9l>:182M5d;2we:9l=:182M5d;2we:9l<:182M5d;2we:9l;:182M5d;2we:9l::182M5d;2we:9l9:182M5d;2we:9l8:182M5d;2we:9l7:182M5d;2we:9l6:182M5d;2we:9ln:182M5d;2we:9lm:182M5d;2we:9ll:182M5d;2we:9lk:182M5d;2we:;h?:182M5d;2we:;h>:182M5d;2we:;h=:182M5d;2we:;h<:182M5d;2we:;h;:182M5d;2we:;h::182M5d;2we:;h9:182M5d;2we:;h8:182M5d;2we:;h7:182M5d;2we:;h6:182M5d;2we:;hn:182M5d;2we:;hm:182M5d;2we:;hl:182M5d;2we:;hk:182M5d;2we:;hj:182M5d;2we:;hi:182M5d;2we::>?:182M5d;2we::>>:182M5d;2we::>=:182M5d;2we::><:182M5d;2we::>;:182M5d;2we::>::182M5d;2we::>9:182M5d;2we::>8:182M5d;2we::>7:182M5d;2we::>6:182M5d;2we::>n:182M5d;2we::>m:182M5d;2we::>l:182M5d;2we::>k:182M5d;2we::>j:182M5d;2we::>i:182M5d;2we::??:182M5d;2we::?>:182M5d;2we::?=:182M5d;2we::?<:182M5d;2we::?;:182M5d;2we::?::182M5d;2we:5jl:182M5d;2we:5jk:182M5d;2we:5jj:182M5d;2we:5ji:182M5d;2we:5k?:182M5d;2we:5k>:182M5d;2we:5k=:182M5d;2we:5k<:182M5d;2we:5k;:182M5d;2we:5k::182M5d;2we:5k9:182M5d;2we:5k8:182M5d;2we:5k7:182M5d;2we:5k6:182M5d;2we:5kn:182M5d;2we:5km:182M5d;2we:5kl:182M5d;2we:5kk:182M5d;2we:5kj:182M5d;2we:5ki:182M5d;2we:477:182M5d;2we:476:182M5d;2we:47n:182M5d;2we:47m:182M5d;2we:47l:182M5d;2we:47k:182M5d;2we:47j:182M5d;2we:47i:182M5d;2we:4o?:182M5d;2we:4o>:182M5d;2we:l:n:182M5d;2we:l:m:182M5d;2we:l:l:182M5d;2we:l:k:182M5d;2we:l:j:182M5d;2we:l:i:182M5d;2we:l;?:182M5d;2we:l;>:182M5d;2we:l;=:182M5d;2we:l;<:182M5d;2we:lhl:182M5d;2we:lhk:182M5d;2we:lhj:182M5d;2we:lhi:182M5d;2we:o>?:182M5d;2we:o>>:182M5d;2we:o>=:182M5d;2we:o><:182M5d;2we:o>;:182M5d;2we:o>::182M5d;2we:ooj:182M5d;2we:ooi:182M5d;2we:ol?:182M5d;2we:ol>:182M5d;2we:ol=:182M5d;2we:ol<:182M5d;2we:ol;:182M5d;2we:ol::182M5d;2we:ol9:182M5d;2we:ol8:182M5d;2we:n8?:182M5d;2we:n8>:182M5d;2we:n8=:182M5d;2we:n8<:182M5d;2we:n8;:182M5d;2we:n8::182M5d;2we:n89:182M5d;2we:n88:182M5d;2we:n87:182M5d;2we:n86:182M5d;2we:i?=:182M5d;2we:i?<:182M5d;2we:i?;:182M5d;2we:i?::182M5d;2we:i?9:182M5d;2we:i?8:182M5d;2we:i?7:182M5d;2we:i?6:182M5d;2we:i?n:182M5d;2we:i?m:182M5d;2we:im;:182M5d;2we:im::182M5d;2we:im9:182M5d;2we:im8:182M5d;2we:im7:182M5d;2we:im6:182M5d;2we:imn:182M5d;2we:imm:182M5d;2we:iml:182M5d;2we:imk:182M5d;2we:h99:182M5d;2we:h98:182M5d;2we:h97:182M5d;2we:h96:182M5d;2we:h9n:182M5d;2we:h9m:182M5d;2we:h9l:182M5d;2we:h9k:182M5d;2we:h9j:182M5d;2we:h9i:182M5d;2we:k<7:182M5d;2we:k<6:182M5d;2we:k:182M5d;2we:kjn:182M5d;2we:kjm:182M5d;2we:kjl:182M5d;2we:kjk:182M5d;2we:kjj:182M5d;2we:kji:182M5d;2we:kk?:182M5d;2we:kk>:182M5d;2we:kk=:182M5d;2we:kk<:182M5d;2we;=6l:182M5d;2we;=6k:182M5d;2we;=6j:182M5d;2we;=6i:182M5d;2we;=7?:182M5d;2we;=7>:182M5d;2we;=7=:182M5d;2we;=7<:182M5d;2we;=7;:182M5d;2we;=7::182M5d;2we;<=j:182M5d;2we;<=i:182M5d;2we;<:?:182M5d;2we;<:>:182M5d;2we;<:=:182M5d;2we;<:<:182M5d;2we;<:;:182M5d;2we;<:::182M5d;2we;<:9:182M5d;2we;<:8:182M5d;2we;:182M5d;2we;;;:182M5d;2we;>;::182M5d;2we;>;9:182M5d;2we;>;8:182M5d;2we;>;7:182M5d;2we;>;6:182M5d;2we;>;n:182M5d;2we;>;m:182M5d;2we;>;l:182M5d;2we;>;k:182M5d;2we;9>9:182M5d;2we;9>8:182M5d;2we;9>7:182M5d;2we;9>6:182M5d;2we;9>n:182M5d;2we;9>m:182M5d;2we;9>l:182M5d;2we;9>k:182M5d;2we;9>j:182M5d;2we;9>i:182M5d;2we;9l7:182M5d;2we;9l6:182M5d;2we;9ln:182M5d;2we;9lm:182M5d;2we;9ll:182M5d;2we;9lk:182M5d;2we;9lj:182M5d;2we;9li:182M5d;2we;9m?:182M5d;2we;9m>:182M5d;2we;88n:182M5d;2we;88m:182M5d;2we;88l:182M5d;2we;88k:182M5d;2we;88j:182M5d;2we;88i:182M5d;2we;89?:182M5d;2we;89>:182M5d;2we;89=:182M5d;2we;89<:182M5d;2we;;?l:182M5d;2we;;?k:182M5d;2we;;?j:182M5d;2we;;?i:182M5d;2we;;:182M5d;2we;;<=:182M5d;2we;;<<:182M5d;2we;;<;:182M5d;2we;;<::182M5d;2we;;mj:182M5d;2we;;mi:182M5d;2we;;j?:182M5d;2we;;j>:182M5d;2we;;j=:182M5d;2we;;j<:182M5d;2we;;j;:182M5d;2we;;j::182M5d;2we;;j9:182M5d;2we;;j8:182M5d;2we;:6?:182M5d;2we;:6>:182M5d;2we;:6=:182M5d;2we;:6<:182M5d;2we;:6;:182M5d;2we;:6::182M5d;2we;:69:182M5d;2we;:68:182M5d;2we;:67:182M5d;2we;:66:182M5d;2we;5==:182M5d;2we;5=<:182M5d;2we;5=;:182M5d;2we;5=::182M5d;2we;5=9:182M5d;2we;5=8:182M5d;2we;5=7:182M5d;2we;5=6:182M5d;2we;5=n:182M5d;2we;5=m:182M5d;2we;5k;:182M5d;2we;5k::182M5d;2we;5k9:182M5d;2we;5k8:182M5d;2we;5k7:182M5d;2we;5k6:182M5d;2we;5kn:182M5d;2we;5km:182M5d;2we;5kl:182M5d;2we;5kk:182M5d;2we;479:182M5d;2we;478:182M5d;2we;477:182M5d;2we;476:182M5d;2we;47n:182M5d;2we;47m:182M5d;2we;47l:182M5d;2we;47k:182M5d;2we;47j:182M5d;2we;47i:182M5d;2we;n6=:182M5d;2we;n6<:182M5d;2we;n6;:182M5d;2we;n6::182M5d;2we;n69:182M5d;2we;n68:182M5d;2we;n67:182M5d;2we;n66:182M5d;2we;n6n:182M5d;2we;n6m:182M5d;2we;n6l:182M5d;2we;n6k:182M5d;2we;n6j:182M5d;2we;n6i:182M5d;2we;n7?:182M5d;2we;n7>:182M5d;2we;n7=:182M5d;2we;n7<:182M5d;2we;n7;:182M5d;2we;n7::182M5d;2wvqpNOCz`e=<98>n=sO@Cy3yEFWstJK \ No newline at end of file diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec1.v b/fpga/usrp3/top/b200/coregen_dsp/hbdec1.v new file mode 100644 index 000000000..24069fbac --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec1.v @@ -0,0 +1,45924 @@ +//////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. +//////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor: Xilinx +// \ \ \/ Version: P.49d +// \ \ Application: netgen +// / / Filename: hbdec1.v +// /___/ /\ Timestamp: Fri Jan 9 12:44:22 2015 +// \ \ / \ +// \___\/\___\ +// +// Command : -intstyle ise -w -sim -ofmt verilog ./tmp/_cg/hbdec1.ngc ./tmp/_cg/hbdec1.v +// Device : 6slx150tfgg484-3 +// Input file : ./tmp/_cg/hbdec1.ngc +// Output file : ./tmp/_cg/hbdec1.v +// # of Modules : 1 +// Design Name : hbdec1 +// Xilinx : /opt/Xilinx/14.4/ISE_DS/ISE/ +// +// Purpose: +// This verilog netlist is a verification model and uses simulation +// primitives which may not represent the true implementation of the +// device, however the netlist is functionally correct and should not +// be modified. This file cannot be synthesized and should only be used +// with supported simulation tools. +// +// Reference: +// Command Line Tools User Guide, Chapter 23 and Synthesis and Simulation Design Guide, Chapter 6 +// +//////////////////////////////////////////////////////////////////////////////// + +`timescale 1 ns/1 ps + +module hbdec1 ( + sclr, ce, rfd, rdy, data_valid, coef_we, nd, clk, coef_ld, dout_1, dout_2, din_1, din_2, coef_din +)/* synthesis syn_black_box syn_noprune=1 */; + input sclr; + input ce; + output rfd; + output rdy; + output data_valid; + input coef_we; + input nd; + input clk; + input coef_ld; + output [46 : 0] dout_1; + output [46 : 0] dout_2; + input [23 : 0] din_1; + input [23 : 0] din_2; + input [17 : 0] coef_din; + + // synthesis translate_off + + wire NlwRenamedSig_OI_rfd; + wire \blk00000003/sig00000e8e ; + wire \blk00000003/sig00000e8d ; + wire \blk00000003/sig00000e8c ; + wire \blk00000003/sig00000e8b ; + wire \blk00000003/sig00000e8a ; + wire \blk00000003/sig00000e89 ; + wire \blk00000003/sig00000e88 ; + wire \blk00000003/sig00000e87 ; + wire \blk00000003/sig00000e86 ; + wire \blk00000003/sig00000e85 ; + wire \blk00000003/sig00000e84 ; + wire \blk00000003/sig00000e83 ; + wire \blk00000003/sig00000e82 ; + wire \blk00000003/sig00000e81 ; + wire \blk00000003/sig00000e80 ; + wire \blk00000003/sig00000e7f ; + wire \blk00000003/sig00000e7e ; + wire \blk00000003/sig00000e7d ; + wire \blk00000003/sig00000e7c ; + wire \blk00000003/sig00000e7b ; + wire \blk00000003/sig00000e7a ; + wire \blk00000003/sig00000e79 ; + wire \blk00000003/sig00000e78 ; + wire \blk00000003/sig00000e77 ; + wire \blk00000003/sig00000e76 ; + wire \blk00000003/sig00000e75 ; + wire \blk00000003/sig00000e74 ; + wire \blk00000003/sig00000e73 ; + wire \blk00000003/sig00000e72 ; + wire \blk00000003/sig00000e71 ; + wire \blk00000003/sig00000e70 ; + wire \blk00000003/sig00000e6f ; + wire \blk00000003/sig00000e6e ; + wire \blk00000003/sig00000e6d ; + wire \blk00000003/sig00000e6c ; + wire \blk00000003/sig00000e6b ; + wire \blk00000003/sig00000e6a ; + wire \blk00000003/sig00000e69 ; + wire \blk00000003/sig00000e68 ; + wire \blk00000003/sig00000e67 ; + wire \blk00000003/sig00000e66 ; + wire \blk00000003/sig00000e65 ; + wire \blk00000003/sig00000e64 ; + wire \blk00000003/sig00000e63 ; + wire \blk00000003/sig00000e62 ; + wire \blk00000003/sig00000e61 ; + wire \blk00000003/sig00000e60 ; + wire \blk00000003/sig00000e5f ; + wire \blk00000003/sig00000e5e ; + wire \blk00000003/sig00000e5d ; + wire \blk00000003/sig00000e5c ; + wire \blk00000003/sig00000e5b ; + wire \blk00000003/sig00000e5a ; + wire \blk00000003/sig00000e59 ; + wire \blk00000003/sig00000e58 ; + wire \blk00000003/sig00000e57 ; + wire \blk00000003/sig00000e56 ; + wire \blk00000003/sig00000e55 ; + wire \blk00000003/sig00000e54 ; + wire \blk00000003/sig00000e53 ; + wire \blk00000003/sig00000e52 ; + wire \blk00000003/sig00000e51 ; + wire \blk00000003/sig00000e50 ; + wire \blk00000003/sig00000e4f ; + wire \blk00000003/sig00000e4e ; + wire \blk00000003/sig00000e4d ; + wire \blk00000003/sig00000e4c ; + wire \blk00000003/sig00000e4b ; + wire \blk00000003/sig00000e4a ; + wire \blk00000003/sig00000e49 ; + wire \blk00000003/sig00000e48 ; + wire \blk00000003/sig00000e47 ; + wire \blk00000003/sig00000e46 ; + wire \blk00000003/sig00000e45 ; + wire \blk00000003/sig00000e44 ; + wire \blk00000003/sig00000e43 ; + wire \blk00000003/sig00000e42 ; + wire \blk00000003/sig00000e41 ; + wire \blk00000003/sig00000e40 ; + wire \blk00000003/sig00000e3f ; + wire \blk00000003/sig00000e3e ; + wire \blk00000003/sig00000e3d ; + wire \blk00000003/sig00000e3c ; + wire \blk00000003/sig00000e3b ; + wire \blk00000003/sig00000e3a ; + wire \blk00000003/sig00000e39 ; + wire \blk00000003/sig00000e38 ; + wire \blk00000003/sig00000e37 ; + wire \blk00000003/sig00000e36 ; + wire \blk00000003/sig00000e35 ; + wire \blk00000003/sig00000e34 ; + wire \blk00000003/sig00000e33 ; + wire \blk00000003/sig00000e32 ; + wire \blk00000003/sig00000e31 ; + wire \blk00000003/sig00000e30 ; + wire \blk00000003/sig00000e2f ; + wire \blk00000003/sig00000e2e ; + wire \blk00000003/sig00000e2d ; + wire \blk00000003/sig00000e2c ; + wire \blk00000003/sig00000e2b ; + wire \blk00000003/sig00000e2a ; + wire \blk00000003/sig00000e29 ; + wire \blk00000003/sig00000e28 ; + wire \blk00000003/sig00000e27 ; + wire \blk00000003/sig00000e26 ; + wire \blk00000003/sig00000e25 ; + wire \blk00000003/sig00000e24 ; + wire \blk00000003/sig00000e23 ; + wire \blk00000003/sig00000e22 ; + wire \blk00000003/sig00000e21 ; + wire \blk00000003/sig00000e20 ; + wire \blk00000003/sig00000e1f ; + wire \blk00000003/sig00000e1e ; + wire \blk00000003/sig00000e1d ; + wire \blk00000003/sig00000e1c ; + wire \blk00000003/sig00000e1b ; + wire \blk00000003/sig00000e1a ; + wire \blk00000003/sig00000e19 ; + wire \blk00000003/sig00000e18 ; + wire \blk00000003/sig00000e17 ; + wire \blk00000003/sig00000e16 ; + wire \blk00000003/sig00000e15 ; + wire \blk00000003/sig00000e14 ; + wire \blk00000003/sig00000e13 ; + wire \blk00000003/sig00000e12 ; + wire \blk00000003/sig00000e11 ; + wire \blk00000003/sig00000e10 ; + wire \blk00000003/sig00000e0f ; + wire \blk00000003/sig00000e0e ; + wire \blk00000003/sig00000e0d ; + wire \blk00000003/sig00000e0c ; + wire \blk00000003/sig00000e0b ; + wire \blk00000003/sig00000e0a ; + wire \blk00000003/sig00000e09 ; + wire \blk00000003/sig00000e08 ; + wire \blk00000003/sig00000e07 ; + wire \blk00000003/sig00000e06 ; + wire \blk00000003/sig00000e05 ; + wire \blk00000003/sig00000e04 ; + wire \blk00000003/sig00000e03 ; + wire \blk00000003/sig00000e02 ; + wire \blk00000003/sig00000e01 ; + wire \blk00000003/sig00000e00 ; + wire \blk00000003/sig00000dff ; + wire \blk00000003/sig00000dfe ; + wire \blk00000003/sig00000dfd ; + wire \blk00000003/sig00000dfc ; + wire \blk00000003/sig00000dfb ; + wire \blk00000003/sig00000dfa ; + wire \blk00000003/sig00000df9 ; + wire \blk00000003/sig00000df8 ; + wire \blk00000003/sig00000df7 ; + wire \blk00000003/sig00000df6 ; + wire \blk00000003/sig00000df5 ; + wire \blk00000003/sig00000df4 ; + wire \blk00000003/sig00000df3 ; + wire \blk00000003/sig00000df2 ; + wire \blk00000003/sig00000df1 ; + wire \blk00000003/sig00000df0 ; + wire \blk00000003/sig00000def ; + wire \blk00000003/sig00000dee ; + wire \blk00000003/sig00000ded ; + wire \blk00000003/sig00000dec ; + wire \blk00000003/sig00000deb ; + wire \blk00000003/sig00000dea ; + wire \blk00000003/sig00000de9 ; + wire \blk00000003/sig00000de8 ; + wire \blk00000003/sig00000de7 ; + wire \blk00000003/sig00000de6 ; + wire \blk00000003/sig00000de5 ; + wire \blk00000003/sig00000de4 ; + wire \blk00000003/sig00000de3 ; + wire \blk00000003/sig00000de2 ; + wire \blk00000003/sig00000de1 ; + wire \blk00000003/sig00000de0 ; + wire \blk00000003/sig00000ddf ; + wire \blk00000003/sig00000dde ; + wire \blk00000003/sig00000ddd ; + wire \blk00000003/sig00000ddc ; + wire \blk00000003/sig00000ddb ; + wire \blk00000003/sig00000dda ; + wire \blk00000003/sig00000dd9 ; + wire \blk00000003/sig00000dd8 ; + wire \blk00000003/sig00000dd7 ; + wire \blk00000003/sig00000dd6 ; + wire \blk00000003/sig00000dd5 ; + wire \blk00000003/sig00000dd4 ; + wire \blk00000003/sig00000dd3 ; + wire \blk00000003/sig00000dd2 ; + wire \blk00000003/sig00000dd1 ; + wire \blk00000003/sig00000dd0 ; + wire \blk00000003/sig00000dcf ; + wire \blk00000003/sig00000dce ; + wire \blk00000003/sig00000dcd ; + wire \blk00000003/sig00000dcc ; + wire \blk00000003/sig00000dcb ; + wire \blk00000003/sig00000dca ; + wire \blk00000003/sig00000dc9 ; + wire \blk00000003/sig00000dc8 ; + wire \blk00000003/sig00000dc7 ; + wire \blk00000003/sig00000dc6 ; + wire \blk00000003/sig00000dc5 ; + wire \blk00000003/sig00000dc4 ; + wire \blk00000003/sig00000dc3 ; + wire \blk00000003/sig00000dc2 ; + wire \blk00000003/sig00000dc1 ; + wire \blk00000003/sig00000dc0 ; + wire \blk00000003/sig00000dbf ; + wire \blk00000003/sig00000dbe ; + wire \blk00000003/sig00000dbd ; + wire \blk00000003/sig00000dbc ; + wire \blk00000003/sig00000dbb ; + wire \blk00000003/sig00000dba ; + wire \blk00000003/sig00000db9 ; + wire \blk00000003/sig00000db8 ; + wire \blk00000003/sig00000db7 ; + wire \blk00000003/sig00000db6 ; + wire \blk00000003/sig00000db5 ; + wire \blk00000003/sig00000db4 ; + wire \blk00000003/sig00000db3 ; + wire \blk00000003/sig00000db2 ; + wire \blk00000003/sig00000db1 ; + wire \blk00000003/sig00000db0 ; + wire \blk00000003/sig00000daf ; + wire \blk00000003/sig00000dae ; + wire \blk00000003/sig00000dad ; + wire \blk00000003/sig00000dac ; + wire \blk00000003/sig00000dab ; + wire \blk00000003/sig00000daa ; + wire \blk00000003/sig00000da9 ; + wire \blk00000003/sig00000da8 ; + wire \blk00000003/sig00000da7 ; + wire \blk00000003/sig00000da6 ; + wire \blk00000003/sig00000da5 ; + wire \blk00000003/sig00000da4 ; + wire \blk00000003/sig00000da3 ; + wire \blk00000003/sig00000da2 ; + wire \blk00000003/sig00000da1 ; + wire \blk00000003/sig00000da0 ; + wire \blk00000003/sig00000d9f ; + wire \blk00000003/sig00000d9e ; + wire \blk00000003/sig00000d9d ; + wire \blk00000003/sig00000d9c ; + wire \blk00000003/sig00000d9b ; + wire \blk00000003/sig00000d9a ; + wire \blk00000003/sig00000d99 ; + wire \blk00000003/sig00000d98 ; + wire \blk00000003/sig00000d97 ; + wire \blk00000003/sig00000d96 ; + wire \blk00000003/sig00000d95 ; + wire \blk00000003/sig00000d94 ; + wire \blk00000003/sig00000d93 ; + wire \blk00000003/sig00000d92 ; + wire \blk00000003/sig00000d91 ; + wire \blk00000003/sig00000d90 ; + wire \blk00000003/sig00000d8f ; + wire \blk00000003/sig00000d8e ; + wire \blk00000003/sig00000d8d ; + wire \blk00000003/sig00000d8c ; + wire \blk00000003/sig00000d8b ; + wire \blk00000003/sig00000d8a ; + wire \blk00000003/sig00000d89 ; + wire \blk00000003/sig00000d88 ; + wire \blk00000003/sig00000d87 ; + wire \blk00000003/sig00000d86 ; + wire \blk00000003/sig00000d85 ; + wire \blk00000003/sig00000d84 ; + wire \blk00000003/sig00000d83 ; + wire \blk00000003/sig00000d82 ; + wire \blk00000003/sig00000d81 ; + wire \blk00000003/sig00000d80 ; + wire \blk00000003/sig00000d7f ; + wire \blk00000003/sig00000d7e ; + wire \blk00000003/sig00000d7d ; + wire \blk00000003/sig00000d7c ; + wire \blk00000003/sig00000d7b ; + wire \blk00000003/sig00000d7a ; + wire \blk00000003/sig00000d79 ; + wire \blk00000003/sig00000d78 ; + wire \blk00000003/sig00000d77 ; + wire \blk00000003/sig00000d76 ; + wire \blk00000003/sig00000d75 ; + wire \blk00000003/sig00000d74 ; + wire \blk00000003/sig00000d73 ; + wire \blk00000003/sig00000d72 ; + wire \blk00000003/sig00000d71 ; + wire \blk00000003/sig00000d70 ; + wire \blk00000003/sig00000d6f ; + wire \blk00000003/sig00000d6e ; + wire \blk00000003/sig00000d6d ; + wire \blk00000003/sig00000d6c ; + wire \blk00000003/sig00000d6b ; + wire \blk00000003/sig00000d6a ; + wire \blk00000003/sig00000d69 ; + wire \blk00000003/sig00000d68 ; + wire \blk00000003/sig00000d67 ; + wire \blk00000003/sig00000d66 ; + wire \blk00000003/sig00000d65 ; + wire \blk00000003/sig00000d64 ; + wire \blk00000003/sig00000d63 ; + wire \blk00000003/sig00000d62 ; + wire \blk00000003/sig00000d61 ; + wire \blk00000003/sig00000d60 ; + wire \blk00000003/sig00000d5f ; + wire \blk00000003/sig00000d5e ; + wire \blk00000003/sig00000d5d ; + wire \blk00000003/sig00000d5c ; + wire \blk00000003/sig00000d5b ; + wire \blk00000003/sig00000d5a ; + wire \blk00000003/sig00000d59 ; + wire \blk00000003/sig00000d58 ; + wire \blk00000003/sig00000d57 ; + wire \blk00000003/sig00000d56 ; + wire \blk00000003/sig00000d55 ; + wire \blk00000003/sig00000d54 ; + wire \blk00000003/sig00000d53 ; + wire \blk00000003/sig00000d52 ; + wire \blk00000003/sig00000d51 ; + wire \blk00000003/sig00000d50 ; + wire \blk00000003/sig00000d4f ; + wire \blk00000003/sig00000d4e ; + wire \blk00000003/sig00000d4d ; + wire \blk00000003/sig00000d4c ; + wire \blk00000003/sig00000d4b ; + wire \blk00000003/sig00000d4a ; + wire \blk00000003/sig00000d49 ; + wire \blk00000003/sig00000d48 ; + wire \blk00000003/sig00000d47 ; + wire \blk00000003/sig00000d46 ; + wire \blk00000003/sig00000d45 ; + wire \blk00000003/sig00000d44 ; + wire \blk00000003/sig00000d43 ; + wire \blk00000003/sig00000d42 ; + wire \blk00000003/sig00000d41 ; + wire \blk00000003/sig00000d40 ; + wire \blk00000003/sig00000d3f ; + wire \blk00000003/sig00000d3e ; + wire \blk00000003/sig00000d3d ; + wire \blk00000003/sig00000d3c ; + wire \blk00000003/sig00000d3b ; + wire \blk00000003/sig00000d3a ; + wire \blk00000003/sig00000d39 ; + wire \blk00000003/sig00000d38 ; + wire \blk00000003/sig00000d37 ; + wire \blk00000003/sig00000d36 ; + wire \blk00000003/sig00000d35 ; + wire \blk00000003/sig00000d34 ; + wire \blk00000003/sig00000d33 ; + wire \blk00000003/sig00000d32 ; + wire \blk00000003/sig00000d31 ; + wire \blk00000003/sig00000d30 ; + wire \blk00000003/sig00000d2f ; + wire \blk00000003/sig00000d2e ; + wire \blk00000003/sig00000d2d ; + wire \blk00000003/sig00000d2c ; + wire \blk00000003/sig00000d2b ; + wire \blk00000003/sig00000d2a ; + wire \blk00000003/sig00000d29 ; + wire \blk00000003/sig00000d28 ; + wire \blk00000003/sig00000d27 ; + wire \blk00000003/sig00000d26 ; + wire \blk00000003/sig00000d25 ; + wire \blk00000003/sig00000d24 ; + wire \blk00000003/sig00000d23 ; + wire \blk00000003/sig00000d22 ; + wire \blk00000003/sig00000d21 ; + wire \blk00000003/sig00000d20 ; + wire \blk00000003/sig00000d1f ; + wire \blk00000003/sig00000d1e ; + wire \blk00000003/sig00000d1d ; + wire \blk00000003/sig00000d1c ; + wire \blk00000003/sig00000d1b ; + wire \blk00000003/sig00000d1a ; + wire \blk00000003/sig00000d19 ; + wire \blk00000003/sig00000d18 ; + wire \blk00000003/sig00000d17 ; + wire \blk00000003/sig00000d16 ; + wire \blk00000003/sig00000d15 ; + wire \blk00000003/sig00000d14 ; + wire \blk00000003/sig00000d13 ; + wire \blk00000003/sig00000d12 ; + wire \blk00000003/sig00000d11 ; + wire \blk00000003/sig00000d10 ; + wire \blk00000003/sig00000d0f ; + wire \blk00000003/sig00000d0e ; + wire \blk00000003/sig00000d0d ; + wire \blk00000003/sig00000d0c ; + wire \blk00000003/sig00000d0b ; + wire \blk00000003/sig00000d0a ; + wire \blk00000003/sig00000d09 ; + wire \blk00000003/sig00000d08 ; + wire \blk00000003/sig00000d07 ; + wire \blk00000003/sig00000d06 ; + wire \blk00000003/sig00000d05 ; + wire \blk00000003/sig00000d04 ; + wire \blk00000003/sig00000d03 ; + wire \blk00000003/sig00000d02 ; + wire \blk00000003/sig00000d01 ; + wire \blk00000003/sig00000d00 ; + wire \blk00000003/sig00000cff ; + wire \blk00000003/sig00000cfe ; + wire \blk00000003/sig00000cfd ; + wire \blk00000003/sig00000cfc ; + wire \blk00000003/sig00000cfb ; + wire \blk00000003/sig00000cfa ; + wire \blk00000003/sig00000cf9 ; + wire \blk00000003/sig00000cf8 ; + wire \blk00000003/sig00000cf7 ; + wire \blk00000003/sig00000cf6 ; + wire \blk00000003/sig00000cf5 ; + wire \blk00000003/sig00000cf4 ; + wire \blk00000003/sig00000cf3 ; + wire \blk00000003/sig00000cf2 ; + wire \blk00000003/sig00000cf1 ; + wire \blk00000003/sig00000cf0 ; + wire \blk00000003/sig00000cef ; + wire \blk00000003/sig00000cee ; + wire \blk00000003/sig00000ced ; + wire \blk00000003/sig00000cec ; + wire \blk00000003/sig00000ceb ; + wire \blk00000003/sig00000cea ; + wire \blk00000003/sig00000ce9 ; + wire \blk00000003/sig00000ce8 ; + wire \blk00000003/sig00000ce7 ; + wire \blk00000003/sig00000ce6 ; + wire \blk00000003/sig00000ce5 ; + wire \blk00000003/sig00000ce4 ; + wire \blk00000003/sig00000ce3 ; + wire \blk00000003/sig00000ce2 ; + wire \blk00000003/sig00000ce1 ; + wire \blk00000003/sig00000ce0 ; + wire \blk00000003/sig00000cdf ; + wire \blk00000003/sig00000cde ; + wire \blk00000003/sig00000cdd ; + wire \blk00000003/sig00000cdc ; + wire \blk00000003/sig00000cdb ; + wire \blk00000003/sig00000cda ; + wire \blk00000003/sig00000cd9 ; + wire \blk00000003/sig00000cd8 ; + wire \blk00000003/sig00000cd7 ; + wire \blk00000003/sig00000cd6 ; + wire \blk00000003/sig00000cd5 ; + wire \blk00000003/sig00000cd4 ; + wire \blk00000003/sig00000cd3 ; + wire \blk00000003/sig00000cd2 ; + wire \blk00000003/sig00000cd1 ; + wire \blk00000003/sig00000cd0 ; + wire \blk00000003/sig00000ccf ; + wire \blk00000003/sig00000cce ; + wire \blk00000003/sig00000ccd ; + wire \blk00000003/sig00000ccc ; + wire \blk00000003/sig00000ccb ; + wire \blk00000003/sig00000cca ; + wire \blk00000003/sig00000cc9 ; + wire \blk00000003/sig00000cc8 ; + wire \blk00000003/sig00000cc7 ; + wire \blk00000003/sig00000cc6 ; + wire \blk00000003/sig00000cc5 ; + wire \blk00000003/sig00000cc4 ; + wire \blk00000003/sig00000cc3 ; + wire \blk00000003/sig00000cc2 ; + wire \blk00000003/sig00000cc1 ; + wire \blk00000003/sig00000cc0 ; + wire \blk00000003/sig00000cbf ; + wire \blk00000003/sig00000cbe ; + wire \blk00000003/sig00000cbd ; + wire \blk00000003/sig00000cbc ; + wire \blk00000003/sig00000cbb ; + wire \blk00000003/sig00000cba ; + wire \blk00000003/sig00000cb9 ; + wire \blk00000003/sig00000cb8 ; + wire \blk00000003/sig00000cb7 ; + wire \blk00000003/sig00000cb6 ; + wire \blk00000003/sig00000cb5 ; + wire \blk00000003/sig00000cb4 ; + wire \blk00000003/sig00000cb3 ; + wire \blk00000003/sig00000cb2 ; + wire \blk00000003/sig00000cb1 ; + wire \blk00000003/sig00000cb0 ; + wire \blk00000003/sig00000caf ; + wire \blk00000003/sig00000cae ; + wire \blk00000003/sig00000cad ; + wire \blk00000003/sig00000cac ; + wire \blk00000003/sig00000cab ; + wire \blk00000003/sig00000caa ; + wire \blk00000003/sig00000ca9 ; + wire \blk00000003/sig00000ca8 ; + wire \blk00000003/sig00000ca7 ; + wire \blk00000003/sig00000ca6 ; + wire \blk00000003/sig00000ca5 ; + wire \blk00000003/sig00000ca4 ; + wire \blk00000003/sig00000ca3 ; + wire \blk00000003/sig00000ca2 ; + wire \blk00000003/sig00000ca1 ; + wire \blk00000003/sig00000ca0 ; + wire \blk00000003/sig00000c9f ; + wire \blk00000003/sig00000c9e ; + wire \blk00000003/sig00000c9d ; + wire \blk00000003/sig00000c9c ; + wire \blk00000003/sig00000c9b ; + wire \blk00000003/sig00000c9a ; + wire \blk00000003/sig00000c99 ; + wire \blk00000003/sig00000c98 ; + wire \blk00000003/sig00000c97 ; + wire \blk00000003/sig00000c96 ; + wire \blk00000003/sig00000c95 ; + wire \blk00000003/sig00000c94 ; + wire \blk00000003/sig00000c93 ; + wire \blk00000003/sig00000c92 ; + wire \blk00000003/sig00000c91 ; + wire \blk00000003/sig00000c90 ; + wire \blk00000003/sig00000c8f ; + wire \blk00000003/sig00000c8e ; + wire \blk00000003/sig00000c8d ; + wire \blk00000003/sig00000c8c ; + wire \blk00000003/sig00000c8b ; + wire \blk00000003/sig00000c8a ; + wire \blk00000003/sig00000c89 ; + wire \blk00000003/sig00000c88 ; + wire \blk00000003/sig00000c87 ; + wire \blk00000003/sig00000c86 ; + wire \blk00000003/sig00000c85 ; + wire \blk00000003/sig00000c84 ; + wire \blk00000003/sig00000c83 ; + wire \blk00000003/sig00000c82 ; + wire \blk00000003/sig00000c81 ; + wire \blk00000003/sig00000c80 ; + wire \blk00000003/sig00000c7f ; + wire \blk00000003/sig00000c7e ; + wire \blk00000003/sig00000c7d ; + wire \blk00000003/sig00000c7c ; + wire \blk00000003/sig00000c7b ; + wire \blk00000003/sig00000c7a ; + wire \blk00000003/sig00000c79 ; + wire \blk00000003/sig00000c78 ; + wire \blk00000003/sig00000c77 ; + wire \blk00000003/sig00000c76 ; + wire \blk00000003/sig00000c75 ; + wire \blk00000003/sig00000c74 ; + wire \blk00000003/sig00000c73 ; + wire \blk00000003/sig00000c72 ; + wire \blk00000003/sig00000c71 ; + wire \blk00000003/sig00000c70 ; + wire \blk00000003/sig00000c6f ; + wire \blk00000003/sig00000c6e ; + wire \blk00000003/sig00000c6d ; + wire \blk00000003/sig00000c6c ; + wire \blk00000003/sig00000c6b ; + wire \blk00000003/sig00000c6a ; + wire \blk00000003/sig00000c69 ; + wire \blk00000003/sig00000c68 ; + wire \blk00000003/sig00000c67 ; + wire \blk00000003/sig00000c66 ; + wire \blk00000003/sig00000c65 ; + wire \blk00000003/sig00000c64 ; + wire \blk00000003/sig00000c63 ; + wire \blk00000003/sig00000c62 ; + wire \blk00000003/sig00000c61 ; + wire \blk00000003/sig00000c60 ; + wire \blk00000003/sig00000c5f ; + wire \blk00000003/sig00000c5e ; + wire \blk00000003/sig00000c5d ; + wire \blk00000003/sig00000c5c ; + wire \blk00000003/sig00000c5b ; + wire \blk00000003/sig00000c5a ; + wire \blk00000003/sig00000c59 ; + wire \blk00000003/sig00000c58 ; + wire \blk00000003/sig00000c57 ; + wire \blk00000003/sig00000c56 ; + wire \blk00000003/sig00000c55 ; + wire \blk00000003/sig00000c54 ; + wire \blk00000003/sig00000c53 ; + wire \blk00000003/sig00000c52 ; + wire \blk00000003/sig00000c51 ; + wire \blk00000003/sig00000c50 ; + wire \blk00000003/sig00000c4f ; + wire \blk00000003/sig00000c4e ; + wire \blk00000003/sig00000c4d ; + wire \blk00000003/sig00000c4c ; + wire \blk00000003/sig00000c4b ; + wire \blk00000003/sig00000c4a ; + wire \blk00000003/sig00000c49 ; + wire \blk00000003/sig00000c48 ; + wire \blk00000003/sig00000c47 ; + wire \blk00000003/sig00000c46 ; + wire \blk00000003/sig00000c45 ; + wire \blk00000003/sig00000c44 ; + wire \blk00000003/sig00000c43 ; + wire \blk00000003/sig00000c42 ; + wire \blk00000003/sig00000c41 ; + wire \blk00000003/sig00000c40 ; + wire \blk00000003/sig00000c3f ; + wire \blk00000003/sig00000c3e ; + wire \blk00000003/sig00000c3d ; + wire \blk00000003/sig00000c3c ; + wire \blk00000003/sig00000c3b ; + wire \blk00000003/sig00000c3a ; + wire \blk00000003/sig00000c39 ; + wire \blk00000003/sig00000c38 ; + wire \blk00000003/sig00000c37 ; + wire \blk00000003/sig00000c36 ; + wire \blk00000003/sig00000c35 ; + wire \blk00000003/sig00000c34 ; + wire \blk00000003/sig00000c33 ; + wire \blk00000003/sig00000c32 ; + wire \blk00000003/sig00000c31 ; + wire \blk00000003/sig00000c30 ; + wire \blk00000003/sig00000c2f ; + wire \blk00000003/sig00000c2e ; + wire \blk00000003/sig00000c2d ; + wire \blk00000003/sig00000c2c ; + wire \blk00000003/sig00000c2b ; + wire \blk00000003/sig00000c2a ; + wire \blk00000003/sig00000c29 ; + wire \blk00000003/sig00000c28 ; + wire \blk00000003/sig00000c27 ; + wire \blk00000003/sig00000c26 ; + wire \blk00000003/sig00000c25 ; + wire \blk00000003/sig00000c24 ; + wire \blk00000003/sig00000c23 ; + wire \blk00000003/sig00000c22 ; + wire \blk00000003/sig00000c21 ; + wire \blk00000003/sig00000c20 ; + wire \blk00000003/sig00000c1f ; + wire \blk00000003/sig00000c1e ; + wire \blk00000003/sig00000c1d ; + wire \blk00000003/sig00000c1c ; + wire \blk00000003/sig00000c1b ; + wire \blk00000003/sig00000c1a ; + wire \blk00000003/sig00000c19 ; + wire \blk00000003/sig00000c18 ; + wire \blk00000003/sig00000c17 ; + wire \blk00000003/sig00000c16 ; + wire \blk00000003/sig00000c15 ; + wire \blk00000003/sig00000c14 ; + wire \blk00000003/sig00000c13 ; + wire \blk00000003/sig00000c12 ; + wire \blk00000003/sig00000c11 ; + wire \blk00000003/sig00000c10 ; + wire \blk00000003/sig00000c0f ; + wire \blk00000003/sig00000c0e ; + wire \blk00000003/sig00000c0d ; + wire \blk00000003/sig00000c0c ; + wire \blk00000003/sig00000c0b ; + wire \blk00000003/sig00000c0a ; + wire \blk00000003/sig00000c09 ; + wire \blk00000003/sig00000c08 ; + wire \blk00000003/sig00000c07 ; + wire \blk00000003/sig00000c06 ; + wire \blk00000003/sig00000c05 ; + wire \blk00000003/sig00000c04 ; + wire \blk00000003/sig00000c03 ; + wire \blk00000003/sig00000c02 ; + wire \blk00000003/sig00000c01 ; + wire \blk00000003/sig00000c00 ; + wire \blk00000003/sig00000bff ; + wire \blk00000003/sig00000bfe ; + wire \blk00000003/sig00000bfd ; + wire \blk00000003/sig00000bfc ; + wire \blk00000003/sig00000bfb ; + wire \blk00000003/sig00000bfa ; + wire \blk00000003/sig00000bf9 ; + wire \blk00000003/sig00000bf8 ; + wire \blk00000003/sig00000bf7 ; + wire \blk00000003/sig00000bf6 ; + wire \blk00000003/sig00000bf5 ; + wire \blk00000003/sig00000bf4 ; + wire \blk00000003/sig00000bf3 ; + wire \blk00000003/sig00000bf2 ; + wire \blk00000003/sig00000bf1 ; + wire \blk00000003/sig00000bf0 ; + wire \blk00000003/sig00000bef ; + wire \blk00000003/sig00000bee ; + wire \blk00000003/sig00000bed ; + wire \blk00000003/sig00000bec ; + wire \blk00000003/sig00000beb ; + wire \blk00000003/sig00000bea ; + wire \blk00000003/sig00000be9 ; + wire \blk00000003/sig00000be8 ; + wire \blk00000003/sig00000be7 ; + wire \blk00000003/sig00000be6 ; + wire \blk00000003/sig00000be5 ; + wire \blk00000003/sig00000be4 ; + wire \blk00000003/sig00000be3 ; + wire \blk00000003/sig00000be2 ; + wire \blk00000003/sig00000be1 ; + wire \blk00000003/sig00000be0 ; + wire \blk00000003/sig00000bdf ; + wire \blk00000003/sig00000bde ; + wire \blk00000003/sig00000bdd ; + wire \blk00000003/sig00000bdc ; + wire \blk00000003/sig00000bdb ; + wire \blk00000003/sig00000bda ; + wire \blk00000003/sig00000bd9 ; + wire \blk00000003/sig00000bd8 ; + wire \blk00000003/sig00000bd7 ; + wire \blk00000003/sig00000bd6 ; + wire \blk00000003/sig00000bd5 ; + wire \blk00000003/sig00000bd4 ; + wire \blk00000003/sig00000bd3 ; + wire \blk00000003/sig00000bd2 ; + wire \blk00000003/sig00000bd1 ; + wire \blk00000003/sig00000bd0 ; + wire \blk00000003/sig00000bcf ; + wire \blk00000003/sig00000bce ; + wire \blk00000003/sig00000bcd ; + wire \blk00000003/sig00000bcc ; + wire \blk00000003/sig00000bcb ; + wire \blk00000003/sig00000bca ; + wire \blk00000003/sig00000bc9 ; + wire \blk00000003/sig00000bc8 ; + wire \blk00000003/sig00000bc7 ; + wire \blk00000003/sig00000bc6 ; + wire \blk00000003/sig00000bc5 ; + wire \blk00000003/sig00000bc4 ; + wire \blk00000003/sig00000bc3 ; + wire \blk00000003/sig00000bc2 ; + wire \blk00000003/sig00000bc1 ; + wire \blk00000003/sig00000bc0 ; + wire \blk00000003/sig00000bbf ; + wire \blk00000003/sig00000bbe ; + wire \blk00000003/sig00000bbd ; + wire \blk00000003/sig00000bbc ; + wire \blk00000003/sig00000bbb ; + wire \blk00000003/sig00000bba ; + wire \blk00000003/sig00000bb9 ; + wire \blk00000003/sig00000bb8 ; + wire \blk00000003/sig00000bb7 ; + wire \blk00000003/sig00000bb6 ; + wire \blk00000003/sig00000bb5 ; + wire \blk00000003/sig00000bb4 ; + wire \blk00000003/sig00000bb3 ; + wire \blk00000003/sig00000bb2 ; + wire \blk00000003/sig00000bb1 ; + wire \blk00000003/sig00000bb0 ; + wire \blk00000003/sig00000baf ; + wire \blk00000003/sig00000bae ; + wire \blk00000003/sig00000bad ; + wire \blk00000003/sig00000bac ; + wire \blk00000003/sig00000bab ; + wire \blk00000003/sig00000baa ; + wire \blk00000003/sig00000ba9 ; + wire \blk00000003/sig00000ba8 ; + wire \blk00000003/sig00000ba7 ; + wire \blk00000003/sig00000ba6 ; + wire \blk00000003/sig00000ba5 ; + wire \blk00000003/sig00000ba4 ; + wire \blk00000003/sig00000ba3 ; + wire \blk00000003/sig00000ba2 ; + wire \blk00000003/sig00000ba1 ; + wire \blk00000003/sig00000ba0 ; + wire \blk00000003/sig00000b9f ; + wire \blk00000003/sig00000b9e ; + wire \blk00000003/sig00000b9d ; + wire \blk00000003/sig00000b9c ; + wire \blk00000003/sig00000b9b ; + wire \blk00000003/sig00000b9a ; + wire \blk00000003/sig00000b99 ; + wire \blk00000003/sig00000b98 ; + wire \blk00000003/sig00000b97 ; + wire \blk00000003/sig00000b96 ; + wire \blk00000003/sig00000b95 ; + wire \blk00000003/sig00000b94 ; + wire \blk00000003/sig00000b93 ; + wire \blk00000003/sig00000b92 ; + wire \blk00000003/sig00000b91 ; + wire \blk00000003/sig00000b90 ; + wire \blk00000003/sig00000b8f ; + wire \blk00000003/sig00000b8e ; + wire \blk00000003/sig00000b8d ; + wire \blk00000003/sig00000b8c ; + wire \blk00000003/sig00000b8b ; + wire \blk00000003/sig00000b8a ; + wire \blk00000003/sig00000b89 ; + wire \blk00000003/sig00000b88 ; + wire \blk00000003/sig00000b87 ; + wire \blk00000003/sig00000b86 ; + wire \blk00000003/sig00000b85 ; + wire \blk00000003/sig00000b84 ; + wire \blk00000003/sig00000b83 ; + wire \blk00000003/sig00000b82 ; + wire \blk00000003/sig00000b81 ; + wire \blk00000003/sig00000b80 ; + wire \blk00000003/sig00000b7f ; + wire \blk00000003/sig00000b7e ; + wire \blk00000003/sig00000b7d ; + wire \blk00000003/sig00000b7c ; + wire \blk00000003/sig00000b7b ; + wire \blk00000003/sig00000b7a ; + wire \blk00000003/sig00000b79 ; + wire \blk00000003/sig00000b78 ; + wire \blk00000003/sig00000b77 ; + wire \blk00000003/sig00000b76 ; + wire \blk00000003/sig00000b75 ; + wire \blk00000003/sig00000b74 ; + wire \blk00000003/sig00000b73 ; + wire \blk00000003/sig00000b72 ; + wire \blk00000003/sig00000b71 ; + wire \blk00000003/sig00000b70 ; + wire \blk00000003/sig00000b6f ; + wire \blk00000003/sig00000b6e ; + wire \blk00000003/sig00000b6d ; + wire \blk00000003/sig00000b6c ; + wire \blk00000003/sig00000b6b ; + wire \blk00000003/sig00000b6a ; + wire \blk00000003/sig00000b69 ; + wire \blk00000003/sig00000b68 ; + wire \blk00000003/sig00000b67 ; + wire \blk00000003/sig00000b66 ; + wire \blk00000003/sig00000b65 ; + wire \blk00000003/sig00000b64 ; + wire \blk00000003/sig00000b63 ; + wire \blk00000003/sig00000b62 ; + wire \blk00000003/sig00000b61 ; + wire \blk00000003/sig00000b60 ; + wire \blk00000003/sig00000b5f ; + wire \blk00000003/sig00000b5e ; + wire \blk00000003/sig00000b5d ; + wire \blk00000003/sig00000b5c ; + wire \blk00000003/sig00000b5b ; + wire \blk00000003/sig00000b5a ; + wire \blk00000003/sig00000b59 ; + wire \blk00000003/sig00000b58 ; + wire \blk00000003/sig00000b57 ; + wire \blk00000003/sig00000b56 ; + wire \blk00000003/sig00000b55 ; + wire \blk00000003/sig00000b54 ; + wire \blk00000003/sig00000b53 ; + wire \blk00000003/sig00000b52 ; + wire \blk00000003/sig00000b51 ; + wire \blk00000003/sig00000b50 ; + wire \blk00000003/sig00000b4f ; + wire \blk00000003/sig00000b4e ; + wire \blk00000003/sig00000b4d ; + wire \blk00000003/sig00000b4c ; + wire \blk00000003/sig00000b4b ; + wire \blk00000003/sig00000b4a ; + wire \blk00000003/sig00000b49 ; + wire \blk00000003/sig00000b48 ; + wire \blk00000003/sig00000b47 ; + wire \blk00000003/sig00000b46 ; + wire \blk00000003/sig00000b45 ; + wire \blk00000003/sig00000b44 ; + wire \blk00000003/sig00000b43 ; + wire \blk00000003/sig00000b42 ; + wire \blk00000003/sig00000b41 ; + wire \blk00000003/sig00000b40 ; + wire \blk00000003/sig00000b3f ; + wire \blk00000003/sig00000b3e ; + wire \blk00000003/sig00000b3d ; + wire \blk00000003/sig00000b3c ; + wire \blk00000003/sig00000b3b ; + wire \blk00000003/sig00000b3a ; + wire \blk00000003/sig00000b39 ; + wire \blk00000003/sig00000b38 ; + wire \blk00000003/sig00000b37 ; + wire \blk00000003/sig00000b36 ; + wire \blk00000003/sig00000b35 ; + wire \blk00000003/sig00000b34 ; + wire \blk00000003/sig00000b33 ; + wire \blk00000003/sig00000b32 ; + wire \blk00000003/sig00000b31 ; + wire \blk00000003/sig00000b30 ; + wire \blk00000003/sig00000b2f ; + wire \blk00000003/sig00000b2e ; + wire \blk00000003/sig00000b2d ; + wire \blk00000003/sig00000b2c ; + wire \blk00000003/sig00000b2b ; + wire \blk00000003/sig00000b2a ; + wire \blk00000003/sig00000b29 ; + wire \blk00000003/sig00000b28 ; + wire \blk00000003/sig00000b27 ; + wire \blk00000003/sig00000b26 ; + wire \blk00000003/sig00000b25 ; + wire \blk00000003/sig00000b24 ; + wire \blk00000003/sig00000b23 ; + wire \blk00000003/sig00000b22 ; + wire \blk00000003/sig00000b21 ; + wire \blk00000003/sig00000b20 ; + wire \blk00000003/sig00000b1f ; + wire \blk00000003/sig00000b1e ; + wire \blk00000003/sig00000b1d ; + wire \blk00000003/sig00000b1c ; + wire \blk00000003/sig00000b1b ; + wire \blk00000003/sig00000b1a ; + wire \blk00000003/sig00000b19 ; + wire \blk00000003/sig00000b18 ; + wire \blk00000003/sig00000b17 ; + wire \blk00000003/sig00000b16 ; + wire \blk00000003/sig00000b15 ; + wire \blk00000003/sig00000b14 ; + wire \blk00000003/sig00000b13 ; + wire \blk00000003/sig00000b12 ; + wire \blk00000003/sig00000b11 ; + wire \blk00000003/sig00000b10 ; + wire \blk00000003/sig00000b0f ; + wire \blk00000003/sig00000b0e ; + wire \blk00000003/sig00000b0d ; + wire \blk00000003/sig00000b0c ; + wire \blk00000003/sig00000b0b ; + wire \blk00000003/sig00000b0a ; + wire \blk00000003/sig00000b09 ; + wire \blk00000003/sig00000b08 ; + wire \blk00000003/sig00000b07 ; + wire \blk00000003/sig00000b06 ; + wire \blk00000003/sig00000b05 ; + wire \blk00000003/sig00000b04 ; + wire \blk00000003/sig00000b03 ; + wire \blk00000003/sig00000b02 ; + wire \blk00000003/sig00000b01 ; + wire \blk00000003/sig00000b00 ; + wire \blk00000003/sig00000aff ; + wire \blk00000003/sig00000afe ; + wire \blk00000003/sig00000afd ; + wire \blk00000003/sig00000afc ; + wire \blk00000003/sig00000afb ; + wire \blk00000003/sig00000afa ; + wire \blk00000003/sig00000af9 ; + wire \blk00000003/sig00000af8 ; + wire \blk00000003/sig00000af7 ; + wire \blk00000003/sig00000af6 ; + wire \blk00000003/sig00000af5 ; + wire \blk00000003/sig00000af4 ; + wire \blk00000003/sig00000af3 ; + wire \blk00000003/sig00000af2 ; + wire \blk00000003/sig00000af1 ; + wire \blk00000003/sig00000af0 ; + wire \blk00000003/sig00000aef ; + wire \blk00000003/sig00000aee ; + wire \blk00000003/sig00000aed ; + wire \blk00000003/sig00000aec ; + wire \blk00000003/sig00000aeb ; + wire \blk00000003/sig00000aea ; + wire \blk00000003/sig00000ae9 ; + wire \blk00000003/sig00000ae8 ; + wire \blk00000003/sig00000ae7 ; + wire \blk00000003/sig00000ae6 ; + wire \blk00000003/sig00000ae5 ; + wire \blk00000003/sig00000ae4 ; + wire \blk00000003/sig00000ae3 ; + wire \blk00000003/sig00000ae2 ; + wire \blk00000003/sig00000ae1 ; + wire \blk00000003/sig00000ae0 ; + wire \blk00000003/sig00000adf ; + wire \blk00000003/sig00000ade ; + wire \blk00000003/sig00000add ; + wire \blk00000003/sig00000adc ; + wire \blk00000003/sig00000adb ; + wire \blk00000003/sig00000ada ; + wire \blk00000003/sig00000ad9 ; + wire \blk00000003/sig00000ad8 ; + wire \blk00000003/sig00000ad7 ; + wire \blk00000003/sig00000ad6 ; + wire \blk00000003/sig00000ad5 ; + wire \blk00000003/sig00000ad4 ; + wire \blk00000003/sig00000ad3 ; + wire \blk00000003/sig00000ad2 ; + wire \blk00000003/sig00000ad1 ; + wire \blk00000003/sig00000ad0 ; + wire \blk00000003/sig00000acf ; + wire \blk00000003/sig00000ace ; + wire \blk00000003/sig00000acd ; + wire \blk00000003/sig00000acc ; + wire \blk00000003/sig00000acb ; + wire \blk00000003/sig00000aca ; + wire \blk00000003/sig00000ac9 ; + wire \blk00000003/sig00000ac8 ; + wire \blk00000003/sig00000ac7 ; + wire \blk00000003/sig00000ac6 ; + wire \blk00000003/sig00000ac5 ; + wire \blk00000003/sig00000ac4 ; + wire \blk00000003/sig00000ac3 ; + wire \blk00000003/sig00000ac2 ; + wire \blk00000003/sig00000ac1 ; + wire \blk00000003/sig00000ac0 ; + wire \blk00000003/sig00000abf ; + wire \blk00000003/sig00000abe ; + wire \blk00000003/sig00000abd ; + wire \blk00000003/sig00000abc ; + wire \blk00000003/sig00000abb ; + wire \blk00000003/sig00000aba ; + wire \blk00000003/sig00000ab9 ; + wire \blk00000003/sig00000ab8 ; + wire \blk00000003/sig00000ab7 ; + wire \blk00000003/sig00000ab6 ; + wire \blk00000003/sig00000ab5 ; + wire \blk00000003/sig00000ab4 ; + wire \blk00000003/sig00000ab3 ; + wire \blk00000003/sig00000ab2 ; + wire \blk00000003/sig00000ab1 ; + wire \blk00000003/sig00000ab0 ; + wire \blk00000003/sig00000aaf ; + wire \blk00000003/sig00000aae ; + wire \blk00000003/sig00000aad ; + wire \blk00000003/sig00000aac ; + wire \blk00000003/sig00000aab ; + wire \blk00000003/sig00000aaa ; + wire \blk00000003/sig00000aa9 ; + wire \blk00000003/sig00000aa8 ; + wire \blk00000003/sig00000aa7 ; + wire \blk00000003/sig00000aa6 ; + wire \blk00000003/sig00000aa5 ; + wire \blk00000003/sig00000aa4 ; + wire \blk00000003/sig00000aa3 ; + wire \blk00000003/sig00000aa2 ; + wire \blk00000003/sig00000aa1 ; + wire \blk00000003/sig00000aa0 ; + wire \blk00000003/sig00000a9f ; + wire \blk00000003/sig00000a9e ; + wire \blk00000003/sig00000a9d ; + wire \blk00000003/sig00000a9c ; + wire \blk00000003/sig00000a9b ; + wire \blk00000003/sig00000a9a ; + wire \blk00000003/sig00000a99 ; + wire \blk00000003/sig00000a98 ; + wire \blk00000003/sig00000a97 ; + wire \blk00000003/sig00000a96 ; + wire \blk00000003/sig00000a95 ; + wire \blk00000003/sig00000a94 ; + wire \blk00000003/sig00000a93 ; + wire \blk00000003/sig00000a92 ; + wire \blk00000003/sig00000a91 ; + wire \blk00000003/sig00000a90 ; + wire \blk00000003/sig00000a8f ; + wire \blk00000003/sig00000a8e ; + wire \blk00000003/sig00000a8d ; + wire \blk00000003/sig00000a8c ; + wire \blk00000003/sig00000a8b ; + wire \blk00000003/sig00000a8a ; + wire \blk00000003/sig00000a89 ; + wire \blk00000003/sig00000a88 ; + wire \blk00000003/sig00000a87 ; + wire \blk00000003/sig00000a86 ; + wire \blk00000003/sig00000a85 ; + wire \blk00000003/sig00000a84 ; + wire \blk00000003/sig00000a83 ; + wire \blk00000003/sig00000a82 ; + wire \blk00000003/sig00000a81 ; + wire \blk00000003/sig00000a80 ; + wire \blk00000003/sig00000a7f ; + wire \blk00000003/sig00000a7e ; + wire \blk00000003/sig00000a7d ; + wire \blk00000003/sig00000a7c ; + wire \blk00000003/sig00000a7b ; + wire \blk00000003/sig00000a7a ; + wire \blk00000003/sig00000a79 ; + wire \blk00000003/sig00000a78 ; + wire \blk00000003/sig00000a77 ; + wire \blk00000003/sig00000a76 ; + wire \blk00000003/sig00000a75 ; + wire \blk00000003/sig00000a74 ; + wire \blk00000003/sig00000a73 ; + wire \blk00000003/sig00000a72 ; + wire \blk00000003/sig00000a71 ; + wire \blk00000003/sig00000a70 ; + wire \blk00000003/sig00000a6f ; + wire \blk00000003/sig00000a6e ; + wire \blk00000003/sig00000a6d ; + wire \blk00000003/sig00000a6c ; + wire \blk00000003/sig00000a6b ; + wire \blk00000003/sig00000a6a ; + wire \blk00000003/sig00000a69 ; + wire \blk00000003/sig00000a68 ; + wire \blk00000003/sig00000a67 ; + wire \blk00000003/sig00000a66 ; + wire \blk00000003/sig00000a65 ; + wire \blk00000003/sig00000a64 ; + wire \blk00000003/sig00000a63 ; + wire \blk00000003/sig00000a62 ; + wire \blk00000003/sig00000a61 ; + wire \blk00000003/sig00000a60 ; + wire \blk00000003/sig00000a5f ; + wire \blk00000003/sig00000a5e ; + wire \blk00000003/sig00000a5d ; + wire \blk00000003/sig00000a5c ; + wire \blk00000003/sig00000a5b ; + wire \blk00000003/sig00000a5a ; + wire \blk00000003/sig00000a59 ; + wire \blk00000003/sig00000a58 ; + wire \blk00000003/sig00000a57 ; + wire \blk00000003/sig00000a56 ; + wire \blk00000003/sig00000a55 ; + wire \blk00000003/sig00000a54 ; + wire \blk00000003/sig00000a53 ; + wire \blk00000003/sig00000a52 ; + wire \blk00000003/sig00000a51 ; + wire \blk00000003/sig00000a50 ; + wire \blk00000003/sig00000a4f ; + wire \blk00000003/sig00000a4e ; + wire \blk00000003/sig00000a4d ; + wire \blk00000003/sig00000a4c ; + wire \blk00000003/sig00000a4b ; + wire \blk00000003/sig00000a4a ; + wire \blk00000003/sig00000a49 ; + wire \blk00000003/sig00000a48 ; + wire \blk00000003/sig00000a47 ; + wire \blk00000003/sig00000a46 ; + wire \blk00000003/sig00000a45 ; + wire \blk00000003/sig00000a44 ; + wire \blk00000003/sig00000a43 ; + wire \blk00000003/sig00000a42 ; + wire \blk00000003/sig00000a41 ; + wire \blk00000003/sig00000a40 ; + wire \blk00000003/sig00000a3f ; + wire \blk00000003/sig00000a3e ; + wire \blk00000003/sig00000a3d ; + wire \blk00000003/sig00000a3c ; + wire \blk00000003/sig00000a3b ; + wire \blk00000003/sig00000a3a ; + wire \blk00000003/sig00000a39 ; + wire \blk00000003/sig00000a38 ; + wire \blk00000003/sig00000a37 ; + wire \blk00000003/sig00000a36 ; + wire \blk00000003/sig00000a35 ; + wire \blk00000003/sig00000a34 ; + wire \blk00000003/sig00000a33 ; + wire \blk00000003/sig00000a32 ; + wire \blk00000003/sig00000a31 ; + wire \blk00000003/sig00000a30 ; + wire \blk00000003/sig00000a2f ; + wire \blk00000003/sig00000a2e ; + wire \blk00000003/sig00000a2d ; + wire \blk00000003/sig00000a2c ; + wire \blk00000003/sig00000a2b ; + wire \blk00000003/sig00000a2a ; + wire \blk00000003/sig00000a29 ; + wire \blk00000003/sig00000a28 ; + wire \blk00000003/sig00000a27 ; + wire \blk00000003/sig00000a26 ; + wire \blk00000003/sig00000a25 ; + wire \blk00000003/sig00000a24 ; + wire \blk00000003/sig00000a23 ; + wire \blk00000003/sig00000a22 ; + wire \blk00000003/sig00000a21 ; + wire \blk00000003/sig00000a20 ; + wire \blk00000003/sig00000a1f ; + wire \blk00000003/sig00000a1e ; + wire \blk00000003/sig00000a1d ; + wire \blk00000003/sig00000a1c ; + wire \blk00000003/sig00000a1b ; + wire \blk00000003/sig00000a1a ; + wire \blk00000003/sig00000a19 ; + wire \blk00000003/sig00000a18 ; + wire \blk00000003/sig00000a17 ; + wire \blk00000003/sig00000a16 ; + wire \blk00000003/sig00000a15 ; + wire \blk00000003/sig00000a14 ; + wire \blk00000003/sig00000a13 ; + wire \blk00000003/sig00000a12 ; + wire \blk00000003/sig00000a11 ; + wire \blk00000003/sig00000a10 ; + wire \blk00000003/sig00000a0f ; + wire \blk00000003/sig00000a0e ; + wire \blk00000003/sig00000a0d ; + wire \blk00000003/sig00000a0c ; + wire \blk00000003/sig00000a0b ; + wire \blk00000003/sig00000a0a ; + wire \blk00000003/sig00000a09 ; + wire \blk00000003/sig00000a08 ; + wire \blk00000003/sig00000a07 ; + wire \blk00000003/sig00000a06 ; + wire \blk00000003/sig00000a05 ; + wire \blk00000003/sig00000a04 ; + wire \blk00000003/sig00000a03 ; + wire \blk00000003/sig00000a02 ; + wire \blk00000003/sig00000a01 ; + wire \blk00000003/sig00000a00 ; + wire \blk00000003/sig000009ff ; + wire \blk00000003/sig000009fe ; + wire \blk00000003/sig000009fd ; + wire \blk00000003/sig000009fc ; + wire \blk00000003/sig000009fb ; + wire \blk00000003/sig000009fa ; + wire \blk00000003/sig000009f9 ; + wire \blk00000003/sig000009f8 ; + wire \blk00000003/sig000009f7 ; + wire \blk00000003/sig000009f6 ; + wire \blk00000003/sig000009f5 ; + wire \blk00000003/sig000009f4 ; + wire \blk00000003/sig000009f3 ; + wire \blk00000003/sig000009f2 ; + wire \blk00000003/sig000009f1 ; + wire \blk00000003/sig000009f0 ; + wire \blk00000003/sig000009ef ; + wire \blk00000003/sig000009ee ; + wire \blk00000003/sig000009ed ; + wire \blk00000003/sig000009ec ; + wire \blk00000003/sig000009eb ; + wire \blk00000003/sig000009ea ; + wire \blk00000003/sig000009e9 ; + wire \blk00000003/sig000009e8 ; + wire \blk00000003/sig000009e7 ; + wire \blk00000003/sig000009e6 ; + wire \blk00000003/sig000009e5 ; + wire \blk00000003/sig000009e4 ; + wire \blk00000003/sig000009e3 ; + wire \blk00000003/sig000009e2 ; + wire \blk00000003/sig000009e1 ; + wire \blk00000003/sig000009e0 ; + wire \blk00000003/sig000009df ; + wire \blk00000003/sig000009de ; + wire \blk00000003/sig000009dd ; + wire \blk00000003/sig000009dc ; + wire \blk00000003/sig000009db ; + wire \blk00000003/sig000009da ; + wire \blk00000003/sig000009d9 ; + wire \blk00000003/sig000009d8 ; + wire \blk00000003/sig000009d7 ; + wire \blk00000003/sig000009d6 ; + wire \blk00000003/sig000009d5 ; + wire \blk00000003/sig000009d4 ; + wire \blk00000003/sig000009d3 ; + wire \blk00000003/sig000009d2 ; + wire \blk00000003/sig000009d1 ; + wire \blk00000003/sig000009d0 ; + wire \blk00000003/sig000009cf ; + wire \blk00000003/sig000009ce ; + wire \blk00000003/sig000009cd ; + wire \blk00000003/sig000009cc ; + wire \blk00000003/sig000009cb ; + wire \blk00000003/sig000009ca ; + wire \blk00000003/sig000009c9 ; + wire \blk00000003/sig000009c8 ; + wire \blk00000003/sig000009c7 ; + wire \blk00000003/sig000009c6 ; + wire \blk00000003/sig000009c5 ; + wire \blk00000003/sig000009c4 ; + wire \blk00000003/sig000009c3 ; + wire \blk00000003/sig000009c2 ; + wire \blk00000003/sig000009c1 ; + wire \blk00000003/sig000009c0 ; + wire \blk00000003/sig000009bf ; + wire \blk00000003/sig000009be ; + wire \blk00000003/sig000009bd ; + wire \blk00000003/sig000009bc ; + wire \blk00000003/sig000009bb ; + wire \blk00000003/sig000009ba ; + wire \blk00000003/sig000009b9 ; + wire \blk00000003/sig000009b8 ; + wire \blk00000003/sig000009b7 ; + wire \blk00000003/sig000009b6 ; + wire \blk00000003/sig000009b5 ; + wire \blk00000003/sig000009b4 ; + wire \blk00000003/sig000009b3 ; + wire \blk00000003/sig000009b2 ; + wire \blk00000003/sig000009b1 ; + wire \blk00000003/sig000009b0 ; + wire \blk00000003/sig000009af ; + wire \blk00000003/sig000009ae ; + wire \blk00000003/sig000009ad ; + wire \blk00000003/sig000009ac ; + wire \blk00000003/sig000009ab ; + wire \blk00000003/sig000009aa ; + wire \blk00000003/sig000009a9 ; + wire \blk00000003/sig000009a8 ; + wire \blk00000003/sig000009a7 ; + wire \blk00000003/sig000009a6 ; + wire \blk00000003/sig000009a5 ; + wire \blk00000003/sig000009a4 ; + wire \blk00000003/sig000009a3 ; + wire \blk00000003/sig000009a2 ; + wire \blk00000003/sig000009a1 ; + wire \blk00000003/sig000009a0 ; + wire \blk00000003/sig0000099f ; + wire \blk00000003/sig0000099e ; + wire \blk00000003/sig0000099d ; + wire \blk00000003/sig0000099c ; + wire \blk00000003/sig0000099b ; + wire \blk00000003/sig0000099a ; + wire \blk00000003/sig00000999 ; + wire \blk00000003/sig00000998 ; + wire \blk00000003/sig00000997 ; + wire \blk00000003/sig00000996 ; + wire \blk00000003/sig00000995 ; + wire \blk00000003/sig00000994 ; + wire \blk00000003/sig00000993 ; + wire \blk00000003/sig00000992 ; + wire \blk00000003/sig00000991 ; + wire \blk00000003/sig00000990 ; + wire \blk00000003/sig0000098f ; + wire \blk00000003/sig0000098e ; + wire \blk00000003/sig0000098d ; + wire \blk00000003/sig0000098c ; + wire \blk00000003/sig0000098b ; + wire \blk00000003/sig0000098a ; + wire \blk00000003/sig00000989 ; + wire \blk00000003/sig00000988 ; + wire \blk00000003/sig00000987 ; + wire \blk00000003/sig00000986 ; + wire \blk00000003/sig00000985 ; + wire \blk00000003/sig00000984 ; + wire \blk00000003/sig00000983 ; + wire \blk00000003/sig00000982 ; + wire \blk00000003/sig00000981 ; + wire \blk00000003/sig00000980 ; + wire \blk00000003/sig0000097f ; + wire \blk00000003/sig0000097e ; + wire \blk00000003/sig0000097d ; + wire \blk00000003/sig0000097c ; + wire \blk00000003/sig0000097b ; + wire \blk00000003/sig0000097a ; + wire \blk00000003/sig00000979 ; + wire \blk00000003/sig00000978 ; + wire \blk00000003/sig00000977 ; + wire \blk00000003/sig00000976 ; + wire \blk00000003/sig00000975 ; + wire \blk00000003/sig00000974 ; + wire \blk00000003/sig00000973 ; + wire \blk00000003/sig00000972 ; + wire \blk00000003/sig00000971 ; + wire \blk00000003/sig00000970 ; + wire \blk00000003/sig0000096f ; + wire \blk00000003/sig0000096e ; + wire \blk00000003/sig0000096d ; + wire \blk00000003/sig0000096c ; + wire \blk00000003/sig0000096b ; + wire \blk00000003/sig0000096a ; + wire \blk00000003/sig00000969 ; + wire \blk00000003/sig00000968 ; + wire \blk00000003/sig00000967 ; + wire \blk00000003/sig00000966 ; + wire \blk00000003/sig00000965 ; + wire \blk00000003/sig00000964 ; + wire \blk00000003/sig00000963 ; + wire \blk00000003/sig00000962 ; + wire \blk00000003/sig00000961 ; + wire \blk00000003/sig00000960 ; + wire \blk00000003/sig0000095f ; + wire \blk00000003/sig0000095e ; + wire \blk00000003/sig0000095d ; + wire \blk00000003/sig0000095c ; + wire \blk00000003/sig0000095b ; + wire \blk00000003/sig0000095a ; + wire \blk00000003/sig00000959 ; + wire \blk00000003/sig00000958 ; + wire \blk00000003/sig00000957 ; + wire \blk00000003/sig00000956 ; + wire \blk00000003/sig00000955 ; + wire \blk00000003/sig00000954 ; + wire \blk00000003/sig00000953 ; + wire \blk00000003/sig00000952 ; + wire \blk00000003/sig00000951 ; + wire \blk00000003/sig00000950 ; + wire \blk00000003/sig0000094f ; + wire \blk00000003/sig0000094e ; + wire \blk00000003/sig0000094d ; + wire \blk00000003/sig0000094c ; + wire \blk00000003/sig0000094b ; + wire \blk00000003/sig0000094a ; + wire \blk00000003/sig00000949 ; + wire \blk00000003/sig00000948 ; + wire \blk00000003/sig00000947 ; + wire \blk00000003/sig00000946 ; + wire \blk00000003/sig00000945 ; + wire \blk00000003/sig00000944 ; + wire \blk00000003/sig00000943 ; + wire \blk00000003/sig00000942 ; + wire \blk00000003/sig00000941 ; + wire \blk00000003/sig00000940 ; + wire \blk00000003/sig0000093f ; + wire \blk00000003/sig0000093e ; + wire \blk00000003/sig0000093d ; + wire \blk00000003/sig0000093c ; + wire \blk00000003/sig0000093b ; + wire \blk00000003/sig0000093a ; + wire \blk00000003/sig00000939 ; + wire \blk00000003/sig00000938 ; + wire \blk00000003/sig00000937 ; + wire \blk00000003/sig00000936 ; + wire \blk00000003/sig00000935 ; + wire \blk00000003/sig00000934 ; + wire \blk00000003/sig00000933 ; + wire \blk00000003/sig00000932 ; + wire \blk00000003/sig00000931 ; + wire \blk00000003/sig00000930 ; + wire \blk00000003/sig0000092f ; + wire \blk00000003/sig0000092e ; + wire \blk00000003/sig0000092d ; + wire \blk00000003/sig0000092c ; + wire \blk00000003/sig0000092b ; + wire \blk00000003/sig0000092a ; + wire \blk00000003/sig00000929 ; + wire \blk00000003/sig00000928 ; + wire \blk00000003/sig00000927 ; + wire \blk00000003/sig00000926 ; + wire \blk00000003/sig00000925 ; + wire \blk00000003/sig00000924 ; + wire \blk00000003/sig00000923 ; + wire \blk00000003/sig00000922 ; + wire \blk00000003/sig00000921 ; + wire \blk00000003/sig00000920 ; + wire \blk00000003/sig0000091f ; + wire \blk00000003/sig0000091e ; + wire \blk00000003/sig0000091d ; + wire \blk00000003/sig0000091c ; + wire \blk00000003/sig0000091b ; + wire \blk00000003/sig0000091a ; + wire \blk00000003/sig00000919 ; + wire \blk00000003/sig00000918 ; + wire \blk00000003/sig00000917 ; + wire \blk00000003/sig00000916 ; + wire \blk00000003/sig00000915 ; + wire \blk00000003/sig00000914 ; + wire \blk00000003/sig00000913 ; + wire \blk00000003/sig00000912 ; + wire \blk00000003/sig00000911 ; + wire \blk00000003/sig00000910 ; + wire \blk00000003/sig0000090f ; + wire \blk00000003/sig0000090e ; + wire \blk00000003/sig0000090d ; + wire \blk00000003/sig0000090c ; + wire \blk00000003/sig0000090b ; + wire \blk00000003/sig0000090a ; + wire \blk00000003/sig00000909 ; + wire \blk00000003/sig00000908 ; + wire \blk00000003/sig00000907 ; + wire \blk00000003/sig00000906 ; + wire \blk00000003/sig00000905 ; + wire \blk00000003/sig00000904 ; + wire \blk00000003/sig00000903 ; + wire \blk00000003/sig00000902 ; + wire \blk00000003/sig00000901 ; + wire \blk00000003/sig00000900 ; + wire \blk00000003/sig000008ff ; + wire \blk00000003/sig000008fe ; + wire \blk00000003/sig000008fd ; + wire \blk00000003/sig000008fc ; + wire \blk00000003/sig000008fb ; + wire \blk00000003/sig000008fa ; + wire \blk00000003/sig000008f9 ; + wire \blk00000003/sig000008f8 ; + wire \blk00000003/sig000008f7 ; + wire \blk00000003/sig000008f6 ; + wire \blk00000003/sig000008f5 ; + wire \blk00000003/sig000008f4 ; + wire \blk00000003/sig000008f3 ; + wire \blk00000003/sig000008f2 ; + wire \blk00000003/sig000008f1 ; + wire \blk00000003/sig000008f0 ; + wire \blk00000003/sig000008ef ; + wire \blk00000003/sig000008ee ; + wire \blk00000003/sig000008ed ; + wire \blk00000003/sig000008ec ; + wire \blk00000003/sig000008eb ; + wire \blk00000003/sig000008ea ; + wire \blk00000003/sig000008e9 ; + wire \blk00000003/sig000008e8 ; + wire \blk00000003/sig000008e7 ; + wire \blk00000003/sig000008e6 ; + wire \blk00000003/sig000008e5 ; + wire \blk00000003/sig000008e4 ; + wire \blk00000003/sig000008e3 ; + wire \blk00000003/sig000008e2 ; + wire \blk00000003/sig000008e1 ; + wire \blk00000003/sig000008e0 ; + wire \blk00000003/sig000008df ; + wire \blk00000003/sig000008de ; + wire \blk00000003/sig000008dd ; + wire \blk00000003/sig000008dc ; + wire \blk00000003/sig000008db ; + wire \blk00000003/sig000008da ; + wire \blk00000003/sig000008d9 ; + wire \blk00000003/sig000008d8 ; + wire \blk00000003/sig000008d7 ; + wire \blk00000003/sig000008d6 ; + wire \blk00000003/sig000008d5 ; + wire \blk00000003/sig000008d4 ; + wire \blk00000003/sig000008d3 ; + wire \blk00000003/sig000008d2 ; + wire \blk00000003/sig000008d1 ; + wire \blk00000003/sig000008d0 ; + wire \blk00000003/sig000008cf ; + wire \blk00000003/sig000008ce ; + wire \blk00000003/sig000008cd ; + wire \blk00000003/sig000008cc ; + wire \blk00000003/sig000008cb ; + wire \blk00000003/sig000008ca ; + wire \blk00000003/sig000008c9 ; + wire \blk00000003/sig000008c8 ; + wire \blk00000003/sig000008c7 ; + wire \blk00000003/sig000008c6 ; + wire \blk00000003/sig000008c5 ; + wire \blk00000003/sig000008c4 ; + wire \blk00000003/sig000008c3 ; + wire \blk00000003/sig000008c2 ; + wire \blk00000003/sig000008c1 ; + wire \blk00000003/sig000008c0 ; + wire \blk00000003/sig000008bf ; + wire \blk00000003/sig000008be ; + wire \blk00000003/sig000008bd ; + wire \blk00000003/sig000008bc ; + wire \blk00000003/sig000008bb ; + wire \blk00000003/sig000008ba ; + wire \blk00000003/sig000008b9 ; + wire \blk00000003/sig000008b8 ; + wire \blk00000003/sig000008b7 ; + wire \blk00000003/sig000008b6 ; + wire \blk00000003/sig000008b5 ; + wire \blk00000003/sig000008b4 ; + wire \blk00000003/sig000008b3 ; + wire \blk00000003/sig000008b2 ; + wire \blk00000003/sig000008b1 ; + wire \blk00000003/sig000008b0 ; + wire \blk00000003/sig000008af ; + wire \blk00000003/sig000008ae ; + wire \blk00000003/sig000008ad ; + wire \blk00000003/sig000008ac ; + wire \blk00000003/sig000008ab ; + wire \blk00000003/sig000008aa ; + wire \blk00000003/sig000008a9 ; + wire \blk00000003/sig000008a8 ; + wire \blk00000003/sig000008a7 ; + wire \blk00000003/sig000008a6 ; + wire \blk00000003/sig000008a5 ; + wire \blk00000003/sig000008a4 ; + wire \blk00000003/sig000008a3 ; + wire \blk00000003/sig000008a2 ; + wire \blk00000003/sig000008a1 ; + wire \blk00000003/sig000008a0 ; + wire \blk00000003/sig0000089f ; + wire \blk00000003/sig0000089e ; + wire \blk00000003/sig0000089d ; + wire \blk00000003/sig0000089c ; + wire \blk00000003/sig0000089b ; + wire \blk00000003/sig0000089a ; + wire \blk00000003/sig00000899 ; + wire \blk00000003/sig00000898 ; + wire \blk00000003/sig00000897 ; + wire \blk00000003/sig00000896 ; + wire \blk00000003/sig00000895 ; + wire \blk00000003/sig00000894 ; + wire \blk00000003/sig00000893 ; + wire \blk00000003/sig00000892 ; + wire \blk00000003/sig00000891 ; + wire \blk00000003/sig00000890 ; + wire \blk00000003/sig0000088f ; + wire \blk00000003/sig0000088e ; + wire \blk00000003/sig0000088d ; + wire \blk00000003/sig0000088c ; + wire \blk00000003/sig0000088b ; + wire \blk00000003/sig0000088a ; + wire \blk00000003/sig00000889 ; + wire \blk00000003/sig00000888 ; + wire \blk00000003/sig00000887 ; + wire \blk00000003/sig00000886 ; + wire \blk00000003/sig00000885 ; + wire \blk00000003/sig00000884 ; + wire \blk00000003/sig00000883 ; + wire \blk00000003/sig00000882 ; + wire \blk00000003/sig00000881 ; + wire \blk00000003/sig00000880 ; + wire \blk00000003/sig0000087f ; + wire \blk00000003/sig0000087e ; + wire \blk00000003/sig0000087d ; + wire \blk00000003/sig0000087c ; + wire \blk00000003/sig0000087b ; + wire \blk00000003/sig0000087a ; + wire \blk00000003/sig00000879 ; + wire \blk00000003/sig00000878 ; + wire \blk00000003/sig00000877 ; + wire \blk00000003/sig00000876 ; + wire \blk00000003/sig00000875 ; + wire \blk00000003/sig00000874 ; + wire \blk00000003/sig00000873 ; + wire \blk00000003/sig00000872 ; + wire \blk00000003/sig00000871 ; + wire \blk00000003/sig00000870 ; + wire \blk00000003/sig0000086f ; + wire \blk00000003/sig0000086e ; + wire \blk00000003/sig0000086d ; + wire \blk00000003/sig0000086c ; + wire \blk00000003/sig0000086b ; + wire \blk00000003/sig0000086a ; + wire \blk00000003/sig00000869 ; + wire \blk00000003/sig00000868 ; + wire \blk00000003/sig00000867 ; + wire \blk00000003/sig00000866 ; + wire \blk00000003/sig00000865 ; + wire \blk00000003/sig00000864 ; + wire \blk00000003/sig00000863 ; + wire \blk00000003/sig00000862 ; + wire \blk00000003/sig00000861 ; + wire \blk00000003/sig00000860 ; + wire \blk00000003/sig0000085f ; + wire \blk00000003/sig0000085e ; + wire \blk00000003/sig0000085d ; + wire \blk00000003/sig0000085c ; + wire \blk00000003/sig0000085b ; + wire \blk00000003/sig0000085a ; + wire \blk00000003/sig00000859 ; + wire \blk00000003/sig00000858 ; + wire \blk00000003/sig00000857 ; + wire \blk00000003/sig00000856 ; + wire \blk00000003/sig00000855 ; + wire \blk00000003/sig00000854 ; + wire \blk00000003/sig00000853 ; + wire \blk00000003/sig00000852 ; + wire \blk00000003/sig00000851 ; + wire \blk00000003/sig00000850 ; + wire \blk00000003/sig0000084f ; + wire \blk00000003/sig0000084e ; + wire \blk00000003/sig0000084d ; + wire \blk00000003/sig0000084c ; + wire \blk00000003/sig0000084b ; + wire \blk00000003/sig0000084a ; + wire \blk00000003/sig00000849 ; + wire \blk00000003/sig00000848 ; + wire \blk00000003/sig00000847 ; + wire \blk00000003/sig00000846 ; + wire \blk00000003/sig00000845 ; + wire \blk00000003/sig00000844 ; + wire \blk00000003/sig00000843 ; + wire \blk00000003/sig00000842 ; + wire \blk00000003/sig00000841 ; + wire \blk00000003/sig00000840 ; + wire \blk00000003/sig0000083f ; + wire \blk00000003/sig0000083e ; + wire \blk00000003/sig0000083d ; + wire \blk00000003/sig0000083c ; + wire \blk00000003/sig0000083b ; + wire \blk00000003/sig0000083a ; + wire \blk00000003/sig00000839 ; + wire \blk00000003/sig00000838 ; + wire \blk00000003/sig00000837 ; + wire \blk00000003/sig00000836 ; + wire \blk00000003/sig00000835 ; + wire \blk00000003/sig00000834 ; + wire \blk00000003/sig00000833 ; + wire \blk00000003/sig00000832 ; + wire \blk00000003/sig00000831 ; + wire \blk00000003/sig00000830 ; + wire \blk00000003/sig0000082f ; + wire \blk00000003/sig0000082e ; + wire \blk00000003/sig0000082d ; + wire \blk00000003/sig0000082c ; + wire \blk00000003/sig0000082b ; + wire \blk00000003/sig0000082a ; + wire \blk00000003/sig00000829 ; + wire \blk00000003/sig00000828 ; + wire \blk00000003/sig00000827 ; + wire \blk00000003/sig00000826 ; + wire \blk00000003/sig00000825 ; + wire \blk00000003/sig00000824 ; + wire \blk00000003/sig00000823 ; + wire \blk00000003/sig00000822 ; + wire \blk00000003/sig00000821 ; + wire \blk00000003/sig00000820 ; + wire \blk00000003/sig0000081f ; + wire \blk00000003/sig0000081e ; + wire \blk00000003/sig0000081d ; + wire \blk00000003/sig0000081c ; + wire \blk00000003/sig0000081b ; + wire \blk00000003/sig0000081a ; + wire \blk00000003/sig00000819 ; + wire \blk00000003/sig00000818 ; + wire \blk00000003/sig00000817 ; + wire \blk00000003/sig00000816 ; + wire \blk00000003/sig00000815 ; + wire \blk00000003/sig00000814 ; + wire \blk00000003/sig00000813 ; + wire \blk00000003/sig00000812 ; + wire \blk00000003/sig00000811 ; + wire \blk00000003/sig00000810 ; + wire \blk00000003/sig0000080f ; + wire \blk00000003/sig0000080e ; + wire \blk00000003/sig0000080d ; + wire \blk00000003/sig0000080c ; + wire \blk00000003/sig0000080b ; + wire \blk00000003/sig0000080a ; + wire \blk00000003/sig00000809 ; + wire \blk00000003/sig00000808 ; + wire \blk00000003/sig00000807 ; + wire \blk00000003/sig00000806 ; + wire \blk00000003/sig00000805 ; + wire \blk00000003/sig00000804 ; + wire \blk00000003/sig00000803 ; + wire \blk00000003/sig00000802 ; + wire \blk00000003/sig00000801 ; + wire \blk00000003/sig00000800 ; + wire \blk00000003/sig000007ff ; + wire \blk00000003/sig000007fe ; + wire \blk00000003/sig000007fd ; + wire \blk00000003/sig000007fc ; + wire \blk00000003/sig000007fb ; + wire \blk00000003/sig000007fa ; + wire \blk00000003/sig000007f9 ; + wire \blk00000003/sig000007f8 ; + wire \blk00000003/sig000007f7 ; + wire \blk00000003/sig000007f6 ; + wire \blk00000003/sig000007f5 ; + wire \blk00000003/sig000007f4 ; + wire \blk00000003/sig000007f3 ; + wire \blk00000003/sig000007f2 ; + wire \blk00000003/sig000007f1 ; + wire \blk00000003/sig000007f0 ; + wire \blk00000003/sig000007ef ; + wire \blk00000003/sig000007ee ; + wire \blk00000003/sig000007ed ; + wire \blk00000003/sig000007ec ; + wire \blk00000003/sig000007eb ; + wire \blk00000003/sig000007ea ; + wire \blk00000003/sig000007e9 ; + wire \blk00000003/sig000007e8 ; + wire \blk00000003/sig000007e7 ; + wire \blk00000003/sig000007e6 ; + wire \blk00000003/sig000007e5 ; + wire \blk00000003/sig000007e4 ; + wire \blk00000003/sig000007e3 ; + wire \blk00000003/sig000007e2 ; + wire \blk00000003/sig000007e1 ; + wire \blk00000003/sig000007e0 ; + wire \blk00000003/sig000007df ; + wire \blk00000003/sig000007de ; + wire \blk00000003/sig000007dd ; + wire \blk00000003/sig000007dc ; + wire \blk00000003/sig000007db ; + wire \blk00000003/sig000007da ; + wire \blk00000003/sig000007d9 ; + wire \blk00000003/sig000007d8 ; + wire \blk00000003/sig000007d7 ; + wire \blk00000003/sig000007d6 ; + wire \blk00000003/sig000007d5 ; + wire \blk00000003/sig000007d4 ; + wire \blk00000003/sig000007d3 ; + wire \blk00000003/sig000007d2 ; + wire \blk00000003/sig000007d1 ; + wire \blk00000003/sig000007d0 ; + wire \blk00000003/sig000007cf ; + wire \blk00000003/sig000007ce ; + wire \blk00000003/sig000007cd ; + wire \blk00000003/sig000007cc ; + wire \blk00000003/sig000007cb ; + wire \blk00000003/sig000007ca ; + wire \blk00000003/sig000007c9 ; + wire \blk00000003/sig000007c8 ; + wire \blk00000003/sig000007c7 ; + wire \blk00000003/sig000007c6 ; + wire \blk00000003/sig000007c5 ; + wire \blk00000003/sig000007c4 ; + wire \blk00000003/sig000007c3 ; + wire \blk00000003/sig000007c2 ; + wire \blk00000003/sig000007c1 ; + wire \blk00000003/sig000007c0 ; + wire \blk00000003/sig000007bf ; + wire \blk00000003/sig000007be ; + wire \blk00000003/sig000007bd ; + wire \blk00000003/sig000007bc ; + wire \blk00000003/sig000007bb ; + wire \blk00000003/sig000007ba ; + wire \blk00000003/sig000007b9 ; + wire \blk00000003/sig000007b8 ; + wire \blk00000003/sig000007b7 ; + wire \blk00000003/sig000007b6 ; + wire \blk00000003/sig000007b5 ; + wire \blk00000003/sig000007b4 ; + wire \blk00000003/sig000007b3 ; + wire \blk00000003/sig000007b2 ; + wire \blk00000003/sig000007b1 ; + wire \blk00000003/sig000007b0 ; + wire \blk00000003/sig000007af ; + wire \blk00000003/sig000007ae ; + wire \blk00000003/sig000007ad ; + wire \blk00000003/sig000007ac ; + wire \blk00000003/sig000007ab ; + wire \blk00000003/sig000007aa ; + wire \blk00000003/sig000007a9 ; + wire \blk00000003/sig000007a8 ; + wire \blk00000003/sig000007a7 ; + wire \blk00000003/sig000007a6 ; + wire \blk00000003/sig000007a5 ; + wire \blk00000003/sig000007a4 ; + wire \blk00000003/sig000007a3 ; + wire \blk00000003/sig000007a2 ; + wire \blk00000003/sig000007a1 ; + wire \blk00000003/sig000007a0 ; + wire \blk00000003/sig0000079f ; + wire \blk00000003/sig0000079e ; + wire \blk00000003/sig0000079d ; + wire \blk00000003/sig0000079c ; + wire \blk00000003/sig0000079b ; + wire \blk00000003/sig0000079a ; + wire \blk00000003/sig00000799 ; + wire \blk00000003/sig00000798 ; + wire \blk00000003/sig00000797 ; + wire \blk00000003/sig00000796 ; + wire \blk00000003/sig00000795 ; + wire \blk00000003/sig00000794 ; + wire \blk00000003/sig00000793 ; + wire \blk00000003/sig00000792 ; + wire \blk00000003/sig00000791 ; + wire \blk00000003/sig00000790 ; + wire \blk00000003/sig0000078f ; + wire \blk00000003/sig0000078e ; + wire \blk00000003/sig0000078d ; + wire \blk00000003/sig0000078c ; + wire \blk00000003/sig0000078b ; + wire \blk00000003/sig0000078a ; + wire \blk00000003/sig00000789 ; + wire \blk00000003/sig00000788 ; + wire \blk00000003/sig00000787 ; + wire \blk00000003/sig00000786 ; + wire \blk00000003/sig00000785 ; + wire \blk00000003/sig00000784 ; + wire \blk00000003/sig00000783 ; + wire \blk00000003/sig00000782 ; + wire \blk00000003/sig00000781 ; + wire \blk00000003/sig00000780 ; + wire \blk00000003/sig0000077f ; + wire \blk00000003/sig0000077e ; + wire \blk00000003/sig0000077d ; + wire \blk00000003/sig0000077c ; + wire \blk00000003/sig0000077b ; + wire \blk00000003/sig0000077a ; + wire \blk00000003/sig00000779 ; + wire \blk00000003/sig00000778 ; + wire \blk00000003/sig00000777 ; + wire \blk00000003/sig00000776 ; + wire \blk00000003/sig00000775 ; + wire \blk00000003/sig00000774 ; + wire \blk00000003/sig00000773 ; + wire \blk00000003/sig00000772 ; + wire \blk00000003/sig00000771 ; + wire \blk00000003/sig00000770 ; + wire \blk00000003/sig0000076f ; + wire \blk00000003/sig0000076e ; + wire \blk00000003/sig0000076d ; + wire \blk00000003/sig0000076c ; + wire \blk00000003/sig0000076b ; + wire \blk00000003/sig0000076a ; + wire \blk00000003/sig00000769 ; + wire \blk00000003/sig00000768 ; + wire \blk00000003/sig00000767 ; + wire \blk00000003/sig00000766 ; + wire \blk00000003/sig00000765 ; + wire \blk00000003/sig00000764 ; + wire \blk00000003/sig00000763 ; + wire \blk00000003/sig00000762 ; + wire \blk00000003/sig00000761 ; + wire \blk00000003/sig00000760 ; + wire \blk00000003/sig0000075f ; + wire \blk00000003/sig0000075e ; + wire \blk00000003/sig0000075d ; + wire \blk00000003/sig0000075c ; + wire \blk00000003/sig0000075b ; + wire \blk00000003/sig0000075a ; + wire \blk00000003/sig00000759 ; + wire \blk00000003/sig00000758 ; + wire \blk00000003/sig00000757 ; + wire \blk00000003/sig00000756 ; + wire \blk00000003/sig00000755 ; + wire \blk00000003/sig00000754 ; + wire \blk00000003/sig00000753 ; + wire \blk00000003/sig00000752 ; + wire \blk00000003/sig00000751 ; + wire \blk00000003/sig00000750 ; + wire \blk00000003/sig0000074f ; + wire \blk00000003/sig0000074e ; + wire \blk00000003/sig0000074d ; + wire \blk00000003/sig0000074c ; + wire \blk00000003/sig0000074b ; + wire \blk00000003/sig0000074a ; + wire \blk00000003/sig00000749 ; + wire \blk00000003/sig00000748 ; + wire \blk00000003/sig00000747 ; + wire \blk00000003/sig00000746 ; + wire \blk00000003/sig00000745 ; + wire \blk00000003/sig00000744 ; + wire \blk00000003/sig00000743 ; + wire \blk00000003/sig00000742 ; + wire \blk00000003/sig00000741 ; + wire \blk00000003/sig00000740 ; + wire \blk00000003/sig0000073f ; + wire \blk00000003/sig0000073e ; + wire \blk00000003/sig0000073d ; + wire \blk00000003/sig0000073c ; + wire \blk00000003/sig0000073b ; + wire \blk00000003/sig0000073a ; + wire \blk00000003/sig00000739 ; + wire \blk00000003/sig00000738 ; + wire \blk00000003/sig00000737 ; + wire \blk00000003/sig00000736 ; + wire \blk00000003/sig00000735 ; + wire \blk00000003/sig00000734 ; + wire \blk00000003/sig00000733 ; + wire \blk00000003/sig00000732 ; + wire \blk00000003/sig00000731 ; + wire \blk00000003/sig00000730 ; + wire \blk00000003/sig0000072f ; + wire \blk00000003/sig0000072e ; + wire \blk00000003/sig0000072d ; + wire \blk00000003/sig0000072c ; + wire \blk00000003/sig0000072b ; + wire \blk00000003/sig0000072a ; + wire \blk00000003/sig00000729 ; + wire \blk00000003/sig00000728 ; + wire \blk00000003/sig00000727 ; + wire \blk00000003/sig00000726 ; + wire \blk00000003/sig00000725 ; + wire \blk00000003/sig00000724 ; + wire \blk00000003/sig00000723 ; + wire \blk00000003/sig00000722 ; + wire \blk00000003/sig00000721 ; + wire \blk00000003/sig00000720 ; + wire \blk00000003/sig0000071f ; + wire \blk00000003/sig0000071e ; + wire \blk00000003/sig0000071d ; + wire \blk00000003/sig0000071c ; + wire \blk00000003/sig0000071b ; + wire \blk00000003/sig0000071a ; + wire \blk00000003/sig00000719 ; + wire \blk00000003/sig00000718 ; + wire \blk00000003/sig00000717 ; + wire \blk00000003/sig00000716 ; + wire \blk00000003/sig00000715 ; + wire \blk00000003/sig00000714 ; + wire \blk00000003/sig00000713 ; + wire \blk00000003/sig00000712 ; + wire \blk00000003/sig00000711 ; + wire \blk00000003/sig00000710 ; + wire \blk00000003/sig0000070f ; + wire \blk00000003/sig0000070e ; + wire \blk00000003/sig0000070d ; + wire \blk00000003/sig0000070c ; + wire \blk00000003/sig0000070b ; + wire \blk00000003/sig0000070a ; + wire \blk00000003/sig00000709 ; + wire \blk00000003/sig00000708 ; + wire \blk00000003/sig00000707 ; + wire \blk00000003/sig00000706 ; + wire \blk00000003/sig00000705 ; + wire \blk00000003/sig00000704 ; + wire \blk00000003/sig00000703 ; + wire \blk00000003/sig00000702 ; + wire \blk00000003/sig00000701 ; + wire \blk00000003/sig00000700 ; + wire \blk00000003/sig000006ff ; + wire \blk00000003/sig000006fe ; + wire \blk00000003/sig000006fd ; + wire \blk00000003/sig000006fc ; + wire \blk00000003/sig000006fb ; + wire \blk00000003/sig000006fa ; + wire \blk00000003/sig000006f9 ; + wire \blk00000003/sig000006f8 ; + wire \blk00000003/sig000006f7 ; + wire \blk00000003/sig000006f6 ; + wire \blk00000003/sig000006f5 ; + wire \blk00000003/sig000006f4 ; + wire \blk00000003/sig000006f3 ; + wire \blk00000003/sig000006f2 ; + wire \blk00000003/sig000006f1 ; + wire \blk00000003/sig000006f0 ; + wire \blk00000003/sig000006ef ; + wire \blk00000003/sig000006ee ; + wire \blk00000003/sig000006ed ; + wire \blk00000003/sig000006ec ; + wire \blk00000003/sig000006eb ; + wire \blk00000003/sig000006ea ; + wire \blk00000003/sig000006e9 ; + wire \blk00000003/sig000006e8 ; + wire \blk00000003/sig000006e7 ; + wire \blk00000003/sig000006e6 ; + wire \blk00000003/sig000006e5 ; + wire \blk00000003/sig000006e4 ; + wire \blk00000003/sig000006e3 ; + wire \blk00000003/sig000006e2 ; + wire \blk00000003/sig000006e1 ; + wire \blk00000003/sig000006e0 ; + wire \blk00000003/sig000006df ; + wire \blk00000003/sig000006de ; + wire \blk00000003/sig000006dd ; + wire \blk00000003/sig000006dc ; + wire \blk00000003/sig000006db ; + wire \blk00000003/sig000006da ; + wire \blk00000003/sig000006d9 ; + wire \blk00000003/sig000006d8 ; + wire \blk00000003/sig000006d7 ; + wire \blk00000003/sig000006d6 ; + wire \blk00000003/sig000006d5 ; + wire \blk00000003/sig000006d4 ; + wire \blk00000003/sig000006d3 ; + wire \blk00000003/sig000006d2 ; + wire \blk00000003/sig000006d1 ; + wire \blk00000003/sig000006d0 ; + wire \blk00000003/sig000006cf ; + wire \blk00000003/sig000006ce ; + wire \blk00000003/sig000006cd ; + wire \blk00000003/sig000006cc ; + wire \blk00000003/sig000006cb ; + wire \blk00000003/sig000006ca ; + wire \blk00000003/sig000006c9 ; + wire \blk00000003/sig000006c8 ; + wire \blk00000003/sig000006c7 ; + wire \blk00000003/sig000006c6 ; + wire \blk00000003/sig000006c5 ; + wire \blk00000003/sig000006c4 ; + wire \blk00000003/sig000006c3 ; + wire \blk00000003/sig000006c2 ; + wire \blk00000003/sig000006c1 ; + wire \blk00000003/sig000006c0 ; + wire \blk00000003/sig000006bf ; + wire \blk00000003/sig000006be ; + wire \blk00000003/sig000006bd ; + wire \blk00000003/sig000006bc ; + wire \blk00000003/sig000006bb ; + wire \blk00000003/sig000006ba ; + wire \blk00000003/sig000006b9 ; + wire \blk00000003/sig000006b8 ; + wire \blk00000003/sig000006b7 ; + wire \blk00000003/sig000006b6 ; + wire \blk00000003/sig000006b5 ; + wire \blk00000003/sig000006b4 ; + wire \blk00000003/sig000006b3 ; + wire \blk00000003/sig000006b2 ; + wire \blk00000003/sig000006b1 ; + wire \blk00000003/sig000006b0 ; + wire \blk00000003/sig000006af ; + wire \blk00000003/sig000006ae ; + wire \blk00000003/sig000006ad ; + wire \blk00000003/sig000006ac ; + wire \blk00000003/sig000006ab ; + wire \blk00000003/sig000006aa ; + wire \blk00000003/sig000006a9 ; + wire \blk00000003/sig000006a8 ; + wire \blk00000003/sig000006a7 ; + wire \blk00000003/sig000006a6 ; + wire \blk00000003/sig000006a5 ; + wire \blk00000003/sig000006a4 ; + wire \blk00000003/sig000006a3 ; + wire \blk00000003/sig000006a2 ; + wire \blk00000003/sig000006a1 ; + wire \blk00000003/sig000006a0 ; + wire \blk00000003/sig0000069f ; + wire \blk00000003/sig0000069e ; + wire \blk00000003/sig0000069d ; + wire \blk00000003/sig0000069c ; + wire \blk00000003/sig0000069b ; + wire \blk00000003/sig0000069a ; + wire \blk00000003/sig00000699 ; + wire \blk00000003/sig00000698 ; + wire \blk00000003/sig00000697 ; + wire \blk00000003/sig00000696 ; + wire \blk00000003/sig00000695 ; + wire \blk00000003/sig00000694 ; + wire \blk00000003/sig00000693 ; + wire \blk00000003/sig00000692 ; + wire \blk00000003/sig00000691 ; + wire \blk00000003/sig00000690 ; + wire \blk00000003/sig0000068f ; + wire \blk00000003/sig0000068e ; + wire \blk00000003/sig0000068d ; + wire \blk00000003/sig0000068c ; + wire \blk00000003/sig0000068b ; + wire \blk00000003/sig0000068a ; + wire \blk00000003/sig00000689 ; + wire \blk00000003/sig00000688 ; + wire \blk00000003/sig00000687 ; + wire \blk00000003/sig00000686 ; + wire \blk00000003/sig00000685 ; + wire \blk00000003/sig00000684 ; + wire \blk00000003/sig00000683 ; + wire \blk00000003/sig00000682 ; + wire \blk00000003/sig00000681 ; + wire \blk00000003/sig00000680 ; + wire \blk00000003/sig0000067f ; + wire \blk00000003/sig0000067e ; + wire \blk00000003/sig0000067d ; + wire \blk00000003/sig0000067c ; + wire \blk00000003/sig0000067b ; + wire \blk00000003/sig0000067a ; + wire \blk00000003/sig00000679 ; + wire \blk00000003/sig00000678 ; + wire \blk00000003/sig00000677 ; + wire \blk00000003/sig00000676 ; + wire \blk00000003/sig00000675 ; + wire \blk00000003/sig00000674 ; + wire \blk00000003/sig00000673 ; + wire \blk00000003/sig00000672 ; + wire \blk00000003/sig00000671 ; + wire \blk00000003/sig00000670 ; + wire \blk00000003/sig0000066f ; + wire \blk00000003/sig0000066e ; + wire \blk00000003/sig0000066d ; + wire \blk00000003/sig0000066c ; + wire \blk00000003/sig0000066b ; + wire \blk00000003/sig0000066a ; + wire \blk00000003/sig00000669 ; + wire \blk00000003/sig00000668 ; + wire \blk00000003/sig00000667 ; + wire \blk00000003/sig00000666 ; + wire \blk00000003/sig00000665 ; + wire \blk00000003/sig00000664 ; + wire \blk00000003/sig00000663 ; + wire \blk00000003/sig00000662 ; + wire \blk00000003/sig00000661 ; + wire \blk00000003/sig00000660 ; + wire \blk00000003/sig0000065f ; + wire \blk00000003/sig0000065e ; + wire \blk00000003/sig0000065d ; + wire \blk00000003/sig0000065c ; + wire \blk00000003/sig0000065b ; + wire \blk00000003/sig0000065a ; + wire \blk00000003/sig00000659 ; + wire \blk00000003/sig00000658 ; + wire \blk00000003/sig00000657 ; + wire \blk00000003/sig00000656 ; + wire \blk00000003/sig00000655 ; + wire \blk00000003/sig00000654 ; + wire \blk00000003/sig00000653 ; + wire \blk00000003/sig00000652 ; + wire \blk00000003/sig00000651 ; + wire \blk00000003/sig00000650 ; + wire \blk00000003/sig0000064f ; + wire \blk00000003/sig0000064e ; + wire \blk00000003/sig0000064d ; + wire \blk00000003/sig0000064c ; + wire \blk00000003/sig0000064b ; + wire \blk00000003/sig0000064a ; + wire \blk00000003/sig00000649 ; + wire \blk00000003/sig00000648 ; + wire \blk00000003/sig00000647 ; + wire \blk00000003/sig00000646 ; + wire \blk00000003/sig00000645 ; + wire \blk00000003/sig00000644 ; + wire \blk00000003/sig00000643 ; + wire \blk00000003/sig00000642 ; + wire \blk00000003/sig00000641 ; + wire \blk00000003/sig00000640 ; + wire \blk00000003/sig0000063f ; + wire \blk00000003/sig0000063e ; + wire \blk00000003/sig0000063d ; + wire \blk00000003/sig0000063c ; + wire \blk00000003/sig0000063b ; + wire \blk00000003/sig0000063a ; + wire \blk00000003/sig00000639 ; + wire \blk00000003/sig00000638 ; + wire \blk00000003/sig00000637 ; + wire \blk00000003/sig00000636 ; + wire \blk00000003/sig00000635 ; + wire \blk00000003/sig00000634 ; + wire \blk00000003/sig00000633 ; + wire \blk00000003/sig00000632 ; + wire \blk00000003/sig00000631 ; + wire \blk00000003/sig00000630 ; + wire \blk00000003/sig0000062f ; + wire \blk00000003/sig0000062e ; + wire \blk00000003/sig0000062d ; + wire \blk00000003/sig0000062c ; + wire \blk00000003/sig0000062b ; + wire \blk00000003/sig0000062a ; + wire \blk00000003/sig00000629 ; + wire \blk00000003/sig00000628 ; + wire \blk00000003/sig00000627 ; + wire \blk00000003/sig00000626 ; + wire \blk00000003/sig00000625 ; + wire \blk00000003/sig00000624 ; + wire \blk00000003/sig00000623 ; + wire \blk00000003/sig00000622 ; + wire \blk00000003/sig00000621 ; + wire \blk00000003/sig00000620 ; + wire \blk00000003/sig0000061f ; + wire \blk00000003/sig0000061e ; + wire \blk00000003/sig0000061d ; + wire \blk00000003/sig0000061c ; + wire \blk00000003/sig0000061b ; + wire \blk00000003/sig0000061a ; + wire \blk00000003/sig00000619 ; + wire \blk00000003/sig00000618 ; + wire \blk00000003/sig00000617 ; + wire \blk00000003/sig00000616 ; + wire \blk00000003/sig00000615 ; + wire \blk00000003/sig00000614 ; + wire \blk00000003/sig00000613 ; + wire \blk00000003/sig00000612 ; + wire \blk00000003/sig00000611 ; + wire \blk00000003/sig00000610 ; + wire \blk00000003/sig0000060f ; + wire \blk00000003/sig0000060e ; + wire \blk00000003/sig0000060d ; + wire \blk00000003/sig0000060c ; + wire \blk00000003/sig0000060b ; + wire \blk00000003/sig0000060a ; + wire \blk00000003/sig00000609 ; + wire \blk00000003/sig00000608 ; + wire \blk00000003/sig00000607 ; + wire \blk00000003/sig00000606 ; + wire \blk00000003/sig00000605 ; + wire \blk00000003/sig00000604 ; + wire \blk00000003/sig00000603 ; + wire \blk00000003/sig00000602 ; + wire \blk00000003/sig00000601 ; + wire \blk00000003/sig00000600 ; + wire \blk00000003/sig000005ff ; + wire \blk00000003/sig000005fe ; + wire \blk00000003/sig000005fd ; + wire \blk00000003/sig000005fc ; + wire \blk00000003/sig000005fb ; + wire \blk00000003/sig000005fa ; + wire \blk00000003/sig000005f9 ; + wire \blk00000003/sig000005f8 ; + wire \blk00000003/sig000005f7 ; + wire \blk00000003/sig000005f6 ; + wire \blk00000003/sig000005f5 ; + wire \blk00000003/sig000005f4 ; + wire \blk00000003/sig000005f3 ; + wire \blk00000003/sig000005f2 ; + wire \blk00000003/sig000005f1 ; + wire \blk00000003/sig000005f0 ; + wire \blk00000003/sig000005ef ; + wire \blk00000003/sig000005ee ; + wire \blk00000003/sig000005ed ; + wire \blk00000003/sig000005ec ; + wire \blk00000003/sig000005eb ; + wire \blk00000003/sig000005ea ; + wire \blk00000003/sig000005e9 ; + wire \blk00000003/sig000005e8 ; + wire \blk00000003/sig000005e7 ; + wire \blk00000003/sig000005e6 ; + wire \blk00000003/sig000005e5 ; + wire \blk00000003/sig000005e4 ; + wire \blk00000003/sig000005e3 ; + wire \blk00000003/sig000005e2 ; + wire \blk00000003/sig000005e1 ; + wire \blk00000003/sig000005e0 ; + wire \blk00000003/sig000005df ; + wire \blk00000003/sig000005de ; + wire \blk00000003/sig000005dd ; + wire \blk00000003/sig000005dc ; + wire \blk00000003/sig000005db ; + wire \blk00000003/sig000005da ; + wire \blk00000003/sig000005d9 ; + wire \blk00000003/sig000005d8 ; + wire \blk00000003/sig000005d7 ; + wire \blk00000003/sig000005d6 ; + wire \blk00000003/sig000005d5 ; + wire \blk00000003/sig000005d4 ; + wire \blk00000003/sig000005d3 ; + wire \blk00000003/sig000005d2 ; + wire \blk00000003/sig000005d1 ; + wire \blk00000003/sig000005d0 ; + wire \blk00000003/sig000005cf ; + wire \blk00000003/sig000005ce ; + wire \blk00000003/sig000005cd ; + wire \blk00000003/sig000005cc ; + wire \blk00000003/sig000005cb ; + wire \blk00000003/sig000005ca ; + wire \blk00000003/sig000005c9 ; + wire \blk00000003/sig000005c8 ; + wire \blk00000003/sig000005c7 ; + wire \blk00000003/sig000005c6 ; + wire \blk00000003/sig000005c5 ; + wire \blk00000003/sig000005c4 ; + wire \blk00000003/sig000005c3 ; + wire \blk00000003/sig000005c2 ; + wire \blk00000003/sig000005c1 ; + wire \blk00000003/sig000005c0 ; + wire \blk00000003/sig000005bf ; + wire \blk00000003/sig000005be ; + wire \blk00000003/sig000005bd ; + wire \blk00000003/sig000005bc ; + wire \blk00000003/sig000005bb ; + wire \blk00000003/sig000005ba ; + wire \blk00000003/sig000005b9 ; + wire \blk00000003/sig000005b8 ; + wire \blk00000003/sig000005b7 ; + wire \blk00000003/sig000005b6 ; + wire \blk00000003/sig000005b5 ; + wire \blk00000003/sig000005b4 ; + wire \blk00000003/sig000005b3 ; + wire \blk00000003/sig000005b2 ; + wire \blk00000003/sig000005b1 ; + wire \blk00000003/sig000005b0 ; + wire \blk00000003/sig000005af ; + wire \blk00000003/sig000005ae ; + wire \blk00000003/sig000005ad ; + wire \blk00000003/sig000005ac ; + wire \blk00000003/sig000005ab ; + wire \blk00000003/sig000005aa ; + wire \blk00000003/sig000005a9 ; + wire \blk00000003/sig000005a8 ; + wire \blk00000003/sig000005a7 ; + wire \blk00000003/sig000005a6 ; + wire \blk00000003/sig000005a5 ; + wire \blk00000003/sig000005a4 ; + wire \blk00000003/sig000005a3 ; + wire \blk00000003/sig000005a2 ; + wire \blk00000003/sig000005a1 ; + wire \blk00000003/sig000005a0 ; + wire \blk00000003/sig0000059f ; + wire \blk00000003/sig0000059e ; + wire \blk00000003/sig0000059d ; + wire \blk00000003/sig0000059c ; + wire \blk00000003/sig0000059b ; + wire \blk00000003/sig0000059a ; + wire \blk00000003/sig00000599 ; + wire \blk00000003/sig00000598 ; + wire \blk00000003/sig00000597 ; + wire \blk00000003/sig00000596 ; + wire \blk00000003/sig00000595 ; + wire \blk00000003/sig00000594 ; + wire \blk00000003/sig00000593 ; + wire \blk00000003/sig00000592 ; + wire \blk00000003/sig00000591 ; + wire \blk00000003/sig00000590 ; + wire \blk00000003/sig0000058f ; + wire \blk00000003/sig0000058e ; + wire \blk00000003/sig0000058d ; + wire \blk00000003/sig0000058c ; + wire \blk00000003/sig0000058b ; + wire \blk00000003/sig0000058a ; + wire \blk00000003/sig00000589 ; + wire \blk00000003/sig00000588 ; + wire \blk00000003/sig00000587 ; + wire \blk00000003/sig00000586 ; + wire \blk00000003/sig00000585 ; + wire \blk00000003/sig00000584 ; + wire \blk00000003/sig00000583 ; + wire \blk00000003/sig00000582 ; + wire \blk00000003/sig00000581 ; + wire \blk00000003/sig00000580 ; + wire \blk00000003/sig0000057f ; + wire \blk00000003/sig0000057e ; + wire \blk00000003/sig0000057d ; + wire \blk00000003/sig0000057c ; + wire \blk00000003/sig0000057b ; + wire \blk00000003/sig0000057a ; + wire \blk00000003/sig00000579 ; + wire \blk00000003/sig00000578 ; + wire \blk00000003/sig00000577 ; + wire \blk00000003/sig00000576 ; + wire \blk00000003/sig00000575 ; + wire \blk00000003/sig00000574 ; + wire \blk00000003/sig00000573 ; + wire \blk00000003/sig00000572 ; + wire \blk00000003/sig00000571 ; + wire \blk00000003/sig00000570 ; + wire \blk00000003/sig0000056f ; + wire \blk00000003/sig0000056e ; + wire \blk00000003/sig0000056d ; + wire \blk00000003/sig0000056c ; + wire \blk00000003/sig0000056b ; + wire \blk00000003/sig0000056a ; + wire \blk00000003/sig00000569 ; + wire \blk00000003/sig00000568 ; + wire \blk00000003/sig00000567 ; + wire \blk00000003/sig00000566 ; + wire \blk00000003/sig00000565 ; + wire \blk00000003/sig00000564 ; + wire \blk00000003/sig00000563 ; + wire \blk00000003/sig00000562 ; + wire \blk00000003/sig00000561 ; + wire \blk00000003/sig00000560 ; + wire \blk00000003/sig0000055f ; + wire \blk00000003/sig0000055e ; + wire \blk00000003/sig0000055d ; + wire \blk00000003/sig0000055c ; + wire \blk00000003/sig0000055b ; + wire \blk00000003/sig0000055a ; + wire \blk00000003/sig00000559 ; + wire \blk00000003/sig00000558 ; + wire \blk00000003/sig00000557 ; + wire \blk00000003/sig00000556 ; + wire \blk00000003/sig00000555 ; + wire \blk00000003/sig00000554 ; + wire \blk00000003/sig00000553 ; + wire \blk00000003/sig00000552 ; + wire \blk00000003/sig00000551 ; + wire \blk00000003/sig00000550 ; + wire \blk00000003/sig0000054f ; + wire \blk00000003/sig0000054e ; + wire \blk00000003/sig0000054d ; + wire \blk00000003/sig0000054c ; + wire \blk00000003/sig0000054b ; + wire \blk00000003/sig0000054a ; + wire \blk00000003/sig00000549 ; + wire \blk00000003/sig00000548 ; + wire \blk00000003/sig00000547 ; + wire \blk00000003/sig00000546 ; + wire \blk00000003/sig00000545 ; + wire \blk00000003/sig00000544 ; + wire \blk00000003/sig00000543 ; + wire \blk00000003/sig00000542 ; + wire \blk00000003/sig00000541 ; + wire \blk00000003/sig00000540 ; + wire \blk00000003/sig0000053f ; + wire \blk00000003/sig0000053e ; + wire \blk00000003/sig0000053d ; + wire \blk00000003/sig0000053c ; + wire \blk00000003/sig0000053b ; + wire \blk00000003/sig0000053a ; + wire \blk00000003/sig00000539 ; + wire \blk00000003/sig00000538 ; + wire \blk00000003/sig00000537 ; + wire \blk00000003/sig00000536 ; + wire \blk00000003/sig00000535 ; + wire \blk00000003/sig00000534 ; + wire \blk00000003/sig00000533 ; + wire \blk00000003/sig00000532 ; + wire \blk00000003/sig00000531 ; + wire \blk00000003/sig00000530 ; + wire \blk00000003/sig0000052f ; + wire \blk00000003/sig0000052e ; + wire \blk00000003/sig0000052d ; + wire \blk00000003/sig0000052c ; + wire \blk00000003/sig0000052b ; + wire \blk00000003/sig0000052a ; + wire \blk00000003/sig00000529 ; + wire \blk00000003/sig00000528 ; + wire \blk00000003/sig00000527 ; + wire \blk00000003/sig00000526 ; + wire \blk00000003/sig00000525 ; + wire \blk00000003/sig00000524 ; + wire \blk00000003/sig00000523 ; + wire \blk00000003/sig00000522 ; + wire \blk00000003/sig00000521 ; + wire \blk00000003/sig00000520 ; + wire \blk00000003/sig0000051f ; + wire \blk00000003/sig0000051e ; + wire \blk00000003/sig0000051d ; + wire \blk00000003/sig0000051c ; + wire \blk00000003/sig0000051b ; + wire \blk00000003/sig0000051a ; + wire \blk00000003/sig00000519 ; + wire \blk00000003/sig00000518 ; + wire \blk00000003/sig00000517 ; + wire \blk00000003/sig00000516 ; + wire \blk00000003/sig00000515 ; + wire \blk00000003/sig00000514 ; + wire \blk00000003/sig00000513 ; + wire \blk00000003/sig00000512 ; + wire \blk00000003/sig00000511 ; + wire \blk00000003/sig00000510 ; + wire \blk00000003/sig0000050f ; + wire \blk00000003/sig0000050e ; + wire \blk00000003/sig0000050d ; + wire \blk00000003/sig0000050c ; + wire \blk00000003/sig0000050b ; + wire \blk00000003/sig0000050a ; + wire \blk00000003/sig00000509 ; + wire \blk00000003/sig00000508 ; + wire \blk00000003/sig00000507 ; + wire \blk00000003/sig00000506 ; + wire \blk00000003/sig00000505 ; + wire \blk00000003/sig00000504 ; + wire \blk00000003/sig00000503 ; + wire \blk00000003/sig00000502 ; + wire \blk00000003/sig00000501 ; + wire \blk00000003/sig00000500 ; + wire \blk00000003/sig000004ff ; + wire \blk00000003/sig000004fe ; + wire \blk00000003/sig000004fd ; + wire \blk00000003/sig000004fc ; + wire \blk00000003/sig000004fb ; + wire \blk00000003/sig000004fa ; + wire \blk00000003/sig000004f9 ; + wire \blk00000003/sig000004f8 ; + wire \blk00000003/sig000004f7 ; + wire \blk00000003/sig000004f6 ; + wire \blk00000003/sig000004f5 ; + wire \blk00000003/sig000004f4 ; + wire \blk00000003/sig000004f3 ; + wire \blk00000003/sig000004f2 ; + wire \blk00000003/sig000004f1 ; + wire \blk00000003/sig000004f0 ; + wire \blk00000003/sig000004ef ; + wire \blk00000003/sig000004ee ; + wire \blk00000003/sig000004ed ; + wire \blk00000003/sig000004ec ; + wire \blk00000003/sig000004eb ; + wire \blk00000003/sig000004ea ; + wire \blk00000003/sig000004e9 ; + wire \blk00000003/sig000004e8 ; + wire \blk00000003/sig000004e7 ; + wire \blk00000003/sig000004e6 ; + wire \blk00000003/sig000004e5 ; + wire \blk00000003/sig000004e4 ; + wire \blk00000003/sig000004e3 ; + wire \blk00000003/sig000004e2 ; + wire \blk00000003/sig000004e1 ; + wire \blk00000003/sig000004e0 ; + wire \blk00000003/sig000004df ; + wire \blk00000003/sig000004de ; + wire \blk00000003/sig000004dd ; + wire \blk00000003/sig000004dc ; + wire \blk00000003/sig000004db ; + wire \blk00000003/sig000004da ; + wire \blk00000003/sig000004d9 ; + wire \blk00000003/sig000004d8 ; + wire \blk00000003/sig000004d7 ; + wire \blk00000003/sig000004d6 ; + wire \blk00000003/sig000004d5 ; + wire \blk00000003/sig000004d4 ; + wire \blk00000003/sig000004d3 ; + wire \blk00000003/sig000004d2 ; + wire \blk00000003/sig000004d1 ; + wire \blk00000003/sig000004d0 ; + wire \blk00000003/sig000004cf ; + wire \blk00000003/sig000004ce ; + wire \blk00000003/sig000004cd ; + wire \blk00000003/sig000004cc ; + wire \blk00000003/sig000004cb ; + wire \blk00000003/sig000004ca ; + wire \blk00000003/sig000004c9 ; + wire \blk00000003/sig000004c8 ; + wire \blk00000003/sig000004c7 ; + wire \blk00000003/sig000004c6 ; + wire \blk00000003/sig000004c5 ; + wire \blk00000003/sig000004c4 ; + wire \blk00000003/sig000004c3 ; + wire \blk00000003/sig000004c2 ; + wire \blk00000003/sig000004c1 ; + wire \blk00000003/sig000004c0 ; + wire \blk00000003/sig000004bf ; + wire \blk00000003/sig000004be ; + wire \blk00000003/sig000004bd ; + wire \blk00000003/sig000004bc ; + wire \blk00000003/sig000004bb ; + wire \blk00000003/sig000004ba ; + wire \blk00000003/sig000004b9 ; + wire \blk00000003/sig000004b8 ; + wire \blk00000003/sig000004b7 ; + wire \blk00000003/sig000004b6 ; + wire \blk00000003/sig000004b5 ; + wire \blk00000003/sig000004b4 ; + wire \blk00000003/sig000004b3 ; + wire \blk00000003/sig000004b2 ; + wire \blk00000003/sig000004b1 ; + wire \blk00000003/sig000004b0 ; + wire \blk00000003/sig000004af ; + wire \blk00000003/sig000004ae ; + wire \blk00000003/sig000004ad ; + wire \blk00000003/sig000004ac ; + wire \blk00000003/sig000004ab ; + wire \blk00000003/sig000004aa ; + wire \blk00000003/sig000004a9 ; + wire \blk00000003/sig000004a8 ; + wire \blk00000003/sig000004a7 ; + wire \blk00000003/sig000004a6 ; + wire \blk00000003/sig000004a5 ; + wire \blk00000003/sig000004a4 ; + wire \blk00000003/sig000004a3 ; + wire \blk00000003/sig000004a2 ; + wire \blk00000003/sig000004a1 ; + wire \blk00000003/sig000004a0 ; + wire \blk00000003/sig0000049f ; + wire \blk00000003/sig0000049e ; + wire \blk00000003/sig0000049d ; + wire \blk00000003/sig0000049c ; + wire \blk00000003/sig0000049b ; + wire \blk00000003/sig0000049a ; + wire \blk00000003/sig00000499 ; + wire \blk00000003/sig00000498 ; + wire \blk00000003/sig00000497 ; + wire \blk00000003/sig00000496 ; + wire \blk00000003/sig00000495 ; + wire \blk00000003/sig00000494 ; + wire \blk00000003/sig00000493 ; + wire \blk00000003/sig00000492 ; + wire \blk00000003/sig00000491 ; + wire \blk00000003/sig00000490 ; + wire \blk00000003/sig0000048f ; + wire \blk00000003/sig0000048e ; + wire \blk00000003/sig0000048d ; + wire \blk00000003/sig0000048c ; + wire \blk00000003/sig0000048b ; + wire \blk00000003/sig0000048a ; + wire \blk00000003/sig00000489 ; + wire \blk00000003/sig00000488 ; + wire \blk00000003/sig00000487 ; + wire \blk00000003/sig00000486 ; + wire \blk00000003/sig00000485 ; + wire \blk00000003/sig00000484 ; + wire \blk00000003/sig00000483 ; + wire \blk00000003/sig00000482 ; + wire \blk00000003/sig00000481 ; + wire \blk00000003/sig00000480 ; + wire \blk00000003/sig0000047f ; + wire \blk00000003/sig0000047e ; + wire \blk00000003/sig0000047d ; + wire \blk00000003/sig0000047c ; + wire \blk00000003/sig0000047b ; + wire \blk00000003/sig0000047a ; + wire \blk00000003/sig00000479 ; + wire \blk00000003/sig00000478 ; + wire \blk00000003/sig00000477 ; + wire \blk00000003/sig00000476 ; + wire \blk00000003/sig00000475 ; + wire \blk00000003/sig00000474 ; + wire \blk00000003/sig00000473 ; + wire \blk00000003/sig00000472 ; + wire \blk00000003/sig00000471 ; + wire \blk00000003/sig00000470 ; + wire \blk00000003/sig0000046f ; + wire \blk00000003/sig0000046e ; + wire \blk00000003/sig0000046d ; + wire \blk00000003/sig0000046c ; + wire \blk00000003/sig0000046b ; + wire \blk00000003/sig0000046a ; + wire \blk00000003/sig00000469 ; + wire \blk00000003/sig00000468 ; + wire \blk00000003/sig00000467 ; + wire \blk00000003/sig00000466 ; + wire \blk00000003/sig00000465 ; + wire \blk00000003/sig00000464 ; + wire \blk00000003/sig00000463 ; + wire \blk00000003/sig00000462 ; + wire \blk00000003/sig00000461 ; + wire \blk00000003/sig00000460 ; + wire \blk00000003/sig0000045f ; + wire \blk00000003/sig0000045e ; + wire \blk00000003/sig0000045d ; + wire \blk00000003/sig0000045c ; + wire \blk00000003/sig0000045b ; + wire \blk00000003/sig0000045a ; + wire \blk00000003/sig00000459 ; + wire \blk00000003/sig00000458 ; + wire \blk00000003/sig00000457 ; + wire \blk00000003/sig00000456 ; + wire \blk00000003/sig00000455 ; + wire \blk00000003/sig00000454 ; + wire \blk00000003/sig00000453 ; + wire \blk00000003/sig00000452 ; + wire \blk00000003/sig00000451 ; + wire \blk00000003/sig00000450 ; + wire \blk00000003/sig0000044f ; + wire \blk00000003/sig0000044e ; + wire \blk00000003/sig0000044d ; + wire \blk00000003/sig0000044c ; + wire \blk00000003/sig0000044b ; + wire \blk00000003/sig0000044a ; + wire \blk00000003/sig00000449 ; + wire \blk00000003/sig00000448 ; + wire \blk00000003/sig00000447 ; + wire \blk00000003/sig00000446 ; + wire \blk00000003/sig00000445 ; + wire \blk00000003/sig00000444 ; + wire \blk00000003/sig00000443 ; + wire \blk00000003/sig00000442 ; + wire \blk00000003/sig00000441 ; + wire \blk00000003/sig00000440 ; + wire \blk00000003/sig0000043f ; + wire \blk00000003/sig0000043e ; + wire \blk00000003/sig0000043d ; + wire \blk00000003/sig0000043c ; + wire \blk00000003/sig0000043b ; + wire \blk00000003/sig0000043a ; + wire \blk00000003/sig00000439 ; + wire \blk00000003/sig00000438 ; + wire \blk00000003/sig00000437 ; + wire \blk00000003/sig00000436 ; + wire \blk00000003/sig00000435 ; + wire \blk00000003/sig00000434 ; + wire \blk00000003/sig00000433 ; + wire \blk00000003/sig00000432 ; + wire \blk00000003/sig00000431 ; + wire \blk00000003/sig00000430 ; + wire \blk00000003/sig0000042f ; + wire \blk00000003/sig0000042e ; + wire \blk00000003/sig0000042d ; + wire \blk00000003/sig0000042c ; + wire \blk00000003/sig0000042b ; + wire \blk00000003/sig0000042a ; + wire \blk00000003/sig00000429 ; + wire \blk00000003/sig00000428 ; + wire \blk00000003/sig00000427 ; + wire \blk00000003/sig00000426 ; + wire \blk00000003/sig00000425 ; + wire \blk00000003/sig00000424 ; + wire \blk00000003/sig00000423 ; + wire \blk00000003/sig00000422 ; + wire \blk00000003/sig00000421 ; + wire \blk00000003/sig00000420 ; + wire \blk00000003/sig0000041f ; + wire \blk00000003/sig0000041e ; + wire \blk00000003/sig0000041d ; + wire \blk00000003/sig0000041c ; + wire \blk00000003/sig0000041b ; + wire \blk00000003/sig0000041a ; + wire \blk00000003/sig00000419 ; + wire \blk00000003/sig00000418 ; + wire \blk00000003/sig00000417 ; + wire \blk00000003/sig00000416 ; + wire \blk00000003/sig00000415 ; + wire \blk00000003/sig00000414 ; + wire \blk00000003/sig00000413 ; + wire \blk00000003/sig00000412 ; + wire \blk00000003/sig00000411 ; + wire \blk00000003/sig00000410 ; + wire \blk00000003/sig0000040f ; + wire \blk00000003/sig0000040e ; + wire \blk00000003/sig0000040d ; + wire \blk00000003/sig0000040c ; + wire \blk00000003/sig0000040b ; + wire \blk00000003/sig0000040a ; + wire \blk00000003/sig00000409 ; + wire \blk00000003/sig00000408 ; + wire \blk00000003/sig00000407 ; + wire \blk00000003/sig00000406 ; + wire \blk00000003/sig00000405 ; + wire \blk00000003/sig00000404 ; + wire \blk00000003/sig00000403 ; + wire \blk00000003/sig00000402 ; + wire \blk00000003/sig00000401 ; + wire \blk00000003/sig00000400 ; + wire \blk00000003/sig000003ff ; + wire \blk00000003/sig000003fe ; + wire \blk00000003/sig000003fd ; + wire \blk00000003/sig000003fc ; + wire \blk00000003/sig000003fb ; + wire \blk00000003/sig000003fa ; + wire \blk00000003/sig000003f9 ; + wire \blk00000003/sig000003f8 ; + wire \blk00000003/sig000003f7 ; + wire \blk00000003/sig000003f6 ; + wire \blk00000003/sig000003f5 ; + wire \blk00000003/sig000003f4 ; + wire \blk00000003/sig000003f3 ; + wire \blk00000003/sig000003f2 ; + wire \blk00000003/sig000003f1 ; + wire \blk00000003/sig000003f0 ; + wire \blk00000003/sig000003ef ; + wire \blk00000003/sig000003ee ; + wire \blk00000003/sig000003ed ; + wire \blk00000003/sig000003ec ; + wire \blk00000003/sig000003eb ; + wire \blk00000003/sig000003ea ; + wire \blk00000003/sig000003e9 ; + wire \blk00000003/sig000003e8 ; + wire \blk00000003/sig000003e7 ; + wire \blk00000003/sig000003e6 ; + wire \blk00000003/sig000003e5 ; + wire \blk00000003/sig000003e4 ; + wire \blk00000003/sig000003e3 ; + wire \blk00000003/sig000003e2 ; + wire \blk00000003/sig000003e1 ; + wire \blk00000003/sig000003e0 ; + wire \blk00000003/sig000003df ; + wire \blk00000003/sig000003de ; + wire \blk00000003/sig000003dd ; + wire \blk00000003/sig000003dc ; + wire \blk00000003/sig000003db ; + wire \blk00000003/sig000003da ; + wire \blk00000003/sig000003d9 ; + wire \blk00000003/sig000003d8 ; + wire \blk00000003/sig000003d7 ; + wire \blk00000003/sig000003d6 ; + wire \blk00000003/sig000003d5 ; + wire \blk00000003/sig000003d4 ; + wire \blk00000003/sig000003d3 ; + wire \blk00000003/sig000003d2 ; + wire \blk00000003/sig000003d1 ; + wire \blk00000003/sig000003d0 ; + wire \blk00000003/sig000003cf ; + wire \blk00000003/sig000003ce ; + wire \blk00000003/sig000003cd ; + wire \blk00000003/sig000003cc ; + wire \blk00000003/sig000003cb ; + wire \blk00000003/sig000003ca ; + wire \blk00000003/sig000003c9 ; + wire \blk00000003/sig000003c8 ; + wire \blk00000003/sig000003c7 ; + wire \blk00000003/sig000003c6 ; + wire \blk00000003/sig000003c5 ; + wire \blk00000003/sig000003c4 ; + wire \blk00000003/sig000003c3 ; + wire \blk00000003/sig000003c2 ; + wire \blk00000003/sig000003c1 ; + wire \blk00000003/sig000003c0 ; + wire \blk00000003/sig000003bf ; + wire \blk00000003/sig000003be ; + wire \blk00000003/sig000003bd ; + wire \blk00000003/sig000003bc ; + wire \blk00000003/sig000003bb ; + wire \blk00000003/sig000003ba ; + wire \blk00000003/sig000003b9 ; + wire \blk00000003/sig000003b8 ; + wire \blk00000003/sig000003b7 ; + wire \blk00000003/sig000003b6 ; + wire \blk00000003/sig000003b5 ; + wire \blk00000003/sig000003b4 ; + wire \blk00000003/sig000003b3 ; + wire \blk00000003/sig000003b2 ; + wire \blk00000003/sig000003b1 ; + wire \blk00000003/sig000003b0 ; + wire \blk00000003/sig000003af ; + wire \blk00000003/sig000003ae ; + wire \blk00000003/sig000003ad ; + wire \blk00000003/sig000003ac ; + wire \blk00000003/sig000003ab ; + wire \blk00000003/sig000003aa ; + wire \blk00000003/sig000003a9 ; + wire \blk00000003/sig000003a8 ; + wire \blk00000003/sig000003a7 ; + wire \blk00000003/sig000003a6 ; + wire \blk00000003/sig000003a5 ; + wire \blk00000003/sig000003a4 ; + wire \blk00000003/sig000003a3 ; + wire \blk00000003/sig000003a2 ; + wire \blk00000003/sig000003a1 ; + wire \blk00000003/sig000003a0 ; + wire \blk00000003/sig0000039f ; + wire \blk00000003/sig0000039e ; + wire \blk00000003/sig0000039d ; + wire \blk00000003/sig0000039c ; + wire \blk00000003/sig0000039b ; + wire \blk00000003/sig0000039a ; + wire \blk00000003/sig00000399 ; + wire \blk00000003/sig00000398 ; + wire \blk00000003/sig00000397 ; + wire \blk00000003/sig00000396 ; + wire \blk00000003/sig00000395 ; + wire \blk00000003/sig00000394 ; + wire \blk00000003/sig00000393 ; + wire \blk00000003/sig00000392 ; + wire \blk00000003/sig00000391 ; + wire \blk00000003/sig00000390 ; + wire \blk00000003/sig0000038f ; + wire \blk00000003/sig0000038e ; + wire \blk00000003/sig0000038d ; + wire \blk00000003/sig0000038c ; + wire \blk00000003/sig0000038b ; + wire \blk00000003/sig0000038a ; + wire \blk00000003/sig00000389 ; + wire \blk00000003/sig00000388 ; + wire \blk00000003/sig00000387 ; + wire \blk00000003/sig00000386 ; + wire \blk00000003/sig00000385 ; + wire \blk00000003/sig00000384 ; + wire \blk00000003/sig00000383 ; + wire \blk00000003/sig00000382 ; + wire \blk00000003/sig00000381 ; + wire \blk00000003/sig00000380 ; + wire \blk00000003/sig0000037f ; + wire \blk00000003/sig0000037e ; + wire \blk00000003/sig0000037d ; + wire \blk00000003/sig0000037c ; + wire \blk00000003/sig0000037b ; + wire \blk00000003/sig0000037a ; + wire \blk00000003/sig00000379 ; + wire \blk00000003/sig00000378 ; + wire \blk00000003/sig00000377 ; + wire \blk00000003/sig00000376 ; + wire \blk00000003/sig00000375 ; + wire \blk00000003/sig00000374 ; + wire \blk00000003/sig00000373 ; + wire \blk00000003/sig00000372 ; + wire \blk00000003/sig00000371 ; + wire \blk00000003/sig00000370 ; + wire \blk00000003/sig0000036f ; + wire \blk00000003/sig0000036e ; + wire \blk00000003/sig0000036d ; + wire \blk00000003/sig0000036c ; + wire \blk00000003/sig0000036b ; + wire \blk00000003/sig0000036a ; + wire \blk00000003/sig00000369 ; + wire \blk00000003/sig00000368 ; + wire \blk00000003/sig00000367 ; + wire \blk00000003/sig00000366 ; + wire \blk00000003/sig00000365 ; + wire \blk00000003/sig00000364 ; + wire \blk00000003/sig00000363 ; + wire \blk00000003/sig00000362 ; + wire \blk00000003/sig00000361 ; + wire \blk00000003/sig00000360 ; + wire \blk00000003/sig0000035f ; + wire \blk00000003/sig0000035e ; + wire \blk00000003/sig0000035d ; + wire \blk00000003/sig0000035c ; + wire \blk00000003/sig0000035b ; + wire \blk00000003/sig0000035a ; + wire \blk00000003/sig00000359 ; + wire \blk00000003/sig00000358 ; + wire \blk00000003/sig00000357 ; + wire \blk00000003/sig00000356 ; + wire \blk00000003/sig00000355 ; + wire \blk00000003/sig00000354 ; + wire \blk00000003/sig00000353 ; + wire \blk00000003/sig00000352 ; + wire \blk00000003/sig00000351 ; + wire \blk00000003/sig00000350 ; + wire \blk00000003/sig0000034f ; + wire \blk00000003/sig0000034e ; + wire \blk00000003/sig0000034d ; + wire \blk00000003/sig0000034c ; + wire \blk00000003/sig0000034b ; + wire \blk00000003/sig0000034a ; + wire \blk00000003/sig00000349 ; + wire \blk00000003/sig00000348 ; + wire \blk00000003/sig00000347 ; + wire \blk00000003/sig00000346 ; + wire \blk00000003/sig00000345 ; + wire \blk00000003/sig00000344 ; + wire \blk00000003/sig00000343 ; + wire \blk00000003/sig00000342 ; + wire \blk00000003/sig00000341 ; + wire \blk00000003/sig00000340 ; + wire \blk00000003/sig0000033f ; + wire \blk00000003/sig0000033e ; + wire \blk00000003/sig0000033d ; + wire \blk00000003/sig0000033c ; + wire \blk00000003/sig0000033b ; + wire \blk00000003/sig0000033a ; + wire \blk00000003/sig00000339 ; + wire \blk00000003/sig00000338 ; + wire \blk00000003/sig00000337 ; + wire \blk00000003/sig00000336 ; + wire \blk00000003/sig00000335 ; + wire \blk00000003/sig00000334 ; + wire \blk00000003/sig00000333 ; + wire \blk00000003/sig00000332 ; + wire \blk00000003/sig00000331 ; + wire \blk00000003/sig00000330 ; + wire \blk00000003/sig0000032f ; + wire \blk00000003/sig0000032e ; + wire \blk00000003/sig0000032d ; + wire \blk00000003/sig0000032c ; + wire \blk00000003/sig0000032b ; + wire \blk00000003/sig0000032a ; + wire \blk00000003/sig00000329 ; + wire \blk00000003/sig00000328 ; + wire \blk00000003/sig00000327 ; + wire \blk00000003/sig00000326 ; + wire \blk00000003/sig00000325 ; + wire \blk00000003/sig00000324 ; + wire \blk00000003/sig00000323 ; + wire \blk00000003/sig00000322 ; + wire \blk00000003/sig00000321 ; + wire \blk00000003/sig00000320 ; + wire \blk00000003/sig0000031f ; + wire \blk00000003/sig0000031e ; + wire \blk00000003/sig0000031d ; + wire \blk00000003/sig0000031c ; + wire \blk00000003/sig0000031b ; + wire \blk00000003/sig0000031a ; + wire \blk00000003/sig00000319 ; + wire \blk00000003/sig00000318 ; + wire \blk00000003/sig00000317 ; + wire \blk00000003/sig00000316 ; + wire \blk00000003/sig00000315 ; + wire \blk00000003/sig00000314 ; + wire \blk00000003/sig00000313 ; + wire \blk00000003/sig00000312 ; + wire \blk00000003/sig00000311 ; + wire \blk00000003/sig00000310 ; + wire \blk00000003/sig0000030f ; + wire \blk00000003/sig0000030e ; + wire \blk00000003/sig0000030d ; + wire \blk00000003/sig0000030c ; + wire \blk00000003/sig0000030b ; + wire \blk00000003/sig0000030a ; + wire \blk00000003/sig00000309 ; + wire \blk00000003/sig00000308 ; + wire \blk00000003/sig00000307 ; + wire \blk00000003/sig00000306 ; + wire \blk00000003/sig00000305 ; + wire \blk00000003/sig00000304 ; + wire \blk00000003/sig00000303 ; + wire \blk00000003/sig00000302 ; + wire \blk00000003/sig00000301 ; + wire \blk00000003/sig00000300 ; + wire \blk00000003/sig000002ff ; + wire \blk00000003/sig000002fe ; + wire \blk00000003/sig000002fd ; + wire \blk00000003/sig000002fc ; + wire \blk00000003/sig000002fb ; + wire \blk00000003/sig000002fa ; + wire \blk00000003/sig000002f9 ; + wire \blk00000003/sig000002f8 ; + wire \blk00000003/sig000002f7 ; + wire \blk00000003/sig000002f6 ; + wire \blk00000003/sig000002f5 ; + wire \blk00000003/sig000002f4 ; + wire \blk00000003/sig000002f3 ; + wire \blk00000003/sig000002f2 ; + wire \blk00000003/sig000002f1 ; + wire \blk00000003/sig000002f0 ; + wire \blk00000003/sig000002ef ; + wire \blk00000003/sig000002ee ; + wire \blk00000003/sig000002ed ; + wire \blk00000003/sig000002ec ; + wire \blk00000003/sig000002eb ; + wire \blk00000003/sig000002ea ; + wire \blk00000003/sig000002e9 ; + wire \blk00000003/sig000002e8 ; + wire \blk00000003/sig000002e7 ; + wire \blk00000003/sig000002e6 ; + wire \blk00000003/sig000002e5 ; + wire \blk00000003/sig000002e4 ; + wire \blk00000003/sig000002e3 ; + wire \blk00000003/sig000002e2 ; + wire \blk00000003/sig000002e1 ; + wire \blk00000003/sig000002e0 ; + wire \blk00000003/sig000002df ; + wire \blk00000003/sig000002de ; + wire \blk00000003/sig000002dd ; + wire \blk00000003/sig000002dc ; + wire \blk00000003/sig000002db ; + wire \blk00000003/sig000002da ; + wire \blk00000003/sig000002d9 ; + wire \blk00000003/sig000002d8 ; + wire \blk00000003/sig000002d7 ; + wire \blk00000003/sig000002d6 ; + wire \blk00000003/sig000002d5 ; + wire \blk00000003/sig000002d4 ; + wire \blk00000003/sig000002d3 ; + wire \blk00000003/sig000002d2 ; + wire \blk00000003/sig000002d1 ; + wire \blk00000003/sig000002d0 ; + wire \blk00000003/sig000002cf ; + wire \blk00000003/sig000002ce ; + wire \blk00000003/sig000002cd ; + wire \blk00000003/sig000002cc ; + wire \blk00000003/sig000002cb ; + wire \blk00000003/sig000002ca ; + wire \blk00000003/sig000002c9 ; + wire \blk00000003/sig000002c8 ; + wire \blk00000003/sig000002c7 ; + wire \blk00000003/sig000002c6 ; + wire \blk00000003/sig000002c5 ; + wire \blk00000003/sig000002c4 ; + wire \blk00000003/sig000002c3 ; + wire \blk00000003/sig000002c2 ; + wire \blk00000003/sig000002c1 ; + wire \blk00000003/sig000002c0 ; + wire \blk00000003/sig000002bf ; + wire \blk00000003/sig000002be ; + wire \blk00000003/sig000002bd ; + wire \blk00000003/sig000002bc ; + wire \blk00000003/sig000002bb ; + wire \blk00000003/sig000002ba ; + wire \blk00000003/sig000002b9 ; + wire \blk00000003/sig000002b8 ; + wire \blk00000003/sig000002b7 ; + wire \blk00000003/sig000002b6 ; + wire \blk00000003/sig000002b5 ; + wire \blk00000003/sig000002b4 ; + wire \blk00000003/sig000002b3 ; + wire \blk00000003/sig000002b2 ; + wire \blk00000003/sig000002b1 ; + wire \blk00000003/sig000002b0 ; + wire \blk00000003/sig000002af ; + wire \blk00000003/sig000002ae ; + wire \blk00000003/sig000002ad ; + wire \blk00000003/sig000002ac ; + wire \blk00000003/sig000002ab ; + wire \blk00000003/sig000002aa ; + wire \blk00000003/sig000002a9 ; + wire \blk00000003/sig000002a8 ; + wire \blk00000003/sig000002a7 ; + wire \blk00000003/sig000002a6 ; + wire \blk00000003/sig000002a5 ; + wire \blk00000003/sig000002a4 ; + wire \blk00000003/sig000002a3 ; + wire \blk00000003/sig000002a2 ; + wire \blk00000003/sig000002a1 ; + wire \blk00000003/sig000002a0 ; + wire \blk00000003/sig0000029f ; + wire \blk00000003/sig0000029e ; + wire \blk00000003/sig0000029d ; + wire \blk00000003/sig0000029c ; + wire \blk00000003/sig0000029b ; + wire \blk00000003/sig0000029a ; + wire \blk00000003/sig00000299 ; + wire \blk00000003/sig00000298 ; + wire \blk00000003/sig00000297 ; + wire \blk00000003/sig00000296 ; + wire \blk00000003/sig00000295 ; + wire \blk00000003/sig00000294 ; + wire \blk00000003/sig00000293 ; + wire \blk00000003/sig00000292 ; + wire \blk00000003/sig00000291 ; + wire \blk00000003/sig00000290 ; + wire \blk00000003/sig0000028f ; + wire \blk00000003/sig0000028e ; + wire \blk00000003/sig0000028d ; + wire \blk00000003/sig0000028c ; + wire \blk00000003/sig0000028b ; + wire \blk00000003/sig0000028a ; + wire \blk00000003/sig00000289 ; + wire \blk00000003/sig00000288 ; + wire \blk00000003/sig00000287 ; + wire \blk00000003/sig00000286 ; + wire \blk00000003/sig00000285 ; + wire \blk00000003/sig00000284 ; + wire \blk00000003/sig00000283 ; + wire \blk00000003/sig00000282 ; + wire \blk00000003/sig00000281 ; + wire \blk00000003/sig00000280 ; + wire \blk00000003/sig0000027f ; + wire \blk00000003/sig0000027e ; + wire \blk00000003/sig0000027d ; + wire \blk00000003/sig0000027c ; + wire \blk00000003/sig0000027b ; + wire \blk00000003/sig0000027a ; + wire \blk00000003/sig00000279 ; + wire \blk00000003/sig00000278 ; + wire \blk00000003/sig00000277 ; + wire \blk00000003/sig00000276 ; + wire \blk00000003/sig00000275 ; + wire \blk00000003/sig00000274 ; + wire \blk00000003/sig00000273 ; + wire \blk00000003/sig00000272 ; + wire \blk00000003/sig00000271 ; + wire \blk00000003/sig00000270 ; + wire \blk00000003/sig0000026f ; + wire \blk00000003/sig0000026e ; + wire \blk00000003/sig0000026d ; + wire \blk00000003/sig0000026c ; + wire \blk00000003/sig0000026b ; + wire \blk00000003/sig0000026a ; + wire \blk00000003/sig00000269 ; + wire \blk00000003/sig00000268 ; + wire \blk00000003/sig00000267 ; + wire \blk00000003/sig00000266 ; + wire \blk00000003/sig00000265 ; + wire \blk00000003/sig00000264 ; + wire \blk00000003/sig00000263 ; + wire \blk00000003/sig00000262 ; + wire \blk00000003/sig00000261 ; + wire \blk00000003/sig00000260 ; + wire \blk00000003/sig0000025f ; + wire \blk00000003/sig0000025e ; + wire \blk00000003/sig0000025d ; + wire \blk00000003/sig0000025c ; + wire \blk00000003/sig0000025b ; + wire \blk00000003/sig0000025a ; + wire \blk00000003/sig00000259 ; + wire \blk00000003/sig00000258 ; + wire \blk00000003/sig00000257 ; + wire \blk00000003/sig00000256 ; + wire \blk00000003/sig00000255 ; + wire \blk00000003/sig00000254 ; + wire \blk00000003/sig00000253 ; + wire \blk00000003/sig00000252 ; + wire \blk00000003/sig00000251 ; + wire \blk00000003/sig00000250 ; + wire \blk00000003/sig0000024f ; + wire \blk00000003/sig0000024e ; + wire \blk00000003/sig0000024d ; + wire \blk00000003/sig0000024c ; + wire \blk00000003/sig0000024b ; + wire \blk00000003/sig0000024a ; + wire \blk00000003/sig00000249 ; + wire \blk00000003/sig00000248 ; + wire \blk00000003/sig00000247 ; + wire \blk00000003/sig00000246 ; + wire \blk00000003/sig00000245 ; + wire \blk00000003/sig00000244 ; + wire \blk00000003/sig00000243 ; + wire \blk00000003/sig00000242 ; + wire \blk00000003/sig00000241 ; + wire \blk00000003/sig00000240 ; + wire \blk00000003/sig0000023f ; + wire \blk00000003/sig0000023e ; + wire \blk00000003/sig0000023d ; + wire \blk00000003/sig0000023c ; + wire \blk00000003/sig0000023b ; + wire \blk00000003/sig0000023a ; + wire \blk00000003/sig00000239 ; + wire \blk00000003/sig00000238 ; + wire \blk00000003/sig00000237 ; + wire \blk00000003/sig00000236 ; + wire \blk00000003/sig00000235 ; + wire \blk00000003/sig00000234 ; + wire \blk00000003/sig00000233 ; + wire \blk00000003/sig00000232 ; + wire \blk00000003/sig00000231 ; + wire \blk00000003/sig00000230 ; + wire \blk00000003/sig0000022f ; + wire \blk00000003/sig0000022e ; + wire \blk00000003/sig0000022d ; + wire \blk00000003/sig0000022c ; + wire \blk00000003/sig0000022b ; + wire \blk00000003/sig0000022a ; + wire \blk00000003/sig00000229 ; + wire \blk00000003/sig00000228 ; + wire \blk00000003/sig00000227 ; + wire \blk00000003/sig00000226 ; + wire \blk00000003/sig00000225 ; + wire \blk00000003/sig00000224 ; + wire \blk00000003/sig00000223 ; + wire \blk00000003/sig00000222 ; + wire \blk00000003/sig00000221 ; + wire \blk00000003/sig00000220 ; + wire \blk00000003/sig0000021f ; + wire \blk00000003/sig0000021e ; + wire \blk00000003/sig0000021d ; + wire \blk00000003/sig0000021c ; + wire \blk00000003/sig0000021b ; + wire \blk00000003/sig0000021a ; + wire \blk00000003/sig00000219 ; + wire \blk00000003/sig00000218 ; + wire \blk00000003/sig00000217 ; + wire \blk00000003/sig00000216 ; + wire \blk00000003/sig00000215 ; + wire \blk00000003/sig00000214 ; + wire \blk00000003/sig00000213 ; + wire \blk00000003/sig00000212 ; + wire \blk00000003/sig00000211 ; + wire \blk00000003/sig00000210 ; + wire \blk00000003/sig0000020f ; + wire \blk00000003/sig0000020e ; + wire \blk00000003/sig0000020d ; + wire \blk00000003/sig0000020c ; + wire \blk00000003/sig0000020b ; + wire \blk00000003/sig0000020a ; + wire \blk00000003/sig00000209 ; + wire \blk00000003/sig00000208 ; + wire \blk00000003/sig00000207 ; + wire \blk00000003/sig00000206 ; + wire \blk00000003/sig00000205 ; + wire \blk00000003/sig00000204 ; + wire \blk00000003/sig00000203 ; + wire \blk00000003/sig00000202 ; + wire \blk00000003/sig00000201 ; + wire \blk00000003/sig00000200 ; + wire \blk00000003/sig000001ff ; + wire \blk00000003/sig000001fe ; + wire \blk00000003/sig000001fd ; + wire \blk00000003/sig000001fc ; + wire \blk00000003/sig000001fb ; + wire \blk00000003/sig000001fa ; + wire \blk00000003/sig000001f9 ; + wire \blk00000003/sig000001f8 ; + wire \blk00000003/sig000001f7 ; + wire \blk00000003/sig000001f6 ; + wire \blk00000003/sig000001f5 ; + wire \blk00000003/sig000001f4 ; + wire \blk00000003/sig000001f3 ; + wire \blk00000003/sig000001f2 ; + wire \blk00000003/sig000001f1 ; + wire \blk00000003/sig000001f0 ; + wire \blk00000003/sig000001ef ; + wire \blk00000003/sig000001ee ; + wire \blk00000003/sig000001ed ; + wire \blk00000003/sig000001ec ; + wire \blk00000003/sig000001eb ; + wire \blk00000003/sig000001ea ; + wire \blk00000003/sig000001e9 ; + wire \blk00000003/sig000001e8 ; + wire \blk00000003/sig000001e7 ; + wire \blk00000003/sig000001e6 ; + wire \blk00000003/sig000001e5 ; + wire \blk00000003/sig000001e4 ; + wire \blk00000003/sig000001e3 ; + wire \blk00000003/sig000001e2 ; + wire \blk00000003/sig000001e1 ; + wire \blk00000003/sig000001e0 ; + wire \blk00000003/sig000001df ; + wire \blk00000003/sig000001de ; + wire \blk00000003/sig000001dd ; + wire \blk00000003/sig000001dc ; + wire \blk00000003/sig000001db ; + wire \blk00000003/sig000001da ; + wire \blk00000003/sig000001d9 ; + wire \blk00000003/sig000001d8 ; + wire \blk00000003/sig000001d7 ; + wire \blk00000003/sig000001d6 ; + wire \blk00000003/sig000001d5 ; + wire \blk00000003/sig000001d4 ; + wire \blk00000003/sig000001d3 ; + wire \blk00000003/sig000001d2 ; + wire \blk00000003/sig000001d1 ; + wire \blk00000003/sig000001d0 ; + wire \blk00000003/sig000001cf ; + wire \blk00000003/sig000001ce ; + wire \blk00000003/sig000001cd ; + wire \blk00000003/sig000001cc ; + wire \blk00000003/sig000001cb ; + wire \blk00000003/sig000001ca ; + wire \blk00000003/sig000001c9 ; + wire \blk00000003/sig000001c8 ; + wire \blk00000003/sig000001c7 ; + wire \blk00000003/sig000001c6 ; + wire \blk00000003/sig000001c5 ; + wire \blk00000003/sig000001c4 ; + wire \blk00000003/sig000001c3 ; + wire \blk00000003/sig000001c2 ; + wire \blk00000003/sig000001c1 ; + wire \blk00000003/sig000001c0 ; + wire \blk00000003/sig000001bf ; + wire \blk00000003/sig000001be ; + wire \blk00000003/sig000001bd ; + wire \blk00000003/sig000001bc ; + wire \blk00000003/sig000001bb ; + wire \blk00000003/sig000001ba ; + wire \blk00000003/sig000001b9 ; + wire \blk00000003/sig000001b8 ; + wire \blk00000003/sig000001b7 ; + wire \blk00000003/sig000001b6 ; + wire \blk00000003/sig000001b5 ; + wire \blk00000003/sig000001b4 ; + wire \blk00000003/sig000001b3 ; + wire \blk00000003/sig000001b2 ; + wire \blk00000003/sig000001b1 ; + wire \blk00000003/sig000001b0 ; + wire \blk00000003/sig000001af ; + wire \blk00000003/sig000001ae ; + wire \blk00000003/sig000001ad ; + wire \blk00000003/sig000001ac ; + wire \blk00000003/sig000001ab ; + wire \blk00000003/sig000001aa ; + wire \blk00000003/sig000001a9 ; + wire \blk00000003/sig000001a8 ; + wire \blk00000003/sig000001a7 ; + wire \blk00000003/sig000001a6 ; + wire \blk00000003/sig000001a5 ; + wire \blk00000003/sig000001a4 ; + wire \blk00000003/sig000001a3 ; + wire \blk00000003/sig000001a2 ; + wire \blk00000003/sig000001a1 ; + wire \blk00000003/sig000001a0 ; + wire \blk00000003/sig0000019f ; + wire \blk00000003/sig0000019e ; + wire \blk00000003/sig0000019d ; + wire \blk00000003/sig0000019c ; + wire \blk00000003/sig0000019b ; + wire \blk00000003/sig0000019a ; + wire \blk00000003/sig00000199 ; + wire \blk00000003/sig00000198 ; + wire \blk00000003/sig00000197 ; + wire \blk00000003/sig00000196 ; + wire \blk00000003/sig00000195 ; + wire \blk00000003/sig00000194 ; + wire \blk00000003/sig00000193 ; + wire \blk00000003/sig00000192 ; + wire \blk00000003/sig00000191 ; + wire \blk00000003/sig00000190 ; + wire \blk00000003/sig0000018f ; + wire \blk00000003/sig0000018e ; + wire \blk00000003/sig0000018d ; + wire \blk00000003/sig0000018c ; + wire \blk00000003/sig0000018b ; + wire \blk00000003/sig0000018a ; + wire \blk00000003/sig00000189 ; + wire \blk00000003/sig00000188 ; + wire \blk00000003/sig00000187 ; + wire \blk00000003/sig00000186 ; + wire \blk00000003/sig00000185 ; + wire \blk00000003/sig00000184 ; + wire \blk00000003/sig00000183 ; + wire \blk00000003/sig00000182 ; + wire \blk00000003/sig00000181 ; + wire \blk00000003/sig00000180 ; + wire \blk00000003/sig0000017f ; + wire \blk00000003/sig0000017e ; + wire \blk00000003/sig0000017d ; + wire \blk00000003/sig0000017c ; + wire \blk00000003/sig0000017b ; + wire \blk00000003/sig0000017a ; + wire \blk00000003/sig00000179 ; + wire \blk00000003/sig00000178 ; + wire \blk00000003/sig00000177 ; + wire \blk00000003/sig00000176 ; + wire \blk00000003/sig00000175 ; + wire \blk00000003/sig00000174 ; + wire \blk00000003/sig00000173 ; + wire \blk00000003/sig00000172 ; + wire \blk00000003/sig00000171 ; + wire \blk00000003/sig00000170 ; + wire \blk00000003/sig0000016f ; + wire \blk00000003/sig0000016e ; + wire \blk00000003/sig0000016d ; + wire \blk00000003/sig0000016c ; + wire \blk00000003/sig0000016b ; + wire \blk00000003/sig0000016a ; + wire \blk00000003/sig00000169 ; + wire \blk00000003/sig00000168 ; + wire \blk00000003/sig00000167 ; + wire \blk00000003/sig00000166 ; + wire \blk00000003/sig00000165 ; + wire \blk00000003/sig00000164 ; + wire \blk00000003/sig00000163 ; + wire \blk00000003/sig00000162 ; + wire \blk00000003/sig00000161 ; + wire \blk00000003/sig00000160 ; + wire \blk00000003/sig0000015f ; + wire \blk00000003/sig0000015e ; + wire \blk00000003/sig0000015d ; + wire \blk00000003/sig0000015c ; + wire \blk00000003/sig0000015b ; + wire \blk00000003/sig0000015a ; + wire \blk00000003/sig00000159 ; + wire \blk00000003/sig00000158 ; + wire \blk00000003/sig00000157 ; + wire \blk00000003/sig00000156 ; + wire \blk00000003/sig00000155 ; + wire \blk00000003/sig00000154 ; + wire \blk00000003/sig00000153 ; + wire \blk00000003/sig00000152 ; + wire \blk00000003/sig00000151 ; + wire \blk00000003/sig00000150 ; + wire \blk00000003/sig0000014f ; + wire \blk00000003/sig0000014e ; + wire \blk00000003/sig0000014d ; + wire \blk00000003/sig0000014c ; + wire \blk00000003/sig0000014b ; + wire \blk00000003/sig0000014a ; + wire \blk00000003/sig00000149 ; + wire \blk00000003/sig00000148 ; + wire \blk00000003/sig00000147 ; + wire \blk00000003/sig00000146 ; + wire \blk00000003/sig00000145 ; + wire \blk00000003/sig00000144 ; + wire \blk00000003/sig00000143 ; + wire \blk00000003/sig00000142 ; + wire \blk00000003/sig00000141 ; + wire \blk00000003/sig00000140 ; + wire \blk00000003/sig0000013f ; + wire \blk00000003/sig0000013e ; + wire \blk00000003/sig0000013d ; + wire \blk00000003/sig0000013c ; + wire \blk00000003/sig0000013b ; + wire \blk00000003/sig0000013a ; + wire \blk00000003/sig00000139 ; + wire \blk00000003/sig00000138 ; + wire \blk00000003/sig00000137 ; + wire \blk00000003/sig00000136 ; + wire \blk00000003/sig00000135 ; + wire \blk00000003/sig00000134 ; + wire \blk00000003/sig00000133 ; + wire \blk00000003/sig00000132 ; + wire \blk00000003/sig00000131 ; + wire \blk00000003/sig00000130 ; + wire \blk00000003/sig0000012f ; + wire \blk00000003/sig0000012e ; + wire \blk00000003/sig0000012d ; + wire \blk00000003/sig0000012c ; + wire \blk00000003/sig0000012b ; + wire \blk00000003/sig0000012a ; + wire \blk00000003/sig00000129 ; + wire \blk00000003/sig00000128 ; + wire \blk00000003/sig00000127 ; + wire \blk00000003/sig00000126 ; + wire \blk00000003/sig00000125 ; + wire \blk00000003/sig00000124 ; + wire \blk00000003/sig00000123 ; + wire \blk00000003/sig00000122 ; + wire \blk00000003/sig00000121 ; + wire \blk00000003/sig00000120 ; + wire \blk00000003/sig0000011f ; + wire \blk00000003/sig0000011e ; + wire \blk00000003/sig0000011d ; + wire \blk00000003/sig0000011c ; + wire \blk00000003/sig0000011b ; + wire \blk00000003/sig0000011a ; + wire \blk00000003/sig00000119 ; + wire \blk00000003/sig00000118 ; + wire \blk00000003/sig00000117 ; + wire \blk00000003/sig00000116 ; + wire \blk00000003/sig00000115 ; + wire \blk00000003/sig00000114 ; + wire \blk00000003/sig00000113 ; + wire \blk00000003/sig00000112 ; + wire \blk00000003/sig00000111 ; + wire \blk00000003/sig00000110 ; + wire \blk00000003/sig0000010f ; + wire \blk00000003/sig0000010e ; + wire \blk00000003/sig0000010d ; + wire \blk00000003/sig0000010c ; + wire \blk00000003/sig0000010b ; + wire \blk00000003/sig0000010a ; + wire \blk00000003/sig00000109 ; + wire \blk00000003/sig00000108 ; + wire \blk00000003/sig00000107 ; + wire \blk00000003/sig00000106 ; + wire \blk00000003/sig00000105 ; + wire \blk00000003/sig00000104 ; + wire \blk00000003/sig00000103 ; + wire \blk00000003/sig00000102 ; + wire \blk00000003/sig00000101 ; + wire \blk00000003/sig00000100 ; + wire \blk00000003/sig000000ff ; + wire \blk00000003/sig000000fe ; + wire \blk00000003/sig000000fd ; + wire \blk00000003/sig000000fc ; + wire \blk00000003/sig000000fb ; + wire \blk00000003/sig000000fa ; + wire \blk00000003/sig000000f9 ; + wire \blk00000003/sig000000f8 ; + wire \blk00000003/sig000000f7 ; + wire \blk00000003/sig000000f6 ; + wire \blk00000003/sig000000f5 ; + wire \blk00000003/sig000000f4 ; + wire \blk00000003/sig000000f3 ; + wire \blk00000003/sig000000f2 ; + wire \blk00000003/sig000000f1 ; + wire \blk00000003/sig000000f0 ; + wire \blk00000003/sig000000ef ; + wire \blk00000003/sig000000ee ; + wire \blk00000003/sig000000ed ; + wire \blk00000003/sig000000ec ; + wire \blk00000003/sig000000eb ; + wire \blk00000003/sig000000ea ; + wire \blk00000003/sig000000e9 ; + wire \blk00000003/sig000000e8 ; + wire \blk00000003/sig000000e7 ; + wire \blk00000003/sig000000e6 ; + wire \blk00000003/sig000000e5 ; + wire \blk00000003/sig000000e4 ; + wire \blk00000003/sig000000e3 ; + wire \blk00000003/sig000000e2 ; + wire \blk00000003/sig000000e1 ; + wire \blk00000003/sig000000e0 ; + wire \blk00000003/sig000000df ; + wire \blk00000003/sig000000de ; + wire \blk00000003/sig000000dd ; + wire \blk00000003/sig000000dc ; + wire \blk00000003/sig000000db ; + wire \blk00000003/sig000000da ; + wire \blk00000003/sig000000d9 ; + wire \blk00000003/sig000000d8 ; + wire \blk00000003/sig000000d7 ; + wire \blk00000003/sig000000d6 ; + wire \blk00000003/sig000000d5 ; + wire \blk00000003/sig000000d4 ; + wire \blk00000003/sig000000d3 ; + wire \blk00000003/sig000000d2 ; + wire \blk00000003/sig000000d1 ; + wire \blk00000003/sig000000d0 ; + wire \blk00000003/sig000000cf ; + wire \blk00000003/sig000000ce ; + wire \blk00000003/sig000000cd ; + wire \blk00000003/sig000000cc ; + wire \blk00000003/sig000000cb ; + wire \blk00000003/sig000000ca ; + wire \blk00000003/sig000000c9 ; + wire \blk00000003/sig000000c8 ; + wire \blk00000003/sig000000c7 ; + wire \blk00000003/sig000000c6 ; + wire \blk00000003/sig000000c5 ; + wire \blk00000003/sig000000c4 ; + wire \blk00000003/sig000000c3 ; + wire \blk00000003/sig000000c2 ; + wire \blk00000003/sig000000c1 ; + wire \blk00000003/sig000000c0 ; + wire \blk00000003/sig000000bf ; + wire \blk00000003/sig000000be ; + wire \blk00000003/sig000000bd ; + wire \blk00000003/sig000000bc ; + wire \blk00000003/sig000000bb ; + wire \blk00000003/sig000000ba ; + wire \blk00000003/sig000000b9 ; + wire \blk00000003/sig000000b8 ; + wire \blk00000003/sig000000b7 ; + wire \blk00000003/sig000000b6 ; + wire \blk00000003/sig000000b5 ; + wire \blk00000003/sig000000b4 ; + wire \blk00000003/sig000000b3 ; + wire \blk00000003/sig000000b2 ; + wire \blk00000003/sig000000b1 ; + wire \blk00000003/sig000000b0 ; + wire \blk00000003/sig000000af ; + wire \blk00000003/sig000000ae ; + wire \blk00000003/sig000000ad ; + wire \blk00000003/sig000000ac ; + wire \blk00000003/sig0000004a ; + wire \blk00000003/sig00000049 ; + wire \blk00000003/blk00000035/sig00000f29 ; + wire \blk00000003/blk00000035/sig00000f28 ; + wire \blk00000003/blk00000035/sig00000f27 ; + wire \blk00000003/blk00000035/sig00000f26 ; + wire \blk00000003/blk00000035/sig00000f25 ; + wire \blk00000003/blk00000035/sig00000f24 ; + wire \blk00000003/blk00000035/sig00000f23 ; + wire \blk00000003/blk00000035/sig00000f22 ; + wire \blk00000003/blk00000035/sig00000f21 ; + wire \blk00000003/blk00000035/sig00000f20 ; + wire \blk00000003/blk00000035/sig00000f1f ; + wire \blk00000003/blk00000035/sig00000f1e ; + wire \blk00000003/blk00000035/sig00000f1d ; + wire \blk00000003/blk00000035/sig00000f1c ; + wire \blk00000003/blk00000035/sig00000f1b ; + wire \blk00000003/blk00000035/sig00000f1a ; + wire \blk00000003/blk00000035/sig00000f19 ; + wire \blk00000003/blk00000035/sig00000f18 ; + wire \blk00000003/blk00000035/sig00000f17 ; + wire \blk00000003/blk00000035/sig00000f16 ; + wire \blk00000003/blk00000035/sig00000f15 ; + wire \blk00000003/blk00000035/sig00000f14 ; + wire \blk00000003/blk00000035/sig00000f13 ; + wire \blk00000003/blk00000035/sig00000f12 ; + wire \blk00000003/blk00000035/sig00000f11 ; + wire \blk00000003/blk00000035/sig00000f10 ; + wire \blk00000003/blk00000035/sig00000f0f ; + wire \blk00000003/blk00000035/sig00000f0e ; + wire \blk00000003/blk00000035/sig00000f0d ; + wire \blk00000003/blk00000035/sig00000f0c ; + wire \blk00000003/blk00000035/sig00000f0b ; + wire \blk00000003/blk00000035/sig00000f0a ; + wire \blk00000003/blk00000035/sig00000f09 ; + wire \blk00000003/blk00000035/sig00000f08 ; + wire \blk00000003/blk00000035/sig00000f07 ; + wire \blk00000003/blk00000035/sig00000f06 ; + wire \blk00000003/blk00000035/sig00000f05 ; + wire \blk00000003/blk00000035/sig00000f04 ; + wire \blk00000003/blk00000035/sig00000f03 ; + wire \blk00000003/blk00000035/sig00000f02 ; + wire \blk00000003/blk00000035/sig00000f01 ; + wire \blk00000003/blk00000035/sig00000f00 ; + wire \blk00000003/blk00000035/sig00000eff ; + wire \blk00000003/blk00000035/sig00000efe ; + wire \blk00000003/blk00000035/sig00000efd ; + wire \blk00000003/blk00000035/sig00000efc ; + wire \blk00000003/blk00000035/sig00000efb ; + wire \blk00000003/blk00000035/sig00000efa ; + wire \blk00000003/blk00000035/sig00000ef9 ; + wire \blk00000003/blk00000035/sig00000ef8 ; + wire \blk00000003/blk0000013d/sig00000f5f ; + wire \blk00000003/blk0000013d/sig00000f5e ; + wire \blk00000003/blk0000013d/sig00000f5d ; + wire \blk00000003/blk0000013d/sig00000f5c ; + wire \blk00000003/blk0000013d/sig00000f5b ; + wire \blk00000003/blk0000013d/sig00000f5a ; + wire \blk00000003/blk0000013d/sig00000f59 ; + wire \blk00000003/blk0000013d/sig00000f58 ; + wire \blk00000003/blk0000013d/sig00000f57 ; + wire \blk00000003/blk0000013d/sig00000f56 ; + wire \blk00000003/blk0000013d/sig00000f55 ; + wire \blk00000003/blk0000013d/sig00000f54 ; + wire \blk00000003/blk0000013d/sig00000f53 ; + wire \blk00000003/blk0000013d/sig00000f52 ; + wire \blk00000003/blk0000013d/sig00000f51 ; + wire \blk00000003/blk0000013d/sig00000f50 ; + wire \blk00000003/blk0000013d/sig00000f4f ; + wire \blk00000003/blk0000013d/sig00000f4e ; + wire \blk00000003/blk00000160/sig00000f95 ; + wire \blk00000003/blk00000160/sig00000f94 ; + wire \blk00000003/blk00000160/sig00000f93 ; + wire \blk00000003/blk00000160/sig00000f92 ; + wire \blk00000003/blk00000160/sig00000f91 ; + wire \blk00000003/blk00000160/sig00000f90 ; + wire \blk00000003/blk00000160/sig00000f8f ; + wire \blk00000003/blk00000160/sig00000f8e ; + wire \blk00000003/blk00000160/sig00000f8d ; + wire \blk00000003/blk00000160/sig00000f8c ; + wire \blk00000003/blk00000160/sig00000f8b ; + wire \blk00000003/blk00000160/sig00000f8a ; + wire \blk00000003/blk00000160/sig00000f89 ; + wire \blk00000003/blk00000160/sig00000f88 ; + wire \blk00000003/blk00000160/sig00000f87 ; + wire \blk00000003/blk00000160/sig00000f86 ; + wire \blk00000003/blk00000160/sig00000f85 ; + wire \blk00000003/blk00000160/sig00000f84 ; + wire \blk00000003/blk00000183/sig00000fcb ; + wire \blk00000003/blk00000183/sig00000fca ; + wire \blk00000003/blk00000183/sig00000fc9 ; + wire \blk00000003/blk00000183/sig00000fc8 ; + wire \blk00000003/blk00000183/sig00000fc7 ; + wire \blk00000003/blk00000183/sig00000fc6 ; + wire \blk00000003/blk00000183/sig00000fc5 ; + wire \blk00000003/blk00000183/sig00000fc4 ; + wire \blk00000003/blk00000183/sig00000fc3 ; + wire \blk00000003/blk00000183/sig00000fc2 ; + wire \blk00000003/blk00000183/sig00000fc1 ; + wire \blk00000003/blk00000183/sig00000fc0 ; + wire \blk00000003/blk00000183/sig00000fbf ; + wire \blk00000003/blk00000183/sig00000fbe ; + wire \blk00000003/blk00000183/sig00000fbd ; + wire \blk00000003/blk00000183/sig00000fbc ; + wire \blk00000003/blk00000183/sig00000fbb ; + wire \blk00000003/blk00000183/sig00000fba ; + wire \blk00000003/blk000001a6/sig00001001 ; + wire \blk00000003/blk000001a6/sig00001000 ; + wire \blk00000003/blk000001a6/sig00000fff ; + wire \blk00000003/blk000001a6/sig00000ffe ; + wire \blk00000003/blk000001a6/sig00000ffd ; + wire \blk00000003/blk000001a6/sig00000ffc ; + wire \blk00000003/blk000001a6/sig00000ffb ; + wire \blk00000003/blk000001a6/sig00000ffa ; + wire \blk00000003/blk000001a6/sig00000ff9 ; + wire \blk00000003/blk000001a6/sig00000ff8 ; + wire \blk00000003/blk000001a6/sig00000ff7 ; + wire \blk00000003/blk000001a6/sig00000ff6 ; + wire \blk00000003/blk000001a6/sig00000ff5 ; + wire \blk00000003/blk000001a6/sig00000ff4 ; + wire \blk00000003/blk000001a6/sig00000ff3 ; + wire \blk00000003/blk000001a6/sig00000ff2 ; + wire \blk00000003/blk000001a6/sig00000ff1 ; + wire \blk00000003/blk000001a6/sig00000ff0 ; + wire \blk00000003/blk000001c9/sig00001037 ; + wire \blk00000003/blk000001c9/sig00001036 ; + wire \blk00000003/blk000001c9/sig00001035 ; + wire \blk00000003/blk000001c9/sig00001034 ; + wire \blk00000003/blk000001c9/sig00001033 ; + wire \blk00000003/blk000001c9/sig00001032 ; + wire \blk00000003/blk000001c9/sig00001031 ; + wire \blk00000003/blk000001c9/sig00001030 ; + wire \blk00000003/blk000001c9/sig0000102f ; + wire \blk00000003/blk000001c9/sig0000102e ; + wire \blk00000003/blk000001c9/sig0000102d ; + wire \blk00000003/blk000001c9/sig0000102c ; + wire \blk00000003/blk000001c9/sig0000102b ; + wire \blk00000003/blk000001c9/sig0000102a ; + wire \blk00000003/blk000001c9/sig00001029 ; + wire \blk00000003/blk000001c9/sig00001028 ; + wire \blk00000003/blk000001c9/sig00001027 ; + wire \blk00000003/blk000001c9/sig00001026 ; + wire \blk00000003/blk000001ec/sig0000106d ; + wire \blk00000003/blk000001ec/sig0000106c ; + wire \blk00000003/blk000001ec/sig0000106b ; + wire \blk00000003/blk000001ec/sig0000106a ; + wire \blk00000003/blk000001ec/sig00001069 ; + wire \blk00000003/blk000001ec/sig00001068 ; + wire \blk00000003/blk000001ec/sig00001067 ; + wire \blk00000003/blk000001ec/sig00001066 ; + wire \blk00000003/blk000001ec/sig00001065 ; + wire \blk00000003/blk000001ec/sig00001064 ; + wire \blk00000003/blk000001ec/sig00001063 ; + wire \blk00000003/blk000001ec/sig00001062 ; + wire \blk00000003/blk000001ec/sig00001061 ; + wire \blk00000003/blk000001ec/sig00001060 ; + wire \blk00000003/blk000001ec/sig0000105f ; + wire \blk00000003/blk000001ec/sig0000105e ; + wire \blk00000003/blk000001ec/sig0000105d ; + wire \blk00000003/blk000001ec/sig0000105c ; + wire \blk00000003/blk0000020f/sig000010a3 ; + wire \blk00000003/blk0000020f/sig000010a2 ; + wire \blk00000003/blk0000020f/sig000010a1 ; + wire \blk00000003/blk0000020f/sig000010a0 ; + wire \blk00000003/blk0000020f/sig0000109f ; + wire \blk00000003/blk0000020f/sig0000109e ; + wire \blk00000003/blk0000020f/sig0000109d ; + wire \blk00000003/blk0000020f/sig0000109c ; + wire \blk00000003/blk0000020f/sig0000109b ; + wire \blk00000003/blk0000020f/sig0000109a ; + wire \blk00000003/blk0000020f/sig00001099 ; + wire \blk00000003/blk0000020f/sig00001098 ; + wire \blk00000003/blk0000020f/sig00001097 ; + wire \blk00000003/blk0000020f/sig00001096 ; + wire \blk00000003/blk0000020f/sig00001095 ; + wire \blk00000003/blk0000020f/sig00001094 ; + wire \blk00000003/blk0000020f/sig00001093 ; + wire \blk00000003/blk0000020f/sig00001092 ; + wire \blk00000003/blk00000232/sig000010d9 ; + wire \blk00000003/blk00000232/sig000010d8 ; + wire \blk00000003/blk00000232/sig000010d7 ; + wire \blk00000003/blk00000232/sig000010d6 ; + wire \blk00000003/blk00000232/sig000010d5 ; + wire \blk00000003/blk00000232/sig000010d4 ; + wire \blk00000003/blk00000232/sig000010d3 ; + wire \blk00000003/blk00000232/sig000010d2 ; + wire \blk00000003/blk00000232/sig000010d1 ; + wire \blk00000003/blk00000232/sig000010d0 ; + wire \blk00000003/blk00000232/sig000010cf ; + wire \blk00000003/blk00000232/sig000010ce ; + wire \blk00000003/blk00000232/sig000010cd ; + wire \blk00000003/blk00000232/sig000010cc ; + wire \blk00000003/blk00000232/sig000010cb ; + wire \blk00000003/blk00000232/sig000010ca ; + wire \blk00000003/blk00000232/sig000010c9 ; + wire \blk00000003/blk00000232/sig000010c8 ; + wire \blk00000003/blk00000255/sig0000110f ; + wire \blk00000003/blk00000255/sig0000110e ; + wire \blk00000003/blk00000255/sig0000110d ; + wire \blk00000003/blk00000255/sig0000110c ; + wire \blk00000003/blk00000255/sig0000110b ; + wire \blk00000003/blk00000255/sig0000110a ; + wire \blk00000003/blk00000255/sig00001109 ; + wire \blk00000003/blk00000255/sig00001108 ; + wire \blk00000003/blk00000255/sig00001107 ; + wire \blk00000003/blk00000255/sig00001106 ; + wire \blk00000003/blk00000255/sig00001105 ; + wire \blk00000003/blk00000255/sig00001104 ; + wire \blk00000003/blk00000255/sig00001103 ; + wire \blk00000003/blk00000255/sig00001102 ; + wire \blk00000003/blk00000255/sig00001101 ; + wire \blk00000003/blk00000255/sig00001100 ; + wire \blk00000003/blk00000255/sig000010ff ; + wire \blk00000003/blk00000255/sig000010fe ; + wire \blk00000003/blk00000278/sig00001145 ; + wire \blk00000003/blk00000278/sig00001144 ; + wire \blk00000003/blk00000278/sig00001143 ; + wire \blk00000003/blk00000278/sig00001142 ; + wire \blk00000003/blk00000278/sig00001141 ; + wire \blk00000003/blk00000278/sig00001140 ; + wire \blk00000003/blk00000278/sig0000113f ; + wire \blk00000003/blk00000278/sig0000113e ; + wire \blk00000003/blk00000278/sig0000113d ; + wire \blk00000003/blk00000278/sig0000113c ; + wire \blk00000003/blk00000278/sig0000113b ; + wire \blk00000003/blk00000278/sig0000113a ; + wire \blk00000003/blk00000278/sig00001139 ; + wire \blk00000003/blk00000278/sig00001138 ; + wire \blk00000003/blk00000278/sig00001137 ; + wire \blk00000003/blk00000278/sig00001136 ; + wire \blk00000003/blk00000278/sig00001135 ; + wire \blk00000003/blk00000278/sig00001134 ; + wire \blk00000003/blk0000029b/sig0000117b ; + wire \blk00000003/blk0000029b/sig0000117a ; + wire \blk00000003/blk0000029b/sig00001179 ; + wire \blk00000003/blk0000029b/sig00001178 ; + wire \blk00000003/blk0000029b/sig00001177 ; + wire \blk00000003/blk0000029b/sig00001176 ; + wire \blk00000003/blk0000029b/sig00001175 ; + wire \blk00000003/blk0000029b/sig00001174 ; + wire \blk00000003/blk0000029b/sig00001173 ; + wire \blk00000003/blk0000029b/sig00001172 ; + wire \blk00000003/blk0000029b/sig00001171 ; + wire \blk00000003/blk0000029b/sig00001170 ; + wire \blk00000003/blk0000029b/sig0000116f ; + wire \blk00000003/blk0000029b/sig0000116e ; + wire \blk00000003/blk0000029b/sig0000116d ; + wire \blk00000003/blk0000029b/sig0000116c ; + wire \blk00000003/blk0000029b/sig0000116b ; + wire \blk00000003/blk0000029b/sig0000116a ; + wire \blk00000003/blk000002be/sig000011b1 ; + wire \blk00000003/blk000002be/sig000011b0 ; + wire \blk00000003/blk000002be/sig000011af ; + wire \blk00000003/blk000002be/sig000011ae ; + wire \blk00000003/blk000002be/sig000011ad ; + wire \blk00000003/blk000002be/sig000011ac ; + wire \blk00000003/blk000002be/sig000011ab ; + wire \blk00000003/blk000002be/sig000011aa ; + wire \blk00000003/blk000002be/sig000011a9 ; + wire \blk00000003/blk000002be/sig000011a8 ; + wire \blk00000003/blk000002be/sig000011a7 ; + wire \blk00000003/blk000002be/sig000011a6 ; + wire \blk00000003/blk000002be/sig000011a5 ; + wire \blk00000003/blk000002be/sig000011a4 ; + wire \blk00000003/blk000002be/sig000011a3 ; + wire \blk00000003/blk000002be/sig000011a2 ; + wire \blk00000003/blk000002be/sig000011a1 ; + wire \blk00000003/blk000002be/sig000011a0 ; + wire \blk00000003/blk000002e1/sig000011e7 ; + wire \blk00000003/blk000002e1/sig000011e6 ; + wire \blk00000003/blk000002e1/sig000011e5 ; + wire \blk00000003/blk000002e1/sig000011e4 ; + wire \blk00000003/blk000002e1/sig000011e3 ; + wire \blk00000003/blk000002e1/sig000011e2 ; + wire \blk00000003/blk000002e1/sig000011e1 ; + wire \blk00000003/blk000002e1/sig000011e0 ; + wire \blk00000003/blk000002e1/sig000011df ; + wire \blk00000003/blk000002e1/sig000011de ; + wire \blk00000003/blk000002e1/sig000011dd ; + wire \blk00000003/blk000002e1/sig000011dc ; + wire \blk00000003/blk000002e1/sig000011db ; + wire \blk00000003/blk000002e1/sig000011da ; + wire \blk00000003/blk000002e1/sig000011d9 ; + wire \blk00000003/blk000002e1/sig000011d8 ; + wire \blk00000003/blk000002e1/sig000011d7 ; + wire \blk00000003/blk000002e1/sig000011d6 ; + wire \blk00000003/blk00000304/sig0000121d ; + wire \blk00000003/blk00000304/sig0000121c ; + wire \blk00000003/blk00000304/sig0000121b ; + wire \blk00000003/blk00000304/sig0000121a ; + wire \blk00000003/blk00000304/sig00001219 ; + wire \blk00000003/blk00000304/sig00001218 ; + wire \blk00000003/blk00000304/sig00001217 ; + wire \blk00000003/blk00000304/sig00001216 ; + wire \blk00000003/blk00000304/sig00001215 ; + wire \blk00000003/blk00000304/sig00001214 ; + wire \blk00000003/blk00000304/sig00001213 ; + wire \blk00000003/blk00000304/sig00001212 ; + wire \blk00000003/blk00000304/sig00001211 ; + wire \blk00000003/blk00000304/sig00001210 ; + wire \blk00000003/blk00000304/sig0000120f ; + wire \blk00000003/blk00000304/sig0000120e ; + wire \blk00000003/blk00000304/sig0000120d ; + wire \blk00000003/blk00000304/sig0000120c ; + wire \blk00000003/blk00000327/sig00001253 ; + wire \blk00000003/blk00000327/sig00001252 ; + wire \blk00000003/blk00000327/sig00001251 ; + wire \blk00000003/blk00000327/sig00001250 ; + wire \blk00000003/blk00000327/sig0000124f ; + wire \blk00000003/blk00000327/sig0000124e ; + wire \blk00000003/blk00000327/sig0000124d ; + wire \blk00000003/blk00000327/sig0000124c ; + wire \blk00000003/blk00000327/sig0000124b ; + wire \blk00000003/blk00000327/sig0000124a ; + wire \blk00000003/blk00000327/sig00001249 ; + wire \blk00000003/blk00000327/sig00001248 ; + wire \blk00000003/blk00000327/sig00001247 ; + wire \blk00000003/blk00000327/sig00001246 ; + wire \blk00000003/blk00000327/sig00001245 ; + wire \blk00000003/blk00000327/sig00001244 ; + wire \blk00000003/blk00000327/sig00001243 ; + wire \blk00000003/blk00000327/sig00001242 ; + wire \blk00000003/blk0000034a/sig00001289 ; + wire \blk00000003/blk0000034a/sig00001288 ; + wire \blk00000003/blk0000034a/sig00001287 ; + wire \blk00000003/blk0000034a/sig00001286 ; + wire \blk00000003/blk0000034a/sig00001285 ; + wire \blk00000003/blk0000034a/sig00001284 ; + wire \blk00000003/blk0000034a/sig00001283 ; + wire \blk00000003/blk0000034a/sig00001282 ; + wire \blk00000003/blk0000034a/sig00001281 ; + wire \blk00000003/blk0000034a/sig00001280 ; + wire \blk00000003/blk0000034a/sig0000127f ; + wire \blk00000003/blk0000034a/sig0000127e ; + wire \blk00000003/blk0000034a/sig0000127d ; + wire \blk00000003/blk0000034a/sig0000127c ; + wire \blk00000003/blk0000034a/sig0000127b ; + wire \blk00000003/blk0000034a/sig0000127a ; + wire \blk00000003/blk0000034a/sig00001279 ; + wire \blk00000003/blk0000034a/sig00001278 ; + wire \blk00000003/blk0000036d/sig000012bf ; + wire \blk00000003/blk0000036d/sig000012be ; + wire \blk00000003/blk0000036d/sig000012bd ; + wire \blk00000003/blk0000036d/sig000012bc ; + wire \blk00000003/blk0000036d/sig000012bb ; + wire \blk00000003/blk0000036d/sig000012ba ; + wire \blk00000003/blk0000036d/sig000012b9 ; + wire \blk00000003/blk0000036d/sig000012b8 ; + wire \blk00000003/blk0000036d/sig000012b7 ; + wire \blk00000003/blk0000036d/sig000012b6 ; + wire \blk00000003/blk0000036d/sig000012b5 ; + wire \blk00000003/blk0000036d/sig000012b4 ; + wire \blk00000003/blk0000036d/sig000012b3 ; + wire \blk00000003/blk0000036d/sig000012b2 ; + wire \blk00000003/blk0000036d/sig000012b1 ; + wire \blk00000003/blk0000036d/sig000012b0 ; + wire \blk00000003/blk0000036d/sig000012af ; + wire \blk00000003/blk0000036d/sig000012ae ; + wire \blk00000003/blk00000390/sig000012f5 ; + wire \blk00000003/blk00000390/sig000012f4 ; + wire \blk00000003/blk00000390/sig000012f3 ; + wire \blk00000003/blk00000390/sig000012f2 ; + wire \blk00000003/blk00000390/sig000012f1 ; + wire \blk00000003/blk00000390/sig000012f0 ; + wire \blk00000003/blk00000390/sig000012ef ; + wire \blk00000003/blk00000390/sig000012ee ; + wire \blk00000003/blk00000390/sig000012ed ; + wire \blk00000003/blk00000390/sig000012ec ; + wire \blk00000003/blk00000390/sig000012eb ; + wire \blk00000003/blk00000390/sig000012ea ; + wire \blk00000003/blk00000390/sig000012e9 ; + wire \blk00000003/blk00000390/sig000012e8 ; + wire \blk00000003/blk00000390/sig000012e7 ; + wire \blk00000003/blk00000390/sig000012e6 ; + wire \blk00000003/blk00000390/sig000012e5 ; + wire \blk00000003/blk00000390/sig000012e4 ; + wire \blk00000003/blk000003b3/sig0000132b ; + wire \blk00000003/blk000003b3/sig0000132a ; + wire \blk00000003/blk000003b3/sig00001329 ; + wire \blk00000003/blk000003b3/sig00001328 ; + wire \blk00000003/blk000003b3/sig00001327 ; + wire \blk00000003/blk000003b3/sig00001326 ; + wire \blk00000003/blk000003b3/sig00001325 ; + wire \blk00000003/blk000003b3/sig00001324 ; + wire \blk00000003/blk000003b3/sig00001323 ; + wire \blk00000003/blk000003b3/sig00001322 ; + wire \blk00000003/blk000003b3/sig00001321 ; + wire \blk00000003/blk000003b3/sig00001320 ; + wire \blk00000003/blk000003b3/sig0000131f ; + wire \blk00000003/blk000003b3/sig0000131e ; + wire \blk00000003/blk000003b3/sig0000131d ; + wire \blk00000003/blk000003b3/sig0000131c ; + wire \blk00000003/blk000003b3/sig0000131b ; + wire \blk00000003/blk000003b3/sig0000131a ; + wire \blk00000003/blk000003d6/sig00001361 ; + wire \blk00000003/blk000003d6/sig00001360 ; + wire \blk00000003/blk000003d6/sig0000135f ; + wire \blk00000003/blk000003d6/sig0000135e ; + wire \blk00000003/blk000003d6/sig0000135d ; + wire \blk00000003/blk000003d6/sig0000135c ; + wire \blk00000003/blk000003d6/sig0000135b ; + wire \blk00000003/blk000003d6/sig0000135a ; + wire \blk00000003/blk000003d6/sig00001359 ; + wire \blk00000003/blk000003d6/sig00001358 ; + wire \blk00000003/blk000003d6/sig00001357 ; + wire \blk00000003/blk000003d6/sig00001356 ; + wire \blk00000003/blk000003d6/sig00001355 ; + wire \blk00000003/blk000003d6/sig00001354 ; + wire \blk00000003/blk000003d6/sig00001353 ; + wire \blk00000003/blk000003d6/sig00001352 ; + wire \blk00000003/blk000003d6/sig00001351 ; + wire \blk00000003/blk000003d6/sig00001350 ; + wire \blk00000003/blk000003f9/sig00001397 ; + wire \blk00000003/blk000003f9/sig00001396 ; + wire \blk00000003/blk000003f9/sig00001395 ; + wire \blk00000003/blk000003f9/sig00001394 ; + wire \blk00000003/blk000003f9/sig00001393 ; + wire \blk00000003/blk000003f9/sig00001392 ; + wire \blk00000003/blk000003f9/sig00001391 ; + wire \blk00000003/blk000003f9/sig00001390 ; + wire \blk00000003/blk000003f9/sig0000138f ; + wire \blk00000003/blk000003f9/sig0000138e ; + wire \blk00000003/blk000003f9/sig0000138d ; + wire \blk00000003/blk000003f9/sig0000138c ; + wire \blk00000003/blk000003f9/sig0000138b ; + wire \blk00000003/blk000003f9/sig0000138a ; + wire \blk00000003/blk000003f9/sig00001389 ; + wire \blk00000003/blk000003f9/sig00001388 ; + wire \blk00000003/blk000003f9/sig00001387 ; + wire \blk00000003/blk000003f9/sig00001386 ; + wire \blk00000003/blk0000041c/sig000013cd ; + wire \blk00000003/blk0000041c/sig000013cc ; + wire \blk00000003/blk0000041c/sig000013cb ; + wire \blk00000003/blk0000041c/sig000013ca ; + wire \blk00000003/blk0000041c/sig000013c9 ; + wire \blk00000003/blk0000041c/sig000013c8 ; + wire \blk00000003/blk0000041c/sig000013c7 ; + wire \blk00000003/blk0000041c/sig000013c6 ; + wire \blk00000003/blk0000041c/sig000013c5 ; + wire \blk00000003/blk0000041c/sig000013c4 ; + wire \blk00000003/blk0000041c/sig000013c3 ; + wire \blk00000003/blk0000041c/sig000013c2 ; + wire \blk00000003/blk0000041c/sig000013c1 ; + wire \blk00000003/blk0000041c/sig000013c0 ; + wire \blk00000003/blk0000041c/sig000013bf ; + wire \blk00000003/blk0000041c/sig000013be ; + wire \blk00000003/blk0000041c/sig000013bd ; + wire \blk00000003/blk0000041c/sig000013bc ; + wire \blk00000003/blk0000043f/sig00001403 ; + wire \blk00000003/blk0000043f/sig00001402 ; + wire \blk00000003/blk0000043f/sig00001401 ; + wire \blk00000003/blk0000043f/sig00001400 ; + wire \blk00000003/blk0000043f/sig000013ff ; + wire \blk00000003/blk0000043f/sig000013fe ; + wire \blk00000003/blk0000043f/sig000013fd ; + wire \blk00000003/blk0000043f/sig000013fc ; + wire \blk00000003/blk0000043f/sig000013fb ; + wire \blk00000003/blk0000043f/sig000013fa ; + wire \blk00000003/blk0000043f/sig000013f9 ; + wire \blk00000003/blk0000043f/sig000013f8 ; + wire \blk00000003/blk0000043f/sig000013f7 ; + wire \blk00000003/blk0000043f/sig000013f6 ; + wire \blk00000003/blk0000043f/sig000013f5 ; + wire \blk00000003/blk0000043f/sig000013f4 ; + wire \blk00000003/blk0000043f/sig000013f3 ; + wire \blk00000003/blk0000043f/sig000013f2 ; + wire \blk00000003/blk00000462/sig00001439 ; + wire \blk00000003/blk00000462/sig00001438 ; + wire \blk00000003/blk00000462/sig00001437 ; + wire \blk00000003/blk00000462/sig00001436 ; + wire \blk00000003/blk00000462/sig00001435 ; + wire \blk00000003/blk00000462/sig00001434 ; + wire \blk00000003/blk00000462/sig00001433 ; + wire \blk00000003/blk00000462/sig00001432 ; + wire \blk00000003/blk00000462/sig00001431 ; + wire \blk00000003/blk00000462/sig00001430 ; + wire \blk00000003/blk00000462/sig0000142f ; + wire \blk00000003/blk00000462/sig0000142e ; + wire \blk00000003/blk00000462/sig0000142d ; + wire \blk00000003/blk00000462/sig0000142c ; + wire \blk00000003/blk00000462/sig0000142b ; + wire \blk00000003/blk00000462/sig0000142a ; + wire \blk00000003/blk00000462/sig00001429 ; + wire \blk00000003/blk00000462/sig00001428 ; + wire \blk00000003/blk00000485/sig0000149c ; + wire \blk00000003/blk00000485/sig0000149b ; + wire \blk00000003/blk00000485/sig0000149a ; + wire \blk00000003/blk00000485/sig00001499 ; + wire \blk00000003/blk00000485/sig00001498 ; + wire \blk00000003/blk00000485/sig00001497 ; + wire \blk00000003/blk00000485/sig00001496 ; + wire \blk00000003/blk00000485/sig00001495 ; + wire \blk00000003/blk00000485/sig00001494 ; + wire \blk00000003/blk00000485/sig00001493 ; + wire \blk00000003/blk00000485/sig00001492 ; + wire \blk00000003/blk00000485/sig00001491 ; + wire \blk00000003/blk00000485/sig00001490 ; + wire \blk00000003/blk00000485/sig0000148f ; + wire \blk00000003/blk00000485/sig0000148e ; + wire \blk00000003/blk00000485/sig0000148d ; + wire \blk00000003/blk00000485/sig0000148c ; + wire \blk00000003/blk00000485/sig0000148b ; + wire \blk00000003/blk00000485/sig0000148a ; + wire \blk00000003/blk00000485/sig00001489 ; + wire \blk00000003/blk00000485/sig00001488 ; + wire \blk00000003/blk00000485/sig00001487 ; + wire \blk00000003/blk00000485/sig00001486 ; + wire \blk00000003/blk00000485/sig00001485 ; + wire \blk00000003/blk00000485/sig00001484 ; + wire \blk00000003/blk00000485/sig00001483 ; + wire \blk00000003/blk00000485/sig00001482 ; + wire \blk00000003/blk00000485/sig00001481 ; + wire \blk00000003/blk00000485/sig00001480 ; + wire \blk00000003/blk00000485/sig0000147f ; + wire \blk00000003/blk00000485/sig0000147e ; + wire \blk00000003/blk00000485/sig0000147d ; + wire \blk00000003/blk00000485/sig0000147c ; + wire \blk00000003/blk00000485/sig0000147b ; + wire \blk00000003/blk00000485/sig0000147a ; + wire \blk00000003/blk00000485/sig00001479 ; + wire \blk00000003/blk00000485/sig00001478 ; + wire \blk00000003/blk00000485/sig00001477 ; + wire \blk00000003/blk000004be/sig000014ff ; + wire \blk00000003/blk000004be/sig000014fe ; + wire \blk00000003/blk000004be/sig000014fd ; + wire \blk00000003/blk000004be/sig000014fc ; + wire \blk00000003/blk000004be/sig000014fb ; + wire \blk00000003/blk000004be/sig000014fa ; + wire \blk00000003/blk000004be/sig000014f9 ; + wire \blk00000003/blk000004be/sig000014f8 ; + wire \blk00000003/blk000004be/sig000014f7 ; + wire \blk00000003/blk000004be/sig000014f6 ; + wire \blk00000003/blk000004be/sig000014f5 ; + wire \blk00000003/blk000004be/sig000014f4 ; + wire \blk00000003/blk000004be/sig000014f3 ; + wire \blk00000003/blk000004be/sig000014f2 ; + wire \blk00000003/blk000004be/sig000014f1 ; + wire \blk00000003/blk000004be/sig000014f0 ; + wire \blk00000003/blk000004be/sig000014ef ; + wire \blk00000003/blk000004be/sig000014ee ; + wire \blk00000003/blk000004be/sig000014ed ; + wire \blk00000003/blk000004be/sig000014ec ; + wire \blk00000003/blk000004be/sig000014eb ; + wire \blk00000003/blk000004be/sig000014ea ; + wire \blk00000003/blk000004be/sig000014e9 ; + wire \blk00000003/blk000004be/sig000014e8 ; + wire \blk00000003/blk000004be/sig000014e7 ; + wire \blk00000003/blk000004be/sig000014e6 ; + wire \blk00000003/blk000004be/sig000014e5 ; + wire \blk00000003/blk000004be/sig000014e4 ; + wire \blk00000003/blk000004be/sig000014e3 ; + wire \blk00000003/blk000004be/sig000014e2 ; + wire \blk00000003/blk000004be/sig000014e1 ; + wire \blk00000003/blk000004be/sig000014e0 ; + wire \blk00000003/blk000004be/sig000014df ; + wire \blk00000003/blk000004be/sig000014de ; + wire \blk00000003/blk000004be/sig000014dd ; + wire \blk00000003/blk000004be/sig000014dc ; + wire \blk00000003/blk000004be/sig000014db ; + wire \blk00000003/blk000004be/sig000014da ; + wire \blk00000003/blk000004f7/sig00001562 ; + wire \blk00000003/blk000004f7/sig00001561 ; + wire \blk00000003/blk000004f7/sig00001560 ; + wire \blk00000003/blk000004f7/sig0000155f ; + wire \blk00000003/blk000004f7/sig0000155e ; + wire \blk00000003/blk000004f7/sig0000155d ; + wire \blk00000003/blk000004f7/sig0000155c ; + wire \blk00000003/blk000004f7/sig0000155b ; + wire \blk00000003/blk000004f7/sig0000155a ; + wire \blk00000003/blk000004f7/sig00001559 ; + wire \blk00000003/blk000004f7/sig00001558 ; + wire \blk00000003/blk000004f7/sig00001557 ; + wire \blk00000003/blk000004f7/sig00001556 ; + wire \blk00000003/blk000004f7/sig00001555 ; + wire \blk00000003/blk000004f7/sig00001554 ; + wire \blk00000003/blk000004f7/sig00001553 ; + wire \blk00000003/blk000004f7/sig00001552 ; + wire \blk00000003/blk000004f7/sig00001551 ; + wire \blk00000003/blk000004f7/sig00001550 ; + wire \blk00000003/blk000004f7/sig0000154f ; + wire \blk00000003/blk000004f7/sig0000154e ; + wire \blk00000003/blk000004f7/sig0000154d ; + wire \blk00000003/blk000004f7/sig0000154c ; + wire \blk00000003/blk000004f7/sig0000154b ; + wire \blk00000003/blk000004f7/sig0000154a ; + wire \blk00000003/blk000004f7/sig00001549 ; + wire \blk00000003/blk000004f7/sig00001548 ; + wire \blk00000003/blk000004f7/sig00001547 ; + wire \blk00000003/blk000004f7/sig00001546 ; + wire \blk00000003/blk000004f7/sig00001545 ; + wire \blk00000003/blk000004f7/sig00001544 ; + wire \blk00000003/blk000004f7/sig00001543 ; + wire \blk00000003/blk000004f7/sig00001542 ; + wire \blk00000003/blk000004f7/sig00001541 ; + wire \blk00000003/blk000004f7/sig00001540 ; + wire \blk00000003/blk000004f7/sig0000153f ; + wire \blk00000003/blk000004f7/sig0000153e ; + wire \blk00000003/blk000004f7/sig0000153d ; + wire \blk00000003/blk00000530/sig000015c5 ; + wire \blk00000003/blk00000530/sig000015c4 ; + wire \blk00000003/blk00000530/sig000015c3 ; + wire \blk00000003/blk00000530/sig000015c2 ; + wire \blk00000003/blk00000530/sig000015c1 ; + wire \blk00000003/blk00000530/sig000015c0 ; + wire \blk00000003/blk00000530/sig000015bf ; + wire \blk00000003/blk00000530/sig000015be ; + wire \blk00000003/blk00000530/sig000015bd ; + wire \blk00000003/blk00000530/sig000015bc ; + wire \blk00000003/blk00000530/sig000015bb ; + wire \blk00000003/blk00000530/sig000015ba ; + wire \blk00000003/blk00000530/sig000015b9 ; + wire \blk00000003/blk00000530/sig000015b8 ; + wire \blk00000003/blk00000530/sig000015b7 ; + wire \blk00000003/blk00000530/sig000015b6 ; + wire \blk00000003/blk00000530/sig000015b5 ; + wire \blk00000003/blk00000530/sig000015b4 ; + wire \blk00000003/blk00000530/sig000015b3 ; + wire \blk00000003/blk00000530/sig000015b2 ; + wire \blk00000003/blk00000530/sig000015b1 ; + wire \blk00000003/blk00000530/sig000015b0 ; + wire \blk00000003/blk00000530/sig000015af ; + wire \blk00000003/blk00000530/sig000015ae ; + wire \blk00000003/blk00000530/sig000015ad ; + wire \blk00000003/blk00000530/sig000015ac ; + wire \blk00000003/blk00000530/sig000015ab ; + wire \blk00000003/blk00000530/sig000015aa ; + wire \blk00000003/blk00000530/sig000015a9 ; + wire \blk00000003/blk00000530/sig000015a8 ; + wire \blk00000003/blk00000530/sig000015a7 ; + wire \blk00000003/blk00000530/sig000015a6 ; + wire \blk00000003/blk00000530/sig000015a5 ; + wire \blk00000003/blk00000530/sig000015a4 ; + wire \blk00000003/blk00000530/sig000015a3 ; + wire \blk00000003/blk00000530/sig000015a2 ; + wire \blk00000003/blk00000530/sig000015a1 ; + wire \blk00000003/blk00000530/sig000015a0 ; + wire \blk00000003/blk00000569/sig00001628 ; + wire \blk00000003/blk00000569/sig00001627 ; + wire \blk00000003/blk00000569/sig00001626 ; + wire \blk00000003/blk00000569/sig00001625 ; + wire \blk00000003/blk00000569/sig00001624 ; + wire \blk00000003/blk00000569/sig00001623 ; + wire \blk00000003/blk00000569/sig00001622 ; + wire \blk00000003/blk00000569/sig00001621 ; + wire \blk00000003/blk00000569/sig00001620 ; + wire \blk00000003/blk00000569/sig0000161f ; + wire \blk00000003/blk00000569/sig0000161e ; + wire \blk00000003/blk00000569/sig0000161d ; + wire \blk00000003/blk00000569/sig0000161c ; + wire \blk00000003/blk00000569/sig0000161b ; + wire \blk00000003/blk00000569/sig0000161a ; + wire \blk00000003/blk00000569/sig00001619 ; + wire \blk00000003/blk00000569/sig00001618 ; + wire \blk00000003/blk00000569/sig00001617 ; + wire \blk00000003/blk00000569/sig00001616 ; + wire \blk00000003/blk00000569/sig00001615 ; + wire \blk00000003/blk00000569/sig00001614 ; + wire \blk00000003/blk00000569/sig00001613 ; + wire \blk00000003/blk00000569/sig00001612 ; + wire \blk00000003/blk00000569/sig00001611 ; + wire \blk00000003/blk00000569/sig00001610 ; + wire \blk00000003/blk00000569/sig0000160f ; + wire \blk00000003/blk00000569/sig0000160e ; + wire \blk00000003/blk00000569/sig0000160d ; + wire \blk00000003/blk00000569/sig0000160c ; + wire \blk00000003/blk00000569/sig0000160b ; + wire \blk00000003/blk00000569/sig0000160a ; + wire \blk00000003/blk00000569/sig00001609 ; + wire \blk00000003/blk00000569/sig00001608 ; + wire \blk00000003/blk00000569/sig00001607 ; + wire \blk00000003/blk00000569/sig00001606 ; + wire \blk00000003/blk00000569/sig00001605 ; + wire \blk00000003/blk00000569/sig00001604 ; + wire \blk00000003/blk00000569/sig00001603 ; + wire \blk00000003/blk000005a2/sig00001667 ; + wire \blk00000003/blk000005a2/sig00001666 ; + wire \blk00000003/blk000005a2/sig00001665 ; + wire \blk00000003/blk000005a2/sig00001664 ; + wire \blk00000003/blk000005a2/sig00001663 ; + wire \blk00000003/blk000005a2/sig00001662 ; + wire \blk00000003/blk000005a2/sig00001661 ; + wire \blk00000003/blk000005a2/sig00001660 ; + wire \blk00000003/blk000005a2/sig0000165f ; + wire \blk00000003/blk000005a2/sig0000165e ; + wire \blk00000003/blk000005a2/sig0000165d ; + wire \blk00000003/blk000005a2/sig0000165c ; + wire \blk00000003/blk000005a2/sig0000165b ; + wire \blk00000003/blk000005a2/sig0000165a ; + wire \blk00000003/blk000005a2/sig00001659 ; + wire \blk00000003/blk000005a2/sig00001658 ; + wire \blk00000003/blk000005a2/sig00001657 ; + wire \blk00000003/blk000005a2/sig00001656 ; + wire \blk00000003/blk000005a2/sig00001655 ; + wire \blk00000003/blk000005a2/sig00001654 ; + wire \blk00000003/blk000005c9/sig00001685 ; + wire \blk00000003/blk000005c9/sig00001684 ; + wire \blk00000003/blk000005c9/sig00001683 ; + wire \blk00000003/blk000005c9/sig00001682 ; + wire \blk00000003/blk000005c9/sig00001681 ; + wire \blk00000003/blk000005c9/sig00001680 ; + wire \blk00000003/blk000005c9/sig0000167f ; + wire \blk00000003/blk000005c9/sig0000167e ; + wire \blk00000003/blk000005c9/sig0000167d ; + wire \blk00000003/blk000005c9/sig0000167c ; + wire \blk00000003/blk000005dc/sig000016a3 ; + wire \blk00000003/blk000005dc/sig000016a2 ; + wire \blk00000003/blk000005dc/sig000016a1 ; + wire \blk00000003/blk000005dc/sig000016a0 ; + wire \blk00000003/blk000005dc/sig0000169f ; + wire \blk00000003/blk000005dc/sig0000169e ; + wire \blk00000003/blk000005dc/sig0000169d ; + wire \blk00000003/blk000005dc/sig0000169c ; + wire \blk00000003/blk000005dc/sig0000169b ; + wire \blk00000003/blk000005dc/sig0000169a ; + wire \blk00000003/blk000005ef/sig000016c1 ; + wire \blk00000003/blk000005ef/sig000016c0 ; + wire \blk00000003/blk000005ef/sig000016bf ; + wire \blk00000003/blk000005ef/sig000016be ; + wire \blk00000003/blk000005ef/sig000016bd ; + wire \blk00000003/blk000005ef/sig000016bc ; + wire \blk00000003/blk000005ef/sig000016bb ; + wire \blk00000003/blk000005ef/sig000016ba ; + wire \blk00000003/blk000005ef/sig000016b9 ; + wire \blk00000003/blk000005ef/sig000016b8 ; + wire \blk00000003/blk00000602/sig000016df ; + wire \blk00000003/blk00000602/sig000016de ; + wire \blk00000003/blk00000602/sig000016dd ; + wire \blk00000003/blk00000602/sig000016dc ; + wire \blk00000003/blk00000602/sig000016db ; + wire \blk00000003/blk00000602/sig000016da ; + wire \blk00000003/blk00000602/sig000016d9 ; + wire \blk00000003/blk00000602/sig000016d8 ; + wire \blk00000003/blk00000602/sig000016d7 ; + wire \blk00000003/blk00000602/sig000016d6 ; + wire \blk00000003/blk00000615/sig000016fd ; + wire \blk00000003/blk00000615/sig000016fc ; + wire \blk00000003/blk00000615/sig000016fb ; + wire \blk00000003/blk00000615/sig000016fa ; + wire \blk00000003/blk00000615/sig000016f9 ; + wire \blk00000003/blk00000615/sig000016f8 ; + wire \blk00000003/blk00000615/sig000016f7 ; + wire \blk00000003/blk00000615/sig000016f6 ; + wire \blk00000003/blk00000615/sig000016f5 ; + wire \blk00000003/blk00000615/sig000016f4 ; + wire \blk00000003/blk00000628/sig0000171b ; + wire \blk00000003/blk00000628/sig0000171a ; + wire \blk00000003/blk00000628/sig00001719 ; + wire \blk00000003/blk00000628/sig00001718 ; + wire \blk00000003/blk00000628/sig00001717 ; + wire \blk00000003/blk00000628/sig00001716 ; + wire \blk00000003/blk00000628/sig00001715 ; + wire \blk00000003/blk00000628/sig00001714 ; + wire \blk00000003/blk00000628/sig00001713 ; + wire \blk00000003/blk00000628/sig00001712 ; + wire \blk00000003/blk0000063b/sig00001739 ; + wire \blk00000003/blk0000063b/sig00001738 ; + wire \blk00000003/blk0000063b/sig00001737 ; + wire \blk00000003/blk0000063b/sig00001736 ; + wire \blk00000003/blk0000063b/sig00001735 ; + wire \blk00000003/blk0000063b/sig00001734 ; + wire \blk00000003/blk0000063b/sig00001733 ; + wire \blk00000003/blk0000063b/sig00001732 ; + wire \blk00000003/blk0000063b/sig00001731 ; + wire \blk00000003/blk0000063b/sig00001730 ; + wire \blk00000003/blk0000064e/sig00001757 ; + wire \blk00000003/blk0000064e/sig00001756 ; + wire \blk00000003/blk0000064e/sig00001755 ; + wire \blk00000003/blk0000064e/sig00001754 ; + wire \blk00000003/blk0000064e/sig00001753 ; + wire \blk00000003/blk0000064e/sig00001752 ; + wire \blk00000003/blk0000064e/sig00001751 ; + wire \blk00000003/blk0000064e/sig00001750 ; + wire \blk00000003/blk0000064e/sig0000174f ; + wire \blk00000003/blk0000064e/sig0000174e ; + wire \blk00000003/blk00000661/sig00001775 ; + wire \blk00000003/blk00000661/sig00001774 ; + wire \blk00000003/blk00000661/sig00001773 ; + wire \blk00000003/blk00000661/sig00001772 ; + wire \blk00000003/blk00000661/sig00001771 ; + wire \blk00000003/blk00000661/sig00001770 ; + wire \blk00000003/blk00000661/sig0000176f ; + wire \blk00000003/blk00000661/sig0000176e ; + wire \blk00000003/blk00000661/sig0000176d ; + wire \blk00000003/blk00000661/sig0000176c ; + wire \blk00000003/blk00000674/sig00001793 ; + wire \blk00000003/blk00000674/sig00001792 ; + wire \blk00000003/blk00000674/sig00001791 ; + wire \blk00000003/blk00000674/sig00001790 ; + wire \blk00000003/blk00000674/sig0000178f ; + wire \blk00000003/blk00000674/sig0000178e ; + wire \blk00000003/blk00000674/sig0000178d ; + wire \blk00000003/blk00000674/sig0000178c ; + wire \blk00000003/blk00000674/sig0000178b ; + wire \blk00000003/blk00000674/sig0000178a ; + wire \blk00000003/blk00000687/sig000017b1 ; + wire \blk00000003/blk00000687/sig000017b0 ; + wire \blk00000003/blk00000687/sig000017af ; + wire \blk00000003/blk00000687/sig000017ae ; + wire \blk00000003/blk00000687/sig000017ad ; + wire \blk00000003/blk00000687/sig000017ac ; + wire \blk00000003/blk00000687/sig000017ab ; + wire \blk00000003/blk00000687/sig000017aa ; + wire \blk00000003/blk00000687/sig000017a9 ; + wire \blk00000003/blk00000687/sig000017a8 ; + wire \blk00000003/blk0000069a/sig000017cf ; + wire \blk00000003/blk0000069a/sig000017ce ; + wire \blk00000003/blk0000069a/sig000017cd ; + wire \blk00000003/blk0000069a/sig000017cc ; + wire \blk00000003/blk0000069a/sig000017cb ; + wire \blk00000003/blk0000069a/sig000017ca ; + wire \blk00000003/blk0000069a/sig000017c9 ; + wire \blk00000003/blk0000069a/sig000017c8 ; + wire \blk00000003/blk0000069a/sig000017c7 ; + wire \blk00000003/blk0000069a/sig000017c6 ; + wire \blk00000003/blk000006ad/sig000017ed ; + wire \blk00000003/blk000006ad/sig000017ec ; + wire \blk00000003/blk000006ad/sig000017eb ; + wire \blk00000003/blk000006ad/sig000017ea ; + wire \blk00000003/blk000006ad/sig000017e9 ; + wire \blk00000003/blk000006ad/sig000017e8 ; + wire \blk00000003/blk000006ad/sig000017e7 ; + wire \blk00000003/blk000006ad/sig000017e6 ; + wire \blk00000003/blk000006ad/sig000017e5 ; + wire \blk00000003/blk000006ad/sig000017e4 ; + wire \blk00000003/blk000006c0/sig0000180b ; + wire \blk00000003/blk000006c0/sig0000180a ; + wire \blk00000003/blk000006c0/sig00001809 ; + wire \blk00000003/blk000006c0/sig00001808 ; + wire \blk00000003/blk000006c0/sig00001807 ; + wire \blk00000003/blk000006c0/sig00001806 ; + wire \blk00000003/blk000006c0/sig00001805 ; + wire \blk00000003/blk000006c0/sig00001804 ; + wire \blk00000003/blk000006c0/sig00001803 ; + wire \blk00000003/blk000006c0/sig00001802 ; + wire \blk00000003/blk000006d3/sig00001829 ; + wire \blk00000003/blk000006d3/sig00001828 ; + wire \blk00000003/blk000006d3/sig00001827 ; + wire \blk00000003/blk000006d3/sig00001826 ; + wire \blk00000003/blk000006d3/sig00001825 ; + wire \blk00000003/blk000006d3/sig00001824 ; + wire \blk00000003/blk000006d3/sig00001823 ; + wire \blk00000003/blk000006d3/sig00001822 ; + wire \blk00000003/blk000006d3/sig00001821 ; + wire \blk00000003/blk000006d3/sig00001820 ; + wire \blk00000003/blk000006e6/sig00001847 ; + wire \blk00000003/blk000006e6/sig00001846 ; + wire \blk00000003/blk000006e6/sig00001845 ; + wire \blk00000003/blk000006e6/sig00001844 ; + wire \blk00000003/blk000006e6/sig00001843 ; + wire \blk00000003/blk000006e6/sig00001842 ; + wire \blk00000003/blk000006e6/sig00001841 ; + wire \blk00000003/blk000006e6/sig00001840 ; + wire \blk00000003/blk000006e6/sig0000183f ; + wire \blk00000003/blk000006e6/sig0000183e ; + wire \blk00000003/blk000006f9/sig00001865 ; + wire \blk00000003/blk000006f9/sig00001864 ; + wire \blk00000003/blk000006f9/sig00001863 ; + wire \blk00000003/blk000006f9/sig00001862 ; + wire \blk00000003/blk000006f9/sig00001861 ; + wire \blk00000003/blk000006f9/sig00001860 ; + wire \blk00000003/blk000006f9/sig0000185f ; + wire \blk00000003/blk000006f9/sig0000185e ; + wire \blk00000003/blk000006f9/sig0000185d ; + wire \blk00000003/blk000006f9/sig0000185c ; + wire \blk00000003/blk0000070c/sig00001883 ; + wire \blk00000003/blk0000070c/sig00001882 ; + wire \blk00000003/blk0000070c/sig00001881 ; + wire \blk00000003/blk0000070c/sig00001880 ; + wire \blk00000003/blk0000070c/sig0000187f ; + wire \blk00000003/blk0000070c/sig0000187e ; + wire \blk00000003/blk0000070c/sig0000187d ; + wire \blk00000003/blk0000070c/sig0000187c ; + wire \blk00000003/blk0000070c/sig0000187b ; + wire \blk00000003/blk0000070c/sig0000187a ; + wire \blk00000003/blk0000071f/sig000018a1 ; + wire \blk00000003/blk0000071f/sig000018a0 ; + wire \blk00000003/blk0000071f/sig0000189f ; + wire \blk00000003/blk0000071f/sig0000189e ; + wire \blk00000003/blk0000071f/sig0000189d ; + wire \blk00000003/blk0000071f/sig0000189c ; + wire \blk00000003/blk0000071f/sig0000189b ; + wire \blk00000003/blk0000071f/sig0000189a ; + wire \blk00000003/blk0000071f/sig00001899 ; + wire \blk00000003/blk0000071f/sig00001898 ; + wire \blk00000003/blk00000732/sig000018bf ; + wire \blk00000003/blk00000732/sig000018be ; + wire \blk00000003/blk00000732/sig000018bd ; + wire \blk00000003/blk00000732/sig000018bc ; + wire \blk00000003/blk00000732/sig000018bb ; + wire \blk00000003/blk00000732/sig000018ba ; + wire \blk00000003/blk00000732/sig000018b9 ; + wire \blk00000003/blk00000732/sig000018b8 ; + wire \blk00000003/blk00000732/sig000018b7 ; + wire \blk00000003/blk00000732/sig000018b6 ; + wire \blk00000003/blk00000745/sig000018dd ; + wire \blk00000003/blk00000745/sig000018dc ; + wire \blk00000003/blk00000745/sig000018db ; + wire \blk00000003/blk00000745/sig000018da ; + wire \blk00000003/blk00000745/sig000018d9 ; + wire \blk00000003/blk00000745/sig000018d8 ; + wire \blk00000003/blk00000745/sig000018d7 ; + wire \blk00000003/blk00000745/sig000018d6 ; + wire \blk00000003/blk00000745/sig000018d5 ; + wire \blk00000003/blk00000745/sig000018d4 ; + wire \blk00000003/blk00000758/sig000018fb ; + wire \blk00000003/blk00000758/sig000018fa ; + wire \blk00000003/blk00000758/sig000018f9 ; + wire \blk00000003/blk00000758/sig000018f8 ; + wire \blk00000003/blk00000758/sig000018f7 ; + wire \blk00000003/blk00000758/sig000018f6 ; + wire \blk00000003/blk00000758/sig000018f5 ; + wire \blk00000003/blk00000758/sig000018f4 ; + wire \blk00000003/blk00000758/sig000018f3 ; + wire \blk00000003/blk00000758/sig000018f2 ; + wire \blk00000003/blk0000076b/sig00001919 ; + wire \blk00000003/blk0000076b/sig00001918 ; + wire \blk00000003/blk0000076b/sig00001917 ; + wire \blk00000003/blk0000076b/sig00001916 ; + wire \blk00000003/blk0000076b/sig00001915 ; + wire \blk00000003/blk0000076b/sig00001914 ; + wire \blk00000003/blk0000076b/sig00001913 ; + wire \blk00000003/blk0000076b/sig00001912 ; + wire \blk00000003/blk0000076b/sig00001911 ; + wire \blk00000003/blk0000076b/sig00001910 ; + wire \blk00000003/blk0000077e/sig00001937 ; + wire \blk00000003/blk0000077e/sig00001936 ; + wire \blk00000003/blk0000077e/sig00001935 ; + wire \blk00000003/blk0000077e/sig00001934 ; + wire \blk00000003/blk0000077e/sig00001933 ; + wire \blk00000003/blk0000077e/sig00001932 ; + wire \blk00000003/blk0000077e/sig00001931 ; + wire \blk00000003/blk0000077e/sig00001930 ; + wire \blk00000003/blk0000077e/sig0000192f ; + wire \blk00000003/blk0000077e/sig0000192e ; + wire \blk00000003/blk000007c1/sig00001974 ; + wire \blk00000003/blk000007c1/sig00001973 ; + wire \blk00000003/blk000007c1/sig00001972 ; + wire \blk00000003/blk000007c1/sig00001971 ; + wire \blk00000003/blk000007c1/sig00001970 ; + wire \blk00000003/blk000007c1/sig0000196f ; + wire \blk00000003/blk000007c1/sig0000196e ; + wire \blk00000003/blk000007c1/sig0000196d ; + wire \blk00000003/blk000007c1/sig0000196c ; + wire \blk00000003/blk000007c1/sig0000196b ; + wire \blk00000003/blk000007c1/sig0000196a ; + wire \blk00000003/blk000007c1/sig00001969 ; + wire \blk00000003/blk000007c1/sig00001968 ; + wire \blk00000003/blk000007c1/sig00001967 ; + wire \blk00000003/blk000007c1/sig00001966 ; + wire \blk00000003/blk000007c1/sig00001965 ; + wire \blk00000003/blk000007c1/sig00001964 ; + wire \blk00000003/blk000007c1/sig00001963 ; + wire \blk00000003/blk000007c1/sig00001962 ; + wire \blk00000003/blk000007c1/sig00001961 ; + wire NLW_blk00000001_P_UNCONNECTED; + wire NLW_blk00000002_G_UNCONNECTED; + wire \NLW_blk00000003/blk00000cc3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cc1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cbf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cbd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cbb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cb9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cb7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cb5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cb3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cb1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000caf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ca9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ca7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ca5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ca3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ca1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c9f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c9d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c9b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c99_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c97_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c95_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c93_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c91_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c8f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c8d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c8b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c89_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c87_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c85_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c83_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c81_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c7f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c7d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c7b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c79_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c77_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c75_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c73_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c71_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c6f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c6d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c6b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c69_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c67_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c65_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c63_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c61_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c5f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c5d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c5b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c59_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c57_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c55_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c53_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c51_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c4f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c4d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c4b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c49_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c47_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c45_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c43_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c41_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c3f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c3d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c3b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c39_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c37_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c35_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c33_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c31_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c2f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c2d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c2b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c29_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c27_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c25_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c23_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c21_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c1f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c1d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c1b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c19_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c17_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c15_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c13_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c11_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c0f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c0d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c0b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c09_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c07_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c05_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c03_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c01_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bfd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bfb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bf9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bf7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bf5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bf3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bf1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bef_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bed_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000beb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000be9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000be7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000be5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000be3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000be1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bdf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bdd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bdb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bd9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bd7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bd5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bd3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bd1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bcf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bcd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bcb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bc9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bc7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bc5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bc3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bc1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bbf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bbd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bbb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bb9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bb7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bb5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bb3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bb1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000baf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ba9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ba7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ba5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ba3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ba1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b9f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b9d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b9b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b99_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b97_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b95_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b93_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b91_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b8f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b8d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b8b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b89_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b87_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b85_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b83_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b81_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b7f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b7d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b7b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b79_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b77_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b75_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b73_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b71_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b6f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b6d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b6b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b69_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b67_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b65_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b63_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b61_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b5f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b5d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b5b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b59_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b57_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b55_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b53_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b51_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b4f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b4d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b4b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b49_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b47_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b45_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b43_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b41_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b3f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b3d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b3b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b39_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b37_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b35_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b33_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b31_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b2f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b2d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b2b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b29_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b27_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b25_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b23_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b21_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b1f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b1d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b1b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b19_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b17_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b15_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b13_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b11_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b0f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b0d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b0b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b09_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b07_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b05_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b03_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b01_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000afd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000afb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000af9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000af7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000af5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000af3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000af1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aef_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aed_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aeb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ae9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ae7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ae5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ae3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ae1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000adf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000add_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000adb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ad9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ad7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ad5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ad3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ad1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000acf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000acd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000acb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ac9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ac7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ac5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ac3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ac1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000abf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000abd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000abb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ab9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ab7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ab5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ab3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ab1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aaf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aa9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aa7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aa5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aa3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aa1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a9f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a9d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a9b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a99_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a97_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a95_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a93_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a91_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a8f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a8d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a8b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a89_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a87_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a85_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a83_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a81_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a7f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a7d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a7b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a79_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a77_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a75_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a73_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a71_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a6f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a6d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a6b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a69_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a67_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a65_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a63_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a61_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a5f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a5d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a5b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a59_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a57_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a55_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a53_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a51_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a4f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a4d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a4b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a49_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a47_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a45_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a43_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a41_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a3f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a3d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a3b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a39_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a37_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a35_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a33_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a31_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a2f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a2d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a2b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a29_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a27_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a25_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a23_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a21_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a1f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a1d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a1b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a19_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a17_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a15_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a13_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a11_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a0f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a0d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a0b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a09_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a07_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a05_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a03_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a01_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009ff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009fd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009fb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009f9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009f7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009f5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009f3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009f1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009ef_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009ed_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009eb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009e9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009e7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009e5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009e3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009cd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009cb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009c9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009c7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009c5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009c3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009c1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009bf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009bd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009bb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009b9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009b7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009b5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009b3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009b1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000099f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000099d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000099b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000999_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000997_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000995_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000993_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000991_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000098f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000098d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000098b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000989_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000987_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000985_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000983_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000981_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000097f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000097d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000097b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000979_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000977_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000975_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000973_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000971_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000096f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000096d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000096b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000969_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000967_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000965_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000963_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000961_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000095f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000095d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000095b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000959_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000957_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000955_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000953_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000951_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000094f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000094d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000858_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000858_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007ee_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000007ee_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f3_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f3_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e1_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e0_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000df_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000de_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000dd_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000dc_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d8_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d7_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d6_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d5_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d4_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d3_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d2_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cc_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cc_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c9_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c9_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b5_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b3_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk000000aa_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a8_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a7_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a6_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a4_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a3_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a2_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a0_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009f_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009d_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009d_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009b_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009a_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000027_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk00000023_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk00000021_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000020_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001a_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000c_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000009_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000096_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000095_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000094_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000093_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000092_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000091_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000090_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000008f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000008e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000008d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000008c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000008b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000008a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000089_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000088_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000087_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000086_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000085_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000084_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000083_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000082_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000081_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000080_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000007f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000007e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000007d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000007c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000007b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000007a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000079_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000078_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000077_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000076_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000075_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000074_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000073_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000072_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000071_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000070_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000006f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000006e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000006d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000006c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000006b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000006a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000069_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000068_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000067_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk0000015e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk0000015d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk0000015c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk0000015b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk0000015a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000159_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000158_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000157_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000156_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000155_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000154_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000153_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000152_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000151_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000150_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk0000014f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000181_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000180_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk0000017f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk0000017e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk0000017d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk0000017c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk0000017b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk0000017a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000179_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000178_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000177_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000176_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000175_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000174_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000173_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000172_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk000001a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk000001a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk000001a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk000001a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk000001a0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk0000019f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk0000019e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk0000019d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk0000019c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk0000019b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk0000019a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk00000199_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk00000198_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk00000197_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk00000196_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk00000195_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001c7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001c6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001c5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001c4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001c3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001c2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001c1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001c0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001bf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001be_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001bd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001bc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001bb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001ba_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001b9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001b8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001ea_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000020d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000020c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000020b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000020a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000209_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000208_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000207_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000206_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000205_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000204_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000203_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000202_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000201_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000200_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk000001ff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk000001fe_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000230_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk0000022f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk0000022e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk0000022d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk0000022c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk0000022b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk0000022a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000229_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000228_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000227_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000226_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000225_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000224_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000223_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000222_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000221_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000253_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000252_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000251_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000250_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk0000024f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk0000024e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk0000024d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk0000024c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk0000024b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk0000024a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000249_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000248_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000247_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000246_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000245_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000244_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000276_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000275_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000274_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000273_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000272_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000271_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000270_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk0000026f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk0000026e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk0000026d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk0000026c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk0000026b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk0000026a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000269_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000268_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000267_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000299_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000298_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000297_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000296_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000295_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000294_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000293_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000292_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000291_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000290_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk0000028f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk0000028e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk0000028d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk0000028c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk0000028b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk0000028a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002bc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002bb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002ba_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk00000302_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk00000301_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk00000300_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002ff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002fe_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002fd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002fc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002fb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002fa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002f9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002f8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002f7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002f6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002f5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002f4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002f3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000325_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000324_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000323_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000322_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000321_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000320_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk0000031f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk0000031e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk0000031d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk0000031c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk0000031b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk0000031a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000319_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000318_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000317_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000316_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000348_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000347_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000346_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000345_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000344_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000343_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000342_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000341_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000340_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk0000033f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk0000033e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk0000033d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk0000033c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk0000033b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk0000033a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000339_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk0000036b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk0000036a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000369_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000368_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000367_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000366_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000365_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000364_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000363_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000362_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000361_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000360_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk0000035f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk0000035e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk0000035d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk0000035c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk0000038e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk0000038d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk0000038c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk0000038b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk0000038a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000389_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000388_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000387_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000386_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000385_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000384_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000383_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000382_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000381_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000380_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk0000037f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003b1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003b0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003ce_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003cd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003cc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003cb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003ca_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003c9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003c8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003c7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003c6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003c5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003f7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003f6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003f5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003f4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003f3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003f2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003f1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003f0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003ef_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003ee_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003ed_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003ec_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003eb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003ea_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003e9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003e8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk0000041a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000419_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000418_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000417_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000416_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000415_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000414_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000413_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000412_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000411_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000410_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk0000040f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk0000040e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk0000040d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk0000040c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk0000040b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk0000043d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk0000043c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk0000043b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk0000043a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000439_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000438_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000437_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000436_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000435_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000434_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000433_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000432_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000431_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000430_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk0000042f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk0000042e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000460_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk0000045f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk0000045e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk0000045d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk0000045c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk0000045b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk0000045a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000459_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000458_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000457_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000456_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000455_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000454_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000453_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000452_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000451_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000483_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000482_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000481_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000480_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk0000047f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk0000047e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk0000047d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk0000047c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk0000047b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk0000047a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000479_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000478_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000477_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000476_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000475_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000474_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005c7_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005c6_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005c5_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005c4_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005c3_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005c2_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005c1_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005c0_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005bf_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005be_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005bd_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005bc_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005bb_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005ba_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005b9_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005b8_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005b7_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005b6_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c9/blk000005da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c9/blk000005d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c9/blk000005d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c9/blk000005d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c9/blk000005d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c9/blk000005d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c9/blk000005d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c9/blk000005d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005dc/blk000005ed_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005dc/blk000005ec_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005dc/blk000005eb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005dc/blk000005ea_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005dc/blk000005e9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005dc/blk000005e8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005dc/blk000005e7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005dc/blk000005e6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ef/blk00000600_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ef/blk000005ff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ef/blk000005fe_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ef/blk000005fd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ef/blk000005fc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ef/blk000005fb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ef/blk000005fa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ef/blk000005f9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000602/blk00000613_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000602/blk00000612_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000602/blk00000611_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000602/blk00000610_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000602/blk0000060f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000602/blk0000060e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000602/blk0000060d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000602/blk0000060c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000615/blk00000626_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000615/blk00000625_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000615/blk00000624_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000615/blk00000623_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000615/blk00000622_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000615/blk00000621_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000615/blk00000620_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000615/blk0000061f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000628/blk00000639_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000628/blk00000638_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000628/blk00000637_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000628/blk00000636_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000628/blk00000635_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000628/blk00000634_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000628/blk00000633_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000628/blk00000632_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063b/blk0000064c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063b/blk0000064b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063b/blk0000064a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063b/blk00000649_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063b/blk00000648_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063b/blk00000647_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063b/blk00000646_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063b/blk00000645_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064e/blk0000065f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064e/blk0000065e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064e/blk0000065d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064e/blk0000065c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064e/blk0000065b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064e/blk0000065a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064e/blk00000659_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064e/blk00000658_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000661/blk00000672_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000661/blk00000671_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000661/blk00000670_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000661/blk0000066f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000661/blk0000066e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000661/blk0000066d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000661/blk0000066c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000661/blk0000066b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000674/blk00000685_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000674/blk00000684_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000674/blk00000683_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000674/blk00000682_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000674/blk00000681_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000674/blk00000680_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000674/blk0000067f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000674/blk0000067e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000687/blk00000698_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000687/blk00000697_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000687/blk00000696_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000687/blk00000695_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000687/blk00000694_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000687/blk00000693_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000687/blk00000692_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000687/blk00000691_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069a/blk000006ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069a/blk000006aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069a/blk000006a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069a/blk000006a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069a/blk000006a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069a/blk000006a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069a/blk000006a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069a/blk000006a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ad/blk000006be_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ad/blk000006bd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ad/blk000006bc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ad/blk000006bb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ad/blk000006ba_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ad/blk000006b9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ad/blk000006b8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ad/blk000006b7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c0/blk000006d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c0/blk000006d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c0/blk000006cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c0/blk000006ce_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c0/blk000006cd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c0/blk000006cc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c0/blk000006cb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c0/blk000006ca_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d3/blk000006e4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d3/blk000006e3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d3/blk000006e2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d3/blk000006e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d3/blk000006e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d3/blk000006df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d3/blk000006de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d3/blk000006dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e6/blk000006f7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e6/blk000006f6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e6/blk000006f5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e6/blk000006f4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e6/blk000006f3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e6/blk000006f2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e6/blk000006f1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e6/blk000006f0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f9/blk0000070a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f9/blk00000709_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f9/blk00000708_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f9/blk00000707_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f9/blk00000706_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f9/blk00000705_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f9/blk00000704_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f9/blk00000703_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070c/blk0000071d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070c/blk0000071c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070c/blk0000071b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070c/blk0000071a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070c/blk00000719_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070c/blk00000718_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070c/blk00000717_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070c/blk00000716_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071f/blk00000730_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071f/blk0000072f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071f/blk0000072e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071f/blk0000072d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071f/blk0000072c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071f/blk0000072b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071f/blk0000072a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071f/blk00000729_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000732/blk00000743_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000732/blk00000742_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000732/blk00000741_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000732/blk00000740_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000732/blk0000073f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000732/blk0000073e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000732/blk0000073d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000732/blk0000073c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000745/blk00000756_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000745/blk00000755_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000745/blk00000754_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000745/blk00000753_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000745/blk00000752_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000745/blk00000751_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000745/blk00000750_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000745/blk0000074f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000758/blk00000769_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000758/blk00000768_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000758/blk00000767_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000758/blk00000766_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000758/blk00000765_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000758/blk00000764_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000758/blk00000763_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000758/blk00000762_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076b/blk0000077c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076b/blk0000077b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076b/blk0000077a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076b/blk00000779_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076b/blk00000778_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076b/blk00000777_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076b/blk00000776_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076b/blk00000775_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077e/blk0000078f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077e/blk0000078e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077e/blk0000078d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077e/blk0000078c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077e/blk0000078b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077e/blk0000078a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077e/blk00000789_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077e/blk00000788_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007e6_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007e5_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007e4_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007e3_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007e2_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007e1_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007e0_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007df_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007de_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007dd_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007dc_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007db_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007da_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007d9_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007d8_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007d7_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007d6_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007d5_SPO_UNCONNECTED ; + wire [17 : 0] coef_din_0; + wire [23 : 0] din_1_1; + wire [23 : 0] din_2_2; + wire [46 : 0] NlwRenamedSig_OI_dout_1; + wire [46 : 0] NlwRenamedSig_OI_dout_2; + assign + rfd = NlwRenamedSig_OI_rfd, + dout_1[46] = NlwRenamedSig_OI_dout_1[46], + dout_1[45] = NlwRenamedSig_OI_dout_1[45], + dout_1[44] = NlwRenamedSig_OI_dout_1[44], + dout_1[43] = NlwRenamedSig_OI_dout_1[43], + dout_1[42] = NlwRenamedSig_OI_dout_1[42], + dout_1[41] = NlwRenamedSig_OI_dout_1[41], + dout_1[40] = NlwRenamedSig_OI_dout_1[40], + dout_1[39] = NlwRenamedSig_OI_dout_1[39], + dout_1[38] = NlwRenamedSig_OI_dout_1[38], + dout_1[37] = NlwRenamedSig_OI_dout_1[37], + dout_1[36] = NlwRenamedSig_OI_dout_1[36], + dout_1[35] = NlwRenamedSig_OI_dout_1[35], + dout_1[34] = NlwRenamedSig_OI_dout_1[34], + dout_1[33] = NlwRenamedSig_OI_dout_1[33], + dout_1[32] = NlwRenamedSig_OI_dout_1[32], + dout_1[31] = NlwRenamedSig_OI_dout_1[31], + dout_1[30] = NlwRenamedSig_OI_dout_1[30], + dout_1[29] = NlwRenamedSig_OI_dout_1[29], + dout_1[28] = NlwRenamedSig_OI_dout_1[28], + dout_1[27] = NlwRenamedSig_OI_dout_1[27], + dout_1[26] = NlwRenamedSig_OI_dout_1[26], + dout_1[25] = NlwRenamedSig_OI_dout_1[25], + dout_1[24] = NlwRenamedSig_OI_dout_1[24], + dout_1[23] = NlwRenamedSig_OI_dout_1[23], + dout_1[22] = NlwRenamedSig_OI_dout_1[22], + dout_1[21] = NlwRenamedSig_OI_dout_1[21], + dout_1[20] = NlwRenamedSig_OI_dout_1[20], + dout_1[19] = NlwRenamedSig_OI_dout_1[19], + dout_1[18] = NlwRenamedSig_OI_dout_1[18], + dout_1[17] = NlwRenamedSig_OI_dout_1[17], + dout_1[16] = NlwRenamedSig_OI_dout_1[16], + dout_1[15] = NlwRenamedSig_OI_dout_1[15], + dout_1[14] = NlwRenamedSig_OI_dout_1[14], + dout_1[13] = NlwRenamedSig_OI_dout_1[13], + dout_1[12] = NlwRenamedSig_OI_dout_1[12], + dout_1[11] = NlwRenamedSig_OI_dout_1[11], + dout_1[10] = NlwRenamedSig_OI_dout_1[10], + dout_1[9] = NlwRenamedSig_OI_dout_1[9], + dout_1[8] = NlwRenamedSig_OI_dout_1[8], + dout_1[7] = NlwRenamedSig_OI_dout_1[7], + dout_1[6] = NlwRenamedSig_OI_dout_1[6], + dout_1[5] = NlwRenamedSig_OI_dout_1[5], + dout_1[4] = NlwRenamedSig_OI_dout_1[4], + dout_1[3] = NlwRenamedSig_OI_dout_1[3], + dout_1[2] = NlwRenamedSig_OI_dout_1[2], + dout_1[1] = NlwRenamedSig_OI_dout_1[1], + dout_1[0] = NlwRenamedSig_OI_dout_1[0], + dout_2[46] = NlwRenamedSig_OI_dout_2[46], + dout_2[45] = NlwRenamedSig_OI_dout_2[45], + dout_2[44] = NlwRenamedSig_OI_dout_2[44], + dout_2[43] = NlwRenamedSig_OI_dout_2[43], + dout_2[42] = NlwRenamedSig_OI_dout_2[42], + dout_2[41] = NlwRenamedSig_OI_dout_2[41], + dout_2[40] = NlwRenamedSig_OI_dout_2[40], + dout_2[39] = NlwRenamedSig_OI_dout_2[39], + dout_2[38] = NlwRenamedSig_OI_dout_2[38], + dout_2[37] = NlwRenamedSig_OI_dout_2[37], + dout_2[36] = NlwRenamedSig_OI_dout_2[36], + dout_2[35] = NlwRenamedSig_OI_dout_2[35], + dout_2[34] = NlwRenamedSig_OI_dout_2[34], + dout_2[33] = NlwRenamedSig_OI_dout_2[33], + dout_2[32] = NlwRenamedSig_OI_dout_2[32], + dout_2[31] = NlwRenamedSig_OI_dout_2[31], + dout_2[30] = NlwRenamedSig_OI_dout_2[30], + dout_2[29] = NlwRenamedSig_OI_dout_2[29], + dout_2[28] = NlwRenamedSig_OI_dout_2[28], + dout_2[27] = NlwRenamedSig_OI_dout_2[27], + dout_2[26] = NlwRenamedSig_OI_dout_2[26], + dout_2[25] = NlwRenamedSig_OI_dout_2[25], + dout_2[24] = NlwRenamedSig_OI_dout_2[24], + dout_2[23] = NlwRenamedSig_OI_dout_2[23], + dout_2[22] = NlwRenamedSig_OI_dout_2[22], + dout_2[21] = NlwRenamedSig_OI_dout_2[21], + dout_2[20] = NlwRenamedSig_OI_dout_2[20], + dout_2[19] = NlwRenamedSig_OI_dout_2[19], + dout_2[18] = NlwRenamedSig_OI_dout_2[18], + dout_2[17] = NlwRenamedSig_OI_dout_2[17], + dout_2[16] = NlwRenamedSig_OI_dout_2[16], + dout_2[15] = NlwRenamedSig_OI_dout_2[15], + dout_2[14] = NlwRenamedSig_OI_dout_2[14], + dout_2[13] = NlwRenamedSig_OI_dout_2[13], + dout_2[12] = NlwRenamedSig_OI_dout_2[12], + dout_2[11] = NlwRenamedSig_OI_dout_2[11], + dout_2[10] = NlwRenamedSig_OI_dout_2[10], + dout_2[9] = NlwRenamedSig_OI_dout_2[9], + dout_2[8] = NlwRenamedSig_OI_dout_2[8], + dout_2[7] = NlwRenamedSig_OI_dout_2[7], + dout_2[6] = NlwRenamedSig_OI_dout_2[6], + dout_2[5] = NlwRenamedSig_OI_dout_2[5], + dout_2[4] = NlwRenamedSig_OI_dout_2[4], + dout_2[3] = NlwRenamedSig_OI_dout_2[3], + dout_2[2] = NlwRenamedSig_OI_dout_2[2], + dout_2[1] = NlwRenamedSig_OI_dout_2[1], + dout_2[0] = NlwRenamedSig_OI_dout_2[0], + din_1_1[23] = din_1[23], + din_1_1[22] = din_1[22], + din_1_1[21] = din_1[21], + din_1_1[20] = din_1[20], + din_1_1[19] = din_1[19], + din_1_1[18] = din_1[18], + din_1_1[17] = din_1[17], + din_1_1[16] = din_1[16], + din_1_1[15] = din_1[15], + din_1_1[14] = din_1[14], + din_1_1[13] = din_1[13], + din_1_1[12] = din_1[12], + din_1_1[11] = din_1[11], + din_1_1[10] = din_1[10], + din_1_1[9] = din_1[9], + din_1_1[8] = din_1[8], + din_1_1[7] = din_1[7], + din_1_1[6] = din_1[6], + din_1_1[5] = din_1[5], + din_1_1[4] = din_1[4], + din_1_1[3] = din_1[3], + din_1_1[2] = din_1[2], + din_1_1[1] = din_1[1], + din_1_1[0] = din_1[0], + din_2_2[23] = din_2[23], + din_2_2[22] = din_2[22], + din_2_2[21] = din_2[21], + din_2_2[20] = din_2[20], + din_2_2[19] = din_2[19], + din_2_2[18] = din_2[18], + din_2_2[17] = din_2[17], + din_2_2[16] = din_2[16], + din_2_2[15] = din_2[15], + din_2_2[14] = din_2[14], + din_2_2[13] = din_2[13], + din_2_2[12] = din_2[12], + din_2_2[11] = din_2[11], + din_2_2[10] = din_2[10], + din_2_2[9] = din_2[9], + din_2_2[8] = din_2[8], + din_2_2[7] = din_2[7], + din_2_2[6] = din_2[6], + din_2_2[5] = din_2[5], + din_2_2[4] = din_2[4], + din_2_2[3] = din_2[3], + din_2_2[2] = din_2[2], + din_2_2[1] = din_2[1], + din_2_2[0] = din_2[0], + coef_din_0[17] = coef_din[17], + coef_din_0[16] = coef_din[16], + coef_din_0[15] = coef_din[15], + coef_din_0[14] = coef_din[14], + coef_din_0[13] = coef_din[13], + coef_din_0[12] = coef_din[12], + coef_din_0[11] = coef_din[11], + coef_din_0[10] = coef_din[10], + coef_din_0[9] = coef_din[9], + coef_din_0[8] = coef_din[8], + coef_din_0[7] = coef_din[7], + coef_din_0[6] = coef_din[6], + coef_din_0[5] = coef_din[5], + coef_din_0[4] = coef_din[4], + coef_din_0[3] = coef_din[3], + coef_din_0[2] = coef_din[2], + coef_din_0[1] = coef_din[1], + coef_din_0[0] = coef_din[0]; + VCC blk00000001 ( + .P(NLW_blk00000001_P_UNCONNECTED) + ); + GND blk00000002 ( + .G(NLW_blk00000002_G_UNCONNECTED) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cc4 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e8e ), + .Q(\blk00000003/sig00000bc2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cc3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000baa ), + .Q(\blk00000003/sig00000e8e ), + .Q15(\NLW_blk00000003/blk00000cc3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cc2 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e8d ), + .Q(\blk00000003/sig00000bc1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cc1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000ba9 ), + .Q(\blk00000003/sig00000e8d ), + .Q15(\NLW_blk00000003/blk00000cc1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cc0 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e8c ), + .Q(\blk00000003/sig00000bc0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cbf ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000ba8 ), + .Q(\blk00000003/sig00000e8c ), + .Q15(\NLW_blk00000003/blk00000cbf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cbe ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e8b ), + .Q(\blk00000003/sig00000bbf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cbd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000ba7 ), + .Q(\blk00000003/sig00000e8b ), + .Q15(\NLW_blk00000003/blk00000cbd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cbc ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e8a ), + .Q(\blk00000003/sig00000bbe ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cbb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000ba6 ), + .Q(\blk00000003/sig00000e8a ), + .Q15(\NLW_blk00000003/blk00000cbb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cba ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e89 ), + .Q(\blk00000003/sig00000bbc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cb9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000ba4 ), + .Q(\blk00000003/sig00000e89 ), + .Q15(\NLW_blk00000003/blk00000cb9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cb8 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e88 ), + .Q(\blk00000003/sig00000bbb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cb7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000ba3 ), + .Q(\blk00000003/sig00000e88 ), + .Q15(\NLW_blk00000003/blk00000cb7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cb6 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e87 ), + .Q(\blk00000003/sig00000bbd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cb5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000ba5 ), + .Q(\blk00000003/sig00000e87 ), + .Q15(\NLW_blk00000003/blk00000cb5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cb4 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e86 ), + .Q(\blk00000003/sig00000bd2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cb3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000bb2 ), + .Q(\blk00000003/sig00000e86 ), + .Q15(\NLW_blk00000003/blk00000cb3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cb2 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e85 ), + .Q(\blk00000003/sig00000bd1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cb1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000bb1 ), + .Q(\blk00000003/sig00000e85 ), + .Q15(\NLW_blk00000003/blk00000cb1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cb0 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e84 ), + .Q(\blk00000003/sig00000bd0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000caf ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000bb0 ), + .Q(\blk00000003/sig00000e84 ), + .Q15(\NLW_blk00000003/blk00000caf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cae ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e83 ), + .Q(\blk00000003/sig00000bcf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cad ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000baf ), + .Q(\blk00000003/sig00000e83 ), + .Q15(\NLW_blk00000003/blk00000cad_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cac ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e82 ), + .Q(\blk00000003/sig00000bce ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cab ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000bae ), + .Q(\blk00000003/sig00000e82 ), + .Q15(\NLW_blk00000003/blk00000cab_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000caa ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e81 ), + .Q(\blk00000003/sig00000bcd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ca9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000bad ), + .Q(\blk00000003/sig00000e81 ), + .Q15(\NLW_blk00000003/blk00000ca9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ca8 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e80 ), + .Q(\blk00000003/sig00000bcb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ca7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000bab ), + .Q(\blk00000003/sig00000e80 ), + .Q15(\NLW_blk00000003/blk00000ca7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ca6 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e7f ), + .Q(\blk00000003/sig00000bcc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ca5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000bac ), + .Q(\blk00000003/sig00000e7f ), + .Q15(\NLW_blk00000003/blk00000ca5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ca4 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e7e ), + .Q(\blk00000003/sig00000ad6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ca3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000aa6 ), + .Q(\blk00000003/sig00000e7e ), + .Q15(\NLW_blk00000003/blk00000ca3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ca2 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e7d ), + .Q(\blk00000003/sig00000ad5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ca1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000aa5 ), + .Q(\blk00000003/sig00000e7d ), + .Q15(\NLW_blk00000003/blk00000ca1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ca0 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e7c ), + .Q(\blk00000003/sig00000ad4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c9f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000aa4 ), + .Q(\blk00000003/sig00000e7c ), + .Q15(\NLW_blk00000003/blk00000c9f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c9e ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e7b ), + .Q(\blk00000003/sig00000ad3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c9d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000aa3 ), + .Q(\blk00000003/sig00000e7b ), + .Q15(\NLW_blk00000003/blk00000c9d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c9c ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e7a ), + .Q(\blk00000003/sig00000ad1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c9b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000aa1 ), + .Q(\blk00000003/sig00000e7a ), + .Q15(\NLW_blk00000003/blk00000c9b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c9a ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e79 ), + .Q(\blk00000003/sig00000ad0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c99 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000aa0 ), + .Q(\blk00000003/sig00000e79 ), + .Q15(\NLW_blk00000003/blk00000c99_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c98 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e78 ), + .Q(\blk00000003/sig00000ad2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c97 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000aa2 ), + .Q(\blk00000003/sig00000e78 ), + .Q15(\NLW_blk00000003/blk00000c97_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c96 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e77 ), + .Q(\blk00000003/sig00000acf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c95 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000a9f ), + .Q(\blk00000003/sig00000e77 ), + .Q15(\NLW_blk00000003/blk00000c95_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c94 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e76 ), + .Q(\blk00000003/sig00000ace ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c93 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000a9e ), + .Q(\blk00000003/sig00000e76 ), + .Q15(\NLW_blk00000003/blk00000c93_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c92 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e75 ), + .Q(\blk00000003/sig00000acd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c91 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000a9d ), + .Q(\blk00000003/sig00000e75 ), + .Q15(\NLW_blk00000003/blk00000c91_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c90 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e74 ), + .Q(\blk00000003/sig00000acc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c8f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000a9c ), + .Q(\blk00000003/sig00000e74 ), + .Q15(\NLW_blk00000003/blk00000c8f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c8e ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e73 ), + .Q(\blk00000003/sig00000aca ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c8d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000a9a ), + .Q(\blk00000003/sig00000e73 ), + .Q15(\NLW_blk00000003/blk00000c8d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c8c ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e72 ), + .Q(\blk00000003/sig00000ac9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c8b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000a99 ), + .Q(\blk00000003/sig00000e72 ), + .Q15(\NLW_blk00000003/blk00000c8b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c8a ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e71 ), + .Q(\blk00000003/sig00000acb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c89 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000a9b ), + .Q(\blk00000003/sig00000e71 ), + .Q15(\NLW_blk00000003/blk00000c89_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c88 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e70 ), + .Q(\blk00000003/sig00000ac7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c87 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000a97 ), + .Q(\blk00000003/sig00000e70 ), + .Q15(\NLW_blk00000003/blk00000c87_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c86 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e6f ), + .Q(\blk00000003/sig00000ac8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c85 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000a98 ), + .Q(\blk00000003/sig00000e6f ), + .Q15(\NLW_blk00000003/blk00000c85_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c84 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e6e ), + .Q(\blk00000003/sig00000af5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c83 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000ab5 ), + .Q(\blk00000003/sig00000e6e ), + .Q15(\NLW_blk00000003/blk00000c83_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c82 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e6d ), + .Q(\blk00000003/sig00000af4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c81 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000ab4 ), + .Q(\blk00000003/sig00000e6d ), + .Q15(\NLW_blk00000003/blk00000c81_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c80 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e6c ), + .Q(\blk00000003/sig00000af6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c7f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000ab6 ), + .Q(\blk00000003/sig00000e6c ), + .Q15(\NLW_blk00000003/blk00000c7f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c7e ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e6b ), + .Q(\blk00000003/sig00000af3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c7d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000ab3 ), + .Q(\blk00000003/sig00000e6b ), + .Q15(\NLW_blk00000003/blk00000c7d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c7c ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e6a ), + .Q(\blk00000003/sig00000af2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c7b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000ab2 ), + .Q(\blk00000003/sig00000e6a ), + .Q15(\NLW_blk00000003/blk00000c7b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c7a ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e69 ), + .Q(\blk00000003/sig00000af1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c79 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000ab1 ), + .Q(\blk00000003/sig00000e69 ), + .Q15(\NLW_blk00000003/blk00000c79_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c78 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e68 ), + .Q(\blk00000003/sig00000af0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c77 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000ab0 ), + .Q(\blk00000003/sig00000e68 ), + .Q15(\NLW_blk00000003/blk00000c77_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c76 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e67 ), + .Q(\blk00000003/sig00000aee ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c75 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000aae ), + .Q(\blk00000003/sig00000e67 ), + .Q15(\NLW_blk00000003/blk00000c75_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c74 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e66 ), + .Q(\blk00000003/sig00000aed ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c73 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000aad ), + .Q(\blk00000003/sig00000e66 ), + .Q15(\NLW_blk00000003/blk00000c73_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c72 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e65 ), + .Q(\blk00000003/sig00000aef ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c71 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000aaf ), + .Q(\blk00000003/sig00000e65 ), + .Q15(\NLW_blk00000003/blk00000c71_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c70 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e64 ), + .Q(\blk00000003/sig00000aec ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c6f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000aac ), + .Q(\blk00000003/sig00000e64 ), + .Q15(\NLW_blk00000003/blk00000c6f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c6e ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e63 ), + .Q(\blk00000003/sig00000aeb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c6d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000aab ), + .Q(\blk00000003/sig00000e63 ), + .Q15(\NLW_blk00000003/blk00000c6d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c6c ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e62 ), + .Q(\blk00000003/sig00000aea ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c6b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000aaa ), + .Q(\blk00000003/sig00000e62 ), + .Q15(\NLW_blk00000003/blk00000c6b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c6a ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e61 ), + .Q(\blk00000003/sig00000ae9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c69 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000aa9 ), + .Q(\blk00000003/sig00000e61 ), + .Q15(\NLW_blk00000003/blk00000c69_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c68 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e60 ), + .Q(\blk00000003/sig00000ae7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c67 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000aa7 ), + .Q(\blk00000003/sig00000e60 ), + .Q15(\NLW_blk00000003/blk00000c67_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c66 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e5f ), + .Q(\blk00000003/sig00000ae8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c65 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000aa8 ), + .Q(\blk00000003/sig00000e5f ), + .Q15(\NLW_blk00000003/blk00000c65_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c64 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e5e ), + .Q(\blk00000003/sig00000baa ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c63 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b9a ), + .Q(\blk00000003/sig00000e5e ), + .Q15(\NLW_blk00000003/blk00000c63_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c62 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e5d ), + .Q(\blk00000003/sig00000ba9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c61 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b99 ), + .Q(\blk00000003/sig00000e5d ), + .Q15(\NLW_blk00000003/blk00000c61_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c60 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e5c ), + .Q(\blk00000003/sig00000ba8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c5f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b98 ), + .Q(\blk00000003/sig00000e5c ), + .Q15(\NLW_blk00000003/blk00000c5f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c5e ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e5b ), + .Q(\blk00000003/sig00000ba7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c5d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b97 ), + .Q(\blk00000003/sig00000e5b ), + .Q15(\NLW_blk00000003/blk00000c5d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c5c ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e5a ), + .Q(\blk00000003/sig00000ba5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c5b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b95 ), + .Q(\blk00000003/sig00000e5a ), + .Q15(\NLW_blk00000003/blk00000c5b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c5a ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e59 ), + .Q(\blk00000003/sig00000ba4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c59 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b94 ), + .Q(\blk00000003/sig00000e59 ), + .Q15(\NLW_blk00000003/blk00000c59_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c58 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e58 ), + .Q(\blk00000003/sig00000ba6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c57 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b96 ), + .Q(\blk00000003/sig00000e58 ), + .Q15(\NLW_blk00000003/blk00000c57_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c56 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e57 ), + .Q(\blk00000003/sig00000ba3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c55 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b93 ), + .Q(\blk00000003/sig00000e57 ), + .Q15(\NLW_blk00000003/blk00000c55_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c54 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e56 ), + .Q(\blk00000003/sig00000bb2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c53 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000ba2 ), + .Q(\blk00000003/sig00000e56 ), + .Q15(\NLW_blk00000003/blk00000c53_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c52 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e55 ), + .Q(\blk00000003/sig00000bb1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c51 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000ba1 ), + .Q(\blk00000003/sig00000e55 ), + .Q15(\NLW_blk00000003/blk00000c51_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c50 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e54 ), + .Q(\blk00000003/sig00000bb0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c4f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000ba0 ), + .Q(\blk00000003/sig00000e54 ), + .Q15(\NLW_blk00000003/blk00000c4f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c4e ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e53 ), + .Q(\blk00000003/sig00000baf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c4d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b9f ), + .Q(\blk00000003/sig00000e53 ), + .Q15(\NLW_blk00000003/blk00000c4d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c4c ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e52 ), + .Q(\blk00000003/sig00000bae ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c4b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b9e ), + .Q(\blk00000003/sig00000e52 ), + .Q15(\NLW_blk00000003/blk00000c4b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c4a ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e51 ), + .Q(\blk00000003/sig00000bac ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c49 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b9c ), + .Q(\blk00000003/sig00000e51 ), + .Q15(\NLW_blk00000003/blk00000c49_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c48 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e50 ), + .Q(\blk00000003/sig00000bab ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c47 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b9b ), + .Q(\blk00000003/sig00000e50 ), + .Q15(\NLW_blk00000003/blk00000c47_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c46 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e4f ), + .Q(\blk00000003/sig00000bad ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c45 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b9d ), + .Q(\blk00000003/sig00000e4f ), + .Q15(\NLW_blk00000003/blk00000c45_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c44 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e4e ), + .Q(\blk00000003/sig00000aa6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c43 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a86 ), + .Q(\blk00000003/sig00000e4e ), + .Q15(\NLW_blk00000003/blk00000c43_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c42 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e4d ), + .Q(\blk00000003/sig00000aa4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c41 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a84 ), + .Q(\blk00000003/sig00000e4d ), + .Q15(\NLW_blk00000003/blk00000c41_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c40 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e4c ), + .Q(\blk00000003/sig00000aa3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c3f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a83 ), + .Q(\blk00000003/sig00000e4c ), + .Q15(\NLW_blk00000003/blk00000c3f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c3e ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e4b ), + .Q(\blk00000003/sig00000aa5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c3d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a85 ), + .Q(\blk00000003/sig00000e4b ), + .Q15(\NLW_blk00000003/blk00000c3d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c3c ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e4a ), + .Q(\blk00000003/sig00000aa2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c3b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a82 ), + .Q(\blk00000003/sig00000e4a ), + .Q15(\NLW_blk00000003/blk00000c3b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c3a ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e49 ), + .Q(\blk00000003/sig00000aa1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c39 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a81 ), + .Q(\blk00000003/sig00000e49 ), + .Q15(\NLW_blk00000003/blk00000c39_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c38 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e48 ), + .Q(\blk00000003/sig00000aa0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c37 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a80 ), + .Q(\blk00000003/sig00000e48 ), + .Q15(\NLW_blk00000003/blk00000c37_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c36 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e47 ), + .Q(\blk00000003/sig00000a9f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c35 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a7f ), + .Q(\blk00000003/sig00000e47 ), + .Q15(\NLW_blk00000003/blk00000c35_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c34 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e46 ), + .Q(\blk00000003/sig00000a9d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c33 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a7d ), + .Q(\blk00000003/sig00000e46 ), + .Q15(\NLW_blk00000003/blk00000c33_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c32 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e45 ), + .Q(\blk00000003/sig00000a9c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c31 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a7c ), + .Q(\blk00000003/sig00000e45 ), + .Q15(\NLW_blk00000003/blk00000c31_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c30 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e44 ), + .Q(\blk00000003/sig00000a9e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c2f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a7e ), + .Q(\blk00000003/sig00000e44 ), + .Q15(\NLW_blk00000003/blk00000c2f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c2e ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e43 ), + .Q(\blk00000003/sig00000a9b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c2d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a7b ), + .Q(\blk00000003/sig00000e43 ), + .Q15(\NLW_blk00000003/blk00000c2d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c2c ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e42 ), + .Q(\blk00000003/sig00000a9a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c2b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a7a ), + .Q(\blk00000003/sig00000e42 ), + .Q15(\NLW_blk00000003/blk00000c2b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c2a ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e41 ), + .Q(\blk00000003/sig00000a99 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c29 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a79 ), + .Q(\blk00000003/sig00000e41 ), + .Q15(\NLW_blk00000003/blk00000c29_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c28 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e40 ), + .Q(\blk00000003/sig00000a98 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c27 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a78 ), + .Q(\blk00000003/sig00000e40 ), + .Q15(\NLW_blk00000003/blk00000c27_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c26 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e3f ), + .Q(\blk00000003/sig00000a97 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c25 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a77 ), + .Q(\blk00000003/sig00000e3f ), + .Q15(\NLW_blk00000003/blk00000c25_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c24 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e3e ), + .Q(\blk00000003/sig00000ab6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c23 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a96 ), + .Q(\blk00000003/sig00000e3e ), + .Q15(\NLW_blk00000003/blk00000c23_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c22 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e3d ), + .Q(\blk00000003/sig00000ab5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c21 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a95 ), + .Q(\blk00000003/sig00000e3d ), + .Q15(\NLW_blk00000003/blk00000c21_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c20 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e3c ), + .Q(\blk00000003/sig00000ab4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c1f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a94 ), + .Q(\blk00000003/sig00000e3c ), + .Q15(\NLW_blk00000003/blk00000c1f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c1e ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e3b ), + .Q(\blk00000003/sig00000ab3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c1d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a93 ), + .Q(\blk00000003/sig00000e3b ), + .Q15(\NLW_blk00000003/blk00000c1d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c1c ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e3a ), + .Q(\blk00000003/sig00000ab2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c1b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a92 ), + .Q(\blk00000003/sig00000e3a ), + .Q15(\NLW_blk00000003/blk00000c1b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c1a ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e39 ), + .Q(\blk00000003/sig00000ab0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c19 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a90 ), + .Q(\blk00000003/sig00000e39 ), + .Q15(\NLW_blk00000003/blk00000c19_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c18 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e38 ), + .Q(\blk00000003/sig00000aaf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c17 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a8f ), + .Q(\blk00000003/sig00000e38 ), + .Q15(\NLW_blk00000003/blk00000c17_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c16 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e37 ), + .Q(\blk00000003/sig00000ab1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c15 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a91 ), + .Q(\blk00000003/sig00000e37 ), + .Q15(\NLW_blk00000003/blk00000c15_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c14 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e36 ), + .Q(\blk00000003/sig00000aae ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c13 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a8e ), + .Q(\blk00000003/sig00000e36 ), + .Q15(\NLW_blk00000003/blk00000c13_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c12 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e35 ), + .Q(\blk00000003/sig00000aad ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c11 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a8d ), + .Q(\blk00000003/sig00000e35 ), + .Q15(\NLW_blk00000003/blk00000c11_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c10 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e34 ), + .Q(\blk00000003/sig00000aac ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c0f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a8c ), + .Q(\blk00000003/sig00000e34 ), + .Q15(\NLW_blk00000003/blk00000c0f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c0e ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e33 ), + .Q(\blk00000003/sig00000aab ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c0d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a8b ), + .Q(\blk00000003/sig00000e33 ), + .Q15(\NLW_blk00000003/blk00000c0d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c0c ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e32 ), + .Q(\blk00000003/sig00000aa9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c0b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a89 ), + .Q(\blk00000003/sig00000e32 ), + .Q15(\NLW_blk00000003/blk00000c0b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c0a ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e31 ), + .Q(\blk00000003/sig00000aa8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c09 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a88 ), + .Q(\blk00000003/sig00000e31 ), + .Q15(\NLW_blk00000003/blk00000c09_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c08 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e30 ), + .Q(\blk00000003/sig00000aaa ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c07 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a8a ), + .Q(\blk00000003/sig00000e30 ), + .Q15(\NLW_blk00000003/blk00000c07_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c06 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e2f ), + .Q(\blk00000003/sig00000aa7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c05 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a87 ), + .Q(\blk00000003/sig00000e2f ), + .Q15(\NLW_blk00000003/blk00000c05_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c04 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000e2e ), + .Q(\blk00000003/sig00000c61 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c03 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a16 ), + .Q(\blk00000003/sig00000e2e ), + .Q15(\NLW_blk00000003/blk00000c03_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c02 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e2d ), + .Q(\blk00000003/sig00000b9a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c01 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b8a ), + .Q(\blk00000003/sig00000e2d ), + .Q15(\NLW_blk00000003/blk00000c01_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c00 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e2c ), + .Q(\blk00000003/sig00000b99 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bff ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b89 ), + .Q(\blk00000003/sig00000e2c ), + .Q15(\NLW_blk00000003/blk00000bff_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bfe ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e2b ), + .Q(\blk00000003/sig00000b97 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bfd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b87 ), + .Q(\blk00000003/sig00000e2b ), + .Q15(\NLW_blk00000003/blk00000bfd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bfc ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e2a ), + .Q(\blk00000003/sig00000b96 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bfb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b86 ), + .Q(\blk00000003/sig00000e2a ), + .Q15(\NLW_blk00000003/blk00000bfb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bfa ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e29 ), + .Q(\blk00000003/sig00000b98 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bf9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b88 ), + .Q(\blk00000003/sig00000e29 ), + .Q15(\NLW_blk00000003/blk00000bf9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bf8 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e28 ), + .Q(\blk00000003/sig00000b95 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bf7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b85 ), + .Q(\blk00000003/sig00000e28 ), + .Q15(\NLW_blk00000003/blk00000bf7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bf6 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e27 ), + .Q(\blk00000003/sig00000b94 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bf5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b84 ), + .Q(\blk00000003/sig00000e27 ), + .Q15(\NLW_blk00000003/blk00000bf5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bf4 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e26 ), + .Q(\blk00000003/sig00000b93 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bf3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b83 ), + .Q(\blk00000003/sig00000e26 ), + .Q15(\NLW_blk00000003/blk00000bf3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bf2 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e25 ), + .Q(\blk00000003/sig00000ba2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bf1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b92 ), + .Q(\blk00000003/sig00000e25 ), + .Q15(\NLW_blk00000003/blk00000bf1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bf0 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e24 ), + .Q(\blk00000003/sig00000ba1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bef ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b91 ), + .Q(\blk00000003/sig00000e24 ), + .Q15(\NLW_blk00000003/blk00000bef_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bee ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e23 ), + .Q(\blk00000003/sig00000ba0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bed ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b90 ), + .Q(\blk00000003/sig00000e23 ), + .Q15(\NLW_blk00000003/blk00000bed_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bec ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e22 ), + .Q(\blk00000003/sig00000b9f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000beb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b8f ), + .Q(\blk00000003/sig00000e22 ), + .Q15(\NLW_blk00000003/blk00000beb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bea ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e21 ), + .Q(\blk00000003/sig00000b9d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000be9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b8d ), + .Q(\blk00000003/sig00000e21 ), + .Q15(\NLW_blk00000003/blk00000be9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000be8 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e20 ), + .Q(\blk00000003/sig00000b9c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000be7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b8c ), + .Q(\blk00000003/sig00000e20 ), + .Q15(\NLW_blk00000003/blk00000be7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000be6 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e1f ), + .Q(\blk00000003/sig00000b9e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000be5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b8e ), + .Q(\blk00000003/sig00000e1f ), + .Q15(\NLW_blk00000003/blk00000be5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000be4 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e1e ), + .Q(\blk00000003/sig00000b9b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000be3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b8b ), + .Q(\blk00000003/sig00000e1e ), + .Q15(\NLW_blk00000003/blk00000be3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000be2 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e1d ), + .Q(\blk00000003/sig00000a86 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000be1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a66 ), + .Q(\blk00000003/sig00000e1d ), + .Q15(\NLW_blk00000003/blk00000be1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000be0 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e1c ), + .Q(\blk00000003/sig00000a85 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bdf ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a65 ), + .Q(\blk00000003/sig00000e1c ), + .Q15(\NLW_blk00000003/blk00000bdf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bde ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e1b ), + .Q(\blk00000003/sig00000a84 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bdd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a64 ), + .Q(\blk00000003/sig00000e1b ), + .Q15(\NLW_blk00000003/blk00000bdd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bdc ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e1a ), + .Q(\blk00000003/sig00000a83 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bdb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a63 ), + .Q(\blk00000003/sig00000e1a ), + .Q15(\NLW_blk00000003/blk00000bdb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bda ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e19 ), + .Q(\blk00000003/sig00000a82 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bd9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a62 ), + .Q(\blk00000003/sig00000e19 ), + .Q15(\NLW_blk00000003/blk00000bd9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bd8 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e18 ), + .Q(\blk00000003/sig00000a81 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bd7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a61 ), + .Q(\blk00000003/sig00000e18 ), + .Q15(\NLW_blk00000003/blk00000bd7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bd6 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e17 ), + .Q(\blk00000003/sig00000a7f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bd5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a5f ), + .Q(\blk00000003/sig00000e17 ), + .Q15(\NLW_blk00000003/blk00000bd5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bd4 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e16 ), + .Q(\blk00000003/sig00000a7e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bd3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a5e ), + .Q(\blk00000003/sig00000e16 ), + .Q15(\NLW_blk00000003/blk00000bd3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bd2 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e15 ), + .Q(\blk00000003/sig00000a80 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bd1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a60 ), + .Q(\blk00000003/sig00000e15 ), + .Q15(\NLW_blk00000003/blk00000bd1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bd0 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e14 ), + .Q(\blk00000003/sig00000a7d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bcf ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a5d ), + .Q(\blk00000003/sig00000e14 ), + .Q15(\NLW_blk00000003/blk00000bcf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bce ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e13 ), + .Q(\blk00000003/sig00000a7c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bcd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a5c ), + .Q(\blk00000003/sig00000e13 ), + .Q15(\NLW_blk00000003/blk00000bcd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bcc ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e12 ), + .Q(\blk00000003/sig00000a7b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bcb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a5b ), + .Q(\blk00000003/sig00000e12 ), + .Q15(\NLW_blk00000003/blk00000bcb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bca ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e11 ), + .Q(\blk00000003/sig00000a7a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bc9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a5a ), + .Q(\blk00000003/sig00000e11 ), + .Q15(\NLW_blk00000003/blk00000bc9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bc8 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e10 ), + .Q(\blk00000003/sig00000a78 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bc7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a58 ), + .Q(\blk00000003/sig00000e10 ), + .Q15(\NLW_blk00000003/blk00000bc7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bc6 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e0f ), + .Q(\blk00000003/sig00000a77 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bc5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a57 ), + .Q(\blk00000003/sig00000e0f ), + .Q15(\NLW_blk00000003/blk00000bc5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bc4 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e0e ), + .Q(\blk00000003/sig00000a79 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bc3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a59 ), + .Q(\blk00000003/sig00000e0e ), + .Q15(\NLW_blk00000003/blk00000bc3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bc2 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e0d ), + .Q(\blk00000003/sig00000a96 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bc1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a76 ), + .Q(\blk00000003/sig00000e0d ), + .Q15(\NLW_blk00000003/blk00000bc1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bc0 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e0c ), + .Q(\blk00000003/sig00000a95 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bbf ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a75 ), + .Q(\blk00000003/sig00000e0c ), + .Q15(\NLW_blk00000003/blk00000bbf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bbe ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e0b ), + .Q(\blk00000003/sig00000a94 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bbd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a74 ), + .Q(\blk00000003/sig00000e0b ), + .Q15(\NLW_blk00000003/blk00000bbd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bbc ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e0a ), + .Q(\blk00000003/sig00000a92 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bbb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a72 ), + .Q(\blk00000003/sig00000e0a ), + .Q15(\NLW_blk00000003/blk00000bbb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bba ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e09 ), + .Q(\blk00000003/sig00000a91 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bb9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a71 ), + .Q(\blk00000003/sig00000e09 ), + .Q15(\NLW_blk00000003/blk00000bb9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bb8 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e08 ), + .Q(\blk00000003/sig00000a93 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bb7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a73 ), + .Q(\blk00000003/sig00000e08 ), + .Q15(\NLW_blk00000003/blk00000bb7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bb6 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e07 ), + .Q(\blk00000003/sig00000a90 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bb5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a70 ), + .Q(\blk00000003/sig00000e07 ), + .Q15(\NLW_blk00000003/blk00000bb5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bb4 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e06 ), + .Q(\blk00000003/sig00000a8f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bb3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a6f ), + .Q(\blk00000003/sig00000e06 ), + .Q15(\NLW_blk00000003/blk00000bb3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bb2 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e05 ), + .Q(\blk00000003/sig00000a8e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bb1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a6e ), + .Q(\blk00000003/sig00000e05 ), + .Q15(\NLW_blk00000003/blk00000bb1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bb0 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e04 ), + .Q(\blk00000003/sig00000a8d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000baf ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a6d ), + .Q(\blk00000003/sig00000e04 ), + .Q15(\NLW_blk00000003/blk00000baf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bae ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e03 ), + .Q(\blk00000003/sig00000a8b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bad ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a6b ), + .Q(\blk00000003/sig00000e03 ), + .Q15(\NLW_blk00000003/blk00000bad_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bac ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e02 ), + .Q(\blk00000003/sig00000a8a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bab ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a6a ), + .Q(\blk00000003/sig00000e02 ), + .Q15(\NLW_blk00000003/blk00000bab_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000baa ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e01 ), + .Q(\blk00000003/sig00000a8c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ba9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a6c ), + .Q(\blk00000003/sig00000e01 ), + .Q15(\NLW_blk00000003/blk00000ba9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ba8 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e00 ), + .Q(\blk00000003/sig00000a88 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ba7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a68 ), + .Q(\blk00000003/sig00000e00 ), + .Q15(\NLW_blk00000003/blk00000ba7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ba6 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000dff ), + .Q(\blk00000003/sig00000a87 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ba5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a67 ), + .Q(\blk00000003/sig00000dff ), + .Q15(\NLW_blk00000003/blk00000ba5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ba4 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000dfe ), + .Q(\blk00000003/sig00000a89 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ba3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a69 ), + .Q(\blk00000003/sig00000dfe ), + .Q15(\NLW_blk00000003/blk00000ba3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ba2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dfd ), + .Q(\blk00000003/sig000002ad ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ba1 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a15 ), + .Q(\blk00000003/sig00000dfd ), + .Q15(\NLW_blk00000003/blk00000ba1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ba0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dfc ), + .Q(\blk00000003/sig00000258 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b9f ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d98 ), + .Q(\blk00000003/sig00000dfc ), + .Q15(\NLW_blk00000003/blk00000b9f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b9e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dfb ), + .Q(\blk00000003/sig00000257 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b9d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d96 ), + .Q(\blk00000003/sig00000dfb ), + .Q15(\NLW_blk00000003/blk00000b9d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b9c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dfa ), + .Q(\blk00000003/sig00000259 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b9b ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d9a ), + .Q(\blk00000003/sig00000dfa ), + .Q15(\NLW_blk00000003/blk00000b9b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b9a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df9 ), + .Q(\blk00000003/sig00000255 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b99 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d8e ), + .Q(\blk00000003/sig00000df9 ), + .Q15(\NLW_blk00000003/blk00000b99_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b98 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df8 ), + .Q(\blk00000003/sig00000254 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b97 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d92 ), + .Q(\blk00000003/sig00000df8 ), + .Q15(\NLW_blk00000003/blk00000b97_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b96 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df7 ), + .Q(\blk00000003/sig00000256 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b95 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d94 ), + .Q(\blk00000003/sig00000df7 ), + .Q15(\NLW_blk00000003/blk00000b95_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b94 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df6 ), + .Q(\blk00000003/sig00000253 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b93 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d90 ), + .Q(\blk00000003/sig00000df6 ), + .Q15(\NLW_blk00000003/blk00000b93_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b92 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df5 ), + .Q(\blk00000003/sig00000252 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b91 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d8c ), + .Q(\blk00000003/sig00000df5 ), + .Q15(\NLW_blk00000003/blk00000b91_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b90 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df4 ), + .Q(\blk00000003/sig00000251 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b8f ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d8a ), + .Q(\blk00000003/sig00000df4 ), + .Q15(\NLW_blk00000003/blk00000b8f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b8e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df3 ), + .Q(\blk00000003/sig00000250 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b8d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d88 ), + .Q(\blk00000003/sig00000df3 ), + .Q15(\NLW_blk00000003/blk00000b8d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b8c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df2 ), + .Q(\blk00000003/sig0000024e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b8b ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d80 ), + .Q(\blk00000003/sig00000df2 ), + .Q15(\NLW_blk00000003/blk00000b8b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b8a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df1 ), + .Q(\blk00000003/sig0000024d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b89 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d84 ), + .Q(\blk00000003/sig00000df1 ), + .Q15(\NLW_blk00000003/blk00000b89_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b88 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df0 ), + .Q(\blk00000003/sig0000024f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b87 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d86 ), + .Q(\blk00000003/sig00000df0 ), + .Q15(\NLW_blk00000003/blk00000b87_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b86 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000def ), + .Q(\blk00000003/sig0000024c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b85 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d82 ), + .Q(\blk00000003/sig00000def ), + .Q15(\NLW_blk00000003/blk00000b85_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b84 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dee ), + .Q(\blk00000003/sig0000024b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b83 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d7e ), + .Q(\blk00000003/sig00000dee ), + .Q15(\NLW_blk00000003/blk00000b83_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b82 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ded ), + .Q(\blk00000003/sig0000024a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b81 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d7c ), + .Q(\blk00000003/sig00000ded ), + .Q15(\NLW_blk00000003/blk00000b81_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b80 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dec ), + .Q(\blk00000003/sig00000219 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b7f ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d7a ), + .Q(\blk00000003/sig00000dec ), + .Q15(\NLW_blk00000003/blk00000b7f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b7e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000deb ), + .Q(\blk00000003/sig00000217 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b7d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d72 ), + .Q(\blk00000003/sig00000deb ), + .Q15(\NLW_blk00000003/blk00000b7d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b7c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dea ), + .Q(\blk00000003/sig00000216 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b7b ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d76 ), + .Q(\blk00000003/sig00000dea ), + .Q15(\NLW_blk00000003/blk00000b7b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b7a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de9 ), + .Q(\blk00000003/sig00000218 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b79 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d78 ), + .Q(\blk00000003/sig00000de9 ), + .Q15(\NLW_blk00000003/blk00000b79_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b78 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de8 ), + .Q(\blk00000003/sig00000215 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b77 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d74 ), + .Q(\blk00000003/sig00000de8 ), + .Q15(\NLW_blk00000003/blk00000b77_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b76 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de7 ), + .Q(\blk00000003/sig00000214 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b75 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d70 ), + .Q(\blk00000003/sig00000de7 ), + .Q15(\NLW_blk00000003/blk00000b75_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b74 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de6 ), + .Q(\blk00000003/sig00000213 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b73 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d6e ), + .Q(\blk00000003/sig00000de6 ), + .Q15(\NLW_blk00000003/blk00000b73_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b72 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de5 ), + .Q(\blk00000003/sig00000212 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b71 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d6c ), + .Q(\blk00000003/sig00000de5 ), + .Q15(\NLW_blk00000003/blk00000b71_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b70 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de4 ), + .Q(\blk00000003/sig000001d0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b6f ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d64 ), + .Q(\blk00000003/sig00000de4 ), + .Q15(\NLW_blk00000003/blk00000b6f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b6e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de3 ), + .Q(\blk00000003/sig000001cf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b6d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d68 ), + .Q(\blk00000003/sig00000de3 ), + .Q15(\NLW_blk00000003/blk00000b6d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b6c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de2 ), + .Q(\blk00000003/sig000001d1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b6b ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d6a ), + .Q(\blk00000003/sig00000de2 ), + .Q15(\NLW_blk00000003/blk00000b6b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b6a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de1 ), + .Q(\blk00000003/sig000001ce ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b69 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d66 ), + .Q(\blk00000003/sig00000de1 ), + .Q15(\NLW_blk00000003/blk00000b69_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b68 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de0 ), + .Q(\blk00000003/sig000001cd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b67 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d62 ), + .Q(\blk00000003/sig00000de0 ), + .Q15(\NLW_blk00000003/blk00000b67_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b66 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ddf ), + .Q(\blk00000003/sig000001cc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b65 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d60 ), + .Q(\blk00000003/sig00000ddf ), + .Q15(\NLW_blk00000003/blk00000b65_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b64 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dde ), + .Q(\blk00000003/sig000001cb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b63 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d5e ), + .Q(\blk00000003/sig00000dde ), + .Q15(\NLW_blk00000003/blk00000b63_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b62 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ddd ), + .Q(\blk00000003/sig000001c9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b61 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d56 ), + .Q(\blk00000003/sig00000ddd ), + .Q15(\NLW_blk00000003/blk00000b61_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b60 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ddc ), + .Q(\blk00000003/sig000001c8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b5f ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d5a ), + .Q(\blk00000003/sig00000ddc ), + .Q15(\NLW_blk00000003/blk00000b5f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b5e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ddb ), + .Q(\blk00000003/sig000001ca ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b5d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d5c ), + .Q(\blk00000003/sig00000ddb ), + .Q15(\NLW_blk00000003/blk00000b5d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b5c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dda ), + .Q(\blk00000003/sig000001c7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b5b ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d58 ), + .Q(\blk00000003/sig00000dda ), + .Q15(\NLW_blk00000003/blk00000b5b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b5a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd9 ), + .Q(\blk00000003/sig000001c6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b59 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d54 ), + .Q(\blk00000003/sig00000dd9 ), + .Q15(\NLW_blk00000003/blk00000b59_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b58 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd8 ), + .Q(\blk00000003/sig000001c5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b57 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d52 ), + .Q(\blk00000003/sig00000dd8 ), + .Q15(\NLW_blk00000003/blk00000b57_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b56 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd7 ), + .Q(\blk00000003/sig000001c4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b55 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d50 ), + .Q(\blk00000003/sig00000dd7 ), + .Q15(\NLW_blk00000003/blk00000b55_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b54 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd6 ), + .Q(\blk00000003/sig000001c2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b53 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d48 ), + .Q(\blk00000003/sig00000dd6 ), + .Q15(\NLW_blk00000003/blk00000b53_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b52 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd5 ), + .Q(\blk00000003/sig0000017f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b51 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d4c ), + .Q(\blk00000003/sig00000dd5 ), + .Q15(\NLW_blk00000003/blk00000b51_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b50 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd4 ), + .Q(\blk00000003/sig000001c3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b4f ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d4e ), + .Q(\blk00000003/sig00000dd4 ), + .Q15(\NLW_blk00000003/blk00000b4f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b4e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd3 ), + .Q(\blk00000003/sig0000017e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b4d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d4a ), + .Q(\blk00000003/sig00000dd3 ), + .Q15(\NLW_blk00000003/blk00000b4d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b4c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd2 ), + .Q(\blk00000003/sig0000017d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b4b ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d46 ), + .Q(\blk00000003/sig00000dd2 ), + .Q15(\NLW_blk00000003/blk00000b4b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b4a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd1 ), + .Q(\blk00000003/sig0000017c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b49 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d44 ), + .Q(\blk00000003/sig00000dd1 ), + .Q15(\NLW_blk00000003/blk00000b49_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b48 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd0 ), + .Q(\blk00000003/sig0000017b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b47 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d42 ), + .Q(\blk00000003/sig00000dd0 ), + .Q15(\NLW_blk00000003/blk00000b47_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b46 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dcf ), + .Q(\blk00000003/sig00000179 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b45 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d3c ), + .Q(\blk00000003/sig00000dcf ), + .Q15(\NLW_blk00000003/blk00000b45_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b44 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dce ), + .Q(\blk00000003/sig00000178 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b43 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d3e ), + .Q(\blk00000003/sig00000dce ), + .Q15(\NLW_blk00000003/blk00000b43_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b42 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dcd ), + .Q(\blk00000003/sig0000017a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b41 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d40 ), + .Q(\blk00000003/sig00000dcd ), + .Q15(\NLW_blk00000003/blk00000b41_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b40 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dcc ), + .Q(\blk00000003/sig00000b8a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b3f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b7a ), + .Q(\blk00000003/sig00000dcc ), + .Q15(\NLW_blk00000003/blk00000b3f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b3e ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dcb ), + .Q(\blk00000003/sig00000b88 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b3d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b78 ), + .Q(\blk00000003/sig00000dcb ), + .Q15(\NLW_blk00000003/blk00000b3d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b3c ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dca ), + .Q(\blk00000003/sig00000b87 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b3b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b77 ), + .Q(\blk00000003/sig00000dca ), + .Q15(\NLW_blk00000003/blk00000b3b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b3a ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc9 ), + .Q(\blk00000003/sig00000b89 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b39 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b79 ), + .Q(\blk00000003/sig00000dc9 ), + .Q15(\NLW_blk00000003/blk00000b39_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b38 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc8 ), + .Q(\blk00000003/sig00000b86 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b37 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b76 ), + .Q(\blk00000003/sig00000dc8 ), + .Q15(\NLW_blk00000003/blk00000b37_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b36 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc7 ), + .Q(\blk00000003/sig00000b85 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b35 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b75 ), + .Q(\blk00000003/sig00000dc7 ), + .Q15(\NLW_blk00000003/blk00000b35_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b34 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc6 ), + .Q(\blk00000003/sig00000b84 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b33 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b74 ), + .Q(\blk00000003/sig00000dc6 ), + .Q15(\NLW_blk00000003/blk00000b33_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b32 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc5 ), + .Q(\blk00000003/sig00000b83 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b31 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b73 ), + .Q(\blk00000003/sig00000dc5 ), + .Q15(\NLW_blk00000003/blk00000b31_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b30 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc4 ), + .Q(\blk00000003/sig00000b92 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b2f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b82 ), + .Q(\blk00000003/sig00000dc4 ), + .Q15(\NLW_blk00000003/blk00000b2f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b2e ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc3 ), + .Q(\blk00000003/sig00000b91 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b2d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b81 ), + .Q(\blk00000003/sig00000dc3 ), + .Q15(\NLW_blk00000003/blk00000b2d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b2c ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc2 ), + .Q(\blk00000003/sig00000b90 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b2b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b80 ), + .Q(\blk00000003/sig00000dc2 ), + .Q15(\NLW_blk00000003/blk00000b2b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b2a ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc1 ), + .Q(\blk00000003/sig00000b8e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b29 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b7e ), + .Q(\blk00000003/sig00000dc1 ), + .Q15(\NLW_blk00000003/blk00000b29_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b28 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc0 ), + .Q(\blk00000003/sig00000b8d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b27 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b7d ), + .Q(\blk00000003/sig00000dc0 ), + .Q15(\NLW_blk00000003/blk00000b27_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b26 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dbf ), + .Q(\blk00000003/sig00000b8f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b25 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b7f ), + .Q(\blk00000003/sig00000dbf ), + .Q15(\NLW_blk00000003/blk00000b25_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b24 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dbe ), + .Q(\blk00000003/sig00000b8c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b23 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b7c ), + .Q(\blk00000003/sig00000dbe ), + .Q15(\NLW_blk00000003/blk00000b23_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b22 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dbd ), + .Q(\blk00000003/sig00000b8b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b21 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b7b ), + .Q(\blk00000003/sig00000dbd ), + .Q15(\NLW_blk00000003/blk00000b21_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b20 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000dbc ), + .Q(\blk00000003/sig00000a66 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b1f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a46 ), + .Q(\blk00000003/sig00000dbc ), + .Q15(\NLW_blk00000003/blk00000b1f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b1e ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000dbb ), + .Q(\blk00000003/sig00000a65 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b1d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a45 ), + .Q(\blk00000003/sig00000dbb ), + .Q15(\NLW_blk00000003/blk00000b1d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b1c ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000dba ), + .Q(\blk00000003/sig00000a64 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b1b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a44 ), + .Q(\blk00000003/sig00000dba ), + .Q15(\NLW_blk00000003/blk00000b1b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b1a ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db9 ), + .Q(\blk00000003/sig00000a63 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b19 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a43 ), + .Q(\blk00000003/sig00000db9 ), + .Q15(\NLW_blk00000003/blk00000b19_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b18 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db8 ), + .Q(\blk00000003/sig00000a61 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b17 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a41 ), + .Q(\blk00000003/sig00000db8 ), + .Q15(\NLW_blk00000003/blk00000b17_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b16 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db7 ), + .Q(\blk00000003/sig00000a60 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b15 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a40 ), + .Q(\blk00000003/sig00000db7 ), + .Q15(\NLW_blk00000003/blk00000b15_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b14 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db6 ), + .Q(\blk00000003/sig00000a62 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b13 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a42 ), + .Q(\blk00000003/sig00000db6 ), + .Q15(\NLW_blk00000003/blk00000b13_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b12 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db5 ), + .Q(\blk00000003/sig00000a5f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b11 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a3f ), + .Q(\blk00000003/sig00000db5 ), + .Q15(\NLW_blk00000003/blk00000b11_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b10 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db4 ), + .Q(\blk00000003/sig00000a5e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b0f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a3e ), + .Q(\blk00000003/sig00000db4 ), + .Q15(\NLW_blk00000003/blk00000b0f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b0e ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db3 ), + .Q(\blk00000003/sig00000a5d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b0d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a3d ), + .Q(\blk00000003/sig00000db3 ), + .Q15(\NLW_blk00000003/blk00000b0d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b0c ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db2 ), + .Q(\blk00000003/sig00000a5c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b0b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a3c ), + .Q(\blk00000003/sig00000db2 ), + .Q15(\NLW_blk00000003/blk00000b0b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b0a ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db1 ), + .Q(\blk00000003/sig00000a5a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b09 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a3a ), + .Q(\blk00000003/sig00000db1 ), + .Q15(\NLW_blk00000003/blk00000b09_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b08 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db0 ), + .Q(\blk00000003/sig00000a59 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b07 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a39 ), + .Q(\blk00000003/sig00000db0 ), + .Q15(\NLW_blk00000003/blk00000b07_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b06 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000daf ), + .Q(\blk00000003/sig00000a5b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b05 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a3b ), + .Q(\blk00000003/sig00000daf ), + .Q15(\NLW_blk00000003/blk00000b05_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b04 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000dae ), + .Q(\blk00000003/sig00000a58 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b03 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a38 ), + .Q(\blk00000003/sig00000dae ), + .Q15(\NLW_blk00000003/blk00000b03_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b02 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000dad ), + .Q(\blk00000003/sig00000a57 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b01 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a37 ), + .Q(\blk00000003/sig00000dad ), + .Q15(\NLW_blk00000003/blk00000b01_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b00 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000dac ), + .Q(\blk00000003/sig00000a75 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aff ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a55 ), + .Q(\blk00000003/sig00000dac ), + .Q15(\NLW_blk00000003/blk00000aff_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000afe ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000dab ), + .Q(\blk00000003/sig00000a74 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000afd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a54 ), + .Q(\blk00000003/sig00000dab ), + .Q15(\NLW_blk00000003/blk00000afd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000afc ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000daa ), + .Q(\blk00000003/sig00000a76 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000afb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a56 ), + .Q(\blk00000003/sig00000daa ), + .Q15(\NLW_blk00000003/blk00000afb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000afa ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da9 ), + .Q(\blk00000003/sig00000a73 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000af9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a53 ), + .Q(\blk00000003/sig00000da9 ), + .Q15(\NLW_blk00000003/blk00000af9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000af8 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da8 ), + .Q(\blk00000003/sig00000a72 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000af7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a52 ), + .Q(\blk00000003/sig00000da8 ), + .Q15(\NLW_blk00000003/blk00000af7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000af6 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da7 ), + .Q(\blk00000003/sig00000a71 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000af5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a51 ), + .Q(\blk00000003/sig00000da7 ), + .Q15(\NLW_blk00000003/blk00000af5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000af4 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da6 ), + .Q(\blk00000003/sig00000a70 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000af3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a50 ), + .Q(\blk00000003/sig00000da6 ), + .Q15(\NLW_blk00000003/blk00000af3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000af2 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da5 ), + .Q(\blk00000003/sig00000a6e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000af1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a4e ), + .Q(\blk00000003/sig00000da5 ), + .Q15(\NLW_blk00000003/blk00000af1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000af0 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da4 ), + .Q(\blk00000003/sig00000a6d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aef ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a4d ), + .Q(\blk00000003/sig00000da4 ), + .Q15(\NLW_blk00000003/blk00000aef_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aee ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da3 ), + .Q(\blk00000003/sig00000a6f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aed ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a4f ), + .Q(\blk00000003/sig00000da3 ), + .Q15(\NLW_blk00000003/blk00000aed_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aec ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da2 ), + .Q(\blk00000003/sig00000a6c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aeb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a4c ), + .Q(\blk00000003/sig00000da2 ), + .Q15(\NLW_blk00000003/blk00000aeb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aea ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da1 ), + .Q(\blk00000003/sig00000a6b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ae9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a4b ), + .Q(\blk00000003/sig00000da1 ), + .Q15(\NLW_blk00000003/blk00000ae9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ae8 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da0 ), + .Q(\blk00000003/sig00000a6a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ae7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a4a ), + .Q(\blk00000003/sig00000da0 ), + .Q15(\NLW_blk00000003/blk00000ae7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ae6 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000d9f ), + .Q(\blk00000003/sig00000a69 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ae5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a49 ), + .Q(\blk00000003/sig00000d9f ), + .Q15(\NLW_blk00000003/blk00000ae5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ae4 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000d9e ), + .Q(\blk00000003/sig00000a67 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ae3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a47 ), + .Q(\blk00000003/sig00000d9e ), + .Q15(\NLW_blk00000003/blk00000ae3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ae2 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000d9d ), + .Q(\blk00000003/sig00000a68 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ae1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a48 ), + .Q(\blk00000003/sig00000d9d ), + .Q15(\NLW_blk00000003/blk00000ae1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ae0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d9c ), + .Q(\blk00000003/sig00000a16 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000adf ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002af ), + .Q(\blk00000003/sig00000d9c ), + .Q15(\NLW_blk00000003/blk00000adf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ade ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d9b ), + .Q(\blk00000003/sig00000c62 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000add ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(NlwRenamedSig_OI_rfd), + .A3(NlwRenamedSig_OI_rfd), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000029c ), + .Q(\blk00000003/sig00000d9b ), + .Q15(\NLW_blk00000003/blk00000add_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000adc ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d99 ), + .Q(\blk00000003/sig00000d9a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000adb ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002ea ), + .Q(\blk00000003/sig00000d99 ), + .Q15(\NLW_blk00000003/blk00000adb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ada ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d97 ), + .Q(\blk00000003/sig00000d98 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ad9 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e9 ), + .Q(\blk00000003/sig00000d97 ), + .Q15(\NLW_blk00000003/blk00000ad9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ad8 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d95 ), + .Q(\blk00000003/sig00000d96 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ad7 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e8 ), + .Q(\blk00000003/sig00000d95 ), + .Q15(\NLW_blk00000003/blk00000ad7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ad6 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d93 ), + .Q(\blk00000003/sig00000d94 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ad5 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e7 ), + .Q(\blk00000003/sig00000d93 ), + .Q15(\NLW_blk00000003/blk00000ad5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ad4 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d91 ), + .Q(\blk00000003/sig00000d92 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ad3 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e5 ), + .Q(\blk00000003/sig00000d91 ), + .Q15(\NLW_blk00000003/blk00000ad3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ad2 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d8f ), + .Q(\blk00000003/sig00000d90 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ad1 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e4 ), + .Q(\blk00000003/sig00000d8f ), + .Q15(\NLW_blk00000003/blk00000ad1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ad0 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d8d ), + .Q(\blk00000003/sig00000d8e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000acf ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e6 ), + .Q(\blk00000003/sig00000d8d ), + .Q15(\NLW_blk00000003/blk00000acf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ace ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d8b ), + .Q(\blk00000003/sig00000d8c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000acd ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e3 ), + .Q(\blk00000003/sig00000d8b ), + .Q15(\NLW_blk00000003/blk00000acd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000acc ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d89 ), + .Q(\blk00000003/sig00000d8a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000acb ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e2 ), + .Q(\blk00000003/sig00000d89 ), + .Q15(\NLW_blk00000003/blk00000acb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aca ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d87 ), + .Q(\blk00000003/sig00000d88 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ac9 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e1 ), + .Q(\blk00000003/sig00000d87 ), + .Q15(\NLW_blk00000003/blk00000ac9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ac8 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d85 ), + .Q(\blk00000003/sig00000d86 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ac7 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e0 ), + .Q(\blk00000003/sig00000d85 ), + .Q15(\NLW_blk00000003/blk00000ac7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ac6 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d83 ), + .Q(\blk00000003/sig00000d84 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ac5 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002de ), + .Q(\blk00000003/sig00000d83 ), + .Q15(\NLW_blk00000003/blk00000ac5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ac4 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d81 ), + .Q(\blk00000003/sig00000d82 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ac3 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002dd ), + .Q(\blk00000003/sig00000d81 ), + .Q15(\NLW_blk00000003/blk00000ac3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ac2 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d7f ), + .Q(\blk00000003/sig00000d80 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ac1 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002df ), + .Q(\blk00000003/sig00000d7f ), + .Q15(\NLW_blk00000003/blk00000ac1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ac0 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d7d ), + .Q(\blk00000003/sig00000d7e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000abf ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002dc ), + .Q(\blk00000003/sig00000d7d ), + .Q15(\NLW_blk00000003/blk00000abf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000abe ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d7b ), + .Q(\blk00000003/sig00000d7c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000abd ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002db ), + .Q(\blk00000003/sig00000d7b ), + .Q15(\NLW_blk00000003/blk00000abd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000abc ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d79 ), + .Q(\blk00000003/sig00000d7a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000abb ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002da ), + .Q(\blk00000003/sig00000d79 ), + .Q15(\NLW_blk00000003/blk00000abb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aba ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d77 ), + .Q(\blk00000003/sig00000d78 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ab9 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d9 ), + .Q(\blk00000003/sig00000d77 ), + .Q15(\NLW_blk00000003/blk00000ab9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ab8 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d75 ), + .Q(\blk00000003/sig00000d76 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ab7 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d7 ), + .Q(\blk00000003/sig00000d75 ), + .Q15(\NLW_blk00000003/blk00000ab7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ab6 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d73 ), + .Q(\blk00000003/sig00000d74 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ab5 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d6 ), + .Q(\blk00000003/sig00000d73 ), + .Q15(\NLW_blk00000003/blk00000ab5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ab4 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d71 ), + .Q(\blk00000003/sig00000d72 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ab3 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d8 ), + .Q(\blk00000003/sig00000d71 ), + .Q15(\NLW_blk00000003/blk00000ab3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ab2 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d6f ), + .Q(\blk00000003/sig00000d70 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ab1 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d5 ), + .Q(\blk00000003/sig00000d6f ), + .Q15(\NLW_blk00000003/blk00000ab1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ab0 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d6d ), + .Q(\blk00000003/sig00000d6e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aaf ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d4 ), + .Q(\blk00000003/sig00000d6d ), + .Q15(\NLW_blk00000003/blk00000aaf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aae ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d6b ), + .Q(\blk00000003/sig00000d6c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aad ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d3 ), + .Q(\blk00000003/sig00000d6b ), + .Q15(\NLW_blk00000003/blk00000aad_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aac ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d69 ), + .Q(\blk00000003/sig00000d6a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aab ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d2 ), + .Q(\blk00000003/sig00000d69 ), + .Q15(\NLW_blk00000003/blk00000aab_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aaa ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d67 ), + .Q(\blk00000003/sig00000d68 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aa9 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d0 ), + .Q(\blk00000003/sig00000d67 ), + .Q15(\NLW_blk00000003/blk00000aa9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aa8 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d65 ), + .Q(\blk00000003/sig00000d66 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aa7 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002cf ), + .Q(\blk00000003/sig00000d65 ), + .Q15(\NLW_blk00000003/blk00000aa7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aa6 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d63 ), + .Q(\blk00000003/sig00000d64 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aa5 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d1 ), + .Q(\blk00000003/sig00000d63 ), + .Q15(\NLW_blk00000003/blk00000aa5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aa4 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d61 ), + .Q(\blk00000003/sig00000d62 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aa3 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002ce ), + .Q(\blk00000003/sig00000d61 ), + .Q15(\NLW_blk00000003/blk00000aa3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aa2 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d5f ), + .Q(\blk00000003/sig00000d60 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aa1 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002cd ), + .Q(\blk00000003/sig00000d5f ), + .Q15(\NLW_blk00000003/blk00000aa1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aa0 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d5d ), + .Q(\blk00000003/sig00000d5e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a9f ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002cc ), + .Q(\blk00000003/sig00000d5d ), + .Q15(\NLW_blk00000003/blk00000a9f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a9e ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d5b ), + .Q(\blk00000003/sig00000d5c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a9d ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002cb ), + .Q(\blk00000003/sig00000d5b ), + .Q15(\NLW_blk00000003/blk00000a9d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a9c ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d59 ), + .Q(\blk00000003/sig00000d5a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a9b ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c9 ), + .Q(\blk00000003/sig00000d59 ), + .Q15(\NLW_blk00000003/blk00000a9b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a9a ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d57 ), + .Q(\blk00000003/sig00000d58 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a99 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c8 ), + .Q(\blk00000003/sig00000d57 ), + .Q15(\NLW_blk00000003/blk00000a99_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a98 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d55 ), + .Q(\blk00000003/sig00000d56 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a97 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002ca ), + .Q(\blk00000003/sig00000d55 ), + .Q15(\NLW_blk00000003/blk00000a97_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a96 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d53 ), + .Q(\blk00000003/sig00000d54 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a95 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c7 ), + .Q(\blk00000003/sig00000d53 ), + .Q15(\NLW_blk00000003/blk00000a95_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a94 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d51 ), + .Q(\blk00000003/sig00000d52 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a93 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c6 ), + .Q(\blk00000003/sig00000d51 ), + .Q15(\NLW_blk00000003/blk00000a93_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a92 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d4f ), + .Q(\blk00000003/sig00000d50 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a91 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c5 ), + .Q(\blk00000003/sig00000d4f ), + .Q15(\NLW_blk00000003/blk00000a91_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a90 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d4d ), + .Q(\blk00000003/sig00000d4e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a8f ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c4 ), + .Q(\blk00000003/sig00000d4d ), + .Q15(\NLW_blk00000003/blk00000a8f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a8e ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d4b ), + .Q(\blk00000003/sig00000d4c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a8d ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c2 ), + .Q(\blk00000003/sig00000d4b ), + .Q15(\NLW_blk00000003/blk00000a8d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a8c ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d49 ), + .Q(\blk00000003/sig00000d4a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a8b ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c1 ), + .Q(\blk00000003/sig00000d49 ), + .Q15(\NLW_blk00000003/blk00000a8b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a8a ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d47 ), + .Q(\blk00000003/sig00000d48 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a89 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c3 ), + .Q(\blk00000003/sig00000d47 ), + .Q15(\NLW_blk00000003/blk00000a89_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a88 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d45 ), + .Q(\blk00000003/sig00000d46 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a87 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c0 ), + .Q(\blk00000003/sig00000d45 ), + .Q15(\NLW_blk00000003/blk00000a87_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a86 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d43 ), + .Q(\blk00000003/sig00000d44 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a85 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002bf ), + .Q(\blk00000003/sig00000d43 ), + .Q15(\NLW_blk00000003/blk00000a85_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a84 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d41 ), + .Q(\blk00000003/sig00000d42 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a83 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002be ), + .Q(\blk00000003/sig00000d41 ), + .Q15(\NLW_blk00000003/blk00000a83_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a82 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d3f ), + .Q(\blk00000003/sig00000d40 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a81 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002bd ), + .Q(\blk00000003/sig00000d3f ), + .Q15(\NLW_blk00000003/blk00000a81_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a80 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d3d ), + .Q(\blk00000003/sig00000d3e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a7f ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002bb ), + .Q(\blk00000003/sig00000d3d ), + .Q15(\NLW_blk00000003/blk00000a7f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a7e ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d3b ), + .Q(\blk00000003/sig00000d3c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a7d ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002bc ), + .Q(\blk00000003/sig00000d3b ), + .Q15(\NLW_blk00000003/blk00000a7d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a7c ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d3a ), + .Q(\blk00000003/sig00000b79 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a7b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b69 ), + .Q(\blk00000003/sig00000d3a ), + .Q15(\NLW_blk00000003/blk00000a7b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a7a ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d39 ), + .Q(\blk00000003/sig00000b78 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a79 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b68 ), + .Q(\blk00000003/sig00000d39 ), + .Q15(\NLW_blk00000003/blk00000a79_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a78 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d38 ), + .Q(\blk00000003/sig00000b7a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a77 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b6a ), + .Q(\blk00000003/sig00000d38 ), + .Q15(\NLW_blk00000003/blk00000a77_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a76 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d37 ), + .Q(\blk00000003/sig00000b77 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a75 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b67 ), + .Q(\blk00000003/sig00000d37 ), + .Q15(\NLW_blk00000003/blk00000a75_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a74 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d36 ), + .Q(\blk00000003/sig00000b76 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a73 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b66 ), + .Q(\blk00000003/sig00000d36 ), + .Q15(\NLW_blk00000003/blk00000a73_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a72 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d35 ), + .Q(\blk00000003/sig00000b75 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a71 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b65 ), + .Q(\blk00000003/sig00000d35 ), + .Q15(\NLW_blk00000003/blk00000a71_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a70 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d34 ), + .Q(\blk00000003/sig00000b74 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a6f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b64 ), + .Q(\blk00000003/sig00000d34 ), + .Q15(\NLW_blk00000003/blk00000a6f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a6e ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d33 ), + .Q(\blk00000003/sig00000b73 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a6d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b63 ), + .Q(\blk00000003/sig00000d33 ), + .Q15(\NLW_blk00000003/blk00000a6d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a6c ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d32 ), + .Q(\blk00000003/sig00000b82 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a6b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b72 ), + .Q(\blk00000003/sig00000d32 ), + .Q15(\NLW_blk00000003/blk00000a6b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a6a ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d31 ), + .Q(\blk00000003/sig00000b81 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a69 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b71 ), + .Q(\blk00000003/sig00000d31 ), + .Q15(\NLW_blk00000003/blk00000a69_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a68 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d30 ), + .Q(\blk00000003/sig00000b7f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a67 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b6f ), + .Q(\blk00000003/sig00000d30 ), + .Q15(\NLW_blk00000003/blk00000a67_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a66 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d2f ), + .Q(\blk00000003/sig00000b7e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a65 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b6e ), + .Q(\blk00000003/sig00000d2f ), + .Q15(\NLW_blk00000003/blk00000a65_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a64 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d2e ), + .Q(\blk00000003/sig00000b80 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a63 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b70 ), + .Q(\blk00000003/sig00000d2e ), + .Q15(\NLW_blk00000003/blk00000a63_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a62 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d2d ), + .Q(\blk00000003/sig00000b7d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a61 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b6d ), + .Q(\blk00000003/sig00000d2d ), + .Q15(\NLW_blk00000003/blk00000a61_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a60 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d2c ), + .Q(\blk00000003/sig00000b7c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a5f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b6c ), + .Q(\blk00000003/sig00000d2c ), + .Q15(\NLW_blk00000003/blk00000a5f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a5e ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d2b ), + .Q(\blk00000003/sig00000b7b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a5d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b6b ), + .Q(\blk00000003/sig00000d2b ), + .Q15(\NLW_blk00000003/blk00000a5d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a5c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d2a ), + .Q(\blk00000003/sig00000c6e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a5b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000299 ), + .Q(\blk00000003/sig00000d2a ), + .Q15(\NLW_blk00000003/blk00000a5b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a5a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d29 ), + .Q(\blk00000003/sig00000c6f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a59 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000297 ), + .Q(\blk00000003/sig00000d29 ), + .Q15(\NLW_blk00000003/blk00000a59_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a58 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d28 ), + .Q(\blk00000003/sig00000c71 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a57 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000296 ), + .Q(\blk00000003/sig00000d28 ), + .Q15(\NLW_blk00000003/blk00000a57_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a56 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d27 ), + .Q(\blk00000003/sig00000c70 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a55 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000298 ), + .Q(\blk00000003/sig00000d27 ), + .Q15(\NLW_blk00000003/blk00000a55_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a54 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d26 ), + .Q(\blk00000003/sig00000c73 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a53 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000295 ), + .Q(\blk00000003/sig00000d26 ), + .Q15(\NLW_blk00000003/blk00000a53_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a52 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d25 ), + .Q(\blk00000003/sig00000c72 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a51 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000294 ), + .Q(\blk00000003/sig00000d25 ), + .Q15(\NLW_blk00000003/blk00000a51_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a50 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d24 ), + .Q(\blk00000003/sig00000c74 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a4f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000293 ), + .Q(\blk00000003/sig00000d24 ), + .Q15(\NLW_blk00000003/blk00000a4f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a4e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d23 ), + .Q(\blk00000003/sig00000c76 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a4d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000292 ), + .Q(\blk00000003/sig00000d23 ), + .Q15(\NLW_blk00000003/blk00000a4d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a4c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d22 ), + .Q(\blk00000003/sig00000c77 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a4b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000290 ), + .Q(\blk00000003/sig00000d22 ), + .Q15(\NLW_blk00000003/blk00000a4b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a4a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d21 ), + .Q(\blk00000003/sig00000c79 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a49 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028f ), + .Q(\blk00000003/sig00000d21 ), + .Q15(\NLW_blk00000003/blk00000a49_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a48 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d20 ), + .Q(\blk00000003/sig00000c75 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a47 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000291 ), + .Q(\blk00000003/sig00000d20 ), + .Q15(\NLW_blk00000003/blk00000a47_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a46 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d1f ), + .Q(\blk00000003/sig00000c78 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a45 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028e ), + .Q(\blk00000003/sig00000d1f ), + .Q15(\NLW_blk00000003/blk00000a45_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a44 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d1e ), + .Q(\blk00000003/sig00000c7a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a43 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028d ), + .Q(\blk00000003/sig00000d1e ), + .Q15(\NLW_blk00000003/blk00000a43_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a42 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d1d ), + .Q(\blk00000003/sig00000c7c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a41 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028c ), + .Q(\blk00000003/sig00000d1d ), + .Q15(\NLW_blk00000003/blk00000a41_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a40 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d1c ), + .Q(\blk00000003/sig00000c7b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a3f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028b ), + .Q(\blk00000003/sig00000d1c ), + .Q15(\NLW_blk00000003/blk00000a3f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a3e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d1b ), + .Q(\blk00000003/sig00000c7e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a3d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000211 ), + .Q(\blk00000003/sig00000d1b ), + .Q15(\NLW_blk00000003/blk00000a3d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a3c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d1a ), + .Q(\blk00000003/sig00000c7f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a3b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000210 ), + .Q(\blk00000003/sig00000d1a ), + .Q15(\NLW_blk00000003/blk00000a3b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a3a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d19 ), + .Q(\blk00000003/sig00000c7d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a39 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028a ), + .Q(\blk00000003/sig00000d19 ), + .Q15(\NLW_blk00000003/blk00000a39_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a38 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d18 ), + .Q(\blk00000003/sig00000c80 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a37 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020f ), + .Q(\blk00000003/sig00000d18 ), + .Q15(\NLW_blk00000003/blk00000a37_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a36 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d17 ), + .Q(\blk00000003/sig00000c82 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a35 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020e ), + .Q(\blk00000003/sig00000d17 ), + .Q15(\NLW_blk00000003/blk00000a35_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a34 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d16 ), + .Q(\blk00000003/sig00000c81 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a33 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020d ), + .Q(\blk00000003/sig00000d16 ), + .Q15(\NLW_blk00000003/blk00000a33_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a32 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d15 ), + .Q(\blk00000003/sig00000c83 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a31 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020c ), + .Q(\blk00000003/sig00000d15 ), + .Q15(\NLW_blk00000003/blk00000a31_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a30 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d14 ), + .Q(\blk00000003/sig00000c84 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a2f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020a ), + .Q(\blk00000003/sig00000d14 ), + .Q15(\NLW_blk00000003/blk00000a2f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a2e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d13 ), + .Q(\blk00000003/sig00000c86 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a2d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000209 ), + .Q(\blk00000003/sig00000d13 ), + .Q15(\NLW_blk00000003/blk00000a2d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a2c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d12 ), + .Q(\blk00000003/sig00000c85 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a2b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020b ), + .Q(\blk00000003/sig00000d12 ), + .Q15(\NLW_blk00000003/blk00000a2b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a2a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d11 ), + .Q(\blk00000003/sig00000c88 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a29 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000208 ), + .Q(\blk00000003/sig00000d11 ), + .Q15(\NLW_blk00000003/blk00000a29_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a28 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d10 ), + .Q(\blk00000003/sig00000c87 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a27 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000207 ), + .Q(\blk00000003/sig00000d10 ), + .Q15(\NLW_blk00000003/blk00000a27_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a26 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d0f ), + .Q(\blk00000003/sig00000c89 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a25 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000206 ), + .Q(\blk00000003/sig00000d0f ), + .Q15(\NLW_blk00000003/blk00000a25_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a24 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d0e ), + .Q(\blk00000003/sig00000c8b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a23 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000205 ), + .Q(\blk00000003/sig00000d0e ), + .Q15(\NLW_blk00000003/blk00000a23_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a22 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d0d ), + .Q(\blk00000003/sig00000c8c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a21 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000203 ), + .Q(\blk00000003/sig00000d0d ), + .Q15(\NLW_blk00000003/blk00000a21_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a20 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d0c ), + .Q(\blk00000003/sig00000c8d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a1f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000202 ), + .Q(\blk00000003/sig00000d0c ), + .Q15(\NLW_blk00000003/blk00000a1f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a1e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d0b ), + .Q(\blk00000003/sig00000c8a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a1d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000204 ), + .Q(\blk00000003/sig00000d0b ), + .Q15(\NLW_blk00000003/blk00000a1d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a1c ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d0a ), + .Q(\blk00000003/sig00000a46 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a1b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a26 ), + .Q(\blk00000003/sig00000d0a ), + .Q15(\NLW_blk00000003/blk00000a1b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a1a ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d09 ), + .Q(\blk00000003/sig00000a45 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a19 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a25 ), + .Q(\blk00000003/sig00000d09 ), + .Q15(\NLW_blk00000003/blk00000a19_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a18 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d08 ), + .Q(\blk00000003/sig00000a43 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a17 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a23 ), + .Q(\blk00000003/sig00000d08 ), + .Q15(\NLW_blk00000003/blk00000a17_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a16 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d07 ), + .Q(\blk00000003/sig00000a42 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a15 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a22 ), + .Q(\blk00000003/sig00000d07 ), + .Q15(\NLW_blk00000003/blk00000a15_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a14 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d06 ), + .Q(\blk00000003/sig00000a44 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a13 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a24 ), + .Q(\blk00000003/sig00000d06 ), + .Q15(\NLW_blk00000003/blk00000a13_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a12 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d05 ), + .Q(\blk00000003/sig00000a41 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a11 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a21 ), + .Q(\blk00000003/sig00000d05 ), + .Q15(\NLW_blk00000003/blk00000a11_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a10 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d04 ), + .Q(\blk00000003/sig00000a40 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a0f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a20 ), + .Q(\blk00000003/sig00000d04 ), + .Q15(\NLW_blk00000003/blk00000a0f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a0e ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d03 ), + .Q(\blk00000003/sig00000a3f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a0d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a1f ), + .Q(\blk00000003/sig00000d03 ), + .Q15(\NLW_blk00000003/blk00000a0d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a0c ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d02 ), + .Q(\blk00000003/sig00000a3e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a0b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a1e ), + .Q(\blk00000003/sig00000d02 ), + .Q15(\NLW_blk00000003/blk00000a0b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a0a ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d01 ), + .Q(\blk00000003/sig00000a3c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a09 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a1c ), + .Q(\blk00000003/sig00000d01 ), + .Q15(\NLW_blk00000003/blk00000a09_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a08 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d00 ), + .Q(\blk00000003/sig00000a3b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a07 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a1b ), + .Q(\blk00000003/sig00000d00 ), + .Q15(\NLW_blk00000003/blk00000a07_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a06 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000cff ), + .Q(\blk00000003/sig00000a3d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a05 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a1d ), + .Q(\blk00000003/sig00000cff ), + .Q15(\NLW_blk00000003/blk00000a05_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a04 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000cfe ), + .Q(\blk00000003/sig00000a3a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a03 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a1a ), + .Q(\blk00000003/sig00000cfe ), + .Q15(\NLW_blk00000003/blk00000a03_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a02 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000cfd ), + .Q(\blk00000003/sig00000a39 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a01 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a19 ), + .Q(\blk00000003/sig00000cfd ), + .Q15(\NLW_blk00000003/blk00000a01_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a00 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000cfc ), + .Q(\blk00000003/sig00000a38 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ff ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a18 ), + .Q(\blk00000003/sig00000cfc ), + .Q15(\NLW_blk00000003/blk000009ff_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009fe ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000cfb ), + .Q(\blk00000003/sig00000a37 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009fd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a17 ), + .Q(\blk00000003/sig00000cfb ), + .Q15(\NLW_blk00000003/blk000009fd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009fc ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cfa ), + .Q(\blk00000003/sig00000a56 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009fb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a36 ), + .Q(\blk00000003/sig00000cfa ), + .Q15(\NLW_blk00000003/blk000009fb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009fa ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf9 ), + .Q(\blk00000003/sig00000a55 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009f9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a35 ), + .Q(\blk00000003/sig00000cf9 ), + .Q15(\NLW_blk00000003/blk000009f9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009f8 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf8 ), + .Q(\blk00000003/sig00000a54 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009f7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a34 ), + .Q(\blk00000003/sig00000cf8 ), + .Q15(\NLW_blk00000003/blk000009f7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009f6 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf7 ), + .Q(\blk00000003/sig00000a53 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009f5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a33 ), + .Q(\blk00000003/sig00000cf7 ), + .Q15(\NLW_blk00000003/blk000009f5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009f4 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf6 ), + .Q(\blk00000003/sig00000a52 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009f3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a32 ), + .Q(\blk00000003/sig00000cf6 ), + .Q15(\NLW_blk00000003/blk000009f3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009f2 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf5 ), + .Q(\blk00000003/sig00000a50 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009f1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a30 ), + .Q(\blk00000003/sig00000cf5 ), + .Q15(\NLW_blk00000003/blk000009f1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009f0 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf4 ), + .Q(\blk00000003/sig00000a4f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ef ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a2f ), + .Q(\blk00000003/sig00000cf4 ), + .Q15(\NLW_blk00000003/blk000009ef_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ee ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf3 ), + .Q(\blk00000003/sig00000a51 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ed ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a31 ), + .Q(\blk00000003/sig00000cf3 ), + .Q15(\NLW_blk00000003/blk000009ed_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ec ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf2 ), + .Q(\blk00000003/sig00000a4e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009eb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a2e ), + .Q(\blk00000003/sig00000cf2 ), + .Q15(\NLW_blk00000003/blk000009eb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ea ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf1 ), + .Q(\blk00000003/sig00000a4d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009e9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a2d ), + .Q(\blk00000003/sig00000cf1 ), + .Q15(\NLW_blk00000003/blk000009e9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009e8 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf0 ), + .Q(\blk00000003/sig00000a4c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009e7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a2c ), + .Q(\blk00000003/sig00000cf0 ), + .Q15(\NLW_blk00000003/blk000009e7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009e6 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cef ), + .Q(\blk00000003/sig00000a4b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009e5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a2b ), + .Q(\blk00000003/sig00000cef ), + .Q15(\NLW_blk00000003/blk000009e5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009e4 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cee ), + .Q(\blk00000003/sig00000a49 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009e3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a29 ), + .Q(\blk00000003/sig00000cee ), + .Q15(\NLW_blk00000003/blk000009e3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009e2 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000ced ), + .Q(\blk00000003/sig00000a48 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009e1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a28 ), + .Q(\blk00000003/sig00000ced ), + .Q15(\NLW_blk00000003/blk000009e1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009e0 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cec ), + .Q(\blk00000003/sig00000a4a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009df ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a2a ), + .Q(\blk00000003/sig00000cec ), + .Q15(\NLW_blk00000003/blk000009df_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009de ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000ceb ), + .Q(\blk00000003/sig00000a47 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009dd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a27 ), + .Q(\blk00000003/sig00000ceb ), + .Q15(\NLW_blk00000003/blk000009dd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cea ), + .Q(\blk00000003/sig00000ac6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009db ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002ea ), + .Q(\blk00000003/sig00000cea ), + .Q15(\NLW_blk00000003/blk000009db_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009da ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce9 ), + .Q(\blk00000003/sig00000ac5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009d9 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e9 ), + .Q(\blk00000003/sig00000ce9 ), + .Q15(\NLW_blk00000003/blk000009d9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce8 ), + .Q(\blk00000003/sig00000ac4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009d7 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e8 ), + .Q(\blk00000003/sig00000ce8 ), + .Q15(\NLW_blk00000003/blk000009d7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce7 ), + .Q(\blk00000003/sig00000ac2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009d5 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e6 ), + .Q(\blk00000003/sig00000ce7 ), + .Q15(\NLW_blk00000003/blk000009d5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce6 ), + .Q(\blk00000003/sig00000ac1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009d3 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e5 ), + .Q(\blk00000003/sig00000ce6 ), + .Q15(\NLW_blk00000003/blk000009d3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce5 ), + .Q(\blk00000003/sig00000ac3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009d1 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e7 ), + .Q(\blk00000003/sig00000ce5 ), + .Q15(\NLW_blk00000003/blk000009d1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce4 ), + .Q(\blk00000003/sig00000ac0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009cf ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e4 ), + .Q(\blk00000003/sig00000ce4 ), + .Q15(\NLW_blk00000003/blk000009cf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce3 ), + .Q(\blk00000003/sig00000abf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009cd ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e3 ), + .Q(\blk00000003/sig00000ce3 ), + .Q15(\NLW_blk00000003/blk000009cd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce2 ), + .Q(\blk00000003/sig00000abe ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009cb ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e2 ), + .Q(\blk00000003/sig00000ce2 ), + .Q15(\NLW_blk00000003/blk000009cb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce1 ), + .Q(\blk00000003/sig00000abd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009c9 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e1 ), + .Q(\blk00000003/sig00000ce1 ), + .Q15(\NLW_blk00000003/blk000009c9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce0 ), + .Q(\blk00000003/sig00000abb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009c7 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002df ), + .Q(\blk00000003/sig00000ce0 ), + .Q15(\NLW_blk00000003/blk000009c7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cdf ), + .Q(\blk00000003/sig00000aba ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009c5 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002de ), + .Q(\blk00000003/sig00000cdf ), + .Q15(\NLW_blk00000003/blk000009c5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cde ), + .Q(\blk00000003/sig00000abc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009c3 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e0 ), + .Q(\blk00000003/sig00000cde ), + .Q15(\NLW_blk00000003/blk000009c3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cdd ), + .Q(\blk00000003/sig00000ab9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009c1 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002dd ), + .Q(\blk00000003/sig00000cdd ), + .Q15(\NLW_blk00000003/blk000009c1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cdc ), + .Q(\blk00000003/sig00000ab8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009bf ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002dc ), + .Q(\blk00000003/sig00000cdc ), + .Q15(\NLW_blk00000003/blk000009bf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009be ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cdb ), + .Q(\blk00000003/sig00000ab7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009bd ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002db ), + .Q(\blk00000003/sig00000cdb ), + .Q15(\NLW_blk00000003/blk000009bd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cda ), + .Q(\blk00000003/sig00000bba ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009bb ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002da ), + .Q(\blk00000003/sig00000cda ), + .Q15(\NLW_blk00000003/blk000009bb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd9 ), + .Q(\blk00000003/sig00000bb8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009b9 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d8 ), + .Q(\blk00000003/sig00000cd9 ), + .Q15(\NLW_blk00000003/blk000009b9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd8 ), + .Q(\blk00000003/sig00000bb7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009b7 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d7 ), + .Q(\blk00000003/sig00000cd8 ), + .Q15(\NLW_blk00000003/blk000009b7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd7 ), + .Q(\blk00000003/sig00000bb9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009b5 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d9 ), + .Q(\blk00000003/sig00000cd7 ), + .Q15(\NLW_blk00000003/blk000009b5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd6 ), + .Q(\blk00000003/sig00000bb6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009b3 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d6 ), + .Q(\blk00000003/sig00000cd6 ), + .Q15(\NLW_blk00000003/blk000009b3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd5 ), + .Q(\blk00000003/sig00000bb5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009b1 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d5 ), + .Q(\blk00000003/sig00000cd5 ), + .Q15(\NLW_blk00000003/blk000009b1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd4 ), + .Q(\blk00000003/sig00000bb4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009af ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d4 ), + .Q(\blk00000003/sig00000cd4 ), + .Q15(\NLW_blk00000003/blk000009af_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd3 ), + .Q(\blk00000003/sig00000bb3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ad ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d3 ), + .Q(\blk00000003/sig00000cd3 ), + .Q15(\NLW_blk00000003/blk000009ad_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd2 ), + .Q(\blk00000003/sig00000ae5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ab ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d1 ), + .Q(\blk00000003/sig00000cd2 ), + .Q15(\NLW_blk00000003/blk000009ab_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd1 ), + .Q(\blk00000003/sig00000ae4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009a9 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d0 ), + .Q(\blk00000003/sig00000cd1 ), + .Q15(\NLW_blk00000003/blk000009a9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd0 ), + .Q(\blk00000003/sig00000ae6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009a7 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d2 ), + .Q(\blk00000003/sig00000cd0 ), + .Q15(\NLW_blk00000003/blk000009a7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ccf ), + .Q(\blk00000003/sig00000ae3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009a5 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002cf ), + .Q(\blk00000003/sig00000ccf ), + .Q15(\NLW_blk00000003/blk000009a5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cce ), + .Q(\blk00000003/sig00000ae2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009a3 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002ce ), + .Q(\blk00000003/sig00000cce ), + .Q15(\NLW_blk00000003/blk000009a3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ccd ), + .Q(\blk00000003/sig00000ae1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009a1 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002cd ), + .Q(\blk00000003/sig00000ccd ), + .Q15(\NLW_blk00000003/blk000009a1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ccc ), + .Q(\blk00000003/sig00000ae0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000099f ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002cc ), + .Q(\blk00000003/sig00000ccc ), + .Q15(\NLW_blk00000003/blk0000099f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000099e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ccb ), + .Q(\blk00000003/sig00000ade ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000099d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002ca ), + .Q(\blk00000003/sig00000ccb ), + .Q15(\NLW_blk00000003/blk0000099d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000099c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cca ), + .Q(\blk00000003/sig00000add ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000099b ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c9 ), + .Q(\blk00000003/sig00000cca ), + .Q15(\NLW_blk00000003/blk0000099b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000099a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc9 ), + .Q(\blk00000003/sig00000adf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000999 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002cb ), + .Q(\blk00000003/sig00000cc9 ), + .Q15(\NLW_blk00000003/blk00000999_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000998 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc8 ), + .Q(\blk00000003/sig00000adc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000997 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c8 ), + .Q(\blk00000003/sig00000cc8 ), + .Q15(\NLW_blk00000003/blk00000997_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000996 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc7 ), + .Q(\blk00000003/sig00000adb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000995 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c7 ), + .Q(\blk00000003/sig00000cc7 ), + .Q15(\NLW_blk00000003/blk00000995_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000994 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc6 ), + .Q(\blk00000003/sig00000ada ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000993 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c6 ), + .Q(\blk00000003/sig00000cc6 ), + .Q15(\NLW_blk00000003/blk00000993_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000992 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc5 ), + .Q(\blk00000003/sig00000ad9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000991 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c5 ), + .Q(\blk00000003/sig00000cc5 ), + .Q15(\NLW_blk00000003/blk00000991_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000990 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc4 ), + .Q(\blk00000003/sig00000ad7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000098f ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c3 ), + .Q(\blk00000003/sig00000cc4 ), + .Q15(\NLW_blk00000003/blk0000098f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000098e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc3 ), + .Q(\blk00000003/sig00000bca ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000098d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c2 ), + .Q(\blk00000003/sig00000cc3 ), + .Q15(\NLW_blk00000003/blk0000098d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000098c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc2 ), + .Q(\blk00000003/sig00000ad8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000098b ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c4 ), + .Q(\blk00000003/sig00000cc2 ), + .Q15(\NLW_blk00000003/blk0000098b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000098a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc1 ), + .Q(\blk00000003/sig00000bc9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000989 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c1 ), + .Q(\blk00000003/sig00000cc1 ), + .Q15(\NLW_blk00000003/blk00000989_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000988 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc0 ), + .Q(\blk00000003/sig00000bc8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000987 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c0 ), + .Q(\blk00000003/sig00000cc0 ), + .Q15(\NLW_blk00000003/blk00000987_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000986 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cbf ), + .Q(\blk00000003/sig00000bc7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000985 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002bf ), + .Q(\blk00000003/sig00000cbf ), + .Q15(\NLW_blk00000003/blk00000985_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000984 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cbe ), + .Q(\blk00000003/sig00000bc6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000983 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002be ), + .Q(\blk00000003/sig00000cbe ), + .Q15(\NLW_blk00000003/blk00000983_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000982 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cbd ), + .Q(\blk00000003/sig00000bc4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000981 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002bc ), + .Q(\blk00000003/sig00000cbd ), + .Q15(\NLW_blk00000003/blk00000981_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000980 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cbc ), + .Q(\blk00000003/sig00000bc3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000097f ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002bb ), + .Q(\blk00000003/sig00000cbc ), + .Q15(\NLW_blk00000003/blk0000097f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000097e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cbb ), + .Q(\blk00000003/sig00000bc5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000097d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002bd ), + .Q(\blk00000003/sig00000cbb ), + .Q15(\NLW_blk00000003/blk0000097d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000097c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cba ), + .Q(\blk00000003/sig00000378 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000097b ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002a6 ), + .Q(\blk00000003/sig00000cba ), + .Q15(\NLW_blk00000003/blk0000097b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000097a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb9 ), + .Q(\blk00000003/sig00000379 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000979 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000373 ), + .Q(\blk00000003/sig00000cb9 ), + .Q15(\NLW_blk00000003/blk00000979_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000978 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb8 ), + .Q(\blk00000003/sig00000c66 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000977 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002a2 ), + .Q(\blk00000003/sig00000cb8 ), + .Q15(\NLW_blk00000003/blk00000977_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000976 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb7 ), + .Q(\blk00000003/sig00000b08 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000975 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[0]), + .Q(\blk00000003/sig00000cb7 ), + .Q15(\NLW_blk00000003/blk00000975_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000974 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb6 ), + .Q(\blk00000003/sig00000b06 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000973 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[2]), + .Q(\blk00000003/sig00000cb6 ), + .Q15(\NLW_blk00000003/blk00000973_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000972 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb5 ), + .Q(\blk00000003/sig00000b05 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000971 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[3]), + .Q(\blk00000003/sig00000cb5 ), + .Q15(\NLW_blk00000003/blk00000971_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000970 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb4 ), + .Q(\blk00000003/sig00000b07 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000096f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[1]), + .Q(\blk00000003/sig00000cb4 ), + .Q15(\NLW_blk00000003/blk0000096f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000096e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb3 ), + .Q(\blk00000003/sig00000b04 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000096d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[4]), + .Q(\blk00000003/sig00000cb3 ), + .Q15(\NLW_blk00000003/blk0000096d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000096c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb2 ), + .Q(\blk00000003/sig00000b03 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000096b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[5]), + .Q(\blk00000003/sig00000cb2 ), + .Q15(\NLW_blk00000003/blk0000096b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000096a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb1 ), + .Q(\blk00000003/sig00000b02 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000969 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[6]), + .Q(\blk00000003/sig00000cb1 ), + .Q15(\NLW_blk00000003/blk00000969_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000968 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb0 ), + .Q(\blk00000003/sig00000b01 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000967 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[7]), + .Q(\blk00000003/sig00000cb0 ), + .Q15(\NLW_blk00000003/blk00000967_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000966 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000caf ), + .Q(\blk00000003/sig00000aff ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000965 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[9]), + .Q(\blk00000003/sig00000caf ), + .Q15(\NLW_blk00000003/blk00000965_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000964 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cae ), + .Q(\blk00000003/sig00000afe ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000963 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[10]), + .Q(\blk00000003/sig00000cae ), + .Q15(\NLW_blk00000003/blk00000963_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000962 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cad ), + .Q(\blk00000003/sig00000b00 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000961 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[8]), + .Q(\blk00000003/sig00000cad ), + .Q15(\NLW_blk00000003/blk00000961_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000960 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cac ), + .Q(\blk00000003/sig00000afd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000095f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[11]), + .Q(\blk00000003/sig00000cac ), + .Q15(\NLW_blk00000003/blk0000095f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000095e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cab ), + .Q(\blk00000003/sig00000afc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000095d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[12]), + .Q(\blk00000003/sig00000cab ), + .Q15(\NLW_blk00000003/blk0000095d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000095c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000caa ), + .Q(\blk00000003/sig00000afb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000095b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[13]), + .Q(\blk00000003/sig00000caa ), + .Q15(\NLW_blk00000003/blk0000095b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000095a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ca9 ), + .Q(\blk00000003/sig00000afa ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000959 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[14]), + .Q(\blk00000003/sig00000ca9 ), + .Q15(\NLW_blk00000003/blk00000959_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000958 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ca8 ), + .Q(\blk00000003/sig00000af8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000957 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[16]), + .Q(\blk00000003/sig00000ca8 ), + .Q15(\NLW_blk00000003/blk00000957_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000956 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ca7 ), + .Q(\blk00000003/sig00000af7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000955 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[17]), + .Q(\blk00000003/sig00000ca7 ), + .Q15(\NLW_blk00000003/blk00000955_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000954 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ca6 ), + .Q(\blk00000003/sig00000af9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000953 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[15]), + .Q(\blk00000003/sig00000ca6 ), + .Q15(\NLW_blk00000003/blk00000953_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000952 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ca5 ), + .Q(\blk00000003/sig000002af ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000951 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002b1 ), + .Q(\blk00000003/sig00000ca5 ), + .Q15(\NLW_blk00000003/blk00000951_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000950 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ca4 ), + .Q(\blk00000003/sig00000a15 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000094f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002a8 ), + .Q(\blk00000003/sig00000ca4 ), + .Q15(\NLW_blk00000003/blk0000094f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000094e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ca3 ), + .Q(\blk00000003/sig00000bd5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000094d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002a0 ), + .Q(\blk00000003/sig00000ca3 ), + .Q15(\NLW_blk00000003/blk0000094d_Q15_UNCONNECTED ) + ); + INV \blk00000003/blk0000094c ( + .I(\blk00000003/sig00000318 ), + .O(\blk00000003/sig0000034a ) + ); + INV \blk00000003/blk0000094b ( + .I(\blk00000003/sig000002f4 ), + .O(\blk00000003/sig00000306 ) + ); + INV \blk00000003/blk0000094a ( + .I(\blk00000003/sig00000353 ), + .O(\blk00000003/sig00000343 ) + ); + INV \blk00000003/blk00000949 ( + .I(\blk00000003/sig0000035a ), + .O(\blk00000003/sig00000349 ) + ); + INV \blk00000003/blk00000948 ( + .I(\blk00000003/sig000002a0 ), + .O(\blk00000003/sig00000358 ) + ); + INV \blk00000003/blk00000947 ( + .I(\blk00000003/sig00000be7 ), + .O(\blk00000003/sig00000c60 ) + ); + INV \blk00000003/blk00000946 ( + .I(\blk00000003/sig0000031c ), + .O(\blk00000003/sig0000035b ) + ); + INV \blk00000003/blk00000945 ( + .I(\blk00000003/sig00000318 ), + .O(\blk00000003/sig00000344 ) + ); + INV \blk00000003/blk00000944 ( + .I(\blk00000003/sig000002ee ), + .O(\blk00000003/sig0000031d ) + ); + INV \blk00000003/blk00000943 ( + .I(\blk00000003/sig000002f4 ), + .O(\blk00000003/sig00000304 ) + ); + INV \blk00000003/blk00000942 ( + .I(\blk00000003/sig0000029e ), + .O(\blk00000003/sig0000016e ) + ); + INV \blk00000003/blk00000941 ( + .I(\blk00000003/sig00000161 ), + .O(\blk00000003/sig0000029d ) + ); + INV \blk00000003/blk00000940 ( + .I(\blk00000003/sig0000016b ), + .O(\blk00000003/sig0000016c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000093f ( + .I0(ce), + .I1(\blk00000003/sig000009f8 ), + .O(\blk00000003/sig00000bd3 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000093e ( + .I0(ce), + .I1(\blk00000003/sig000009f8 ), + .O(\blk00000003/sig00000bd4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000093d ( + .I0(ce), + .I1(\blk00000003/sig000009f8 ), + .O(\blk00000003/sig00000ca2 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000093c ( + .I0(ce), + .I1(\blk00000003/sig000009f8 ), + .O(\blk00000003/sig00000ca1 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000093b ( + .I0(ce), + .I1(\blk00000003/sig00000a0f ), + .O(\blk00000003/sig00000ca0 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000093a ( + .I0(ce), + .I1(\blk00000003/sig00000a0f ), + .O(\blk00000003/sig00000c9f ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000939 ( + .I0(ce), + .I1(\blk00000003/sig00000a0a ), + .O(\blk00000003/sig00000c9e ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000938 ( + .I0(ce), + .I1(\blk00000003/sig00000a0a ), + .O(\blk00000003/sig00000c9d ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000937 ( + .I0(ce), + .I1(\blk00000003/sig00000a03 ), + .O(\blk00000003/sig00000c9c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000936 ( + .I0(ce), + .I1(\blk00000003/sig00000a03 ), + .O(\blk00000003/sig00000c9b ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000935 ( + .I0(ce), + .I1(\blk00000003/sig000009f7 ), + .O(\blk00000003/sig00000c9a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000934 ( + .I0(ce), + .I1(\blk00000003/sig000009f7 ), + .O(\blk00000003/sig00000c99 ) + ); + LUT3 #( + .INIT ( 8'h20 )) + \blk00000003/blk00000933 ( + .I0(\blk00000003/sig0000030e ), + .I1(\blk00000003/sig00000325 ), + .I2(coef_ld), + .O(\blk00000003/sig00000321 ) + ); + LUT5 #( + .INIT ( 32'h4F444444 )) + \blk00000003/blk00000932 ( + .I0(\blk00000003/sig00000322 ), + .I1(\blk00000003/sig00000316 ), + .I2(\blk00000003/sig00000325 ), + .I3(coef_ld), + .I4(\blk00000003/sig0000030e ), + .O(\blk00000003/sig00000319 ) + ); + LUT4 #( + .INIT ( 16'h1000 )) + \blk00000003/blk00000931 ( + .I0(coef_ld), + .I1(\blk00000003/sig00000310 ), + .I2(coef_we), + .I3(\blk00000003/sig0000030e ), + .O(\blk00000003/sig00000320 ) + ); + LUT5 #( + .INIT ( 32'h20AA2020 )) + \blk00000003/blk00000930 ( + .I0(\blk00000003/sig0000030e ), + .I1(\blk00000003/sig00000310 ), + .I2(coef_we), + .I3(\blk00000003/sig00000325 ), + .I4(coef_ld), + .O(\blk00000003/sig0000031f ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk0000092f ( + .I0(ce), + .I1(sclr), + .I2(\blk00000003/sig00000c67 ), + .O(\blk00000003/sig00000c90 ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk0000092e ( + .I0(\blk00000003/sig00000353 ), + .I1(ce), + .I2(\blk00000003/sig00000316 ), + .I3(\blk00000003/sig000002ec ), + .O(\blk00000003/sig00000c98 ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk0000092d ( + .I0(\blk00000003/sig0000035a ), + .I1(ce), + .I2(\blk00000003/sig00000314 ), + .I3(\blk00000003/sig0000034b ), + .O(\blk00000003/sig00000c97 ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk0000092c ( + .I0(\blk00000003/sig00000c64 ), + .I1(ce), + .I2(\blk00000003/sig000002a6 ), + .I3(\blk00000003/sig000002a8 ), + .O(\blk00000003/sig00000c92 ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk0000092b ( + .I0(ce), + .I1(\blk00000003/sig00000325 ), + .I2(\blk00000003/sig00000c65 ), + .O(\blk00000003/sig00000c8f ) + ); + LUT4 #( + .INIT ( 16'h6AAA )) + \blk00000003/blk0000092a ( + .I0(\blk00000003/sig000002b3 ), + .I1(\blk00000003/sig000002a8 ), + .I2(\blk00000003/sig00000c94 ), + .I3(ce), + .O(\blk00000003/sig00000c96 ) + ); + LUT5 #( + .INIT ( 32'h7FFF8000 )) + \blk00000003/blk00000929 ( + .I0(nd), + .I1(ce), + .I2(\blk00000003/sig00000159 ), + .I3(\blk00000003/sig00000c63 ), + .I4(\blk00000003/sig000002b8 ), + .O(\blk00000003/sig00000c95 ) + ); + LUT3 #( + .INIT ( 8'h6C )) + \blk00000003/blk00000928 ( + .I0(\blk00000003/sig000002a8 ), + .I1(\blk00000003/sig00000c94 ), + .I2(ce), + .O(\blk00000003/sig00000c93 ) + ); + LUT4 #( + .INIT ( 16'h6AAA )) + \blk00000003/blk00000927 ( + .I0(\blk00000003/sig00000c63 ), + .I1(\blk00000003/sig00000159 ), + .I2(ce), + .I3(nd), + .O(\blk00000003/sig00000c91 ) + ); + LUT4 #( + .INIT ( 16'hEAAA )) + \blk00000003/blk00000926 ( + .I0(\blk00000003/sig00000330 ), + .I1(\blk00000003/sig000002f8 ), + .I2(coef_we), + .I3(\blk00000003/sig00000302 ), + .O(\blk00000003/sig0000032a ) + ); + LUT4 #( + .INIT ( 16'hEAAA )) + \blk00000003/blk00000925 ( + .I0(\blk00000003/sig00000331 ), + .I1(\blk00000003/sig000002f8 ), + .I2(coef_we), + .I3(\blk00000003/sig00000302 ), + .O(\blk00000003/sig0000032d ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk00000924 ( + .C(clk), + .D(\blk00000003/sig00000c98 ), + .Q(\blk00000003/sig00000353 ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk00000923 ( + .C(clk), + .D(\blk00000003/sig00000c97 ), + .Q(\blk00000003/sig0000035a ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk00000922 ( + .C(clk), + .D(\blk00000003/sig00000c96 ), + .R(sclr), + .Q(\blk00000003/sig000002b3 ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk00000921 ( + .C(clk), + .D(\blk00000003/sig00000c95 ), + .R(sclr), + .Q(\blk00000003/sig000002b8 ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk00000920 ( + .C(clk), + .D(\blk00000003/sig00000c93 ), + .R(sclr), + .Q(\blk00000003/sig00000c94 ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk0000091f ( + .C(clk), + .D(\blk00000003/sig00000c92 ), + .R(sclr), + .Q(\blk00000003/sig00000c64 ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk0000091e ( + .C(clk), + .D(\blk00000003/sig00000c91 ), + .R(sclr), + .Q(\blk00000003/sig00000c63 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000091d ( + .I0(\blk00000003/sig00000beb ), + .O(\blk00000003/sig00000be5 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000091c ( + .I0(\blk00000003/sig00000bea ), + .O(\blk00000003/sig00000be2 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000091b ( + .I0(\blk00000003/sig00000be9 ), + .O(\blk00000003/sig00000bdf ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000091a ( + .I0(\blk00000003/sig00000be8 ), + .O(\blk00000003/sig00000bdc ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000919 ( + .I0(\blk00000003/sig00000be7 ), + .O(\blk00000003/sig00000bd9 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000918 ( + .I0(\blk00000003/sig00000375 ), + .O(\blk00000003/sig00000376 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000917 ( + .I0(\blk00000003/sig00000369 ), + .O(\blk00000003/sig00000363 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000916 ( + .I0(\blk00000003/sig00000334 ), + .O(\blk00000003/sig00000335 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000915 ( + .I0(\blk00000003/sig0000029e ), + .O(\blk00000003/sig0000016f ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000914 ( + .I0(\blk00000003/sig00000c63 ), + .O(\blk00000003/sig00000160 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000913 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c5a ), + .R(sclr), + .Q(\blk00000003/sig00000c5f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000912 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c57 ), + .R(sclr), + .Q(\blk00000003/sig00000c5e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000911 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c54 ), + .R(sclr), + .Q(\blk00000003/sig00000c5d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000910 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c51 ), + .R(sclr), + .Q(\blk00000003/sig00000c5c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000090f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c4e ), + .R(sclr), + .Q(\blk00000003/sig00000c5b ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk0000090e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bd7 ), + .S(sclr), + .Q(\blk00000003/sig00000bec ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000090d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000be6 ), + .R(sclr), + .Q(\blk00000003/sig00000beb ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk0000090c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000be3 ), + .S(sclr), + .Q(\blk00000003/sig00000bea ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk0000090b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000be0 ), + .S(sclr), + .Q(\blk00000003/sig00000be9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000090a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bdd ), + .R(sclr), + .Q(\blk00000003/sig00000be8 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000909 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bda ), + .S(sclr), + .Q(\blk00000003/sig00000be7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000908 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000377 ), + .R(\blk00000003/sig0000037a ), + .Q(\blk00000003/sig00000375 ) + ); + FDR \blk00000003/blk00000907 ( + .C(clk), + .D(\blk00000003/sig00000c90 ), + .R(ce), + .Q(\blk00000003/sig00000c67 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000906 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000371 ), + .R(\blk00000003/sig00000379 ), + .Q(\blk00000003/sig0000036f ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000905 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000036c ), + .S(\blk00000003/sig00000379 ), + .Q(\blk00000003/sig0000036a ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000904 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000364 ), + .S(sclr), + .Q(\blk00000003/sig00000369 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000903 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000367 ), + .R(sclr), + .Q(\blk00000003/sig00000368 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000902 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000035e ), + .R(sclr), + .Q(\blk00000003/sig000002ba ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000901 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000361 ), + .R(sclr), + .Q(\blk00000003/sig000002b9 ) + ); + FDR \blk00000003/blk00000900 ( + .C(clk), + .D(\blk00000003/sig00000c8f ), + .R(ce), + .Q(\blk00000003/sig00000c65 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000336 ), + .R(\blk00000003/sig00000339 ), + .Q(\blk00000003/sig00000334 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000328 ), + .R(coef_ld), + .Q(\blk00000003/sig00000332 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000032e ), + .R(coef_ld), + .Q(\blk00000003/sig00000331 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000032b ), + .R(coef_ld), + .Q(\blk00000003/sig00000330 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002f6 ), + .R(coef_ld), + .Q(\blk00000003/sig000002f4 ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk000008fa ( + .I0(\blk00000003/sig0000016b ), + .I1(sclr), + .O(\blk00000003/sig00000c8e ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk000008f9 ( + .C(clk), + .D(\blk00000003/sig00000c8e ), + .Q(\blk00000003/sig0000016b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000163 ), + .R(sclr), + .Q(\blk00000003/sig00000161 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000008f7 ( + .I0(\blk00000003/sig00000c5b ), + .I1(\blk00000003/sig00000be7 ), + .O(\blk00000003/sig00000c4d ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000008f6 ( + .I0(\blk00000003/sig00000c5c ), + .I1(\blk00000003/sig00000be7 ), + .O(\blk00000003/sig00000c50 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000008f5 ( + .I0(\blk00000003/sig00000c5d ), + .I1(\blk00000003/sig00000be7 ), + .O(\blk00000003/sig00000c53 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000008f4 ( + .I0(\blk00000003/sig00000c5e ), + .I1(\blk00000003/sig00000be7 ), + .O(\blk00000003/sig00000c56 ) + ); + LUT3 #( + .INIT ( 8'hDE )) + \blk00000003/blk000008f3 ( + .I0(\blk00000003/sig00000c5f ), + .I1(\blk00000003/sig00000be7 ), + .I2(\blk00000003/sig000002ad ), + .O(\blk00000003/sig00000c59 ) + ); + LUT3 #( + .INIT ( 8'h04 )) + \blk00000003/blk000008f2 ( + .I0(\blk00000003/sig000002ad ), + .I1(\blk00000003/sig0000004a ), + .I2(\blk00000003/sig00000be7 ), + .O(\blk00000003/sig00000c4b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008f1 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000de ), + .I3(NlwRenamedSig_OI_dout_2[45]), + .O(\blk00000003/sig00000c49 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008f0 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000dd ), + .I3(NlwRenamedSig_OI_dout_2[46]), + .O(\blk00000003/sig00000c4a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ef ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000df ), + .I3(NlwRenamedSig_OI_dout_2[44]), + .O(\blk00000003/sig00000c48 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ee ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e1 ), + .I3(NlwRenamedSig_OI_dout_2[42]), + .O(\blk00000003/sig00000c46 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ed ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e0 ), + .I3(NlwRenamedSig_OI_dout_2[43]), + .O(\blk00000003/sig00000c47 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ec ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e2 ), + .I3(NlwRenamedSig_OI_dout_2[41]), + .O(\blk00000003/sig00000c45 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008eb ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e4 ), + .I3(NlwRenamedSig_OI_dout_2[39]), + .O(\blk00000003/sig00000c43 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ea ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e3 ), + .I3(NlwRenamedSig_OI_dout_2[40]), + .O(\blk00000003/sig00000c44 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e9 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e5 ), + .I3(NlwRenamedSig_OI_dout_2[38]), + .O(\blk00000003/sig00000c42 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e8 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e7 ), + .I3(NlwRenamedSig_OI_dout_2[36]), + .O(\blk00000003/sig00000c40 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e7 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e6 ), + .I3(NlwRenamedSig_OI_dout_2[37]), + .O(\blk00000003/sig00000c41 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e6 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e8 ), + .I3(NlwRenamedSig_OI_dout_2[35]), + .O(\blk00000003/sig00000c3f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e5 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000ea ), + .I3(NlwRenamedSig_OI_dout_2[33]), + .O(\blk00000003/sig00000c3d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e4 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e9 ), + .I3(NlwRenamedSig_OI_dout_2[34]), + .O(\blk00000003/sig00000c3e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e3 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000eb ), + .I3(NlwRenamedSig_OI_dout_2[32]), + .O(\blk00000003/sig00000c3c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e2 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000ed ), + .I3(NlwRenamedSig_OI_dout_2[30]), + .O(\blk00000003/sig00000c3a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e1 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000ec ), + .I3(NlwRenamedSig_OI_dout_2[31]), + .O(\blk00000003/sig00000c3b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e0 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000ee ), + .I3(NlwRenamedSig_OI_dout_2[29]), + .O(\blk00000003/sig00000c39 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008df ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f0 ), + .I3(NlwRenamedSig_OI_dout_2[27]), + .O(\blk00000003/sig00000c37 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008de ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000ef ), + .I3(NlwRenamedSig_OI_dout_2[28]), + .O(\blk00000003/sig00000c38 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008dd ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f1 ), + .I3(NlwRenamedSig_OI_dout_2[26]), + .O(\blk00000003/sig00000c36 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008dc ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f3 ), + .I3(NlwRenamedSig_OI_dout_2[24]), + .O(\blk00000003/sig00000c34 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008db ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f2 ), + .I3(NlwRenamedSig_OI_dout_2[25]), + .O(\blk00000003/sig00000c35 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008da ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f4 ), + .I3(NlwRenamedSig_OI_dout_2[23]), + .O(\blk00000003/sig00000c33 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d9 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f6 ), + .I3(NlwRenamedSig_OI_dout_2[21]), + .O(\blk00000003/sig00000c31 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d8 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f5 ), + .I3(NlwRenamedSig_OI_dout_2[22]), + .O(\blk00000003/sig00000c32 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d7 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f7 ), + .I3(NlwRenamedSig_OI_dout_2[20]), + .O(\blk00000003/sig00000c30 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d6 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f9 ), + .I3(NlwRenamedSig_OI_dout_2[18]), + .O(\blk00000003/sig00000c2e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d5 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f8 ), + .I3(NlwRenamedSig_OI_dout_2[19]), + .O(\blk00000003/sig00000c2f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d4 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000fa ), + .I3(NlwRenamedSig_OI_dout_2[17]), + .O(\blk00000003/sig00000c2d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d3 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c8d ), + .I3(NlwRenamedSig_OI_dout_2[15]), + .O(\blk00000003/sig00000c2b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d2 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000fb ), + .I3(NlwRenamedSig_OI_dout_2[16]), + .O(\blk00000003/sig00000c2c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d1 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c8c ), + .I3(NlwRenamedSig_OI_dout_2[14]), + .O(\blk00000003/sig00000c2a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d0 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c8b ), + .I3(NlwRenamedSig_OI_dout_2[12]), + .O(\blk00000003/sig00000c28 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008cf ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c8a ), + .I3(NlwRenamedSig_OI_dout_2[13]), + .O(\blk00000003/sig00000c29 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ce ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c89 ), + .I3(NlwRenamedSig_OI_dout_2[11]), + .O(\blk00000003/sig00000c27 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008cd ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c88 ), + .I3(NlwRenamedSig_OI_dout_2[9]), + .O(\blk00000003/sig00000c25 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008cc ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c87 ), + .I3(NlwRenamedSig_OI_dout_2[10]), + .O(\blk00000003/sig00000c26 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008cb ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c86 ), + .I3(NlwRenamedSig_OI_dout_2[8]), + .O(\blk00000003/sig00000c24 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ca ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c85 ), + .I3(NlwRenamedSig_OI_dout_2[6]), + .O(\blk00000003/sig00000c22 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c9 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c84 ), + .I3(NlwRenamedSig_OI_dout_2[7]), + .O(\blk00000003/sig00000c23 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c8 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c83 ), + .I3(NlwRenamedSig_OI_dout_2[5]), + .O(\blk00000003/sig00000c21 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c7 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c82 ), + .I3(NlwRenamedSig_OI_dout_2[3]), + .O(\blk00000003/sig00000c1f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c6 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c81 ), + .I3(NlwRenamedSig_OI_dout_2[4]), + .O(\blk00000003/sig00000c20 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c5 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c80 ), + .I3(NlwRenamedSig_OI_dout_2[2]), + .O(\blk00000003/sig00000c1e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c4 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c7f ), + .I3(NlwRenamedSig_OI_dout_2[1]), + .O(\blk00000003/sig00000c1d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c3 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000133 ), + .I3(NlwRenamedSig_OI_dout_1[46]), + .O(\blk00000003/sig00000c1b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c2 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c7e ), + .I3(NlwRenamedSig_OI_dout_2[0]), + .O(\blk00000003/sig00000c1c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c1 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000134 ), + .I3(NlwRenamedSig_OI_dout_1[45]), + .O(\blk00000003/sig00000c1a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c0 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000136 ), + .I3(NlwRenamedSig_OI_dout_1[43]), + .O(\blk00000003/sig00000c18 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008bf ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000135 ), + .I3(NlwRenamedSig_OI_dout_1[44]), + .O(\blk00000003/sig00000c19 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008be ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000137 ), + .I3(NlwRenamedSig_OI_dout_1[42]), + .O(\blk00000003/sig00000c17 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008bd ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000139 ), + .I3(NlwRenamedSig_OI_dout_1[40]), + .O(\blk00000003/sig00000c15 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008bc ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000138 ), + .I3(NlwRenamedSig_OI_dout_1[41]), + .O(\blk00000003/sig00000c16 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008bb ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000013a ), + .I3(NlwRenamedSig_OI_dout_1[39]), + .O(\blk00000003/sig00000c14 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ba ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000013c ), + .I3(NlwRenamedSig_OI_dout_1[37]), + .O(\blk00000003/sig00000c12 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b9 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000013b ), + .I3(NlwRenamedSig_OI_dout_1[38]), + .O(\blk00000003/sig00000c13 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b8 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000013d ), + .I3(NlwRenamedSig_OI_dout_1[36]), + .O(\blk00000003/sig00000c11 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b7 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000013f ), + .I3(NlwRenamedSig_OI_dout_1[34]), + .O(\blk00000003/sig00000c0f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b6 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000013e ), + .I3(NlwRenamedSig_OI_dout_1[35]), + .O(\blk00000003/sig00000c10 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b5 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000140 ), + .I3(NlwRenamedSig_OI_dout_1[33]), + .O(\blk00000003/sig00000c0e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b4 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000142 ), + .I3(NlwRenamedSig_OI_dout_1[31]), + .O(\blk00000003/sig00000c0c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b3 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000141 ), + .I3(NlwRenamedSig_OI_dout_1[32]), + .O(\blk00000003/sig00000c0d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b2 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000143 ), + .I3(NlwRenamedSig_OI_dout_1[30]), + .O(\blk00000003/sig00000c0b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b1 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000145 ), + .I3(NlwRenamedSig_OI_dout_1[28]), + .O(\blk00000003/sig00000c09 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b0 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000144 ), + .I3(NlwRenamedSig_OI_dout_1[29]), + .O(\blk00000003/sig00000c0a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008af ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000146 ), + .I3(NlwRenamedSig_OI_dout_1[27]), + .O(\blk00000003/sig00000c08 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ae ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000148 ), + .I3(NlwRenamedSig_OI_dout_1[25]), + .O(\blk00000003/sig00000c06 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ad ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000147 ), + .I3(NlwRenamedSig_OI_dout_1[26]), + .O(\blk00000003/sig00000c07 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ac ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000149 ), + .I3(NlwRenamedSig_OI_dout_1[24]), + .O(\blk00000003/sig00000c05 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ab ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000014b ), + .I3(NlwRenamedSig_OI_dout_1[22]), + .O(\blk00000003/sig00000c03 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008aa ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000014a ), + .I3(NlwRenamedSig_OI_dout_1[23]), + .O(\blk00000003/sig00000c04 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a9 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000014c ), + .I3(NlwRenamedSig_OI_dout_1[21]), + .O(\blk00000003/sig00000c02 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a8 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000014e ), + .I3(NlwRenamedSig_OI_dout_1[19]), + .O(\blk00000003/sig00000c00 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a7 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000014d ), + .I3(NlwRenamedSig_OI_dout_1[20]), + .O(\blk00000003/sig00000c01 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a6 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000014f ), + .I3(NlwRenamedSig_OI_dout_1[18]), + .O(\blk00000003/sig00000bff ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a5 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000151 ), + .I3(NlwRenamedSig_OI_dout_1[16]), + .O(\blk00000003/sig00000bfd ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a4 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000150 ), + .I3(NlwRenamedSig_OI_dout_1[17]), + .O(\blk00000003/sig00000bfe ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a3 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c7d ), + .I3(NlwRenamedSig_OI_dout_1[15]), + .O(\blk00000003/sig00000bfc ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a2 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c7c ), + .I3(NlwRenamedSig_OI_dout_1[13]), + .O(\blk00000003/sig00000bfa ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a1 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c7b ), + .I3(NlwRenamedSig_OI_dout_1[14]), + .O(\blk00000003/sig00000bfb ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a0 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c7a ), + .I3(NlwRenamedSig_OI_dout_1[12]), + .O(\blk00000003/sig00000bf9 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000089f ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c79 ), + .I3(NlwRenamedSig_OI_dout_1[10]), + .O(\blk00000003/sig00000bf7 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000089e ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c78 ), + .I3(NlwRenamedSig_OI_dout_1[11]), + .O(\blk00000003/sig00000bf8 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000089d ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c77 ), + .I3(NlwRenamedSig_OI_dout_1[9]), + .O(\blk00000003/sig00000bf6 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000089c ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c76 ), + .I3(NlwRenamedSig_OI_dout_1[7]), + .O(\blk00000003/sig00000bf4 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000089b ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c75 ), + .I3(NlwRenamedSig_OI_dout_1[8]), + .O(\blk00000003/sig00000bf5 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000089a ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c74 ), + .I3(NlwRenamedSig_OI_dout_1[6]), + .O(\blk00000003/sig00000bf3 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000899 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c73 ), + .I3(NlwRenamedSig_OI_dout_1[4]), + .O(\blk00000003/sig00000bf1 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000898 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c72 ), + .I3(NlwRenamedSig_OI_dout_1[5]), + .O(\blk00000003/sig00000bf2 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000897 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c71 ), + .I3(NlwRenamedSig_OI_dout_1[3]), + .O(\blk00000003/sig00000bf0 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000896 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c70 ), + .I3(NlwRenamedSig_OI_dout_1[1]), + .O(\blk00000003/sig00000bee ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000895 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c6f ), + .I3(NlwRenamedSig_OI_dout_1[2]), + .O(\blk00000003/sig00000bef ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000894 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c6e ), + .I3(NlwRenamedSig_OI_dout_1[0]), + .O(\blk00000003/sig00000bed ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000893 ( + .I0(\blk00000003/sig00000bec ), + .I1(\blk00000003/sig00000be7 ), + .O(\blk00000003/sig00000bd6 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000892 ( + .I0(ce), + .I1(\blk00000003/sig000002af ), + .O(\blk00000003/sig00000c6d ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000891 ( + .I0(ce), + .I1(\blk00000003/sig00000a0f ), + .O(\blk00000003/sig00000c6c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000890 ( + .I0(ce), + .I1(\blk00000003/sig00000a0a ), + .O(\blk00000003/sig00000c6b ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000088f ( + .I0(ce), + .I1(\blk00000003/sig00000a03 ), + .O(\blk00000003/sig00000c6a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000088e ( + .I0(ce), + .I1(\blk00000003/sig000009f7 ), + .O(\blk00000003/sig00000c69 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000088d ( + .I0(ce), + .I1(\blk00000003/sig000009f8 ), + .O(\blk00000003/sig00000c68 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk0000088c ( + .I0(sclr), + .I1(\blk00000003/sig00000c67 ), + .O(\blk00000003/sig00000372 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000088b ( + .I0(\blk00000003/sig00000378 ), + .I1(\blk00000003/sig00000c66 ), + .O(\blk00000003/sig0000036d ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk0000088a ( + .I0(\blk00000003/sig0000036a ), + .I1(\blk00000003/sig00000378 ), + .O(\blk00000003/sig0000036b ) + ); + LUT3 #( + .INIT ( 8'hDA )) + \blk00000003/blk00000889 ( + .I0(\blk00000003/sig00000378 ), + .I1(\blk00000003/sig00000c66 ), + .I2(\blk00000003/sig0000036f ), + .O(\blk00000003/sig00000370 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000888 ( + .I0(\blk00000003/sig00000368 ), + .I1(\blk00000003/sig000002a8 ), + .O(\blk00000003/sig00000366 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000887 ( + .I0(nd), + .I1(\blk00000003/sig0000015f ), + .O(\blk00000003/sig00000362 ) + ); + LUT3 #( + .INIT ( 8'hF8 )) + \blk00000003/blk00000886 ( + .I0(nd), + .I1(\blk00000003/sig0000015f ), + .I2(\blk00000003/sig000002b9 ), + .O(\blk00000003/sig00000360 ) + ); + LUT3 #( + .INIT ( 8'hDA )) + \blk00000003/blk00000885 ( + .I0(nd), + .I1(\blk00000003/sig0000015f ), + .I2(\blk00000003/sig000002ba ), + .O(\blk00000003/sig0000035d ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000884 ( + .I0(\blk00000003/sig0000031c ), + .I1(\blk00000003/sig00000315 ), + .O(\blk00000003/sig00000359 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000883 ( + .I0(\blk00000003/sig0000031c ), + .I1(\blk00000003/sig00000314 ), + .O(\blk00000003/sig00000356 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000882 ( + .I0(\blk00000003/sig0000031c ), + .I1(\blk00000003/sig00000318 ), + .O(\blk00000003/sig00000354 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk00000881 ( + .I0(\blk00000003/sig0000031c ), + .I1(\blk00000003/sig0000031a ), + .I2(\blk00000003/sig00000322 ), + .O(\blk00000003/sig0000034f ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk00000880 ( + .I0(\blk00000003/sig0000031a ), + .I1(\blk00000003/sig00000318 ), + .I2(\blk00000003/sig0000031c ), + .O(\blk00000003/sig00000351 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000087f ( + .I0(\blk00000003/sig00000318 ), + .I1(\blk00000003/sig00000315 ), + .O(\blk00000003/sig00000348 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000087e ( + .I0(\blk00000003/sig00000318 ), + .I1(\blk00000003/sig00000314 ), + .O(\blk00000003/sig00000346 ) + ); + LUT3 #( + .INIT ( 8'hBF )) + \blk00000003/blk0000087d ( + .I0(\blk00000003/sig0000031c ), + .I1(\blk00000003/sig00000318 ), + .I2(\blk00000003/sig00000312 ), + .O(\blk00000003/sig00000341 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000087c ( + .I0(\blk00000003/sig00000317 ), + .I1(\blk00000003/sig00000318 ), + .O(\blk00000003/sig0000033d ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk0000087b ( + .I0(\blk00000003/sig00000316 ), + .I1(\blk00000003/sig00000318 ), + .I2(\blk00000003/sig00000322 ), + .O(\blk00000003/sig0000033f ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk0000087a ( + .I0(\blk00000003/sig00000325 ), + .I1(\blk00000003/sig00000c65 ), + .O(\blk00000003/sig00000338 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk00000879 ( + .I0(\blk00000003/sig000002f8 ), + .I1(coef_we), + .I2(\blk00000003/sig00000302 ), + .O(\blk00000003/sig0000032f ) + ); + LUT4 #( + .INIT ( 16'hDAAA )) + \blk00000003/blk00000878 ( + .I0(\blk00000003/sig00000332 ), + .I1(\blk00000003/sig000002f8 ), + .I2(\blk00000003/sig00000302 ), + .I3(coef_we), + .O(\blk00000003/sig00000327 ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000877 ( + .I0(coef_ld), + .I1(\blk00000003/sig00000325 ), + .O(\blk00000003/sig0000031b ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000876 ( + .I0(coef_we), + .I1(\blk00000003/sig00000302 ), + .O(\blk00000003/sig000002f9 ) + ); + LUT3 #( + .INIT ( 8'h20 )) + \blk00000003/blk00000875 ( + .I0(coef_we), + .I1(coef_ld), + .I2(\blk00000003/sig00000310 ), + .O(\blk00000003/sig00000323 ) + ); + LUT3 #( + .INIT ( 8'h20 )) + \blk00000003/blk00000874 ( + .I0(\blk00000003/sig00000330 ), + .I1(\blk00000003/sig00000331 ), + .I2(\blk00000003/sig00000332 ), + .O(\blk00000003/sig000002fe ) + ); + LUT3 #( + .INIT ( 8'h04 )) + \blk00000003/blk00000873 ( + .I0(\blk00000003/sig00000331 ), + .I1(\blk00000003/sig00000330 ), + .I2(\blk00000003/sig00000332 ), + .O(\blk00000003/sig000002fc ) + ); + LUT3 #( + .INIT ( 8'hBF )) + \blk00000003/blk00000872 ( + .I0(\blk00000003/sig00000325 ), + .I1(\blk00000003/sig0000030e ), + .I2(coef_ld), + .O(\blk00000003/sig000002f3 ) + ); + LUT3 #( + .INIT ( 8'hBF )) + \blk00000003/blk00000871 ( + .I0(\blk00000003/sig00000310 ), + .I1(coef_we), + .I2(\blk00000003/sig0000030e ), + .O(\blk00000003/sig000002f0 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000870 ( + .I0(\blk00000003/sig000002f4 ), + .I1(coef_we), + .O(\blk00000003/sig000002f5 ) + ); + LUT5 #( + .INIT ( 32'hFFFF2AAA )) + \blk00000003/blk0000086f ( + .I0(\blk00000003/sig00000310 ), + .I1(coef_we), + .I2(\blk00000003/sig00000302 ), + .I3(\blk00000003/sig000002f8 ), + .I4(coef_ld), + .O(\blk00000003/sig0000030f ) + ); + LUT4 #( + .INIT ( 16'hFF8A )) + \blk00000003/blk0000086e ( + .I0(\blk00000003/sig0000030e ), + .I1(\blk00000003/sig00000310 ), + .I2(coef_we), + .I3(coef_ld), + .O(\blk00000003/sig0000030d ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000086d ( + .I0(\blk00000003/sig00000159 ), + .I1(nd), + .O(\blk00000003/sig000002b7 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000086c ( + .I0(\blk00000003/sig00000173 ), + .I1(\blk00000003/sig000002ad ), + .O(\blk00000003/sig000002b5 ) + ); + LUT3 #( + .INIT ( 8'h10 )) + \blk00000003/blk0000086b ( + .I0(\blk00000003/sig00000173 ), + .I1(\blk00000003/sig00000be7 ), + .I2(\blk00000003/sig000002ad ), + .O(\blk00000003/sig00000174 ) + ); + LUT3 #( + .INIT ( 8'hEA )) + \blk00000003/blk0000086a ( + .I0(sclr), + .I1(ce), + .I2(\blk00000003/sig00000be7 ), + .O(\blk00000003/sig000002ae ) + ); + LUT5 #( + .INIT ( 32'h00002000 )) + \blk00000003/blk00000869 ( + .I0(\blk00000003/sig00000c5b ), + .I1(\blk00000003/sig00000c5c ), + .I2(\blk00000003/sig00000c5d ), + .I3(\blk00000003/sig00000c5e ), + .I4(\blk00000003/sig00000c5f ), + .O(\blk00000003/sig00000177 ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk00000868 ( + .I0(\blk00000003/sig000002a8 ), + .I1(\blk00000003/sig0000029c ), + .I2(\blk00000003/sig000002b6 ), + .O(\blk00000003/sig000002a7 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000867 ( + .I0(\blk00000003/sig00000369 ), + .I1(\blk00000003/sig000002b2 ), + .O(\blk00000003/sig000002a9 ) + ); + LUT3 #( + .INIT ( 8'hD8 )) + \blk00000003/blk00000866 ( + .I0(ce), + .I1(\blk00000003/sig00000c61 ), + .I2(\blk00000003/sig00000169 ), + .O(\blk00000003/sig00000168 ) + ); + LUT3 #( + .INIT ( 8'h72 )) + \blk00000003/blk00000865 ( + .I0(ce), + .I1(\blk00000003/sig00000c61 ), + .I2(\blk00000003/sig00000167 ), + .O(\blk00000003/sig00000166 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000864 ( + .I0(\blk00000003/sig00000161 ), + .I1(\blk00000003/sig0000029c ), + .O(\blk00000003/sig00000162 ) + ); + LUT5 #( + .INIT ( 32'hCEEE8AAA )) + \blk00000003/blk00000863 ( + .I0(\blk00000003/sig0000029c ), + .I1(\blk00000003/sig000002b6 ), + .I2(\blk00000003/sig000002a6 ), + .I3(\blk00000003/sig000002a8 ), + .I4(\blk00000003/sig000002a4 ), + .O(\blk00000003/sig000002a5 ) + ); + LUT4 #( + .INIT ( 16'h8808 )) + \blk00000003/blk00000862 ( + .I0(\blk00000003/sig000002a6 ), + .I1(\blk00000003/sig00000c64 ), + .I2(\blk00000003/sig000002a8 ), + .I3(\blk00000003/sig000002b6 ), + .O(\blk00000003/sig000002a1 ) + ); + LUT3 #( + .INIT ( 8'h09 )) + \blk00000003/blk00000861 ( + .I0(\blk00000003/sig00000c63 ), + .I1(\blk00000003/sig000002b9 ), + .I2(\blk00000003/sig000002ba ), + .O(\blk00000003/sig0000015d ) + ); + LUT4 #( + .INIT ( 16'h5540 )) + \blk00000003/blk00000860 ( + .I0(\blk00000003/sig000002b6 ), + .I1(\blk00000003/sig000002a6 ), + .I2(\blk00000003/sig000002a8 ), + .I3(\blk00000003/sig000002a4 ), + .O(\blk00000003/sig000002a3 ) + ); + LUT3 #( + .INIT ( 8'h9A )) + \blk00000003/blk0000085f ( + .I0(\blk00000003/sig00000368 ), + .I1(\blk00000003/sig00000369 ), + .I2(\blk00000003/sig000002b2 ), + .O(\blk00000003/sig000002ab ) + ); + LUT4 #( + .INIT ( 16'hFDA8 )) + \blk00000003/blk0000085e ( + .I0(ce), + .I1(\blk00000003/sig00000c61 ), + .I2(\blk00000003/sig00000c62 ), + .I3(\blk00000003/sig00000165 ), + .O(\blk00000003/sig00000164 ) + ); + MUXCY \blk00000003/blk0000085d ( + .CI(\blk00000003/sig00000049 ), + .DI(NlwRenamedSig_OI_rfd), + .S(\blk00000003/sig00000c60 ), + .O(\blk00000003/sig00000c58 ) + ); + MUXCY_L \blk00000003/blk0000085c ( + .CI(\blk00000003/sig00000c58 ), + .DI(\blk00000003/sig00000c5f ), + .S(\blk00000003/sig00000c59 ), + .LO(\blk00000003/sig00000c55 ) + ); + MUXCY_L \blk00000003/blk0000085b ( + .CI(\blk00000003/sig00000c55 ), + .DI(\blk00000003/sig00000c5e ), + .S(\blk00000003/sig00000c56 ), + .LO(\blk00000003/sig00000c52 ) + ); + MUXCY_L \blk00000003/blk0000085a ( + .CI(\blk00000003/sig00000c52 ), + .DI(\blk00000003/sig00000c5d ), + .S(\blk00000003/sig00000c53 ), + .LO(\blk00000003/sig00000c4f ) + ); + MUXCY_L \blk00000003/blk00000859 ( + .CI(\blk00000003/sig00000c4f ), + .DI(\blk00000003/sig00000c5c ), + .S(\blk00000003/sig00000c50 ), + .LO(\blk00000003/sig00000c4c ) + ); + MUXCY_D \blk00000003/blk00000858 ( + .CI(\blk00000003/sig00000c4c ), + .DI(\blk00000003/sig00000c5b ), + .S(\blk00000003/sig00000c4d ), + .O(\NLW_blk00000003/blk00000858_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk00000858_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000857 ( + .CI(\blk00000003/sig00000c58 ), + .LI(\blk00000003/sig00000c59 ), + .O(\blk00000003/sig00000c5a ) + ); + XORCY \blk00000003/blk00000856 ( + .CI(\blk00000003/sig00000c55 ), + .LI(\blk00000003/sig00000c56 ), + .O(\blk00000003/sig00000c57 ) + ); + XORCY \blk00000003/blk00000855 ( + .CI(\blk00000003/sig00000c52 ), + .LI(\blk00000003/sig00000c53 ), + .O(\blk00000003/sig00000c54 ) + ); + XORCY \blk00000003/blk00000854 ( + .CI(\blk00000003/sig00000c4f ), + .LI(\blk00000003/sig00000c50 ), + .O(\blk00000003/sig00000c51 ) + ); + XORCY \blk00000003/blk00000853 ( + .CI(\blk00000003/sig00000c4c ), + .LI(\blk00000003/sig00000c4d ), + .O(\blk00000003/sig00000c4e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000852 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c4b ), + .R(sclr), + .Q(\blk00000003/sig0000004a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000851 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c4a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[46]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000850 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c49 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[45]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000084f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c48 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[44]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000084e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c47 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[43]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000084d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c46 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[42]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000084c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c45 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[41]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000084b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c44 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[40]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000084a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c43 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[39]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000849 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c42 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[38]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000848 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c41 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[37]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000847 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c40 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[36]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000846 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c3f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[35]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000845 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c3e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[34]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000844 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c3d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[33]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000843 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c3c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[32]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000842 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c3b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[31]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000841 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c3a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[30]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000840 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c39 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[29]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000083f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c38 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[28]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000083e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c37 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[27]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000083d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c36 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[26]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000083c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c35 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[25]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000083b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c34 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[24]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000083a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c33 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[23]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000839 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c32 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[22]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000838 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c31 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[21]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000837 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c30 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[20]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000836 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c2f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[19]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000835 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c2e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[18]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000834 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c2d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[17]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000833 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c2c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[16]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000832 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c2b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[15]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000831 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c2a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[14]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000830 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c29 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[13]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000082f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c28 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[12]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000082e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c27 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[11]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000082d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c26 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[10]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000082c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c25 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[9]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000082b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c24 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[8]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000082a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c23 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[7]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000829 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c22 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[6]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000828 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c21 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[5]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000827 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c20 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[4]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000826 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c1f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[3]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000825 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c1e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[2]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000824 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c1d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[1]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000823 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c1c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[0]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000822 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c1b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[46]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000821 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c1a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[45]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000820 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c19 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[44]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000081f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c18 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[43]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000081e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c17 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[42]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000081d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c16 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[41]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000081c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c15 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[40]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000081b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c14 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[39]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000081a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c13 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[38]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000819 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c12 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[37]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000818 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c11 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[36]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000817 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c10 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[35]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000816 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c0f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[34]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000815 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c0e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[33]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000814 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c0d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[32]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000813 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c0c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[31]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000812 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c0b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[30]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000811 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c0a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[29]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000810 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c09 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[28]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000080f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c08 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[27]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000080e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c07 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[26]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000080d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c06 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[25]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000080c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c05 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[24]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000080b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c04 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[23]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000080a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c03 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[22]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000809 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c02 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[21]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000808 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c01 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[20]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000807 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c00 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[19]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000806 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bff ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[18]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000805 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bfe ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[17]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000804 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bfd ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[16]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000803 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bfc ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[15]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000802 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bfb ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[14]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000801 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bfa ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[13]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000800 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf9 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[12]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf8 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[11]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf7 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[10]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf6 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[9]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf5 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[8]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf4 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[7]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf3 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[6]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf2 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[5]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf1 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[4]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf0 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[3]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bef ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[2]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bee ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[1]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bed ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[0]) + ); + MUXCY_L \blk00000003/blk000007f3 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000bec ), + .S(\blk00000003/sig00000bd6 ), + .LO(\blk00000003/sig00000be4 ) + ); + MUXCY_L \blk00000003/blk000007f2 ( + .CI(\blk00000003/sig00000be4 ), + .DI(\blk00000003/sig00000beb ), + .S(\blk00000003/sig00000be5 ), + .LO(\blk00000003/sig00000be1 ) + ); + MUXCY_L \blk00000003/blk000007f1 ( + .CI(\blk00000003/sig00000be1 ), + .DI(\blk00000003/sig00000bea ), + .S(\blk00000003/sig00000be2 ), + .LO(\blk00000003/sig00000bde ) + ); + MUXCY_L \blk00000003/blk000007f0 ( + .CI(\blk00000003/sig00000bde ), + .DI(\blk00000003/sig00000be9 ), + .S(\blk00000003/sig00000bdf ), + .LO(\blk00000003/sig00000bdb ) + ); + MUXCY_L \blk00000003/blk000007ef ( + .CI(\blk00000003/sig00000bdb ), + .DI(\blk00000003/sig00000be8 ), + .S(\blk00000003/sig00000bdc ), + .LO(\blk00000003/sig00000bd8 ) + ); + MUXCY_D \blk00000003/blk000007ee ( + .CI(\blk00000003/sig00000bd8 ), + .DI(\blk00000003/sig00000be7 ), + .S(\blk00000003/sig00000bd9 ), + .O(\NLW_blk00000003/blk000007ee_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000007ee_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000007ed ( + .CI(\blk00000003/sig00000be4 ), + .LI(\blk00000003/sig00000be5 ), + .O(\blk00000003/sig00000be6 ) + ); + XORCY \blk00000003/blk000007ec ( + .CI(\blk00000003/sig00000be1 ), + .LI(\blk00000003/sig00000be2 ), + .O(\blk00000003/sig00000be3 ) + ); + XORCY \blk00000003/blk000007eb ( + .CI(\blk00000003/sig00000bde ), + .LI(\blk00000003/sig00000bdf ), + .O(\blk00000003/sig00000be0 ) + ); + XORCY \blk00000003/blk000007ea ( + .CI(\blk00000003/sig00000bdb ), + .LI(\blk00000003/sig00000bdc ), + .O(\blk00000003/sig00000bdd ) + ); + XORCY \blk00000003/blk000007e9 ( + .CI(\blk00000003/sig00000bd8 ), + .LI(\blk00000003/sig00000bd9 ), + .O(\blk00000003/sig00000bda ) + ); + XORCY \blk00000003/blk000007e8 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000bd6 ), + .O(\blk00000003/sig00000bd7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c0 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000777 ), + .R(sclr), + .Q(\blk00000003/sig00000a26 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007bf ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000776 ), + .R(sclr), + .Q(\blk00000003/sig00000a25 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007be ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000775 ), + .R(sclr), + .Q(\blk00000003/sig00000a24 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007bd ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000774 ), + .R(sclr), + .Q(\blk00000003/sig00000a23 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007bc ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000773 ), + .R(sclr), + .Q(\blk00000003/sig00000a22 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007bb ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000772 ), + .R(sclr), + .Q(\blk00000003/sig00000a21 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ba ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000771 ), + .R(sclr), + .Q(\blk00000003/sig00000a20 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b9 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000770 ), + .R(sclr), + .Q(\blk00000003/sig00000a1f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b8 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig0000076f ), + .R(sclr), + .Q(\blk00000003/sig00000a1e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b7 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig0000076e ), + .R(sclr), + .Q(\blk00000003/sig00000a1d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b6 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig0000076d ), + .R(sclr), + .Q(\blk00000003/sig00000a1c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b5 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig0000076c ), + .R(sclr), + .Q(\blk00000003/sig00000a1b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b4 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig0000076b ), + .R(sclr), + .Q(\blk00000003/sig00000a1a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b3 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig0000076a ), + .R(sclr), + .Q(\blk00000003/sig00000a19 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b2 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000769 ), + .R(sclr), + .Q(\blk00000003/sig00000a18 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b1 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000768 ), + .R(sclr), + .Q(\blk00000003/sig00000a17 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b0 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000004ed ), + .R(sclr), + .Q(\blk00000003/sig00000b6a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007af ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000004ec ), + .R(sclr), + .Q(\blk00000003/sig00000b69 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ae ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000004eb ), + .R(sclr), + .Q(\blk00000003/sig00000b68 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ad ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000004ea ), + .R(sclr), + .Q(\blk00000003/sig00000b67 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ac ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000004e9 ), + .R(sclr), + .Q(\blk00000003/sig00000b66 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ab ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000004e8 ), + .R(sclr), + .Q(\blk00000003/sig00000b65 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007aa ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000004e7 ), + .R(sclr), + .Q(\blk00000003/sig00000b64 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a9 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000004e6 ), + .R(sclr), + .Q(\blk00000003/sig00000b63 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a8 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000007c7 ), + .R(sclr), + .Q(\blk00000003/sig00000a36 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a7 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000007c6 ), + .R(sclr), + .Q(\blk00000003/sig00000a35 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a6 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000007c5 ), + .R(sclr), + .Q(\blk00000003/sig00000a34 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a5 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000007c4 ), + .R(sclr), + .Q(\blk00000003/sig00000a33 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a4 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000007c3 ), + .R(sclr), + .Q(\blk00000003/sig00000a32 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a3 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000007c2 ), + .R(sclr), + .Q(\blk00000003/sig00000a31 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a2 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000007c1 ), + .R(sclr), + .Q(\blk00000003/sig00000a30 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a1 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000007c0 ), + .R(sclr), + .Q(\blk00000003/sig00000a2f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a0 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig000007bf ), + .R(sclr), + .Q(\blk00000003/sig00000a2e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079f ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig000007be ), + .R(sclr), + .Q(\blk00000003/sig00000a2d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079e ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig000007bd ), + .R(sclr), + .Q(\blk00000003/sig00000a2c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079d ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig000007bc ), + .R(sclr), + .Q(\blk00000003/sig00000a2b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079c ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig000007bb ), + .R(sclr), + .Q(\blk00000003/sig00000a2a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079b ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig000007ba ), + .R(sclr), + .Q(\blk00000003/sig00000a29 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079a ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig000007b9 ), + .R(sclr), + .Q(\blk00000003/sig00000a28 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000799 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig000007b8 ), + .R(sclr), + .Q(\blk00000003/sig00000a27 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000798 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig0000053f ), + .R(sclr), + .Q(\blk00000003/sig00000b72 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000797 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig0000053e ), + .R(sclr), + .Q(\blk00000003/sig00000b71 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000796 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig0000053d ), + .R(sclr), + .Q(\blk00000003/sig00000b70 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000795 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig0000053c ), + .R(sclr), + .Q(\blk00000003/sig00000b6f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000794 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig0000053b ), + .R(sclr), + .Q(\blk00000003/sig00000b6e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000793 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig0000053a ), + .R(sclr), + .Q(\blk00000003/sig00000b6d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000792 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig00000539 ), + .R(sclr), + .Q(\blk00000003/sig00000b6c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000791 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig00000538 ), + .R(sclr), + .Q(\blk00000003/sig00000b6b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000375 ), + .R(sclr), + .Q(\blk00000003/sig00000a12 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000037b ), + .R(sclr), + .Q(\blk00000003/sig00000a11 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000036a ), + .R(sclr), + .Q(\blk00000003/sig00000a14 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000139 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a16 ), + .R(sclr), + .Q(\blk00000003/sig00000a10 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000138 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a15 ), + .R(sclr), + .Q(\blk00000003/sig00000a0f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000137 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000036f ), + .R(sclr), + .Q(\blk00000003/sig00000a13 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000136 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a14 ), + .R(sclr), + .Q(\blk00000003/sig00000a0d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000135 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a13 ), + .R(sclr), + .Q(\blk00000003/sig00000a0e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000134 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a12 ), + .R(sclr), + .Q(\blk00000003/sig00000a0c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000133 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a11 ), + .R(sclr), + .Q(\blk00000003/sig00000a0b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000132 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a10 ), + .R(sclr), + .Q(\blk00000003/sig00000a09 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000131 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a0f ), + .R(sclr), + .Q(\blk00000003/sig00000a0a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a0e ), + .R(sclr), + .Q(\blk00000003/sig00000a08 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a0d ), + .R(sclr), + .Q(\blk00000003/sig00000a07 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a0c ), + .R(sclr), + .Q(\blk00000003/sig00000a05 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a0b ), + .R(sclr), + .Q(\blk00000003/sig00000a04 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a0a ), + .R(sclr), + .Q(\blk00000003/sig00000a03 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a09 ), + .R(sclr), + .Q(\blk00000003/sig00000a06 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a08 ), + .R(sclr), + .Q(\blk00000003/sig00000a01 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000129 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a07 ), + .R(sclr), + .Q(\blk00000003/sig000009ff ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000128 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a06 ), + .R(sclr), + .Q(\blk00000003/sig000009f9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000127 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a05 ), + .R(sclr), + .Q(\blk00000003/sig000009fd ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000126 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a04 ), + .R(sclr), + .Q(\blk00000003/sig000009fb ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000125 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a03 ), + .R(sclr), + .Q(\blk00000003/sig000009f7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000124 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a01 ), + .R(sclr), + .Q(\blk00000003/sig00000a02 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000123 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ff ), + .R(sclr), + .Q(\blk00000003/sig00000a00 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000122 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009fd ), + .R(sclr), + .Q(\blk00000003/sig000009fe ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000121 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009fb ), + .R(sclr), + .Q(\blk00000003/sig000009fc ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f9 ), + .R(sclr), + .Q(\blk00000003/sig000009fa ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f7 ), + .R(sclr), + .Q(\blk00000003/sig000009f8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f5 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009f6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f4 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009f5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f3 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009f4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f2 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009f3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000030c ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009f2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ef ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009f1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000118 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ee ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009f0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ed ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009ef ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000116 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ec ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009ee ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000115 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009eb ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009ed ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000114 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ea ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009ec ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000113 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009e9 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009eb ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000112 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009e8 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009ea ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000111 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000337 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009e9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000110 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000334 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009e8 ) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010f ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010f_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010f_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000009c8 , \blk00000003/sig000009c9 , \blk00000003/sig000009ca , +\blk00000003/sig000009cb , \blk00000003/sig000009cc , \blk00000003/sig000009cd , \blk00000003/sig000009ce , \blk00000003/sig000009cf , +\blk00000003/sig000009d0 , \blk00000003/sig000009d1 , \blk00000003/sig000009d2 , \blk00000003/sig000009d3 , \blk00000003/sig000009d4 , +\blk00000003/sig000009d5 , \blk00000003/sig000009d6 , \blk00000003/sig000009d7 }), + .BCOUT({\NLW_blk00000003/blk0000010f_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000998 , \blk00000003/sig00000999 , \blk00000003/sig0000099a , \blk00000003/sig0000099b , \blk00000003/sig0000099c , +\blk00000003/sig0000099d , \blk00000003/sig0000099e , \blk00000003/sig0000099f , \blk00000003/sig000009a0 , \blk00000003/sig000009a1 , +\blk00000003/sig000009a2 , \blk00000003/sig000009a3 , \blk00000003/sig000009a4 , \blk00000003/sig000009a5 , \blk00000003/sig000009a6 , +\blk00000003/sig000009a7 , \blk00000003/sig000009a8 , \blk00000003/sig000009a9 , \blk00000003/sig000009aa , \blk00000003/sig000009ab , +\blk00000003/sig000009ac , \blk00000003/sig000009ad , \blk00000003/sig000009ae , \blk00000003/sig000009af , \blk00000003/sig000009b0 , +\blk00000003/sig000009b1 , \blk00000003/sig000009b2 , \blk00000003/sig000009b3 , \blk00000003/sig000009b4 , \blk00000003/sig000009b5 , +\blk00000003/sig000009b6 , \blk00000003/sig000009b7 , \blk00000003/sig000009b8 , \blk00000003/sig000009b9 , \blk00000003/sig000009ba , +\blk00000003/sig000009bb , \blk00000003/sig000009bc , \blk00000003/sig000009bd , \blk00000003/sig000009be , \blk00000003/sig000009bf , +\blk00000003/sig000009c0 , \blk00000003/sig000009c1 , \blk00000003/sig000009c2 , \blk00000003/sig000009c3 , \blk00000003/sig000009c4 , +\blk00000003/sig000009c5 , \blk00000003/sig000009c6 , \blk00000003/sig000009c7 }), + .C({\NLW_blk00000003/blk0000010f_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010f_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000009d8 , \blk00000003/sig000009d9 , \blk00000003/sig000009da , +\blk00000003/sig000009db , \blk00000003/sig000009dc , \blk00000003/sig000009dd , \blk00000003/sig000009de , \blk00000003/sig000009df , +\blk00000003/sig000009e0 , \blk00000003/sig000009e1 , \blk00000003/sig000009e2 , \blk00000003/sig000009e3 , \blk00000003/sig000009e4 , +\blk00000003/sig000009e5 , \blk00000003/sig000009e6 , \blk00000003/sig000009e7 }), + .PCOUT({\blk00000003/sig00000788 , \blk00000003/sig00000789 , \blk00000003/sig0000078a , \blk00000003/sig0000078b , \blk00000003/sig0000078c , +\blk00000003/sig0000078d , \blk00000003/sig0000078e , \blk00000003/sig0000078f , \blk00000003/sig00000790 , \blk00000003/sig00000791 , +\blk00000003/sig00000792 , \blk00000003/sig00000793 , \blk00000003/sig00000794 , \blk00000003/sig00000795 , \blk00000003/sig00000796 , +\blk00000003/sig00000797 , \blk00000003/sig00000798 , \blk00000003/sig00000799 , \blk00000003/sig0000079a , \blk00000003/sig0000079b , +\blk00000003/sig0000079c , \blk00000003/sig0000079d , \blk00000003/sig0000079e , \blk00000003/sig0000079f , \blk00000003/sig000007a0 , +\blk00000003/sig000007a1 , \blk00000003/sig000007a2 , \blk00000003/sig000007a3 , \blk00000003/sig000007a4 , \blk00000003/sig000007a5 , +\blk00000003/sig000007a6 , \blk00000003/sig000007a7 , \blk00000003/sig000007a8 , \blk00000003/sig000007a9 , \blk00000003/sig000007aa , +\blk00000003/sig000007ab , \blk00000003/sig000007ac , \blk00000003/sig000007ad , \blk00000003/sig000007ae , \blk00000003/sig000007af , +\blk00000003/sig000007b0 , \blk00000003/sig000007b1 , \blk00000003/sig000007b2 , \blk00000003/sig000007b3 , \blk00000003/sig000007b4 , +\blk00000003/sig000007b5 , \blk00000003/sig000007b6 , \blk00000003/sig000007b7 }), + .A({\blk00000003/sig00000646 , \blk00000003/sig00000647 , \blk00000003/sig00000648 , \blk00000003/sig00000649 , \blk00000003/sig0000064a , +\blk00000003/sig0000064b , \blk00000003/sig0000064c , \blk00000003/sig0000064d , \blk00000003/sig0000064e , \blk00000003/sig0000064f , +\blk00000003/sig00000650 , \blk00000003/sig00000651 , \blk00000003/sig00000652 , \blk00000003/sig00000653 , \blk00000003/sig00000654 , +\blk00000003/sig00000655 , \blk00000003/sig00000656 , \blk00000003/sig00000657 }), + .M({\NLW_blk00000003/blk0000010f_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010e ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010e_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010e_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000978 , \blk00000003/sig00000979 , \blk00000003/sig0000097a , +\blk00000003/sig0000097b , \blk00000003/sig0000097c , \blk00000003/sig0000097d , \blk00000003/sig0000097e , \blk00000003/sig0000097f , +\blk00000003/sig00000980 , \blk00000003/sig00000981 , \blk00000003/sig00000982 , \blk00000003/sig00000983 , \blk00000003/sig00000984 , +\blk00000003/sig00000985 , \blk00000003/sig00000986 , \blk00000003/sig00000987 }), + .BCOUT({\NLW_blk00000003/blk0000010e_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000948 , \blk00000003/sig00000949 , \blk00000003/sig0000094a , \blk00000003/sig0000094b , \blk00000003/sig0000094c , +\blk00000003/sig0000094d , \blk00000003/sig0000094e , \blk00000003/sig0000094f , \blk00000003/sig00000950 , \blk00000003/sig00000951 , +\blk00000003/sig00000952 , \blk00000003/sig00000953 , \blk00000003/sig00000954 , \blk00000003/sig00000955 , \blk00000003/sig00000956 , +\blk00000003/sig00000957 , \blk00000003/sig00000958 , \blk00000003/sig00000959 , \blk00000003/sig0000095a , \blk00000003/sig0000095b , +\blk00000003/sig0000095c , \blk00000003/sig0000095d , \blk00000003/sig0000095e , \blk00000003/sig0000095f , \blk00000003/sig00000960 , +\blk00000003/sig00000961 , \blk00000003/sig00000962 , \blk00000003/sig00000963 , \blk00000003/sig00000964 , \blk00000003/sig00000965 , +\blk00000003/sig00000966 , \blk00000003/sig00000967 , \blk00000003/sig00000968 , \blk00000003/sig00000969 , \blk00000003/sig0000096a , +\blk00000003/sig0000096b , \blk00000003/sig0000096c , \blk00000003/sig0000096d , \blk00000003/sig0000096e , \blk00000003/sig0000096f , +\blk00000003/sig00000970 , \blk00000003/sig00000971 , \blk00000003/sig00000972 , \blk00000003/sig00000973 , \blk00000003/sig00000974 , +\blk00000003/sig00000975 , \blk00000003/sig00000976 , \blk00000003/sig00000977 }), + .C({\NLW_blk00000003/blk0000010e_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010e_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000988 , \blk00000003/sig00000989 , \blk00000003/sig0000098a , +\blk00000003/sig0000098b , \blk00000003/sig0000098c , \blk00000003/sig0000098d , \blk00000003/sig0000098e , \blk00000003/sig0000098f , +\blk00000003/sig00000990 , \blk00000003/sig00000991 , \blk00000003/sig00000992 , \blk00000003/sig00000993 , \blk00000003/sig00000994 , +\blk00000003/sig00000995 , \blk00000003/sig00000996 , \blk00000003/sig00000997 }), + .PCOUT({\blk00000003/sig00000998 , \blk00000003/sig00000999 , \blk00000003/sig0000099a , \blk00000003/sig0000099b , \blk00000003/sig0000099c , +\blk00000003/sig0000099d , \blk00000003/sig0000099e , \blk00000003/sig0000099f , \blk00000003/sig000009a0 , \blk00000003/sig000009a1 , +\blk00000003/sig000009a2 , \blk00000003/sig000009a3 , \blk00000003/sig000009a4 , \blk00000003/sig000009a5 , \blk00000003/sig000009a6 , +\blk00000003/sig000009a7 , \blk00000003/sig000009a8 , \blk00000003/sig000009a9 , \blk00000003/sig000009aa , \blk00000003/sig000009ab , +\blk00000003/sig000009ac , \blk00000003/sig000009ad , \blk00000003/sig000009ae , \blk00000003/sig000009af , \blk00000003/sig000009b0 , +\blk00000003/sig000009b1 , \blk00000003/sig000009b2 , \blk00000003/sig000009b3 , \blk00000003/sig000009b4 , \blk00000003/sig000009b5 , +\blk00000003/sig000009b6 , \blk00000003/sig000009b7 , \blk00000003/sig000009b8 , \blk00000003/sig000009b9 , \blk00000003/sig000009ba , +\blk00000003/sig000009bb , \blk00000003/sig000009bc , \blk00000003/sig000009bd , \blk00000003/sig000009be , \blk00000003/sig000009bf , +\blk00000003/sig000009c0 , \blk00000003/sig000009c1 , \blk00000003/sig000009c2 , \blk00000003/sig000009c3 , \blk00000003/sig000009c4 , +\blk00000003/sig000009c5 , \blk00000003/sig000009c6 , \blk00000003/sig000009c7 }), + .A({\blk00000003/sig00000624 , \blk00000003/sig00000625 , \blk00000003/sig00000626 , \blk00000003/sig00000627 , \blk00000003/sig00000628 , +\blk00000003/sig00000629 , \blk00000003/sig0000062a , \blk00000003/sig0000062b , \blk00000003/sig0000062c , \blk00000003/sig0000062d , +\blk00000003/sig0000062e , \blk00000003/sig0000062f , \blk00000003/sig00000630 , \blk00000003/sig00000631 , \blk00000003/sig00000632 , +\blk00000003/sig00000633 , \blk00000003/sig00000634 , \blk00000003/sig00000635 }), + .M({\NLW_blk00000003/blk0000010e_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010d ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010d_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010d_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000928 , \blk00000003/sig00000929 , \blk00000003/sig0000092a , +\blk00000003/sig0000092b , \blk00000003/sig0000092c , \blk00000003/sig0000092d , \blk00000003/sig0000092e , \blk00000003/sig0000092f , +\blk00000003/sig00000930 , \blk00000003/sig00000931 , \blk00000003/sig00000932 , \blk00000003/sig00000933 , \blk00000003/sig00000934 , +\blk00000003/sig00000935 , \blk00000003/sig00000936 , \blk00000003/sig00000937 }), + .BCOUT({\NLW_blk00000003/blk0000010d_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000008f8 , \blk00000003/sig000008f9 , \blk00000003/sig000008fa , \blk00000003/sig000008fb , \blk00000003/sig000008fc , +\blk00000003/sig000008fd , \blk00000003/sig000008fe , \blk00000003/sig000008ff , \blk00000003/sig00000900 , \blk00000003/sig00000901 , +\blk00000003/sig00000902 , \blk00000003/sig00000903 , \blk00000003/sig00000904 , \blk00000003/sig00000905 , \blk00000003/sig00000906 , +\blk00000003/sig00000907 , \blk00000003/sig00000908 , \blk00000003/sig00000909 , \blk00000003/sig0000090a , \blk00000003/sig0000090b , +\blk00000003/sig0000090c , \blk00000003/sig0000090d , \blk00000003/sig0000090e , \blk00000003/sig0000090f , \blk00000003/sig00000910 , +\blk00000003/sig00000911 , \blk00000003/sig00000912 , \blk00000003/sig00000913 , \blk00000003/sig00000914 , \blk00000003/sig00000915 , +\blk00000003/sig00000916 , \blk00000003/sig00000917 , \blk00000003/sig00000918 , \blk00000003/sig00000919 , \blk00000003/sig0000091a , +\blk00000003/sig0000091b , \blk00000003/sig0000091c , \blk00000003/sig0000091d , \blk00000003/sig0000091e , \blk00000003/sig0000091f , +\blk00000003/sig00000920 , \blk00000003/sig00000921 , \blk00000003/sig00000922 , \blk00000003/sig00000923 , \blk00000003/sig00000924 , +\blk00000003/sig00000925 , \blk00000003/sig00000926 , \blk00000003/sig00000927 }), + .C({\NLW_blk00000003/blk0000010d_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010d_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000938 , \blk00000003/sig00000939 , \blk00000003/sig0000093a , +\blk00000003/sig0000093b , \blk00000003/sig0000093c , \blk00000003/sig0000093d , \blk00000003/sig0000093e , \blk00000003/sig0000093f , +\blk00000003/sig00000940 , \blk00000003/sig00000941 , \blk00000003/sig00000942 , \blk00000003/sig00000943 , \blk00000003/sig00000944 , +\blk00000003/sig00000945 , \blk00000003/sig00000946 , \blk00000003/sig00000947 }), + .PCOUT({\blk00000003/sig00000948 , \blk00000003/sig00000949 , \blk00000003/sig0000094a , \blk00000003/sig0000094b , \blk00000003/sig0000094c , +\blk00000003/sig0000094d , \blk00000003/sig0000094e , \blk00000003/sig0000094f , \blk00000003/sig00000950 , \blk00000003/sig00000951 , +\blk00000003/sig00000952 , \blk00000003/sig00000953 , \blk00000003/sig00000954 , \blk00000003/sig00000955 , \blk00000003/sig00000956 , +\blk00000003/sig00000957 , \blk00000003/sig00000958 , \blk00000003/sig00000959 , \blk00000003/sig0000095a , \blk00000003/sig0000095b , +\blk00000003/sig0000095c , \blk00000003/sig0000095d , \blk00000003/sig0000095e , \blk00000003/sig0000095f , \blk00000003/sig00000960 , +\blk00000003/sig00000961 , \blk00000003/sig00000962 , \blk00000003/sig00000963 , \blk00000003/sig00000964 , \blk00000003/sig00000965 , +\blk00000003/sig00000966 , \blk00000003/sig00000967 , \blk00000003/sig00000968 , \blk00000003/sig00000969 , \blk00000003/sig0000096a , +\blk00000003/sig0000096b , \blk00000003/sig0000096c , \blk00000003/sig0000096d , \blk00000003/sig0000096e , \blk00000003/sig0000096f , +\blk00000003/sig00000970 , \blk00000003/sig00000971 , \blk00000003/sig00000972 , \blk00000003/sig00000973 , \blk00000003/sig00000974 , +\blk00000003/sig00000975 , \blk00000003/sig00000976 , \blk00000003/sig00000977 }), + .A({\blk00000003/sig000005d2 , \blk00000003/sig000005d3 , \blk00000003/sig000005d4 , \blk00000003/sig000005d5 , \blk00000003/sig000005d6 , +\blk00000003/sig000005d7 , \blk00000003/sig000005d8 , \blk00000003/sig000005d9 , \blk00000003/sig000005da , \blk00000003/sig000005db , +\blk00000003/sig000005dc , \blk00000003/sig000005dd , \blk00000003/sig000005de , \blk00000003/sig000005df , \blk00000003/sig000005e0 , +\blk00000003/sig000005e1 , \blk00000003/sig000005e2 , \blk00000003/sig000005e3 }), + .M({\NLW_blk00000003/blk0000010d_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010c ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010c_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010c_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000008d8 , \blk00000003/sig000008d9 , \blk00000003/sig000008da , +\blk00000003/sig000008db , \blk00000003/sig000008dc , \blk00000003/sig000008dd , \blk00000003/sig000008de , \blk00000003/sig000008df , +\blk00000003/sig000008e0 , \blk00000003/sig000008e1 , \blk00000003/sig000008e2 , \blk00000003/sig000008e3 , \blk00000003/sig000008e4 , +\blk00000003/sig000008e5 , \blk00000003/sig000008e6 , \blk00000003/sig000008e7 }), + .BCOUT({\NLW_blk00000003/blk0000010c_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig0000047e , \blk00000003/sig0000047f , \blk00000003/sig00000480 , \blk00000003/sig00000481 , \blk00000003/sig00000482 , +\blk00000003/sig00000483 , \blk00000003/sig00000484 , \blk00000003/sig00000485 , \blk00000003/sig00000486 , \blk00000003/sig00000487 , +\blk00000003/sig00000488 , \blk00000003/sig00000489 , \blk00000003/sig0000048a , \blk00000003/sig0000048b , \blk00000003/sig0000048c , +\blk00000003/sig0000048d , \blk00000003/sig0000048e , \blk00000003/sig0000048f , \blk00000003/sig00000490 , \blk00000003/sig00000491 , +\blk00000003/sig00000492 , \blk00000003/sig00000493 , \blk00000003/sig00000494 , \blk00000003/sig00000495 , \blk00000003/sig00000496 , +\blk00000003/sig00000497 , \blk00000003/sig00000498 , \blk00000003/sig00000499 , \blk00000003/sig0000049a , \blk00000003/sig0000049b , +\blk00000003/sig0000049c , \blk00000003/sig0000049d , \blk00000003/sig0000049e , \blk00000003/sig0000049f , \blk00000003/sig000004a0 , +\blk00000003/sig000004a1 , \blk00000003/sig000004a2 , \blk00000003/sig000004a3 , \blk00000003/sig000004a4 , \blk00000003/sig000004a5 , +\blk00000003/sig000004a6 , \blk00000003/sig000004a7 , \blk00000003/sig000004a8 , \blk00000003/sig000004a9 , \blk00000003/sig000004aa , +\blk00000003/sig000004ab , \blk00000003/sig000004ac , \blk00000003/sig000004ad }), + .C({\NLW_blk00000003/blk0000010c_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010c_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000008e8 , \blk00000003/sig000008e9 , \blk00000003/sig000008ea , +\blk00000003/sig000008eb , \blk00000003/sig000008ec , \blk00000003/sig000008ed , \blk00000003/sig000008ee , \blk00000003/sig000008ef , +\blk00000003/sig000008f0 , \blk00000003/sig000008f1 , \blk00000003/sig000008f2 , \blk00000003/sig000008f3 , \blk00000003/sig000008f4 , +\blk00000003/sig000008f5 , \blk00000003/sig000008f6 , \blk00000003/sig000008f7 }), + .PCOUT({\blk00000003/sig000008f8 , \blk00000003/sig000008f9 , \blk00000003/sig000008fa , \blk00000003/sig000008fb , \blk00000003/sig000008fc , +\blk00000003/sig000008fd , \blk00000003/sig000008fe , \blk00000003/sig000008ff , \blk00000003/sig00000900 , \blk00000003/sig00000901 , +\blk00000003/sig00000902 , \blk00000003/sig00000903 , \blk00000003/sig00000904 , \blk00000003/sig00000905 , \blk00000003/sig00000906 , +\blk00000003/sig00000907 , \blk00000003/sig00000908 , \blk00000003/sig00000909 , \blk00000003/sig0000090a , \blk00000003/sig0000090b , +\blk00000003/sig0000090c , \blk00000003/sig0000090d , \blk00000003/sig0000090e , \blk00000003/sig0000090f , \blk00000003/sig00000910 , +\blk00000003/sig00000911 , \blk00000003/sig00000912 , \blk00000003/sig00000913 , \blk00000003/sig00000914 , \blk00000003/sig00000915 , +\blk00000003/sig00000916 , \blk00000003/sig00000917 , \blk00000003/sig00000918 , \blk00000003/sig00000919 , \blk00000003/sig0000091a , +\blk00000003/sig0000091b , \blk00000003/sig0000091c , \blk00000003/sig0000091d , \blk00000003/sig0000091e , \blk00000003/sig0000091f , +\blk00000003/sig00000920 , \blk00000003/sig00000921 , \blk00000003/sig00000922 , \blk00000003/sig00000923 , \blk00000003/sig00000924 , +\blk00000003/sig00000925 , \blk00000003/sig00000926 , \blk00000003/sig00000927 }), + .A({\blk00000003/sig00000580 , \blk00000003/sig00000581 , \blk00000003/sig00000582 , \blk00000003/sig00000583 , \blk00000003/sig00000584 , +\blk00000003/sig00000585 , \blk00000003/sig00000586 , \blk00000003/sig00000587 , \blk00000003/sig00000588 , \blk00000003/sig00000589 , +\blk00000003/sig0000058a , \blk00000003/sig0000058b , \blk00000003/sig0000058c , \blk00000003/sig0000058d , \blk00000003/sig0000058e , +\blk00000003/sig0000058f , \blk00000003/sig00000590 , \blk00000003/sig00000591 }), + .M({\NLW_blk00000003/blk0000010c_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010b ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010b_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010b_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000008b8 , \blk00000003/sig000008b9 , \blk00000003/sig000008ba , +\blk00000003/sig000008bb , \blk00000003/sig000008bc , \blk00000003/sig000008bd , \blk00000003/sig000008be , \blk00000003/sig000008bf , +\blk00000003/sig000008c0 , \blk00000003/sig000008c1 , \blk00000003/sig000008c2 , \blk00000003/sig000008c3 , \blk00000003/sig000008c4 , +\blk00000003/sig000008c5 , \blk00000003/sig000008c6 , \blk00000003/sig000008c7 }), + .BCOUT({\NLW_blk00000003/blk0000010b_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000888 , \blk00000003/sig00000889 , \blk00000003/sig0000088a , \blk00000003/sig0000088b , \blk00000003/sig0000088c , +\blk00000003/sig0000088d , \blk00000003/sig0000088e , \blk00000003/sig0000088f , \blk00000003/sig00000890 , \blk00000003/sig00000891 , +\blk00000003/sig00000892 , \blk00000003/sig00000893 , \blk00000003/sig00000894 , \blk00000003/sig00000895 , \blk00000003/sig00000896 , +\blk00000003/sig00000897 , \blk00000003/sig00000898 , \blk00000003/sig00000899 , \blk00000003/sig0000089a , \blk00000003/sig0000089b , +\blk00000003/sig0000089c , \blk00000003/sig0000089d , \blk00000003/sig0000089e , \blk00000003/sig0000089f , \blk00000003/sig000008a0 , +\blk00000003/sig000008a1 , \blk00000003/sig000008a2 , \blk00000003/sig000008a3 , \blk00000003/sig000008a4 , \blk00000003/sig000008a5 , +\blk00000003/sig000008a6 , \blk00000003/sig000008a7 , \blk00000003/sig000008a8 , \blk00000003/sig000008a9 , \blk00000003/sig000008aa , +\blk00000003/sig000008ab , \blk00000003/sig000008ac , \blk00000003/sig000008ad , \blk00000003/sig000008ae , \blk00000003/sig000008af , +\blk00000003/sig000008b0 , \blk00000003/sig000008b1 , \blk00000003/sig000008b2 , \blk00000003/sig000008b3 , \blk00000003/sig000008b4 , +\blk00000003/sig000008b5 , \blk00000003/sig000008b6 , \blk00000003/sig000008b7 }), + .C({\NLW_blk00000003/blk0000010b_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010b_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000008c8 , \blk00000003/sig000008c9 , \blk00000003/sig000008ca , +\blk00000003/sig000008cb , \blk00000003/sig000008cc , \blk00000003/sig000008cd , \blk00000003/sig000008ce , \blk00000003/sig000008cf , +\blk00000003/sig000008d0 , \blk00000003/sig000008d1 , \blk00000003/sig000008d2 , \blk00000003/sig000008d3 , \blk00000003/sig000008d4 , +\blk00000003/sig000008d5 , \blk00000003/sig000008d6 , \blk00000003/sig000008d7 }), + .PCOUT({\blk00000003/sig00000738 , \blk00000003/sig00000739 , \blk00000003/sig0000073a , \blk00000003/sig0000073b , \blk00000003/sig0000073c , +\blk00000003/sig0000073d , \blk00000003/sig0000073e , \blk00000003/sig0000073f , \blk00000003/sig00000740 , \blk00000003/sig00000741 , +\blk00000003/sig00000742 , \blk00000003/sig00000743 , \blk00000003/sig00000744 , \blk00000003/sig00000745 , \blk00000003/sig00000746 , +\blk00000003/sig00000747 , \blk00000003/sig00000748 , \blk00000003/sig00000749 , \blk00000003/sig0000074a , \blk00000003/sig0000074b , +\blk00000003/sig0000074c , \blk00000003/sig0000074d , \blk00000003/sig0000074e , \blk00000003/sig0000074f , \blk00000003/sig00000750 , +\blk00000003/sig00000751 , \blk00000003/sig00000752 , \blk00000003/sig00000753 , \blk00000003/sig00000754 , \blk00000003/sig00000755 , +\blk00000003/sig00000756 , \blk00000003/sig00000757 , \blk00000003/sig00000758 , \blk00000003/sig00000759 , \blk00000003/sig0000075a , +\blk00000003/sig0000075b , \blk00000003/sig0000075c , \blk00000003/sig0000075d , \blk00000003/sig0000075e , \blk00000003/sig0000075f , +\blk00000003/sig00000760 , \blk00000003/sig00000761 , \blk00000003/sig00000762 , \blk00000003/sig00000763 , \blk00000003/sig00000764 , +\blk00000003/sig00000765 , \blk00000003/sig00000766 , \blk00000003/sig00000767 }), + .A({\blk00000003/sig00000646 , \blk00000003/sig00000647 , \blk00000003/sig00000648 , \blk00000003/sig00000649 , \blk00000003/sig0000064a , +\blk00000003/sig0000064b , \blk00000003/sig0000064c , \blk00000003/sig0000064d , \blk00000003/sig0000064e , \blk00000003/sig0000064f , +\blk00000003/sig00000650 , \blk00000003/sig00000651 , \blk00000003/sig00000652 , \blk00000003/sig00000653 , \blk00000003/sig00000654 , +\blk00000003/sig00000655 , \blk00000003/sig00000656 , \blk00000003/sig00000657 }), + .M({\NLW_blk00000003/blk0000010b_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010a ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010a_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010a_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000868 , \blk00000003/sig00000869 , \blk00000003/sig0000086a , +\blk00000003/sig0000086b , \blk00000003/sig0000086c , \blk00000003/sig0000086d , \blk00000003/sig0000086e , \blk00000003/sig0000086f , +\blk00000003/sig00000870 , \blk00000003/sig00000871 , \blk00000003/sig00000872 , \blk00000003/sig00000873 , \blk00000003/sig00000874 , +\blk00000003/sig00000875 , \blk00000003/sig00000876 , \blk00000003/sig00000877 }), + .BCOUT({\NLW_blk00000003/blk0000010a_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000838 , \blk00000003/sig00000839 , \blk00000003/sig0000083a , \blk00000003/sig0000083b , \blk00000003/sig0000083c , +\blk00000003/sig0000083d , \blk00000003/sig0000083e , \blk00000003/sig0000083f , \blk00000003/sig00000840 , \blk00000003/sig00000841 , +\blk00000003/sig00000842 , \blk00000003/sig00000843 , \blk00000003/sig00000844 , \blk00000003/sig00000845 , \blk00000003/sig00000846 , +\blk00000003/sig00000847 , \blk00000003/sig00000848 , \blk00000003/sig00000849 , \blk00000003/sig0000084a , \blk00000003/sig0000084b , +\blk00000003/sig0000084c , \blk00000003/sig0000084d , \blk00000003/sig0000084e , \blk00000003/sig0000084f , \blk00000003/sig00000850 , +\blk00000003/sig00000851 , \blk00000003/sig00000852 , \blk00000003/sig00000853 , \blk00000003/sig00000854 , \blk00000003/sig00000855 , +\blk00000003/sig00000856 , \blk00000003/sig00000857 , \blk00000003/sig00000858 , \blk00000003/sig00000859 , \blk00000003/sig0000085a , +\blk00000003/sig0000085b , \blk00000003/sig0000085c , \blk00000003/sig0000085d , \blk00000003/sig0000085e , \blk00000003/sig0000085f , +\blk00000003/sig00000860 , \blk00000003/sig00000861 , \blk00000003/sig00000862 , \blk00000003/sig00000863 , \blk00000003/sig00000864 , +\blk00000003/sig00000865 , \blk00000003/sig00000866 , \blk00000003/sig00000867 }), + .C({\NLW_blk00000003/blk0000010a_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010a_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000878 , \blk00000003/sig00000879 , \blk00000003/sig0000087a , +\blk00000003/sig0000087b , \blk00000003/sig0000087c , \blk00000003/sig0000087d , \blk00000003/sig0000087e , \blk00000003/sig0000087f , +\blk00000003/sig00000880 , \blk00000003/sig00000881 , \blk00000003/sig00000882 , \blk00000003/sig00000883 , \blk00000003/sig00000884 , +\blk00000003/sig00000885 , \blk00000003/sig00000886 , \blk00000003/sig00000887 }), + .PCOUT({\blk00000003/sig00000888 , \blk00000003/sig00000889 , \blk00000003/sig0000088a , \blk00000003/sig0000088b , \blk00000003/sig0000088c , +\blk00000003/sig0000088d , \blk00000003/sig0000088e , \blk00000003/sig0000088f , \blk00000003/sig00000890 , \blk00000003/sig00000891 , +\blk00000003/sig00000892 , \blk00000003/sig00000893 , \blk00000003/sig00000894 , \blk00000003/sig00000895 , \blk00000003/sig00000896 , +\blk00000003/sig00000897 , \blk00000003/sig00000898 , \blk00000003/sig00000899 , \blk00000003/sig0000089a , \blk00000003/sig0000089b , +\blk00000003/sig0000089c , \blk00000003/sig0000089d , \blk00000003/sig0000089e , \blk00000003/sig0000089f , \blk00000003/sig000008a0 , +\blk00000003/sig000008a1 , \blk00000003/sig000008a2 , \blk00000003/sig000008a3 , \blk00000003/sig000008a4 , \blk00000003/sig000008a5 , +\blk00000003/sig000008a6 , \blk00000003/sig000008a7 , \blk00000003/sig000008a8 , \blk00000003/sig000008a9 , \blk00000003/sig000008aa , +\blk00000003/sig000008ab , \blk00000003/sig000008ac , \blk00000003/sig000008ad , \blk00000003/sig000008ae , \blk00000003/sig000008af , +\blk00000003/sig000008b0 , \blk00000003/sig000008b1 , \blk00000003/sig000008b2 , \blk00000003/sig000008b3 , \blk00000003/sig000008b4 , +\blk00000003/sig000008b5 , \blk00000003/sig000008b6 , \blk00000003/sig000008b7 }), + .A({\blk00000003/sig00000624 , \blk00000003/sig00000625 , \blk00000003/sig00000626 , \blk00000003/sig00000627 , \blk00000003/sig00000628 , +\blk00000003/sig00000629 , \blk00000003/sig0000062a , \blk00000003/sig0000062b , \blk00000003/sig0000062c , \blk00000003/sig0000062d , +\blk00000003/sig0000062e , \blk00000003/sig0000062f , \blk00000003/sig00000630 , \blk00000003/sig00000631 , \blk00000003/sig00000632 , +\blk00000003/sig00000633 , \blk00000003/sig00000634 , \blk00000003/sig00000635 }), + .M({\NLW_blk00000003/blk0000010a_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000109 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000109_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000109_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000818 , \blk00000003/sig00000819 , \blk00000003/sig0000081a , +\blk00000003/sig0000081b , \blk00000003/sig0000081c , \blk00000003/sig0000081d , \blk00000003/sig0000081e , \blk00000003/sig0000081f , +\blk00000003/sig00000820 , \blk00000003/sig00000821 , \blk00000003/sig00000822 , \blk00000003/sig00000823 , \blk00000003/sig00000824 , +\blk00000003/sig00000825 , \blk00000003/sig00000826 , \blk00000003/sig00000827 }), + .BCOUT({\NLW_blk00000003/blk00000109_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000109_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000109_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000109_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000109_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000109_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000109_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000109_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000109_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000109_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000109_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000109_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000109_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000109_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000109_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000109_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000109_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000109_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000007e8 , \blk00000003/sig000007e9 , \blk00000003/sig000007ea , \blk00000003/sig000007eb , \blk00000003/sig000007ec , +\blk00000003/sig000007ed , \blk00000003/sig000007ee , \blk00000003/sig000007ef , \blk00000003/sig000007f0 , \blk00000003/sig000007f1 , +\blk00000003/sig000007f2 , \blk00000003/sig000007f3 , \blk00000003/sig000007f4 , \blk00000003/sig000007f5 , \blk00000003/sig000007f6 , +\blk00000003/sig000007f7 , \blk00000003/sig000007f8 , \blk00000003/sig000007f9 , \blk00000003/sig000007fa , \blk00000003/sig000007fb , +\blk00000003/sig000007fc , \blk00000003/sig000007fd , \blk00000003/sig000007fe , \blk00000003/sig000007ff , \blk00000003/sig00000800 , +\blk00000003/sig00000801 , \blk00000003/sig00000802 , \blk00000003/sig00000803 , \blk00000003/sig00000804 , \blk00000003/sig00000805 , +\blk00000003/sig00000806 , \blk00000003/sig00000807 , \blk00000003/sig00000808 , \blk00000003/sig00000809 , \blk00000003/sig0000080a , +\blk00000003/sig0000080b , \blk00000003/sig0000080c , \blk00000003/sig0000080d , \blk00000003/sig0000080e , \blk00000003/sig0000080f , +\blk00000003/sig00000810 , \blk00000003/sig00000811 , \blk00000003/sig00000812 , \blk00000003/sig00000813 , \blk00000003/sig00000814 , +\blk00000003/sig00000815 , \blk00000003/sig00000816 , \blk00000003/sig00000817 }), + .C({\NLW_blk00000003/blk00000109_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000109_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000828 , \blk00000003/sig00000829 , \blk00000003/sig0000082a , +\blk00000003/sig0000082b , \blk00000003/sig0000082c , \blk00000003/sig0000082d , \blk00000003/sig0000082e , \blk00000003/sig0000082f , +\blk00000003/sig00000830 , \blk00000003/sig00000831 , \blk00000003/sig00000832 , \blk00000003/sig00000833 , \blk00000003/sig00000834 , +\blk00000003/sig00000835 , \blk00000003/sig00000836 , \blk00000003/sig00000837 }), + .PCOUT({\blk00000003/sig00000838 , \blk00000003/sig00000839 , \blk00000003/sig0000083a , \blk00000003/sig0000083b , \blk00000003/sig0000083c , +\blk00000003/sig0000083d , \blk00000003/sig0000083e , \blk00000003/sig0000083f , \blk00000003/sig00000840 , \blk00000003/sig00000841 , +\blk00000003/sig00000842 , \blk00000003/sig00000843 , \blk00000003/sig00000844 , \blk00000003/sig00000845 , \blk00000003/sig00000846 , +\blk00000003/sig00000847 , \blk00000003/sig00000848 , \blk00000003/sig00000849 , \blk00000003/sig0000084a , \blk00000003/sig0000084b , +\blk00000003/sig0000084c , \blk00000003/sig0000084d , \blk00000003/sig0000084e , \blk00000003/sig0000084f , \blk00000003/sig00000850 , +\blk00000003/sig00000851 , \blk00000003/sig00000852 , \blk00000003/sig00000853 , \blk00000003/sig00000854 , \blk00000003/sig00000855 , +\blk00000003/sig00000856 , \blk00000003/sig00000857 , \blk00000003/sig00000858 , \blk00000003/sig00000859 , \blk00000003/sig0000085a , +\blk00000003/sig0000085b , \blk00000003/sig0000085c , \blk00000003/sig0000085d , \blk00000003/sig0000085e , \blk00000003/sig0000085f , +\blk00000003/sig00000860 , \blk00000003/sig00000861 , \blk00000003/sig00000862 , \blk00000003/sig00000863 , \blk00000003/sig00000864 , +\blk00000003/sig00000865 , \blk00000003/sig00000866 , \blk00000003/sig00000867 }), + .A({\blk00000003/sig000005d2 , \blk00000003/sig000005d3 , \blk00000003/sig000005d4 , \blk00000003/sig000005d5 , \blk00000003/sig000005d6 , +\blk00000003/sig000005d7 , \blk00000003/sig000005d8 , \blk00000003/sig000005d9 , \blk00000003/sig000005da , \blk00000003/sig000005db , +\blk00000003/sig000005dc , \blk00000003/sig000005dd , \blk00000003/sig000005de , \blk00000003/sig000005df , \blk00000003/sig000005e0 , +\blk00000003/sig000005e1 , \blk00000003/sig000005e2 , \blk00000003/sig000005e3 }), + .M({\NLW_blk00000003/blk00000109_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000108 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000108_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000108_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000007c8 , \blk00000003/sig000007c9 , \blk00000003/sig000007ca , +\blk00000003/sig000007cb , \blk00000003/sig000007cc , \blk00000003/sig000007cd , \blk00000003/sig000007ce , \blk00000003/sig000007cf , +\blk00000003/sig000007d0 , \blk00000003/sig000007d1 , \blk00000003/sig000007d2 , \blk00000003/sig000007d3 , \blk00000003/sig000007d4 , +\blk00000003/sig000007d5 , \blk00000003/sig000007d6 , \blk00000003/sig000007d7 }), + .BCOUT({\NLW_blk00000003/blk00000108_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000108_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000108_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000108_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000108_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000108_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000108_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000108_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000108_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000108_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000108_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000108_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000108_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000108_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000108_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000108_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000108_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000108_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig0000042e , \blk00000003/sig0000042f , \blk00000003/sig00000430 , \blk00000003/sig00000431 , \blk00000003/sig00000432 , +\blk00000003/sig00000433 , \blk00000003/sig00000434 , \blk00000003/sig00000435 , \blk00000003/sig00000436 , \blk00000003/sig00000437 , +\blk00000003/sig00000438 , \blk00000003/sig00000439 , \blk00000003/sig0000043a , \blk00000003/sig0000043b , \blk00000003/sig0000043c , +\blk00000003/sig0000043d , \blk00000003/sig0000043e , \blk00000003/sig0000043f , \blk00000003/sig00000440 , \blk00000003/sig00000441 , +\blk00000003/sig00000442 , \blk00000003/sig00000443 , \blk00000003/sig00000444 , \blk00000003/sig00000445 , \blk00000003/sig00000446 , +\blk00000003/sig00000447 , \blk00000003/sig00000448 , \blk00000003/sig00000449 , \blk00000003/sig0000044a , \blk00000003/sig0000044b , +\blk00000003/sig0000044c , \blk00000003/sig0000044d , \blk00000003/sig0000044e , \blk00000003/sig0000044f , \blk00000003/sig00000450 , +\blk00000003/sig00000451 , \blk00000003/sig00000452 , \blk00000003/sig00000453 , \blk00000003/sig00000454 , \blk00000003/sig00000455 , +\blk00000003/sig00000456 , \blk00000003/sig00000457 , \blk00000003/sig00000458 , \blk00000003/sig00000459 , \blk00000003/sig0000045a , +\blk00000003/sig0000045b , \blk00000003/sig0000045c , \blk00000003/sig0000045d }), + .C({\NLW_blk00000003/blk00000108_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000108_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000007d8 , \blk00000003/sig000007d9 , \blk00000003/sig000007da , +\blk00000003/sig000007db , \blk00000003/sig000007dc , \blk00000003/sig000007dd , \blk00000003/sig000007de , \blk00000003/sig000007df , +\blk00000003/sig000007e0 , \blk00000003/sig000007e1 , \blk00000003/sig000007e2 , \blk00000003/sig000007e3 , \blk00000003/sig000007e4 , +\blk00000003/sig000007e5 , \blk00000003/sig000007e6 , \blk00000003/sig000007e7 }), + .PCOUT({\blk00000003/sig000007e8 , \blk00000003/sig000007e9 , \blk00000003/sig000007ea , \blk00000003/sig000007eb , \blk00000003/sig000007ec , +\blk00000003/sig000007ed , \blk00000003/sig000007ee , \blk00000003/sig000007ef , \blk00000003/sig000007f0 , \blk00000003/sig000007f1 , +\blk00000003/sig000007f2 , \blk00000003/sig000007f3 , \blk00000003/sig000007f4 , \blk00000003/sig000007f5 , \blk00000003/sig000007f6 , +\blk00000003/sig000007f7 , \blk00000003/sig000007f8 , \blk00000003/sig000007f9 , \blk00000003/sig000007fa , \blk00000003/sig000007fb , +\blk00000003/sig000007fc , \blk00000003/sig000007fd , \blk00000003/sig000007fe , \blk00000003/sig000007ff , \blk00000003/sig00000800 , +\blk00000003/sig00000801 , \blk00000003/sig00000802 , \blk00000003/sig00000803 , \blk00000003/sig00000804 , \blk00000003/sig00000805 , +\blk00000003/sig00000806 , \blk00000003/sig00000807 , \blk00000003/sig00000808 , \blk00000003/sig00000809 , \blk00000003/sig0000080a , +\blk00000003/sig0000080b , \blk00000003/sig0000080c , \blk00000003/sig0000080d , \blk00000003/sig0000080e , \blk00000003/sig0000080f , +\blk00000003/sig00000810 , \blk00000003/sig00000811 , \blk00000003/sig00000812 , \blk00000003/sig00000813 , \blk00000003/sig00000814 , +\blk00000003/sig00000815 , \blk00000003/sig00000816 , \blk00000003/sig00000817 }), + .A({\blk00000003/sig00000580 , \blk00000003/sig00000581 , \blk00000003/sig00000582 , \blk00000003/sig00000583 , \blk00000003/sig00000584 , +\blk00000003/sig00000585 , \blk00000003/sig00000586 , \blk00000003/sig00000587 , \blk00000003/sig00000588 , \blk00000003/sig00000589 , +\blk00000003/sig0000058a , \blk00000003/sig0000058b , \blk00000003/sig0000058c , \blk00000003/sig0000058d , \blk00000003/sig0000058e , +\blk00000003/sig0000058f , \blk00000003/sig00000590 , \blk00000003/sig00000591 }), + .M({\NLW_blk00000003/blk00000108_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000107 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000107_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000107_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000778 , \blk00000003/sig00000779 , \blk00000003/sig0000077a , +\blk00000003/sig0000077b , \blk00000003/sig0000077c , \blk00000003/sig0000077d , \blk00000003/sig0000077e , \blk00000003/sig0000077f , +\blk00000003/sig00000780 , \blk00000003/sig00000781 , \blk00000003/sig00000782 , \blk00000003/sig00000783 , \blk00000003/sig00000784 , +\blk00000003/sig00000785 , \blk00000003/sig00000786 , \blk00000003/sig00000787 }), + .BCOUT({\NLW_blk00000003/blk00000107_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000788 , \blk00000003/sig00000789 , \blk00000003/sig0000078a , \blk00000003/sig0000078b , \blk00000003/sig0000078c , +\blk00000003/sig0000078d , \blk00000003/sig0000078e , \blk00000003/sig0000078f , \blk00000003/sig00000790 , \blk00000003/sig00000791 , +\blk00000003/sig00000792 , \blk00000003/sig00000793 , \blk00000003/sig00000794 , \blk00000003/sig00000795 , \blk00000003/sig00000796 , +\blk00000003/sig00000797 , \blk00000003/sig00000798 , \blk00000003/sig00000799 , \blk00000003/sig0000079a , \blk00000003/sig0000079b , +\blk00000003/sig0000079c , \blk00000003/sig0000079d , \blk00000003/sig0000079e , \blk00000003/sig0000079f , \blk00000003/sig000007a0 , +\blk00000003/sig000007a1 , \blk00000003/sig000007a2 , \blk00000003/sig000007a3 , \blk00000003/sig000007a4 , \blk00000003/sig000007a5 , +\blk00000003/sig000007a6 , \blk00000003/sig000007a7 , \blk00000003/sig000007a8 , \blk00000003/sig000007a9 , \blk00000003/sig000007aa , +\blk00000003/sig000007ab , \blk00000003/sig000007ac , \blk00000003/sig000007ad , \blk00000003/sig000007ae , \blk00000003/sig000007af , +\blk00000003/sig000007b0 , \blk00000003/sig000007b1 , \blk00000003/sig000007b2 , \blk00000003/sig000007b3 , \blk00000003/sig000007b4 , +\blk00000003/sig000007b5 , \blk00000003/sig000007b6 , \blk00000003/sig000007b7 }), + .C({\NLW_blk00000003/blk00000107_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000107_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000007b8 , \blk00000003/sig000007b9 , \blk00000003/sig000007ba , +\blk00000003/sig000007bb , \blk00000003/sig000007bc , \blk00000003/sig000007bd , \blk00000003/sig000007be , \blk00000003/sig000007bf , +\blk00000003/sig000007c0 , \blk00000003/sig000007c1 , \blk00000003/sig000007c2 , \blk00000003/sig000007c3 , \blk00000003/sig000007c4 , +\blk00000003/sig000007c5 , \blk00000003/sig000007c6 , \blk00000003/sig000007c7 }), + .PCOUT({\blk00000003/sig000001d2 , \blk00000003/sig000001d3 , \blk00000003/sig000001d4 , \blk00000003/sig000001d5 , \blk00000003/sig000001d6 , +\blk00000003/sig000001d7 , \blk00000003/sig000001d8 , \blk00000003/sig000001d9 , \blk00000003/sig000001da , \blk00000003/sig000001db , +\blk00000003/sig000001dc , \blk00000003/sig000001dd , \blk00000003/sig000001de , \blk00000003/sig000001df , \blk00000003/sig000001e0 , +\blk00000003/sig000001e1 , \blk00000003/sig000001e2 , \blk00000003/sig000001e3 , \blk00000003/sig000001e4 , \blk00000003/sig000001e5 , +\blk00000003/sig000001e6 , \blk00000003/sig000001e7 , \blk00000003/sig000001e8 , \blk00000003/sig000001e9 , \blk00000003/sig000001ea , +\blk00000003/sig000001eb , \blk00000003/sig000001ec , \blk00000003/sig000001ed , \blk00000003/sig000001ee , \blk00000003/sig000001ef , +\blk00000003/sig000001f0 , \blk00000003/sig000001f1 , \blk00000003/sig000001f2 , \blk00000003/sig000001f3 , \blk00000003/sig000001f4 , +\blk00000003/sig000001f5 , \blk00000003/sig000001f6 , \blk00000003/sig000001f7 , \blk00000003/sig000001f8 , \blk00000003/sig000001f9 , +\blk00000003/sig000001fa , \blk00000003/sig000001fb , \blk00000003/sig000001fc , \blk00000003/sig000001fd , \blk00000003/sig000001fe , +\blk00000003/sig000001ff , \blk00000003/sig00000200 , \blk00000003/sig00000201 }), + .A({\blk00000003/sig000004ee , \blk00000003/sig000004ef , \blk00000003/sig000004f0 , \blk00000003/sig000004f1 , \blk00000003/sig000004f2 , +\blk00000003/sig000004f3 , \blk00000003/sig000004f4 , \blk00000003/sig000004f5 , \blk00000003/sig000004f6 , \blk00000003/sig000004f7 , +\blk00000003/sig000004f8 , \blk00000003/sig000004f9 , \blk00000003/sig000004fa , \blk00000003/sig000004fb , \blk00000003/sig000004fc , +\blk00000003/sig000004fd , \blk00000003/sig000004fe , \blk00000003/sig000004ff }), + .M({\NLW_blk00000003/blk00000107_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000106 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000106_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000106_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000728 , \blk00000003/sig00000729 , \blk00000003/sig0000072a , +\blk00000003/sig0000072b , \blk00000003/sig0000072c , \blk00000003/sig0000072d , \blk00000003/sig0000072e , \blk00000003/sig0000072f , +\blk00000003/sig00000730 , \blk00000003/sig00000731 , \blk00000003/sig00000732 , \blk00000003/sig00000733 , \blk00000003/sig00000734 , +\blk00000003/sig00000735 , \blk00000003/sig00000736 , \blk00000003/sig00000737 }), + .BCOUT({\NLW_blk00000003/blk00000106_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000738 , \blk00000003/sig00000739 , \blk00000003/sig0000073a , \blk00000003/sig0000073b , \blk00000003/sig0000073c , +\blk00000003/sig0000073d , \blk00000003/sig0000073e , \blk00000003/sig0000073f , \blk00000003/sig00000740 , \blk00000003/sig00000741 , +\blk00000003/sig00000742 , \blk00000003/sig00000743 , \blk00000003/sig00000744 , \blk00000003/sig00000745 , \blk00000003/sig00000746 , +\blk00000003/sig00000747 , \blk00000003/sig00000748 , \blk00000003/sig00000749 , \blk00000003/sig0000074a , \blk00000003/sig0000074b , +\blk00000003/sig0000074c , \blk00000003/sig0000074d , \blk00000003/sig0000074e , \blk00000003/sig0000074f , \blk00000003/sig00000750 , +\blk00000003/sig00000751 , \blk00000003/sig00000752 , \blk00000003/sig00000753 , \blk00000003/sig00000754 , \blk00000003/sig00000755 , +\blk00000003/sig00000756 , \blk00000003/sig00000757 , \blk00000003/sig00000758 , \blk00000003/sig00000759 , \blk00000003/sig0000075a , +\blk00000003/sig0000075b , \blk00000003/sig0000075c , \blk00000003/sig0000075d , \blk00000003/sig0000075e , \blk00000003/sig0000075f , +\blk00000003/sig00000760 , \blk00000003/sig00000761 , \blk00000003/sig00000762 , \blk00000003/sig00000763 , \blk00000003/sig00000764 , +\blk00000003/sig00000765 , \blk00000003/sig00000766 , \blk00000003/sig00000767 }), + .C({\NLW_blk00000003/blk00000106_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000106_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000768 , \blk00000003/sig00000769 , \blk00000003/sig0000076a , +\blk00000003/sig0000076b , \blk00000003/sig0000076c , \blk00000003/sig0000076d , \blk00000003/sig0000076e , \blk00000003/sig0000076f , +\blk00000003/sig00000770 , \blk00000003/sig00000771 , \blk00000003/sig00000772 , \blk00000003/sig00000773 , \blk00000003/sig00000774 , +\blk00000003/sig00000775 , \blk00000003/sig00000776 , \blk00000003/sig00000777 }), + .PCOUT({\blk00000003/sig0000025a , \blk00000003/sig0000025b , \blk00000003/sig0000025c , \blk00000003/sig0000025d , \blk00000003/sig0000025e , +\blk00000003/sig0000025f , \blk00000003/sig00000260 , \blk00000003/sig00000261 , \blk00000003/sig00000262 , \blk00000003/sig00000263 , +\blk00000003/sig00000264 , \blk00000003/sig00000265 , \blk00000003/sig00000266 , \blk00000003/sig00000267 , \blk00000003/sig00000268 , +\blk00000003/sig00000269 , \blk00000003/sig0000026a , \blk00000003/sig0000026b , \blk00000003/sig0000026c , \blk00000003/sig0000026d , +\blk00000003/sig0000026e , \blk00000003/sig0000026f , \blk00000003/sig00000270 , \blk00000003/sig00000271 , \blk00000003/sig00000272 , +\blk00000003/sig00000273 , \blk00000003/sig00000274 , \blk00000003/sig00000275 , \blk00000003/sig00000276 , \blk00000003/sig00000277 , +\blk00000003/sig00000278 , \blk00000003/sig00000279 , \blk00000003/sig0000027a , \blk00000003/sig0000027b , \blk00000003/sig0000027c , +\blk00000003/sig0000027d , \blk00000003/sig0000027e , \blk00000003/sig0000027f , \blk00000003/sig00000280 , \blk00000003/sig00000281 , +\blk00000003/sig00000282 , \blk00000003/sig00000283 , \blk00000003/sig00000284 , \blk00000003/sig00000285 , \blk00000003/sig00000286 , +\blk00000003/sig00000287 , \blk00000003/sig00000288 , \blk00000003/sig00000289 }), + .A({\blk00000003/sig000004ee , \blk00000003/sig000004ef , \blk00000003/sig000004f0 , \blk00000003/sig000004f1 , \blk00000003/sig000004f2 , +\blk00000003/sig000004f3 , \blk00000003/sig000004f4 , \blk00000003/sig000004f5 , \blk00000003/sig000004f6 , \blk00000003/sig000004f7 , +\blk00000003/sig000004f8 , \blk00000003/sig000004f9 , \blk00000003/sig000004fa , \blk00000003/sig000004fb , \blk00000003/sig000004fc , +\blk00000003/sig000004fd , \blk00000003/sig000004fe , \blk00000003/sig000004ff }), + .M({\NLW_blk00000003/blk00000106_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000105 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000105_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000105_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000718 , \blk00000003/sig00000718 , \blk00000003/sig00000718 , \blk00000003/sig00000718 , \blk00000003/sig00000718 , +\blk00000003/sig00000718 , \blk00000003/sig00000718 , \blk00000003/sig00000718 , \blk00000003/sig00000718 , \blk00000003/sig00000718 , +\blk00000003/sig00000718 , \blk00000003/sig00000719 , \blk00000003/sig0000071a , \blk00000003/sig0000071b , \blk00000003/sig0000071c , +\blk00000003/sig0000071d , \blk00000003/sig0000071e , \blk00000003/sig0000071f }), + .BCOUT({\NLW_blk00000003/blk00000105_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000006e8 , \blk00000003/sig000006e9 , \blk00000003/sig000006ea , \blk00000003/sig000006eb , \blk00000003/sig000006ec , +\blk00000003/sig000006ed , \blk00000003/sig000006ee , \blk00000003/sig000006ef , \blk00000003/sig000006f0 , \blk00000003/sig000006f1 , +\blk00000003/sig000006f2 , \blk00000003/sig000006f3 , \blk00000003/sig000006f4 , \blk00000003/sig000006f5 , \blk00000003/sig000006f6 , +\blk00000003/sig000006f7 , \blk00000003/sig000006f8 , \blk00000003/sig000006f9 , \blk00000003/sig000006fa , \blk00000003/sig000006fb , +\blk00000003/sig000006fc , \blk00000003/sig000006fd , \blk00000003/sig000006fe , \blk00000003/sig000006ff , \blk00000003/sig00000700 , +\blk00000003/sig00000701 , \blk00000003/sig00000702 , \blk00000003/sig00000703 , \blk00000003/sig00000704 , \blk00000003/sig00000705 , +\blk00000003/sig00000706 , \blk00000003/sig00000707 , \blk00000003/sig00000708 , \blk00000003/sig00000709 , \blk00000003/sig0000070a , +\blk00000003/sig0000070b , \blk00000003/sig0000070c , \blk00000003/sig0000070d , \blk00000003/sig0000070e , \blk00000003/sig0000070f , +\blk00000003/sig00000710 , \blk00000003/sig00000711 , \blk00000003/sig00000712 , \blk00000003/sig00000713 , \blk00000003/sig00000714 , +\blk00000003/sig00000715 , \blk00000003/sig00000716 , \blk00000003/sig00000717 }), + .C({\NLW_blk00000003/blk00000105_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000105_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000720 , \blk00000003/sig00000720 , \blk00000003/sig00000720 , \blk00000003/sig00000720 , \blk00000003/sig00000720 , +\blk00000003/sig00000720 , \blk00000003/sig00000720 , \blk00000003/sig00000720 , \blk00000003/sig00000720 , \blk00000003/sig00000720 , +\blk00000003/sig00000720 , \blk00000003/sig00000721 , \blk00000003/sig00000722 , \blk00000003/sig00000723 , \blk00000003/sig00000724 , +\blk00000003/sig00000725 , \blk00000003/sig00000726 , \blk00000003/sig00000727 }), + .PCOUT({\blk00000003/sig00000508 , \blk00000003/sig00000509 , \blk00000003/sig0000050a , \blk00000003/sig0000050b , \blk00000003/sig0000050c , +\blk00000003/sig0000050d , \blk00000003/sig0000050e , \blk00000003/sig0000050f , \blk00000003/sig00000510 , \blk00000003/sig00000511 , +\blk00000003/sig00000512 , \blk00000003/sig00000513 , \blk00000003/sig00000514 , \blk00000003/sig00000515 , \blk00000003/sig00000516 , +\blk00000003/sig00000517 , \blk00000003/sig00000518 , \blk00000003/sig00000519 , \blk00000003/sig0000051a , \blk00000003/sig0000051b , +\blk00000003/sig0000051c , \blk00000003/sig0000051d , \blk00000003/sig0000051e , \blk00000003/sig0000051f , \blk00000003/sig00000520 , +\blk00000003/sig00000521 , \blk00000003/sig00000522 , \blk00000003/sig00000523 , \blk00000003/sig00000524 , \blk00000003/sig00000525 , +\blk00000003/sig00000526 , \blk00000003/sig00000527 , \blk00000003/sig00000528 , \blk00000003/sig00000529 , \blk00000003/sig0000052a , +\blk00000003/sig0000052b , \blk00000003/sig0000052c , \blk00000003/sig0000052d , \blk00000003/sig0000052e , \blk00000003/sig0000052f , +\blk00000003/sig00000530 , \blk00000003/sig00000531 , \blk00000003/sig00000532 , \blk00000003/sig00000533 , \blk00000003/sig00000534 , +\blk00000003/sig00000535 , \blk00000003/sig00000536 , \blk00000003/sig00000537 }), + .A({\blk00000003/sig00000646 , \blk00000003/sig00000647 , \blk00000003/sig00000648 , \blk00000003/sig00000649 , \blk00000003/sig0000064a , +\blk00000003/sig0000064b , \blk00000003/sig0000064c , \blk00000003/sig0000064d , \blk00000003/sig0000064e , \blk00000003/sig0000064f , +\blk00000003/sig00000650 , \blk00000003/sig00000651 , \blk00000003/sig00000652 , \blk00000003/sig00000653 , \blk00000003/sig00000654 , +\blk00000003/sig00000655 , \blk00000003/sig00000656 , \blk00000003/sig00000657 }), + .M({\NLW_blk00000003/blk00000105_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000104 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000104_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000104_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig000006d8 , \blk00000003/sig000006d8 , \blk00000003/sig000006d8 , \blk00000003/sig000006d8 , \blk00000003/sig000006d8 , +\blk00000003/sig000006d8 , \blk00000003/sig000006d8 , \blk00000003/sig000006d8 , \blk00000003/sig000006d8 , \blk00000003/sig000006d8 , +\blk00000003/sig000006d8 , \blk00000003/sig000006d9 , \blk00000003/sig000006da , \blk00000003/sig000006db , \blk00000003/sig000006dc , +\blk00000003/sig000006dd , \blk00000003/sig000006de , \blk00000003/sig000006df }), + .BCOUT({\NLW_blk00000003/blk00000104_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000006a8 , \blk00000003/sig000006a9 , \blk00000003/sig000006aa , \blk00000003/sig000006ab , \blk00000003/sig000006ac , +\blk00000003/sig000006ad , \blk00000003/sig000006ae , \blk00000003/sig000006af , \blk00000003/sig000006b0 , \blk00000003/sig000006b1 , +\blk00000003/sig000006b2 , \blk00000003/sig000006b3 , \blk00000003/sig000006b4 , \blk00000003/sig000006b5 , \blk00000003/sig000006b6 , +\blk00000003/sig000006b7 , \blk00000003/sig000006b8 , \blk00000003/sig000006b9 , \blk00000003/sig000006ba , \blk00000003/sig000006bb , +\blk00000003/sig000006bc , \blk00000003/sig000006bd , \blk00000003/sig000006be , \blk00000003/sig000006bf , \blk00000003/sig000006c0 , +\blk00000003/sig000006c1 , \blk00000003/sig000006c2 , \blk00000003/sig000006c3 , \blk00000003/sig000006c4 , \blk00000003/sig000006c5 , +\blk00000003/sig000006c6 , \blk00000003/sig000006c7 , \blk00000003/sig000006c8 , \blk00000003/sig000006c9 , \blk00000003/sig000006ca , +\blk00000003/sig000006cb , \blk00000003/sig000006cc , \blk00000003/sig000006cd , \blk00000003/sig000006ce , \blk00000003/sig000006cf , +\blk00000003/sig000006d0 , \blk00000003/sig000006d1 , \blk00000003/sig000006d2 , \blk00000003/sig000006d3 , \blk00000003/sig000006d4 , +\blk00000003/sig000006d5 , \blk00000003/sig000006d6 , \blk00000003/sig000006d7 }), + .C({\NLW_blk00000003/blk00000104_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000104_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig000006e0 , \blk00000003/sig000006e0 , \blk00000003/sig000006e0 , \blk00000003/sig000006e0 , \blk00000003/sig000006e0 , +\blk00000003/sig000006e0 , \blk00000003/sig000006e0 , \blk00000003/sig000006e0 , \blk00000003/sig000006e0 , \blk00000003/sig000006e0 , +\blk00000003/sig000006e0 , \blk00000003/sig000006e1 , \blk00000003/sig000006e2 , \blk00000003/sig000006e3 , \blk00000003/sig000006e4 , +\blk00000003/sig000006e5 , \blk00000003/sig000006e6 , \blk00000003/sig000006e7 }), + .PCOUT({\blk00000003/sig000006e8 , \blk00000003/sig000006e9 , \blk00000003/sig000006ea , \blk00000003/sig000006eb , \blk00000003/sig000006ec , +\blk00000003/sig000006ed , \blk00000003/sig000006ee , \blk00000003/sig000006ef , \blk00000003/sig000006f0 , \blk00000003/sig000006f1 , +\blk00000003/sig000006f2 , \blk00000003/sig000006f3 , \blk00000003/sig000006f4 , \blk00000003/sig000006f5 , \blk00000003/sig000006f6 , +\blk00000003/sig000006f7 , \blk00000003/sig000006f8 , \blk00000003/sig000006f9 , \blk00000003/sig000006fa , \blk00000003/sig000006fb , +\blk00000003/sig000006fc , \blk00000003/sig000006fd , \blk00000003/sig000006fe , \blk00000003/sig000006ff , \blk00000003/sig00000700 , +\blk00000003/sig00000701 , \blk00000003/sig00000702 , \blk00000003/sig00000703 , \blk00000003/sig00000704 , \blk00000003/sig00000705 , +\blk00000003/sig00000706 , \blk00000003/sig00000707 , \blk00000003/sig00000708 , \blk00000003/sig00000709 , \blk00000003/sig0000070a , +\blk00000003/sig0000070b , \blk00000003/sig0000070c , \blk00000003/sig0000070d , \blk00000003/sig0000070e , \blk00000003/sig0000070f , +\blk00000003/sig00000710 , \blk00000003/sig00000711 , \blk00000003/sig00000712 , \blk00000003/sig00000713 , \blk00000003/sig00000714 , +\blk00000003/sig00000715 , \blk00000003/sig00000716 , \blk00000003/sig00000717 }), + .A({\blk00000003/sig00000624 , \blk00000003/sig00000625 , \blk00000003/sig00000626 , \blk00000003/sig00000627 , \blk00000003/sig00000628 , +\blk00000003/sig00000629 , \blk00000003/sig0000062a , \blk00000003/sig0000062b , \blk00000003/sig0000062c , \blk00000003/sig0000062d , +\blk00000003/sig0000062e , \blk00000003/sig0000062f , \blk00000003/sig00000630 , \blk00000003/sig00000631 , \blk00000003/sig00000632 , +\blk00000003/sig00000633 , \blk00000003/sig00000634 , \blk00000003/sig00000635 }), + .M({\NLW_blk00000003/blk00000104_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000103 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000103_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000103_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000698 , \blk00000003/sig00000698 , \blk00000003/sig00000698 , \blk00000003/sig00000698 , \blk00000003/sig00000698 , +\blk00000003/sig00000698 , \blk00000003/sig00000698 , \blk00000003/sig00000698 , \blk00000003/sig00000698 , \blk00000003/sig00000698 , +\blk00000003/sig00000698 , \blk00000003/sig00000699 , \blk00000003/sig0000069a , \blk00000003/sig0000069b , \blk00000003/sig0000069c , +\blk00000003/sig0000069d , \blk00000003/sig0000069e , \blk00000003/sig0000069f }), + .BCOUT({\NLW_blk00000003/blk00000103_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000103_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000103_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000103_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000103_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000103_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000103_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000103_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000103_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000103_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000103_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000103_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000103_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000103_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000103_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000103_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000103_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000103_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000668 , \blk00000003/sig00000669 , \blk00000003/sig0000066a , \blk00000003/sig0000066b , \blk00000003/sig0000066c , +\blk00000003/sig0000066d , \blk00000003/sig0000066e , \blk00000003/sig0000066f , \blk00000003/sig00000670 , \blk00000003/sig00000671 , +\blk00000003/sig00000672 , \blk00000003/sig00000673 , \blk00000003/sig00000674 , \blk00000003/sig00000675 , \blk00000003/sig00000676 , +\blk00000003/sig00000677 , \blk00000003/sig00000678 , \blk00000003/sig00000679 , \blk00000003/sig0000067a , \blk00000003/sig0000067b , +\blk00000003/sig0000067c , \blk00000003/sig0000067d , \blk00000003/sig0000067e , \blk00000003/sig0000067f , \blk00000003/sig00000680 , +\blk00000003/sig00000681 , \blk00000003/sig00000682 , \blk00000003/sig00000683 , \blk00000003/sig00000684 , \blk00000003/sig00000685 , +\blk00000003/sig00000686 , \blk00000003/sig00000687 , \blk00000003/sig00000688 , \blk00000003/sig00000689 , \blk00000003/sig0000068a , +\blk00000003/sig0000068b , \blk00000003/sig0000068c , \blk00000003/sig0000068d , \blk00000003/sig0000068e , \blk00000003/sig0000068f , +\blk00000003/sig00000690 , \blk00000003/sig00000691 , \blk00000003/sig00000692 , \blk00000003/sig00000693 , \blk00000003/sig00000694 , +\blk00000003/sig00000695 , \blk00000003/sig00000696 , \blk00000003/sig00000697 }), + .C({\NLW_blk00000003/blk00000103_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000103_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig000006a0 , \blk00000003/sig000006a0 , \blk00000003/sig000006a0 , \blk00000003/sig000006a0 , \blk00000003/sig000006a0 , +\blk00000003/sig000006a0 , \blk00000003/sig000006a0 , \blk00000003/sig000006a0 , \blk00000003/sig000006a0 , \blk00000003/sig000006a0 , +\blk00000003/sig000006a0 , \blk00000003/sig000006a1 , \blk00000003/sig000006a2 , \blk00000003/sig000006a3 , \blk00000003/sig000006a4 , +\blk00000003/sig000006a5 , \blk00000003/sig000006a6 , \blk00000003/sig000006a7 }), + .PCOUT({\blk00000003/sig000006a8 , \blk00000003/sig000006a9 , \blk00000003/sig000006aa , \blk00000003/sig000006ab , \blk00000003/sig000006ac , +\blk00000003/sig000006ad , \blk00000003/sig000006ae , \blk00000003/sig000006af , \blk00000003/sig000006b0 , \blk00000003/sig000006b1 , +\blk00000003/sig000006b2 , \blk00000003/sig000006b3 , \blk00000003/sig000006b4 , \blk00000003/sig000006b5 , \blk00000003/sig000006b6 , +\blk00000003/sig000006b7 , \blk00000003/sig000006b8 , \blk00000003/sig000006b9 , \blk00000003/sig000006ba , \blk00000003/sig000006bb , +\blk00000003/sig000006bc , \blk00000003/sig000006bd , \blk00000003/sig000006be , \blk00000003/sig000006bf , \blk00000003/sig000006c0 , +\blk00000003/sig000006c1 , \blk00000003/sig000006c2 , \blk00000003/sig000006c3 , \blk00000003/sig000006c4 , \blk00000003/sig000006c5 , +\blk00000003/sig000006c6 , \blk00000003/sig000006c7 , \blk00000003/sig000006c8 , \blk00000003/sig000006c9 , \blk00000003/sig000006ca , +\blk00000003/sig000006cb , \blk00000003/sig000006cc , \blk00000003/sig000006cd , \blk00000003/sig000006ce , \blk00000003/sig000006cf , +\blk00000003/sig000006d0 , \blk00000003/sig000006d1 , \blk00000003/sig000006d2 , \blk00000003/sig000006d3 , \blk00000003/sig000006d4 , +\blk00000003/sig000006d5 , \blk00000003/sig000006d6 , \blk00000003/sig000006d7 }), + .A({\blk00000003/sig000005d2 , \blk00000003/sig000005d3 , \blk00000003/sig000005d4 , \blk00000003/sig000005d5 , \blk00000003/sig000005d6 , +\blk00000003/sig000005d7 , \blk00000003/sig000005d8 , \blk00000003/sig000005d9 , \blk00000003/sig000005da , \blk00000003/sig000005db , +\blk00000003/sig000005dc , \blk00000003/sig000005dd , \blk00000003/sig000005de , \blk00000003/sig000005df , \blk00000003/sig000005e0 , +\blk00000003/sig000005e1 , \blk00000003/sig000005e2 , \blk00000003/sig000005e3 }), + .M({\NLW_blk00000003/blk00000103_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000102 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000102_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000102_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000658 , \blk00000003/sig00000658 , \blk00000003/sig00000658 , \blk00000003/sig00000658 , \blk00000003/sig00000658 , +\blk00000003/sig00000658 , \blk00000003/sig00000658 , \blk00000003/sig00000658 , \blk00000003/sig00000658 , \blk00000003/sig00000658 , +\blk00000003/sig00000658 , \blk00000003/sig00000659 , \blk00000003/sig0000065a , \blk00000003/sig0000065b , \blk00000003/sig0000065c , +\blk00000003/sig0000065d , \blk00000003/sig0000065e , \blk00000003/sig0000065f }), + .BCOUT({\NLW_blk00000003/blk00000102_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000102_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000102_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000102_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000102_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000102_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000102_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000102_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000102_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000102_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000102_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000102_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000102_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000102_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000102_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000102_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000102_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000102_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000003de , \blk00000003/sig000003df , \blk00000003/sig000003e0 , \blk00000003/sig000003e1 , \blk00000003/sig000003e2 , +\blk00000003/sig000003e3 , \blk00000003/sig000003e4 , \blk00000003/sig000003e5 , \blk00000003/sig000003e6 , \blk00000003/sig000003e7 , +\blk00000003/sig000003e8 , \blk00000003/sig000003e9 , \blk00000003/sig000003ea , \blk00000003/sig000003eb , \blk00000003/sig000003ec , +\blk00000003/sig000003ed , \blk00000003/sig000003ee , \blk00000003/sig000003ef , \blk00000003/sig000003f0 , \blk00000003/sig000003f1 , +\blk00000003/sig000003f2 , \blk00000003/sig000003f3 , \blk00000003/sig000003f4 , \blk00000003/sig000003f5 , \blk00000003/sig000003f6 , +\blk00000003/sig000003f7 , \blk00000003/sig000003f8 , \blk00000003/sig000003f9 , \blk00000003/sig000003fa , \blk00000003/sig000003fb , +\blk00000003/sig000003fc , \blk00000003/sig000003fd , \blk00000003/sig000003fe , \blk00000003/sig000003ff , \blk00000003/sig00000400 , +\blk00000003/sig00000401 , \blk00000003/sig00000402 , \blk00000003/sig00000403 , \blk00000003/sig00000404 , \blk00000003/sig00000405 , +\blk00000003/sig00000406 , \blk00000003/sig00000407 , \blk00000003/sig00000408 , \blk00000003/sig00000409 , \blk00000003/sig0000040a , +\blk00000003/sig0000040b , \blk00000003/sig0000040c , \blk00000003/sig0000040d }), + .C({\NLW_blk00000003/blk00000102_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000102_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000660 , \blk00000003/sig00000660 , \blk00000003/sig00000660 , \blk00000003/sig00000660 , \blk00000003/sig00000660 , +\blk00000003/sig00000660 , \blk00000003/sig00000660 , \blk00000003/sig00000660 , \blk00000003/sig00000660 , \blk00000003/sig00000660 , +\blk00000003/sig00000660 , \blk00000003/sig00000661 , \blk00000003/sig00000662 , \blk00000003/sig00000663 , \blk00000003/sig00000664 , +\blk00000003/sig00000665 , \blk00000003/sig00000666 , \blk00000003/sig00000667 }), + .PCOUT({\blk00000003/sig00000668 , \blk00000003/sig00000669 , \blk00000003/sig0000066a , \blk00000003/sig0000066b , \blk00000003/sig0000066c , +\blk00000003/sig0000066d , \blk00000003/sig0000066e , \blk00000003/sig0000066f , \blk00000003/sig00000670 , \blk00000003/sig00000671 , +\blk00000003/sig00000672 , \blk00000003/sig00000673 , \blk00000003/sig00000674 , \blk00000003/sig00000675 , \blk00000003/sig00000676 , +\blk00000003/sig00000677 , \blk00000003/sig00000678 , \blk00000003/sig00000679 , \blk00000003/sig0000067a , \blk00000003/sig0000067b , +\blk00000003/sig0000067c , \blk00000003/sig0000067d , \blk00000003/sig0000067e , \blk00000003/sig0000067f , \blk00000003/sig00000680 , +\blk00000003/sig00000681 , \blk00000003/sig00000682 , \blk00000003/sig00000683 , \blk00000003/sig00000684 , \blk00000003/sig00000685 , +\blk00000003/sig00000686 , \blk00000003/sig00000687 , \blk00000003/sig00000688 , \blk00000003/sig00000689 , \blk00000003/sig0000068a , +\blk00000003/sig0000068b , \blk00000003/sig0000068c , \blk00000003/sig0000068d , \blk00000003/sig0000068e , \blk00000003/sig0000068f , +\blk00000003/sig00000690 , \blk00000003/sig00000691 , \blk00000003/sig00000692 , \blk00000003/sig00000693 , \blk00000003/sig00000694 , +\blk00000003/sig00000695 , \blk00000003/sig00000696 , \blk00000003/sig00000697 }), + .A({\blk00000003/sig00000580 , \blk00000003/sig00000581 , \blk00000003/sig00000582 , \blk00000003/sig00000583 , \blk00000003/sig00000584 , +\blk00000003/sig00000585 , \blk00000003/sig00000586 , \blk00000003/sig00000587 , \blk00000003/sig00000588 , \blk00000003/sig00000589 , +\blk00000003/sig0000058a , \blk00000003/sig0000058b , \blk00000003/sig0000058c , \blk00000003/sig0000058d , \blk00000003/sig0000058e , +\blk00000003/sig0000058f , \blk00000003/sig00000590 , \blk00000003/sig00000591 }), + .M({\NLW_blk00000003/blk00000102_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000101 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000101_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000101_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000636 , \blk00000003/sig00000636 , \blk00000003/sig00000636 , \blk00000003/sig00000636 , \blk00000003/sig00000636 , +\blk00000003/sig00000636 , \blk00000003/sig00000636 , \blk00000003/sig00000636 , \blk00000003/sig00000636 , \blk00000003/sig00000636 , +\blk00000003/sig00000636 , \blk00000003/sig00000637 , \blk00000003/sig00000638 , \blk00000003/sig00000639 , \blk00000003/sig0000063a , +\blk00000003/sig0000063b , \blk00000003/sig0000063c , \blk00000003/sig0000063d }), + .BCOUT({\NLW_blk00000003/blk00000101_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000101_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000101_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000101_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000101_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000101_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000101_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000101_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000101_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000101_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000101_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000101_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000101_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000101_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000101_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000101_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000101_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000101_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000005f4 , \blk00000003/sig000005f5 , \blk00000003/sig000005f6 , \blk00000003/sig000005f7 , \blk00000003/sig000005f8 , +\blk00000003/sig000005f9 , \blk00000003/sig000005fa , \blk00000003/sig000005fb , \blk00000003/sig000005fc , \blk00000003/sig000005fd , +\blk00000003/sig000005fe , \blk00000003/sig000005ff , \blk00000003/sig00000600 , \blk00000003/sig00000601 , \blk00000003/sig00000602 , +\blk00000003/sig00000603 , \blk00000003/sig00000604 , \blk00000003/sig00000605 , \blk00000003/sig00000606 , \blk00000003/sig00000607 , +\blk00000003/sig00000608 , \blk00000003/sig00000609 , \blk00000003/sig0000060a , \blk00000003/sig0000060b , \blk00000003/sig0000060c , +\blk00000003/sig0000060d , \blk00000003/sig0000060e , \blk00000003/sig0000060f , \blk00000003/sig00000610 , \blk00000003/sig00000611 , +\blk00000003/sig00000612 , \blk00000003/sig00000613 , \blk00000003/sig00000614 , \blk00000003/sig00000615 , \blk00000003/sig00000616 , +\blk00000003/sig00000617 , \blk00000003/sig00000618 , \blk00000003/sig00000619 , \blk00000003/sig0000061a , \blk00000003/sig0000061b , +\blk00000003/sig0000061c , \blk00000003/sig0000061d , \blk00000003/sig0000061e , \blk00000003/sig0000061f , \blk00000003/sig00000620 , +\blk00000003/sig00000621 , \blk00000003/sig00000622 , \blk00000003/sig00000623 }), + .C({\NLW_blk00000003/blk00000101_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000101_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig0000063e , \blk00000003/sig0000063e , \blk00000003/sig0000063e , \blk00000003/sig0000063e , \blk00000003/sig0000063e , +\blk00000003/sig0000063e , \blk00000003/sig0000063e , \blk00000003/sig0000063e , \blk00000003/sig0000063e , \blk00000003/sig0000063e , +\blk00000003/sig0000063e , \blk00000003/sig0000063f , \blk00000003/sig00000640 , \blk00000003/sig00000641 , \blk00000003/sig00000642 , +\blk00000003/sig00000643 , \blk00000003/sig00000644 , \blk00000003/sig00000645 }), + .PCOUT({\blk00000003/sig000004b6 , \blk00000003/sig000004b7 , \blk00000003/sig000004b8 , \blk00000003/sig000004b9 , \blk00000003/sig000004ba , +\blk00000003/sig000004bb , \blk00000003/sig000004bc , \blk00000003/sig000004bd , \blk00000003/sig000004be , \blk00000003/sig000004bf , +\blk00000003/sig000004c0 , \blk00000003/sig000004c1 , \blk00000003/sig000004c2 , \blk00000003/sig000004c3 , \blk00000003/sig000004c4 , +\blk00000003/sig000004c5 , \blk00000003/sig000004c6 , \blk00000003/sig000004c7 , \blk00000003/sig000004c8 , \blk00000003/sig000004c9 , +\blk00000003/sig000004ca , \blk00000003/sig000004cb , \blk00000003/sig000004cc , \blk00000003/sig000004cd , \blk00000003/sig000004ce , +\blk00000003/sig000004cf , \blk00000003/sig000004d0 , \blk00000003/sig000004d1 , \blk00000003/sig000004d2 , \blk00000003/sig000004d3 , +\blk00000003/sig000004d4 , \blk00000003/sig000004d5 , \blk00000003/sig000004d6 , \blk00000003/sig000004d7 , \blk00000003/sig000004d8 , +\blk00000003/sig000004d9 , \blk00000003/sig000004da , \blk00000003/sig000004db , \blk00000003/sig000004dc , \blk00000003/sig000004dd , +\blk00000003/sig000004de , \blk00000003/sig000004df , \blk00000003/sig000004e0 , \blk00000003/sig000004e1 , \blk00000003/sig000004e2 , +\blk00000003/sig000004e3 , \blk00000003/sig000004e4 , \blk00000003/sig000004e5 }), + .A({\blk00000003/sig00000646 , \blk00000003/sig00000647 , \blk00000003/sig00000648 , \blk00000003/sig00000649 , \blk00000003/sig0000064a , +\blk00000003/sig0000064b , \blk00000003/sig0000064c , \blk00000003/sig0000064d , \blk00000003/sig0000064e , \blk00000003/sig0000064f , +\blk00000003/sig00000650 , \blk00000003/sig00000651 , \blk00000003/sig00000652 , \blk00000003/sig00000653 , \blk00000003/sig00000654 , +\blk00000003/sig00000655 , \blk00000003/sig00000656 , \blk00000003/sig00000657 }), + .M({\NLW_blk00000003/blk00000101_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000100 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000100_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000100_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig000005e4 , \blk00000003/sig000005e4 , \blk00000003/sig000005e4 , \blk00000003/sig000005e4 , \blk00000003/sig000005e4 , +\blk00000003/sig000005e4 , \blk00000003/sig000005e4 , \blk00000003/sig000005e4 , \blk00000003/sig000005e4 , \blk00000003/sig000005e4 , +\blk00000003/sig000005e4 , \blk00000003/sig000005e5 , \blk00000003/sig000005e6 , \blk00000003/sig000005e7 , \blk00000003/sig000005e8 , +\blk00000003/sig000005e9 , \blk00000003/sig000005ea , \blk00000003/sig000005eb }), + .BCOUT({\NLW_blk00000003/blk00000100_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000100_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000100_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000100_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000100_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000100_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000100_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000100_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000100_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000100_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000100_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000100_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000100_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000100_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000100_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000100_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000100_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000100_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000005a2 , \blk00000003/sig000005a3 , \blk00000003/sig000005a4 , \blk00000003/sig000005a5 , \blk00000003/sig000005a6 , +\blk00000003/sig000005a7 , \blk00000003/sig000005a8 , \blk00000003/sig000005a9 , \blk00000003/sig000005aa , \blk00000003/sig000005ab , +\blk00000003/sig000005ac , \blk00000003/sig000005ad , \blk00000003/sig000005ae , \blk00000003/sig000005af , \blk00000003/sig000005b0 , +\blk00000003/sig000005b1 , \blk00000003/sig000005b2 , \blk00000003/sig000005b3 , \blk00000003/sig000005b4 , \blk00000003/sig000005b5 , +\blk00000003/sig000005b6 , \blk00000003/sig000005b7 , \blk00000003/sig000005b8 , \blk00000003/sig000005b9 , \blk00000003/sig000005ba , +\blk00000003/sig000005bb , \blk00000003/sig000005bc , \blk00000003/sig000005bd , \blk00000003/sig000005be , \blk00000003/sig000005bf , +\blk00000003/sig000005c0 , \blk00000003/sig000005c1 , \blk00000003/sig000005c2 , \blk00000003/sig000005c3 , \blk00000003/sig000005c4 , +\blk00000003/sig000005c5 , \blk00000003/sig000005c6 , \blk00000003/sig000005c7 , \blk00000003/sig000005c8 , \blk00000003/sig000005c9 , +\blk00000003/sig000005ca , \blk00000003/sig000005cb , \blk00000003/sig000005cc , \blk00000003/sig000005cd , \blk00000003/sig000005ce , +\blk00000003/sig000005cf , \blk00000003/sig000005d0 , \blk00000003/sig000005d1 }), + .C({\NLW_blk00000003/blk00000100_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000100_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig000005ec , \blk00000003/sig000005ec , \blk00000003/sig000005ec , \blk00000003/sig000005ec , \blk00000003/sig000005ec , +\blk00000003/sig000005ec , \blk00000003/sig000005ec , \blk00000003/sig000005ec , \blk00000003/sig000005ec , \blk00000003/sig000005ec , +\blk00000003/sig000005ec , \blk00000003/sig000005ed , \blk00000003/sig000005ee , \blk00000003/sig000005ef , \blk00000003/sig000005f0 , +\blk00000003/sig000005f1 , \blk00000003/sig000005f2 , \blk00000003/sig000005f3 }), + .PCOUT({\blk00000003/sig000005f4 , \blk00000003/sig000005f5 , \blk00000003/sig000005f6 , \blk00000003/sig000005f7 , \blk00000003/sig000005f8 , +\blk00000003/sig000005f9 , \blk00000003/sig000005fa , \blk00000003/sig000005fb , \blk00000003/sig000005fc , \blk00000003/sig000005fd , +\blk00000003/sig000005fe , \blk00000003/sig000005ff , \blk00000003/sig00000600 , \blk00000003/sig00000601 , \blk00000003/sig00000602 , +\blk00000003/sig00000603 , \blk00000003/sig00000604 , \blk00000003/sig00000605 , \blk00000003/sig00000606 , \blk00000003/sig00000607 , +\blk00000003/sig00000608 , \blk00000003/sig00000609 , \blk00000003/sig0000060a , \blk00000003/sig0000060b , \blk00000003/sig0000060c , +\blk00000003/sig0000060d , \blk00000003/sig0000060e , \blk00000003/sig0000060f , \blk00000003/sig00000610 , \blk00000003/sig00000611 , +\blk00000003/sig00000612 , \blk00000003/sig00000613 , \blk00000003/sig00000614 , \blk00000003/sig00000615 , \blk00000003/sig00000616 , +\blk00000003/sig00000617 , \blk00000003/sig00000618 , \blk00000003/sig00000619 , \blk00000003/sig0000061a , \blk00000003/sig0000061b , +\blk00000003/sig0000061c , \blk00000003/sig0000061d , \blk00000003/sig0000061e , \blk00000003/sig0000061f , \blk00000003/sig00000620 , +\blk00000003/sig00000621 , \blk00000003/sig00000622 , \blk00000003/sig00000623 }), + .A({\blk00000003/sig00000624 , \blk00000003/sig00000625 , \blk00000003/sig00000626 , \blk00000003/sig00000627 , \blk00000003/sig00000628 , +\blk00000003/sig00000629 , \blk00000003/sig0000062a , \blk00000003/sig0000062b , \blk00000003/sig0000062c , \blk00000003/sig0000062d , +\blk00000003/sig0000062e , \blk00000003/sig0000062f , \blk00000003/sig00000630 , \blk00000003/sig00000631 , \blk00000003/sig00000632 , +\blk00000003/sig00000633 , \blk00000003/sig00000634 , \blk00000003/sig00000635 }), + .M({\NLW_blk00000003/blk00000100_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk000000ff ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk000000ff_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk000000ff_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000592 , \blk00000003/sig00000592 , \blk00000003/sig00000592 , \blk00000003/sig00000592 , \blk00000003/sig00000592 , +\blk00000003/sig00000592 , \blk00000003/sig00000592 , \blk00000003/sig00000592 , \blk00000003/sig00000592 , \blk00000003/sig00000592 , +\blk00000003/sig00000592 , \blk00000003/sig00000593 , \blk00000003/sig00000594 , \blk00000003/sig00000595 , \blk00000003/sig00000596 , +\blk00000003/sig00000597 , \blk00000003/sig00000598 , \blk00000003/sig00000599 }), + .BCOUT({\NLW_blk00000003/blk000000ff_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ff_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ff_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ff_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ff_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ff_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ff_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ff_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ff_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ff_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000550 , \blk00000003/sig00000551 , \blk00000003/sig00000552 , \blk00000003/sig00000553 , \blk00000003/sig00000554 , +\blk00000003/sig00000555 , \blk00000003/sig00000556 , \blk00000003/sig00000557 , \blk00000003/sig00000558 , \blk00000003/sig00000559 , +\blk00000003/sig0000055a , \blk00000003/sig0000055b , \blk00000003/sig0000055c , \blk00000003/sig0000055d , \blk00000003/sig0000055e , +\blk00000003/sig0000055f , \blk00000003/sig00000560 , \blk00000003/sig00000561 , \blk00000003/sig00000562 , \blk00000003/sig00000563 , +\blk00000003/sig00000564 , \blk00000003/sig00000565 , \blk00000003/sig00000566 , \blk00000003/sig00000567 , \blk00000003/sig00000568 , +\blk00000003/sig00000569 , \blk00000003/sig0000056a , \blk00000003/sig0000056b , \blk00000003/sig0000056c , \blk00000003/sig0000056d , +\blk00000003/sig0000056e , \blk00000003/sig0000056f , \blk00000003/sig00000570 , \blk00000003/sig00000571 , \blk00000003/sig00000572 , +\blk00000003/sig00000573 , \blk00000003/sig00000574 , \blk00000003/sig00000575 , \blk00000003/sig00000576 , \blk00000003/sig00000577 , +\blk00000003/sig00000578 , \blk00000003/sig00000579 , \blk00000003/sig0000057a , \blk00000003/sig0000057b , \blk00000003/sig0000057c , +\blk00000003/sig0000057d , \blk00000003/sig0000057e , \blk00000003/sig0000057f }), + .C({\NLW_blk00000003/blk000000ff_C<47>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<46>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<45>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<44>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<43>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<42>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<41>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<40>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<39>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<38>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<37>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<36>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<35>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<34>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<33>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<32>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<31>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<30>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<29>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<27>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<26>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<25>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<24>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<23>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<21>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<20>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<19>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<18>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<17>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<15>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<14>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<13>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<12>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<11>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<9>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<8>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<7>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<6>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<5>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<3>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<2>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<1>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk000000ff_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig0000059a , \blk00000003/sig0000059a , \blk00000003/sig0000059a , \blk00000003/sig0000059a , \blk00000003/sig0000059a , +\blk00000003/sig0000059a , \blk00000003/sig0000059a , \blk00000003/sig0000059a , \blk00000003/sig0000059a , \blk00000003/sig0000059a , +\blk00000003/sig0000059a , \blk00000003/sig0000059b , \blk00000003/sig0000059c , \blk00000003/sig0000059d , \blk00000003/sig0000059e , +\blk00000003/sig0000059f , \blk00000003/sig000005a0 , \blk00000003/sig000005a1 }), + .PCOUT({\blk00000003/sig000005a2 , \blk00000003/sig000005a3 , \blk00000003/sig000005a4 , \blk00000003/sig000005a5 , \blk00000003/sig000005a6 , +\blk00000003/sig000005a7 , \blk00000003/sig000005a8 , \blk00000003/sig000005a9 , \blk00000003/sig000005aa , \blk00000003/sig000005ab , +\blk00000003/sig000005ac , \blk00000003/sig000005ad , \blk00000003/sig000005ae , \blk00000003/sig000005af , \blk00000003/sig000005b0 , +\blk00000003/sig000005b1 , \blk00000003/sig000005b2 , \blk00000003/sig000005b3 , \blk00000003/sig000005b4 , \blk00000003/sig000005b5 , +\blk00000003/sig000005b6 , \blk00000003/sig000005b7 , \blk00000003/sig000005b8 , \blk00000003/sig000005b9 , \blk00000003/sig000005ba , +\blk00000003/sig000005bb , \blk00000003/sig000005bc , \blk00000003/sig000005bd , \blk00000003/sig000005be , \blk00000003/sig000005bf , +\blk00000003/sig000005c0 , \blk00000003/sig000005c1 , \blk00000003/sig000005c2 , \blk00000003/sig000005c3 , \blk00000003/sig000005c4 , +\blk00000003/sig000005c5 , \blk00000003/sig000005c6 , \blk00000003/sig000005c7 , \blk00000003/sig000005c8 , \blk00000003/sig000005c9 , +\blk00000003/sig000005ca , \blk00000003/sig000005cb , \blk00000003/sig000005cc , \blk00000003/sig000005cd , \blk00000003/sig000005ce , +\blk00000003/sig000005cf , \blk00000003/sig000005d0 , \blk00000003/sig000005d1 }), + .A({\blk00000003/sig000005d2 , \blk00000003/sig000005d3 , \blk00000003/sig000005d4 , \blk00000003/sig000005d5 , \blk00000003/sig000005d6 , +\blk00000003/sig000005d7 , \blk00000003/sig000005d8 , \blk00000003/sig000005d9 , \blk00000003/sig000005da , \blk00000003/sig000005db , +\blk00000003/sig000005dc , \blk00000003/sig000005dd , \blk00000003/sig000005de , \blk00000003/sig000005df , \blk00000003/sig000005e0 , +\blk00000003/sig000005e1 , \blk00000003/sig000005e2 , \blk00000003/sig000005e3 }), + .M({\NLW_blk00000003/blk000000ff_M<35>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<34>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<33>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<32>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<31>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<30>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<29>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<27>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<26>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<25>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<24>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<23>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<21>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<20>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<19>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<18>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<17>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<15>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<14>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<13>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<12>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<11>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<9>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<8>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<7>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<6>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<5>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<3>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<2>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<1>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk000000fe ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk000000fe_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk000000fe_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000540 , \blk00000003/sig00000540 , \blk00000003/sig00000540 , \blk00000003/sig00000540 , \blk00000003/sig00000540 , +\blk00000003/sig00000540 , \blk00000003/sig00000540 , \blk00000003/sig00000540 , \blk00000003/sig00000540 , \blk00000003/sig00000540 , +\blk00000003/sig00000540 , \blk00000003/sig00000541 , \blk00000003/sig00000542 , \blk00000003/sig00000543 , \blk00000003/sig00000544 , +\blk00000003/sig00000545 , \blk00000003/sig00000546 , \blk00000003/sig00000547 }), + .BCOUT({\NLW_blk00000003/blk000000fe_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fe_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fe_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fe_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fe_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fe_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fe_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fe_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fe_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fe_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig0000038c , \blk00000003/sig0000038d , \blk00000003/sig0000038e , \blk00000003/sig0000038f , \blk00000003/sig00000390 , +\blk00000003/sig00000391 , \blk00000003/sig00000392 , \blk00000003/sig00000393 , \blk00000003/sig00000394 , \blk00000003/sig00000395 , +\blk00000003/sig00000396 , \blk00000003/sig00000397 , \blk00000003/sig00000398 , \blk00000003/sig00000399 , \blk00000003/sig0000039a , +\blk00000003/sig0000039b , \blk00000003/sig0000039c , \blk00000003/sig0000039d , \blk00000003/sig0000039e , \blk00000003/sig0000039f , +\blk00000003/sig000003a0 , \blk00000003/sig000003a1 , \blk00000003/sig000003a2 , \blk00000003/sig000003a3 , \blk00000003/sig000003a4 , +\blk00000003/sig000003a5 , \blk00000003/sig000003a6 , \blk00000003/sig000003a7 , \blk00000003/sig000003a8 , \blk00000003/sig000003a9 , +\blk00000003/sig000003aa , \blk00000003/sig000003ab , \blk00000003/sig000003ac , \blk00000003/sig000003ad , \blk00000003/sig000003ae , +\blk00000003/sig000003af , \blk00000003/sig000003b0 , \blk00000003/sig000003b1 , \blk00000003/sig000003b2 , \blk00000003/sig000003b3 , +\blk00000003/sig000003b4 , \blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 , \blk00000003/sig000003b8 , +\blk00000003/sig000003b9 , \blk00000003/sig000003ba , \blk00000003/sig000003bb }), + .C({\NLW_blk00000003/blk000000fe_C<47>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<45>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<44>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<42>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<41>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<39>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<38>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<36>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<35>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<33>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<32>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<30>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<29>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<27>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<26>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<24>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<23>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<21>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<20>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<18>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<17>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<15>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<14>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<12>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<11>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<9>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<8>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<6>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<5>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<3>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<2>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk000000fe_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000548 , \blk00000003/sig00000548 , \blk00000003/sig00000548 , \blk00000003/sig00000548 , \blk00000003/sig00000548 , +\blk00000003/sig00000548 , \blk00000003/sig00000548 , \blk00000003/sig00000548 , \blk00000003/sig00000548 , \blk00000003/sig00000548 , +\blk00000003/sig00000548 , \blk00000003/sig00000549 , \blk00000003/sig0000054a , \blk00000003/sig0000054b , \blk00000003/sig0000054c , +\blk00000003/sig0000054d , \blk00000003/sig0000054e , \blk00000003/sig0000054f }), + .PCOUT({\blk00000003/sig00000550 , \blk00000003/sig00000551 , \blk00000003/sig00000552 , \blk00000003/sig00000553 , \blk00000003/sig00000554 , +\blk00000003/sig00000555 , \blk00000003/sig00000556 , \blk00000003/sig00000557 , \blk00000003/sig00000558 , \blk00000003/sig00000559 , +\blk00000003/sig0000055a , \blk00000003/sig0000055b , \blk00000003/sig0000055c , \blk00000003/sig0000055d , \blk00000003/sig0000055e , +\blk00000003/sig0000055f , \blk00000003/sig00000560 , \blk00000003/sig00000561 , \blk00000003/sig00000562 , \blk00000003/sig00000563 , +\blk00000003/sig00000564 , \blk00000003/sig00000565 , \blk00000003/sig00000566 , \blk00000003/sig00000567 , \blk00000003/sig00000568 , +\blk00000003/sig00000569 , \blk00000003/sig0000056a , \blk00000003/sig0000056b , \blk00000003/sig0000056c , \blk00000003/sig0000056d , +\blk00000003/sig0000056e , \blk00000003/sig0000056f , \blk00000003/sig00000570 , \blk00000003/sig00000571 , \blk00000003/sig00000572 , +\blk00000003/sig00000573 , \blk00000003/sig00000574 , \blk00000003/sig00000575 , \blk00000003/sig00000576 , \blk00000003/sig00000577 , +\blk00000003/sig00000578 , \blk00000003/sig00000579 , \blk00000003/sig0000057a , \blk00000003/sig0000057b , \blk00000003/sig0000057c , +\blk00000003/sig0000057d , \blk00000003/sig0000057e , \blk00000003/sig0000057f }), + .A({\blk00000003/sig00000580 , \blk00000003/sig00000581 , \blk00000003/sig00000582 , \blk00000003/sig00000583 , \blk00000003/sig00000584 , +\blk00000003/sig00000585 , \blk00000003/sig00000586 , \blk00000003/sig00000587 , \blk00000003/sig00000588 , \blk00000003/sig00000589 , +\blk00000003/sig0000058a , \blk00000003/sig0000058b , \blk00000003/sig0000058c , \blk00000003/sig0000058d , \blk00000003/sig0000058e , +\blk00000003/sig0000058f , \blk00000003/sig00000590 , \blk00000003/sig00000591 }), + .M({\NLW_blk00000003/blk000000fe_M<35>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<33>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<32>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<30>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<29>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<27>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<26>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<24>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<23>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<21>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<20>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<18>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<17>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<15>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<14>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<12>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<11>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<9>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<8>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<6>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<5>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<3>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<2>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk000000fd ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk000000fd_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk000000fd_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000500 , \blk00000003/sig00000500 , \blk00000003/sig00000500 , \blk00000003/sig00000500 , \blk00000003/sig00000500 , +\blk00000003/sig00000500 , \blk00000003/sig00000500 , \blk00000003/sig00000500 , \blk00000003/sig00000500 , \blk00000003/sig00000500 , +\blk00000003/sig00000500 , \blk00000003/sig00000501 , \blk00000003/sig00000502 , \blk00000003/sig00000503 , \blk00000003/sig00000504 , +\blk00000003/sig00000505 , \blk00000003/sig00000506 , \blk00000003/sig00000507 }), + .BCOUT({\NLW_blk00000003/blk000000fd_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fd_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fd_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fd_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fd_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fd_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fd_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fd_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fd_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fd_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000508 , \blk00000003/sig00000509 , \blk00000003/sig0000050a , \blk00000003/sig0000050b , \blk00000003/sig0000050c , +\blk00000003/sig0000050d , \blk00000003/sig0000050e , \blk00000003/sig0000050f , \blk00000003/sig00000510 , \blk00000003/sig00000511 , +\blk00000003/sig00000512 , \blk00000003/sig00000513 , \blk00000003/sig00000514 , \blk00000003/sig00000515 , \blk00000003/sig00000516 , +\blk00000003/sig00000517 , \blk00000003/sig00000518 , \blk00000003/sig00000519 , \blk00000003/sig0000051a , \blk00000003/sig0000051b , +\blk00000003/sig0000051c , \blk00000003/sig0000051d , \blk00000003/sig0000051e , \blk00000003/sig0000051f , \blk00000003/sig00000520 , +\blk00000003/sig00000521 , \blk00000003/sig00000522 , \blk00000003/sig00000523 , \blk00000003/sig00000524 , \blk00000003/sig00000525 , +\blk00000003/sig00000526 , \blk00000003/sig00000527 , \blk00000003/sig00000528 , \blk00000003/sig00000529 , \blk00000003/sig0000052a , +\blk00000003/sig0000052b , \blk00000003/sig0000052c , \blk00000003/sig0000052d , \blk00000003/sig0000052e , \blk00000003/sig0000052f , +\blk00000003/sig00000530 , \blk00000003/sig00000531 , \blk00000003/sig00000532 , \blk00000003/sig00000533 , \blk00000003/sig00000534 , +\blk00000003/sig00000535 , \blk00000003/sig00000536 , \blk00000003/sig00000537 }), + .C({\NLW_blk00000003/blk000000fd_C<47>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<45>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<44>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<42>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<41>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<39>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<38>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<36>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<35>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<33>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<32>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<30>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<29>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<27>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<26>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<24>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<23>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<21>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<20>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<18>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<17>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<15>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<14>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<12>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<11>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<9>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<8>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<6>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<5>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<3>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<2>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk000000fd_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000538 , \blk00000003/sig00000538 , \blk00000003/sig00000538 , \blk00000003/sig00000538 , \blk00000003/sig00000538 , +\blk00000003/sig00000538 , \blk00000003/sig00000538 , \blk00000003/sig00000538 , \blk00000003/sig00000538 , \blk00000003/sig00000538 , +\blk00000003/sig00000538 , \blk00000003/sig00000539 , \blk00000003/sig0000053a , \blk00000003/sig0000053b , \blk00000003/sig0000053c , +\blk00000003/sig0000053d , \blk00000003/sig0000053e , \blk00000003/sig0000053f }), + .PCOUT({\blk00000003/sig00000180 , \blk00000003/sig00000181 , \blk00000003/sig00000182 , \blk00000003/sig00000183 , \blk00000003/sig00000184 , +\blk00000003/sig00000185 , \blk00000003/sig00000186 , \blk00000003/sig00000187 , \blk00000003/sig00000188 , \blk00000003/sig00000189 , +\blk00000003/sig0000018a , \blk00000003/sig0000018b , \blk00000003/sig0000018c , \blk00000003/sig0000018d , \blk00000003/sig0000018e , +\blk00000003/sig0000018f , \blk00000003/sig00000190 , \blk00000003/sig00000191 , \blk00000003/sig00000192 , \blk00000003/sig00000193 , +\blk00000003/sig00000194 , \blk00000003/sig00000195 , \blk00000003/sig00000196 , \blk00000003/sig00000197 , \blk00000003/sig00000198 , +\blk00000003/sig00000199 , \blk00000003/sig0000019a , \blk00000003/sig0000019b , \blk00000003/sig0000019c , \blk00000003/sig0000019d , +\blk00000003/sig0000019e , \blk00000003/sig0000019f , \blk00000003/sig000001a0 , \blk00000003/sig000001a1 , \blk00000003/sig000001a2 , +\blk00000003/sig000001a3 , \blk00000003/sig000001a4 , \blk00000003/sig000001a5 , \blk00000003/sig000001a6 , \blk00000003/sig000001a7 , +\blk00000003/sig000001a8 , \blk00000003/sig000001a9 , \blk00000003/sig000001aa , \blk00000003/sig000001ab , \blk00000003/sig000001ac , +\blk00000003/sig000001ad , \blk00000003/sig000001ae , \blk00000003/sig000001af }), + .A({\blk00000003/sig000004ee , \blk00000003/sig000004ef , \blk00000003/sig000004f0 , \blk00000003/sig000004f1 , \blk00000003/sig000004f2 , +\blk00000003/sig000004f3 , \blk00000003/sig000004f4 , \blk00000003/sig000004f5 , \blk00000003/sig000004f6 , \blk00000003/sig000004f7 , +\blk00000003/sig000004f8 , \blk00000003/sig000004f9 , \blk00000003/sig000004fa , \blk00000003/sig000004fb , \blk00000003/sig000004fc , +\blk00000003/sig000004fd , \blk00000003/sig000004fe , \blk00000003/sig000004ff }), + .M({\NLW_blk00000003/blk000000fd_M<35>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<33>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<32>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<30>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<29>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<27>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<26>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<24>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<23>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<21>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<20>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<18>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<17>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<15>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<14>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<12>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<11>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<9>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<8>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<6>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<5>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<3>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<2>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk000000fc ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk000000fc_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk000000fc_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig000004ae , \blk00000003/sig000004ae , \blk00000003/sig000004ae , \blk00000003/sig000004ae , \blk00000003/sig000004ae , +\blk00000003/sig000004ae , \blk00000003/sig000004ae , \blk00000003/sig000004ae , \blk00000003/sig000004ae , \blk00000003/sig000004ae , +\blk00000003/sig000004ae , \blk00000003/sig000004af , \blk00000003/sig000004b0 , \blk00000003/sig000004b1 , \blk00000003/sig000004b2 , +\blk00000003/sig000004b3 , \blk00000003/sig000004b4 , \blk00000003/sig000004b5 }), + .BCOUT({\NLW_blk00000003/blk000000fc_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000004b6 , \blk00000003/sig000004b7 , \blk00000003/sig000004b8 , \blk00000003/sig000004b9 , \blk00000003/sig000004ba , +\blk00000003/sig000004bb , \blk00000003/sig000004bc , \blk00000003/sig000004bd , \blk00000003/sig000004be , \blk00000003/sig000004bf , +\blk00000003/sig000004c0 , \blk00000003/sig000004c1 , \blk00000003/sig000004c2 , \blk00000003/sig000004c3 , \blk00000003/sig000004c4 , +\blk00000003/sig000004c5 , \blk00000003/sig000004c6 , \blk00000003/sig000004c7 , \blk00000003/sig000004c8 , \blk00000003/sig000004c9 , +\blk00000003/sig000004ca , \blk00000003/sig000004cb , \blk00000003/sig000004cc , \blk00000003/sig000004cd , \blk00000003/sig000004ce , +\blk00000003/sig000004cf , \blk00000003/sig000004d0 , \blk00000003/sig000004d1 , \blk00000003/sig000004d2 , \blk00000003/sig000004d3 , +\blk00000003/sig000004d4 , \blk00000003/sig000004d5 , \blk00000003/sig000004d6 , \blk00000003/sig000004d7 , \blk00000003/sig000004d8 , +\blk00000003/sig000004d9 , \blk00000003/sig000004da , \blk00000003/sig000004db , \blk00000003/sig000004dc , \blk00000003/sig000004dd , +\blk00000003/sig000004de , \blk00000003/sig000004df , \blk00000003/sig000004e0 , \blk00000003/sig000004e1 , \blk00000003/sig000004e2 , +\blk00000003/sig000004e3 , \blk00000003/sig000004e4 , \blk00000003/sig000004e5 }), + .C({\NLW_blk00000003/blk000000fc_C<47>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<45>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<44>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<42>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<41>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<39>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<38>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<36>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<35>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<33>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<32>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<30>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<29>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<27>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<26>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<24>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<23>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<21>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<20>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<18>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<17>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<15>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<14>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<12>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<11>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<9>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<8>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<6>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<5>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<3>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<2>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk000000fc_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig000004e6 , \blk00000003/sig000004e6 , \blk00000003/sig000004e6 , \blk00000003/sig000004e6 , \blk00000003/sig000004e6 , +\blk00000003/sig000004e6 , \blk00000003/sig000004e6 , \blk00000003/sig000004e6 , \blk00000003/sig000004e6 , \blk00000003/sig000004e6 , +\blk00000003/sig000004e6 , \blk00000003/sig000004e7 , \blk00000003/sig000004e8 , \blk00000003/sig000004e9 , \blk00000003/sig000004ea , +\blk00000003/sig000004eb , \blk00000003/sig000004ec , \blk00000003/sig000004ed }), + .PCOUT({\blk00000003/sig0000021a , \blk00000003/sig0000021b , \blk00000003/sig0000021c , \blk00000003/sig0000021d , \blk00000003/sig0000021e , +\blk00000003/sig0000021f , \blk00000003/sig00000220 , \blk00000003/sig00000221 , \blk00000003/sig00000222 , \blk00000003/sig00000223 , +\blk00000003/sig00000224 , \blk00000003/sig00000225 , \blk00000003/sig00000226 , \blk00000003/sig00000227 , \blk00000003/sig00000228 , +\blk00000003/sig00000229 , \blk00000003/sig0000022a , \blk00000003/sig0000022b , \blk00000003/sig0000022c , \blk00000003/sig0000022d , +\blk00000003/sig0000022e , \blk00000003/sig0000022f , \blk00000003/sig00000230 , \blk00000003/sig00000231 , \blk00000003/sig00000232 , +\blk00000003/sig00000233 , \blk00000003/sig00000234 , \blk00000003/sig00000235 , \blk00000003/sig00000236 , \blk00000003/sig00000237 , +\blk00000003/sig00000238 , \blk00000003/sig00000239 , \blk00000003/sig0000023a , \blk00000003/sig0000023b , \blk00000003/sig0000023c , +\blk00000003/sig0000023d , \blk00000003/sig0000023e , \blk00000003/sig0000023f , \blk00000003/sig00000240 , \blk00000003/sig00000241 , +\blk00000003/sig00000242 , \blk00000003/sig00000243 , \blk00000003/sig00000244 , \blk00000003/sig00000245 , \blk00000003/sig00000246 , +\blk00000003/sig00000247 , \blk00000003/sig00000248 , \blk00000003/sig00000249 }), + .A({\blk00000003/sig000004ee , \blk00000003/sig000004ef , \blk00000003/sig000004f0 , \blk00000003/sig000004f1 , \blk00000003/sig000004f2 , +\blk00000003/sig000004f3 , \blk00000003/sig000004f4 , \blk00000003/sig000004f5 , \blk00000003/sig000004f6 , \blk00000003/sig000004f7 , +\blk00000003/sig000004f8 , \blk00000003/sig000004f9 , \blk00000003/sig000004fa , \blk00000003/sig000004fb , \blk00000003/sig000004fc , +\blk00000003/sig000004fd , \blk00000003/sig000004fe , \blk00000003/sig000004ff }), + .M({\NLW_blk00000003/blk000000fc_M<35>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<33>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<32>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<30>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<29>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<27>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<26>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<24>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<23>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<21>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<20>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<18>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<17>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<15>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<14>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<12>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<11>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<9>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<8>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<6>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<5>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<3>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<2>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk000000fb ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk000000fb_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk000000fb_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig0000045e , \blk00000003/sig0000045f , \blk00000003/sig00000460 , +\blk00000003/sig00000461 , \blk00000003/sig00000462 , \blk00000003/sig00000463 , \blk00000003/sig00000464 , \blk00000003/sig00000465 , +\blk00000003/sig00000466 , \blk00000003/sig00000467 , \blk00000003/sig00000468 , \blk00000003/sig00000469 , \blk00000003/sig0000046a , +\blk00000003/sig0000046b , \blk00000003/sig0000046c , \blk00000003/sig0000046d }), + .BCOUT({\NLW_blk00000003/blk000000fb_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\NLW_blk00000003/blk000000fb_C<47>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<45>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<44>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<42>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<41>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<39>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<38>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<36>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<35>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<33>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<32>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<30>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<29>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<27>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<26>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<24>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<23>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<21>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<20>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<18>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<17>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<15>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<14>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<12>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<11>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<9>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<8>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<6>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<5>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<3>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<2>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk000000fb_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig0000046e , \blk00000003/sig0000046f , \blk00000003/sig00000470 , +\blk00000003/sig00000471 , \blk00000003/sig00000472 , \blk00000003/sig00000473 , \blk00000003/sig00000474 , \blk00000003/sig00000475 , +\blk00000003/sig00000476 , \blk00000003/sig00000477 , \blk00000003/sig00000478 , \blk00000003/sig00000479 , \blk00000003/sig0000047a , +\blk00000003/sig0000047b , \blk00000003/sig0000047c , \blk00000003/sig0000047d }), + .PCOUT({\blk00000003/sig0000047e , \blk00000003/sig0000047f , \blk00000003/sig00000480 , \blk00000003/sig00000481 , \blk00000003/sig00000482 , +\blk00000003/sig00000483 , \blk00000003/sig00000484 , \blk00000003/sig00000485 , \blk00000003/sig00000486 , \blk00000003/sig00000487 , +\blk00000003/sig00000488 , \blk00000003/sig00000489 , \blk00000003/sig0000048a , \blk00000003/sig0000048b , \blk00000003/sig0000048c , +\blk00000003/sig0000048d , \blk00000003/sig0000048e , \blk00000003/sig0000048f , \blk00000003/sig00000490 , \blk00000003/sig00000491 , +\blk00000003/sig00000492 , \blk00000003/sig00000493 , \blk00000003/sig00000494 , \blk00000003/sig00000495 , \blk00000003/sig00000496 , +\blk00000003/sig00000497 , \blk00000003/sig00000498 , \blk00000003/sig00000499 , \blk00000003/sig0000049a , \blk00000003/sig0000049b , +\blk00000003/sig0000049c , \blk00000003/sig0000049d , \blk00000003/sig0000049e , \blk00000003/sig0000049f , \blk00000003/sig000004a0 , +\blk00000003/sig000004a1 , \blk00000003/sig000004a2 , \blk00000003/sig000004a3 , \blk00000003/sig000004a4 , \blk00000003/sig000004a5 , +\blk00000003/sig000004a6 , \blk00000003/sig000004a7 , \blk00000003/sig000004a8 , \blk00000003/sig000004a9 , \blk00000003/sig000004aa , +\blk00000003/sig000004ab , \blk00000003/sig000004ac , \blk00000003/sig000004ad }), + .A({\blk00000003/sig000003bc , \blk00000003/sig000003bd , \blk00000003/sig000003be , \blk00000003/sig000003bf , \blk00000003/sig000003c0 , +\blk00000003/sig000003c1 , \blk00000003/sig000003c2 , \blk00000003/sig000003c3 , \blk00000003/sig000003c4 , \blk00000003/sig000003c5 , +\blk00000003/sig000003c6 , \blk00000003/sig000003c7 , \blk00000003/sig000003c8 , \blk00000003/sig000003c9 , \blk00000003/sig000003ca , +\blk00000003/sig000003cb , \blk00000003/sig000003cc , \blk00000003/sig000003cd }), + .M({\NLW_blk00000003/blk000000fb_M<35>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<33>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<32>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<30>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<29>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<27>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<26>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<24>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<23>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<21>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<20>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<18>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<17>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<15>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<14>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<12>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<11>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<9>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<8>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<6>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<5>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<3>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<2>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk000000fa ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk000000fa_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk000000fa_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig0000040e , \blk00000003/sig0000040f , \blk00000003/sig00000410 , +\blk00000003/sig00000411 , \blk00000003/sig00000412 , \blk00000003/sig00000413 , \blk00000003/sig00000414 , \blk00000003/sig00000415 , +\blk00000003/sig00000416 , \blk00000003/sig00000417 , \blk00000003/sig00000418 , \blk00000003/sig00000419 , \blk00000003/sig0000041a , +\blk00000003/sig0000041b , \blk00000003/sig0000041c , \blk00000003/sig0000041d }), + .BCOUT({\NLW_blk00000003/blk000000fa_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\NLW_blk00000003/blk000000fa_C<47>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<45>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<44>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<42>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<41>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<39>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<38>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<36>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<35>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<33>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<32>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<30>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<29>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<27>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<26>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<24>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<23>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<21>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<20>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<18>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<17>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<15>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<14>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<12>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<11>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<9>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<8>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<6>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<5>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<3>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<2>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk000000fa_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig0000041e , \blk00000003/sig0000041f , \blk00000003/sig00000420 , +\blk00000003/sig00000421 , \blk00000003/sig00000422 , \blk00000003/sig00000423 , \blk00000003/sig00000424 , \blk00000003/sig00000425 , +\blk00000003/sig00000426 , \blk00000003/sig00000427 , \blk00000003/sig00000428 , \blk00000003/sig00000429 , \blk00000003/sig0000042a , +\blk00000003/sig0000042b , \blk00000003/sig0000042c , \blk00000003/sig0000042d }), + .PCOUT({\blk00000003/sig0000042e , \blk00000003/sig0000042f , \blk00000003/sig00000430 , \blk00000003/sig00000431 , \blk00000003/sig00000432 , +\blk00000003/sig00000433 , \blk00000003/sig00000434 , \blk00000003/sig00000435 , \blk00000003/sig00000436 , \blk00000003/sig00000437 , +\blk00000003/sig00000438 , \blk00000003/sig00000439 , \blk00000003/sig0000043a , \blk00000003/sig0000043b , \blk00000003/sig0000043c , +\blk00000003/sig0000043d , \blk00000003/sig0000043e , \blk00000003/sig0000043f , \blk00000003/sig00000440 , \blk00000003/sig00000441 , +\blk00000003/sig00000442 , \blk00000003/sig00000443 , \blk00000003/sig00000444 , \blk00000003/sig00000445 , \blk00000003/sig00000446 , +\blk00000003/sig00000447 , \blk00000003/sig00000448 , \blk00000003/sig00000449 , \blk00000003/sig0000044a , \blk00000003/sig0000044b , +\blk00000003/sig0000044c , \blk00000003/sig0000044d , \blk00000003/sig0000044e , \blk00000003/sig0000044f , \blk00000003/sig00000450 , +\blk00000003/sig00000451 , \blk00000003/sig00000452 , \blk00000003/sig00000453 , \blk00000003/sig00000454 , \blk00000003/sig00000455 , +\blk00000003/sig00000456 , \blk00000003/sig00000457 , \blk00000003/sig00000458 , \blk00000003/sig00000459 , \blk00000003/sig0000045a , +\blk00000003/sig0000045b , \blk00000003/sig0000045c , \blk00000003/sig0000045d }), + .A({\blk00000003/sig000003bc , \blk00000003/sig000003bd , \blk00000003/sig000003be , \blk00000003/sig000003bf , \blk00000003/sig000003c0 , +\blk00000003/sig000003c1 , \blk00000003/sig000003c2 , \blk00000003/sig000003c3 , \blk00000003/sig000003c4 , \blk00000003/sig000003c5 , +\blk00000003/sig000003c6 , \blk00000003/sig000003c7 , \blk00000003/sig000003c8 , \blk00000003/sig000003c9 , \blk00000003/sig000003ca , +\blk00000003/sig000003cb , \blk00000003/sig000003cc , \blk00000003/sig000003cd }), + .M({\NLW_blk00000003/blk000000fa_M<35>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<33>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<32>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<30>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<29>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<27>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<26>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<24>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<23>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<21>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<20>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<18>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<17>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<15>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<14>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<12>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<11>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<9>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<8>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<6>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<5>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<3>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<2>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk000000f9 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk000000f9_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk000000f9_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig000003ce , \blk00000003/sig000003ce , \blk00000003/sig000003ce , \blk00000003/sig000003ce , \blk00000003/sig000003ce , +\blk00000003/sig000003ce , \blk00000003/sig000003ce , \blk00000003/sig000003ce , \blk00000003/sig000003ce , \blk00000003/sig000003ce , +\blk00000003/sig000003ce , \blk00000003/sig000003cf , \blk00000003/sig000003d0 , \blk00000003/sig000003d1 , \blk00000003/sig000003d2 , +\blk00000003/sig000003d3 , \blk00000003/sig000003d4 , \blk00000003/sig000003d5 }), + .BCOUT({\NLW_blk00000003/blk000000f9_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\NLW_blk00000003/blk000000f9_C<47>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<46>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<45>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<44>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<43>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<42>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<41>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<40>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<39>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<38>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<37>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<36>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<35>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<33>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<32>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<30>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<29>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<27>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<26>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<24>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<23>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<21>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<20>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<18>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<17>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<15>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<14>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<12>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<11>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<9>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<8>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<6>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<5>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<3>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<2>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk000000f9_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig000003d6 , \blk00000003/sig000003d6 , \blk00000003/sig000003d6 , \blk00000003/sig000003d6 , \blk00000003/sig000003d6 , +\blk00000003/sig000003d6 , \blk00000003/sig000003d6 , \blk00000003/sig000003d6 , \blk00000003/sig000003d6 , \blk00000003/sig000003d6 , +\blk00000003/sig000003d6 , \blk00000003/sig000003d7 , \blk00000003/sig000003d8 , \blk00000003/sig000003d9 , \blk00000003/sig000003da , +\blk00000003/sig000003db , \blk00000003/sig000003dc , \blk00000003/sig000003dd }), + .PCOUT({\blk00000003/sig000003de , \blk00000003/sig000003df , \blk00000003/sig000003e0 , \blk00000003/sig000003e1 , \blk00000003/sig000003e2 , +\blk00000003/sig000003e3 , \blk00000003/sig000003e4 , \blk00000003/sig000003e5 , \blk00000003/sig000003e6 , \blk00000003/sig000003e7 , +\blk00000003/sig000003e8 , \blk00000003/sig000003e9 , \blk00000003/sig000003ea , \blk00000003/sig000003eb , \blk00000003/sig000003ec , +\blk00000003/sig000003ed , \blk00000003/sig000003ee , \blk00000003/sig000003ef , \blk00000003/sig000003f0 , \blk00000003/sig000003f1 , +\blk00000003/sig000003f2 , \blk00000003/sig000003f3 , \blk00000003/sig000003f4 , \blk00000003/sig000003f5 , \blk00000003/sig000003f6 , +\blk00000003/sig000003f7 , \blk00000003/sig000003f8 , \blk00000003/sig000003f9 , \blk00000003/sig000003fa , \blk00000003/sig000003fb , +\blk00000003/sig000003fc , \blk00000003/sig000003fd , \blk00000003/sig000003fe , \blk00000003/sig000003ff , \blk00000003/sig00000400 , +\blk00000003/sig00000401 , \blk00000003/sig00000402 , \blk00000003/sig00000403 , \blk00000003/sig00000404 , \blk00000003/sig00000405 , +\blk00000003/sig00000406 , \blk00000003/sig00000407 , \blk00000003/sig00000408 , \blk00000003/sig00000409 , \blk00000003/sig0000040a , +\blk00000003/sig0000040b , \blk00000003/sig0000040c , \blk00000003/sig0000040d }), + .A({\blk00000003/sig000003bc , \blk00000003/sig000003bd , \blk00000003/sig000003be , \blk00000003/sig000003bf , \blk00000003/sig000003c0 , +\blk00000003/sig000003c1 , \blk00000003/sig000003c2 , \blk00000003/sig000003c3 , \blk00000003/sig000003c4 , \blk00000003/sig000003c5 , +\blk00000003/sig000003c6 , \blk00000003/sig000003c7 , \blk00000003/sig000003c8 , \blk00000003/sig000003c9 , \blk00000003/sig000003ca , +\blk00000003/sig000003cb , \blk00000003/sig000003cc , \blk00000003/sig000003cd }), + .M({\NLW_blk00000003/blk000000f9_M<35>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<33>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<32>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<30>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<29>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<27>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<26>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<24>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<23>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<21>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<20>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<18>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<17>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<15>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<14>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<12>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<11>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<9>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<8>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<6>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<5>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<3>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<2>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk000000f8 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk000000f8_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk000000f8_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig0000037c , \blk00000003/sig0000037c , \blk00000003/sig0000037c , \blk00000003/sig0000037c , \blk00000003/sig0000037c , +\blk00000003/sig0000037c , \blk00000003/sig0000037c , \blk00000003/sig0000037c , \blk00000003/sig0000037c , \blk00000003/sig0000037c , +\blk00000003/sig0000037c , \blk00000003/sig0000037d , \blk00000003/sig0000037e , \blk00000003/sig0000037f , \blk00000003/sig00000380 , +\blk00000003/sig00000381 , \blk00000003/sig00000382 , \blk00000003/sig00000383 }), + .BCOUT({\NLW_blk00000003/blk000000f8_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\NLW_blk00000003/blk000000f8_C<47>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<46>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<45>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<44>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<43>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<42>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<41>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<40>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<39>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<38>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<37>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<36>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<35>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<33>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<32>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<30>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<29>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<27>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<26>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<24>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<23>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<21>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<20>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<18>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<17>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<15>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<14>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<12>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<11>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<9>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<8>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<6>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<5>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<3>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<2>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk000000f8_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000384 , \blk00000003/sig00000384 , \blk00000003/sig00000384 , \blk00000003/sig00000384 , \blk00000003/sig00000384 , +\blk00000003/sig00000384 , \blk00000003/sig00000384 , \blk00000003/sig00000384 , \blk00000003/sig00000384 , \blk00000003/sig00000384 , +\blk00000003/sig00000384 , \blk00000003/sig00000385 , \blk00000003/sig00000386 , \blk00000003/sig00000387 , \blk00000003/sig00000388 , +\blk00000003/sig00000389 , \blk00000003/sig0000038a , \blk00000003/sig0000038b }), + .PCOUT({\blk00000003/sig0000038c , \blk00000003/sig0000038d , \blk00000003/sig0000038e , \blk00000003/sig0000038f , \blk00000003/sig00000390 , +\blk00000003/sig00000391 , \blk00000003/sig00000392 , \blk00000003/sig00000393 , \blk00000003/sig00000394 , \blk00000003/sig00000395 , +\blk00000003/sig00000396 , \blk00000003/sig00000397 , \blk00000003/sig00000398 , \blk00000003/sig00000399 , \blk00000003/sig0000039a , +\blk00000003/sig0000039b , \blk00000003/sig0000039c , \blk00000003/sig0000039d , \blk00000003/sig0000039e , \blk00000003/sig0000039f , +\blk00000003/sig000003a0 , \blk00000003/sig000003a1 , \blk00000003/sig000003a2 , \blk00000003/sig000003a3 , \blk00000003/sig000003a4 , +\blk00000003/sig000003a5 , \blk00000003/sig000003a6 , \blk00000003/sig000003a7 , \blk00000003/sig000003a8 , \blk00000003/sig000003a9 , +\blk00000003/sig000003aa , \blk00000003/sig000003ab , \blk00000003/sig000003ac , \blk00000003/sig000003ad , \blk00000003/sig000003ae , +\blk00000003/sig000003af , \blk00000003/sig000003b0 , \blk00000003/sig000003b1 , \blk00000003/sig000003b2 , \blk00000003/sig000003b3 , +\blk00000003/sig000003b4 , \blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 , \blk00000003/sig000003b8 , +\blk00000003/sig000003b9 , \blk00000003/sig000003ba , \blk00000003/sig000003bb }), + .A({\blk00000003/sig000003bc , \blk00000003/sig000003bd , \blk00000003/sig000003be , \blk00000003/sig000003bf , \blk00000003/sig000003c0 , +\blk00000003/sig000003c1 , \blk00000003/sig000003c2 , \blk00000003/sig000003c3 , \blk00000003/sig000003c4 , \blk00000003/sig000003c5 , +\blk00000003/sig000003c6 , \blk00000003/sig000003c7 , \blk00000003/sig000003c8 , \blk00000003/sig000003c9 , \blk00000003/sig000003ca , +\blk00000003/sig000003cb , \blk00000003/sig000003cc , \blk00000003/sig000003cd }), + .M({\NLW_blk00000003/blk000000f8_M<35>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<33>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<32>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<30>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<29>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<27>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<26>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<24>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<23>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<21>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<20>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<18>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<17>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<15>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<14>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<12>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<11>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<9>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<8>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<6>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<5>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<3>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<2>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<0>_UNCONNECTED }) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029f ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000037b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000379 ), + .Q(\blk00000003/sig0000037a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000378 ), + .Q(\blk00000003/sig00000374 ) + ); + XORCY \blk00000003/blk000000f4 ( + .CI(\blk00000003/sig00000374 ), + .LI(\blk00000003/sig00000376 ), + .O(\blk00000003/sig00000377 ) + ); + MUXCY_D \blk00000003/blk000000f3 ( + .CI(\blk00000003/sig00000374 ), + .DI(\blk00000003/sig00000375 ), + .S(\blk00000003/sig00000376 ), + .O(\NLW_blk00000003/blk000000f3_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000f3_LO_UNCONNECTED ) + ); + FDE \blk00000003/blk000000f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000372 ), + .Q(\blk00000003/sig00000373 ) + ); + XORCY \blk00000003/blk000000f1 ( + .CI(\blk00000003/sig0000036e ), + .LI(\blk00000003/sig00000370 ), + .O(\blk00000003/sig00000371 ) + ); + MUXCY_D \blk00000003/blk000000f0 ( + .CI(\blk00000003/sig0000036e ), + .DI(\blk00000003/sig0000036f ), + .S(\blk00000003/sig00000370 ), + .O(\NLW_blk00000003/blk000000f0_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000f0_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000ef ( + .CI(\blk00000003/sig00000049 ), + .DI(NlwRenamedSig_OI_rfd), + .S(\blk00000003/sig0000036d ), + .O(\blk00000003/sig0000036e ) + ); + XORCY \blk00000003/blk000000ee ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig0000036b ), + .O(\blk00000003/sig0000036c ) + ); + MUXCY_D \blk00000003/blk000000ed ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig0000036a ), + .S(\blk00000003/sig0000036b ), + .O(\NLW_blk00000003/blk000000ed_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000ed_LO_UNCONNECTED ) + ); + MUXCY_L \blk00000003/blk000000ec ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000369 ), + .S(\blk00000003/sig00000363 ), + .LO(\blk00000003/sig00000365 ) + ); + MUXCY_D \blk00000003/blk000000eb ( + .CI(\blk00000003/sig00000365 ), + .DI(\blk00000003/sig00000368 ), + .S(\blk00000003/sig00000366 ), + .O(\NLW_blk00000003/blk000000eb_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000eb_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000ea ( + .CI(\blk00000003/sig00000365 ), + .LI(\blk00000003/sig00000366 ), + .O(\blk00000003/sig00000367 ) + ); + XORCY \blk00000003/blk000000e9 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000363 ), + .O(\blk00000003/sig00000364 ) + ); + MUXCY_L \blk00000003/blk000000e8 ( + .CI(\blk00000003/sig0000035c ), + .DI(\blk00000003/sig000002ba ), + .S(\blk00000003/sig0000035d ), + .LO(\blk00000003/sig0000035f ) + ); + MUXCY_D \blk00000003/blk000000e7 ( + .CI(\blk00000003/sig0000035f ), + .DI(\blk00000003/sig000002b9 ), + .S(\blk00000003/sig00000360 ), + .O(\NLW_blk00000003/blk000000e7_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000e7_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000e6 ( + .CI(\blk00000003/sig00000049 ), + .DI(NlwRenamedSig_OI_rfd), + .S(\blk00000003/sig00000362 ), + .O(\blk00000003/sig0000035c ) + ); + XORCY \blk00000003/blk000000e5 ( + .CI(\blk00000003/sig0000035f ), + .LI(\blk00000003/sig00000360 ), + .O(\blk00000003/sig00000361 ) + ); + XORCY \blk00000003/blk000000e4 ( + .CI(\blk00000003/sig0000035c ), + .LI(\blk00000003/sig0000035d ), + .O(\blk00000003/sig0000035e ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000000e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000034d ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002f2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000034c ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002ef ) + ); + MUXCY_D \blk00000003/blk000000e1 ( + .CI(\blk00000003/sig000002ef ), + .DI(\blk00000003/sig0000035a ), + .S(\blk00000003/sig0000035b ), + .O(\blk00000003/sig00000357 ), + .LO(\NLW_blk00000003/blk000000e1_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000e0 ( + .CI(\blk00000003/sig00000357 ), + .DI(\blk00000003/sig00000358 ), + .S(\blk00000003/sig00000359 ), + .O(\blk00000003/sig00000355 ), + .LO(\NLW_blk00000003/blk000000e0_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000df ( + .CI(\blk00000003/sig00000355 ), + .DI(\blk00000003/sig0000034b ), + .S(\blk00000003/sig00000356 ), + .O(\blk00000003/sig00000352 ), + .LO(\NLW_blk00000003/blk000000df_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000de ( + .CI(\blk00000003/sig00000352 ), + .DI(\blk00000003/sig00000353 ), + .S(\blk00000003/sig00000354 ), + .O(\blk00000003/sig00000350 ), + .LO(\NLW_blk00000003/blk000000de_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000dd ( + .CI(\blk00000003/sig00000350 ), + .DI(\blk00000003/sig0000031e ), + .S(\blk00000003/sig00000351 ), + .O(\blk00000003/sig0000034e ), + .LO(\NLW_blk00000003/blk000000dd_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000dc ( + .CI(\blk00000003/sig0000034e ), + .DI(\blk00000003/sig000002ec ), + .S(\blk00000003/sig0000034f ), + .O(\NLW_blk00000003/blk000000dc_O_UNCONNECTED ), + .LO(\blk00000003/sig0000034c ) + ); + XORCY \blk00000003/blk000000db ( + .CI(\blk00000003/sig0000034c ), + .LI(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig0000034d ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000000da ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000033b ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000034b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000033a ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000029f ) + ); + MUXCY_D \blk00000003/blk000000d8 ( + .CI(\blk00000003/sig0000029f ), + .DI(\blk00000003/sig00000349 ), + .S(\blk00000003/sig0000034a ), + .O(\blk00000003/sig00000347 ), + .LO(\NLW_blk00000003/blk000000d8_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d7 ( + .CI(\blk00000003/sig00000347 ), + .DI(\blk00000003/sig000002a0 ), + .S(\blk00000003/sig00000348 ), + .O(\blk00000003/sig00000345 ), + .LO(\NLW_blk00000003/blk000000d7_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d6 ( + .CI(\blk00000003/sig00000345 ), + .DI(\blk00000003/sig0000029f ), + .S(\blk00000003/sig00000346 ), + .O(\blk00000003/sig00000342 ), + .LO(\NLW_blk00000003/blk000000d6_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d5 ( + .CI(\blk00000003/sig00000342 ), + .DI(\blk00000003/sig00000343 ), + .S(\blk00000003/sig00000344 ), + .O(\blk00000003/sig00000340 ), + .LO(\NLW_blk00000003/blk000000d5_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d4 ( + .CI(\blk00000003/sig00000340 ), + .DI(\blk00000003/sig000002f2 ), + .S(\blk00000003/sig00000341 ), + .O(\blk00000003/sig0000033c ), + .LO(\NLW_blk00000003/blk000000d4_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d3 ( + .CI(\blk00000003/sig0000033e ), + .DI(\blk00000003/sig000002f2 ), + .S(\blk00000003/sig0000033f ), + .O(\NLW_blk00000003/blk000000d3_O_UNCONNECTED ), + .LO(\blk00000003/sig0000033a ) + ); + MUXCY_D \blk00000003/blk000000d2 ( + .CI(\blk00000003/sig0000033c ), + .DI(\blk00000003/sig00000313 ), + .S(\blk00000003/sig0000033d ), + .O(\blk00000003/sig0000033e ), + .LO(\NLW_blk00000003/blk000000d2_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000d1 ( + .CI(\blk00000003/sig0000033a ), + .LI(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig0000033b ) + ); + FDE \blk00000003/blk000000d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000338 ), + .Q(\blk00000003/sig00000339 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ef ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000337 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000324 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000333 ) + ); + XORCY \blk00000003/blk000000cd ( + .CI(\blk00000003/sig00000333 ), + .LI(\blk00000003/sig00000335 ), + .O(\blk00000003/sig00000336 ) + ); + MUXCY_D \blk00000003/blk000000cc ( + .CI(\blk00000003/sig00000333 ), + .DI(\blk00000003/sig00000334 ), + .S(\blk00000003/sig00000335 ), + .O(\NLW_blk00000003/blk000000cc_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000cc_LO_UNCONNECTED ) + ); + MUXCY_L \blk00000003/blk000000cb ( + .CI(\blk00000003/sig00000326 ), + .DI(\blk00000003/sig00000332 ), + .S(\blk00000003/sig00000327 ), + .LO(\blk00000003/sig0000032c ) + ); + MUXCY_L \blk00000003/blk000000ca ( + .CI(\blk00000003/sig0000032c ), + .DI(\blk00000003/sig00000331 ), + .S(\blk00000003/sig0000032d ), + .LO(\blk00000003/sig00000329 ) + ); + MUXCY_D \blk00000003/blk000000c9 ( + .CI(\blk00000003/sig00000329 ), + .DI(\blk00000003/sig00000330 ), + .S(\blk00000003/sig0000032a ), + .O(\NLW_blk00000003/blk000000c9_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000c9_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000c8 ( + .CI(\blk00000003/sig00000049 ), + .DI(NlwRenamedSig_OI_rfd), + .S(\blk00000003/sig0000032f ), + .O(\blk00000003/sig00000326 ) + ); + XORCY \blk00000003/blk000000c7 ( + .CI(\blk00000003/sig0000032c ), + .LI(\blk00000003/sig0000032d ), + .O(\blk00000003/sig0000032e ) + ); + XORCY \blk00000003/blk000000c6 ( + .CI(\blk00000003/sig00000329 ), + .LI(\blk00000003/sig0000032a ), + .O(\blk00000003/sig0000032b ) + ); + XORCY \blk00000003/blk000000c5 ( + .CI(\blk00000003/sig00000326 ), + .LI(\blk00000003/sig00000327 ), + .O(\blk00000003/sig00000328 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c4 ( + .C(clk), + .CE(ce), + .D(coef_ld), + .Q(\blk00000003/sig00000325 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c3 ( + .C(clk), + .CE(ce), + .D(coef_we), + .Q(\blk00000003/sig00000324 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b0 ), + .Q(\blk00000003/sig00000318 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000323 ), + .Q(\blk00000003/sig0000030b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000321 ), + .Q(\blk00000003/sig00000322 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000320 ), + .Q(\blk00000003/sig00000309 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000be ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000031f ), + .Q(\blk00000003/sig00000316 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000031d ), + .Q(\blk00000003/sig0000031e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000031b ), + .Q(\blk00000003/sig0000031c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000319 ), + .Q(\blk00000003/sig0000031a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000318 ), + .Q(\blk00000003/sig00000314 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000316 ), + .Q(\blk00000003/sig00000317 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000314 ), + .Q(\blk00000003/sig00000315 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ee ), + .Q(\blk00000003/sig00000313 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000030e ), + .Q(\blk00000003/sig00000312 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000308 ), + .R(coef_ld), + .Q(\NLW_blk00000003/blk000000b5_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000305 ), + .R(coef_ld), + .Q(\blk00000003/sig00000302 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000300 ), + .R(coef_ld), + .Q(\NLW_blk00000003/blk000000b3_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002fb ), + .R(coef_ld), + .Q(\blk00000003/sig000002f8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ef ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000311 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000030f ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000310 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000af ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000030d ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000030e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000030b ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000030c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000309 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000030a ) + ); + XORCY \blk00000003/blk000000ac ( + .CI(\blk00000003/sig00000307 ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig00000308 ) + ); + MUXCY \blk00000003/blk000000ab ( + .CI(\blk00000003/sig00000307 ), + .DI(\blk00000003/sig00000049 ), + .S(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig000002fd ) + ); + MUXCY_D \blk00000003/blk000000aa ( + .CI(coef_we), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000306 ), + .O(\blk00000003/sig00000307 ), + .LO(\NLW_blk00000003/blk000000aa_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000a9 ( + .CI(\blk00000003/sig00000303 ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig00000305 ) + ); + MUXCY \blk00000003/blk000000a8 ( + .CI(\blk00000003/sig00000303 ), + .DI(\blk00000003/sig00000049 ), + .S(NlwRenamedSig_OI_rfd), + .O(\NLW_blk00000003/blk000000a8_O_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000a7 ( + .CI(NlwRenamedSig_OI_rfd), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000304 ), + .O(\blk00000003/sig00000301 ), + .LO(\NLW_blk00000003/blk000000a7_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000a6 ( + .CI(\blk00000003/sig00000301 ), + .DI(\blk00000003/sig00000302 ), + .S(coef_we), + .O(\blk00000003/sig00000303 ), + .LO(\NLW_blk00000003/blk000000a6_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000a5 ( + .CI(\blk00000003/sig000002ff ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig00000300 ) + ); + MUXCY \blk00000003/blk000000a4 ( + .CI(\blk00000003/sig000002ff ), + .DI(\blk00000003/sig00000049 ), + .S(NlwRenamedSig_OI_rfd), + .O(\NLW_blk00000003/blk000000a4_O_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000a3 ( + .CI(\blk00000003/sig000002fd ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000002fe ), + .O(\blk00000003/sig000002ff ), + .LO(\NLW_blk00000003/blk000000a3_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000a2 ( + .CI(NlwRenamedSig_OI_rfd), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000002fc ), + .O(\blk00000003/sig000002f7 ), + .LO(\NLW_blk00000003/blk000000a2_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000a1 ( + .CI(\blk00000003/sig000002fa ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig000002fb ) + ); + MUXCY \blk00000003/blk000000a0 ( + .CI(\blk00000003/sig000002fa ), + .DI(\blk00000003/sig00000049 ), + .S(NlwRenamedSig_OI_rfd), + .O(\NLW_blk00000003/blk000000a0_O_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk0000009f ( + .CI(\blk00000003/sig000002f7 ), + .DI(\blk00000003/sig000002f8 ), + .S(\blk00000003/sig000002f9 ), + .O(\blk00000003/sig000002fa ), + .LO(\NLW_blk00000003/blk0000009f_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk0000009e ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig000002f5 ), + .O(\blk00000003/sig000002f6 ) + ); + MUXCY_D \blk00000003/blk0000009d ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000002f4 ), + .S(\blk00000003/sig000002f5 ), + .O(\NLW_blk00000003/blk0000009d_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk0000009d_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk0000009c ( + .CI(\blk00000003/sig000002ed ), + .LI(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig000002eb ) + ); + MUXCY_D \blk00000003/blk0000009b ( + .CI(\blk00000003/sig000002f1 ), + .DI(\blk00000003/sig000002f2 ), + .S(\blk00000003/sig000002f3 ), + .O(\NLW_blk00000003/blk0000009b_O_UNCONNECTED ), + .LO(\blk00000003/sig000002ed ) + ); + MUXCY_D \blk00000003/blk0000009a ( + .CI(\blk00000003/sig000002ee ), + .DI(\blk00000003/sig000002ef ), + .S(\blk00000003/sig000002f0 ), + .O(\blk00000003/sig000002f1 ), + .LO(\NLW_blk00000003/blk0000009a_LO_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000099 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ed ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002ee ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000098 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002eb ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002ec ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b7 ), + .R(sclr), + .Q(\blk00000003/sig000002b6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000033 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b6 ), + .R(sclr), + .Q(\blk00000003/sig000002b1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000032 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b5 ), + .R(\blk00000003/sig000002ae ), + .Q(data_valid) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000031 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b3 ), + .R(sclr), + .Q(\blk00000003/sig000002b4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000030 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b1 ), + .R(sclr), + .Q(\blk00000003/sig000002b2 ) + ); + FDRE \blk00000003/blk0000002f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002af ), + .R(sclr), + .Q(\blk00000003/sig000002b0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ad ), + .R(\blk00000003/sig000002ae ), + .Q(rdy) + ); + FDRE \blk00000003/blk0000002d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ab ), + .R(sclr), + .Q(\blk00000003/sig000002ac ) + ); + FDSE \blk00000003/blk0000002c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a9 ), + .S(sclr), + .Q(\blk00000003/sig000002aa ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029b ), + .R(sclr), + .Q(\blk00000003/sig000002a8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a7 ), + .R(sclr), + .Q(\blk00000003/sig0000029c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000029 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a5 ), + .R(sclr), + .Q(\blk00000003/sig000002a6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000028 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a3 ), + .R(sclr), + .Q(\blk00000003/sig000002a4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000027 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a1 ), + .R(sclr), + .Q(\NLW_blk00000003/blk00000027_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000026 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a1 ), + .R(sclr), + .Q(\blk00000003/sig000002a2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000025 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029f ), + .Q(\blk00000003/sig000002a0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000024 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000176 ), + .R(sclr), + .Q(\blk00000003/sig00000173 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000023 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000170 ), + .R(sclr), + .Q(\NLW_blk00000003/blk00000023_Q_UNCONNECTED ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000022 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000171 ), + .S(sclr), + .Q(\blk00000003/sig0000029e ) + ); + MUXCY_D \blk00000003/blk00000021 ( + .CI(\blk00000003/sig0000029c ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig0000029d ), + .O(\blk00000003/sig0000029a ), + .LO(\NLW_blk00000003/blk00000021_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk00000020 ( + .CI(\blk00000003/sig0000029a ), + .DI(\blk00000003/sig00000049 ), + .S(NlwRenamedSig_OI_rfd), + .O(\NLW_blk00000003/blk00000020_O_UNCONNECTED ) + ); + XORCY \blk00000003/blk0000001f ( + .CI(\blk00000003/sig0000029a ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig0000029b ) + ); + DSP48A1 #( + .A0REG ( 1 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000001e ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000001e_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000001e_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig0000024a , \blk00000003/sig0000024b , \blk00000003/sig0000024c , +\blk00000003/sig0000024d , \blk00000003/sig0000024e , \blk00000003/sig0000024f , \blk00000003/sig00000250 , \blk00000003/sig00000251 , +\blk00000003/sig00000252 , \blk00000003/sig00000253 , \blk00000003/sig00000254 , \blk00000003/sig00000255 , \blk00000003/sig00000256 , +\blk00000003/sig00000257 , \blk00000003/sig00000258 , \blk00000003/sig00000259 }), + .BCOUT({\NLW_blk00000003/blk0000001e_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000001e_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000001e_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000001e_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000001e_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000001e_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000001e_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000001e_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000001e_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000001e_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig0000025a , \blk00000003/sig0000025b , \blk00000003/sig0000025c , \blk00000003/sig0000025d , \blk00000003/sig0000025e , +\blk00000003/sig0000025f , \blk00000003/sig00000260 , \blk00000003/sig00000261 , \blk00000003/sig00000262 , \blk00000003/sig00000263 , +\blk00000003/sig00000264 , \blk00000003/sig00000265 , \blk00000003/sig00000266 , \blk00000003/sig00000267 , \blk00000003/sig00000268 , +\blk00000003/sig00000269 , \blk00000003/sig0000026a , \blk00000003/sig0000026b , \blk00000003/sig0000026c , \blk00000003/sig0000026d , +\blk00000003/sig0000026e , \blk00000003/sig0000026f , \blk00000003/sig00000270 , \blk00000003/sig00000271 , \blk00000003/sig00000272 , +\blk00000003/sig00000273 , \blk00000003/sig00000274 , \blk00000003/sig00000275 , \blk00000003/sig00000276 , \blk00000003/sig00000277 , +\blk00000003/sig00000278 , \blk00000003/sig00000279 , \blk00000003/sig0000027a , \blk00000003/sig0000027b , \blk00000003/sig0000027c , +\blk00000003/sig0000027d , \blk00000003/sig0000027e , \blk00000003/sig0000027f , \blk00000003/sig00000280 , \blk00000003/sig00000281 , +\blk00000003/sig00000282 , \blk00000003/sig00000283 , \blk00000003/sig00000284 , \blk00000003/sig00000285 , \blk00000003/sig00000286 , +\blk00000003/sig00000287 , \blk00000003/sig00000288 , \blk00000003/sig00000289 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk0000001e_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000001e_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000001e_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000001e_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000001e_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000001e_P<40>_UNCONNECTED , +\blk00000003/sig00000152 , \blk00000003/sig00000153 , \blk00000003/sig00000154 , \blk00000003/sig00000155 , \blk00000003/sig00000156 , +\blk00000003/sig00000157 , \blk00000003/sig00000102 , \blk00000003/sig00000103 , \blk00000003/sig00000104 , \blk00000003/sig00000105 , +\blk00000003/sig00000106 , \blk00000003/sig00000107 , \blk00000003/sig00000108 , \blk00000003/sig00000109 , \blk00000003/sig0000010a , +\blk00000003/sig0000010b , \blk00000003/sig0000010c , \blk00000003/sig0000010d , \blk00000003/sig0000010e , \blk00000003/sig0000010f , +\blk00000003/sig00000110 , \blk00000003/sig00000111 , \blk00000003/sig00000112 , \blk00000003/sig00000113 , \blk00000003/sig0000028a , +\blk00000003/sig0000028b , \blk00000003/sig0000028c , \blk00000003/sig0000028d , \blk00000003/sig0000028e , \blk00000003/sig0000028f , +\blk00000003/sig00000290 , \blk00000003/sig00000291 , \blk00000003/sig00000292 , \blk00000003/sig00000293 , \blk00000003/sig00000294 , +\blk00000003/sig00000295 , \blk00000003/sig00000296 , \blk00000003/sig00000297 , \blk00000003/sig00000298 , \blk00000003/sig00000299 }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000165 , \blk00000003/sig00000167 , \blk00000003/sig00000169 }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .PCOUT({\NLW_blk00000003/blk0000001e_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000001b0 , \blk00000003/sig000001b1 , \blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , +\blk00000003/sig000001b5 , \blk00000003/sig000001b6 , \blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 , +\blk00000003/sig000001ba , \blk00000003/sig000001bb , \blk00000003/sig000001bc , \blk00000003/sig000001bd , \blk00000003/sig000001be , +\blk00000003/sig000001bf , \blk00000003/sig000001c0 , \blk00000003/sig000001c1 }), + .M({\NLW_blk00000003/blk0000001e_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 1 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000001d ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000001d_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000001d_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000212 , \blk00000003/sig00000212 , \blk00000003/sig00000212 , \blk00000003/sig00000212 , \blk00000003/sig00000212 , +\blk00000003/sig00000212 , \blk00000003/sig00000212 , \blk00000003/sig00000212 , \blk00000003/sig00000212 , \blk00000003/sig00000212 , +\blk00000003/sig00000212 , \blk00000003/sig00000213 , \blk00000003/sig00000214 , \blk00000003/sig00000215 , \blk00000003/sig00000216 , +\blk00000003/sig00000217 , \blk00000003/sig00000218 , \blk00000003/sig00000219 }), + .BCOUT({\NLW_blk00000003/blk0000001d_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000001d_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000001d_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000001d_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000001d_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000001d_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000001d_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000001d_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000001d_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000001d_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig0000021a , \blk00000003/sig0000021b , \blk00000003/sig0000021c , \blk00000003/sig0000021d , \blk00000003/sig0000021e , +\blk00000003/sig0000021f , \blk00000003/sig00000220 , \blk00000003/sig00000221 , \blk00000003/sig00000222 , \blk00000003/sig00000223 , +\blk00000003/sig00000224 , \blk00000003/sig00000225 , \blk00000003/sig00000226 , \blk00000003/sig00000227 , \blk00000003/sig00000228 , +\blk00000003/sig00000229 , \blk00000003/sig0000022a , \blk00000003/sig0000022b , \blk00000003/sig0000022c , \blk00000003/sig0000022d , +\blk00000003/sig0000022e , \blk00000003/sig0000022f , \blk00000003/sig00000230 , \blk00000003/sig00000231 , \blk00000003/sig00000232 , +\blk00000003/sig00000233 , \blk00000003/sig00000234 , \blk00000003/sig00000235 , \blk00000003/sig00000236 , \blk00000003/sig00000237 , +\blk00000003/sig00000238 , \blk00000003/sig00000239 , \blk00000003/sig0000023a , \blk00000003/sig0000023b , \blk00000003/sig0000023c , +\blk00000003/sig0000023d , \blk00000003/sig0000023e , \blk00000003/sig0000023f , \blk00000003/sig00000240 , \blk00000003/sig00000241 , +\blk00000003/sig00000242 , \blk00000003/sig00000243 , \blk00000003/sig00000244 , \blk00000003/sig00000245 , \blk00000003/sig00000246 , +\blk00000003/sig00000247 , \blk00000003/sig00000248 , \blk00000003/sig00000249 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk0000001d_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<31>_UNCONNECTED , +\blk00000003/sig00000114 , \blk00000003/sig00000115 , \blk00000003/sig00000116 , \blk00000003/sig00000117 , \blk00000003/sig00000118 , +\blk00000003/sig00000119 , \blk00000003/sig0000011a , \blk00000003/sig0000011b , \blk00000003/sig0000011c , \blk00000003/sig0000011d , +\blk00000003/sig0000011e , \blk00000003/sig0000011f , \blk00000003/sig00000120 , \blk00000003/sig00000121 , \blk00000003/sig00000122 , +\blk00000003/sig00000123 , \blk00000003/sig00000124 , \blk00000003/sig00000125 , \blk00000003/sig00000126 , \blk00000003/sig00000127 , +\blk00000003/sig00000128 , \blk00000003/sig00000129 , \blk00000003/sig0000012a , \blk00000003/sig0000012b , \blk00000003/sig0000012c , +\blk00000003/sig0000012d , \blk00000003/sig0000012e , \blk00000003/sig0000012f , \blk00000003/sig00000130 , \blk00000003/sig00000131 , +\blk00000003/sig00000132 }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000165 , \blk00000003/sig00000167 , \blk00000003/sig00000169 }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .PCOUT({\NLW_blk00000003/blk0000001d_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000001b0 , \blk00000003/sig000001b1 , \blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , +\blk00000003/sig000001b5 , \blk00000003/sig000001b6 , \blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 , +\blk00000003/sig000001ba , \blk00000003/sig000001bb , \blk00000003/sig000001bc , \blk00000003/sig000001bd , \blk00000003/sig000001be , +\blk00000003/sig000001bf , \blk00000003/sig000001c0 , \blk00000003/sig000001c1 }), + .M({\NLW_blk00000003/blk0000001d_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 1 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000001c ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000001c_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000001c_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000001c2 , \blk00000003/sig000001c3 , \blk00000003/sig000001c4 , +\blk00000003/sig000001c5 , \blk00000003/sig000001c6 , \blk00000003/sig000001c7 , \blk00000003/sig000001c8 , \blk00000003/sig000001c9 , +\blk00000003/sig000001ca , \blk00000003/sig000001cb , \blk00000003/sig000001cc , \blk00000003/sig000001cd , \blk00000003/sig000001ce , +\blk00000003/sig000001cf , \blk00000003/sig000001d0 , \blk00000003/sig000001d1 }), + .BCOUT({\NLW_blk00000003/blk0000001c_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000001c_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000001c_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000001c_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000001c_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000001c_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000001c_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000001c_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000001c_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000001c_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000001d2 , \blk00000003/sig000001d3 , \blk00000003/sig000001d4 , \blk00000003/sig000001d5 , \blk00000003/sig000001d6 , +\blk00000003/sig000001d7 , \blk00000003/sig000001d8 , \blk00000003/sig000001d9 , \blk00000003/sig000001da , \blk00000003/sig000001db , +\blk00000003/sig000001dc , \blk00000003/sig000001dd , \blk00000003/sig000001de , \blk00000003/sig000001df , \blk00000003/sig000001e0 , +\blk00000003/sig000001e1 , \blk00000003/sig000001e2 , \blk00000003/sig000001e3 , \blk00000003/sig000001e4 , \blk00000003/sig000001e5 , +\blk00000003/sig000001e6 , \blk00000003/sig000001e7 , \blk00000003/sig000001e8 , \blk00000003/sig000001e9 , \blk00000003/sig000001ea , +\blk00000003/sig000001eb , \blk00000003/sig000001ec , \blk00000003/sig000001ed , \blk00000003/sig000001ee , \blk00000003/sig000001ef , +\blk00000003/sig000001f0 , \blk00000003/sig000001f1 , \blk00000003/sig000001f2 , \blk00000003/sig000001f3 , \blk00000003/sig000001f4 , +\blk00000003/sig000001f5 , \blk00000003/sig000001f6 , \blk00000003/sig000001f7 , \blk00000003/sig000001f8 , \blk00000003/sig000001f9 , +\blk00000003/sig000001fa , \blk00000003/sig000001fb , \blk00000003/sig000001fc , \blk00000003/sig000001fd , \blk00000003/sig000001fe , +\blk00000003/sig000001ff , \blk00000003/sig00000200 , \blk00000003/sig00000201 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk0000001c_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000001c_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000001c_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000001c_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000001c_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000001c_P<40>_UNCONNECTED , +\blk00000003/sig000000fc , \blk00000003/sig000000fd , \blk00000003/sig000000fe , \blk00000003/sig000000ff , \blk00000003/sig00000100 , +\blk00000003/sig00000101 , \blk00000003/sig000000ac , \blk00000003/sig000000ad , \blk00000003/sig000000ae , \blk00000003/sig000000af , +\blk00000003/sig000000b0 , \blk00000003/sig000000b1 , \blk00000003/sig000000b2 , \blk00000003/sig000000b3 , \blk00000003/sig000000b4 , +\blk00000003/sig000000b5 , \blk00000003/sig000000b6 , \blk00000003/sig000000b7 , \blk00000003/sig000000b8 , \blk00000003/sig000000b9 , +\blk00000003/sig000000ba , \blk00000003/sig000000bb , \blk00000003/sig000000bc , \blk00000003/sig000000bd , \blk00000003/sig00000202 , +\blk00000003/sig00000203 , \blk00000003/sig00000204 , \blk00000003/sig00000205 , \blk00000003/sig00000206 , \blk00000003/sig00000207 , +\blk00000003/sig00000208 , \blk00000003/sig00000209 , \blk00000003/sig0000020a , \blk00000003/sig0000020b , \blk00000003/sig0000020c , +\blk00000003/sig0000020d , \blk00000003/sig0000020e , \blk00000003/sig0000020f , \blk00000003/sig00000210 , \blk00000003/sig00000211 }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000165 , \blk00000003/sig00000167 , \blk00000003/sig00000169 }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .PCOUT({\NLW_blk00000003/blk0000001c_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000001b0 , \blk00000003/sig000001b1 , \blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , +\blk00000003/sig000001b5 , \blk00000003/sig000001b6 , \blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 , +\blk00000003/sig000001ba , \blk00000003/sig000001bb , \blk00000003/sig000001bc , \blk00000003/sig000001bd , \blk00000003/sig000001be , +\blk00000003/sig000001bf , \blk00000003/sig000001c0 , \blk00000003/sig000001c1 }), + .M({\NLW_blk00000003/blk0000001c_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 1 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000001b ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000001b_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000001b_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000178 , \blk00000003/sig00000178 , \blk00000003/sig00000178 , \blk00000003/sig00000178 , \blk00000003/sig00000178 , +\blk00000003/sig00000178 , \blk00000003/sig00000178 , \blk00000003/sig00000178 , \blk00000003/sig00000178 , \blk00000003/sig00000178 , +\blk00000003/sig00000178 , \blk00000003/sig00000179 , \blk00000003/sig0000017a , \blk00000003/sig0000017b , \blk00000003/sig0000017c , +\blk00000003/sig0000017d , \blk00000003/sig0000017e , \blk00000003/sig0000017f }), + .BCOUT({\NLW_blk00000003/blk0000001b_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000001b_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000001b_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000001b_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000001b_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000001b_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000001b_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000001b_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000001b_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000001b_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000180 , \blk00000003/sig00000181 , \blk00000003/sig00000182 , \blk00000003/sig00000183 , \blk00000003/sig00000184 , +\blk00000003/sig00000185 , \blk00000003/sig00000186 , \blk00000003/sig00000187 , \blk00000003/sig00000188 , \blk00000003/sig00000189 , +\blk00000003/sig0000018a , \blk00000003/sig0000018b , \blk00000003/sig0000018c , \blk00000003/sig0000018d , \blk00000003/sig0000018e , +\blk00000003/sig0000018f , \blk00000003/sig00000190 , \blk00000003/sig00000191 , \blk00000003/sig00000192 , \blk00000003/sig00000193 , +\blk00000003/sig00000194 , \blk00000003/sig00000195 , \blk00000003/sig00000196 , \blk00000003/sig00000197 , \blk00000003/sig00000198 , +\blk00000003/sig00000199 , \blk00000003/sig0000019a , \blk00000003/sig0000019b , \blk00000003/sig0000019c , \blk00000003/sig0000019d , +\blk00000003/sig0000019e , \blk00000003/sig0000019f , \blk00000003/sig000001a0 , \blk00000003/sig000001a1 , \blk00000003/sig000001a2 , +\blk00000003/sig000001a3 , \blk00000003/sig000001a4 , \blk00000003/sig000001a5 , \blk00000003/sig000001a6 , \blk00000003/sig000001a7 , +\blk00000003/sig000001a8 , \blk00000003/sig000001a9 , \blk00000003/sig000001aa , \blk00000003/sig000001ab , \blk00000003/sig000001ac , +\blk00000003/sig000001ad , \blk00000003/sig000001ae , \blk00000003/sig000001af }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk0000001b_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<31>_UNCONNECTED , +\blk00000003/sig000000be , \blk00000003/sig000000bf , \blk00000003/sig000000c0 , \blk00000003/sig000000c1 , \blk00000003/sig000000c2 , +\blk00000003/sig000000c3 , \blk00000003/sig000000c4 , \blk00000003/sig000000c5 , \blk00000003/sig000000c6 , \blk00000003/sig000000c7 , +\blk00000003/sig000000c8 , \blk00000003/sig000000c9 , \blk00000003/sig000000ca , \blk00000003/sig000000cb , \blk00000003/sig000000cc , +\blk00000003/sig000000cd , \blk00000003/sig000000ce , \blk00000003/sig000000cf , \blk00000003/sig000000d0 , \blk00000003/sig000000d1 , +\blk00000003/sig000000d2 , \blk00000003/sig000000d3 , \blk00000003/sig000000d4 , \blk00000003/sig000000d5 , \blk00000003/sig000000d6 , +\blk00000003/sig000000d7 , \blk00000003/sig000000d8 , \blk00000003/sig000000d9 , \blk00000003/sig000000da , \blk00000003/sig000000db , +\blk00000003/sig000000dc }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000165 , \blk00000003/sig00000167 , \blk00000003/sig00000169 }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .PCOUT({\NLW_blk00000003/blk0000001b_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000001b0 , \blk00000003/sig000001b1 , \blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , +\blk00000003/sig000001b5 , \blk00000003/sig000001b6 , \blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 , +\blk00000003/sig000001ba , \blk00000003/sig000001bb , \blk00000003/sig000001bc , \blk00000003/sig000001bd , \blk00000003/sig000001be , +\blk00000003/sig000001bf , \blk00000003/sig000001c0 , \blk00000003/sig000001c1 }), + .M({\NLW_blk00000003/blk0000001b_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<0>_UNCONNECTED }) + ); + MUXCY_D \blk00000003/blk0000001a ( + .CI(NlwRenamedSig_OI_rfd), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000177 ), + .O(\blk00000003/sig00000172 ), + .LO(\NLW_blk00000003/blk0000001a_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000019 ( + .CI(\blk00000003/sig00000175 ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig00000176 ) + ); + MUXCY \blk00000003/blk00000018 ( + .CI(\blk00000003/sig00000175 ), + .DI(\blk00000003/sig00000049 ), + .S(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig0000016a ) + ); + MUXCY_D \blk00000003/blk00000017 ( + .CI(\blk00000003/sig00000172 ), + .DI(\blk00000003/sig00000173 ), + .S(\blk00000003/sig00000174 ), + .O(\blk00000003/sig00000175 ), + .LO(\NLW_blk00000003/blk00000017_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000016 ( + .CI(\blk00000003/sig00000170 ), + .LI(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig00000171 ) + ); + MUXCY_D \blk00000003/blk00000015 ( + .CI(\blk00000003/sig0000016d ), + .DI(\blk00000003/sig0000016e ), + .S(\blk00000003/sig0000016f ), + .O(\NLW_blk00000003/blk00000015_O_UNCONNECTED ), + .LO(\blk00000003/sig00000170 ) + ); + MUXCY_D \blk00000003/blk00000014 ( + .CI(\blk00000003/sig0000016a ), + .DI(\blk00000003/sig0000016b ), + .S(\blk00000003/sig0000016c ), + .O(\blk00000003/sig0000016d ), + .LO(\NLW_blk00000003/blk00000014_LO_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk00000013 ( + .C(clk), + .D(\blk00000003/sig00000168 ), + .Q(\blk00000003/sig00000169 ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk00000012 ( + .C(clk), + .D(\blk00000003/sig00000166 ), + .Q(\blk00000003/sig00000167 ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk00000011 ( + .C(clk), + .D(\blk00000003/sig00000164 ), + .Q(\blk00000003/sig00000165 ) + ); + XORCY \blk00000003/blk00000010 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000162 ), + .O(\blk00000003/sig00000163 ) + ); + MUXCY_D \blk00000003/blk0000000f ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000161 ), + .S(\blk00000003/sig00000162 ), + .O(\NLW_blk00000003/blk0000000f_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk0000000f_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk0000000e ( + .CI(\blk00000003/sig0000015c ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000160 ), + .O(\NLW_blk00000003/blk0000000e_O_UNCONNECTED ), + .LO(\blk00000003/sig0000015e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000000d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000015e ), + .R(sclr), + .Q(\blk00000003/sig0000015f ) + ); + MUXCY_D \blk00000003/blk0000000c ( + .CI(NlwRenamedSig_OI_rfd), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig0000015d ), + .O(\blk00000003/sig0000015a ), + .LO(\NLW_blk00000003/blk0000000c_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk0000000b ( + .CI(\blk00000003/sig0000015b ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig00000158 ) + ); + MUXCY \blk00000003/blk0000000a ( + .CI(\blk00000003/sig0000015b ), + .DI(\blk00000003/sig00000049 ), + .S(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig0000015c ) + ); + MUXCY_D \blk00000003/blk00000009 ( + .CI(\blk00000003/sig0000015a ), + .DI(\blk00000003/sig00000159 ), + .S(nd), + .O(\blk00000003/sig0000015b ), + .LO(\NLW_blk00000003/blk00000009_LO_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000008 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000158 ), + .R(sclr), + .Q(\blk00000003/sig00000159 ) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 0 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000007 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000007_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000007_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000102 , \blk00000003/sig00000103 , \blk00000003/sig00000104 , \blk00000003/sig00000105 , \blk00000003/sig00000106 , +\blk00000003/sig00000107 , \blk00000003/sig00000108 , \blk00000003/sig00000109 , \blk00000003/sig0000010a , \blk00000003/sig0000010b , +\blk00000003/sig0000010c , \blk00000003/sig0000010d , \blk00000003/sig0000010e , \blk00000003/sig0000010f , \blk00000003/sig00000110 , +\blk00000003/sig00000111 , \blk00000003/sig00000112 , \blk00000003/sig00000113 }), + .BCOUT({\NLW_blk00000003/blk00000007_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , +\blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , +\blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , +\blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000115 , \blk00000003/sig00000116 , +\blk00000003/sig00000117 , \blk00000003/sig00000118 , \blk00000003/sig00000119 , \blk00000003/sig0000011a , \blk00000003/sig0000011b , +\blk00000003/sig0000011c , \blk00000003/sig0000011d , \blk00000003/sig0000011e , \blk00000003/sig0000011f , \blk00000003/sig00000120 , +\blk00000003/sig00000121 , \blk00000003/sig00000122 , \blk00000003/sig00000123 , \blk00000003/sig00000124 , \blk00000003/sig00000125 , +\blk00000003/sig00000126 , \blk00000003/sig00000127 , \blk00000003/sig00000128 , \blk00000003/sig00000129 , \blk00000003/sig0000012a , +\blk00000003/sig0000012b , \blk00000003/sig0000012c , \blk00000003/sig0000012d , \blk00000003/sig0000012e , \blk00000003/sig0000012f , +\blk00000003/sig00000130 , \blk00000003/sig00000131 , \blk00000003/sig00000132 }), + .P({\NLW_blk00000003/blk00000007_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<31>_UNCONNECTED , +\blk00000003/sig00000133 , \blk00000003/sig00000134 , \blk00000003/sig00000135 , \blk00000003/sig00000136 , \blk00000003/sig00000137 , +\blk00000003/sig00000138 , \blk00000003/sig00000139 , \blk00000003/sig0000013a , \blk00000003/sig0000013b , \blk00000003/sig0000013c , +\blk00000003/sig0000013d , \blk00000003/sig0000013e , \blk00000003/sig0000013f , \blk00000003/sig00000140 , \blk00000003/sig00000141 , +\blk00000003/sig00000142 , \blk00000003/sig00000143 , \blk00000003/sig00000144 , \blk00000003/sig00000145 , \blk00000003/sig00000146 , +\blk00000003/sig00000147 , \blk00000003/sig00000148 , \blk00000003/sig00000149 , \blk00000003/sig0000014a , \blk00000003/sig0000014b , +\blk00000003/sig0000014c , \blk00000003/sig0000014d , \blk00000003/sig0000014e , \blk00000003/sig0000014f , \blk00000003/sig00000150 , +\blk00000003/sig00000151 }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +NlwRenamedSig_OI_rfd, NlwRenamedSig_OI_rfd, NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , +\blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , +\blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , +\blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 }), + .PCOUT({\NLW_blk00000003/blk00000007_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , +\blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , +\blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000153 , \blk00000003/sig00000154 , +\blk00000003/sig00000155 , \blk00000003/sig00000156 , \blk00000003/sig00000157 }), + .M({\NLW_blk00000003/blk00000007_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 0 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000006 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000006_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000006_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig000000ac , \blk00000003/sig000000ad , \blk00000003/sig000000ae , \blk00000003/sig000000af , \blk00000003/sig000000b0 , +\blk00000003/sig000000b1 , \blk00000003/sig000000b2 , \blk00000003/sig000000b3 , \blk00000003/sig000000b4 , \blk00000003/sig000000b5 , +\blk00000003/sig000000b6 , \blk00000003/sig000000b7 , \blk00000003/sig000000b8 , \blk00000003/sig000000b9 , \blk00000003/sig000000ba , +\blk00000003/sig000000bb , \blk00000003/sig000000bc , \blk00000003/sig000000bd }), + .BCOUT({\NLW_blk00000003/blk00000006_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , +\blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , +\blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , +\blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000bf , \blk00000003/sig000000c0 , +\blk00000003/sig000000c1 , \blk00000003/sig000000c2 , \blk00000003/sig000000c3 , \blk00000003/sig000000c4 , \blk00000003/sig000000c5 , +\blk00000003/sig000000c6 , \blk00000003/sig000000c7 , \blk00000003/sig000000c8 , \blk00000003/sig000000c9 , \blk00000003/sig000000ca , +\blk00000003/sig000000cb , \blk00000003/sig000000cc , \blk00000003/sig000000cd , \blk00000003/sig000000ce , \blk00000003/sig000000cf , +\blk00000003/sig000000d0 , \blk00000003/sig000000d1 , \blk00000003/sig000000d2 , \blk00000003/sig000000d3 , \blk00000003/sig000000d4 , +\blk00000003/sig000000d5 , \blk00000003/sig000000d6 , \blk00000003/sig000000d7 , \blk00000003/sig000000d8 , \blk00000003/sig000000d9 , +\blk00000003/sig000000da , \blk00000003/sig000000db , \blk00000003/sig000000dc }), + .P({\NLW_blk00000003/blk00000006_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<31>_UNCONNECTED , +\blk00000003/sig000000dd , \blk00000003/sig000000de , \blk00000003/sig000000df , \blk00000003/sig000000e0 , \blk00000003/sig000000e1 , +\blk00000003/sig000000e2 , \blk00000003/sig000000e3 , \blk00000003/sig000000e4 , \blk00000003/sig000000e5 , \blk00000003/sig000000e6 , +\blk00000003/sig000000e7 , \blk00000003/sig000000e8 , \blk00000003/sig000000e9 , \blk00000003/sig000000ea , \blk00000003/sig000000eb , +\blk00000003/sig000000ec , \blk00000003/sig000000ed , \blk00000003/sig000000ee , \blk00000003/sig000000ef , \blk00000003/sig000000f0 , +\blk00000003/sig000000f1 , \blk00000003/sig000000f2 , \blk00000003/sig000000f3 , \blk00000003/sig000000f4 , \blk00000003/sig000000f5 , +\blk00000003/sig000000f6 , \blk00000003/sig000000f7 , \blk00000003/sig000000f8 , \blk00000003/sig000000f9 , \blk00000003/sig000000fa , +\blk00000003/sig000000fb }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +NlwRenamedSig_OI_rfd, NlwRenamedSig_OI_rfd, NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , +\blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , +\blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , +\blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc }), + .PCOUT({\NLW_blk00000003/blk00000006_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , +\blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , +\blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fd , \blk00000003/sig000000fe , +\blk00000003/sig000000ff , \blk00000003/sig00000100 , \blk00000003/sig00000101 }), + .M({\NLW_blk00000003/blk00000006_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<0>_UNCONNECTED }) + ); + VCC \blk00000003/blk00000005 ( + .P(NlwRenamedSig_OI_rfd) + ); + GND \blk00000003/blk00000004 ( + .G(\blk00000003/sig00000049 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000035/blk00000097 ( + .I0(nd), + .I1(ce), + .O(\blk00000003/blk00000035/sig00000f29 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000096 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[22]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000096_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f27 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000095 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[21]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000095_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f26 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000094 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[23]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000094_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f28 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000093 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[19]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000093_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f24 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000092 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[18]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000092_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f23 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000091 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[20]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000091_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f25 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000090 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[16]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000090_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f21 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000008f ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[15]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000008f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f20 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000008e ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[17]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000008e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f22 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000008d ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[13]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000008d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f1e ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000008c ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[12]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000008c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f1d ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000008b ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[14]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000008b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f1f ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000008a ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[10]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000008a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f1b ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000089 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[9]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000089_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f1a ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000088 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[11]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000088_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f1c ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000087 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[7]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000087_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f18 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000086 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[6]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000086_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f17 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000085 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[8]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000085_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f19 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000084 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[4]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000084_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f15 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000083 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[3]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000083_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f14 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000082 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[5]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000082_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f16 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000081 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[1]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000081_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f12 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000080 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[0]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000080_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f11 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000007f ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[2]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000007f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f13 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000007e ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[22]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000007e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f0f ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000007d ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[21]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000007d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f0e ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000007c ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[23]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000007c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f10 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000007b ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[19]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000007b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f0c ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000007a ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[18]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000007a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f0b ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000079 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[20]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000079_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f0d ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000078 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[16]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000078_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f09 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000077 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[15]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000077_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f08 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000076 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[17]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000076_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f0a ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000075 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[13]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000075_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f06 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000074 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[12]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000074_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f05 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000073 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[14]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000073_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f07 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000072 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[10]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000072_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f03 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000071 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[9]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000071_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f02 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000070 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[11]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000070_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f04 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000006f ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[7]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000006f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f00 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000006e ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[6]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000006e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000eff ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000006d ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[8]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000006d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f01 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000006c ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[4]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000006c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000efd ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000006b ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[3]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000006b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000efc ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000006a ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[5]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000006a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000efe ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000069 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[1]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000069_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000efa ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000068 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[0]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000068_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000ef9 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000067 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[2]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000067_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000efb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000066 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f28 ), + .Q(\blk00000003/sig000002bb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000065 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f27 ), + .Q(\blk00000003/sig000002bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000064 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f26 ), + .Q(\blk00000003/sig000002bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000063 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f25 ), + .Q(\blk00000003/sig000002be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000062 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f24 ), + .Q(\blk00000003/sig000002bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000061 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f23 ), + .Q(\blk00000003/sig000002c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000060 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f22 ), + .Q(\blk00000003/sig000002c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000005f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f21 ), + .Q(\blk00000003/sig000002c2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000005e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f20 ), + .Q(\blk00000003/sig000002c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000005d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f1f ), + .Q(\blk00000003/sig000002c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000005c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f1e ), + .Q(\blk00000003/sig000002c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000005b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f1d ), + .Q(\blk00000003/sig000002c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000005a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f1c ), + .Q(\blk00000003/sig000002c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000059 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f1b ), + .Q(\blk00000003/sig000002c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000058 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f1a ), + .Q(\blk00000003/sig000002c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000057 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f19 ), + .Q(\blk00000003/sig000002ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000056 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f18 ), + .Q(\blk00000003/sig000002cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000055 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f17 ), + .Q(\blk00000003/sig000002cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000054 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f16 ), + .Q(\blk00000003/sig000002cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000053 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f15 ), + .Q(\blk00000003/sig000002ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000052 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f14 ), + .Q(\blk00000003/sig000002cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000051 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f13 ), + .Q(\blk00000003/sig000002d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000050 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f12 ), + .Q(\blk00000003/sig000002d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000004f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f11 ), + .Q(\blk00000003/sig000002d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000004e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f10 ), + .Q(\blk00000003/sig000002d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000004d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f0f ), + .Q(\blk00000003/sig000002d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000004c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f0e ), + .Q(\blk00000003/sig000002d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000004b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f0d ), + .Q(\blk00000003/sig000002d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000004a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f0c ), + .Q(\blk00000003/sig000002d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000049 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f0b ), + .Q(\blk00000003/sig000002d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000048 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f0a ), + .Q(\blk00000003/sig000002d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000047 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f09 ), + .Q(\blk00000003/sig000002da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000046 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f08 ), + .Q(\blk00000003/sig000002db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000045 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f07 ), + .Q(\blk00000003/sig000002dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000044 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f06 ), + .Q(\blk00000003/sig000002dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000043 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f05 ), + .Q(\blk00000003/sig000002de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000042 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f04 ), + .Q(\blk00000003/sig000002df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000041 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f03 ), + .Q(\blk00000003/sig000002e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000040 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f02 ), + .Q(\blk00000003/sig000002e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000003f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f01 ), + .Q(\blk00000003/sig000002e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000003e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f00 ), + .Q(\blk00000003/sig000002e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000003d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000eff ), + .Q(\blk00000003/sig000002e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000003c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000efe ), + .Q(\blk00000003/sig000002e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000003b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000efd ), + .Q(\blk00000003/sig000002e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000003a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000efc ), + .Q(\blk00000003/sig000002e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000039 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000efb ), + .Q(\blk00000003/sig000002e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000038 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000efa ), + .Q(\blk00000003/sig000002e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000037 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000ef9 ), + .Q(\blk00000003/sig000002ea ) + ); + GND \blk00000003/blk00000035/blk00000036 ( + .G(\blk00000003/blk00000035/sig00000ef8 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000013d/blk0000015f ( + .I0(\blk00000003/sig000009fa ), + .I1(ce), + .O(\blk00000003/blk0000013d/sig00000f5f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk0000015e ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008c8 ), + .Q(\blk00000003/blk0000013d/sig00000f5e ), + .Q15(\NLW_blk00000003/blk0000013d/blk0000015e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk0000015d ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008c9 ), + .Q(\blk00000003/blk0000013d/sig00000f5d ), + .Q15(\NLW_blk00000003/blk0000013d/blk0000015d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk0000015c ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008ca ), + .Q(\blk00000003/blk0000013d/sig00000f5c ), + .Q15(\NLW_blk00000003/blk0000013d/blk0000015c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk0000015b ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008cb ), + .Q(\blk00000003/blk0000013d/sig00000f5b ), + .Q15(\NLW_blk00000003/blk0000013d/blk0000015b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk0000015a ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008cc ), + .Q(\blk00000003/blk0000013d/sig00000f5a ), + .Q15(\NLW_blk00000003/blk0000013d/blk0000015a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000159 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008cd ), + .Q(\blk00000003/blk0000013d/sig00000f59 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000159_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000158 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008ce ), + .Q(\blk00000003/blk0000013d/sig00000f58 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000158_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000157 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008cf ), + .Q(\blk00000003/blk0000013d/sig00000f57 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000157_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000156 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008d0 ), + .Q(\blk00000003/blk0000013d/sig00000f56 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000156_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000155 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008d1 ), + .Q(\blk00000003/blk0000013d/sig00000f55 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000155_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000154 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008d2 ), + .Q(\blk00000003/blk0000013d/sig00000f54 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000154_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000153 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008d3 ), + .Q(\blk00000003/blk0000013d/sig00000f53 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000153_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000152 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008d4 ), + .Q(\blk00000003/blk0000013d/sig00000f52 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000152_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000151 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008d5 ), + .Q(\blk00000003/blk0000013d/sig00000f51 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000151_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000150 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008d6 ), + .Q(\blk00000003/blk0000013d/sig00000f50 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000150_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk0000014f ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008d7 ), + .Q(\blk00000003/blk0000013d/sig00000f4f ), + .Q15(\NLW_blk00000003/blk0000013d/blk0000014f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk0000014e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f5e ), + .Q(\blk00000003/sig00000768 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk0000014d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f5d ), + .Q(\blk00000003/sig00000769 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk0000014c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f5c ), + .Q(\blk00000003/sig0000076a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk0000014b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f5b ), + .Q(\blk00000003/sig0000076b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk0000014a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f5a ), + .Q(\blk00000003/sig0000076c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000149 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f59 ), + .Q(\blk00000003/sig0000076d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000148 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f58 ), + .Q(\blk00000003/sig0000076e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000147 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f57 ), + .Q(\blk00000003/sig0000076f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000146 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f56 ), + .Q(\blk00000003/sig00000770 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000145 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f55 ), + .Q(\blk00000003/sig00000771 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000144 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f54 ), + .Q(\blk00000003/sig00000772 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000143 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f53 ), + .Q(\blk00000003/sig00000773 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000142 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f52 ), + .Q(\blk00000003/sig00000774 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000141 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f51 ), + .Q(\blk00000003/sig00000775 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000140 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f50 ), + .Q(\blk00000003/sig00000776 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk0000013f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f4f ), + .Q(\blk00000003/sig00000777 ) + ); + GND \blk00000003/blk0000013d/blk0000013e ( + .G(\blk00000003/blk0000013d/sig00000f4e ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000160/blk00000182 ( + .I0(\blk00000003/sig000009f8 ), + .I1(ce), + .O(\blk00000003/blk00000160/sig00000f95 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000181 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a17 ), + .Q(\blk00000003/blk00000160/sig00000f94 ), + .Q15(\NLW_blk00000003/blk00000160/blk00000181_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000180 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a18 ), + .Q(\blk00000003/blk00000160/sig00000f93 ), + .Q15(\NLW_blk00000003/blk00000160/blk00000180_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk0000017f ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a19 ), + .Q(\blk00000003/blk00000160/sig00000f92 ), + .Q15(\NLW_blk00000003/blk00000160/blk0000017f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk0000017e ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a1a ), + .Q(\blk00000003/blk00000160/sig00000f91 ), + .Q15(\NLW_blk00000003/blk00000160/blk0000017e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk0000017d ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a1b ), + .Q(\blk00000003/blk00000160/sig00000f90 ), + .Q15(\NLW_blk00000003/blk00000160/blk0000017d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk0000017c ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a1c ), + .Q(\blk00000003/blk00000160/sig00000f8f ), + .Q15(\NLW_blk00000003/blk00000160/blk0000017c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk0000017b ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a1d ), + .Q(\blk00000003/blk00000160/sig00000f8e ), + .Q15(\NLW_blk00000003/blk00000160/blk0000017b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk0000017a ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a1e ), + .Q(\blk00000003/blk00000160/sig00000f8d ), + .Q15(\NLW_blk00000003/blk00000160/blk0000017a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000179 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a1f ), + .Q(\blk00000003/blk00000160/sig00000f8c ), + .Q15(\NLW_blk00000003/blk00000160/blk00000179_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000178 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a20 ), + .Q(\blk00000003/blk00000160/sig00000f8b ), + .Q15(\NLW_blk00000003/blk00000160/blk00000178_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000177 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a21 ), + .Q(\blk00000003/blk00000160/sig00000f8a ), + .Q15(\NLW_blk00000003/blk00000160/blk00000177_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000176 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a22 ), + .Q(\blk00000003/blk00000160/sig00000f89 ), + .Q15(\NLW_blk00000003/blk00000160/blk00000176_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000175 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a23 ), + .Q(\blk00000003/blk00000160/sig00000f88 ), + .Q15(\NLW_blk00000003/blk00000160/blk00000175_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000174 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a24 ), + .Q(\blk00000003/blk00000160/sig00000f87 ), + .Q15(\NLW_blk00000003/blk00000160/blk00000174_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000173 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a25 ), + .Q(\blk00000003/blk00000160/sig00000f86 ), + .Q15(\NLW_blk00000003/blk00000160/blk00000173_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000172 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a26 ), + .Q(\blk00000003/blk00000160/sig00000f85 ), + .Q15(\NLW_blk00000003/blk00000160/blk00000172_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000171 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f94 ), + .Q(\blk00000003/sig00000728 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000170 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f93 ), + .Q(\blk00000003/sig00000729 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk0000016f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f92 ), + .Q(\blk00000003/sig0000072a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk0000016e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f91 ), + .Q(\blk00000003/sig0000072b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk0000016d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f90 ), + .Q(\blk00000003/sig0000072c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk0000016c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f8f ), + .Q(\blk00000003/sig0000072d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk0000016b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f8e ), + .Q(\blk00000003/sig0000072e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk0000016a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f8d ), + .Q(\blk00000003/sig0000072f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000169 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f8c ), + .Q(\blk00000003/sig00000730 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000168 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f8b ), + .Q(\blk00000003/sig00000731 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000167 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f8a ), + .Q(\blk00000003/sig00000732 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000166 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f89 ), + .Q(\blk00000003/sig00000733 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000165 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f88 ), + .Q(\blk00000003/sig00000734 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000164 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f87 ), + .Q(\blk00000003/sig00000735 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000163 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f86 ), + .Q(\blk00000003/sig00000736 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000162 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f85 ), + .Q(\blk00000003/sig00000737 ) + ); + GND \blk00000003/blk00000160/blk00000161 ( + .G(\blk00000003/blk00000160/sig00000f84 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000183/blk000001a5 ( + .I0(\blk00000003/sig000009fa ), + .I1(ce), + .O(\blk00000003/blk00000183/sig00000fcb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk000001a4 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009d8 ), + .Q(\blk00000003/blk00000183/sig00000fca ), + .Q15(\NLW_blk00000003/blk00000183/blk000001a4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk000001a3 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009d9 ), + .Q(\blk00000003/blk00000183/sig00000fc9 ), + .Q15(\NLW_blk00000003/blk00000183/blk000001a3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk000001a2 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009da ), + .Q(\blk00000003/blk00000183/sig00000fc8 ), + .Q15(\NLW_blk00000003/blk00000183/blk000001a2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk000001a1 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009db ), + .Q(\blk00000003/blk00000183/sig00000fc7 ), + .Q15(\NLW_blk00000003/blk00000183/blk000001a1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk000001a0 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009dc ), + .Q(\blk00000003/blk00000183/sig00000fc6 ), + .Q15(\NLW_blk00000003/blk00000183/blk000001a0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk0000019f ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009dd ), + .Q(\blk00000003/blk00000183/sig00000fc5 ), + .Q15(\NLW_blk00000003/blk00000183/blk0000019f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk0000019e ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009de ), + .Q(\blk00000003/blk00000183/sig00000fc4 ), + .Q15(\NLW_blk00000003/blk00000183/blk0000019e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk0000019d ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009df ), + .Q(\blk00000003/blk00000183/sig00000fc3 ), + .Q15(\NLW_blk00000003/blk00000183/blk0000019d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk0000019c ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009e0 ), + .Q(\blk00000003/blk00000183/sig00000fc2 ), + .Q15(\NLW_blk00000003/blk00000183/blk0000019c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk0000019b ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009e1 ), + .Q(\blk00000003/blk00000183/sig00000fc1 ), + .Q15(\NLW_blk00000003/blk00000183/blk0000019b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk0000019a ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009e2 ), + .Q(\blk00000003/blk00000183/sig00000fc0 ), + .Q15(\NLW_blk00000003/blk00000183/blk0000019a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk00000199 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009e3 ), + .Q(\blk00000003/blk00000183/sig00000fbf ), + .Q15(\NLW_blk00000003/blk00000183/blk00000199_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk00000198 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009e4 ), + .Q(\blk00000003/blk00000183/sig00000fbe ), + .Q15(\NLW_blk00000003/blk00000183/blk00000198_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk00000197 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009e5 ), + .Q(\blk00000003/blk00000183/sig00000fbd ), + .Q15(\NLW_blk00000003/blk00000183/blk00000197_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk00000196 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009e6 ), + .Q(\blk00000003/blk00000183/sig00000fbc ), + .Q15(\NLW_blk00000003/blk00000183/blk00000196_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk00000195 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009e7 ), + .Q(\blk00000003/blk00000183/sig00000fbb ), + .Q15(\NLW_blk00000003/blk00000183/blk00000195_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000194 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fca ), + .Q(\blk00000003/sig000007b8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000193 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc9 ), + .Q(\blk00000003/sig000007b9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000192 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc8 ), + .Q(\blk00000003/sig000007ba ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000191 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc7 ), + .Q(\blk00000003/sig000007bb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000190 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc6 ), + .Q(\blk00000003/sig000007bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk0000018f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc5 ), + .Q(\blk00000003/sig000007bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk0000018e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc4 ), + .Q(\blk00000003/sig000007be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk0000018d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc3 ), + .Q(\blk00000003/sig000007bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk0000018c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc2 ), + .Q(\blk00000003/sig000007c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk0000018b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc1 ), + .Q(\blk00000003/sig000007c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk0000018a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc0 ), + .Q(\blk00000003/sig000007c2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000189 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fbf ), + .Q(\blk00000003/sig000007c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000188 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fbe ), + .Q(\blk00000003/sig000007c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000187 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fbd ), + .Q(\blk00000003/sig000007c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000186 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fbc ), + .Q(\blk00000003/sig000007c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000185 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fbb ), + .Q(\blk00000003/sig000007c7 ) + ); + GND \blk00000003/blk00000183/blk00000184 ( + .G(\blk00000003/blk00000183/sig00000fba ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000001a6/blk000001c8 ( + .I0(\blk00000003/sig000009f8 ), + .I1(ce), + .O(\blk00000003/blk000001a6/sig00001001 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001c7 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a27 ), + .Q(\blk00000003/blk000001a6/sig00001000 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001c7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001c6 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a28 ), + .Q(\blk00000003/blk000001a6/sig00000fff ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001c6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001c5 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a29 ), + .Q(\blk00000003/blk000001a6/sig00000ffe ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001c5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001c4 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a2a ), + .Q(\blk00000003/blk000001a6/sig00000ffd ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001c4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001c3 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a2b ), + .Q(\blk00000003/blk000001a6/sig00000ffc ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001c3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001c2 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a2c ), + .Q(\blk00000003/blk000001a6/sig00000ffb ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001c2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001c1 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a2d ), + .Q(\blk00000003/blk000001a6/sig00000ffa ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001c1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001c0 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a2e ), + .Q(\blk00000003/blk000001a6/sig00000ff9 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001c0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001bf ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a2f ), + .Q(\blk00000003/blk000001a6/sig00000ff8 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001bf_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001be ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a30 ), + .Q(\blk00000003/blk000001a6/sig00000ff7 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001be_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001bd ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a31 ), + .Q(\blk00000003/blk000001a6/sig00000ff6 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001bd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001bc ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a32 ), + .Q(\blk00000003/blk000001a6/sig00000ff5 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001bc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001bb ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a33 ), + .Q(\blk00000003/blk000001a6/sig00000ff4 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001bb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001ba ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a34 ), + .Q(\blk00000003/blk000001a6/sig00000ff3 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001ba_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001b9 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a35 ), + .Q(\blk00000003/blk000001a6/sig00000ff2 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001b9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001b8 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a36 ), + .Q(\blk00000003/blk000001a6/sig00000ff1 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001b8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00001000 ), + .Q(\blk00000003/sig00000778 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000fff ), + .Q(\blk00000003/sig00000779 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ffe ), + .Q(\blk00000003/sig0000077a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ffd ), + .Q(\blk00000003/sig0000077b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ffc ), + .Q(\blk00000003/sig0000077c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ffb ), + .Q(\blk00000003/sig0000077d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ffa ), + .Q(\blk00000003/sig0000077e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ff9 ), + .Q(\blk00000003/sig0000077f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001af ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ff8 ), + .Q(\blk00000003/sig00000780 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ff7 ), + .Q(\blk00000003/sig00000781 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ff6 ), + .Q(\blk00000003/sig00000782 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ff5 ), + .Q(\blk00000003/sig00000783 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ff4 ), + .Q(\blk00000003/sig00000784 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ff3 ), + .Q(\blk00000003/sig00000785 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ff2 ), + .Q(\blk00000003/sig00000786 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ff1 ), + .Q(\blk00000003/sig00000787 ) + ); + GND \blk00000003/blk000001a6/blk000001a7 ( + .G(\blk00000003/blk000001a6/sig00000ff0 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000001c9/blk000001eb ( + .I0(\blk00000003/sig000009f9 ), + .I1(ce), + .O(\blk00000003/blk000001c9/sig00001037 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001ea ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000878 ), + .Q(\blk00000003/blk000001c9/sig00001036 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001ea_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e9 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000879 ), + .Q(\blk00000003/blk000001c9/sig00001035 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e8 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig0000087a ), + .Q(\blk00000003/blk000001c9/sig00001034 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e7 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig0000087b ), + .Q(\blk00000003/blk000001c9/sig00001033 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e6 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig0000087c ), + .Q(\blk00000003/blk000001c9/sig00001032 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e5 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig0000087d ), + .Q(\blk00000003/blk000001c9/sig00001031 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e4 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig0000087e ), + .Q(\blk00000003/blk000001c9/sig00001030 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e3 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig0000087f ), + .Q(\blk00000003/blk000001c9/sig0000102f ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e2 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000880 ), + .Q(\blk00000003/blk000001c9/sig0000102e ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e1 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000881 ), + .Q(\blk00000003/blk000001c9/sig0000102d ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e0 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000882 ), + .Q(\blk00000003/blk000001c9/sig0000102c ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001df ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000883 ), + .Q(\blk00000003/blk000001c9/sig0000102b ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001df_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001de ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000884 ), + .Q(\blk00000003/blk000001c9/sig0000102a ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001de_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001dd ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000885 ), + .Q(\blk00000003/blk000001c9/sig00001029 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001dd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001dc ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000886 ), + .Q(\blk00000003/blk000001c9/sig00001028 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001dc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001db ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000887 ), + .Q(\blk00000003/blk000001c9/sig00001027 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001db_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001da ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001036 ), + .Q(\blk00000003/sig000008c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001035 ), + .Q(\blk00000003/sig000008c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001034 ), + .Q(\blk00000003/sig000008ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001033 ), + .Q(\blk00000003/sig000008cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001032 ), + .Q(\blk00000003/sig000008cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001031 ), + .Q(\blk00000003/sig000008cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001030 ), + .Q(\blk00000003/sig000008ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig0000102f ), + .Q(\blk00000003/sig000008cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig0000102e ), + .Q(\blk00000003/sig000008d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig0000102d ), + .Q(\blk00000003/sig000008d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig0000102c ), + .Q(\blk00000003/sig000008d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig0000102b ), + .Q(\blk00000003/sig000008d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig0000102a ), + .Q(\blk00000003/sig000008d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001029 ), + .Q(\blk00000003/sig000008d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001028 ), + .Q(\blk00000003/sig000008d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001027 ), + .Q(\blk00000003/sig000008d7 ) + ); + GND \blk00000003/blk000001c9/blk000001ca ( + .G(\blk00000003/blk000001c9/sig00001026 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000001ec/blk0000020e ( + .I0(\blk00000003/sig000009f7 ), + .I1(ce), + .O(\blk00000003/blk000001ec/sig0000106d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000020d ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a37 ), + .Q(\blk00000003/blk000001ec/sig0000106c ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000020d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000020c ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a38 ), + .Q(\blk00000003/blk000001ec/sig0000106b ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000020c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000020b ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a39 ), + .Q(\blk00000003/blk000001ec/sig0000106a ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000020b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000020a ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a3a ), + .Q(\blk00000003/blk000001ec/sig00001069 ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000020a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000209 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a3b ), + .Q(\blk00000003/blk000001ec/sig00001068 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000209_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000208 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a3c ), + .Q(\blk00000003/blk000001ec/sig00001067 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000208_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000207 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a3d ), + .Q(\blk00000003/blk000001ec/sig00001066 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000207_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000206 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a3e ), + .Q(\blk00000003/blk000001ec/sig00001065 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000206_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000205 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a3f ), + .Q(\blk00000003/blk000001ec/sig00001064 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000205_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000204 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a40 ), + .Q(\blk00000003/blk000001ec/sig00001063 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000204_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000203 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a41 ), + .Q(\blk00000003/blk000001ec/sig00001062 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000203_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000202 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a42 ), + .Q(\blk00000003/blk000001ec/sig00001061 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000202_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000201 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a43 ), + .Q(\blk00000003/blk000001ec/sig00001060 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000201_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000200 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a44 ), + .Q(\blk00000003/blk000001ec/sig0000105f ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000200_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk000001ff ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a45 ), + .Q(\blk00000003/blk000001ec/sig0000105e ), + .Q15(\NLW_blk00000003/blk000001ec/blk000001ff_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk000001fe ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a46 ), + .Q(\blk00000003/blk000001ec/sig0000105d ), + .Q15(\NLW_blk00000003/blk000001ec/blk000001fe_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig0000106c ), + .Q(\blk00000003/sig000008b8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig0000106b ), + .Q(\blk00000003/sig000008b9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig0000106a ), + .Q(\blk00000003/sig000008ba ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001069 ), + .Q(\blk00000003/sig000008bb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001068 ), + .Q(\blk00000003/sig000008bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001067 ), + .Q(\blk00000003/sig000008bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001066 ), + .Q(\blk00000003/sig000008be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001065 ), + .Q(\blk00000003/sig000008bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001064 ), + .Q(\blk00000003/sig000008c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001063 ), + .Q(\blk00000003/sig000008c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001062 ), + .Q(\blk00000003/sig000008c2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001061 ), + .Q(\blk00000003/sig000008c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001060 ), + .Q(\blk00000003/sig000008c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig0000105f ), + .Q(\blk00000003/sig000008c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig0000105e ), + .Q(\blk00000003/sig000008c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig0000105d ), + .Q(\blk00000003/sig000008c7 ) + ); + GND \blk00000003/blk000001ec/blk000001ed ( + .G(\blk00000003/blk000001ec/sig0000105c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000020f/blk00000231 ( + .I0(\blk00000003/sig000009f9 ), + .I1(ce), + .O(\blk00000003/blk0000020f/sig000010a3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000230 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000988 ), + .Q(\blk00000003/blk0000020f/sig000010a2 ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000230_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk0000022f ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000989 ), + .Q(\blk00000003/blk0000020f/sig000010a1 ), + .Q15(\NLW_blk00000003/blk0000020f/blk0000022f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk0000022e ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig0000098a ), + .Q(\blk00000003/blk0000020f/sig000010a0 ), + .Q15(\NLW_blk00000003/blk0000020f/blk0000022e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk0000022d ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig0000098b ), + .Q(\blk00000003/blk0000020f/sig0000109f ), + .Q15(\NLW_blk00000003/blk0000020f/blk0000022d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk0000022c ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig0000098c ), + .Q(\blk00000003/blk0000020f/sig0000109e ), + .Q15(\NLW_blk00000003/blk0000020f/blk0000022c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk0000022b ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig0000098d ), + .Q(\blk00000003/blk0000020f/sig0000109d ), + .Q15(\NLW_blk00000003/blk0000020f/blk0000022b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk0000022a ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig0000098e ), + .Q(\blk00000003/blk0000020f/sig0000109c ), + .Q15(\NLW_blk00000003/blk0000020f/blk0000022a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000229 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig0000098f ), + .Q(\blk00000003/blk0000020f/sig0000109b ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000229_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000228 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000990 ), + .Q(\blk00000003/blk0000020f/sig0000109a ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000228_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000227 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000991 ), + .Q(\blk00000003/blk0000020f/sig00001099 ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000227_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000226 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000992 ), + .Q(\blk00000003/blk0000020f/sig00001098 ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000226_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000225 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000993 ), + .Q(\blk00000003/blk0000020f/sig00001097 ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000225_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000224 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000994 ), + .Q(\blk00000003/blk0000020f/sig00001096 ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000224_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000223 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000995 ), + .Q(\blk00000003/blk0000020f/sig00001095 ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000223_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000222 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000996 ), + .Q(\blk00000003/blk0000020f/sig00001094 ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000222_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000221 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000997 ), + .Q(\blk00000003/blk0000020f/sig00001093 ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000221_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000220 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig000010a2 ), + .Q(\blk00000003/sig000009d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk0000021f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig000010a1 ), + .Q(\blk00000003/sig000009d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk0000021e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig000010a0 ), + .Q(\blk00000003/sig000009da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk0000021d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig0000109f ), + .Q(\blk00000003/sig000009db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk0000021c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig0000109e ), + .Q(\blk00000003/sig000009dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk0000021b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig0000109d ), + .Q(\blk00000003/sig000009dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk0000021a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig0000109c ), + .Q(\blk00000003/sig000009de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000219 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig0000109b ), + .Q(\blk00000003/sig000009df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000218 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig0000109a ), + .Q(\blk00000003/sig000009e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000217 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig00001099 ), + .Q(\blk00000003/sig000009e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000216 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig00001098 ), + .Q(\blk00000003/sig000009e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000215 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig00001097 ), + .Q(\blk00000003/sig000009e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000214 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig00001096 ), + .Q(\blk00000003/sig000009e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000213 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig00001095 ), + .Q(\blk00000003/sig000009e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000212 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig00001094 ), + .Q(\blk00000003/sig000009e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000211 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig00001093 ), + .Q(\blk00000003/sig000009e7 ) + ); + GND \blk00000003/blk0000020f/blk00000210 ( + .G(\blk00000003/blk0000020f/sig00001092 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000232/blk00000254 ( + .I0(\blk00000003/sig000009f7 ), + .I1(ce), + .O(\blk00000003/blk00000232/sig000010d9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000253 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a47 ), + .Q(\blk00000003/blk00000232/sig000010d8 ), + .Q15(\NLW_blk00000003/blk00000232/blk00000253_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000252 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a48 ), + .Q(\blk00000003/blk00000232/sig000010d7 ), + .Q15(\NLW_blk00000003/blk00000232/blk00000252_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000251 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a49 ), + .Q(\blk00000003/blk00000232/sig000010d6 ), + .Q15(\NLW_blk00000003/blk00000232/blk00000251_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000250 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a4a ), + .Q(\blk00000003/blk00000232/sig000010d5 ), + .Q15(\NLW_blk00000003/blk00000232/blk00000250_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk0000024f ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a4b ), + .Q(\blk00000003/blk00000232/sig000010d4 ), + .Q15(\NLW_blk00000003/blk00000232/blk0000024f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk0000024e ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a4c ), + .Q(\blk00000003/blk00000232/sig000010d3 ), + .Q15(\NLW_blk00000003/blk00000232/blk0000024e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk0000024d ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a4d ), + .Q(\blk00000003/blk00000232/sig000010d2 ), + .Q15(\NLW_blk00000003/blk00000232/blk0000024d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk0000024c ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a4e ), + .Q(\blk00000003/blk00000232/sig000010d1 ), + .Q15(\NLW_blk00000003/blk00000232/blk0000024c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk0000024b ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a4f ), + .Q(\blk00000003/blk00000232/sig000010d0 ), + .Q15(\NLW_blk00000003/blk00000232/blk0000024b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk0000024a ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a50 ), + .Q(\blk00000003/blk00000232/sig000010cf ), + .Q15(\NLW_blk00000003/blk00000232/blk0000024a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000249 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a51 ), + .Q(\blk00000003/blk00000232/sig000010ce ), + .Q15(\NLW_blk00000003/blk00000232/blk00000249_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000248 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a52 ), + .Q(\blk00000003/blk00000232/sig000010cd ), + .Q15(\NLW_blk00000003/blk00000232/blk00000248_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000247 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a53 ), + .Q(\blk00000003/blk00000232/sig000010cc ), + .Q15(\NLW_blk00000003/blk00000232/blk00000247_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000246 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a54 ), + .Q(\blk00000003/blk00000232/sig000010cb ), + .Q15(\NLW_blk00000003/blk00000232/blk00000246_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000245 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a55 ), + .Q(\blk00000003/blk00000232/sig000010ca ), + .Q15(\NLW_blk00000003/blk00000232/blk00000245_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000244 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a56 ), + .Q(\blk00000003/blk00000232/sig000010c9 ), + .Q15(\NLW_blk00000003/blk00000232/blk00000244_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000243 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010d8 ), + .Q(\blk00000003/sig000009c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000242 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010d7 ), + .Q(\blk00000003/sig000009c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000241 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010d6 ), + .Q(\blk00000003/sig000009ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000240 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010d5 ), + .Q(\blk00000003/sig000009cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk0000023f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010d4 ), + .Q(\blk00000003/sig000009cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk0000023e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010d3 ), + .Q(\blk00000003/sig000009cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk0000023d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010d2 ), + .Q(\blk00000003/sig000009ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk0000023c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010d1 ), + .Q(\blk00000003/sig000009cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk0000023b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010d0 ), + .Q(\blk00000003/sig000009d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk0000023a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010cf ), + .Q(\blk00000003/sig000009d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000239 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010ce ), + .Q(\blk00000003/sig000009d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000238 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010cd ), + .Q(\blk00000003/sig000009d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000237 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010cc ), + .Q(\blk00000003/sig000009d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000236 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010cb ), + .Q(\blk00000003/sig000009d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000235 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010ca ), + .Q(\blk00000003/sig000009d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000234 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010c9 ), + .Q(\blk00000003/sig000009d7 ) + ); + GND \blk00000003/blk00000232/blk00000233 ( + .G(\blk00000003/blk00000232/sig000010c8 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000255/blk00000277 ( + .I0(\blk00000003/sig00000a06 ), + .I1(ce), + .O(\blk00000003/blk00000255/sig0000110f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000276 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000828 ), + .Q(\blk00000003/blk00000255/sig0000110e ), + .Q15(\NLW_blk00000003/blk00000255/blk00000276_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000275 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000829 ), + .Q(\blk00000003/blk00000255/sig0000110d ), + .Q15(\NLW_blk00000003/blk00000255/blk00000275_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000274 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig0000082a ), + .Q(\blk00000003/blk00000255/sig0000110c ), + .Q15(\NLW_blk00000003/blk00000255/blk00000274_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000273 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig0000082b ), + .Q(\blk00000003/blk00000255/sig0000110b ), + .Q15(\NLW_blk00000003/blk00000255/blk00000273_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000272 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig0000082c ), + .Q(\blk00000003/blk00000255/sig0000110a ), + .Q15(\NLW_blk00000003/blk00000255/blk00000272_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000271 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig0000082d ), + .Q(\blk00000003/blk00000255/sig00001109 ), + .Q15(\NLW_blk00000003/blk00000255/blk00000271_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000270 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig0000082e ), + .Q(\blk00000003/blk00000255/sig00001108 ), + .Q15(\NLW_blk00000003/blk00000255/blk00000270_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk0000026f ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig0000082f ), + .Q(\blk00000003/blk00000255/sig00001107 ), + .Q15(\NLW_blk00000003/blk00000255/blk0000026f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk0000026e ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000830 ), + .Q(\blk00000003/blk00000255/sig00001106 ), + .Q15(\NLW_blk00000003/blk00000255/blk0000026e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk0000026d ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000831 ), + .Q(\blk00000003/blk00000255/sig00001105 ), + .Q15(\NLW_blk00000003/blk00000255/blk0000026d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk0000026c ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000832 ), + .Q(\blk00000003/blk00000255/sig00001104 ), + .Q15(\NLW_blk00000003/blk00000255/blk0000026c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk0000026b ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000833 ), + .Q(\blk00000003/blk00000255/sig00001103 ), + .Q15(\NLW_blk00000003/blk00000255/blk0000026b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk0000026a ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000834 ), + .Q(\blk00000003/blk00000255/sig00001102 ), + .Q15(\NLW_blk00000003/blk00000255/blk0000026a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000269 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000835 ), + .Q(\blk00000003/blk00000255/sig00001101 ), + .Q15(\NLW_blk00000003/blk00000255/blk00000269_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000268 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000836 ), + .Q(\blk00000003/blk00000255/sig00001100 ), + .Q15(\NLW_blk00000003/blk00000255/blk00000268_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000267 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000837 ), + .Q(\blk00000003/blk00000255/sig000010ff ), + .Q15(\NLW_blk00000003/blk00000255/blk00000267_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000266 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig0000110e ), + .Q(\blk00000003/sig00000878 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000265 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig0000110d ), + .Q(\blk00000003/sig00000879 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000264 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig0000110c ), + .Q(\blk00000003/sig0000087a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000263 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig0000110b ), + .Q(\blk00000003/sig0000087b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000262 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig0000110a ), + .Q(\blk00000003/sig0000087c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000261 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001109 ), + .Q(\blk00000003/sig0000087d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000260 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001108 ), + .Q(\blk00000003/sig0000087e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk0000025f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001107 ), + .Q(\blk00000003/sig0000087f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk0000025e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001106 ), + .Q(\blk00000003/sig00000880 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk0000025d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001105 ), + .Q(\blk00000003/sig00000881 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk0000025c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001104 ), + .Q(\blk00000003/sig00000882 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk0000025b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001103 ), + .Q(\blk00000003/sig00000883 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk0000025a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001102 ), + .Q(\blk00000003/sig00000884 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000259 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001101 ), + .Q(\blk00000003/sig00000885 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000258 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001100 ), + .Q(\blk00000003/sig00000886 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000257 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig000010ff ), + .Q(\blk00000003/sig00000887 ) + ); + GND \blk00000003/blk00000255/blk00000256 ( + .G(\blk00000003/blk00000255/sig000010fe ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000278/blk0000029a ( + .I0(\blk00000003/sig00000a03 ), + .I1(ce), + .O(\blk00000003/blk00000278/sig00001145 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000299 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a57 ), + .Q(\blk00000003/blk00000278/sig00001144 ), + .Q15(\NLW_blk00000003/blk00000278/blk00000299_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000298 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a58 ), + .Q(\blk00000003/blk00000278/sig00001143 ), + .Q15(\NLW_blk00000003/blk00000278/blk00000298_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000297 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a59 ), + .Q(\blk00000003/blk00000278/sig00001142 ), + .Q15(\NLW_blk00000003/blk00000278/blk00000297_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000296 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a5a ), + .Q(\blk00000003/blk00000278/sig00001141 ), + .Q15(\NLW_blk00000003/blk00000278/blk00000296_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000295 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a5b ), + .Q(\blk00000003/blk00000278/sig00001140 ), + .Q15(\NLW_blk00000003/blk00000278/blk00000295_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000294 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a5c ), + .Q(\blk00000003/blk00000278/sig0000113f ), + .Q15(\NLW_blk00000003/blk00000278/blk00000294_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000293 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a5d ), + .Q(\blk00000003/blk00000278/sig0000113e ), + .Q15(\NLW_blk00000003/blk00000278/blk00000293_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000292 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a5e ), + .Q(\blk00000003/blk00000278/sig0000113d ), + .Q15(\NLW_blk00000003/blk00000278/blk00000292_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000291 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a5f ), + .Q(\blk00000003/blk00000278/sig0000113c ), + .Q15(\NLW_blk00000003/blk00000278/blk00000291_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000290 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a60 ), + .Q(\blk00000003/blk00000278/sig0000113b ), + .Q15(\NLW_blk00000003/blk00000278/blk00000290_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk0000028f ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a61 ), + .Q(\blk00000003/blk00000278/sig0000113a ), + .Q15(\NLW_blk00000003/blk00000278/blk0000028f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk0000028e ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a62 ), + .Q(\blk00000003/blk00000278/sig00001139 ), + .Q15(\NLW_blk00000003/blk00000278/blk0000028e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk0000028d ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a63 ), + .Q(\blk00000003/blk00000278/sig00001138 ), + .Q15(\NLW_blk00000003/blk00000278/blk0000028d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk0000028c ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a64 ), + .Q(\blk00000003/blk00000278/sig00001137 ), + .Q15(\NLW_blk00000003/blk00000278/blk0000028c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk0000028b ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a65 ), + .Q(\blk00000003/blk00000278/sig00001136 ), + .Q15(\NLW_blk00000003/blk00000278/blk0000028b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk0000028a ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a66 ), + .Q(\blk00000003/blk00000278/sig00001135 ), + .Q15(\NLW_blk00000003/blk00000278/blk0000028a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000289 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001144 ), + .Q(\blk00000003/sig00000868 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000288 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001143 ), + .Q(\blk00000003/sig00000869 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000287 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001142 ), + .Q(\blk00000003/sig0000086a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000286 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001141 ), + .Q(\blk00000003/sig0000086b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000285 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001140 ), + .Q(\blk00000003/sig0000086c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000284 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig0000113f ), + .Q(\blk00000003/sig0000086d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000283 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig0000113e ), + .Q(\blk00000003/sig0000086e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000282 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig0000113d ), + .Q(\blk00000003/sig0000086f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000281 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig0000113c ), + .Q(\blk00000003/sig00000870 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000280 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig0000113b ), + .Q(\blk00000003/sig00000871 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk0000027f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig0000113a ), + .Q(\blk00000003/sig00000872 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk0000027e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001139 ), + .Q(\blk00000003/sig00000873 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk0000027d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001138 ), + .Q(\blk00000003/sig00000874 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk0000027c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001137 ), + .Q(\blk00000003/sig00000875 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk0000027b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001136 ), + .Q(\blk00000003/sig00000876 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk0000027a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001135 ), + .Q(\blk00000003/sig00000877 ) + ); + GND \blk00000003/blk00000278/blk00000279 ( + .G(\blk00000003/blk00000278/sig00001134 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000029b/blk000002bd ( + .I0(\blk00000003/sig00000a06 ), + .I1(ce), + .O(\blk00000003/blk0000029b/sig0000117b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002bc ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000938 ), + .Q(\blk00000003/blk0000029b/sig0000117a ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002bc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002bb ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000939 ), + .Q(\blk00000003/blk0000029b/sig00001179 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002bb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002ba ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig0000093a ), + .Q(\blk00000003/blk0000029b/sig00001178 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002ba_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b9 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig0000093b ), + .Q(\blk00000003/blk0000029b/sig00001177 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b8 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig0000093c ), + .Q(\blk00000003/blk0000029b/sig00001176 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b7 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig0000093d ), + .Q(\blk00000003/blk0000029b/sig00001175 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b6 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig0000093e ), + .Q(\blk00000003/blk0000029b/sig00001174 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b5 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig0000093f ), + .Q(\blk00000003/blk0000029b/sig00001173 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b4 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000940 ), + .Q(\blk00000003/blk0000029b/sig00001172 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b3 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000941 ), + .Q(\blk00000003/blk0000029b/sig00001171 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b2 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000942 ), + .Q(\blk00000003/blk0000029b/sig00001170 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b1 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000943 ), + .Q(\blk00000003/blk0000029b/sig0000116f ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b0 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000944 ), + .Q(\blk00000003/blk0000029b/sig0000116e ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002af ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000945 ), + .Q(\blk00000003/blk0000029b/sig0000116d ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002af_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002ae ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000946 ), + .Q(\blk00000003/blk0000029b/sig0000116c ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002ae_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002ad ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000947 ), + .Q(\blk00000003/blk0000029b/sig0000116b ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002ad_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig0000117a ), + .Q(\blk00000003/sig00000988 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001179 ), + .Q(\blk00000003/sig00000989 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001178 ), + .Q(\blk00000003/sig0000098a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001177 ), + .Q(\blk00000003/sig0000098b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001176 ), + .Q(\blk00000003/sig0000098c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001175 ), + .Q(\blk00000003/sig0000098d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001174 ), + .Q(\blk00000003/sig0000098e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001173 ), + .Q(\blk00000003/sig0000098f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001172 ), + .Q(\blk00000003/sig00000990 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001171 ), + .Q(\blk00000003/sig00000991 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001170 ), + .Q(\blk00000003/sig00000992 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig0000116f ), + .Q(\blk00000003/sig00000993 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig0000116e ), + .Q(\blk00000003/sig00000994 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk0000029f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig0000116d ), + .Q(\blk00000003/sig00000995 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk0000029e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig0000116c ), + .Q(\blk00000003/sig00000996 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk0000029d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig0000116b ), + .Q(\blk00000003/sig00000997 ) + ); + GND \blk00000003/blk0000029b/blk0000029c ( + .G(\blk00000003/blk0000029b/sig0000116a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000002be/blk000002e0 ( + .I0(\blk00000003/sig00000a03 ), + .I1(ce), + .O(\blk00000003/blk000002be/sig000011b1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002df ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a67 ), + .Q(\blk00000003/blk000002be/sig000011b0 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002df_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002de ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a68 ), + .Q(\blk00000003/blk000002be/sig000011af ), + .Q15(\NLW_blk00000003/blk000002be/blk000002de_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002dd ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a69 ), + .Q(\blk00000003/blk000002be/sig000011ae ), + .Q15(\NLW_blk00000003/blk000002be/blk000002dd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002dc ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a6a ), + .Q(\blk00000003/blk000002be/sig000011ad ), + .Q15(\NLW_blk00000003/blk000002be/blk000002dc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002db ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a6b ), + .Q(\blk00000003/blk000002be/sig000011ac ), + .Q15(\NLW_blk00000003/blk000002be/blk000002db_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002da ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a6c ), + .Q(\blk00000003/blk000002be/sig000011ab ), + .Q15(\NLW_blk00000003/blk000002be/blk000002da_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d9 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a6d ), + .Q(\blk00000003/blk000002be/sig000011aa ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d8 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a6e ), + .Q(\blk00000003/blk000002be/sig000011a9 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d7 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a6f ), + .Q(\blk00000003/blk000002be/sig000011a8 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d6 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a70 ), + .Q(\blk00000003/blk000002be/sig000011a7 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d5 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a71 ), + .Q(\blk00000003/blk000002be/sig000011a6 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d4 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a72 ), + .Q(\blk00000003/blk000002be/sig000011a5 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d3 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a73 ), + .Q(\blk00000003/blk000002be/sig000011a4 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d2 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a74 ), + .Q(\blk00000003/blk000002be/sig000011a3 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d1 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a75 ), + .Q(\blk00000003/blk000002be/sig000011a2 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d0 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a76 ), + .Q(\blk00000003/blk000002be/sig000011a1 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011b0 ), + .Q(\blk00000003/sig00000978 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011af ), + .Q(\blk00000003/sig00000979 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011ae ), + .Q(\blk00000003/sig0000097a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011ad ), + .Q(\blk00000003/sig0000097b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011ac ), + .Q(\blk00000003/sig0000097c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011ab ), + .Q(\blk00000003/sig0000097d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011aa ), + .Q(\blk00000003/sig0000097e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011a9 ), + .Q(\blk00000003/sig0000097f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011a8 ), + .Q(\blk00000003/sig00000980 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011a7 ), + .Q(\blk00000003/sig00000981 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011a6 ), + .Q(\blk00000003/sig00000982 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011a5 ), + .Q(\blk00000003/sig00000983 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011a4 ), + .Q(\blk00000003/sig00000984 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011a3 ), + .Q(\blk00000003/sig00000985 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011a2 ), + .Q(\blk00000003/sig00000986 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011a1 ), + .Q(\blk00000003/sig00000987 ) + ); + GND \blk00000003/blk000002be/blk000002bf ( + .G(\blk00000003/blk000002be/sig000011a0 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000002e1/blk00000303 ( + .I0(\blk00000003/sig00000a09 ), + .I1(ce), + .O(\blk00000003/blk000002e1/sig000011e7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk00000302 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007d8 ), + .Q(\blk00000003/blk000002e1/sig000011e6 ), + .Q15(\NLW_blk00000003/blk000002e1/blk00000302_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk00000301 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007d9 ), + .Q(\blk00000003/blk000002e1/sig000011e5 ), + .Q15(\NLW_blk00000003/blk000002e1/blk00000301_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk00000300 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007da ), + .Q(\blk00000003/blk000002e1/sig000011e4 ), + .Q15(\NLW_blk00000003/blk000002e1/blk00000300_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002ff ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007db ), + .Q(\blk00000003/blk000002e1/sig000011e3 ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002ff_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002fe ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007dc ), + .Q(\blk00000003/blk000002e1/sig000011e2 ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002fe_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002fd ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007dd ), + .Q(\blk00000003/blk000002e1/sig000011e1 ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002fd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002fc ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007de ), + .Q(\blk00000003/blk000002e1/sig000011e0 ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002fc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002fb ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007df ), + .Q(\blk00000003/blk000002e1/sig000011df ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002fb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002fa ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007e0 ), + .Q(\blk00000003/blk000002e1/sig000011de ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002fa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002f9 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007e1 ), + .Q(\blk00000003/blk000002e1/sig000011dd ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002f9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002f8 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007e2 ), + .Q(\blk00000003/blk000002e1/sig000011dc ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002f8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002f7 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007e3 ), + .Q(\blk00000003/blk000002e1/sig000011db ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002f7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002f6 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007e4 ), + .Q(\blk00000003/blk000002e1/sig000011da ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002f6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002f5 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007e5 ), + .Q(\blk00000003/blk000002e1/sig000011d9 ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002f5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002f4 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007e6 ), + .Q(\blk00000003/blk000002e1/sig000011d8 ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002f4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002f3 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007e7 ), + .Q(\blk00000003/blk000002e1/sig000011d7 ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002f3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011e6 ), + .Q(\blk00000003/sig00000828 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011e5 ), + .Q(\blk00000003/sig00000829 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011e4 ), + .Q(\blk00000003/sig0000082a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011e3 ), + .Q(\blk00000003/sig0000082b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011e2 ), + .Q(\blk00000003/sig0000082c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011e1 ), + .Q(\blk00000003/sig0000082d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011e0 ), + .Q(\blk00000003/sig0000082e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011df ), + .Q(\blk00000003/sig0000082f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011de ), + .Q(\blk00000003/sig00000830 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011dd ), + .Q(\blk00000003/sig00000831 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011dc ), + .Q(\blk00000003/sig00000832 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011db ), + .Q(\blk00000003/sig00000833 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011da ), + .Q(\blk00000003/sig00000834 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011d9 ), + .Q(\blk00000003/sig00000835 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011d8 ), + .Q(\blk00000003/sig00000836 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011d7 ), + .Q(\blk00000003/sig00000837 ) + ); + GND \blk00000003/blk000002e1/blk000002e2 ( + .G(\blk00000003/blk000002e1/sig000011d6 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000304/blk00000326 ( + .I0(\blk00000003/sig00000a0a ), + .I1(ce), + .O(\blk00000003/blk00000304/sig0000121d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000325 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a77 ), + .Q(\blk00000003/blk00000304/sig0000121c ), + .Q15(\NLW_blk00000003/blk00000304/blk00000325_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000324 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a78 ), + .Q(\blk00000003/blk00000304/sig0000121b ), + .Q15(\NLW_blk00000003/blk00000304/blk00000324_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000323 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a79 ), + .Q(\blk00000003/blk00000304/sig0000121a ), + .Q15(\NLW_blk00000003/blk00000304/blk00000323_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000322 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a7a ), + .Q(\blk00000003/blk00000304/sig00001219 ), + .Q15(\NLW_blk00000003/blk00000304/blk00000322_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000321 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a7b ), + .Q(\blk00000003/blk00000304/sig00001218 ), + .Q15(\NLW_blk00000003/blk00000304/blk00000321_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000320 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a7c ), + .Q(\blk00000003/blk00000304/sig00001217 ), + .Q15(\NLW_blk00000003/blk00000304/blk00000320_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk0000031f ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a7d ), + .Q(\blk00000003/blk00000304/sig00001216 ), + .Q15(\NLW_blk00000003/blk00000304/blk0000031f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk0000031e ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a7e ), + .Q(\blk00000003/blk00000304/sig00001215 ), + .Q15(\NLW_blk00000003/blk00000304/blk0000031e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk0000031d ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a7f ), + .Q(\blk00000003/blk00000304/sig00001214 ), + .Q15(\NLW_blk00000003/blk00000304/blk0000031d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk0000031c ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a80 ), + .Q(\blk00000003/blk00000304/sig00001213 ), + .Q15(\NLW_blk00000003/blk00000304/blk0000031c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk0000031b ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a81 ), + .Q(\blk00000003/blk00000304/sig00001212 ), + .Q15(\NLW_blk00000003/blk00000304/blk0000031b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk0000031a ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a82 ), + .Q(\blk00000003/blk00000304/sig00001211 ), + .Q15(\NLW_blk00000003/blk00000304/blk0000031a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000319 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a83 ), + .Q(\blk00000003/blk00000304/sig00001210 ), + .Q15(\NLW_blk00000003/blk00000304/blk00000319_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000318 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a84 ), + .Q(\blk00000003/blk00000304/sig0000120f ), + .Q15(\NLW_blk00000003/blk00000304/blk00000318_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000317 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a85 ), + .Q(\blk00000003/blk00000304/sig0000120e ), + .Q15(\NLW_blk00000003/blk00000304/blk00000317_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000316 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a86 ), + .Q(\blk00000003/blk00000304/sig0000120d ), + .Q15(\NLW_blk00000003/blk00000304/blk00000316_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000315 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig0000121c ), + .Q(\blk00000003/sig00000818 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000314 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig0000121b ), + .Q(\blk00000003/sig00000819 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000313 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig0000121a ), + .Q(\blk00000003/sig0000081a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000312 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001219 ), + .Q(\blk00000003/sig0000081b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000311 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001218 ), + .Q(\blk00000003/sig0000081c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000310 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001217 ), + .Q(\blk00000003/sig0000081d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk0000030f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001216 ), + .Q(\blk00000003/sig0000081e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk0000030e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001215 ), + .Q(\blk00000003/sig0000081f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk0000030d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001214 ), + .Q(\blk00000003/sig00000820 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk0000030c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001213 ), + .Q(\blk00000003/sig00000821 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk0000030b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001212 ), + .Q(\blk00000003/sig00000822 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk0000030a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001211 ), + .Q(\blk00000003/sig00000823 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000309 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001210 ), + .Q(\blk00000003/sig00000824 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000308 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig0000120f ), + .Q(\blk00000003/sig00000825 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000307 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig0000120e ), + .Q(\blk00000003/sig00000826 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000306 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig0000120d ), + .Q(\blk00000003/sig00000827 ) + ); + GND \blk00000003/blk00000304/blk00000305 ( + .G(\blk00000003/blk00000304/sig0000120c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000327/blk00000349 ( + .I0(\blk00000003/sig00000a09 ), + .I1(ce), + .O(\blk00000003/blk00000327/sig00001253 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000348 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008e8 ), + .Q(\blk00000003/blk00000327/sig00001252 ), + .Q15(\NLW_blk00000003/blk00000327/blk00000348_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000347 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008e9 ), + .Q(\blk00000003/blk00000327/sig00001251 ), + .Q15(\NLW_blk00000003/blk00000327/blk00000347_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000346 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008ea ), + .Q(\blk00000003/blk00000327/sig00001250 ), + .Q15(\NLW_blk00000003/blk00000327/blk00000346_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000345 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008eb ), + .Q(\blk00000003/blk00000327/sig0000124f ), + .Q15(\NLW_blk00000003/blk00000327/blk00000345_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000344 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008ec ), + .Q(\blk00000003/blk00000327/sig0000124e ), + .Q15(\NLW_blk00000003/blk00000327/blk00000344_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000343 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008ed ), + .Q(\blk00000003/blk00000327/sig0000124d ), + .Q15(\NLW_blk00000003/blk00000327/blk00000343_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000342 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008ee ), + .Q(\blk00000003/blk00000327/sig0000124c ), + .Q15(\NLW_blk00000003/blk00000327/blk00000342_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000341 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008ef ), + .Q(\blk00000003/blk00000327/sig0000124b ), + .Q15(\NLW_blk00000003/blk00000327/blk00000341_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000340 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008f0 ), + .Q(\blk00000003/blk00000327/sig0000124a ), + .Q15(\NLW_blk00000003/blk00000327/blk00000340_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk0000033f ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008f1 ), + .Q(\blk00000003/blk00000327/sig00001249 ), + .Q15(\NLW_blk00000003/blk00000327/blk0000033f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk0000033e ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008f2 ), + .Q(\blk00000003/blk00000327/sig00001248 ), + .Q15(\NLW_blk00000003/blk00000327/blk0000033e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk0000033d ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008f3 ), + .Q(\blk00000003/blk00000327/sig00001247 ), + .Q15(\NLW_blk00000003/blk00000327/blk0000033d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk0000033c ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008f4 ), + .Q(\blk00000003/blk00000327/sig00001246 ), + .Q15(\NLW_blk00000003/blk00000327/blk0000033c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk0000033b ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008f5 ), + .Q(\blk00000003/blk00000327/sig00001245 ), + .Q15(\NLW_blk00000003/blk00000327/blk0000033b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk0000033a ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008f6 ), + .Q(\blk00000003/blk00000327/sig00001244 ), + .Q15(\NLW_blk00000003/blk00000327/blk0000033a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000339 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008f7 ), + .Q(\blk00000003/blk00000327/sig00001243 ), + .Q15(\NLW_blk00000003/blk00000327/blk00000339_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000338 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001252 ), + .Q(\blk00000003/sig00000938 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000337 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001251 ), + .Q(\blk00000003/sig00000939 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000336 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001250 ), + .Q(\blk00000003/sig0000093a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000335 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig0000124f ), + .Q(\blk00000003/sig0000093b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000334 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig0000124e ), + .Q(\blk00000003/sig0000093c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000333 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig0000124d ), + .Q(\blk00000003/sig0000093d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000332 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig0000124c ), + .Q(\blk00000003/sig0000093e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000331 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig0000124b ), + .Q(\blk00000003/sig0000093f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000330 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig0000124a ), + .Q(\blk00000003/sig00000940 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk0000032f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001249 ), + .Q(\blk00000003/sig00000941 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk0000032e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001248 ), + .Q(\blk00000003/sig00000942 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk0000032d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001247 ), + .Q(\blk00000003/sig00000943 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk0000032c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001246 ), + .Q(\blk00000003/sig00000944 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk0000032b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001245 ), + .Q(\blk00000003/sig00000945 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk0000032a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001244 ), + .Q(\blk00000003/sig00000946 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000329 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001243 ), + .Q(\blk00000003/sig00000947 ) + ); + GND \blk00000003/blk00000327/blk00000328 ( + .G(\blk00000003/blk00000327/sig00001242 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000034a/blk0000036c ( + .I0(\blk00000003/sig00000a0a ), + .I1(ce), + .O(\blk00000003/blk0000034a/sig00001289 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk0000036b ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a87 ), + .Q(\blk00000003/blk0000034a/sig00001288 ), + .Q15(\NLW_blk00000003/blk0000034a/blk0000036b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk0000036a ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a88 ), + .Q(\blk00000003/blk0000034a/sig00001287 ), + .Q15(\NLW_blk00000003/blk0000034a/blk0000036a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000369 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a89 ), + .Q(\blk00000003/blk0000034a/sig00001286 ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000369_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000368 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a8a ), + .Q(\blk00000003/blk0000034a/sig00001285 ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000368_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000367 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a8b ), + .Q(\blk00000003/blk0000034a/sig00001284 ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000367_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000366 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a8c ), + .Q(\blk00000003/blk0000034a/sig00001283 ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000366_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000365 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a8d ), + .Q(\blk00000003/blk0000034a/sig00001282 ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000365_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000364 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a8e ), + .Q(\blk00000003/blk0000034a/sig00001281 ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000364_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000363 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a8f ), + .Q(\blk00000003/blk0000034a/sig00001280 ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000363_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000362 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a90 ), + .Q(\blk00000003/blk0000034a/sig0000127f ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000362_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000361 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a91 ), + .Q(\blk00000003/blk0000034a/sig0000127e ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000361_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000360 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a92 ), + .Q(\blk00000003/blk0000034a/sig0000127d ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000360_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk0000035f ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a93 ), + .Q(\blk00000003/blk0000034a/sig0000127c ), + .Q15(\NLW_blk00000003/blk0000034a/blk0000035f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk0000035e ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a94 ), + .Q(\blk00000003/blk0000034a/sig0000127b ), + .Q15(\NLW_blk00000003/blk0000034a/blk0000035e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk0000035d ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a95 ), + .Q(\blk00000003/blk0000034a/sig0000127a ), + .Q15(\NLW_blk00000003/blk0000034a/blk0000035d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk0000035c ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a96 ), + .Q(\blk00000003/blk0000034a/sig00001279 ), + .Q15(\NLW_blk00000003/blk0000034a/blk0000035c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk0000035b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001288 ), + .Q(\blk00000003/sig00000928 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk0000035a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001287 ), + .Q(\blk00000003/sig00000929 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000359 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001286 ), + .Q(\blk00000003/sig0000092a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000358 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001285 ), + .Q(\blk00000003/sig0000092b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000357 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001284 ), + .Q(\blk00000003/sig0000092c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000356 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001283 ), + .Q(\blk00000003/sig0000092d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000355 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001282 ), + .Q(\blk00000003/sig0000092e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000354 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001281 ), + .Q(\blk00000003/sig0000092f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000353 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001280 ), + .Q(\blk00000003/sig00000930 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000352 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig0000127f ), + .Q(\blk00000003/sig00000931 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000351 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig0000127e ), + .Q(\blk00000003/sig00000932 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000350 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig0000127d ), + .Q(\blk00000003/sig00000933 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk0000034f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig0000127c ), + .Q(\blk00000003/sig00000934 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk0000034e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig0000127b ), + .Q(\blk00000003/sig00000935 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk0000034d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig0000127a ), + .Q(\blk00000003/sig00000936 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk0000034c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001279 ), + .Q(\blk00000003/sig00000937 ) + ); + GND \blk00000003/blk0000034a/blk0000034b ( + .G(\blk00000003/blk0000034a/sig00001278 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000036d/blk0000038f ( + .I0(\blk00000003/sig00000a10 ), + .I1(ce), + .O(\blk00000003/blk0000036d/sig000012bf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk0000038e ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig0000041e ), + .Q(\blk00000003/blk0000036d/sig000012be ), + .Q15(\NLW_blk00000003/blk0000036d/blk0000038e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk0000038d ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig0000041f ), + .Q(\blk00000003/blk0000036d/sig000012bd ), + .Q15(\NLW_blk00000003/blk0000036d/blk0000038d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk0000038c ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000420 ), + .Q(\blk00000003/blk0000036d/sig000012bc ), + .Q15(\NLW_blk00000003/blk0000036d/blk0000038c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk0000038b ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000421 ), + .Q(\blk00000003/blk0000036d/sig000012bb ), + .Q15(\NLW_blk00000003/blk0000036d/blk0000038b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk0000038a ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000422 ), + .Q(\blk00000003/blk0000036d/sig000012ba ), + .Q15(\NLW_blk00000003/blk0000036d/blk0000038a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000389 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000423 ), + .Q(\blk00000003/blk0000036d/sig000012b9 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000389_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000388 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000424 ), + .Q(\blk00000003/blk0000036d/sig000012b8 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000388_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000387 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000425 ), + .Q(\blk00000003/blk0000036d/sig000012b7 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000387_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000386 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000426 ), + .Q(\blk00000003/blk0000036d/sig000012b6 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000386_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000385 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000427 ), + .Q(\blk00000003/blk0000036d/sig000012b5 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000385_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000384 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000428 ), + .Q(\blk00000003/blk0000036d/sig000012b4 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000384_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000383 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000429 ), + .Q(\blk00000003/blk0000036d/sig000012b3 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000383_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000382 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig0000042a ), + .Q(\blk00000003/blk0000036d/sig000012b2 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000382_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000381 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig0000042b ), + .Q(\blk00000003/blk0000036d/sig000012b1 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000381_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000380 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig0000042c ), + .Q(\blk00000003/blk0000036d/sig000012b0 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000380_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk0000037f ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig0000042d ), + .Q(\blk00000003/blk0000036d/sig000012af ), + .Q15(\NLW_blk00000003/blk0000036d/blk0000037f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk0000037e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012be ), + .Q(\blk00000003/sig000007d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk0000037d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012bd ), + .Q(\blk00000003/sig000007d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk0000037c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012bc ), + .Q(\blk00000003/sig000007da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk0000037b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012bb ), + .Q(\blk00000003/sig000007db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk0000037a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012ba ), + .Q(\blk00000003/sig000007dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000379 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b9 ), + .Q(\blk00000003/sig000007dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000378 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b8 ), + .Q(\blk00000003/sig000007de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000377 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b7 ), + .Q(\blk00000003/sig000007df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000376 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b6 ), + .Q(\blk00000003/sig000007e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000375 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b5 ), + .Q(\blk00000003/sig000007e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000374 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b4 ), + .Q(\blk00000003/sig000007e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000373 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b3 ), + .Q(\blk00000003/sig000007e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000372 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b2 ), + .Q(\blk00000003/sig000007e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000371 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b1 ), + .Q(\blk00000003/sig000007e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000370 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b0 ), + .Q(\blk00000003/sig000007e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk0000036f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012af ), + .Q(\blk00000003/sig000007e7 ) + ); + GND \blk00000003/blk0000036d/blk0000036e ( + .G(\blk00000003/blk0000036d/sig000012ae ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000390/blk000003b2 ( + .I0(\blk00000003/sig00000a0f ), + .I1(ce), + .O(\blk00000003/blk00000390/sig000012f5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003b1 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000a97 ), + .Q(\blk00000003/blk00000390/sig000012f4 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003b1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003b0 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000a98 ), + .Q(\blk00000003/blk00000390/sig000012f3 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003b0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003af ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000a99 ), + .Q(\blk00000003/blk00000390/sig000012f2 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003af_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003ae ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000a9a ), + .Q(\blk00000003/blk00000390/sig000012f1 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003ae_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003ad ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000a9b ), + .Q(\blk00000003/blk00000390/sig000012f0 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003ad_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003ac ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000a9c ), + .Q(\blk00000003/blk00000390/sig000012ef ), + .Q15(\NLW_blk00000003/blk00000390/blk000003ac_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003ab ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000a9d ), + .Q(\blk00000003/blk00000390/sig000012ee ), + .Q15(\NLW_blk00000003/blk00000390/blk000003ab_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003aa ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000a9e ), + .Q(\blk00000003/blk00000390/sig000012ed ), + .Q15(\NLW_blk00000003/blk00000390/blk000003aa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003a9 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000a9f ), + .Q(\blk00000003/blk00000390/sig000012ec ), + .Q15(\NLW_blk00000003/blk00000390/blk000003a9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003a8 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000aa0 ), + .Q(\blk00000003/blk00000390/sig000012eb ), + .Q15(\NLW_blk00000003/blk00000390/blk000003a8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003a7 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000aa1 ), + .Q(\blk00000003/blk00000390/sig000012ea ), + .Q15(\NLW_blk00000003/blk00000390/blk000003a7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003a6 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000aa2 ), + .Q(\blk00000003/blk00000390/sig000012e9 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003a6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003a5 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000aa3 ), + .Q(\blk00000003/blk00000390/sig000012e8 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003a5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003a4 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000aa4 ), + .Q(\blk00000003/blk00000390/sig000012e7 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003a4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003a3 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000aa5 ), + .Q(\blk00000003/blk00000390/sig000012e6 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003a3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003a2 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000aa6 ), + .Q(\blk00000003/blk00000390/sig000012e5 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003a2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk000003a1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012f4 ), + .Q(\blk00000003/sig000007c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk000003a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012f3 ), + .Q(\blk00000003/sig000007c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk0000039f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012f2 ), + .Q(\blk00000003/sig000007ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk0000039e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012f1 ), + .Q(\blk00000003/sig000007cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk0000039d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012f0 ), + .Q(\blk00000003/sig000007cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk0000039c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012ef ), + .Q(\blk00000003/sig000007cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk0000039b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012ee ), + .Q(\blk00000003/sig000007ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk0000039a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012ed ), + .Q(\blk00000003/sig000007cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk00000399 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012ec ), + .Q(\blk00000003/sig000007d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk00000398 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012eb ), + .Q(\blk00000003/sig000007d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk00000397 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012ea ), + .Q(\blk00000003/sig000007d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk00000396 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012e9 ), + .Q(\blk00000003/sig000007d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk00000395 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012e8 ), + .Q(\blk00000003/sig000007d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk00000394 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012e7 ), + .Q(\blk00000003/sig000007d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk00000393 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012e6 ), + .Q(\blk00000003/sig000007d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk00000392 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012e5 ), + .Q(\blk00000003/sig000007d7 ) + ); + GND \blk00000003/blk00000390/blk00000391 ( + .G(\blk00000003/blk00000390/sig000012e4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003b3/blk000003d5 ( + .I0(\blk00000003/sig00000a10 ), + .I1(ce), + .O(\blk00000003/blk000003b3/sig0000132b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003d4 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000046e ), + .Q(\blk00000003/blk000003b3/sig0000132a ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003d4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003d3 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000046f ), + .Q(\blk00000003/blk000003b3/sig00001329 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003d3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003d2 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000470 ), + .Q(\blk00000003/blk000003b3/sig00001328 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003d2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003d1 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000471 ), + .Q(\blk00000003/blk000003b3/sig00001327 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003d1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003d0 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000472 ), + .Q(\blk00000003/blk000003b3/sig00001326 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003d0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003cf ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000473 ), + .Q(\blk00000003/blk000003b3/sig00001325 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003cf_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003ce ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000474 ), + .Q(\blk00000003/blk000003b3/sig00001324 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003ce_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003cd ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000475 ), + .Q(\blk00000003/blk000003b3/sig00001323 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003cd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003cc ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000476 ), + .Q(\blk00000003/blk000003b3/sig00001322 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003cc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003cb ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000477 ), + .Q(\blk00000003/blk000003b3/sig00001321 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003cb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003ca ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000478 ), + .Q(\blk00000003/blk000003b3/sig00001320 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003ca_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003c9 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000479 ), + .Q(\blk00000003/blk000003b3/sig0000131f ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003c9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003c8 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000047a ), + .Q(\blk00000003/blk000003b3/sig0000131e ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003c8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003c7 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000047b ), + .Q(\blk00000003/blk000003b3/sig0000131d ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003c7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003c6 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000047c ), + .Q(\blk00000003/blk000003b3/sig0000131c ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003c6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003c5 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000047d ), + .Q(\blk00000003/blk000003b3/sig0000131b ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003c5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig0000132a ), + .Q(\blk00000003/sig000008e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001329 ), + .Q(\blk00000003/sig000008e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001328 ), + .Q(\blk00000003/sig000008ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001327 ), + .Q(\blk00000003/sig000008eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001326 ), + .Q(\blk00000003/sig000008ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001325 ), + .Q(\blk00000003/sig000008ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001324 ), + .Q(\blk00000003/sig000008ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001323 ), + .Q(\blk00000003/sig000008ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001322 ), + .Q(\blk00000003/sig000008f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001321 ), + .Q(\blk00000003/sig000008f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001320 ), + .Q(\blk00000003/sig000008f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig0000131f ), + .Q(\blk00000003/sig000008f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig0000131e ), + .Q(\blk00000003/sig000008f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig0000131d ), + .Q(\blk00000003/sig000008f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig0000131c ), + .Q(\blk00000003/sig000008f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig0000131b ), + .Q(\blk00000003/sig000008f7 ) + ); + GND \blk00000003/blk000003b3/blk000003b4 ( + .G(\blk00000003/blk000003b3/sig0000131a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003d6/blk000003f8 ( + .I0(\blk00000003/sig00000a0f ), + .I1(ce), + .O(\blk00000003/blk000003d6/sig00001361 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003f7 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000aa7 ), + .Q(\blk00000003/blk000003d6/sig00001360 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003f7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003f6 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000aa8 ), + .Q(\blk00000003/blk000003d6/sig0000135f ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003f6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003f5 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000aa9 ), + .Q(\blk00000003/blk000003d6/sig0000135e ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003f5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003f4 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000aaa ), + .Q(\blk00000003/blk000003d6/sig0000135d ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003f4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003f3 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000aab ), + .Q(\blk00000003/blk000003d6/sig0000135c ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003f3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003f2 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000aac ), + .Q(\blk00000003/blk000003d6/sig0000135b ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003f2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003f1 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000aad ), + .Q(\blk00000003/blk000003d6/sig0000135a ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003f1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003f0 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000aae ), + .Q(\blk00000003/blk000003d6/sig00001359 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003f0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003ef ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000aaf ), + .Q(\blk00000003/blk000003d6/sig00001358 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003ef_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003ee ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000ab0 ), + .Q(\blk00000003/blk000003d6/sig00001357 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003ee_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003ed ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000ab1 ), + .Q(\blk00000003/blk000003d6/sig00001356 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003ed_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003ec ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000ab2 ), + .Q(\blk00000003/blk000003d6/sig00001355 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003ec_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003eb ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000ab3 ), + .Q(\blk00000003/blk000003d6/sig00001354 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003eb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003ea ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000ab4 ), + .Q(\blk00000003/blk000003d6/sig00001353 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003ea_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003e9 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000ab5 ), + .Q(\blk00000003/blk000003d6/sig00001352 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003e9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003e8 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000ab6 ), + .Q(\blk00000003/blk000003d6/sig00001351 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003e8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001360 ), + .Q(\blk00000003/sig000008d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig0000135f ), + .Q(\blk00000003/sig000008d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig0000135e ), + .Q(\blk00000003/sig000008da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig0000135d ), + .Q(\blk00000003/sig000008db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig0000135c ), + .Q(\blk00000003/sig000008dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig0000135b ), + .Q(\blk00000003/sig000008dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig0000135a ), + .Q(\blk00000003/sig000008de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003e0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001359 ), + .Q(\blk00000003/sig000008df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003df ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001358 ), + .Q(\blk00000003/sig000008e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003de ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001357 ), + .Q(\blk00000003/sig000008e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003dd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001356 ), + .Q(\blk00000003/sig000008e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001355 ), + .Q(\blk00000003/sig000008e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003db ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001354 ), + .Q(\blk00000003/sig000008e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003da ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001353 ), + .Q(\blk00000003/sig000008e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001352 ), + .Q(\blk00000003/sig000008e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001351 ), + .Q(\blk00000003/sig000008e7 ) + ); + GND \blk00000003/blk000003d6/blk000003d7 ( + .G(\blk00000003/blk000003d6/sig00001350 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003f9/blk0000041b ( + .I0(\blk00000003/sig00000a16 ), + .I1(ce), + .O(\blk00000003/blk000003f9/sig00001397 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk0000041a ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ab7 ), + .Q(\blk00000003/blk000003f9/sig00001396 ), + .Q15(\NLW_blk00000003/blk000003f9/blk0000041a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000419 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ab8 ), + .Q(\blk00000003/blk000003f9/sig00001395 ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000419_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000418 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ab9 ), + .Q(\blk00000003/blk000003f9/sig00001394 ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000418_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000417 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000aba ), + .Q(\blk00000003/blk000003f9/sig00001393 ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000417_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000416 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000abb ), + .Q(\blk00000003/blk000003f9/sig00001392 ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000416_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000415 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000abc ), + .Q(\blk00000003/blk000003f9/sig00001391 ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000415_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000414 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000abd ), + .Q(\blk00000003/blk000003f9/sig00001390 ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000414_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000413 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000abe ), + .Q(\blk00000003/blk000003f9/sig0000138f ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000413_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000412 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000abf ), + .Q(\blk00000003/blk000003f9/sig0000138e ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000412_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000411 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ac0 ), + .Q(\blk00000003/blk000003f9/sig0000138d ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000411_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000410 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ac1 ), + .Q(\blk00000003/blk000003f9/sig0000138c ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000410_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk0000040f ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ac2 ), + .Q(\blk00000003/blk000003f9/sig0000138b ), + .Q15(\NLW_blk00000003/blk000003f9/blk0000040f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk0000040e ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ac3 ), + .Q(\blk00000003/blk000003f9/sig0000138a ), + .Q15(\NLW_blk00000003/blk000003f9/blk0000040e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk0000040d ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ac4 ), + .Q(\blk00000003/blk000003f9/sig00001389 ), + .Q15(\NLW_blk00000003/blk000003f9/blk0000040d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk0000040c ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ac5 ), + .Q(\blk00000003/blk000003f9/sig00001388 ), + .Q15(\NLW_blk00000003/blk000003f9/blk0000040c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk0000040b ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ac6 ), + .Q(\blk00000003/blk000003f9/sig00001387 ), + .Q15(\NLW_blk00000003/blk000003f9/blk0000040b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk0000040a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001396 ), + .Q(\blk00000003/sig0000041e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000409 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001395 ), + .Q(\blk00000003/sig0000041f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000408 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001394 ), + .Q(\blk00000003/sig00000420 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000407 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001393 ), + .Q(\blk00000003/sig00000421 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000406 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001392 ), + .Q(\blk00000003/sig00000422 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000405 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001391 ), + .Q(\blk00000003/sig00000423 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000404 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001390 ), + .Q(\blk00000003/sig00000424 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000403 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig0000138f ), + .Q(\blk00000003/sig00000425 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000402 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig0000138e ), + .Q(\blk00000003/sig00000426 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000401 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig0000138d ), + .Q(\blk00000003/sig00000427 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000400 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig0000138c ), + .Q(\blk00000003/sig00000428 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig0000138b ), + .Q(\blk00000003/sig00000429 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig0000138a ), + .Q(\blk00000003/sig0000042a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001389 ), + .Q(\blk00000003/sig0000042b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001388 ), + .Q(\blk00000003/sig0000042c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001387 ), + .Q(\blk00000003/sig0000042d ) + ); + GND \blk00000003/blk000003f9/blk000003fa ( + .G(\blk00000003/blk000003f9/sig00001386 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000041c/blk0000043e ( + .I0(\blk00000003/sig00000a15 ), + .I1(ce), + .O(\blk00000003/blk0000041c/sig000013cd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk0000043d ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ac7 ), + .Q(\blk00000003/blk0000041c/sig000013cc ), + .Q15(\NLW_blk00000003/blk0000041c/blk0000043d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk0000043c ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ac8 ), + .Q(\blk00000003/blk0000041c/sig000013cb ), + .Q15(\NLW_blk00000003/blk0000041c/blk0000043c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk0000043b ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ac9 ), + .Q(\blk00000003/blk0000041c/sig000013ca ), + .Q15(\NLW_blk00000003/blk0000041c/blk0000043b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk0000043a ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000aca ), + .Q(\blk00000003/blk0000041c/sig000013c9 ), + .Q15(\NLW_blk00000003/blk0000041c/blk0000043a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000439 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000acb ), + .Q(\blk00000003/blk0000041c/sig000013c8 ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000439_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000438 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000acc ), + .Q(\blk00000003/blk0000041c/sig000013c7 ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000438_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000437 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000acd ), + .Q(\blk00000003/blk0000041c/sig000013c6 ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000437_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000436 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ace ), + .Q(\blk00000003/blk0000041c/sig000013c5 ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000436_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000435 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000acf ), + .Q(\blk00000003/blk0000041c/sig000013c4 ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000435_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000434 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ad0 ), + .Q(\blk00000003/blk0000041c/sig000013c3 ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000434_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000433 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ad1 ), + .Q(\blk00000003/blk0000041c/sig000013c2 ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000433_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000432 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ad2 ), + .Q(\blk00000003/blk0000041c/sig000013c1 ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000432_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000431 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ad3 ), + .Q(\blk00000003/blk0000041c/sig000013c0 ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000431_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000430 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ad4 ), + .Q(\blk00000003/blk0000041c/sig000013bf ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000430_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk0000042f ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ad5 ), + .Q(\blk00000003/blk0000041c/sig000013be ), + .Q15(\NLW_blk00000003/blk0000041c/blk0000042f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk0000042e ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ad6 ), + .Q(\blk00000003/blk0000041c/sig000013bd ), + .Q15(\NLW_blk00000003/blk0000041c/blk0000042e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk0000042d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013cc ), + .Q(\blk00000003/sig0000040e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk0000042c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013cb ), + .Q(\blk00000003/sig0000040f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk0000042b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013ca ), + .Q(\blk00000003/sig00000410 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk0000042a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c9 ), + .Q(\blk00000003/sig00000411 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000429 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c8 ), + .Q(\blk00000003/sig00000412 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000428 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c7 ), + .Q(\blk00000003/sig00000413 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000427 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c6 ), + .Q(\blk00000003/sig00000414 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000426 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c5 ), + .Q(\blk00000003/sig00000415 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000425 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c4 ), + .Q(\blk00000003/sig00000416 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000424 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c3 ), + .Q(\blk00000003/sig00000417 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000423 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c2 ), + .Q(\blk00000003/sig00000418 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000422 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c1 ), + .Q(\blk00000003/sig00000419 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000421 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c0 ), + .Q(\blk00000003/sig0000041a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000420 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013bf ), + .Q(\blk00000003/sig0000041b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk0000041f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013be ), + .Q(\blk00000003/sig0000041c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk0000041e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013bd ), + .Q(\blk00000003/sig0000041d ) + ); + GND \blk00000003/blk0000041c/blk0000041d ( + .G(\blk00000003/blk0000041c/sig000013bc ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000043f/blk00000461 ( + .I0(\blk00000003/sig00000a16 ), + .I1(ce), + .O(\blk00000003/blk0000043f/sig00001403 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000460 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ad7 ), + .Q(\blk00000003/blk0000043f/sig00001402 ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000460_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk0000045f ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ad8 ), + .Q(\blk00000003/blk0000043f/sig00001401 ), + .Q15(\NLW_blk00000003/blk0000043f/blk0000045f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk0000045e ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ad9 ), + .Q(\blk00000003/blk0000043f/sig00001400 ), + .Q15(\NLW_blk00000003/blk0000043f/blk0000045e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk0000045d ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ada ), + .Q(\blk00000003/blk0000043f/sig000013ff ), + .Q15(\NLW_blk00000003/blk0000043f/blk0000045d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk0000045c ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000adb ), + .Q(\blk00000003/blk0000043f/sig000013fe ), + .Q15(\NLW_blk00000003/blk0000043f/blk0000045c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk0000045b ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000adc ), + .Q(\blk00000003/blk0000043f/sig000013fd ), + .Q15(\NLW_blk00000003/blk0000043f/blk0000045b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk0000045a ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000add ), + .Q(\blk00000003/blk0000043f/sig000013fc ), + .Q15(\NLW_blk00000003/blk0000043f/blk0000045a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000459 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ade ), + .Q(\blk00000003/blk0000043f/sig000013fb ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000459_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000458 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000adf ), + .Q(\blk00000003/blk0000043f/sig000013fa ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000458_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000457 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ae0 ), + .Q(\blk00000003/blk0000043f/sig000013f9 ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000457_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000456 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ae1 ), + .Q(\blk00000003/blk0000043f/sig000013f8 ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000456_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000455 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ae2 ), + .Q(\blk00000003/blk0000043f/sig000013f7 ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000455_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000454 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ae3 ), + .Q(\blk00000003/blk0000043f/sig000013f6 ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000454_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000453 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ae4 ), + .Q(\blk00000003/blk0000043f/sig000013f5 ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000453_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000452 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ae5 ), + .Q(\blk00000003/blk0000043f/sig000013f4 ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000452_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000451 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ae6 ), + .Q(\blk00000003/blk0000043f/sig000013f3 ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000451_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000450 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig00001402 ), + .Q(\blk00000003/sig0000046e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk0000044f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig00001401 ), + .Q(\blk00000003/sig0000046f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk0000044e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig00001400 ), + .Q(\blk00000003/sig00000470 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk0000044d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013ff ), + .Q(\blk00000003/sig00000471 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk0000044c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013fe ), + .Q(\blk00000003/sig00000472 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk0000044b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013fd ), + .Q(\blk00000003/sig00000473 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk0000044a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013fc ), + .Q(\blk00000003/sig00000474 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000449 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013fb ), + .Q(\blk00000003/sig00000475 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000448 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013fa ), + .Q(\blk00000003/sig00000476 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000447 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013f9 ), + .Q(\blk00000003/sig00000477 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000446 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013f8 ), + .Q(\blk00000003/sig00000478 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000445 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013f7 ), + .Q(\blk00000003/sig00000479 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000444 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013f6 ), + .Q(\blk00000003/sig0000047a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000443 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013f5 ), + .Q(\blk00000003/sig0000047b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000442 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013f4 ), + .Q(\blk00000003/sig0000047c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000441 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013f3 ), + .Q(\blk00000003/sig0000047d ) + ); + GND \blk00000003/blk0000043f/blk00000440 ( + .G(\blk00000003/blk0000043f/sig000013f2 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000462/blk00000484 ( + .I0(\blk00000003/sig00000a15 ), + .I1(ce), + .O(\blk00000003/blk00000462/sig00001439 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000483 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000ae7 ), + .Q(\blk00000003/blk00000462/sig00001438 ), + .Q15(\NLW_blk00000003/blk00000462/blk00000483_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000482 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000ae8 ), + .Q(\blk00000003/blk00000462/sig00001437 ), + .Q15(\NLW_blk00000003/blk00000462/blk00000482_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000481 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000ae9 ), + .Q(\blk00000003/blk00000462/sig00001436 ), + .Q15(\NLW_blk00000003/blk00000462/blk00000481_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000480 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000aea ), + .Q(\blk00000003/blk00000462/sig00001435 ), + .Q15(\NLW_blk00000003/blk00000462/blk00000480_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk0000047f ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000aeb ), + .Q(\blk00000003/blk00000462/sig00001434 ), + .Q15(\NLW_blk00000003/blk00000462/blk0000047f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk0000047e ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000aec ), + .Q(\blk00000003/blk00000462/sig00001433 ), + .Q15(\NLW_blk00000003/blk00000462/blk0000047e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk0000047d ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000aed ), + .Q(\blk00000003/blk00000462/sig00001432 ), + .Q15(\NLW_blk00000003/blk00000462/blk0000047d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk0000047c ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000aee ), + .Q(\blk00000003/blk00000462/sig00001431 ), + .Q15(\NLW_blk00000003/blk00000462/blk0000047c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk0000047b ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000aef ), + .Q(\blk00000003/blk00000462/sig00001430 ), + .Q15(\NLW_blk00000003/blk00000462/blk0000047b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk0000047a ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000af0 ), + .Q(\blk00000003/blk00000462/sig0000142f ), + .Q15(\NLW_blk00000003/blk00000462/blk0000047a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000479 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000af1 ), + .Q(\blk00000003/blk00000462/sig0000142e ), + .Q15(\NLW_blk00000003/blk00000462/blk00000479_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000478 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000af2 ), + .Q(\blk00000003/blk00000462/sig0000142d ), + .Q15(\NLW_blk00000003/blk00000462/blk00000478_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000477 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000af3 ), + .Q(\blk00000003/blk00000462/sig0000142c ), + .Q15(\NLW_blk00000003/blk00000462/blk00000477_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000476 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000af4 ), + .Q(\blk00000003/blk00000462/sig0000142b ), + .Q15(\NLW_blk00000003/blk00000462/blk00000476_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000475 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000af5 ), + .Q(\blk00000003/blk00000462/sig0000142a ), + .Q15(\NLW_blk00000003/blk00000462/blk00000475_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000474 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000af6 ), + .Q(\blk00000003/blk00000462/sig00001429 ), + .Q15(\NLW_blk00000003/blk00000462/blk00000474_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000473 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001438 ), + .Q(\blk00000003/sig0000045e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000472 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001437 ), + .Q(\blk00000003/sig0000045f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000471 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001436 ), + .Q(\blk00000003/sig00000460 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000470 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001435 ), + .Q(\blk00000003/sig00000461 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk0000046f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001434 ), + .Q(\blk00000003/sig00000462 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk0000046e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001433 ), + .Q(\blk00000003/sig00000463 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk0000046d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001432 ), + .Q(\blk00000003/sig00000464 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk0000046c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001431 ), + .Q(\blk00000003/sig00000465 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk0000046b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001430 ), + .Q(\blk00000003/sig00000466 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk0000046a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig0000142f ), + .Q(\blk00000003/sig00000467 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000469 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig0000142e ), + .Q(\blk00000003/sig00000468 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000468 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig0000142d ), + .Q(\blk00000003/sig00000469 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000467 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig0000142c ), + .Q(\blk00000003/sig0000046a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000466 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig0000142b ), + .Q(\blk00000003/sig0000046b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000465 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig0000142a ), + .Q(\blk00000003/sig0000046c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000464 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001429 ), + .Q(\blk00000003/sig0000046d ) + ); + GND \blk00000003/blk00000462/blk00000463 ( + .G(\blk00000003/blk00000462/sig00001428 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000485/blk000004bd ( + .I0(\blk00000003/sig0000030c ), + .I1(ce), + .O(\blk00000003/blk00000485/sig0000149c ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004bc ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000af7 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001489 ), + .DPO(\blk00000003/blk00000485/sig0000149b ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004bb ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000af8 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001488 ), + .DPO(\blk00000003/blk00000485/sig0000149a ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004ba ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000af9 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001487 ), + .DPO(\blk00000003/blk00000485/sig00001499 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004b9 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000afa ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001486 ), + .DPO(\blk00000003/blk00000485/sig00001498 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004b8 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000afb ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001485 ), + .DPO(\blk00000003/blk00000485/sig00001497 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004b7 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000afc ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001484 ), + .DPO(\blk00000003/blk00000485/sig00001496 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004b6 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000afe ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001482 ), + .DPO(\blk00000003/blk00000485/sig00001494 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004b5 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000aff ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001481 ), + .DPO(\blk00000003/blk00000485/sig00001493 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004b4 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000afd ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001483 ), + .DPO(\blk00000003/blk00000485/sig00001495 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004b3 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000b00 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001480 ), + .DPO(\blk00000003/blk00000485/sig00001492 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk00000485/blk000004b2 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000b01 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig0000147f ), + .DPO(\blk00000003/blk00000485/sig00001491 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk00000485/blk000004b1 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000b02 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig0000147e ), + .DPO(\blk00000003/blk00000485/sig00001490 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000485/blk000004b0 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000b03 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig0000147d ), + .DPO(\blk00000003/blk00000485/sig0000148f ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000485/blk000004af ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000b04 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig0000147c ), + .DPO(\blk00000003/blk00000485/sig0000148e ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000485/blk000004ae ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000b05 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig0000147b ), + .DPO(\blk00000003/blk00000485/sig0000148d ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk00000485/blk000004ad ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000b07 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001479 ), + .DPO(\blk00000003/blk00000485/sig0000148b ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000485/blk000004ac ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000b08 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001478 ), + .DPO(\blk00000003/blk00000485/sig0000148a ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000485/blk000004ab ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000b06 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig0000147a ), + .DPO(\blk00000003/blk00000485/sig0000148c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000149b ), + .Q(\blk00000003/sig000003bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000149a ), + .Q(\blk00000003/sig000003bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001499 ), + .Q(\blk00000003/sig000003be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001498 ), + .Q(\blk00000003/sig000003bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001497 ), + .Q(\blk00000003/sig000003c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001496 ), + .Q(\blk00000003/sig000003c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001495 ), + .Q(\blk00000003/sig000003c2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001494 ), + .Q(\blk00000003/sig000003c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001493 ), + .Q(\blk00000003/sig000003c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001492 ), + .Q(\blk00000003/sig000003c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001491 ), + .Q(\blk00000003/sig000003c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000049f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001490 ), + .Q(\blk00000003/sig000003c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000049e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000148f ), + .Q(\blk00000003/sig000003c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000049d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000148e ), + .Q(\blk00000003/sig000003c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000049c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000148d ), + .Q(\blk00000003/sig000003ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000049b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000148c ), + .Q(\blk00000003/sig000003cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000049a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000148b ), + .Q(\blk00000003/sig000003cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000499 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000148a ), + .Q(\blk00000003/sig000003cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000498 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001489 ), + .Q(\blk00000003/sig00000b09 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000497 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001488 ), + .Q(\blk00000003/sig00000b0a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000496 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001487 ), + .Q(\blk00000003/sig00000b0b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000495 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001486 ), + .Q(\blk00000003/sig00000b0c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000494 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001485 ), + .Q(\blk00000003/sig00000b0d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000493 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001484 ), + .Q(\blk00000003/sig00000b0e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000492 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001483 ), + .Q(\blk00000003/sig00000b0f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000491 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001482 ), + .Q(\blk00000003/sig00000b10 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000490 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001481 ), + .Q(\blk00000003/sig00000b11 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000048f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001480 ), + .Q(\blk00000003/sig00000b12 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000048e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000147f ), + .Q(\blk00000003/sig00000b13 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000048d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000147e ), + .Q(\blk00000003/sig00000b14 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000048c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000147d ), + .Q(\blk00000003/sig00000b15 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000048b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000147c ), + .Q(\blk00000003/sig00000b16 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000048a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000147b ), + .Q(\blk00000003/sig00000b17 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000489 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000147a ), + .Q(\blk00000003/sig00000b18 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000488 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001479 ), + .Q(\blk00000003/sig00000b19 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000487 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001478 ), + .Q(\blk00000003/sig00000b1a ) + ); + GND \blk00000003/blk00000485/blk00000486 ( + .G(\blk00000003/blk00000485/sig00001477 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000004be/blk000004f6 ( + .I0(\blk00000003/sig000009f2 ), + .I1(ce), + .O(\blk00000003/blk000004be/sig000014ff ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004be/blk000004f5 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b09 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014ec ), + .DPO(\blk00000003/blk000004be/sig000014fe ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004be/blk000004f4 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b0a ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014eb ), + .DPO(\blk00000003/blk000004be/sig000014fd ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004be/blk000004f3 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b0b ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014ea ), + .DPO(\blk00000003/blk000004be/sig000014fc ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004be/blk000004f2 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b0c ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e9 ), + .DPO(\blk00000003/blk000004be/sig000014fb ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004be/blk000004f1 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b0d ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e8 ), + .DPO(\blk00000003/blk000004be/sig000014fa ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004be/blk000004f0 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b0e ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e7 ), + .DPO(\blk00000003/blk000004be/sig000014f9 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004be/blk000004ef ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b10 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e5 ), + .DPO(\blk00000003/blk000004be/sig000014f7 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk000004be/blk000004ee ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b11 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e4 ), + .DPO(\blk00000003/blk000004be/sig000014f6 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004be/blk000004ed ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b0f ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e6 ), + .DPO(\blk00000003/blk000004be/sig000014f8 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000004be/blk000004ec ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b12 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e3 ), + .DPO(\blk00000003/blk000004be/sig000014f5 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004be/blk000004eb ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b13 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e2 ), + .DPO(\blk00000003/blk000004be/sig000014f4 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk000004be/blk000004ea ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b14 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e1 ), + .DPO(\blk00000003/blk000004be/sig000014f3 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004be/blk000004e9 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b15 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e0 ), + .DPO(\blk00000003/blk000004be/sig000014f2 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000004be/blk000004e8 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b16 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014df ), + .DPO(\blk00000003/blk000004be/sig000014f1 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004be/blk000004e7 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b17 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014de ), + .DPO(\blk00000003/blk000004be/sig000014f0 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000004be/blk000004e6 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b19 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014dc ), + .DPO(\blk00000003/blk000004be/sig000014ee ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000004be/blk000004e5 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b1a ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014db ), + .DPO(\blk00000003/blk000004be/sig000014ed ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000004be/blk000004e4 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b18 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014dd ), + .DPO(\blk00000003/blk000004be/sig000014ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014fe ), + .Q(\blk00000003/sig00000580 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014fd ), + .Q(\blk00000003/sig00000581 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014fc ), + .Q(\blk00000003/sig00000582 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004e0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014fb ), + .Q(\blk00000003/sig00000583 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004df ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014fa ), + .Q(\blk00000003/sig00000584 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004de ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f9 ), + .Q(\blk00000003/sig00000585 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004dd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f8 ), + .Q(\blk00000003/sig00000586 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f7 ), + .Q(\blk00000003/sig00000587 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004db ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f6 ), + .Q(\blk00000003/sig00000588 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004da ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f5 ), + .Q(\blk00000003/sig00000589 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f4 ), + .Q(\blk00000003/sig0000058a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f3 ), + .Q(\blk00000003/sig0000058b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f2 ), + .Q(\blk00000003/sig0000058c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f1 ), + .Q(\blk00000003/sig0000058d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f0 ), + .Q(\blk00000003/sig0000058e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014ef ), + .Q(\blk00000003/sig0000058f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014ee ), + .Q(\blk00000003/sig00000590 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014ed ), + .Q(\blk00000003/sig00000591 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014ec ), + .Q(\blk00000003/sig00000b1b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014eb ), + .Q(\blk00000003/sig00000b1c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014ea ), + .Q(\blk00000003/sig00000b1d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e9 ), + .Q(\blk00000003/sig00000b1e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e8 ), + .Q(\blk00000003/sig00000b1f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e7 ), + .Q(\blk00000003/sig00000b20 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e6 ), + .Q(\blk00000003/sig00000b21 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e5 ), + .Q(\blk00000003/sig00000b22 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e4 ), + .Q(\blk00000003/sig00000b23 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e3 ), + .Q(\blk00000003/sig00000b24 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e2 ), + .Q(\blk00000003/sig00000b25 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e1 ), + .Q(\blk00000003/sig00000b26 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e0 ), + .Q(\blk00000003/sig00000b27 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014df ), + .Q(\blk00000003/sig00000b28 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014de ), + .Q(\blk00000003/sig00000b29 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014dd ), + .Q(\blk00000003/sig00000b2a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014dc ), + .Q(\blk00000003/sig00000b2b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014db ), + .Q(\blk00000003/sig00000b2c ) + ); + GND \blk00000003/blk000004be/blk000004bf ( + .G(\blk00000003/blk000004be/sig000014da ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000004f7/blk0000052f ( + .I0(\blk00000003/sig000009f3 ), + .I1(ce), + .O(\blk00000003/blk000004f7/sig00001562 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004f7/blk0000052e ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b1b ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig0000154f ), + .DPO(\blk00000003/blk000004f7/sig00001561 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004f7/blk0000052d ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b1c ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig0000154e ), + .DPO(\blk00000003/blk000004f7/sig00001560 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004f7/blk0000052c ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b1d ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig0000154d ), + .DPO(\blk00000003/blk000004f7/sig0000155f ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004f7/blk0000052b ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b1e ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig0000154c ), + .DPO(\blk00000003/blk000004f7/sig0000155e ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004f7/blk0000052a ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b1f ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig0000154b ), + .DPO(\blk00000003/blk000004f7/sig0000155d ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004f7/blk00000529 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b20 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig0000154a ), + .DPO(\blk00000003/blk000004f7/sig0000155c ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004f7/blk00000528 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b22 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001548 ), + .DPO(\blk00000003/blk000004f7/sig0000155a ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004f7/blk00000527 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b23 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001547 ), + .DPO(\blk00000003/blk000004f7/sig00001559 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk000004f7/blk00000526 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b21 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001549 ), + .DPO(\blk00000003/blk000004f7/sig0000155b ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000004f7/blk00000525 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b24 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001546 ), + .DPO(\blk00000003/blk000004f7/sig00001558 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000004f7/blk00000524 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b25 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001545 ), + .DPO(\blk00000003/blk000004f7/sig00001557 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004f7/blk00000523 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b26 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001544 ), + .DPO(\blk00000003/blk000004f7/sig00001556 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000004f7/blk00000522 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b27 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001543 ), + .DPO(\blk00000003/blk000004f7/sig00001555 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004f7/blk00000521 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b28 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001542 ), + .DPO(\blk00000003/blk000004f7/sig00001554 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk000004f7/blk00000520 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b29 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001541 ), + .DPO(\blk00000003/blk000004f7/sig00001553 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk000004f7/blk0000051f ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b2b ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig0000153f ), + .DPO(\blk00000003/blk000004f7/sig00001551 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004f7/blk0000051e ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b2c ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig0000153e ), + .DPO(\blk00000003/blk000004f7/sig00001550 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk000004f7/blk0000051d ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b2a ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001540 ), + .DPO(\blk00000003/blk000004f7/sig00001552 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk0000051c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001561 ), + .Q(\blk00000003/sig000005d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk0000051b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001560 ), + .Q(\blk00000003/sig000005d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk0000051a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000155f ), + .Q(\blk00000003/sig000005d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000519 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000155e ), + .Q(\blk00000003/sig000005d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000518 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000155d ), + .Q(\blk00000003/sig000005d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000517 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000155c ), + .Q(\blk00000003/sig000005d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000516 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000155b ), + .Q(\blk00000003/sig000005d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000515 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000155a ), + .Q(\blk00000003/sig000005d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000514 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001559 ), + .Q(\blk00000003/sig000005da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000513 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001558 ), + .Q(\blk00000003/sig000005db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000512 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001557 ), + .Q(\blk00000003/sig000005dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000511 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001556 ), + .Q(\blk00000003/sig000005dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000510 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001555 ), + .Q(\blk00000003/sig000005de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk0000050f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001554 ), + .Q(\blk00000003/sig000005df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk0000050e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001553 ), + .Q(\blk00000003/sig000005e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk0000050d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001552 ), + .Q(\blk00000003/sig000005e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk0000050c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001551 ), + .Q(\blk00000003/sig000005e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk0000050b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001550 ), + .Q(\blk00000003/sig000005e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk0000050a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000154f ), + .Q(\blk00000003/sig00000b2d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000509 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000154e ), + .Q(\blk00000003/sig00000b2e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000508 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000154d ), + .Q(\blk00000003/sig00000b2f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000507 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000154c ), + .Q(\blk00000003/sig00000b30 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000506 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000154b ), + .Q(\blk00000003/sig00000b31 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000505 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000154a ), + .Q(\blk00000003/sig00000b32 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000504 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001549 ), + .Q(\blk00000003/sig00000b33 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000503 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001548 ), + .Q(\blk00000003/sig00000b34 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000502 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001547 ), + .Q(\blk00000003/sig00000b35 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000501 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001546 ), + .Q(\blk00000003/sig00000b36 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000500 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001545 ), + .Q(\blk00000003/sig00000b37 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk000004ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001544 ), + .Q(\blk00000003/sig00000b38 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk000004fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001543 ), + .Q(\blk00000003/sig00000b39 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk000004fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001542 ), + .Q(\blk00000003/sig00000b3a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk000004fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001541 ), + .Q(\blk00000003/sig00000b3b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk000004fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001540 ), + .Q(\blk00000003/sig00000b3c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk000004fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000153f ), + .Q(\blk00000003/sig00000b3d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk000004f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000153e ), + .Q(\blk00000003/sig00000b3e ) + ); + GND \blk00000003/blk000004f7/blk000004f8 ( + .G(\blk00000003/blk000004f7/sig0000153d ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000530/blk00000568 ( + .I0(\blk00000003/sig000009f4 ), + .I1(ce), + .O(\blk00000003/blk00000530/sig000015c5 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000530/blk00000567 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b2d ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015b2 ), + .DPO(\blk00000003/blk00000530/sig000015c4 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000530/blk00000566 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b2e ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015b1 ), + .DPO(\blk00000003/blk00000530/sig000015c3 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000530/blk00000565 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b2f ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015b0 ), + .DPO(\blk00000003/blk00000530/sig000015c2 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000530/blk00000564 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b30 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015af ), + .DPO(\blk00000003/blk00000530/sig000015c1 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000530/blk00000563 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b31 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015ae ), + .DPO(\blk00000003/blk00000530/sig000015c0 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk00000530/blk00000562 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b32 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015ad ), + .DPO(\blk00000003/blk00000530/sig000015bf ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000530/blk00000561 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b34 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015ab ), + .DPO(\blk00000003/blk00000530/sig000015bd ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000530/blk00000560 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b35 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015aa ), + .DPO(\blk00000003/blk00000530/sig000015bc ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000530/blk0000055f ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b33 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015ac ), + .DPO(\blk00000003/blk00000530/sig000015be ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000530/blk0000055e ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b36 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015a9 ), + .DPO(\blk00000003/blk00000530/sig000015bb ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000530/blk0000055d ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b37 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015a8 ), + .DPO(\blk00000003/blk00000530/sig000015ba ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk00000530/blk0000055c ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b38 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015a7 ), + .DPO(\blk00000003/blk00000530/sig000015b9 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000530/blk0000055b ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b39 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015a6 ), + .DPO(\blk00000003/blk00000530/sig000015b8 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000530/blk0000055a ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b3a ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015a5 ), + .DPO(\blk00000003/blk00000530/sig000015b7 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000530/blk00000559 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b3b ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015a4 ), + .DPO(\blk00000003/blk00000530/sig000015b6 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000530/blk00000558 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b3d ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015a2 ), + .DPO(\blk00000003/blk00000530/sig000015b4 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000530/blk00000557 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b3e ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015a1 ), + .DPO(\blk00000003/blk00000530/sig000015b3 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk00000530/blk00000556 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b3c ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015a3 ), + .DPO(\blk00000003/blk00000530/sig000015b5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000555 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015c4 ), + .Q(\blk00000003/sig00000624 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000554 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015c3 ), + .Q(\blk00000003/sig00000625 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000553 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015c2 ), + .Q(\blk00000003/sig00000626 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000552 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015c1 ), + .Q(\blk00000003/sig00000627 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000551 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015c0 ), + .Q(\blk00000003/sig00000628 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000550 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015bf ), + .Q(\blk00000003/sig00000629 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000054f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015be ), + .Q(\blk00000003/sig0000062a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000054e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015bd ), + .Q(\blk00000003/sig0000062b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000054d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015bc ), + .Q(\blk00000003/sig0000062c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000054c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015bb ), + .Q(\blk00000003/sig0000062d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000054b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015ba ), + .Q(\blk00000003/sig0000062e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000054a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b9 ), + .Q(\blk00000003/sig0000062f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000549 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b8 ), + .Q(\blk00000003/sig00000630 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000548 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b7 ), + .Q(\blk00000003/sig00000631 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000547 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b6 ), + .Q(\blk00000003/sig00000632 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000546 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b5 ), + .Q(\blk00000003/sig00000633 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000545 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b4 ), + .Q(\blk00000003/sig00000634 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000544 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b3 ), + .Q(\blk00000003/sig00000635 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000543 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b2 ), + .Q(\blk00000003/sig00000b3f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000542 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b1 ), + .Q(\blk00000003/sig00000b40 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000541 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b0 ), + .Q(\blk00000003/sig00000b41 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000540 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015af ), + .Q(\blk00000003/sig00000b42 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000053f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015ae ), + .Q(\blk00000003/sig00000b43 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000053e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015ad ), + .Q(\blk00000003/sig00000b44 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000053d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015ac ), + .Q(\blk00000003/sig00000b45 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000053c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015ab ), + .Q(\blk00000003/sig00000b46 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000053b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015aa ), + .Q(\blk00000003/sig00000b47 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000053a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015a9 ), + .Q(\blk00000003/sig00000b48 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000539 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015a8 ), + .Q(\blk00000003/sig00000b49 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000538 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015a7 ), + .Q(\blk00000003/sig00000b4a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000537 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015a6 ), + .Q(\blk00000003/sig00000b4b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000536 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015a5 ), + .Q(\blk00000003/sig00000b4c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000535 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015a4 ), + .Q(\blk00000003/sig00000b4d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000534 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015a3 ), + .Q(\blk00000003/sig00000b4e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000533 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015a2 ), + .Q(\blk00000003/sig00000b4f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000532 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015a1 ), + .Q(\blk00000003/sig00000b50 ) + ); + GND \blk00000003/blk00000530/blk00000531 ( + .G(\blk00000003/blk00000530/sig000015a0 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000569/blk000005a1 ( + .I0(\blk00000003/sig000009f5 ), + .I1(ce), + .O(\blk00000003/blk00000569/sig00001628 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000569/blk000005a0 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b3f ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001615 ), + .DPO(\blk00000003/blk00000569/sig00001627 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000569/blk0000059f ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b40 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001614 ), + .DPO(\blk00000003/blk00000569/sig00001626 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000569/blk0000059e ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b41 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001613 ), + .DPO(\blk00000003/blk00000569/sig00001625 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000569/blk0000059d ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b42 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001612 ), + .DPO(\blk00000003/blk00000569/sig00001624 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000569/blk0000059c ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b43 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001611 ), + .DPO(\blk00000003/blk00000569/sig00001623 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk00000569/blk0000059b ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b44 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001610 ), + .DPO(\blk00000003/blk00000569/sig00001622 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000569/blk0000059a ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b46 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig0000160e ), + .DPO(\blk00000003/blk00000569/sig00001620 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000569/blk00000599 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b47 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig0000160d ), + .DPO(\blk00000003/blk00000569/sig0000161f ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk00000569/blk00000598 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b45 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig0000160f ), + .DPO(\blk00000003/blk00000569/sig00001621 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000569/blk00000597 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b48 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig0000160c ), + .DPO(\blk00000003/blk00000569/sig0000161e ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000569/blk00000596 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b49 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig0000160b ), + .DPO(\blk00000003/blk00000569/sig0000161d ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000569/blk00000595 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b4a ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig0000160a ), + .DPO(\blk00000003/blk00000569/sig0000161c ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000569/blk00000594 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b4b ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001609 ), + .DPO(\blk00000003/blk00000569/sig0000161b ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000569/blk00000593 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b4c ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001608 ), + .DPO(\blk00000003/blk00000569/sig0000161a ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk00000569/blk00000592 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b4d ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001607 ), + .DPO(\blk00000003/blk00000569/sig00001619 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000569/blk00000591 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b4f ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001605 ), + .DPO(\blk00000003/blk00000569/sig00001617 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000569/blk00000590 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b50 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001604 ), + .DPO(\blk00000003/blk00000569/sig00001616 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000569/blk0000058f ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b4e ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001606 ), + .DPO(\blk00000003/blk00000569/sig00001618 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000058e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001627 ), + .Q(\blk00000003/sig00000646 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000058d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001626 ), + .Q(\blk00000003/sig00000647 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000058c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001625 ), + .Q(\blk00000003/sig00000648 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000058b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001624 ), + .Q(\blk00000003/sig00000649 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000058a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001623 ), + .Q(\blk00000003/sig0000064a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000589 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001622 ), + .Q(\blk00000003/sig0000064b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000588 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001621 ), + .Q(\blk00000003/sig0000064c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000587 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001620 ), + .Q(\blk00000003/sig0000064d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000586 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000161f ), + .Q(\blk00000003/sig0000064e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000585 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000161e ), + .Q(\blk00000003/sig0000064f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000584 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000161d ), + .Q(\blk00000003/sig00000650 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000583 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000161c ), + .Q(\blk00000003/sig00000651 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000582 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000161b ), + .Q(\blk00000003/sig00000652 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000581 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000161a ), + .Q(\blk00000003/sig00000653 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000580 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001619 ), + .Q(\blk00000003/sig00000654 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000057f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001618 ), + .Q(\blk00000003/sig00000655 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000057e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001617 ), + .Q(\blk00000003/sig00000656 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000057d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001616 ), + .Q(\blk00000003/sig00000657 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000057c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001615 ), + .Q(\blk00000003/sig00000b51 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000057b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001614 ), + .Q(\blk00000003/sig00000b52 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000057a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001613 ), + .Q(\blk00000003/sig00000b53 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000579 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001612 ), + .Q(\blk00000003/sig00000b54 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000578 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001611 ), + .Q(\blk00000003/sig00000b55 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000577 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001610 ), + .Q(\blk00000003/sig00000b56 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000576 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000160f ), + .Q(\blk00000003/sig00000b57 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000575 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000160e ), + .Q(\blk00000003/sig00000b58 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000574 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000160d ), + .Q(\blk00000003/sig00000b59 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000573 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000160c ), + .Q(\blk00000003/sig00000b5a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000572 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000160b ), + .Q(\blk00000003/sig00000b5b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000571 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000160a ), + .Q(\blk00000003/sig00000b5c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000570 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001609 ), + .Q(\blk00000003/sig00000b5d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000056f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001608 ), + .Q(\blk00000003/sig00000b5e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000056e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001607 ), + .Q(\blk00000003/sig00000b5f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000056d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001606 ), + .Q(\blk00000003/sig00000b60 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000056c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001605 ), + .Q(\blk00000003/sig00000b61 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000056b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001604 ), + .Q(\blk00000003/sig00000b62 ) + ); + GND \blk00000003/blk00000569/blk0000056a ( + .G(\blk00000003/blk00000569/sig00001603 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005a2/blk000005c8 ( + .I0(\blk00000003/sig000009f6 ), + .I1(ce), + .O(\blk00000003/blk000005a2/sig00001667 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000005a2/blk000005c7 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b51 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005c7_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001666 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk000005a2/blk000005c6 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b52 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005c6_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001665 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000005a2/blk000005c5 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b53 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005c5_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001664 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000005a2/blk000005c4 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b54 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005c4_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001663 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a2/blk000005c3 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b55 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005c3_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001662 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000005a2/blk000005c2 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b56 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005c2_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001661 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000005a2/blk000005c1 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b58 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005c1_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig0000165f ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a2/blk000005c0 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b59 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005c0_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig0000165e ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000005a2/blk000005bf ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b57 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005bf_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001660 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a2/blk000005be ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b5a ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005be_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig0000165d ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a2/blk000005bd ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b5b ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005bd_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig0000165c ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk000005a2/blk000005bc ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b5c ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005bc_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig0000165b ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a2/blk000005bb ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b5d ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005bb_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig0000165a ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000005a2/blk000005ba ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b5e ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005ba_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001659 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000005a2/blk000005b9 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b5f ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005b9_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001658 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a2/blk000005b8 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b61 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005b8_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001656 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000005a2/blk000005b7 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b62 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005b7_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001655 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a2/blk000005b6 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b60 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005b6_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001657 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001666 ), + .Q(\blk00000003/sig000004ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001665 ), + .Q(\blk00000003/sig000004ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001664 ), + .Q(\blk00000003/sig000004f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001663 ), + .Q(\blk00000003/sig000004f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001662 ), + .Q(\blk00000003/sig000004f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001661 ), + .Q(\blk00000003/sig000004f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005af ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001660 ), + .Q(\blk00000003/sig000004f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig0000165f ), + .Q(\blk00000003/sig000004f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig0000165e ), + .Q(\blk00000003/sig000004f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig0000165d ), + .Q(\blk00000003/sig000004f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig0000165c ), + .Q(\blk00000003/sig000004f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig0000165b ), + .Q(\blk00000003/sig000004f9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig0000165a ), + .Q(\blk00000003/sig000004fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001659 ), + .Q(\blk00000003/sig000004fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001658 ), + .Q(\blk00000003/sig000004fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001657 ), + .Q(\blk00000003/sig000004fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005a5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001656 ), + .Q(\blk00000003/sig000004fe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001655 ), + .Q(\blk00000003/sig000004ff ) + ); + GND \blk00000003/blk000005a2/blk000005a3 ( + .G(\blk00000003/blk000005a2/sig00001654 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005c9/blk000005db ( + .I0(\blk00000003/sig000009fa ), + .I1(ce), + .O(\blk00000003/blk000005c9/sig00001685 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c9/blk000005da ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005c9/sig0000167c ), + .A2(\blk00000003/blk000005c9/sig0000167c ), + .A3(\blk00000003/blk000005c9/sig0000167c ), + .CE(\blk00000003/blk000005c9/sig00001685 ), + .CLK(clk), + .D(\blk00000003/sig0000063e ), + .Q(\blk00000003/blk000005c9/sig00001684 ), + .Q15(\NLW_blk00000003/blk000005c9/blk000005da_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c9/blk000005d9 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005c9/sig0000167c ), + .A2(\blk00000003/blk000005c9/sig0000167c ), + .A3(\blk00000003/blk000005c9/sig0000167c ), + .CE(\blk00000003/blk000005c9/sig00001685 ), + .CLK(clk), + .D(\blk00000003/sig0000063f ), + .Q(\blk00000003/blk000005c9/sig00001683 ), + .Q15(\NLW_blk00000003/blk000005c9/blk000005d9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c9/blk000005d8 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005c9/sig0000167c ), + .A2(\blk00000003/blk000005c9/sig0000167c ), + .A3(\blk00000003/blk000005c9/sig0000167c ), + .CE(\blk00000003/blk000005c9/sig00001685 ), + .CLK(clk), + .D(\blk00000003/sig00000640 ), + .Q(\blk00000003/blk000005c9/sig00001682 ), + .Q15(\NLW_blk00000003/blk000005c9/blk000005d8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c9/blk000005d7 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005c9/sig0000167c ), + .A2(\blk00000003/blk000005c9/sig0000167c ), + .A3(\blk00000003/blk000005c9/sig0000167c ), + .CE(\blk00000003/blk000005c9/sig00001685 ), + .CLK(clk), + .D(\blk00000003/sig00000641 ), + .Q(\blk00000003/blk000005c9/sig00001681 ), + .Q15(\NLW_blk00000003/blk000005c9/blk000005d7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c9/blk000005d6 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005c9/sig0000167c ), + .A2(\blk00000003/blk000005c9/sig0000167c ), + .A3(\blk00000003/blk000005c9/sig0000167c ), + .CE(\blk00000003/blk000005c9/sig00001685 ), + .CLK(clk), + .D(\blk00000003/sig00000642 ), + .Q(\blk00000003/blk000005c9/sig00001680 ), + .Q15(\NLW_blk00000003/blk000005c9/blk000005d6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c9/blk000005d5 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005c9/sig0000167c ), + .A2(\blk00000003/blk000005c9/sig0000167c ), + .A3(\blk00000003/blk000005c9/sig0000167c ), + .CE(\blk00000003/blk000005c9/sig00001685 ), + .CLK(clk), + .D(\blk00000003/sig00000643 ), + .Q(\blk00000003/blk000005c9/sig0000167f ), + .Q15(\NLW_blk00000003/blk000005c9/blk000005d5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c9/blk000005d4 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005c9/sig0000167c ), + .A2(\blk00000003/blk000005c9/sig0000167c ), + .A3(\blk00000003/blk000005c9/sig0000167c ), + .CE(\blk00000003/blk000005c9/sig00001685 ), + .CLK(clk), + .D(\blk00000003/sig00000644 ), + .Q(\blk00000003/blk000005c9/sig0000167e ), + .Q15(\NLW_blk00000003/blk000005c9/blk000005d4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c9/blk000005d3 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005c9/sig0000167c ), + .A2(\blk00000003/blk000005c9/sig0000167c ), + .A3(\blk00000003/blk000005c9/sig0000167c ), + .CE(\blk00000003/blk000005c9/sig00001685 ), + .CLK(clk), + .D(\blk00000003/sig00000645 ), + .Q(\blk00000003/blk000005c9/sig0000167d ), + .Q15(\NLW_blk00000003/blk000005c9/blk000005d3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c9/blk000005d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005c9/sig00001684 ), + .Q(\blk00000003/sig000004e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c9/blk000005d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005c9/sig00001683 ), + .Q(\blk00000003/sig000004e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c9/blk000005d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005c9/sig00001682 ), + .Q(\blk00000003/sig000004e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c9/blk000005cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005c9/sig00001681 ), + .Q(\blk00000003/sig000004e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c9/blk000005ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005c9/sig00001680 ), + .Q(\blk00000003/sig000004ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c9/blk000005cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005c9/sig0000167f ), + .Q(\blk00000003/sig000004eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c9/blk000005cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005c9/sig0000167e ), + .Q(\blk00000003/sig000004ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c9/blk000005cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005c9/sig0000167d ), + .Q(\blk00000003/sig000004ed ) + ); + GND \blk00000003/blk000005c9/blk000005ca ( + .G(\blk00000003/blk000005c9/sig0000167c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005dc/blk000005ee ( + .I0(\blk00000003/sig000009f8 ), + .I1(ce), + .O(\blk00000003/blk000005dc/sig000016a3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005dc/blk000005ed ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000005dc/sig0000169a ), + .A2(\blk00000003/blk000005dc/sig0000169a ), + .A3(\blk00000003/blk000005dc/sig0000169a ), + .CE(\blk00000003/blk000005dc/sig000016a3 ), + .CLK(clk), + .D(\blk00000003/sig00000b63 ), + .Q(\blk00000003/blk000005dc/sig000016a2 ), + .Q15(\NLW_blk00000003/blk000005dc/blk000005ed_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005dc/blk000005ec ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000005dc/sig0000169a ), + .A2(\blk00000003/blk000005dc/sig0000169a ), + .A3(\blk00000003/blk000005dc/sig0000169a ), + .CE(\blk00000003/blk000005dc/sig000016a3 ), + .CLK(clk), + .D(\blk00000003/sig00000b64 ), + .Q(\blk00000003/blk000005dc/sig000016a1 ), + .Q15(\NLW_blk00000003/blk000005dc/blk000005ec_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005dc/blk000005eb ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000005dc/sig0000169a ), + .A2(\blk00000003/blk000005dc/sig0000169a ), + .A3(\blk00000003/blk000005dc/sig0000169a ), + .CE(\blk00000003/blk000005dc/sig000016a3 ), + .CLK(clk), + .D(\blk00000003/sig00000b65 ), + .Q(\blk00000003/blk000005dc/sig000016a0 ), + .Q15(\NLW_blk00000003/blk000005dc/blk000005eb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005dc/blk000005ea ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000005dc/sig0000169a ), + .A2(\blk00000003/blk000005dc/sig0000169a ), + .A3(\blk00000003/blk000005dc/sig0000169a ), + .CE(\blk00000003/blk000005dc/sig000016a3 ), + .CLK(clk), + .D(\blk00000003/sig00000b66 ), + .Q(\blk00000003/blk000005dc/sig0000169f ), + .Q15(\NLW_blk00000003/blk000005dc/blk000005ea_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005dc/blk000005e9 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000005dc/sig0000169a ), + .A2(\blk00000003/blk000005dc/sig0000169a ), + .A3(\blk00000003/blk000005dc/sig0000169a ), + .CE(\blk00000003/blk000005dc/sig000016a3 ), + .CLK(clk), + .D(\blk00000003/sig00000b67 ), + .Q(\blk00000003/blk000005dc/sig0000169e ), + .Q15(\NLW_blk00000003/blk000005dc/blk000005e9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005dc/blk000005e8 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000005dc/sig0000169a ), + .A2(\blk00000003/blk000005dc/sig0000169a ), + .A3(\blk00000003/blk000005dc/sig0000169a ), + .CE(\blk00000003/blk000005dc/sig000016a3 ), + .CLK(clk), + .D(\blk00000003/sig00000b68 ), + .Q(\blk00000003/blk000005dc/sig0000169d ), + .Q15(\NLW_blk00000003/blk000005dc/blk000005e8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005dc/blk000005e7 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000005dc/sig0000169a ), + .A2(\blk00000003/blk000005dc/sig0000169a ), + .A3(\blk00000003/blk000005dc/sig0000169a ), + .CE(\blk00000003/blk000005dc/sig000016a3 ), + .CLK(clk), + .D(\blk00000003/sig00000b69 ), + .Q(\blk00000003/blk000005dc/sig0000169c ), + .Q15(\NLW_blk00000003/blk000005dc/blk000005e7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005dc/blk000005e6 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000005dc/sig0000169a ), + .A2(\blk00000003/blk000005dc/sig0000169a ), + .A3(\blk00000003/blk000005dc/sig0000169a ), + .CE(\blk00000003/blk000005dc/sig000016a3 ), + .CLK(clk), + .D(\blk00000003/sig00000b6a ), + .Q(\blk00000003/blk000005dc/sig0000169b ), + .Q15(\NLW_blk00000003/blk000005dc/blk000005e6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005dc/blk000005e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005dc/sig000016a2 ), + .Q(\blk00000003/sig000004ae ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005dc/blk000005e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005dc/sig000016a1 ), + .Q(\blk00000003/sig000004af ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005dc/blk000005e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005dc/sig000016a0 ), + .Q(\blk00000003/sig000004b0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005dc/blk000005e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005dc/sig0000169f ), + .Q(\blk00000003/sig000004b1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005dc/blk000005e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005dc/sig0000169e ), + .Q(\blk00000003/sig000004b2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005dc/blk000005e0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005dc/sig0000169d ), + .Q(\blk00000003/sig000004b3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005dc/blk000005df ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005dc/sig0000169c ), + .Q(\blk00000003/sig000004b4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005dc/blk000005de ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005dc/sig0000169b ), + .Q(\blk00000003/sig000004b5 ) + ); + GND \blk00000003/blk000005dc/blk000005dd ( + .G(\blk00000003/blk000005dc/sig0000169a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005ef/blk00000601 ( + .I0(\blk00000003/sig000009fa ), + .I1(ce), + .O(\blk00000003/blk000005ef/sig000016c1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ef/blk00000600 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005ef/sig000016b8 ), + .A2(\blk00000003/blk000005ef/sig000016b8 ), + .A3(\blk00000003/blk000005ef/sig000016b8 ), + .CE(\blk00000003/blk000005ef/sig000016c1 ), + .CLK(clk), + .D(\blk00000003/sig00000720 ), + .Q(\blk00000003/blk000005ef/sig000016c0 ), + .Q15(\NLW_blk00000003/blk000005ef/blk00000600_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ef/blk000005ff ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005ef/sig000016b8 ), + .A2(\blk00000003/blk000005ef/sig000016b8 ), + .A3(\blk00000003/blk000005ef/sig000016b8 ), + .CE(\blk00000003/blk000005ef/sig000016c1 ), + .CLK(clk), + .D(\blk00000003/sig00000721 ), + .Q(\blk00000003/blk000005ef/sig000016bf ), + .Q15(\NLW_blk00000003/blk000005ef/blk000005ff_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ef/blk000005fe ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005ef/sig000016b8 ), + .A2(\blk00000003/blk000005ef/sig000016b8 ), + .A3(\blk00000003/blk000005ef/sig000016b8 ), + .CE(\blk00000003/blk000005ef/sig000016c1 ), + .CLK(clk), + .D(\blk00000003/sig00000722 ), + .Q(\blk00000003/blk000005ef/sig000016be ), + .Q15(\NLW_blk00000003/blk000005ef/blk000005fe_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ef/blk000005fd ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005ef/sig000016b8 ), + .A2(\blk00000003/blk000005ef/sig000016b8 ), + .A3(\blk00000003/blk000005ef/sig000016b8 ), + .CE(\blk00000003/blk000005ef/sig000016c1 ), + .CLK(clk), + .D(\blk00000003/sig00000723 ), + .Q(\blk00000003/blk000005ef/sig000016bd ), + .Q15(\NLW_blk00000003/blk000005ef/blk000005fd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ef/blk000005fc ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005ef/sig000016b8 ), + .A2(\blk00000003/blk000005ef/sig000016b8 ), + .A3(\blk00000003/blk000005ef/sig000016b8 ), + .CE(\blk00000003/blk000005ef/sig000016c1 ), + .CLK(clk), + .D(\blk00000003/sig00000724 ), + .Q(\blk00000003/blk000005ef/sig000016bc ), + .Q15(\NLW_blk00000003/blk000005ef/blk000005fc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ef/blk000005fb ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005ef/sig000016b8 ), + .A2(\blk00000003/blk000005ef/sig000016b8 ), + .A3(\blk00000003/blk000005ef/sig000016b8 ), + .CE(\blk00000003/blk000005ef/sig000016c1 ), + .CLK(clk), + .D(\blk00000003/sig00000725 ), + .Q(\blk00000003/blk000005ef/sig000016bb ), + .Q15(\NLW_blk00000003/blk000005ef/blk000005fb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ef/blk000005fa ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005ef/sig000016b8 ), + .A2(\blk00000003/blk000005ef/sig000016b8 ), + .A3(\blk00000003/blk000005ef/sig000016b8 ), + .CE(\blk00000003/blk000005ef/sig000016c1 ), + .CLK(clk), + .D(\blk00000003/sig00000726 ), + .Q(\blk00000003/blk000005ef/sig000016ba ), + .Q15(\NLW_blk00000003/blk000005ef/blk000005fa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ef/blk000005f9 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005ef/sig000016b8 ), + .A2(\blk00000003/blk000005ef/sig000016b8 ), + .A3(\blk00000003/blk000005ef/sig000016b8 ), + .CE(\blk00000003/blk000005ef/sig000016c1 ), + .CLK(clk), + .D(\blk00000003/sig00000727 ), + .Q(\blk00000003/blk000005ef/sig000016b9 ), + .Q15(\NLW_blk00000003/blk000005ef/blk000005f9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ef/blk000005f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005ef/sig000016c0 ), + .Q(\blk00000003/sig00000538 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ef/blk000005f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005ef/sig000016bf ), + .Q(\blk00000003/sig00000539 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ef/blk000005f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005ef/sig000016be ), + .Q(\blk00000003/sig0000053a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ef/blk000005f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005ef/sig000016bd ), + .Q(\blk00000003/sig0000053b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ef/blk000005f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005ef/sig000016bc ), + .Q(\blk00000003/sig0000053c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ef/blk000005f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005ef/sig000016bb ), + .Q(\blk00000003/sig0000053d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ef/blk000005f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005ef/sig000016ba ), + .Q(\blk00000003/sig0000053e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ef/blk000005f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005ef/sig000016b9 ), + .Q(\blk00000003/sig0000053f ) + ); + GND \blk00000003/blk000005ef/blk000005f0 ( + .G(\blk00000003/blk000005ef/sig000016b8 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000602/blk00000614 ( + .I0(\blk00000003/sig000009f8 ), + .I1(ce), + .O(\blk00000003/blk00000602/sig000016df ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000602/blk00000613 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000602/sig000016d6 ), + .A2(\blk00000003/blk00000602/sig000016d6 ), + .A3(\blk00000003/blk00000602/sig000016d6 ), + .CE(\blk00000003/blk00000602/sig000016df ), + .CLK(clk), + .D(\blk00000003/sig00000b6b ), + .Q(\blk00000003/blk00000602/sig000016de ), + .Q15(\NLW_blk00000003/blk00000602/blk00000613_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000602/blk00000612 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000602/sig000016d6 ), + .A2(\blk00000003/blk00000602/sig000016d6 ), + .A3(\blk00000003/blk00000602/sig000016d6 ), + .CE(\blk00000003/blk00000602/sig000016df ), + .CLK(clk), + .D(\blk00000003/sig00000b6c ), + .Q(\blk00000003/blk00000602/sig000016dd ), + .Q15(\NLW_blk00000003/blk00000602/blk00000612_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000602/blk00000611 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000602/sig000016d6 ), + .A2(\blk00000003/blk00000602/sig000016d6 ), + .A3(\blk00000003/blk00000602/sig000016d6 ), + .CE(\blk00000003/blk00000602/sig000016df ), + .CLK(clk), + .D(\blk00000003/sig00000b6d ), + .Q(\blk00000003/blk00000602/sig000016dc ), + .Q15(\NLW_blk00000003/blk00000602/blk00000611_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000602/blk00000610 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000602/sig000016d6 ), + .A2(\blk00000003/blk00000602/sig000016d6 ), + .A3(\blk00000003/blk00000602/sig000016d6 ), + .CE(\blk00000003/blk00000602/sig000016df ), + .CLK(clk), + .D(\blk00000003/sig00000b6e ), + .Q(\blk00000003/blk00000602/sig000016db ), + .Q15(\NLW_blk00000003/blk00000602/blk00000610_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000602/blk0000060f ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000602/sig000016d6 ), + .A2(\blk00000003/blk00000602/sig000016d6 ), + .A3(\blk00000003/blk00000602/sig000016d6 ), + .CE(\blk00000003/blk00000602/sig000016df ), + .CLK(clk), + .D(\blk00000003/sig00000b6f ), + .Q(\blk00000003/blk00000602/sig000016da ), + .Q15(\NLW_blk00000003/blk00000602/blk0000060f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000602/blk0000060e ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000602/sig000016d6 ), + .A2(\blk00000003/blk00000602/sig000016d6 ), + .A3(\blk00000003/blk00000602/sig000016d6 ), + .CE(\blk00000003/blk00000602/sig000016df ), + .CLK(clk), + .D(\blk00000003/sig00000b70 ), + .Q(\blk00000003/blk00000602/sig000016d9 ), + .Q15(\NLW_blk00000003/blk00000602/blk0000060e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000602/blk0000060d ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000602/sig000016d6 ), + .A2(\blk00000003/blk00000602/sig000016d6 ), + .A3(\blk00000003/blk00000602/sig000016d6 ), + .CE(\blk00000003/blk00000602/sig000016df ), + .CLK(clk), + .D(\blk00000003/sig00000b71 ), + .Q(\blk00000003/blk00000602/sig000016d8 ), + .Q15(\NLW_blk00000003/blk00000602/blk0000060d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000602/blk0000060c ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000602/sig000016d6 ), + .A2(\blk00000003/blk00000602/sig000016d6 ), + .A3(\blk00000003/blk00000602/sig000016d6 ), + .CE(\blk00000003/blk00000602/sig000016df ), + .CLK(clk), + .D(\blk00000003/sig00000b72 ), + .Q(\blk00000003/blk00000602/sig000016d7 ), + .Q15(\NLW_blk00000003/blk00000602/blk0000060c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000602/blk0000060b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000602/sig000016de ), + .Q(\blk00000003/sig00000500 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000602/blk0000060a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000602/sig000016dd ), + .Q(\blk00000003/sig00000501 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000602/blk00000609 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000602/sig000016dc ), + .Q(\blk00000003/sig00000502 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000602/blk00000608 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000602/sig000016db ), + .Q(\blk00000003/sig00000503 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000602/blk00000607 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000602/sig000016da ), + .Q(\blk00000003/sig00000504 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000602/blk00000606 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000602/sig000016d9 ), + .Q(\blk00000003/sig00000505 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000602/blk00000605 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000602/sig000016d8 ), + .Q(\blk00000003/sig00000506 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000602/blk00000604 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000602/sig000016d7 ), + .Q(\blk00000003/sig00000507 ) + ); + GND \blk00000003/blk00000602/blk00000603 ( + .G(\blk00000003/blk00000602/sig000016d6 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000615/blk00000627 ( + .I0(\blk00000003/sig000009f9 ), + .I1(ce), + .O(\blk00000003/blk00000615/sig000016fd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000615/blk00000626 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk00000615/sig000016f4 ), + .A2(\blk00000003/blk00000615/sig000016f4 ), + .A3(\blk00000003/blk00000615/sig000016f4 ), + .CE(\blk00000003/blk00000615/sig000016fd ), + .CLK(clk), + .D(\blk00000003/sig000005ec ), + .Q(\blk00000003/blk00000615/sig000016fc ), + .Q15(\NLW_blk00000003/blk00000615/blk00000626_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000615/blk00000625 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk00000615/sig000016f4 ), + .A2(\blk00000003/blk00000615/sig000016f4 ), + .A3(\blk00000003/blk00000615/sig000016f4 ), + .CE(\blk00000003/blk00000615/sig000016fd ), + .CLK(clk), + .D(\blk00000003/sig000005ed ), + .Q(\blk00000003/blk00000615/sig000016fb ), + .Q15(\NLW_blk00000003/blk00000615/blk00000625_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000615/blk00000624 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk00000615/sig000016f4 ), + .A2(\blk00000003/blk00000615/sig000016f4 ), + .A3(\blk00000003/blk00000615/sig000016f4 ), + .CE(\blk00000003/blk00000615/sig000016fd ), + .CLK(clk), + .D(\blk00000003/sig000005ee ), + .Q(\blk00000003/blk00000615/sig000016fa ), + .Q15(\NLW_blk00000003/blk00000615/blk00000624_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000615/blk00000623 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk00000615/sig000016f4 ), + .A2(\blk00000003/blk00000615/sig000016f4 ), + .A3(\blk00000003/blk00000615/sig000016f4 ), + .CE(\blk00000003/blk00000615/sig000016fd ), + .CLK(clk), + .D(\blk00000003/sig000005ef ), + .Q(\blk00000003/blk00000615/sig000016f9 ), + .Q15(\NLW_blk00000003/blk00000615/blk00000623_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000615/blk00000622 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk00000615/sig000016f4 ), + .A2(\blk00000003/blk00000615/sig000016f4 ), + .A3(\blk00000003/blk00000615/sig000016f4 ), + .CE(\blk00000003/blk00000615/sig000016fd ), + .CLK(clk), + .D(\blk00000003/sig000005f0 ), + .Q(\blk00000003/blk00000615/sig000016f8 ), + .Q15(\NLW_blk00000003/blk00000615/blk00000622_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000615/blk00000621 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk00000615/sig000016f4 ), + .A2(\blk00000003/blk00000615/sig000016f4 ), + .A3(\blk00000003/blk00000615/sig000016f4 ), + .CE(\blk00000003/blk00000615/sig000016fd ), + .CLK(clk), + .D(\blk00000003/sig000005f1 ), + .Q(\blk00000003/blk00000615/sig000016f7 ), + .Q15(\NLW_blk00000003/blk00000615/blk00000621_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000615/blk00000620 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk00000615/sig000016f4 ), + .A2(\blk00000003/blk00000615/sig000016f4 ), + .A3(\blk00000003/blk00000615/sig000016f4 ), + .CE(\blk00000003/blk00000615/sig000016fd ), + .CLK(clk), + .D(\blk00000003/sig000005f2 ), + .Q(\blk00000003/blk00000615/sig000016f6 ), + .Q15(\NLW_blk00000003/blk00000615/blk00000620_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000615/blk0000061f ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk00000615/sig000016f4 ), + .A2(\blk00000003/blk00000615/sig000016f4 ), + .A3(\blk00000003/blk00000615/sig000016f4 ), + .CE(\blk00000003/blk00000615/sig000016fd ), + .CLK(clk), + .D(\blk00000003/sig000005f3 ), + .Q(\blk00000003/blk00000615/sig000016f5 ), + .Q15(\NLW_blk00000003/blk00000615/blk0000061f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000615/blk0000061e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000615/sig000016fc ), + .Q(\blk00000003/sig0000063e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000615/blk0000061d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000615/sig000016fb ), + .Q(\blk00000003/sig0000063f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000615/blk0000061c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000615/sig000016fa ), + .Q(\blk00000003/sig00000640 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000615/blk0000061b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000615/sig000016f9 ), + .Q(\blk00000003/sig00000641 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000615/blk0000061a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000615/sig000016f8 ), + .Q(\blk00000003/sig00000642 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000615/blk00000619 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000615/sig000016f7 ), + .Q(\blk00000003/sig00000643 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000615/blk00000618 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000615/sig000016f6 ), + .Q(\blk00000003/sig00000644 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000615/blk00000617 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000615/sig000016f5 ), + .Q(\blk00000003/sig00000645 ) + ); + GND \blk00000003/blk00000615/blk00000616 ( + .G(\blk00000003/blk00000615/sig000016f4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000628/blk0000063a ( + .I0(\blk00000003/sig000009f7 ), + .I1(ce), + .O(\blk00000003/blk00000628/sig0000171b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000628/blk00000639 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000628/sig00001712 ), + .A2(\blk00000003/blk00000628/sig00001712 ), + .A3(\blk00000003/blk00000628/sig00001712 ), + .CE(\blk00000003/blk00000628/sig0000171b ), + .CLK(clk), + .D(\blk00000003/sig00000b73 ), + .Q(\blk00000003/blk00000628/sig0000171a ), + .Q15(\NLW_blk00000003/blk00000628/blk00000639_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000628/blk00000638 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000628/sig00001712 ), + .A2(\blk00000003/blk00000628/sig00001712 ), + .A3(\blk00000003/blk00000628/sig00001712 ), + .CE(\blk00000003/blk00000628/sig0000171b ), + .CLK(clk), + .D(\blk00000003/sig00000b74 ), + .Q(\blk00000003/blk00000628/sig00001719 ), + .Q15(\NLW_blk00000003/blk00000628/blk00000638_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000628/blk00000637 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000628/sig00001712 ), + .A2(\blk00000003/blk00000628/sig00001712 ), + .A3(\blk00000003/blk00000628/sig00001712 ), + .CE(\blk00000003/blk00000628/sig0000171b ), + .CLK(clk), + .D(\blk00000003/sig00000b75 ), + .Q(\blk00000003/blk00000628/sig00001718 ), + .Q15(\NLW_blk00000003/blk00000628/blk00000637_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000628/blk00000636 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000628/sig00001712 ), + .A2(\blk00000003/blk00000628/sig00001712 ), + .A3(\blk00000003/blk00000628/sig00001712 ), + .CE(\blk00000003/blk00000628/sig0000171b ), + .CLK(clk), + .D(\blk00000003/sig00000b76 ), + .Q(\blk00000003/blk00000628/sig00001717 ), + .Q15(\NLW_blk00000003/blk00000628/blk00000636_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000628/blk00000635 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000628/sig00001712 ), + .A2(\blk00000003/blk00000628/sig00001712 ), + .A3(\blk00000003/blk00000628/sig00001712 ), + .CE(\blk00000003/blk00000628/sig0000171b ), + .CLK(clk), + .D(\blk00000003/sig00000b77 ), + .Q(\blk00000003/blk00000628/sig00001716 ), + .Q15(\NLW_blk00000003/blk00000628/blk00000635_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000628/blk00000634 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000628/sig00001712 ), + .A2(\blk00000003/blk00000628/sig00001712 ), + .A3(\blk00000003/blk00000628/sig00001712 ), + .CE(\blk00000003/blk00000628/sig0000171b ), + .CLK(clk), + .D(\blk00000003/sig00000b78 ), + .Q(\blk00000003/blk00000628/sig00001715 ), + .Q15(\NLW_blk00000003/blk00000628/blk00000634_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000628/blk00000633 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000628/sig00001712 ), + .A2(\blk00000003/blk00000628/sig00001712 ), + .A3(\blk00000003/blk00000628/sig00001712 ), + .CE(\blk00000003/blk00000628/sig0000171b ), + .CLK(clk), + .D(\blk00000003/sig00000b79 ), + .Q(\blk00000003/blk00000628/sig00001714 ), + .Q15(\NLW_blk00000003/blk00000628/blk00000633_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000628/blk00000632 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000628/sig00001712 ), + .A2(\blk00000003/blk00000628/sig00001712 ), + .A3(\blk00000003/blk00000628/sig00001712 ), + .CE(\blk00000003/blk00000628/sig0000171b ), + .CLK(clk), + .D(\blk00000003/sig00000b7a ), + .Q(\blk00000003/blk00000628/sig00001713 ), + .Q15(\NLW_blk00000003/blk00000628/blk00000632_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000628/blk00000631 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000628/sig0000171a ), + .Q(\blk00000003/sig00000636 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000628/blk00000630 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000628/sig00001719 ), + .Q(\blk00000003/sig00000637 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000628/blk0000062f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000628/sig00001718 ), + .Q(\blk00000003/sig00000638 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000628/blk0000062e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000628/sig00001717 ), + .Q(\blk00000003/sig00000639 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000628/blk0000062d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000628/sig00001716 ), + .Q(\blk00000003/sig0000063a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000628/blk0000062c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000628/sig00001715 ), + .Q(\blk00000003/sig0000063b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000628/blk0000062b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000628/sig00001714 ), + .Q(\blk00000003/sig0000063c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000628/blk0000062a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000628/sig00001713 ), + .Q(\blk00000003/sig0000063d ) + ); + GND \blk00000003/blk00000628/blk00000629 ( + .G(\blk00000003/blk00000628/sig00001712 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000063b/blk0000064d ( + .I0(\blk00000003/sig000009f9 ), + .I1(ce), + .O(\blk00000003/blk0000063b/sig00001739 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063b/blk0000064c ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000063b/sig00001730 ), + .A2(\blk00000003/blk0000063b/sig00001730 ), + .A3(\blk00000003/blk0000063b/sig00001730 ), + .CE(\blk00000003/blk0000063b/sig00001739 ), + .CLK(clk), + .D(\blk00000003/sig000006e0 ), + .Q(\blk00000003/blk0000063b/sig00001738 ), + .Q15(\NLW_blk00000003/blk0000063b/blk0000064c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063b/blk0000064b ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000063b/sig00001730 ), + .A2(\blk00000003/blk0000063b/sig00001730 ), + .A3(\blk00000003/blk0000063b/sig00001730 ), + .CE(\blk00000003/blk0000063b/sig00001739 ), + .CLK(clk), + .D(\blk00000003/sig000006e1 ), + .Q(\blk00000003/blk0000063b/sig00001737 ), + .Q15(\NLW_blk00000003/blk0000063b/blk0000064b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063b/blk0000064a ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000063b/sig00001730 ), + .A2(\blk00000003/blk0000063b/sig00001730 ), + .A3(\blk00000003/blk0000063b/sig00001730 ), + .CE(\blk00000003/blk0000063b/sig00001739 ), + .CLK(clk), + .D(\blk00000003/sig000006e2 ), + .Q(\blk00000003/blk0000063b/sig00001736 ), + .Q15(\NLW_blk00000003/blk0000063b/blk0000064a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063b/blk00000649 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000063b/sig00001730 ), + .A2(\blk00000003/blk0000063b/sig00001730 ), + .A3(\blk00000003/blk0000063b/sig00001730 ), + .CE(\blk00000003/blk0000063b/sig00001739 ), + .CLK(clk), + .D(\blk00000003/sig000006e3 ), + .Q(\blk00000003/blk0000063b/sig00001735 ), + .Q15(\NLW_blk00000003/blk0000063b/blk00000649_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063b/blk00000648 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000063b/sig00001730 ), + .A2(\blk00000003/blk0000063b/sig00001730 ), + .A3(\blk00000003/blk0000063b/sig00001730 ), + .CE(\blk00000003/blk0000063b/sig00001739 ), + .CLK(clk), + .D(\blk00000003/sig000006e4 ), + .Q(\blk00000003/blk0000063b/sig00001734 ), + .Q15(\NLW_blk00000003/blk0000063b/blk00000648_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063b/blk00000647 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000063b/sig00001730 ), + .A2(\blk00000003/blk0000063b/sig00001730 ), + .A3(\blk00000003/blk0000063b/sig00001730 ), + .CE(\blk00000003/blk0000063b/sig00001739 ), + .CLK(clk), + .D(\blk00000003/sig000006e5 ), + .Q(\blk00000003/blk0000063b/sig00001733 ), + .Q15(\NLW_blk00000003/blk0000063b/blk00000647_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063b/blk00000646 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000063b/sig00001730 ), + .A2(\blk00000003/blk0000063b/sig00001730 ), + .A3(\blk00000003/blk0000063b/sig00001730 ), + .CE(\blk00000003/blk0000063b/sig00001739 ), + .CLK(clk), + .D(\blk00000003/sig000006e6 ), + .Q(\blk00000003/blk0000063b/sig00001732 ), + .Q15(\NLW_blk00000003/blk0000063b/blk00000646_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063b/blk00000645 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000063b/sig00001730 ), + .A2(\blk00000003/blk0000063b/sig00001730 ), + .A3(\blk00000003/blk0000063b/sig00001730 ), + .CE(\blk00000003/blk0000063b/sig00001739 ), + .CLK(clk), + .D(\blk00000003/sig000006e7 ), + .Q(\blk00000003/blk0000063b/sig00001731 ), + .Q15(\NLW_blk00000003/blk0000063b/blk00000645_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b/blk00000644 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000063b/sig00001738 ), + .Q(\blk00000003/sig00000720 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b/blk00000643 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000063b/sig00001737 ), + .Q(\blk00000003/sig00000721 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b/blk00000642 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000063b/sig00001736 ), + .Q(\blk00000003/sig00000722 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b/blk00000641 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000063b/sig00001735 ), + .Q(\blk00000003/sig00000723 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b/blk00000640 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000063b/sig00001734 ), + .Q(\blk00000003/sig00000724 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b/blk0000063f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000063b/sig00001733 ), + .Q(\blk00000003/sig00000725 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b/blk0000063e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000063b/sig00001732 ), + .Q(\blk00000003/sig00000726 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b/blk0000063d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000063b/sig00001731 ), + .Q(\blk00000003/sig00000727 ) + ); + GND \blk00000003/blk0000063b/blk0000063c ( + .G(\blk00000003/blk0000063b/sig00001730 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000064e/blk00000660 ( + .I0(\blk00000003/sig000009f7 ), + .I1(ce), + .O(\blk00000003/blk0000064e/sig00001757 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064e/blk0000065f ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk0000064e/sig0000174e ), + .A2(\blk00000003/blk0000064e/sig0000174e ), + .A3(\blk00000003/blk0000064e/sig0000174e ), + .CE(\blk00000003/blk0000064e/sig00001757 ), + .CLK(clk), + .D(\blk00000003/sig00000b7b ), + .Q(\blk00000003/blk0000064e/sig00001756 ), + .Q15(\NLW_blk00000003/blk0000064e/blk0000065f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064e/blk0000065e ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk0000064e/sig0000174e ), + .A2(\blk00000003/blk0000064e/sig0000174e ), + .A3(\blk00000003/blk0000064e/sig0000174e ), + .CE(\blk00000003/blk0000064e/sig00001757 ), + .CLK(clk), + .D(\blk00000003/sig00000b7c ), + .Q(\blk00000003/blk0000064e/sig00001755 ), + .Q15(\NLW_blk00000003/blk0000064e/blk0000065e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064e/blk0000065d ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk0000064e/sig0000174e ), + .A2(\blk00000003/blk0000064e/sig0000174e ), + .A3(\blk00000003/blk0000064e/sig0000174e ), + .CE(\blk00000003/blk0000064e/sig00001757 ), + .CLK(clk), + .D(\blk00000003/sig00000b7d ), + .Q(\blk00000003/blk0000064e/sig00001754 ), + .Q15(\NLW_blk00000003/blk0000064e/blk0000065d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064e/blk0000065c ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk0000064e/sig0000174e ), + .A2(\blk00000003/blk0000064e/sig0000174e ), + .A3(\blk00000003/blk0000064e/sig0000174e ), + .CE(\blk00000003/blk0000064e/sig00001757 ), + .CLK(clk), + .D(\blk00000003/sig00000b7e ), + .Q(\blk00000003/blk0000064e/sig00001753 ), + .Q15(\NLW_blk00000003/blk0000064e/blk0000065c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064e/blk0000065b ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk0000064e/sig0000174e ), + .A2(\blk00000003/blk0000064e/sig0000174e ), + .A3(\blk00000003/blk0000064e/sig0000174e ), + .CE(\blk00000003/blk0000064e/sig00001757 ), + .CLK(clk), + .D(\blk00000003/sig00000b7f ), + .Q(\blk00000003/blk0000064e/sig00001752 ), + .Q15(\NLW_blk00000003/blk0000064e/blk0000065b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064e/blk0000065a ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk0000064e/sig0000174e ), + .A2(\blk00000003/blk0000064e/sig0000174e ), + .A3(\blk00000003/blk0000064e/sig0000174e ), + .CE(\blk00000003/blk0000064e/sig00001757 ), + .CLK(clk), + .D(\blk00000003/sig00000b80 ), + .Q(\blk00000003/blk0000064e/sig00001751 ), + .Q15(\NLW_blk00000003/blk0000064e/blk0000065a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064e/blk00000659 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk0000064e/sig0000174e ), + .A2(\blk00000003/blk0000064e/sig0000174e ), + .A3(\blk00000003/blk0000064e/sig0000174e ), + .CE(\blk00000003/blk0000064e/sig00001757 ), + .CLK(clk), + .D(\blk00000003/sig00000b81 ), + .Q(\blk00000003/blk0000064e/sig00001750 ), + .Q15(\NLW_blk00000003/blk0000064e/blk00000659_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064e/blk00000658 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk0000064e/sig0000174e ), + .A2(\blk00000003/blk0000064e/sig0000174e ), + .A3(\blk00000003/blk0000064e/sig0000174e ), + .CE(\blk00000003/blk0000064e/sig00001757 ), + .CLK(clk), + .D(\blk00000003/sig00000b82 ), + .Q(\blk00000003/blk0000064e/sig0000174f ), + .Q15(\NLW_blk00000003/blk0000064e/blk00000658_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064e/blk00000657 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000064e/sig00001756 ), + .Q(\blk00000003/sig00000718 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064e/blk00000656 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000064e/sig00001755 ), + .Q(\blk00000003/sig00000719 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064e/blk00000655 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000064e/sig00001754 ), + .Q(\blk00000003/sig0000071a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064e/blk00000654 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000064e/sig00001753 ), + .Q(\blk00000003/sig0000071b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064e/blk00000653 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000064e/sig00001752 ), + .Q(\blk00000003/sig0000071c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064e/blk00000652 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000064e/sig00001751 ), + .Q(\blk00000003/sig0000071d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064e/blk00000651 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000064e/sig00001750 ), + .Q(\blk00000003/sig0000071e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064e/blk00000650 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000064e/sig0000174f ), + .Q(\blk00000003/sig0000071f ) + ); + GND \blk00000003/blk0000064e/blk0000064f ( + .G(\blk00000003/blk0000064e/sig0000174e ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000661/blk00000673 ( + .I0(\blk00000003/sig00000a06 ), + .I1(ce), + .O(\blk00000003/blk00000661/sig00001775 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000661/blk00000672 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000661/sig0000176c ), + .A2(\blk00000003/blk00000661/sig0000176c ), + .A3(\blk00000003/blk00000661/sig0000176c ), + .CE(\blk00000003/blk00000661/sig00001775 ), + .CLK(clk), + .D(\blk00000003/sig0000059a ), + .Q(\blk00000003/blk00000661/sig00001774 ), + .Q15(\NLW_blk00000003/blk00000661/blk00000672_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000661/blk00000671 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000661/sig0000176c ), + .A2(\blk00000003/blk00000661/sig0000176c ), + .A3(\blk00000003/blk00000661/sig0000176c ), + .CE(\blk00000003/blk00000661/sig00001775 ), + .CLK(clk), + .D(\blk00000003/sig0000059b ), + .Q(\blk00000003/blk00000661/sig00001773 ), + .Q15(\NLW_blk00000003/blk00000661/blk00000671_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000661/blk00000670 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000661/sig0000176c ), + .A2(\blk00000003/blk00000661/sig0000176c ), + .A3(\blk00000003/blk00000661/sig0000176c ), + .CE(\blk00000003/blk00000661/sig00001775 ), + .CLK(clk), + .D(\blk00000003/sig0000059c ), + .Q(\blk00000003/blk00000661/sig00001772 ), + .Q15(\NLW_blk00000003/blk00000661/blk00000670_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000661/blk0000066f ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000661/sig0000176c ), + .A2(\blk00000003/blk00000661/sig0000176c ), + .A3(\blk00000003/blk00000661/sig0000176c ), + .CE(\blk00000003/blk00000661/sig00001775 ), + .CLK(clk), + .D(\blk00000003/sig0000059d ), + .Q(\blk00000003/blk00000661/sig00001771 ), + .Q15(\NLW_blk00000003/blk00000661/blk0000066f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000661/blk0000066e ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000661/sig0000176c ), + .A2(\blk00000003/blk00000661/sig0000176c ), + .A3(\blk00000003/blk00000661/sig0000176c ), + .CE(\blk00000003/blk00000661/sig00001775 ), + .CLK(clk), + .D(\blk00000003/sig0000059e ), + .Q(\blk00000003/blk00000661/sig00001770 ), + .Q15(\NLW_blk00000003/blk00000661/blk0000066e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000661/blk0000066d ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000661/sig0000176c ), + .A2(\blk00000003/blk00000661/sig0000176c ), + .A3(\blk00000003/blk00000661/sig0000176c ), + .CE(\blk00000003/blk00000661/sig00001775 ), + .CLK(clk), + .D(\blk00000003/sig0000059f ), + .Q(\blk00000003/blk00000661/sig0000176f ), + .Q15(\NLW_blk00000003/blk00000661/blk0000066d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000661/blk0000066c ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000661/sig0000176c ), + .A2(\blk00000003/blk00000661/sig0000176c ), + .A3(\blk00000003/blk00000661/sig0000176c ), + .CE(\blk00000003/blk00000661/sig00001775 ), + .CLK(clk), + .D(\blk00000003/sig000005a0 ), + .Q(\blk00000003/blk00000661/sig0000176e ), + .Q15(\NLW_blk00000003/blk00000661/blk0000066c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000661/blk0000066b ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000661/sig0000176c ), + .A2(\blk00000003/blk00000661/sig0000176c ), + .A3(\blk00000003/blk00000661/sig0000176c ), + .CE(\blk00000003/blk00000661/sig00001775 ), + .CLK(clk), + .D(\blk00000003/sig000005a1 ), + .Q(\blk00000003/blk00000661/sig0000176d ), + .Q15(\NLW_blk00000003/blk00000661/blk0000066b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000661/blk0000066a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000661/sig00001774 ), + .Q(\blk00000003/sig000005ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000661/blk00000669 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000661/sig00001773 ), + .Q(\blk00000003/sig000005ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000661/blk00000668 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000661/sig00001772 ), + .Q(\blk00000003/sig000005ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000661/blk00000667 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000661/sig00001771 ), + .Q(\blk00000003/sig000005ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000661/blk00000666 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000661/sig00001770 ), + .Q(\blk00000003/sig000005f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000661/blk00000665 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000661/sig0000176f ), + .Q(\blk00000003/sig000005f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000661/blk00000664 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000661/sig0000176e ), + .Q(\blk00000003/sig000005f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000661/blk00000663 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000661/sig0000176d ), + .Q(\blk00000003/sig000005f3 ) + ); + GND \blk00000003/blk00000661/blk00000662 ( + .G(\blk00000003/blk00000661/sig0000176c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000674/blk00000686 ( + .I0(\blk00000003/sig00000a03 ), + .I1(ce), + .O(\blk00000003/blk00000674/sig00001793 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000674/blk00000685 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000674/sig0000178a ), + .A2(\blk00000003/blk00000674/sig0000178a ), + .A3(\blk00000003/blk00000674/sig0000178a ), + .CE(\blk00000003/blk00000674/sig00001793 ), + .CLK(clk), + .D(\blk00000003/sig00000b83 ), + .Q(\blk00000003/blk00000674/sig00001792 ), + .Q15(\NLW_blk00000003/blk00000674/blk00000685_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000674/blk00000684 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000674/sig0000178a ), + .A2(\blk00000003/blk00000674/sig0000178a ), + .A3(\blk00000003/blk00000674/sig0000178a ), + .CE(\blk00000003/blk00000674/sig00001793 ), + .CLK(clk), + .D(\blk00000003/sig00000b84 ), + .Q(\blk00000003/blk00000674/sig00001791 ), + .Q15(\NLW_blk00000003/blk00000674/blk00000684_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000674/blk00000683 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000674/sig0000178a ), + .A2(\blk00000003/blk00000674/sig0000178a ), + .A3(\blk00000003/blk00000674/sig0000178a ), + .CE(\blk00000003/blk00000674/sig00001793 ), + .CLK(clk), + .D(\blk00000003/sig00000b85 ), + .Q(\blk00000003/blk00000674/sig00001790 ), + .Q15(\NLW_blk00000003/blk00000674/blk00000683_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000674/blk00000682 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000674/sig0000178a ), + .A2(\blk00000003/blk00000674/sig0000178a ), + .A3(\blk00000003/blk00000674/sig0000178a ), + .CE(\blk00000003/blk00000674/sig00001793 ), + .CLK(clk), + .D(\blk00000003/sig00000b86 ), + .Q(\blk00000003/blk00000674/sig0000178f ), + .Q15(\NLW_blk00000003/blk00000674/blk00000682_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000674/blk00000681 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000674/sig0000178a ), + .A2(\blk00000003/blk00000674/sig0000178a ), + .A3(\blk00000003/blk00000674/sig0000178a ), + .CE(\blk00000003/blk00000674/sig00001793 ), + .CLK(clk), + .D(\blk00000003/sig00000b87 ), + .Q(\blk00000003/blk00000674/sig0000178e ), + .Q15(\NLW_blk00000003/blk00000674/blk00000681_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000674/blk00000680 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000674/sig0000178a ), + .A2(\blk00000003/blk00000674/sig0000178a ), + .A3(\blk00000003/blk00000674/sig0000178a ), + .CE(\blk00000003/blk00000674/sig00001793 ), + .CLK(clk), + .D(\blk00000003/sig00000b88 ), + .Q(\blk00000003/blk00000674/sig0000178d ), + .Q15(\NLW_blk00000003/blk00000674/blk00000680_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000674/blk0000067f ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000674/sig0000178a ), + .A2(\blk00000003/blk00000674/sig0000178a ), + .A3(\blk00000003/blk00000674/sig0000178a ), + .CE(\blk00000003/blk00000674/sig00001793 ), + .CLK(clk), + .D(\blk00000003/sig00000b89 ), + .Q(\blk00000003/blk00000674/sig0000178c ), + .Q15(\NLW_blk00000003/blk00000674/blk0000067f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000674/blk0000067e ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000674/sig0000178a ), + .A2(\blk00000003/blk00000674/sig0000178a ), + .A3(\blk00000003/blk00000674/sig0000178a ), + .CE(\blk00000003/blk00000674/sig00001793 ), + .CLK(clk), + .D(\blk00000003/sig00000b8a ), + .Q(\blk00000003/blk00000674/sig0000178b ), + .Q15(\NLW_blk00000003/blk00000674/blk0000067e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000674/blk0000067d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000674/sig00001792 ), + .Q(\blk00000003/sig000005e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000674/blk0000067c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000674/sig00001791 ), + .Q(\blk00000003/sig000005e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000674/blk0000067b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000674/sig00001790 ), + .Q(\blk00000003/sig000005e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000674/blk0000067a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000674/sig0000178f ), + .Q(\blk00000003/sig000005e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000674/blk00000679 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000674/sig0000178e ), + .Q(\blk00000003/sig000005e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000674/blk00000678 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000674/sig0000178d ), + .Q(\blk00000003/sig000005e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000674/blk00000677 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000674/sig0000178c ), + .Q(\blk00000003/sig000005ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000674/blk00000676 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000674/sig0000178b ), + .Q(\blk00000003/sig000005eb ) + ); + GND \blk00000003/blk00000674/blk00000675 ( + .G(\blk00000003/blk00000674/sig0000178a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000687/blk00000699 ( + .I0(\blk00000003/sig00000a06 ), + .I1(ce), + .O(\blk00000003/blk00000687/sig000017b1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000687/blk00000698 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000687/sig000017a8 ), + .A2(\blk00000003/blk00000687/sig000017a8 ), + .A3(\blk00000003/blk00000687/sig000017a8 ), + .CE(\blk00000003/blk00000687/sig000017b1 ), + .CLK(clk), + .D(\blk00000003/sig000006a0 ), + .Q(\blk00000003/blk00000687/sig000017b0 ), + .Q15(\NLW_blk00000003/blk00000687/blk00000698_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000687/blk00000697 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000687/sig000017a8 ), + .A2(\blk00000003/blk00000687/sig000017a8 ), + .A3(\blk00000003/blk00000687/sig000017a8 ), + .CE(\blk00000003/blk00000687/sig000017b1 ), + .CLK(clk), + .D(\blk00000003/sig000006a1 ), + .Q(\blk00000003/blk00000687/sig000017af ), + .Q15(\NLW_blk00000003/blk00000687/blk00000697_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000687/blk00000696 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000687/sig000017a8 ), + .A2(\blk00000003/blk00000687/sig000017a8 ), + .A3(\blk00000003/blk00000687/sig000017a8 ), + .CE(\blk00000003/blk00000687/sig000017b1 ), + .CLK(clk), + .D(\blk00000003/sig000006a2 ), + .Q(\blk00000003/blk00000687/sig000017ae ), + .Q15(\NLW_blk00000003/blk00000687/blk00000696_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000687/blk00000695 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000687/sig000017a8 ), + .A2(\blk00000003/blk00000687/sig000017a8 ), + .A3(\blk00000003/blk00000687/sig000017a8 ), + .CE(\blk00000003/blk00000687/sig000017b1 ), + .CLK(clk), + .D(\blk00000003/sig000006a3 ), + .Q(\blk00000003/blk00000687/sig000017ad ), + .Q15(\NLW_blk00000003/blk00000687/blk00000695_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000687/blk00000694 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000687/sig000017a8 ), + .A2(\blk00000003/blk00000687/sig000017a8 ), + .A3(\blk00000003/blk00000687/sig000017a8 ), + .CE(\blk00000003/blk00000687/sig000017b1 ), + .CLK(clk), + .D(\blk00000003/sig000006a4 ), + .Q(\blk00000003/blk00000687/sig000017ac ), + .Q15(\NLW_blk00000003/blk00000687/blk00000694_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000687/blk00000693 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000687/sig000017a8 ), + .A2(\blk00000003/blk00000687/sig000017a8 ), + .A3(\blk00000003/blk00000687/sig000017a8 ), + .CE(\blk00000003/blk00000687/sig000017b1 ), + .CLK(clk), + .D(\blk00000003/sig000006a5 ), + .Q(\blk00000003/blk00000687/sig000017ab ), + .Q15(\NLW_blk00000003/blk00000687/blk00000693_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000687/blk00000692 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000687/sig000017a8 ), + .A2(\blk00000003/blk00000687/sig000017a8 ), + .A3(\blk00000003/blk00000687/sig000017a8 ), + .CE(\blk00000003/blk00000687/sig000017b1 ), + .CLK(clk), + .D(\blk00000003/sig000006a6 ), + .Q(\blk00000003/blk00000687/sig000017aa ), + .Q15(\NLW_blk00000003/blk00000687/blk00000692_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000687/blk00000691 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000687/sig000017a8 ), + .A2(\blk00000003/blk00000687/sig000017a8 ), + .A3(\blk00000003/blk00000687/sig000017a8 ), + .CE(\blk00000003/blk00000687/sig000017b1 ), + .CLK(clk), + .D(\blk00000003/sig000006a7 ), + .Q(\blk00000003/blk00000687/sig000017a9 ), + .Q15(\NLW_blk00000003/blk00000687/blk00000691_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000687/blk00000690 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000687/sig000017b0 ), + .Q(\blk00000003/sig000006e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000687/blk0000068f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000687/sig000017af ), + .Q(\blk00000003/sig000006e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000687/blk0000068e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000687/sig000017ae ), + .Q(\blk00000003/sig000006e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000687/blk0000068d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000687/sig000017ad ), + .Q(\blk00000003/sig000006e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000687/blk0000068c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000687/sig000017ac ), + .Q(\blk00000003/sig000006e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000687/blk0000068b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000687/sig000017ab ), + .Q(\blk00000003/sig000006e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000687/blk0000068a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000687/sig000017aa ), + .Q(\blk00000003/sig000006e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000687/blk00000689 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000687/sig000017a9 ), + .Q(\blk00000003/sig000006e7 ) + ); + GND \blk00000003/blk00000687/blk00000688 ( + .G(\blk00000003/blk00000687/sig000017a8 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000069a/blk000006ac ( + .I0(\blk00000003/sig00000a03 ), + .I1(ce), + .O(\blk00000003/blk0000069a/sig000017cf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069a/blk000006ab ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk0000069a/sig000017c6 ), + .A2(\blk00000003/blk0000069a/sig000017c6 ), + .A3(\blk00000003/blk0000069a/sig000017c6 ), + .CE(\blk00000003/blk0000069a/sig000017cf ), + .CLK(clk), + .D(\blk00000003/sig00000b8b ), + .Q(\blk00000003/blk0000069a/sig000017ce ), + .Q15(\NLW_blk00000003/blk0000069a/blk000006ab_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069a/blk000006aa ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk0000069a/sig000017c6 ), + .A2(\blk00000003/blk0000069a/sig000017c6 ), + .A3(\blk00000003/blk0000069a/sig000017c6 ), + .CE(\blk00000003/blk0000069a/sig000017cf ), + .CLK(clk), + .D(\blk00000003/sig00000b8c ), + .Q(\blk00000003/blk0000069a/sig000017cd ), + .Q15(\NLW_blk00000003/blk0000069a/blk000006aa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069a/blk000006a9 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk0000069a/sig000017c6 ), + .A2(\blk00000003/blk0000069a/sig000017c6 ), + .A3(\blk00000003/blk0000069a/sig000017c6 ), + .CE(\blk00000003/blk0000069a/sig000017cf ), + .CLK(clk), + .D(\blk00000003/sig00000b8d ), + .Q(\blk00000003/blk0000069a/sig000017cc ), + .Q15(\NLW_blk00000003/blk0000069a/blk000006a9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069a/blk000006a8 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk0000069a/sig000017c6 ), + .A2(\blk00000003/blk0000069a/sig000017c6 ), + .A3(\blk00000003/blk0000069a/sig000017c6 ), + .CE(\blk00000003/blk0000069a/sig000017cf ), + .CLK(clk), + .D(\blk00000003/sig00000b8e ), + .Q(\blk00000003/blk0000069a/sig000017cb ), + .Q15(\NLW_blk00000003/blk0000069a/blk000006a8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069a/blk000006a7 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk0000069a/sig000017c6 ), + .A2(\blk00000003/blk0000069a/sig000017c6 ), + .A3(\blk00000003/blk0000069a/sig000017c6 ), + .CE(\blk00000003/blk0000069a/sig000017cf ), + .CLK(clk), + .D(\blk00000003/sig00000b8f ), + .Q(\blk00000003/blk0000069a/sig000017ca ), + .Q15(\NLW_blk00000003/blk0000069a/blk000006a7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069a/blk000006a6 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk0000069a/sig000017c6 ), + .A2(\blk00000003/blk0000069a/sig000017c6 ), + .A3(\blk00000003/blk0000069a/sig000017c6 ), + .CE(\blk00000003/blk0000069a/sig000017cf ), + .CLK(clk), + .D(\blk00000003/sig00000b90 ), + .Q(\blk00000003/blk0000069a/sig000017c9 ), + .Q15(\NLW_blk00000003/blk0000069a/blk000006a6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069a/blk000006a5 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk0000069a/sig000017c6 ), + .A2(\blk00000003/blk0000069a/sig000017c6 ), + .A3(\blk00000003/blk0000069a/sig000017c6 ), + .CE(\blk00000003/blk0000069a/sig000017cf ), + .CLK(clk), + .D(\blk00000003/sig00000b91 ), + .Q(\blk00000003/blk0000069a/sig000017c8 ), + .Q15(\NLW_blk00000003/blk0000069a/blk000006a5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069a/blk000006a4 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk0000069a/sig000017c6 ), + .A2(\blk00000003/blk0000069a/sig000017c6 ), + .A3(\blk00000003/blk0000069a/sig000017c6 ), + .CE(\blk00000003/blk0000069a/sig000017cf ), + .CLK(clk), + .D(\blk00000003/sig00000b92 ), + .Q(\blk00000003/blk0000069a/sig000017c7 ), + .Q15(\NLW_blk00000003/blk0000069a/blk000006a4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069a/blk000006a3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000069a/sig000017ce ), + .Q(\blk00000003/sig000006d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069a/blk000006a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000069a/sig000017cd ), + .Q(\blk00000003/sig000006d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069a/blk000006a1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000069a/sig000017cc ), + .Q(\blk00000003/sig000006da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069a/blk000006a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000069a/sig000017cb ), + .Q(\blk00000003/sig000006db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069a/blk0000069f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000069a/sig000017ca ), + .Q(\blk00000003/sig000006dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069a/blk0000069e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000069a/sig000017c9 ), + .Q(\blk00000003/sig000006dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069a/blk0000069d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000069a/sig000017c8 ), + .Q(\blk00000003/sig000006de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069a/blk0000069c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000069a/sig000017c7 ), + .Q(\blk00000003/sig000006df ) + ); + GND \blk00000003/blk0000069a/blk0000069b ( + .G(\blk00000003/blk0000069a/sig000017c6 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000006ad/blk000006bf ( + .I0(\blk00000003/sig00000a09 ), + .I1(ce), + .O(\blk00000003/blk000006ad/sig000017ed ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ad/blk000006be ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006ad/sig000017e4 ), + .A2(\blk00000003/blk000006ad/sig000017e4 ), + .A3(\blk00000003/blk000006ad/sig000017e4 ), + .CE(\blk00000003/blk000006ad/sig000017ed ), + .CLK(clk), + .D(\blk00000003/sig00000548 ), + .Q(\blk00000003/blk000006ad/sig000017ec ), + .Q15(\NLW_blk00000003/blk000006ad/blk000006be_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ad/blk000006bd ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006ad/sig000017e4 ), + .A2(\blk00000003/blk000006ad/sig000017e4 ), + .A3(\blk00000003/blk000006ad/sig000017e4 ), + .CE(\blk00000003/blk000006ad/sig000017ed ), + .CLK(clk), + .D(\blk00000003/sig00000549 ), + .Q(\blk00000003/blk000006ad/sig000017eb ), + .Q15(\NLW_blk00000003/blk000006ad/blk000006bd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ad/blk000006bc ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006ad/sig000017e4 ), + .A2(\blk00000003/blk000006ad/sig000017e4 ), + .A3(\blk00000003/blk000006ad/sig000017e4 ), + .CE(\blk00000003/blk000006ad/sig000017ed ), + .CLK(clk), + .D(\blk00000003/sig0000054a ), + .Q(\blk00000003/blk000006ad/sig000017ea ), + .Q15(\NLW_blk00000003/blk000006ad/blk000006bc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ad/blk000006bb ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006ad/sig000017e4 ), + .A2(\blk00000003/blk000006ad/sig000017e4 ), + .A3(\blk00000003/blk000006ad/sig000017e4 ), + .CE(\blk00000003/blk000006ad/sig000017ed ), + .CLK(clk), + .D(\blk00000003/sig0000054b ), + .Q(\blk00000003/blk000006ad/sig000017e9 ), + .Q15(\NLW_blk00000003/blk000006ad/blk000006bb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ad/blk000006ba ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006ad/sig000017e4 ), + .A2(\blk00000003/blk000006ad/sig000017e4 ), + .A3(\blk00000003/blk000006ad/sig000017e4 ), + .CE(\blk00000003/blk000006ad/sig000017ed ), + .CLK(clk), + .D(\blk00000003/sig0000054c ), + .Q(\blk00000003/blk000006ad/sig000017e8 ), + .Q15(\NLW_blk00000003/blk000006ad/blk000006ba_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ad/blk000006b9 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006ad/sig000017e4 ), + .A2(\blk00000003/blk000006ad/sig000017e4 ), + .A3(\blk00000003/blk000006ad/sig000017e4 ), + .CE(\blk00000003/blk000006ad/sig000017ed ), + .CLK(clk), + .D(\blk00000003/sig0000054d ), + .Q(\blk00000003/blk000006ad/sig000017e7 ), + .Q15(\NLW_blk00000003/blk000006ad/blk000006b9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ad/blk000006b8 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006ad/sig000017e4 ), + .A2(\blk00000003/blk000006ad/sig000017e4 ), + .A3(\blk00000003/blk000006ad/sig000017e4 ), + .CE(\blk00000003/blk000006ad/sig000017ed ), + .CLK(clk), + .D(\blk00000003/sig0000054e ), + .Q(\blk00000003/blk000006ad/sig000017e6 ), + .Q15(\NLW_blk00000003/blk000006ad/blk000006b8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ad/blk000006b7 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006ad/sig000017e4 ), + .A2(\blk00000003/blk000006ad/sig000017e4 ), + .A3(\blk00000003/blk000006ad/sig000017e4 ), + .CE(\blk00000003/blk000006ad/sig000017ed ), + .CLK(clk), + .D(\blk00000003/sig0000054f ), + .Q(\blk00000003/blk000006ad/sig000017e5 ), + .Q15(\NLW_blk00000003/blk000006ad/blk000006b7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ad/blk000006b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006ad/sig000017ec ), + .Q(\blk00000003/sig0000059a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ad/blk000006b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006ad/sig000017eb ), + .Q(\blk00000003/sig0000059b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ad/blk000006b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006ad/sig000017ea ), + .Q(\blk00000003/sig0000059c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ad/blk000006b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006ad/sig000017e9 ), + .Q(\blk00000003/sig0000059d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ad/blk000006b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006ad/sig000017e8 ), + .Q(\blk00000003/sig0000059e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ad/blk000006b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006ad/sig000017e7 ), + .Q(\blk00000003/sig0000059f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ad/blk000006b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006ad/sig000017e6 ), + .Q(\blk00000003/sig000005a0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ad/blk000006af ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006ad/sig000017e5 ), + .Q(\blk00000003/sig000005a1 ) + ); + GND \blk00000003/blk000006ad/blk000006ae ( + .G(\blk00000003/blk000006ad/sig000017e4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000006c0/blk000006d2 ( + .I0(\blk00000003/sig00000a0a ), + .I1(ce), + .O(\blk00000003/blk000006c0/sig0000180b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c0/blk000006d1 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006c0/sig00001802 ), + .A2(\blk00000003/blk000006c0/sig00001802 ), + .A3(\blk00000003/blk000006c0/sig00001802 ), + .CE(\blk00000003/blk000006c0/sig0000180b ), + .CLK(clk), + .D(\blk00000003/sig00000b93 ), + .Q(\blk00000003/blk000006c0/sig0000180a ), + .Q15(\NLW_blk00000003/blk000006c0/blk000006d1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c0/blk000006d0 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006c0/sig00001802 ), + .A2(\blk00000003/blk000006c0/sig00001802 ), + .A3(\blk00000003/blk000006c0/sig00001802 ), + .CE(\blk00000003/blk000006c0/sig0000180b ), + .CLK(clk), + .D(\blk00000003/sig00000b94 ), + .Q(\blk00000003/blk000006c0/sig00001809 ), + .Q15(\NLW_blk00000003/blk000006c0/blk000006d0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c0/blk000006cf ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006c0/sig00001802 ), + .A2(\blk00000003/blk000006c0/sig00001802 ), + .A3(\blk00000003/blk000006c0/sig00001802 ), + .CE(\blk00000003/blk000006c0/sig0000180b ), + .CLK(clk), + .D(\blk00000003/sig00000b95 ), + .Q(\blk00000003/blk000006c0/sig00001808 ), + .Q15(\NLW_blk00000003/blk000006c0/blk000006cf_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c0/blk000006ce ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006c0/sig00001802 ), + .A2(\blk00000003/blk000006c0/sig00001802 ), + .A3(\blk00000003/blk000006c0/sig00001802 ), + .CE(\blk00000003/blk000006c0/sig0000180b ), + .CLK(clk), + .D(\blk00000003/sig00000b96 ), + .Q(\blk00000003/blk000006c0/sig00001807 ), + .Q15(\NLW_blk00000003/blk000006c0/blk000006ce_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c0/blk000006cd ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006c0/sig00001802 ), + .A2(\blk00000003/blk000006c0/sig00001802 ), + .A3(\blk00000003/blk000006c0/sig00001802 ), + .CE(\blk00000003/blk000006c0/sig0000180b ), + .CLK(clk), + .D(\blk00000003/sig00000b97 ), + .Q(\blk00000003/blk000006c0/sig00001806 ), + .Q15(\NLW_blk00000003/blk000006c0/blk000006cd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c0/blk000006cc ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006c0/sig00001802 ), + .A2(\blk00000003/blk000006c0/sig00001802 ), + .A3(\blk00000003/blk000006c0/sig00001802 ), + .CE(\blk00000003/blk000006c0/sig0000180b ), + .CLK(clk), + .D(\blk00000003/sig00000b98 ), + .Q(\blk00000003/blk000006c0/sig00001805 ), + .Q15(\NLW_blk00000003/blk000006c0/blk000006cc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c0/blk000006cb ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006c0/sig00001802 ), + .A2(\blk00000003/blk000006c0/sig00001802 ), + .A3(\blk00000003/blk000006c0/sig00001802 ), + .CE(\blk00000003/blk000006c0/sig0000180b ), + .CLK(clk), + .D(\blk00000003/sig00000b99 ), + .Q(\blk00000003/blk000006c0/sig00001804 ), + .Q15(\NLW_blk00000003/blk000006c0/blk000006cb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c0/blk000006ca ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006c0/sig00001802 ), + .A2(\blk00000003/blk000006c0/sig00001802 ), + .A3(\blk00000003/blk000006c0/sig00001802 ), + .CE(\blk00000003/blk000006c0/sig0000180b ), + .CLK(clk), + .D(\blk00000003/sig00000b9a ), + .Q(\blk00000003/blk000006c0/sig00001803 ), + .Q15(\NLW_blk00000003/blk000006c0/blk000006ca_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c0/blk000006c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006c0/sig0000180a ), + .Q(\blk00000003/sig00000592 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c0/blk000006c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006c0/sig00001809 ), + .Q(\blk00000003/sig00000593 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c0/blk000006c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006c0/sig00001808 ), + .Q(\blk00000003/sig00000594 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c0/blk000006c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006c0/sig00001807 ), + .Q(\blk00000003/sig00000595 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c0/blk000006c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006c0/sig00001806 ), + .Q(\blk00000003/sig00000596 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c0/blk000006c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006c0/sig00001805 ), + .Q(\blk00000003/sig00000597 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c0/blk000006c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006c0/sig00001804 ), + .Q(\blk00000003/sig00000598 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c0/blk000006c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006c0/sig00001803 ), + .Q(\blk00000003/sig00000599 ) + ); + GND \blk00000003/blk000006c0/blk000006c1 ( + .G(\blk00000003/blk000006c0/sig00001802 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000006d3/blk000006e5 ( + .I0(\blk00000003/sig00000a09 ), + .I1(ce), + .O(\blk00000003/blk000006d3/sig00001829 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d3/blk000006e4 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006d3/sig00001820 ), + .A2(\blk00000003/blk000006d3/sig00001820 ), + .A3(\blk00000003/blk000006d3/sig00001820 ), + .CE(\blk00000003/blk000006d3/sig00001829 ), + .CLK(clk), + .D(\blk00000003/sig00000660 ), + .Q(\blk00000003/blk000006d3/sig00001828 ), + .Q15(\NLW_blk00000003/blk000006d3/blk000006e4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d3/blk000006e3 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006d3/sig00001820 ), + .A2(\blk00000003/blk000006d3/sig00001820 ), + .A3(\blk00000003/blk000006d3/sig00001820 ), + .CE(\blk00000003/blk000006d3/sig00001829 ), + .CLK(clk), + .D(\blk00000003/sig00000661 ), + .Q(\blk00000003/blk000006d3/sig00001827 ), + .Q15(\NLW_blk00000003/blk000006d3/blk000006e3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d3/blk000006e2 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006d3/sig00001820 ), + .A2(\blk00000003/blk000006d3/sig00001820 ), + .A3(\blk00000003/blk000006d3/sig00001820 ), + .CE(\blk00000003/blk000006d3/sig00001829 ), + .CLK(clk), + .D(\blk00000003/sig00000662 ), + .Q(\blk00000003/blk000006d3/sig00001826 ), + .Q15(\NLW_blk00000003/blk000006d3/blk000006e2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d3/blk000006e1 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006d3/sig00001820 ), + .A2(\blk00000003/blk000006d3/sig00001820 ), + .A3(\blk00000003/blk000006d3/sig00001820 ), + .CE(\blk00000003/blk000006d3/sig00001829 ), + .CLK(clk), + .D(\blk00000003/sig00000663 ), + .Q(\blk00000003/blk000006d3/sig00001825 ), + .Q15(\NLW_blk00000003/blk000006d3/blk000006e1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d3/blk000006e0 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006d3/sig00001820 ), + .A2(\blk00000003/blk000006d3/sig00001820 ), + .A3(\blk00000003/blk000006d3/sig00001820 ), + .CE(\blk00000003/blk000006d3/sig00001829 ), + .CLK(clk), + .D(\blk00000003/sig00000664 ), + .Q(\blk00000003/blk000006d3/sig00001824 ), + .Q15(\NLW_blk00000003/blk000006d3/blk000006e0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d3/blk000006df ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006d3/sig00001820 ), + .A2(\blk00000003/blk000006d3/sig00001820 ), + .A3(\blk00000003/blk000006d3/sig00001820 ), + .CE(\blk00000003/blk000006d3/sig00001829 ), + .CLK(clk), + .D(\blk00000003/sig00000665 ), + .Q(\blk00000003/blk000006d3/sig00001823 ), + .Q15(\NLW_blk00000003/blk000006d3/blk000006df_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d3/blk000006de ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006d3/sig00001820 ), + .A2(\blk00000003/blk000006d3/sig00001820 ), + .A3(\blk00000003/blk000006d3/sig00001820 ), + .CE(\blk00000003/blk000006d3/sig00001829 ), + .CLK(clk), + .D(\blk00000003/sig00000666 ), + .Q(\blk00000003/blk000006d3/sig00001822 ), + .Q15(\NLW_blk00000003/blk000006d3/blk000006de_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d3/blk000006dd ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006d3/sig00001820 ), + .A2(\blk00000003/blk000006d3/sig00001820 ), + .A3(\blk00000003/blk000006d3/sig00001820 ), + .CE(\blk00000003/blk000006d3/sig00001829 ), + .CLK(clk), + .D(\blk00000003/sig00000667 ), + .Q(\blk00000003/blk000006d3/sig00001821 ), + .Q15(\NLW_blk00000003/blk000006d3/blk000006dd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d3/blk000006dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006d3/sig00001828 ), + .Q(\blk00000003/sig000006a0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d3/blk000006db ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006d3/sig00001827 ), + .Q(\blk00000003/sig000006a1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d3/blk000006da ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006d3/sig00001826 ), + .Q(\blk00000003/sig000006a2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d3/blk000006d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006d3/sig00001825 ), + .Q(\blk00000003/sig000006a3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d3/blk000006d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006d3/sig00001824 ), + .Q(\blk00000003/sig000006a4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d3/blk000006d7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006d3/sig00001823 ), + .Q(\blk00000003/sig000006a5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d3/blk000006d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006d3/sig00001822 ), + .Q(\blk00000003/sig000006a6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d3/blk000006d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006d3/sig00001821 ), + .Q(\blk00000003/sig000006a7 ) + ); + GND \blk00000003/blk000006d3/blk000006d4 ( + .G(\blk00000003/blk000006d3/sig00001820 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000006e6/blk000006f8 ( + .I0(\blk00000003/sig00000a0a ), + .I1(ce), + .O(\blk00000003/blk000006e6/sig00001847 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e6/blk000006f7 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006e6/sig0000183e ), + .A2(\blk00000003/blk000006e6/sig0000183e ), + .A3(\blk00000003/blk000006e6/sig0000183e ), + .CE(\blk00000003/blk000006e6/sig00001847 ), + .CLK(clk), + .D(\blk00000003/sig00000b9b ), + .Q(\blk00000003/blk000006e6/sig00001846 ), + .Q15(\NLW_blk00000003/blk000006e6/blk000006f7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e6/blk000006f6 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006e6/sig0000183e ), + .A2(\blk00000003/blk000006e6/sig0000183e ), + .A3(\blk00000003/blk000006e6/sig0000183e ), + .CE(\blk00000003/blk000006e6/sig00001847 ), + .CLK(clk), + .D(\blk00000003/sig00000b9c ), + .Q(\blk00000003/blk000006e6/sig00001845 ), + .Q15(\NLW_blk00000003/blk000006e6/blk000006f6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e6/blk000006f5 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006e6/sig0000183e ), + .A2(\blk00000003/blk000006e6/sig0000183e ), + .A3(\blk00000003/blk000006e6/sig0000183e ), + .CE(\blk00000003/blk000006e6/sig00001847 ), + .CLK(clk), + .D(\blk00000003/sig00000b9d ), + .Q(\blk00000003/blk000006e6/sig00001844 ), + .Q15(\NLW_blk00000003/blk000006e6/blk000006f5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e6/blk000006f4 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006e6/sig0000183e ), + .A2(\blk00000003/blk000006e6/sig0000183e ), + .A3(\blk00000003/blk000006e6/sig0000183e ), + .CE(\blk00000003/blk000006e6/sig00001847 ), + .CLK(clk), + .D(\blk00000003/sig00000b9e ), + .Q(\blk00000003/blk000006e6/sig00001843 ), + .Q15(\NLW_blk00000003/blk000006e6/blk000006f4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e6/blk000006f3 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006e6/sig0000183e ), + .A2(\blk00000003/blk000006e6/sig0000183e ), + .A3(\blk00000003/blk000006e6/sig0000183e ), + .CE(\blk00000003/blk000006e6/sig00001847 ), + .CLK(clk), + .D(\blk00000003/sig00000b9f ), + .Q(\blk00000003/blk000006e6/sig00001842 ), + .Q15(\NLW_blk00000003/blk000006e6/blk000006f3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e6/blk000006f2 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006e6/sig0000183e ), + .A2(\blk00000003/blk000006e6/sig0000183e ), + .A3(\blk00000003/blk000006e6/sig0000183e ), + .CE(\blk00000003/blk000006e6/sig00001847 ), + .CLK(clk), + .D(\blk00000003/sig00000ba0 ), + .Q(\blk00000003/blk000006e6/sig00001841 ), + .Q15(\NLW_blk00000003/blk000006e6/blk000006f2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e6/blk000006f1 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006e6/sig0000183e ), + .A2(\blk00000003/blk000006e6/sig0000183e ), + .A3(\blk00000003/blk000006e6/sig0000183e ), + .CE(\blk00000003/blk000006e6/sig00001847 ), + .CLK(clk), + .D(\blk00000003/sig00000ba1 ), + .Q(\blk00000003/blk000006e6/sig00001840 ), + .Q15(\NLW_blk00000003/blk000006e6/blk000006f1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e6/blk000006f0 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006e6/sig0000183e ), + .A2(\blk00000003/blk000006e6/sig0000183e ), + .A3(\blk00000003/blk000006e6/sig0000183e ), + .CE(\blk00000003/blk000006e6/sig00001847 ), + .CLK(clk), + .D(\blk00000003/sig00000ba2 ), + .Q(\blk00000003/blk000006e6/sig0000183f ), + .Q15(\NLW_blk00000003/blk000006e6/blk000006f0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e6/blk000006ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006e6/sig00001846 ), + .Q(\blk00000003/sig00000698 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e6/blk000006ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006e6/sig00001845 ), + .Q(\blk00000003/sig00000699 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e6/blk000006ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006e6/sig00001844 ), + .Q(\blk00000003/sig0000069a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e6/blk000006ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006e6/sig00001843 ), + .Q(\blk00000003/sig0000069b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e6/blk000006eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006e6/sig00001842 ), + .Q(\blk00000003/sig0000069c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e6/blk000006ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006e6/sig00001841 ), + .Q(\blk00000003/sig0000069d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e6/blk000006e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006e6/sig00001840 ), + .Q(\blk00000003/sig0000069e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e6/blk000006e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006e6/sig0000183f ), + .Q(\blk00000003/sig0000069f ) + ); + GND \blk00000003/blk000006e6/blk000006e7 ( + .G(\blk00000003/blk000006e6/sig0000183e ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000006f9/blk0000070b ( + .I0(\blk00000003/sig00000a10 ), + .I1(ce), + .O(\blk00000003/blk000006f9/sig00001865 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f9/blk0000070a ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000006f9/sig0000185c ), + .A2(\blk00000003/blk000006f9/sig0000185c ), + .A3(\blk00000003/blk000006f9/sig0000185c ), + .CE(\blk00000003/blk000006f9/sig00001865 ), + .CLK(clk), + .D(\blk00000003/sig00000384 ), + .Q(\blk00000003/blk000006f9/sig00001864 ), + .Q15(\NLW_blk00000003/blk000006f9/blk0000070a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f9/blk00000709 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000006f9/sig0000185c ), + .A2(\blk00000003/blk000006f9/sig0000185c ), + .A3(\blk00000003/blk000006f9/sig0000185c ), + .CE(\blk00000003/blk000006f9/sig00001865 ), + .CLK(clk), + .D(\blk00000003/sig00000385 ), + .Q(\blk00000003/blk000006f9/sig00001863 ), + .Q15(\NLW_blk00000003/blk000006f9/blk00000709_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f9/blk00000708 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000006f9/sig0000185c ), + .A2(\blk00000003/blk000006f9/sig0000185c ), + .A3(\blk00000003/blk000006f9/sig0000185c ), + .CE(\blk00000003/blk000006f9/sig00001865 ), + .CLK(clk), + .D(\blk00000003/sig00000386 ), + .Q(\blk00000003/blk000006f9/sig00001862 ), + .Q15(\NLW_blk00000003/blk000006f9/blk00000708_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f9/blk00000707 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000006f9/sig0000185c ), + .A2(\blk00000003/blk000006f9/sig0000185c ), + .A3(\blk00000003/blk000006f9/sig0000185c ), + .CE(\blk00000003/blk000006f9/sig00001865 ), + .CLK(clk), + .D(\blk00000003/sig00000387 ), + .Q(\blk00000003/blk000006f9/sig00001861 ), + .Q15(\NLW_blk00000003/blk000006f9/blk00000707_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f9/blk00000706 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000006f9/sig0000185c ), + .A2(\blk00000003/blk000006f9/sig0000185c ), + .A3(\blk00000003/blk000006f9/sig0000185c ), + .CE(\blk00000003/blk000006f9/sig00001865 ), + .CLK(clk), + .D(\blk00000003/sig00000388 ), + .Q(\blk00000003/blk000006f9/sig00001860 ), + .Q15(\NLW_blk00000003/blk000006f9/blk00000706_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f9/blk00000705 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000006f9/sig0000185c ), + .A2(\blk00000003/blk000006f9/sig0000185c ), + .A3(\blk00000003/blk000006f9/sig0000185c ), + .CE(\blk00000003/blk000006f9/sig00001865 ), + .CLK(clk), + .D(\blk00000003/sig00000389 ), + .Q(\blk00000003/blk000006f9/sig0000185f ), + .Q15(\NLW_blk00000003/blk000006f9/blk00000705_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f9/blk00000704 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000006f9/sig0000185c ), + .A2(\blk00000003/blk000006f9/sig0000185c ), + .A3(\blk00000003/blk000006f9/sig0000185c ), + .CE(\blk00000003/blk000006f9/sig00001865 ), + .CLK(clk), + .D(\blk00000003/sig0000038a ), + .Q(\blk00000003/blk000006f9/sig0000185e ), + .Q15(\NLW_blk00000003/blk000006f9/blk00000704_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f9/blk00000703 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000006f9/sig0000185c ), + .A2(\blk00000003/blk000006f9/sig0000185c ), + .A3(\blk00000003/blk000006f9/sig0000185c ), + .CE(\blk00000003/blk000006f9/sig00001865 ), + .CLK(clk), + .D(\blk00000003/sig0000038b ), + .Q(\blk00000003/blk000006f9/sig0000185d ), + .Q15(\NLW_blk00000003/blk000006f9/blk00000703_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f9/blk00000702 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006f9/sig00001864 ), + .Q(\blk00000003/sig00000548 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f9/blk00000701 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006f9/sig00001863 ), + .Q(\blk00000003/sig00000549 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f9/blk00000700 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006f9/sig00001862 ), + .Q(\blk00000003/sig0000054a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f9/blk000006ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006f9/sig00001861 ), + .Q(\blk00000003/sig0000054b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f9/blk000006fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006f9/sig00001860 ), + .Q(\blk00000003/sig0000054c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f9/blk000006fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006f9/sig0000185f ), + .Q(\blk00000003/sig0000054d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f9/blk000006fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006f9/sig0000185e ), + .Q(\blk00000003/sig0000054e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f9/blk000006fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006f9/sig0000185d ), + .Q(\blk00000003/sig0000054f ) + ); + GND \blk00000003/blk000006f9/blk000006fa ( + .G(\blk00000003/blk000006f9/sig0000185c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000070c/blk0000071e ( + .I0(\blk00000003/sig00000a0f ), + .I1(ce), + .O(\blk00000003/blk0000070c/sig00001883 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070c/blk0000071d ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk0000070c/sig0000187a ), + .A2(\blk00000003/blk0000070c/sig0000187a ), + .A3(\blk00000003/blk0000070c/sig0000187a ), + .CE(\blk00000003/blk0000070c/sig00001883 ), + .CLK(clk), + .D(\blk00000003/sig00000ba3 ), + .Q(\blk00000003/blk0000070c/sig00001882 ), + .Q15(\NLW_blk00000003/blk0000070c/blk0000071d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070c/blk0000071c ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk0000070c/sig0000187a ), + .A2(\blk00000003/blk0000070c/sig0000187a ), + .A3(\blk00000003/blk0000070c/sig0000187a ), + .CE(\blk00000003/blk0000070c/sig00001883 ), + .CLK(clk), + .D(\blk00000003/sig00000ba4 ), + .Q(\blk00000003/blk0000070c/sig00001881 ), + .Q15(\NLW_blk00000003/blk0000070c/blk0000071c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070c/blk0000071b ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk0000070c/sig0000187a ), + .A2(\blk00000003/blk0000070c/sig0000187a ), + .A3(\blk00000003/blk0000070c/sig0000187a ), + .CE(\blk00000003/blk0000070c/sig00001883 ), + .CLK(clk), + .D(\blk00000003/sig00000ba5 ), + .Q(\blk00000003/blk0000070c/sig00001880 ), + .Q15(\NLW_blk00000003/blk0000070c/blk0000071b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070c/blk0000071a ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk0000070c/sig0000187a ), + .A2(\blk00000003/blk0000070c/sig0000187a ), + .A3(\blk00000003/blk0000070c/sig0000187a ), + .CE(\blk00000003/blk0000070c/sig00001883 ), + .CLK(clk), + .D(\blk00000003/sig00000ba6 ), + .Q(\blk00000003/blk0000070c/sig0000187f ), + .Q15(\NLW_blk00000003/blk0000070c/blk0000071a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070c/blk00000719 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk0000070c/sig0000187a ), + .A2(\blk00000003/blk0000070c/sig0000187a ), + .A3(\blk00000003/blk0000070c/sig0000187a ), + .CE(\blk00000003/blk0000070c/sig00001883 ), + .CLK(clk), + .D(\blk00000003/sig00000ba7 ), + .Q(\blk00000003/blk0000070c/sig0000187e ), + .Q15(\NLW_blk00000003/blk0000070c/blk00000719_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070c/blk00000718 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk0000070c/sig0000187a ), + .A2(\blk00000003/blk0000070c/sig0000187a ), + .A3(\blk00000003/blk0000070c/sig0000187a ), + .CE(\blk00000003/blk0000070c/sig00001883 ), + .CLK(clk), + .D(\blk00000003/sig00000ba8 ), + .Q(\blk00000003/blk0000070c/sig0000187d ), + .Q15(\NLW_blk00000003/blk0000070c/blk00000718_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070c/blk00000717 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk0000070c/sig0000187a ), + .A2(\blk00000003/blk0000070c/sig0000187a ), + .A3(\blk00000003/blk0000070c/sig0000187a ), + .CE(\blk00000003/blk0000070c/sig00001883 ), + .CLK(clk), + .D(\blk00000003/sig00000ba9 ), + .Q(\blk00000003/blk0000070c/sig0000187c ), + .Q15(\NLW_blk00000003/blk0000070c/blk00000717_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070c/blk00000716 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk0000070c/sig0000187a ), + .A2(\blk00000003/blk0000070c/sig0000187a ), + .A3(\blk00000003/blk0000070c/sig0000187a ), + .CE(\blk00000003/blk0000070c/sig00001883 ), + .CLK(clk), + .D(\blk00000003/sig00000baa ), + .Q(\blk00000003/blk0000070c/sig0000187b ), + .Q15(\NLW_blk00000003/blk0000070c/blk00000716_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070c/blk00000715 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000070c/sig00001882 ), + .Q(\blk00000003/sig00000540 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070c/blk00000714 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000070c/sig00001881 ), + .Q(\blk00000003/sig00000541 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070c/blk00000713 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000070c/sig00001880 ), + .Q(\blk00000003/sig00000542 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070c/blk00000712 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000070c/sig0000187f ), + .Q(\blk00000003/sig00000543 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070c/blk00000711 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000070c/sig0000187e ), + .Q(\blk00000003/sig00000544 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070c/blk00000710 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000070c/sig0000187d ), + .Q(\blk00000003/sig00000545 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070c/blk0000070f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000070c/sig0000187c ), + .Q(\blk00000003/sig00000546 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070c/blk0000070e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000070c/sig0000187b ), + .Q(\blk00000003/sig00000547 ) + ); + GND \blk00000003/blk0000070c/blk0000070d ( + .G(\blk00000003/blk0000070c/sig0000187a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000071f/blk00000731 ( + .I0(\blk00000003/sig00000a10 ), + .I1(ce), + .O(\blk00000003/blk0000071f/sig000018a1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071f/blk00000730 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000071f/sig00001898 ), + .A2(\blk00000003/blk0000071f/sig00001898 ), + .A3(\blk00000003/blk0000071f/sig00001898 ), + .CE(\blk00000003/blk0000071f/sig000018a1 ), + .CLK(clk), + .D(\blk00000003/sig000003d6 ), + .Q(\blk00000003/blk0000071f/sig000018a0 ), + .Q15(\NLW_blk00000003/blk0000071f/blk00000730_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071f/blk0000072f ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000071f/sig00001898 ), + .A2(\blk00000003/blk0000071f/sig00001898 ), + .A3(\blk00000003/blk0000071f/sig00001898 ), + .CE(\blk00000003/blk0000071f/sig000018a1 ), + .CLK(clk), + .D(\blk00000003/sig000003d7 ), + .Q(\blk00000003/blk0000071f/sig0000189f ), + .Q15(\NLW_blk00000003/blk0000071f/blk0000072f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071f/blk0000072e ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000071f/sig00001898 ), + .A2(\blk00000003/blk0000071f/sig00001898 ), + .A3(\blk00000003/blk0000071f/sig00001898 ), + .CE(\blk00000003/blk0000071f/sig000018a1 ), + .CLK(clk), + .D(\blk00000003/sig000003d8 ), + .Q(\blk00000003/blk0000071f/sig0000189e ), + .Q15(\NLW_blk00000003/blk0000071f/blk0000072e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071f/blk0000072d ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000071f/sig00001898 ), + .A2(\blk00000003/blk0000071f/sig00001898 ), + .A3(\blk00000003/blk0000071f/sig00001898 ), + .CE(\blk00000003/blk0000071f/sig000018a1 ), + .CLK(clk), + .D(\blk00000003/sig000003d9 ), + .Q(\blk00000003/blk0000071f/sig0000189d ), + .Q15(\NLW_blk00000003/blk0000071f/blk0000072d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071f/blk0000072c ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000071f/sig00001898 ), + .A2(\blk00000003/blk0000071f/sig00001898 ), + .A3(\blk00000003/blk0000071f/sig00001898 ), + .CE(\blk00000003/blk0000071f/sig000018a1 ), + .CLK(clk), + .D(\blk00000003/sig000003da ), + .Q(\blk00000003/blk0000071f/sig0000189c ), + .Q15(\NLW_blk00000003/blk0000071f/blk0000072c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071f/blk0000072b ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000071f/sig00001898 ), + .A2(\blk00000003/blk0000071f/sig00001898 ), + .A3(\blk00000003/blk0000071f/sig00001898 ), + .CE(\blk00000003/blk0000071f/sig000018a1 ), + .CLK(clk), + .D(\blk00000003/sig000003db ), + .Q(\blk00000003/blk0000071f/sig0000189b ), + .Q15(\NLW_blk00000003/blk0000071f/blk0000072b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071f/blk0000072a ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000071f/sig00001898 ), + .A2(\blk00000003/blk0000071f/sig00001898 ), + .A3(\blk00000003/blk0000071f/sig00001898 ), + .CE(\blk00000003/blk0000071f/sig000018a1 ), + .CLK(clk), + .D(\blk00000003/sig000003dc ), + .Q(\blk00000003/blk0000071f/sig0000189a ), + .Q15(\NLW_blk00000003/blk0000071f/blk0000072a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071f/blk00000729 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000071f/sig00001898 ), + .A2(\blk00000003/blk0000071f/sig00001898 ), + .A3(\blk00000003/blk0000071f/sig00001898 ), + .CE(\blk00000003/blk0000071f/sig000018a1 ), + .CLK(clk), + .D(\blk00000003/sig000003dd ), + .Q(\blk00000003/blk0000071f/sig00001899 ), + .Q15(\NLW_blk00000003/blk0000071f/blk00000729_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071f/blk00000728 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000071f/sig000018a0 ), + .Q(\blk00000003/sig00000660 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071f/blk00000727 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000071f/sig0000189f ), + .Q(\blk00000003/sig00000661 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071f/blk00000726 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000071f/sig0000189e ), + .Q(\blk00000003/sig00000662 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071f/blk00000725 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000071f/sig0000189d ), + .Q(\blk00000003/sig00000663 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071f/blk00000724 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000071f/sig0000189c ), + .Q(\blk00000003/sig00000664 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071f/blk00000723 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000071f/sig0000189b ), + .Q(\blk00000003/sig00000665 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071f/blk00000722 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000071f/sig0000189a ), + .Q(\blk00000003/sig00000666 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071f/blk00000721 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000071f/sig00001899 ), + .Q(\blk00000003/sig00000667 ) + ); + GND \blk00000003/blk0000071f/blk00000720 ( + .G(\blk00000003/blk0000071f/sig00001898 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000732/blk00000744 ( + .I0(\blk00000003/sig00000a0f ), + .I1(ce), + .O(\blk00000003/blk00000732/sig000018bf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000732/blk00000743 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000732/sig000018b6 ), + .A2(\blk00000003/blk00000732/sig000018b6 ), + .A3(\blk00000003/blk00000732/sig000018b6 ), + .CE(\blk00000003/blk00000732/sig000018bf ), + .CLK(clk), + .D(\blk00000003/sig00000bab ), + .Q(\blk00000003/blk00000732/sig000018be ), + .Q15(\NLW_blk00000003/blk00000732/blk00000743_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000732/blk00000742 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000732/sig000018b6 ), + .A2(\blk00000003/blk00000732/sig000018b6 ), + .A3(\blk00000003/blk00000732/sig000018b6 ), + .CE(\blk00000003/blk00000732/sig000018bf ), + .CLK(clk), + .D(\blk00000003/sig00000bac ), + .Q(\blk00000003/blk00000732/sig000018bd ), + .Q15(\NLW_blk00000003/blk00000732/blk00000742_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000732/blk00000741 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000732/sig000018b6 ), + .A2(\blk00000003/blk00000732/sig000018b6 ), + .A3(\blk00000003/blk00000732/sig000018b6 ), + .CE(\blk00000003/blk00000732/sig000018bf ), + .CLK(clk), + .D(\blk00000003/sig00000bad ), + .Q(\blk00000003/blk00000732/sig000018bc ), + .Q15(\NLW_blk00000003/blk00000732/blk00000741_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000732/blk00000740 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000732/sig000018b6 ), + .A2(\blk00000003/blk00000732/sig000018b6 ), + .A3(\blk00000003/blk00000732/sig000018b6 ), + .CE(\blk00000003/blk00000732/sig000018bf ), + .CLK(clk), + .D(\blk00000003/sig00000bae ), + .Q(\blk00000003/blk00000732/sig000018bb ), + .Q15(\NLW_blk00000003/blk00000732/blk00000740_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000732/blk0000073f ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000732/sig000018b6 ), + .A2(\blk00000003/blk00000732/sig000018b6 ), + .A3(\blk00000003/blk00000732/sig000018b6 ), + .CE(\blk00000003/blk00000732/sig000018bf ), + .CLK(clk), + .D(\blk00000003/sig00000baf ), + .Q(\blk00000003/blk00000732/sig000018ba ), + .Q15(\NLW_blk00000003/blk00000732/blk0000073f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000732/blk0000073e ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000732/sig000018b6 ), + .A2(\blk00000003/blk00000732/sig000018b6 ), + .A3(\blk00000003/blk00000732/sig000018b6 ), + .CE(\blk00000003/blk00000732/sig000018bf ), + .CLK(clk), + .D(\blk00000003/sig00000bb0 ), + .Q(\blk00000003/blk00000732/sig000018b9 ), + .Q15(\NLW_blk00000003/blk00000732/blk0000073e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000732/blk0000073d ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000732/sig000018b6 ), + .A2(\blk00000003/blk00000732/sig000018b6 ), + .A3(\blk00000003/blk00000732/sig000018b6 ), + .CE(\blk00000003/blk00000732/sig000018bf ), + .CLK(clk), + .D(\blk00000003/sig00000bb1 ), + .Q(\blk00000003/blk00000732/sig000018b8 ), + .Q15(\NLW_blk00000003/blk00000732/blk0000073d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000732/blk0000073c ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000732/sig000018b6 ), + .A2(\blk00000003/blk00000732/sig000018b6 ), + .A3(\blk00000003/blk00000732/sig000018b6 ), + .CE(\blk00000003/blk00000732/sig000018bf ), + .CLK(clk), + .D(\blk00000003/sig00000bb2 ), + .Q(\blk00000003/blk00000732/sig000018b7 ), + .Q15(\NLW_blk00000003/blk00000732/blk0000073c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732/blk0000073b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000732/sig000018be ), + .Q(\blk00000003/sig00000658 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732/blk0000073a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000732/sig000018bd ), + .Q(\blk00000003/sig00000659 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732/blk00000739 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000732/sig000018bc ), + .Q(\blk00000003/sig0000065a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732/blk00000738 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000732/sig000018bb ), + .Q(\blk00000003/sig0000065b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732/blk00000737 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000732/sig000018ba ), + .Q(\blk00000003/sig0000065c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732/blk00000736 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000732/sig000018b9 ), + .Q(\blk00000003/sig0000065d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732/blk00000735 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000732/sig000018b8 ), + .Q(\blk00000003/sig0000065e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732/blk00000734 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000732/sig000018b7 ), + .Q(\blk00000003/sig0000065f ) + ); + GND \blk00000003/blk00000732/blk00000733 ( + .G(\blk00000003/blk00000732/sig000018b6 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000745/blk00000757 ( + .I0(\blk00000003/sig00000a16 ), + .I1(ce), + .O(\blk00000003/blk00000745/sig000018dd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000745/blk00000756 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk00000745/sig000018d4 ), + .A2(\blk00000003/blk00000745/sig000018d4 ), + .A3(\blk00000003/blk00000745/sig000018d4 ), + .CE(\blk00000003/blk00000745/sig000018dd ), + .CLK(clk), + .D(\blk00000003/sig00000bb3 ), + .Q(\blk00000003/blk00000745/sig000018dc ), + .Q15(\NLW_blk00000003/blk00000745/blk00000756_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000745/blk00000755 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk00000745/sig000018d4 ), + .A2(\blk00000003/blk00000745/sig000018d4 ), + .A3(\blk00000003/blk00000745/sig000018d4 ), + .CE(\blk00000003/blk00000745/sig000018dd ), + .CLK(clk), + .D(\blk00000003/sig00000bb4 ), + .Q(\blk00000003/blk00000745/sig000018db ), + .Q15(\NLW_blk00000003/blk00000745/blk00000755_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000745/blk00000754 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk00000745/sig000018d4 ), + .A2(\blk00000003/blk00000745/sig000018d4 ), + .A3(\blk00000003/blk00000745/sig000018d4 ), + .CE(\blk00000003/blk00000745/sig000018dd ), + .CLK(clk), + .D(\blk00000003/sig00000bb5 ), + .Q(\blk00000003/blk00000745/sig000018da ), + .Q15(\NLW_blk00000003/blk00000745/blk00000754_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000745/blk00000753 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk00000745/sig000018d4 ), + .A2(\blk00000003/blk00000745/sig000018d4 ), + .A3(\blk00000003/blk00000745/sig000018d4 ), + .CE(\blk00000003/blk00000745/sig000018dd ), + .CLK(clk), + .D(\blk00000003/sig00000bb6 ), + .Q(\blk00000003/blk00000745/sig000018d9 ), + .Q15(\NLW_blk00000003/blk00000745/blk00000753_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000745/blk00000752 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk00000745/sig000018d4 ), + .A2(\blk00000003/blk00000745/sig000018d4 ), + .A3(\blk00000003/blk00000745/sig000018d4 ), + .CE(\blk00000003/blk00000745/sig000018dd ), + .CLK(clk), + .D(\blk00000003/sig00000bb7 ), + .Q(\blk00000003/blk00000745/sig000018d8 ), + .Q15(\NLW_blk00000003/blk00000745/blk00000752_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000745/blk00000751 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk00000745/sig000018d4 ), + .A2(\blk00000003/blk00000745/sig000018d4 ), + .A3(\blk00000003/blk00000745/sig000018d4 ), + .CE(\blk00000003/blk00000745/sig000018dd ), + .CLK(clk), + .D(\blk00000003/sig00000bb8 ), + .Q(\blk00000003/blk00000745/sig000018d7 ), + .Q15(\NLW_blk00000003/blk00000745/blk00000751_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000745/blk00000750 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk00000745/sig000018d4 ), + .A2(\blk00000003/blk00000745/sig000018d4 ), + .A3(\blk00000003/blk00000745/sig000018d4 ), + .CE(\blk00000003/blk00000745/sig000018dd ), + .CLK(clk), + .D(\blk00000003/sig00000bb9 ), + .Q(\blk00000003/blk00000745/sig000018d6 ), + .Q15(\NLW_blk00000003/blk00000745/blk00000750_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000745/blk0000074f ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk00000745/sig000018d4 ), + .A2(\blk00000003/blk00000745/sig000018d4 ), + .A3(\blk00000003/blk00000745/sig000018d4 ), + .CE(\blk00000003/blk00000745/sig000018dd ), + .CLK(clk), + .D(\blk00000003/sig00000bba ), + .Q(\blk00000003/blk00000745/sig000018d5 ), + .Q15(\NLW_blk00000003/blk00000745/blk0000074f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000745/blk0000074e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000745/sig000018dc ), + .Q(\blk00000003/sig00000384 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000745/blk0000074d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000745/sig000018db ), + .Q(\blk00000003/sig00000385 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000745/blk0000074c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000745/sig000018da ), + .Q(\blk00000003/sig00000386 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000745/blk0000074b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000745/sig000018d9 ), + .Q(\blk00000003/sig00000387 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000745/blk0000074a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000745/sig000018d8 ), + .Q(\blk00000003/sig00000388 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000745/blk00000749 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000745/sig000018d7 ), + .Q(\blk00000003/sig00000389 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000745/blk00000748 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000745/sig000018d6 ), + .Q(\blk00000003/sig0000038a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000745/blk00000747 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000745/sig000018d5 ), + .Q(\blk00000003/sig0000038b ) + ); + GND \blk00000003/blk00000745/blk00000746 ( + .G(\blk00000003/blk00000745/sig000018d4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000758/blk0000076a ( + .I0(\blk00000003/sig00000a15 ), + .I1(ce), + .O(\blk00000003/blk00000758/sig000018fb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000758/blk00000769 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000758/sig000018f2 ), + .A2(\blk00000003/blk00000758/sig000018f2 ), + .A3(\blk00000003/blk00000758/sig000018f2 ), + .CE(\blk00000003/blk00000758/sig000018fb ), + .CLK(clk), + .D(\blk00000003/sig00000bbb ), + .Q(\blk00000003/blk00000758/sig000018fa ), + .Q15(\NLW_blk00000003/blk00000758/blk00000769_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000758/blk00000768 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000758/sig000018f2 ), + .A2(\blk00000003/blk00000758/sig000018f2 ), + .A3(\blk00000003/blk00000758/sig000018f2 ), + .CE(\blk00000003/blk00000758/sig000018fb ), + .CLK(clk), + .D(\blk00000003/sig00000bbc ), + .Q(\blk00000003/blk00000758/sig000018f9 ), + .Q15(\NLW_blk00000003/blk00000758/blk00000768_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000758/blk00000767 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000758/sig000018f2 ), + .A2(\blk00000003/blk00000758/sig000018f2 ), + .A3(\blk00000003/blk00000758/sig000018f2 ), + .CE(\blk00000003/blk00000758/sig000018fb ), + .CLK(clk), + .D(\blk00000003/sig00000bbd ), + .Q(\blk00000003/blk00000758/sig000018f8 ), + .Q15(\NLW_blk00000003/blk00000758/blk00000767_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000758/blk00000766 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000758/sig000018f2 ), + .A2(\blk00000003/blk00000758/sig000018f2 ), + .A3(\blk00000003/blk00000758/sig000018f2 ), + .CE(\blk00000003/blk00000758/sig000018fb ), + .CLK(clk), + .D(\blk00000003/sig00000bbe ), + .Q(\blk00000003/blk00000758/sig000018f7 ), + .Q15(\NLW_blk00000003/blk00000758/blk00000766_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000758/blk00000765 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000758/sig000018f2 ), + .A2(\blk00000003/blk00000758/sig000018f2 ), + .A3(\blk00000003/blk00000758/sig000018f2 ), + .CE(\blk00000003/blk00000758/sig000018fb ), + .CLK(clk), + .D(\blk00000003/sig00000bbf ), + .Q(\blk00000003/blk00000758/sig000018f6 ), + .Q15(\NLW_blk00000003/blk00000758/blk00000765_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000758/blk00000764 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000758/sig000018f2 ), + .A2(\blk00000003/blk00000758/sig000018f2 ), + .A3(\blk00000003/blk00000758/sig000018f2 ), + .CE(\blk00000003/blk00000758/sig000018fb ), + .CLK(clk), + .D(\blk00000003/sig00000bc0 ), + .Q(\blk00000003/blk00000758/sig000018f5 ), + .Q15(\NLW_blk00000003/blk00000758/blk00000764_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000758/blk00000763 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000758/sig000018f2 ), + .A2(\blk00000003/blk00000758/sig000018f2 ), + .A3(\blk00000003/blk00000758/sig000018f2 ), + .CE(\blk00000003/blk00000758/sig000018fb ), + .CLK(clk), + .D(\blk00000003/sig00000bc1 ), + .Q(\blk00000003/blk00000758/sig000018f4 ), + .Q15(\NLW_blk00000003/blk00000758/blk00000763_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000758/blk00000762 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000758/sig000018f2 ), + .A2(\blk00000003/blk00000758/sig000018f2 ), + .A3(\blk00000003/blk00000758/sig000018f2 ), + .CE(\blk00000003/blk00000758/sig000018fb ), + .CLK(clk), + .D(\blk00000003/sig00000bc2 ), + .Q(\blk00000003/blk00000758/sig000018f3 ), + .Q15(\NLW_blk00000003/blk00000758/blk00000762_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758/blk00000761 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000758/sig000018fa ), + .Q(\blk00000003/sig0000037c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758/blk00000760 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000758/sig000018f9 ), + .Q(\blk00000003/sig0000037d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758/blk0000075f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000758/sig000018f8 ), + .Q(\blk00000003/sig0000037e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758/blk0000075e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000758/sig000018f7 ), + .Q(\blk00000003/sig0000037f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758/blk0000075d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000758/sig000018f6 ), + .Q(\blk00000003/sig00000380 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758/blk0000075c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000758/sig000018f5 ), + .Q(\blk00000003/sig00000381 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758/blk0000075b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000758/sig000018f4 ), + .Q(\blk00000003/sig00000382 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758/blk0000075a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000758/sig000018f3 ), + .Q(\blk00000003/sig00000383 ) + ); + GND \blk00000003/blk00000758/blk00000759 ( + .G(\blk00000003/blk00000758/sig000018f2 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000076b/blk0000077d ( + .I0(\blk00000003/sig00000a16 ), + .I1(ce), + .O(\blk00000003/blk0000076b/sig00001919 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076b/blk0000077c ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000076b/sig00001910 ), + .A2(\blk00000003/blk0000076b/sig00001910 ), + .A3(\blk00000003/blk0000076b/sig00001910 ), + .CE(\blk00000003/blk0000076b/sig00001919 ), + .CLK(clk), + .D(\blk00000003/sig00000bc3 ), + .Q(\blk00000003/blk0000076b/sig00001918 ), + .Q15(\NLW_blk00000003/blk0000076b/blk0000077c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076b/blk0000077b ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000076b/sig00001910 ), + .A2(\blk00000003/blk0000076b/sig00001910 ), + .A3(\blk00000003/blk0000076b/sig00001910 ), + .CE(\blk00000003/blk0000076b/sig00001919 ), + .CLK(clk), + .D(\blk00000003/sig00000bc4 ), + .Q(\blk00000003/blk0000076b/sig00001917 ), + .Q15(\NLW_blk00000003/blk0000076b/blk0000077b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076b/blk0000077a ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000076b/sig00001910 ), + .A2(\blk00000003/blk0000076b/sig00001910 ), + .A3(\blk00000003/blk0000076b/sig00001910 ), + .CE(\blk00000003/blk0000076b/sig00001919 ), + .CLK(clk), + .D(\blk00000003/sig00000bc5 ), + .Q(\blk00000003/blk0000076b/sig00001916 ), + .Q15(\NLW_blk00000003/blk0000076b/blk0000077a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076b/blk00000779 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000076b/sig00001910 ), + .A2(\blk00000003/blk0000076b/sig00001910 ), + .A3(\blk00000003/blk0000076b/sig00001910 ), + .CE(\blk00000003/blk0000076b/sig00001919 ), + .CLK(clk), + .D(\blk00000003/sig00000bc6 ), + .Q(\blk00000003/blk0000076b/sig00001915 ), + .Q15(\NLW_blk00000003/blk0000076b/blk00000779_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076b/blk00000778 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000076b/sig00001910 ), + .A2(\blk00000003/blk0000076b/sig00001910 ), + .A3(\blk00000003/blk0000076b/sig00001910 ), + .CE(\blk00000003/blk0000076b/sig00001919 ), + .CLK(clk), + .D(\blk00000003/sig00000bc7 ), + .Q(\blk00000003/blk0000076b/sig00001914 ), + .Q15(\NLW_blk00000003/blk0000076b/blk00000778_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076b/blk00000777 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000076b/sig00001910 ), + .A2(\blk00000003/blk0000076b/sig00001910 ), + .A3(\blk00000003/blk0000076b/sig00001910 ), + .CE(\blk00000003/blk0000076b/sig00001919 ), + .CLK(clk), + .D(\blk00000003/sig00000bc8 ), + .Q(\blk00000003/blk0000076b/sig00001913 ), + .Q15(\NLW_blk00000003/blk0000076b/blk00000777_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076b/blk00000776 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000076b/sig00001910 ), + .A2(\blk00000003/blk0000076b/sig00001910 ), + .A3(\blk00000003/blk0000076b/sig00001910 ), + .CE(\blk00000003/blk0000076b/sig00001919 ), + .CLK(clk), + .D(\blk00000003/sig00000bc9 ), + .Q(\blk00000003/blk0000076b/sig00001912 ), + .Q15(\NLW_blk00000003/blk0000076b/blk00000776_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076b/blk00000775 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000076b/sig00001910 ), + .A2(\blk00000003/blk0000076b/sig00001910 ), + .A3(\blk00000003/blk0000076b/sig00001910 ), + .CE(\blk00000003/blk0000076b/sig00001919 ), + .CLK(clk), + .D(\blk00000003/sig00000bca ), + .Q(\blk00000003/blk0000076b/sig00001911 ), + .Q15(\NLW_blk00000003/blk0000076b/blk00000775_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076b/blk00000774 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000076b/sig00001918 ), + .Q(\blk00000003/sig000003d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076b/blk00000773 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000076b/sig00001917 ), + .Q(\blk00000003/sig000003d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076b/blk00000772 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000076b/sig00001916 ), + .Q(\blk00000003/sig000003d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076b/blk00000771 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000076b/sig00001915 ), + .Q(\blk00000003/sig000003d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076b/blk00000770 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000076b/sig00001914 ), + .Q(\blk00000003/sig000003da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076b/blk0000076f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000076b/sig00001913 ), + .Q(\blk00000003/sig000003db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076b/blk0000076e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000076b/sig00001912 ), + .Q(\blk00000003/sig000003dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076b/blk0000076d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000076b/sig00001911 ), + .Q(\blk00000003/sig000003dd ) + ); + GND \blk00000003/blk0000076b/blk0000076c ( + .G(\blk00000003/blk0000076b/sig00001910 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000077e/blk00000790 ( + .I0(\blk00000003/sig00000a15 ), + .I1(ce), + .O(\blk00000003/blk0000077e/sig00001937 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077e/blk0000078f ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000077e/sig0000192e ), + .A2(\blk00000003/blk0000077e/sig0000192e ), + .A3(\blk00000003/blk0000077e/sig0000192e ), + .CE(\blk00000003/blk0000077e/sig00001937 ), + .CLK(clk), + .D(\blk00000003/sig00000bcb ), + .Q(\blk00000003/blk0000077e/sig00001936 ), + .Q15(\NLW_blk00000003/blk0000077e/blk0000078f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077e/blk0000078e ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000077e/sig0000192e ), + .A2(\blk00000003/blk0000077e/sig0000192e ), + .A3(\blk00000003/blk0000077e/sig0000192e ), + .CE(\blk00000003/blk0000077e/sig00001937 ), + .CLK(clk), + .D(\blk00000003/sig00000bcc ), + .Q(\blk00000003/blk0000077e/sig00001935 ), + .Q15(\NLW_blk00000003/blk0000077e/blk0000078e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077e/blk0000078d ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000077e/sig0000192e ), + .A2(\blk00000003/blk0000077e/sig0000192e ), + .A3(\blk00000003/blk0000077e/sig0000192e ), + .CE(\blk00000003/blk0000077e/sig00001937 ), + .CLK(clk), + .D(\blk00000003/sig00000bcd ), + .Q(\blk00000003/blk0000077e/sig00001934 ), + .Q15(\NLW_blk00000003/blk0000077e/blk0000078d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077e/blk0000078c ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000077e/sig0000192e ), + .A2(\blk00000003/blk0000077e/sig0000192e ), + .A3(\blk00000003/blk0000077e/sig0000192e ), + .CE(\blk00000003/blk0000077e/sig00001937 ), + .CLK(clk), + .D(\blk00000003/sig00000bce ), + .Q(\blk00000003/blk0000077e/sig00001933 ), + .Q15(\NLW_blk00000003/blk0000077e/blk0000078c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077e/blk0000078b ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000077e/sig0000192e ), + .A2(\blk00000003/blk0000077e/sig0000192e ), + .A3(\blk00000003/blk0000077e/sig0000192e ), + .CE(\blk00000003/blk0000077e/sig00001937 ), + .CLK(clk), + .D(\blk00000003/sig00000bcf ), + .Q(\blk00000003/blk0000077e/sig00001932 ), + .Q15(\NLW_blk00000003/blk0000077e/blk0000078b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077e/blk0000078a ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000077e/sig0000192e ), + .A2(\blk00000003/blk0000077e/sig0000192e ), + .A3(\blk00000003/blk0000077e/sig0000192e ), + .CE(\blk00000003/blk0000077e/sig00001937 ), + .CLK(clk), + .D(\blk00000003/sig00000bd0 ), + .Q(\blk00000003/blk0000077e/sig00001931 ), + .Q15(\NLW_blk00000003/blk0000077e/blk0000078a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077e/blk00000789 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000077e/sig0000192e ), + .A2(\blk00000003/blk0000077e/sig0000192e ), + .A3(\blk00000003/blk0000077e/sig0000192e ), + .CE(\blk00000003/blk0000077e/sig00001937 ), + .CLK(clk), + .D(\blk00000003/sig00000bd1 ), + .Q(\blk00000003/blk0000077e/sig00001930 ), + .Q15(\NLW_blk00000003/blk0000077e/blk00000789_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077e/blk00000788 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000077e/sig0000192e ), + .A2(\blk00000003/blk0000077e/sig0000192e ), + .A3(\blk00000003/blk0000077e/sig0000192e ), + .CE(\blk00000003/blk0000077e/sig00001937 ), + .CLK(clk), + .D(\blk00000003/sig00000bd2 ), + .Q(\blk00000003/blk0000077e/sig0000192f ), + .Q15(\NLW_blk00000003/blk0000077e/blk00000788_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077e/blk00000787 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000077e/sig00001936 ), + .Q(\blk00000003/sig000003ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077e/blk00000786 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000077e/sig00001935 ), + .Q(\blk00000003/sig000003cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077e/blk00000785 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000077e/sig00001934 ), + .Q(\blk00000003/sig000003d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077e/blk00000784 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000077e/sig00001933 ), + .Q(\blk00000003/sig000003d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077e/blk00000783 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000077e/sig00001932 ), + .Q(\blk00000003/sig000003d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077e/blk00000782 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000077e/sig00001931 ), + .Q(\blk00000003/sig000003d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077e/blk00000781 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000077e/sig00001930 ), + .Q(\blk00000003/sig000003d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077e/blk00000780 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000077e/sig0000192f ), + .Q(\blk00000003/sig000003d5 ) + ); + GND \blk00000003/blk0000077e/blk0000077f ( + .G(\blk00000003/blk0000077e/sig0000192e ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000007c1/blk000007e7 ( + .I0(\blk00000003/sig0000030a ), + .I1(ce), + .O(\blk00000003/blk000007c1/sig00001974 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007c1/blk000007e6 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000af7 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007e6_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001973 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007e5 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000af8 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007e5_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001972 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007e4 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000af9 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007e4_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001971 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007e3 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000afa ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007e3_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001970 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007e2 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000afb ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007e2_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig0000196f ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007e1 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000afc ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007e1_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig0000196e ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007e0 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000afe ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007e0_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig0000196c ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007df ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000aff ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007df_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig0000196b ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007de ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000afd ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007de_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig0000196d ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007dd ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000b00 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007dd_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig0000196a ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007dc ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000b01 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007dc_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001969 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007db ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000b02 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007db_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001968 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007da ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000b03 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007da_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001967 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007d9 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000b04 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007d9_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001966 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007d8 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000b05 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007d8_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001965 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007d7 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000b07 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007d7_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001963 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007d6 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000b08 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007d6_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001962 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007d5 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000b06 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007d5_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001964 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001973 ), + .Q(\blk00000003/sig000001b0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007d3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001972 ), + .Q(\blk00000003/sig000001b1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001971 ), + .Q(\blk00000003/sig000001b2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001970 ), + .Q(\blk00000003/sig000001b3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig0000196f ), + .Q(\blk00000003/sig000001b4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig0000196e ), + .Q(\blk00000003/sig000001b5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig0000196d ), + .Q(\blk00000003/sig000001b6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig0000196c ), + .Q(\blk00000003/sig000001b7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig0000196b ), + .Q(\blk00000003/sig000001b8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig0000196a ), + .Q(\blk00000003/sig000001b9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001969 ), + .Q(\blk00000003/sig000001ba ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001968 ), + .Q(\blk00000003/sig000001bb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001967 ), + .Q(\blk00000003/sig000001bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001966 ), + .Q(\blk00000003/sig000001bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001965 ), + .Q(\blk00000003/sig000001be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001964 ), + .Q(\blk00000003/sig000001bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001963 ), + .Q(\blk00000003/sig000001c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001962 ), + .Q(\blk00000003/sig000001c1 ) + ); + GND \blk00000003/blk000007c1/blk000007c2 ( + .G(\blk00000003/blk000007c1/sig00001961 ) + ); + +// synthesis translate_on + +endmodule + +// synthesis translate_off + +`ifndef GLBL +`define GLBL + +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (weak1, weak0) GSR = GSR_int; + assign (weak1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + +endmodule + +`endif + +// synthesis translate_on diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec1.veo b/fpga/usrp3/top/b200/coregen_dsp/hbdec1.veo new file mode 100644 index 000000000..eb615e1ee --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec1.veo @@ -0,0 +1,79 @@ +/******************************************************************************* +* (c) Copyright 1995 - 2010 Xilinx, Inc. All rights reserved. * +* * +* This file contains confidential and proprietary information * +* of Xilinx, Inc. and is protected under U.S. and * +* international copyright and other intellectual property * +* laws. * +* * +* DISCLAIMER * +* This disclaimer is not a license and does not grant any * +* rights to the materials distributed herewith. Except as * +* otherwise provided in a valid license issued to you by * +* Xilinx, and to the maximum extent permitted by applicable * +* law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND * +* WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES * +* AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING * +* BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- * +* INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and * +* (2) Xilinx shall not be liable (whether in contract or tort, * +* including negligence, or under any other theory of * +* liability) for any loss or damage of any kind or nature * +* related to, arising under or in connection with these * +* materials, including for any direct, or any indirect, * +* special, incidental, or consequential loss or damage * +* (including loss of data, profits, goodwill, or any type of * +* loss or damage suffered as a result of any action brought * +* by a third party) even if such damage or loss was * +* reasonably foreseeable or Xilinx had been advised of the * +* possibility of the same. * +* * +* CRITICAL APPLICATIONS * +* Xilinx products are not designed or intended to be fail- * +* safe, or for use in any application requiring fail-safe * +* performance, such as life-support or safety devices or * +* systems, Class III medical devices, nuclear facilities, * +* applications related to the deployment of airbags, or any * +* other applications that could lead to death, personal * +* injury, or severe property or environmental damage * +* (individually and collectively, "Critical * +* Applications"). Customer assumes the sole risk and * +* liability of any use of Xilinx products in Critical * +* Applications, subject only to applicable laws and * +* regulations governing limitations on product liability. * +* * +* THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS * +* PART OF THIS FILE AT ALL TIMES. * +*******************************************************************************/ + +// Generated from component ID: xilinx.com:ip:fir_compiler:5.0 + + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +hbdec1 YourInstanceName ( + .sclr(sclr), // input sclr + .clk(clk), // input clk + .ce(ce), // input ce + .nd(nd), // input nd + .coef_ld(coef_ld), // input coef_ld + .coef_we(coef_we), // input coef_we + .coef_din(coef_din), // input [17 : 0] coef_din + .rfd(rfd), // output rfd + .rdy(rdy), // output rdy + .data_valid(data_valid), // output data_valid + .din_1(din_1), // input [23 : 0] din_1 + .din_2(din_2), // input [23 : 0] din_2 + .dout_1(dout_1), // output [46 : 0] dout_1 + .dout_2(dout_2)); // output [46 : 0] dout_2 + +// INST_TAG_END ------ End INSTANTIATION Template --------- + +// You must compile the wrapper file hbdec1.v when simulating +// the core, hbdec1. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec1.xco b/fpga/usrp3/top/b200/coregen_dsp/hbdec1.xco new file mode 100644 index 000000000..5487ee44b --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec1.xco @@ -0,0 +1,102 @@ +############################################################## +# +# Xilinx Core Generator version 14.4 +# Date: Fri Jan 9 20:43:38 2015 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:fir_compiler:5.0 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx150t +SET devicefamily = spartan6 +SET flowvendor = Other +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = fgg484 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT FIR_Compiler family Xilinx,_Inc. 5.0 +# END Select +# BEGIN Parameters +CSET allow_rounding_approximation=false +CSET bestprecision=false +CSET chan_in_adv=0 +CSET clock_frequency=64 +CSET coefficient_buffer_type=Automatic +CSET coefficient_file=./hb47.coe +CSET coefficient_fractional_bits=0 +CSET coefficient_reload=true +CSET coefficient_sets=1 +CSET coefficient_sign=Signed +CSET coefficient_structure=Half_Band +CSET coefficient_width=18 +CSET coefficientsource=COE_File +CSET coefficientvector=6,0,-4,-3,5,6,-6,-13,7,44,64,44,7,-13,-6,6,5,-3,-4,0,6 +CSET columnconfig=7 +CSET component_name=hbdec1 +CSET data_buffer_type=Automatic +CSET data_fractional_bits=0 +CSET data_sign=Signed +CSET data_width=24 +CSET decimation_rate=2 +CSET displayreloadorder=false +CSET filter_architecture=Systolic_Multiply_Accumulate +CSET filter_selection=1 +CSET filter_type=Decimation +CSET gui_behaviour=Coregen +CSET hardwareoversamplingrate=1 +CSET has_ce=true +CSET has_data_valid=true +CSET has_nd=true +CSET has_sclr=true +CSET input_buffer_type=Automatic +CSET inter_column_pipe_length=4 +CSET interpolation_rate=1 +CSET multi_column_support=Disabled +CSET number_channels=1 +CSET number_paths=2 +CSET optimization_goal=Area +CSET output_buffer_type=Automatic +CSET output_rounding_mode=Full_Precision +CSET output_width=47 +CSET passband_max=0.5 +CSET passband_min=0.0 +CSET preference_for_other_storage=Automatic +CSET quantization=Integer_Coefficients +CSET rate_change_type=Integer +CSET ratespecification=Frequency_Specification +CSET registered_output=true +CSET sample_frequency=64 +CSET sampleperiod=1 +CSET sclr_deterministic=true +CSET stopband_max=1.0 +CSET stopband_min=0.5 +CSET usechan_in_adv=false +CSET zero_pack_factor=1 +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2012-12-18T05:23:34Z +# END Extra information +GENERATE +# CRC: 3310ee85 diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec1COEFF_auto0_0.mif b/fpga/usrp3/top/b200/coregen_dsp/hbdec1COEFF_auto0_0.mif new file mode 100644 index 000000000..f19636daf --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec1COEFF_auto0_0.mif @@ -0,0 +1,5 @@ +111111111111000010 +000000000011000010 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec1COEFF_auto0_1.mif b/fpga/usrp3/top/b200/coregen_dsp/hbdec1COEFF_auto0_1.mif new file mode 100644 index 000000000..07e316dd3 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec1COEFF_auto0_1.mif @@ -0,0 +1,5 @@ +111111111001001000 +000000001101010111 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec1COEFF_auto0_2.mif b/fpga/usrp3/top/b200/coregen_dsp/hbdec1COEFF_auto0_2.mif new file mode 100644 index 000000000..da84300d6 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec1COEFF_auto0_2.mif @@ -0,0 +1,5 @@ +111111101000011111 +000000100110101110 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec1COEFF_auto0_3.mif b/fpga/usrp3/top/b200/coregen_dsp/hbdec1COEFF_auto0_3.mif new file mode 100644 index 000000000..ea92ca6c2 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec1COEFF_auto0_3.mif @@ -0,0 +1,5 @@ +111111000011000100 +000001011101100110 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec1COEFF_auto0_4.mif b/fpga/usrp3/top/b200/coregen_dsp/hbdec1COEFF_auto0_4.mif new file mode 100644 index 000000000..9e9303211 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec1COEFF_auto0_4.mif @@ -0,0 +1,5 @@ +111101110000011101 +000011100100101000 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec1COEFF_auto0_5.mif b/fpga/usrp3/top/b200/coregen_dsp/hbdec1COEFF_auto0_5.mif new file mode 100644 index 000000000..e0c3f05fe --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec1COEFF_auto0_5.mif @@ -0,0 +1,5 @@ +111001100001011000 +010100010001000001 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec1COEFF_auto_HALFBAND_CENTRE0.mif b/fpga/usrp3/top/b200/coregen_dsp/hbdec1COEFF_auto_HALFBAND_CENTRE0.mif new file mode 100644 index 000000000..ca072e0fc --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec1COEFF_auto_HALFBAND_CENTRE0.mif @@ -0,0 +1,3 @@ +011111111111111111 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec1_flist.txt b/fpga/usrp3/top/b200/coregen_dsp/hbdec1_flist.txt new file mode 100644 index 000000000..2bd535f92 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec1_flist.txt @@ -0,0 +1,22 @@ +# Output products list for +hbdec1.asy +hbdec1.gise +hbdec1.mif +hbdec1.ngc +hbdec1.v +hbdec1.veo +hbdec1.xco +hbdec1.xise +hbdec1COEFF_auto0_0.mif +hbdec1COEFF_auto0_1.mif +hbdec1COEFF_auto0_2.mif +hbdec1COEFF_auto0_3.mif +hbdec1COEFF_auto0_4.mif +hbdec1COEFF_auto0_5.mif +hbdec1COEFF_auto_HALFBAND_CENTRE0.mif +hbdec1_flist.txt +hbdec1_readme.txt +hbdec1_reload_addrfilt_decode_rom.mif +hbdec1_reload_order.txt +hbdec1_xmdf.tcl +hbdec1filt_decode_rom.mif diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec1_readme.txt b/fpga/usrp3/top/b200/coregen_dsp/hbdec1_readme.txt new file mode 100644 index 000000000..0398bd92f --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec1_readme.txt @@ -0,0 +1,78 @@ +The following files were generated for 'hbdec1' in directory +/disk2/ianb/ettus/fpgadev2/fpgadev/usrp3/top/b200/coregen_dsp/ + +Model Parameter Resolution: + Resolves generated model parameter values on the component instance. + + * hbdec1.mif + * hbdec1_reload_order.txt + +ISE file generator: + Add description here... + + * hbdec1_flist.txt + +Opens the IP Customization GUI: + Allows the user to customize or recustomize the IP instance. + + * hbdec1.mif + * hbdec1_reload_order.txt + +XCO file generator: + Generate an XCO file for compatibility with legacy flows. + + * hbdec1.xco + +Creates an implementation netlist: + Creates an implementation netlist for the IP. + + * hbdec1.ngc + * hbdec1.v + * hbdec1.veo + * hbdec1COEFF_auto0_0.mif + * hbdec1COEFF_auto0_1.mif + * hbdec1COEFF_auto0_2.mif + * hbdec1COEFF_auto0_3.mif + * hbdec1COEFF_auto0_4.mif + * hbdec1COEFF_auto0_5.mif + * hbdec1COEFF_auto_HALFBAND_CENTRE0.mif + * hbdec1_reload_addrfilt_decode_rom.mif + * hbdec1filt_decode_rom.mif + +Creates an HDL instantiation template: + Creates an HDL instantiation template for the IP. + + * hbdec1.veo + +IP Symbol Generator: + Generate an IP symbol based on the current project options'. + + * hbdec1.asy + * hbdec1.mif + * hbdec1_reload_order.txt + +Generate ISE metadata: + Create a metadata file for use when including this core in ISE designs + + * hbdec1_xmdf.tcl + +Generate ISE subproject: + Create an ISE subproject for use when including this core in ISE designs + + * hbdec1.gise + * hbdec1.xise + +Deliver Readme: + Readme file for the IP. + + * hbdec1_readme.txt + +Generate FLIST file: + Text file listing all of the output files produced when a customized core was + generated in the CORE Generator. + + * hbdec1_flist.txt + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec1_reload_addrfilt_decode_rom.mif b/fpga/usrp3/top/b200/coregen_dsp/hbdec1_reload_addrfilt_decode_rom.mif new file mode 100644 index 000000000..32d689cd7 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec1_reload_addrfilt_decode_rom.mif @@ -0,0 +1,17 @@ +00 +10 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec1_reload_order.txt b/fpga/usrp3/top/b200/coregen_dsp/hbdec1_reload_order.txt new file mode 100644 index 000000000..11f12084f --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec1_reload_order.txt @@ -0,0 +1,25 @@ +Reload index 0 = Index 20 +Reload index 1 = Index 22 +Reload index 2 = Index 16 +Reload index 3 = Index 18 +Reload index 4 = Index 12 +Reload index 5 = Index 14 +Reload index 6 = Index 8 +Reload index 7 = Index 10 +Reload index 8 = Index 4 +Reload index 9 = Index 6 +Reload index 10 = Index 0 +Reload index 11 = Index 2 +Reload index 12 = Index 23 +Reload index 13 = Index 0 +Reload index 14 = Index 0 +Reload index 15 = Index 0 +Reload index 16 = Index 0 +Reload index 17 = Index 0 +Reload index 18 = Index 0 +Reload index 19 = Index 0 +Reload index 20 = Index 0 +Reload index 21 = Index 0 +Reload index 22 = Index 0 +Reload index 23 = Index 0 + diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec1_xmdf.tcl b/fpga/usrp3/top/b200/coregen_dsp/hbdec1_xmdf.tcl new file mode 100644 index 000000000..7aa8f91c3 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec1_xmdf.tcl @@ -0,0 +1,111 @@ +# The package naming convention is _xmdf +package provide hbdec1_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::hbdec1_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::hbdec1_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name hbdec1 +} +# ::hbdec1_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::hbdec1_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1COEFF_auto0_0.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1COEFF_auto0_1.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1COEFF_auto0_2.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1COEFF_auto0_3.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1COEFF_auto0_4.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1COEFF_auto0_5.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1COEFF_auto_HALFBAND_CENTRE0.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1_reload_addrfilt_decode_rom.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1_reload_order.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type text +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1filt_decode_rom.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module hbdec1 +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec1filt_decode_rom.mif b/fpga/usrp3/top/b200/coregen_dsp/hbdec1filt_decode_rom.mif new file mode 100644 index 000000000..32d689cd7 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec1filt_decode_rom.mif @@ -0,0 +1,17 @@ +00 +10 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec2.asy b/fpga/usrp3/top/b200/coregen_dsp/hbdec2.asy new file mode 100644 index 000000000..e9bd5ec37 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec2.asy @@ -0,0 +1,61 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 hbdec2 +RECTANGLE Normal 32 32 544 2048 +LINE Normal 0 144 32 144 +PIN 0 144 LEFT 36 +PINATTR PinName nd +PINATTR Polarity IN +LINE Wide 0 240 32 240 +PIN 0 240 LEFT 36 +PINATTR PinName din_1[23:0] +PINATTR Polarity IN +LINE Wide 0 272 32 272 +PIN 0 272 LEFT 36 +PINATTR PinName din_2[23:0] +PINATTR Polarity IN +LINE Normal 0 848 32 848 +PIN 0 848 LEFT 36 +PINATTR PinName coef_ld +PINATTR Polarity IN +LINE Normal 0 880 32 880 +PIN 0 880 LEFT 36 +PINATTR PinName coef_we +PINATTR Polarity IN +LINE Wide 0 912 32 912 +PIN 0 912 LEFT 36 +PINATTR PinName coef_din[17:0] +PINATTR Polarity IN +LINE Normal 0 1008 32 1008 +PIN 0 1008 LEFT 36 +PINATTR PinName clk +PINATTR Polarity IN +LINE Normal 0 1040 32 1040 +PIN 0 1040 LEFT 36 +PINATTR PinName ce +PINATTR Polarity IN +LINE Normal 0 1072 32 1072 +PIN 0 1072 LEFT 36 +PINATTR PinName sclr +PINATTR Polarity IN +LINE Wide 576 240 544 240 +PIN 576 240 RIGHT 36 +PINATTR PinName dout_1[46:0] +PINATTR Polarity OUT +LINE Wide 576 272 544 272 +PIN 576 272 RIGHT 36 +PINATTR PinName dout_2[46:0] +PINATTR Polarity OUT +LINE Normal 576 1840 544 1840 +PIN 576 1840 RIGHT 36 +PINATTR PinName rfd +PINATTR Polarity OUT +LINE Normal 576 1872 544 1872 +PIN 576 1872 RIGHT 36 +PINATTR PinName rdy +PINATTR Polarity OUT +LINE Normal 576 1904 544 1904 +PIN 576 1904 RIGHT 36 +PINATTR PinName data_valid +PINATTR Polarity OUT + diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec2.mif b/fpga/usrp3/top/b200/coregen_dsp/hbdec2.mif new file mode 100644 index 000000000..78d2dd901 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec2.mif @@ -0,0 +1,47 @@ +111111111111000010 +000000000000000000 +000000000011000010 +000000000000000000 +111111111001001000 +000000000000000000 +000000001101010111 +000000000000000000 +111111101000011111 +000000000000000000 +000000100110101110 +000000000000000000 +111111000011000100 +000000000000000000 +000001011101100110 +000000000000000000 +111101110000011101 +000000000000000000 +000011100100101000 +000000000000000000 +111001100001011000 +000000000000000000 +010100010001000001 +011111111111111111 +010100010001000001 +000000000000000000 +111001100001011000 +000000000000000000 +000011100100101000 +000000000000000000 +111101110000011101 +000000000000000000 +000001011101100110 +000000000000000000 +111111000011000100 +000000000000000000 +000000100110101110 +000000000000000000 +111111101000011111 +000000000000000000 +000000001101010111 +000000000000000000 +111111111001001000 +000000000000000000 +000000000011000010 +000000000000000000 +111111111111000010 diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec2.ngc b/fpga/usrp3/top/b200/coregen_dsp/hbdec2.ngc new file mode 100644 index 000000000..ba9528546 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec2.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$37:2~753-Xnzd}foo8#5+421).97 ?>;168456702:;<=678=>0<=>:4:23432<89:<86>?0968456><2:;678J>0<=>K4:234C4<8=80<4?41292*54<99>0==>?17922?OIX\^1HLZN_HL?50<76820=;4@UURVP?BF\HUDYY2>5;2=55=603CE\XZ5A=34>58682;36D@_UU8A841=87;87<65IORVP?DDAG6:;7>111927?2@D[YY4KA^KM841=87;<7<65IORVP?ehmoUoec2>7;2=50=603E^X][[:CALQQ:6?3:5=;5>8;MVPUSS2MKTCXZ31683:40<910DYY^ZT;FA[JSS48=1<3?=;08JJUSS2HNO^L2?:1<26>7=AGZ^X7OKDS@?4?699j1:6D@_UU8`k``Xn`fiQ|em>3>586?2;1EC^ZT;djhqcuWzog0=4?>0785?IR\Y__6nfnn^km85<768<0=7AZTQWW>fnffVe~x1>50?386==58HK9>2:07>LHW]]0oec2=3;2=50=5<3CE\XZ5dhl\55:5;3:5=85=4;KMTPR=l`dT=<2=3;2=50=5<3CE\XZ5dhl\57:5;3:5=85=4;KMTPR=l`dT=>2=3;2=50=5<3CE\XZ5dhl\51:5;3:5=85=4;KMTPR=l`dT=82=3;2=50=5<3CE\XZ5dhl\53:5;3:5=95=4;KMTPR=l`dT=1<<:1<20>432@D[YY4kio]1875=87;?7?:5IORVP?bnfV97>>4?>0686117?699=1987GAPTV9`lhX=5886=0>4:07>LHW]]0oecQ9<3194;733;>1EC^ZT;fjjZ1;::0;2<:4258JJUSS2mceS52=3;2=51=5<3CE\XZ5dhl\=944294::6<;:NWWTPR=lfSd2=3;2=5<=5<3E^X][[:emvpZoX9969?7>118910?IR\Y__6iazt^k\54:5;3:5=45=4;MVPUSS2me~xRgP13>17?69901987AZTQWW>air|VcT=>2=3;2=5<=5<3E^X][[:emvpZoX9=69?7>118910?IR\Y__6iazt^k\50:5;3:5=45=4;MVPUSS2me~xRgP17>17?69911987AZTQWW>air|VcT=1<<:1<2<>432F__\XZ5dnww[lY54;91<3?7;369KPRW]]0ocxzPi^1?66<76820>94@UURVP?bh}}UbS92=3;2=5==5<3E^X][[:emvpZoX=5886=0>8:07>JSSX\^1hb{{_h]5875=87;37?:5OTVSQQ<:=0DYY^ZT;flqqYnW169?7>119910?IR\Y__6iazt^k\=944294:=6<5IORVP?GCL[6:6=0>2:09MKVR\3KOH_O31;2=57=52@D[YY4NDEPA84<768;0?;4@UURVP?K;;<0;2<<43;KMTPR=IMNYM1<50?31?61>5853=::86:8:NWWTPR=lf09850?34?11=G\^[YY4kotv\55:3>3:5=:5;7;MVPUSS2me~xR?><5494;703==1CXZ_UU8gkprX9;6?:7>116973?IR\Y__6iazt^30810=87;<7995OTVSQQ72?699?1?;7AZTQWW>air|V;78;4?>04802202F__\XZ5dnww[1:3>3:5=;5;7;MVPUSS2me~xR;34783:40<<>0DYY^ZT;flqqY14=<1<3?9;559KPRW]]0ocxzP7=65>586>2><6B[[PTV9`jssW16?:7>117973?IR\Y__6iazt^;?03<768<08:4@UURVP?bh}}Uz09850?3:?11=G\^[YY4kotv\uZ774=<1<3?6;559KPRW]]0ocxzPq^32810=87;27995OTVSQQ3==1CXZ_UU8gkprXyV;809850?3:?11=G\^[YY4kotv\uZ734=<1<3?6;559KPRW]]0ocxzPq^36810=87;27995OTVSQQ0:8023:5=55;7;MVPUSS2me~xRP3=65>58602><6B[[PTV9`jssWxU?09850?3;?11=G\^[YY4kotv\uZ3;72?69911?;7AZTQWW>air|V{T;1:9:1<2<>202F__\XZ5dnww[tY?4=<1<3?7;559KPRW]]0ocxzPq^;?03<768:0854FNQWW>F:3?3:5=95;8;KMTPR=LHUBB1:8:1<27>2?2@D[YY4_CHL?02<768<0854@UURVP?BFWF__09950?35?1>=G\^[YY4KB^MVP920294:=6:7:NWWTPR=X5><6=0>5:6;>JSSX\^1\NAZT=64>58?3=L?89:;459651633&?<582:516>1A922975>;;9:3<42<03CE\XZ5D@VB[LH;?3:5=>57:HLSQQG6ZLM97L<=;@17?D:76<1J0<>15:C?54823H6:>3;4A=30:0=F48>596O314<6?D:6>7=0M1?8:1<6?D:6?7>0M1?14:C?6;2G;07>0M1717:CG@W:7601JHI\31;2=3>GCL[6:2l5NDEPB85<7611JHI\N<13:d=FLMXI0<4?>99B@ATE484j7LJKRC>1>58?3HNO^O2=>49A4WC@=2H:^HI;;C>3:0=E48:596L310<6?G:6:7?0N1?<>49A8429=2H7=80:;C>22;123;2D;>7>0N1914:@?<;23:==EK@D7==07;CAJJ976611IOD@313<;?GENF5;8255MCHL?518?3KIBB1?:>99AGLH;9?4j7OMFN=34>58?3KIBB1?8>69AGLH;97=0NNGA<3<4?GENF595;6LLIO>7:2=EK@D79394BBKM83803KIBB1917:@@MK:?6>1IOD@39?:8FFIR\5:556LLOTV?558>3KIDYY2>1?;8FFIR\5;9245MCNWW845912HHCXZ315<:?GEH]]6:9374BBMVP9716k1IOB[[<0594;?99AGJSS48437OM@UU>1:==EKF__0>07;CALQQ:3611IOB[[<4<;?GEH]]6=255MCNWW828?3KIDYY27>99AGJSS40497OH7;CWP[LHAG81H86M30?78G9776<1H049@84?9<2I7=3;4C=03:0=D4;;596M323<6?F:5;7?0O1<;>49@8739=2I7>;0:;B>13;3E;:7?0O1=?>49@8679=2I7??0:;B>07;3E;;?4>7N2<7?78G95?6<1H0>714:A?7;3;285L<53=1>E;<;4>7N2;3?78G9236<1H09;15:A?03803J6?;7>15:A?02833J6?295L<4<7?F:16=1H0:0;;B>;:1=D404<7NO]RXKMe>EFZ[SBB_KHa:ABVW_NFZOG46MNRS[LQQ?2:AKAFMXD@INB^KPTXRF6>EN;2IGG45LOLOJPQCC9=1HC_KPGDLFVDRNGGUBBKA8;BPFEQCC<2IYIJ?4D39G<1=C494>7I2>0?78@9766<1O0<<15:F?56823M6:83;4D=36:0=C48<5;6J31683:0=C48=586J31?68@949<2N7?3:4D=6=0>B;=7>0H1814:F?3;21?`8@DRFW@D7=?0m;ECWEZOI4895n6JNT@]JJ9736m1OMYOPIO>21?69j2NJXLQFN=36:d=CI]KTEC2>>`9GEQGXAG692l5KAUC\MK:46h1OMYOPIO>7:d=CI]KTEC2:>`9GEQGXAG6=2n5KAUC\MK:0294j7IO[A^KM828f3MK_MRGA<926;eBF\HUDYY2>4?g8@DRFWF__0<;50?a8@DRFWF__0<;1b:FBPDYH]]6:2o5KAUC\KPR;:7h0HLZN_NWW868e3MK_MRAZT=6=f>BF\HUDYY2:>c9GEQGXG\^7:3j4D@VB[JSS4>0;2o5KAUC\KPR;?7h0HLZN_NWW8=8e3MK_MRAZT=;=<>BFW@D7<374D@]JJ977601OMRGA<03==>BFW@D7=?06;EC\MK:6;730HLQFN=37:<=CIVCE0<;19:FB[LH;9?4i7IOPIO>23?6912NJSD@316<:?AGXAG6:4374D@]JJ97>611OMRGA<0<:?AGXAG69<374D@]JJ946601OMRGA<30==>BFW@D7>>06;EC\MK:5<730HLQFN=06:<=CIVCE0?819:FB[LH;:>427IOPIO>1<;?99GEZOI4;427IOPIO>04;?>89GEZOI4:8556JN_HL?768>3MKTEC2<4?;8@DYNF59>245KA^KM860912NJSD@336<:?AGXAG684374D@]JJ95>611OMRGA<2<:?AGXAG6?<374D@]JJ926601OMRGA<50==>BFW@D78>06;EC\MK:3<730HLQFN=66:<=CIVCE0981b:FB[LH;<>0;245KA^KM811902NJSD@34?:8@DYNF5?546JN_HL?2;>BFWF__0=0n;EC\KPR;994j7IOPOTV?548f3MKTCXZ3130n;EC\KPR;9=4j7IOPOTV?508f3MKTCXZ317<`?AGXG\^7=:4?>`9GEZIR\5;<2l5KA^MVP97?6h1OMRAZT=3::<=CIVE^X1?1a:FB[JSS4;:5m6JN_NWW8779i2NJSB[[<30=e>BFWF__0?=1a:FB[JSS4;>5m6JN_NWW8739i2NJSB[[<34=e>BFWF__0?91a:FB[JSS4;25m6JN_NWW87?912NJSB[[<377;g7i0HLQ@UU>73?69i2NJSB[[<55==>BFWF__0906;EC\KPR;=730HLQ@UU>5:<=CIVE^X1919:FB[JSS41427IOPOTV?=;?`9GFZIR\5;;2l5KB^MVP9766h1ONRAZT=31:d=CJVE^X1?<>`9GFZIR\5;?2l5KB^MVP9726h1ONRAZT=35:f=CJVE^X1?8:13MHTCXZ31?c8@GYH]]69<3o4DC]LQQ:597k0HOQ@UU>16;g12;g1:d=CJVE^X1=?>`9GFZIR\59:2l5KB^MVP9556h1ONRAZT=10:d=CJVE^X1=;>`9GFZIR\59>2l5KB^MVP9516h1ONRAZT=14:d=CJVE^X1=7>`9GFZIR\592245KB^MVP959i2NISB[[<52=e>BEWF__09?1a:FA[JSS4=85m6JM_NWW8159i2NISB[[<56=e>BEWF__09;1a:FA[JSS4=<5o6JM_NWW811=87k0HOQ@UU>73;?89GFZIR\5?556JM_NWW838>3MHTCXZ37?;8@GYH]]63245KB^MVP9?9:2NN86JIA108@L57I^]A178@UTF9<1O\_O=5:FSVD533MXNK:5KSQ6;E4743ONN?6HKR59E@WC33ONXI95IF927?C@?I11MJKH=A@C2?B5<4I5;8MKOS[]K_I>5FNW08HL424;3K;9:4>7@2>4?78I9726<1F0<815:O?52823D6:43;4M=3::1=J484>7@2=0?78I9466<1F0?<15:O?66823D6983;4M=06:0=J4;<596C326<6?H:507?0A1<6>59N87823D68<3;4M=12:0=J4:8596C332<6?H:4<7=0A1=::1<6?H:4=7>0A1=14:O?0;2KUMN?0AXVLY69NQ]E^WM=0AXVLY^N`?Hgmg{\n~~g`nb9Nmkiu^lxxeb`<;O337>H69:1E=?=4N010?K73;2D:9>5A1718J4133G;<995A1647?K70?=1E=:6;;O34=6=I91>0B<6?4:L2<428268J4>3<2D:48:4N0:50>H60>>0B<674:L2<<595A1817?K7><=1E=4;;;O3:21=I90=?7C?6859M5H58?>0B?>84:L14=2<:;;O0211=I:8759M64>33G8:5>5A2368J747<2D9><:4N3017>H5;:1E>9=4N370?K41;2D94>5A2808J65;;O7551=I=?8?7C;9359M13233G?=995A5747?K31?=1E9;6;;O75=1=I=>:?7C;8159M12433G?2?7C;8959M1=633G?3=95A5907?K3?;=1E95:;;O7;11=I=133G?3595A5827?K3>9=1E94<;;O7:71=I=0>?7C;6559M1<033G?2;95A58:7?K3>1=1E:=>;;O4351=I>98?7C8?359M25233G<;995A6657?K000=1E::7;;O4;41=I>1;?7C87259M2=533G<3895A6977?K0?>=1E:59;;O4;<1=I>13?7C86059M2<733G<2>95A6817?K0><=1E:4;;;O4:21=I>0=?7C86859M295A7117?K17<=1E;=;;;O5321=I?9=?7C9?859M35?33G=:<95A7037?K16:=1E;<=;;O5201=I?8??7C9>659M34133G=:495A70;7?K158=1E;??;;O5161=I?;997C6=;O;e?KDXJ\Y[ECQ_RNRP3>HB\^EYG:5AOLGQC@789LTHICM5;556A_MNFF878>3FZFCIK33?;8KUKHLL6?245@PLMGA93912E[ABJJ<719:MSIJBB4>427B^BOEGQAB703FZ_E@GUE^RQMHOSA_OXSCILa:Mabpudi}ey=6^;;Q>3:0=W48:596^310<6?U:6:7?0\1?<>49S8429=2Z7=80:;Q>22;3V;904?7]2>>49S8769=2Z7><0:;Q>16;3V;:<4>7]2=6?78T9406<1[0?615:R?6<833Y69285_<22=1>V;;84>7]2<2?78T9546<1[0>:15:R?70823Y68:3;4P=14:0=W4:2596^338<7?U:46<1[09>15:R?04823Y6?>3;4P=60:0=W4=>596^344<6?U:3>7=0\1:8:1<6?U:3?7>0\1:14:R?1;29<2Z753:4P@PW3>VDAG6;255_CHL?558?3YIBB1?>>99SGLH;9;437]MFN=30:==WK@D7=907;QAJJ972611[OD@317<;?UENF5;<255_CHL?5=8?3YIBB1?6>69SGLH;9720\NGA<32=<>VDAG69=364PBKM874902ZHEC2=3?:8TFOI4;>546^LIO>11;>VDAG695394PBKM878?3YIBB1=?>99SGLH;;8437]MFN=11:==WK@D7?>07;QAJJ953611[OD@334<;?UENF59=255_CHL?728?3YIBB1=7>99SGLH;;04<7]MFN=1=<>VDAG6?<364PBKM817902ZHEC2;2?:8TFOI4=9546^LIO>70;>7k0\NGA<5594;>1[OD@35?58TFOI4?4<7]MFN=5=3>VDAG632:5_CHL?=;>19:R@KPR;99427]M@UU>25;?89SGJSS489556^LOTV?518>3YIDYY2>5?;8TFIR\5;=245_CNWW841912ZHCXZ319<:?UEH]]6:5364PBMVP97912ZHCXZ321<:?UEH]]69=374PBMVP945601[OB[[<31==>VDG\^7>906;QALQQ:5=730\NAZT=05:<=WKF__0?919:R@KPR;:1427]M@UU>1=;>05;?89SGJSS4:9556^LOTV?718>3YIDYY2<5?;8TFIR\59=245_CNWW861912ZHCXZ339<:?UEH]]685364PBMVP95912ZHCXZ341<:?UEH]]6?=374PBMVP925601[OB[[<51==>VDG\^78906;QALQQ:3=730\NAZT=65:g=WKF__09950?;8TFIR\5><255_CNWW818?3YIDYY2:>99SGJSS4?437]M@UU>4:==WKF__0507;QALQQ:>6h1[ECQMURKG\g=WAGUIY^@NMD;8TNYOD\^EA95_RDE2?T5TT\Jk0^^ZLASPZMK2PNM^U_U]K;;VAOO7=PM:1\IL?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED;4XNP@]3=_[]FBNo5W_BMQAZOINF<0TilPIed8\anXX{cfZh||inl24>^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohf:1Ri~o>;^]\\IHJWVU;SRQZ0.e\ide)ehi$jd|Psdnfgq)`mgUntykaddf\ipjs&ocy#kg}_rgoafr(oldTdlbib`lg[acdadkeb`!fhp,c`hXzlfdmi }emmb`Zei|{f$~hb`ae]fjddkmVxn`bok_i`\akgedlUD^R7Po05f?ZYXPEDFSRQ>0^]\Q5)`Wdkh"`ol/gkq[vckmj~$kh`PeyvfjaccWdgx#hfr.djvZubdli#jka_icobggilVnnodcnthmm*cou'zsfSikcax-@AZQBWHDOS<79_n3;7>YXWQFEARQP1^]\Q5)`Wdkh"`ol/gkq[vckmj~$kh`PeyvfjaccWdgx#hfr.djvZubdli#jka_icobggilVnnodcnthmm*cou'noeS~z`p^gbvlj~'Dg~tR|jg^kmtpr690h0SRQWLOO\[Z4XWV_;#jQbab,nef)aa{Uxiaklt.efjZc|ldoiiQbumv-blt(n`xThbjcu-dakYoielimcjPddajidrngg$me!heo]qaiifl'xn`bok_blwvi)umeejhRm`mqnfp`YDGLLT@IQ@R^33[j7>12UTSUBAM^]\7ZYX]9%lS`ol.lc`+couWzoginz gdl\a}rbfmooS`{ct/djv*`nzVyn`hm{/fgm[mgknkkehRjjchobplii&ocy#jka_cnbjnYumn%licQml`lh[wc`{R:V"obnnj]qabY'{olSd`uu3:1>YXWQFEARQP4^]\Q5)`Wdkh"`ol/gkq[vckmj~$kh`PeyvfjaccWdgx#hfr.djvZubdli#jka_icobggilVnnodcnthmm*cou'noeShk/fgm[vru}j~%kh`Pnnpn*fhsze%FaxvPrde\mkvr|8;64]l5<2 g^obg+kfk&lb~R}jldaw+bciWlricjjd^ovhq(aa{%meQ|emg`p*abfVbj`klnne]gafoji}cdb#hfr.efjZebf}xnSyo_ecweZjf|jbnh"MJ_VG\EKBX902Tc?01237g=edb:;<=>?05`8fim789:;<=;m;cnh456789:=n6lck1234567?k1i`f>?01234=d?01;a?gjl89:;<=>nb:`oo56789:;no5mlj2345678jh0nae?012345be3kf`<=>?012ff>dkc9:;<=>?fc9ahn6789:;==l4bmi3456788;i7obd01234575j2hgg=>?01227g=edb:;<=>?15`8fim789:;<<;m;cnh456789;=n6lck1234566?k1i`f>?01235=d?00;a?gjl89:;<=?nb:`oo56789::no5mlj2345679jh0nae?012344be3kf`<=>?013ff>dkc9:;<=>>fc9ahn6789:;>=l4bmi345678;;i7obd01234545j2hgg=>?01217g=edb:;<=>?25`8fim789:;?01236=d?03;a?gjl89:;<=?010ff>dkc9:;<=>=fc9ahn6789:;?=l4bmi345678:;i7obd01234555j2hgg=>?01207g=edb:;<=>?35`8fim789:;<>;m;cnh4567899=n6lck1234564?k1i`f>?01237=d?02;a?gjl89:;<==nb:`oo56789:8no5mlj234567;jh0nae?012346be3kf`<=>?011ff>dkc9:;<=>?01277g=edb:;<=>?45`8fim789:;<9;m;cnh456789>=n6lck1234563?k1i`f>?01230=d?05;a?gjl89:;<=:nb:`oo56789:?no5mlj234567?016ff>dkc9:;<=>;fc9ahn6789:;9=l4bmi345678<;i7obd01234535j2hgg=>?01267g=edb:;<=>?55`8fim789:;<8;m;cnh456789?=n6lck1234562?k1i`f>?01231=d?04;a?gjl89:;<=;nb:`oo56789:>no5mlj234567=jh0nae?012340be3kf`<=>?017ff>dkc9:;<=>:fc9ahn6789:;:=l4bmi345678?;i7obd01234505j2hgg=>?01257g=edb:;<=>?65`8fim789:;<;;m;cnh456789<=n6lck1234561?k1i`f>?01232=d?07;a?gjl89:;<=8nb:`oo56789:=no5mlj234567>jh0nae?012343be3kf`<=>?014ff>dkc9:;<=>9fc9ahn6789:;;=l4bmi345678>;i7obd01234515j2hgg=>?01247g=edb:;<=>?75`8fim789:;<:;m;cnh456789==n6lck1234560?k1i`f>?01233=d?06;a?gjl89:;<=9nb:`oo56789:?015ff>dkc9:;<=>8fc9ahn6789:;4=l4bmi3456781;i7obd012345>5j2hgg=>?012;7g=edb:;<=>?85`8fim789:;<5;m;cnh4567892=n6lck123456??k1i`f>?0123<=d?09;a?gjl89:;<=6nb:`oo56789:3no5mlj2345670jh0nae?01234=be3kf`<=>?01:ff>dkc9:;<=>7fc9ahn6789:;5=l4bmi3456780;i7obd012345?5j2hgg=>?012:7g=edb:;<=>?95`8fim789:;<4;m;cnh4567893=n6lck123456>?k1i`f>?0123==d?08;a?gjl89:;<=7nb:`oo56789:2no5mlj2345671jh0nae?01234?01;ff>dkc9:;<=>6fc9ahn6789:;m=l4bmi345678h;i7obd012345g5j2hgg=>?012b7g=edb:;<=>?a5`8fim789:;?0123e=d?0`;a?gjl89:;<=onb:`oo56789:jno5mlj234567ijh0nae?01234dbe3kf`<=>?01cff>dkc9:;<=>nfc9ahn6789:;n=l4bmi345678k;i7obd012345d5j2hgg=>?012a7g=edb:;<=>?b5`8fim789:;?0123f=d?0c;a?gjl89:;<=lnb:`oo56789:ino5mlj234567jjh0nae?01234gbe3kf`<=>?01`ff>dkc9:;<=>mfc9ahn6789:;o=l4bmi345678j;i7obd012345e5j2hgg=>?012`7g=edb:;<=>?c5`8fim789:;?0123g=d?0b;a?gjl89:;<=mnb:`oo56789:hno5mlj234567kjh0nae?01234fbe3kf`<=>?01aff>dkc9:;<=>lfc9ahn6789:;h=l4bmi345678m;i7obd012345b5j2hgg=>?012g7g=edb:;<=>?d5`8fim789:;?0123`=d?0e;a?gjl89:;<=jnb:`oo56789:ono5mlj234567ljh0nae?01234abe3kf`<=>?01fff>dkc9:;<=>kfc9ahn6789:;i=l4bmi345678l;i7obd012345c5j2hgg=>?012f7g=edb:;<=>?e5`8fim789:;?0123a=d?0d;a?gjl89:;<=knb:`oo56789:nno5mlj234567mjh0nae?01234`be3kf`<=>?01gff>dkc9:;<=>jfc9ahn6789:;j=l4bmi345678o;i7obd012345`5j2hgg=>?012e7g=edb:;<=>?f5`8fim789:;?0123b=d?0g;a?gjl89:;<=hnb:`oo56789:mno5mlj234567njh0nae?01234cbe3kf`<=>?01dff>dkc9:;<=>ifc9ahn6789::<=l4bmi3456799;i7obd01234465j2hgg=>?01337g=edb:;<=>>05`8fim789:;==;m;cnh456788:=n6lck1234577?k1i`f>?01224=d?11;a?gjl89:;<<>nb:`oo56789;;no5mlj2345668jh0nae?012355be3kf`<=>?002ff>dkc9:;<=??fc9ahn6789::==l4bmi3456798;i7obd01234475j2hgg=>?01327g=edb:;<=>>15`8fim789:;=<;m;cnh456788;=n6lck1234576?k1i`f>?01225=d?10;a?gjl89:;<?003ff>dkc9:;<=?>fc9ahn6789::>=l4bmi345679;;i7obd01234445j2hgg=>?01317g=edb:;<=>>25`8fim789:;=?;m;cnh4567888=n6lck1234575?k1i`f>?01226=d?13;a?gjl89:;<<?000ff>dkc9:;<=?=fc9ahn6789::?=l4bmi345679:;i7obd01234455j2hgg=>?01307g=edb:;<=>>35`8fim789:;=>;m;cnh4567889=n6lck1234574?k1i`f>?01227=d?12;a?gjl89:;<<=nb:`oo56789;8no5mlj234566;jh0nae?012356be3kf`<=>?001ff>dkc9:;<=??01377g=edb:;<=>>45`8fim789:;=9;m;cnh456788>=n6lck1234573?k1i`f>?01220=d?15;a?gjl89:;<<:nb:`oo56789;?no5mlj234566?006ff>dkc9:;<=?;fc9ahn6789::9=l4bmi345679<;i7obd01234435j2hgg=>?01367g=edb:;<=>>55`8fim789:;=8;m;cnh456788?=n6lck1234572?k1i`f>?01221=d?14;a?gjl89:;<<;nb:`oo56789;>no5mlj234566=jh0nae?012350be3kf`<=>?007ff>dkc9:;<=?:fc9ahn6789:::=l4bmi345679?;i7obd01234405j2hgg=>?01357g=edb:;<=>>65`8fim789:;=;;m;cnh456788<=n6lck1234571?k1i`f>?01222=d?17;a?gjl89:;<<8nb:`oo56789;=no5mlj234566>jh0nae?012353be3kf`<=>?004ff>dkc9:;<=?9fc9ahn6789::;=l4bmi345679>;i7obd01234415j2hgg=>?01347g=edb:;<=>>75`8fim789:;=:;m;cnh456788==n6lck1234570?k1i`f>?01223=d?16;a?gjl89:;<<9nb:`oo56789;?005ff>dkc9:;<=?8fc9ahn6789::4=l4bmi3456791;i7obd012344>5j2hgg=>?013;7g=edb:;<=>>85`8fim789:;=5;m;cnh4567882=n6lck123457??k1i`f>?0122<=d?19;a?gjl89:;<<6nb:`oo56789;3no5mlj2345660jh0nae?01235=be3kf`<=>?00:ff>dkc9:;<=?7fc9ahn6789::5=l4bmi3456790;i7obd012344?5j2hgg=>?013:7g=edb:;<=>>95`8fim789:;=4;m;cnh4567883=n6lck123457>?k1i`f>?0122==d?18;a?gjl89:;<<7nb:`oo56789;2no5mlj2345661jh0nae?01235?00;ff>dkc9:;<=?6fc9ahn6789::m=l4bmi345679h;i7obd012344g5j2hgg=>?013b7g=edb:;<=>>a5`8fim789:;=l;m;cnh456788k=n6lck123457f?k1i`f>?0122e=d?1`;a?gjl89:;<?00cff>dkc9:;<=?nfc9ahn6789::n=l4bmi345679k;i7obd012344d5j2hgg=>?013a7g=edb:;<=>>b5`8fim789:;=o;m;cnh456788h=n6lck123457e?k1i`f>?0122f=d?1c;a?gjl89:;<?00`ff>dkc9:;<=?mfc9ahn6789::o=l4bmi345679j;i7obd012344e5j2hgg=>?013`7g=edb:;<=>>c5`8fim789:;=n;m;cnh456788i=n6lck123457d?k1i`f>?0122g=d?1b;a?gjl89:;<?00aff>dkc9:;<=?lfc9ahn6789::h=l4bmi345679m;i7obd012344b5j2hgg=>?013g7g=edb:;<=>>d5`8fim789:;=i;m;cnh456788n=n6lck123457c?k1i`f>?0122`=d?1e;a?gjl89:;<?00fff>dkc9:;<=?kfc9ahn6789::i=l4bmi345679l;i7obd012344c5j2hgg=>?013f7g=edb:;<=>>e5`8fim789:;=h;m;cnh456788o=n6lck123457b?k1i`f>?0122a=d?1d;a?gjl89:;<?00gff>dkc9:;<=?jfc9ahn6789::j=l4bmi345679o;i7obd012344`5j2hgg=>?013e7g=edb:;<=>>f5`8fim789:;=k;m;cnh456788l=n6lck123457a?k1i`f>?0122b=d?1g;a?gjl89:;<?00dff>dkc9:;<=?ifc9ahn6789:9<=l4bmi34567:9;i7obd01234765j2hgg=>?01037g=edb:;<=>=05`8fim789:;>=;m;cnh45678;:=n6lck1234547?k1i`f>?01214=d?21;a?gjl89:;nb:`oo567898;no5mlj2345658jh0nae?012365be3kf`<=>?032ff>dkc9:;<=?01027g=edb:;<=>=15`8fim789:;><;m;cnh45678;;=n6lck1234546?k1i`f>?01215=d?20;a?gjl89:;?033ff>dkc9:;<=<>fc9ahn6789:9>=l4bmi34567:;;i7obd01234745j2hgg=>?01017g=edb:;<=>=25`8fim789:;>?;m;cnh45678;8=n6lck1234545?k1i`f>?01216=d?23;a?gjl89:;?030ff>dkc9:;<=<=fc9ahn6789:9?=l4bmi34567::;i7obd01234755j2hgg=>?01007g=edb:;<=>=35`8fim789:;>>;m;cnh45678;9=n6lck1234544?k1i`f>?01217=d?22;a?gjl89:;?031ff>dkc9:;<=<?01077g=edb:;<=>=45`8fim789:;>9;m;cnh45678;>=n6lck1234543?k1i`f>?01210=d?25;a?gjl89:;?036ff>dkc9:;<=<;fc9ahn6789:99=l4bmi34567:<;i7obd01234735j2hgg=>?01067g=edb:;<=>=55`8fim789:;>8;m;cnh45678;?=n6lck1234542?k1i`f>?01211=d?24;a?gjl89:;no5mlj234565=jh0nae?012360be3kf`<=>?037ff>dkc9:;<=<:fc9ahn6789:9:=l4bmi34567:?;i7obd01234705j2hgg=>?01057g=edb:;<=>=65`8fim789:;>;;m;cnh45678;<=n6lck1234541?k1i`f>?01212=d?27;a?gjl89:;jh0nae?012363be3kf`<=>?034ff>dkc9:;<=<9fc9ahn6789:9;=l4bmi34567:>;i7obd01234715j2hgg=>?01047g=edb:;<=>=75`8fim789:;>:;m;cnh45678;==n6lck1234540?k1i`f>?01213=d?26;a?gjl89:;?035ff>dkc9:;<=<8fc9ahn6789:94=l4bmi34567:1;i7obd012347>5j2hgg=>?010;7g=edb:;<=>=85`8fim789:;>5;m;cnh45678;2=n6lck123454??k1i`f>?0121<=d?29;a?gjl89:;?03:ff>dkc9:;<=<7fc9ahn6789:95=l4bmi34567:0;i7obd012347?5j2hgg=>?010:7g=edb:;<=>=95`8fim789:;>4;m;cnh45678;3=n6lck123454>?k1i`f>?0121==d?28;a?gjl89:;?03;ff>dkc9:;<=<6fc9ahn6789:9m=l4bmi34567:h;i7obd012347g5j2hgg=>?010b7g=edb:;<=>=a5`8fim789:;>l;m;cnh45678;k=n6lck123454f?k1i`f>?0121e=d?2`;a?gjl89:;?03cff>dkc9:;<=?010a7g=edb:;<=>=b5`8fim789:;>o;m;cnh45678;h=n6lck123454e?k1i`f>?0121f=d?2c;a?gjl89:;?03`ff>dkc9:;<=?010`7g=edb:;<=>=c5`8fim789:;>n;m;cnh45678;i=n6lck123454d?k1i`f>?0121g=d?2b;a?gjl89:;?03aff>dkc9:;<=?010g7g=edb:;<=>=d5`8fim789:;>i;m;cnh45678;n=n6lck123454c?k1i`f>?0121`=d?2e;a?gjl89:;?03fff>dkc9:;<=?010f7g=edb:;<=>=e5`8fim789:;>h;m;cnh45678;o=n6lck123454b?k1i`f>?0121a=d?2d;a?gjl89:;?03gff>dkc9:;<=?010e7g=edb:;<=>=f5`8fim789:;>k;m;cnh45678;l=n6lck123454a?k1i`f>?0121b=d?2g;a?gjl89:;?03dff>dkc9:;<=?01137g=edb:;<=><05`8fim789:;?=;m;cnh45678::=n6lck1234557?k1i`f>?01204=d?31;a?gjl89:;<>>nb:`oo567899;no5mlj2345648jh0nae?012375be3kf`<=>?022ff>dkc9:;<==?fc9ahn6789:8==l4bmi34567;8;i7obd01234675j2hgg=>?01127g=edb:;<=><15`8fim789:;?<;m;cnh45678:;=n6lck1234556?k1i`f>?01205=d?30;a?gjl89:;<>?nb:`oo567899:no5mlj2345649jh0nae?012374be3kf`<=>?023ff>dkc9:;<==>fc9ahn6789:8>=l4bmi34567;;;i7obd01234645j2hgg=>?01117g=edb:;<=><25`8fim789:;??;m;cnh45678:8=n6lck1234555?k1i`f>?01206=d?33;a?gjl89:;<>?020ff>dkc9:;<===fc9ahn6789:8?=l4bmi34567;:;i7obd01234655j2hgg=>?01107g=edb:;<=><35`8fim789:;?>;m;cnh45678:9=n6lck1234554?k1i`f>?01207=d?32;a?gjl89:;<>=nb:`oo5678998no5mlj234564;jh0nae?012376be3kf`<=>?021ff>dkc9:;<==?01177g=edb:;<=><45`8fim789:;?9;m;cnh45678:>=n6lck1234553?k1i`f>?01200=d?35;a?gjl89:;<>:nb:`oo567899?no5mlj234564?026ff>dkc9:;<==;fc9ahn6789:89=l4bmi34567;<;i7obd01234635j2hgg=>?01167g=edb:;<=><55`8fim789:;?8;m;cnh45678:?=n6lck1234552?k1i`f>?01201=d?34;a?gjl89:;<>;nb:`oo567899>no5mlj234564=jh0nae?012370be3kf`<=>?027ff>dkc9:;<==:fc9ahn6789:8:=l4bmi34567;?;i7obd01234605j2hgg=>?01157g=edb:;<=><65`8fim789:;?;;m;cnh45678:<=n6lck1234551?k1i`f>?01202=d?37;a?gjl89:;<>8nb:`oo567899=no5mlj234564>jh0nae?012373be3kf`<=>?024ff>dkc9:;<==9fc9ahn6789:8;=l4bmi34567;>;i7obd01234615j2hgg=>?01147g=edb:;<=><75`8fim789:;?:;m;cnh45678:==n6lck1234550?k1i`f>?01203=d?36;a?gjl89:;<>9nb:`oo567899?025ff>dkc9:;<==8fc9ahn6789:84=l4bmi34567;1;i7obd012346>5j2hgg=>?011;7g=edb:;<=><85`8fim789:;?5;m;cnh45678:2=n6lck123455??k1i`f>?0120<=d?39;a?gjl89:;<>6nb:`oo5678993no5mlj2345640jh0nae?01237=be3kf`<=>?02:ff>dkc9:;<==7fc9ahn6789:85=l4bmi34567;0;i7obd012346?5j2hgg=>?011:7g=edb:;<=><95`8fim789:;?4;m;cnh45678:3=n6lck123455>?k1i`f>?0120==d?38;a?gjl89:;<>7nb:`oo5678992no5mlj2345641jh0nae?01237?02;ff>dkc9:;<==6fc9ahn6789:8m=l4bmi34567;h;i7obd012346g5j2hgg=>?011b7g=edb:;<=>?0120e=d?3`;a?gjl89:;<>onb:`oo567899jno5mlj234564ijh0nae?01237dbe3kf`<=>?02cff>dkc9:;<==nfc9ahn6789:8n=l4bmi34567;k;i7obd012346d5j2hgg=>?011a7g=edb:;<=>?0120f=d?3c;a?gjl89:;<>lnb:`oo567899ino5mlj234564jjh0nae?01237gbe3kf`<=>?02`ff>dkc9:;<==mfc9ahn6789:8o=l4bmi34567;j;i7obd012346e5j2hgg=>?011`7g=edb:;<=>?0120g=d?3b;a?gjl89:;<>mnb:`oo567899hno5mlj234564kjh0nae?01237fbe3kf`<=>?02aff>dkc9:;<==lfc9ahn6789:8h=l4bmi34567;m;i7obd012346b5j2hgg=>?011g7g=edb:;<=>?0120`=d?3e;a?gjl89:;<>jnb:`oo567899ono5mlj234564ljh0nae?01237abe3kf`<=>?02fff>dkc9:;<==kfc9ahn6789:8i=l4bmi34567;l;i7obd012346c5j2hgg=>?011f7g=edb:;<=>?0120a=d?3d;a?gjl89:;<>knb:`oo567899nno5mlj234564mjh0nae?01237`be3kf`<=>?02gff>dkc9:;<==jfc9ahn6789:8j=l4bmi34567;o;i7obd012346`5j2hgg=>?011e7g=edb:;<=>?0120b=d?3g;a?gjl89:;<>hnb:`oo567899mno5mlj234564njh0nae?01237cbe3kf`<=>?02dff>dkc9:;<==ifc9ahn6789:?<=l4bmi34567<9;i7obd01234165j2hgg=>?01637g=edb:;<=>;05`8fim789:;8=;m;cnh45678=:=n6lck1234527?k1i`f>?01274=d?41;a?gjl89:;<9>nb:`oo56789>;no5mlj2345638jh0nae?012305be3kf`<=>?052ff>dkc9:;<=:?fc9ahn6789:?==l4bmi34567<8;i7obd01234175j2hgg=>?01627g=edb:;<=>;15`8fim789:;8<;m;cnh45678=;=n6lck1234526?k1i`f>?01275=d?40;a?gjl89:;<9?nb:`oo56789>:no5mlj2345639jh0nae?012304be3kf`<=>?053ff>dkc9:;<=:>fc9ahn6789:?>=l4bmi34567<;;i7obd01234145j2hgg=>?01617g=edb:;<=>;25`8fim789:;8?;m;cnh45678=8=n6lck1234525?k1i`f>?01276=d?43;a?gjl89:;<99no5mlj234563:jh0nae?012307be3kf`<=>?050ff>dkc9:;<=:=fc9ahn6789:??=l4bmi34567<:;i7obd01234155j2hgg=>?01607g=edb:;<=>;35`8fim789:;8>;m;cnh45678=9=n6lck1234524?k1i`f>?01277=d?42;a?gjl89:;<9=nb:`oo56789>8no5mlj234563;jh0nae?012306be3kf`<=>?051ff>dkc9:;<=:?01677g=edb:;<=>;45`8fim789:;89;m;cnh45678=>=n6lck1234523?k1i`f>?01270=d?45;a?gjl89:;<9:nb:`oo56789>?no5mlj234563?056ff>dkc9:;<=:;fc9ahn6789:?9=l4bmi34567<<;i7obd01234135j2hgg=>?01667g=edb:;<=>;55`8fim789:;88;m;cnh45678=?=n6lck1234522?k1i`f>?01271=d?44;a?gjl89:;<9;nb:`oo56789>>no5mlj234563=jh0nae?012300be3kf`<=>?057ff>dkc9:;<=::fc9ahn6789:?:=l4bmi34567?01657g=edb:;<=>;65`8fim789:;8;;m;cnh45678=<=n6lck1234521?k1i`f>?01272=d?47;a?gjl89:;<98nb:`oo56789>=no5mlj234563>jh0nae?012303be3kf`<=>?054ff>dkc9:;<=:9fc9ahn6789:?;=l4bmi34567<>;i7obd01234115j2hgg=>?01647g=edb:;<=>;75`8fim789:;8:;m;cnh45678===n6lck1234520?k1i`f>?01273=d?46;a?gjl89:;<99nb:`oo56789>?055ff>dkc9:;<=:8fc9ahn6789:?4=l4bmi34567<1;i7obd012341>5j2hgg=>?016;7g=edb:;<=>;85`8fim789:;85;m;cnh45678=2=n6lck123452??k1i`f>?0127<=d?49;a?gjl89:;<96nb:`oo56789>3no5mlj2345630jh0nae?01230=be3kf`<=>?05:ff>dkc9:;<=:7fc9ahn6789:?5=l4bmi34567<0;i7obd012341?5j2hgg=>?016:7g=edb:;<=>;95`8fim789:;84;m;cnh45678=3=n6lck123452>?k1i`f>?0127==d?48;a?gjl89:;<97nb:`oo56789>2no5mlj2345631jh0nae?01230?05;ff>dkc9:;<=:6fc9ahn6789:?m=l4bmi34567?016b7g=edb:;<=>;a5`8fim789:;8l;m;cnh45678=k=n6lck123452f?k1i`f>?0127e=d?4`;a?gjl89:;<9onb:`oo56789>jno5mlj234563ijh0nae?01230dbe3kf`<=>?05cff>dkc9:;<=:nfc9ahn6789:?n=l4bmi34567?016a7g=edb:;<=>;b5`8fim789:;8o;m;cnh45678=h=n6lck123452e?k1i`f>?0127f=d?4c;a?gjl89:;<9lnb:`oo56789>ino5mlj234563jjh0nae?01230gbe3kf`<=>?05`ff>dkc9:;<=:mfc9ahn6789:?o=l4bmi34567?016`7g=edb:;<=>;c5`8fim789:;8n;m;cnh45678=i=n6lck123452d?k1i`f>?0127g=d?4b;a?gjl89:;<9mnb:`oo56789>hno5mlj234563kjh0nae?01230fbe3kf`<=>?05aff>dkc9:;<=:lfc9ahn6789:?h=l4bmi34567?016g7g=edb:;<=>;d5`8fim789:;8i;m;cnh45678=n=n6lck123452c?k1i`f>?0127`=d?4e;a?gjl89:;<9jnb:`oo56789>ono5mlj234563ljh0nae?01230abe3kf`<=>?05fff>dkc9:;<=:kfc9ahn6789:?i=l4bmi34567?016f7g=edb:;<=>;e5`8fim789:;8h;m;cnh45678=o=n6lck123452b?k1i`f>?0127a=d?4d;a?gjl89:;<9knb:`oo56789>nno5mlj234563mjh0nae?01230`be3kf`<=>?05gff>dkc9:;<=:jfc9ahn6789:?j=l4bmi34567?016e7g=edb:;<=>;f5`8fim789:;8k;m;cnh45678=l=n6lck123452a?k1i`f>?0127b=d?4g;a?gjl89:;<9hnb:`oo56789>mno5mlj234563njh0nae?01230cbe3kf`<=>?05dff>dkc9:;<=:ifc9ahn6789:><=l4bmi34567=9;i7obd01234065j2hgg=>?01737g=edb:;<=>:05`8fim789:;9=;m;cnh45678<:=n6lck1234537?k1i`f>?01264=d?51;a?gjl89:;<8>nb:`oo56789?;no5mlj2345628jh0nae?012315be3kf`<=>?042ff>dkc9:;<=;?fc9ahn6789:>==l4bmi34567=8;i7obd01234075j2hgg=>?01727g=edb:;<=>:15`8fim789:;9<;m;cnh45678<;=n6lck1234536?k1i`f>?01265=d?50;a?gjl89:;<8?nb:`oo56789?:no5mlj2345629jh0nae?012314be3kf`<=>?043ff>dkc9:;<=;>fc9ahn6789:>>=l4bmi34567=;;i7obd01234045j2hgg=>?01717g=edb:;<=>:25`8fim789:;9?;m;cnh45678<8=n6lck1234535?k1i`f>?01266=d?53;a?gjl89:;<8?040ff>dkc9:;<=;=fc9ahn6789:>?=l4bmi34567=:;i7obd01234055j2hgg=>?01707g=edb:;<=>:35`8fim789:;9>;m;cnh45678<9=n6lck1234534?k1i`f>?01267=d?52;a?gjl89:;<8=nb:`oo56789?8no5mlj234562;jh0nae?012316be3kf`<=>?041ff>dkc9:;<=;8=l4bmi34567==;i7obd01234025j2hgg=>?01777g=edb:;<=>:45`8fim789:;99;m;cnh45678<>=n6lck1234533?k1i`f>?01260=d?55;a?gjl89:;<8:nb:`oo56789??no5mlj234562?046ff>dkc9:;<=;;fc9ahn6789:>9=l4bmi34567=<;i7obd01234035j2hgg=>?01767g=edb:;<=>:55`8fim789:;98;m;cnh45678?01261=d?54;a?gjl89:;<8;nb:`oo56789?>no5mlj234562=jh0nae?012310be3kf`<=>?047ff>dkc9:;<=;:fc9ahn6789:>:=l4bmi34567=?;i7obd01234005j2hgg=>?01757g=edb:;<=>:65`8fim789:;9;;m;cnh45678<<=n6lck1234531?k1i`f>?01262=d?57;a?gjl89:;<88nb:`oo56789?=no5mlj234562>jh0nae?012313be3kf`<=>?044ff>dkc9:;<=;9fc9ahn6789:>;=l4bmi34567=>;i7obd01234015j2hgg=>?01747g=edb:;<=>:75`8fim789:;9:;m;cnh45678<==n6lck1234530?k1i`f>?01263=d?56;a?gjl89:;<89nb:`oo56789??045ff>dkc9:;<=;8fc9ahn6789:>4=l4bmi34567=1;i7obd012340>5j2hgg=>?017;7g=edb:;<=>:85`8fim789:;95;m;cnh45678<2=n6lck123453??k1i`f>?0126<=d?59;a?gjl89:;<86nb:`oo56789?3no5mlj2345620jh0nae?01231=be3kf`<=>?04:ff>dkc9:;<=;7fc9ahn6789:>5=l4bmi34567=0;i7obd012340?5j2hgg=>?017:7g=edb:;<=>:95`8fim789:;94;m;cnh45678<3=n6lck123453>?k1i`f>?0126==d?58;a?gjl89:;<87nb:`oo56789?2no5mlj2345621jh0nae?01231?04;ff>dkc9:;<=;6fc9ahn6789:>m=l4bmi34567=h;i7obd012340g5j2hgg=>?017b7g=edb:;<=>:a5`8fim789:;9l;m;cnh45678?0126e=d?5`;a?gjl89:;<8onb:`oo56789?jno5mlj234562ijh0nae?01231dbe3kf`<=>?04cff>dkc9:;<=;nfc9ahn6789:>n=l4bmi34567=k;i7obd012340d5j2hgg=>?017a7g=edb:;<=>:b5`8fim789:;9o;m;cnh45678?0126f=d?5c;a?gjl89:;<8lnb:`oo56789?ino5mlj234562jjh0nae?01231gbe3kf`<=>?04`ff>dkc9:;<=;mfc9ahn6789:>o=l4bmi34567=j;i7obd012340e5j2hgg=>?017`7g=edb:;<=>:c5`8fim789:;9n;m;cnh45678?0126g=d?5b;a?gjl89:;<8mnb:`oo56789?hno5mlj234562kjh0nae?01231fbe3kf`<=>?04aff>dkc9:;<=;lfc9ahn6789:>h=l4bmi34567=m;i7obd012340b5j2hgg=>?017g7g=edb:;<=>:d5`8fim789:;9i;m;cnh45678?0126`=d?5e;a?gjl89:;<8jnb:`oo56789?ono5mlj234562ljh0nae?01231abe3kf`<=>?04fff>dkc9:;<=;kfc9ahn6789:>i=l4bmi34567=l;i7obd012340c5j2hgg=>?017f7g=edb:;<=>:e5`8fim789:;9h;m;cnh45678?0126a=d?5d;a?gjl89:;<8knb:`oo56789?nno5mlj234562mjh0nae?01231`be3kf`<=>?04gff>dkc9:;<=;jfc9ahn6789:>j=l4bmi34567=o;i7obd012340`5j2hgg=>?017e7g=edb:;<=>:f5`8fim789:;9k;m;cnh45678?0126b=d?5g;a?gjl89:;<8hnb:`oo56789?mno5mlj234562njh0nae?01231cbe3kf`<=>?04dff>dkc9:;<=;ifc9ahn6789:=<=l4bmi34567>9;i7obd01234365j2hgg=>?01437g=edb:;<=>905`8fim789:;:=;m;cnh45678?:=n6lck1234507?k1i`f>?01254=d?61;a?gjl89:;<;>nb:`oo56789<;no5mlj2345618jh0nae?012325be3kf`<=>?072ff>dkc9:;<=8?fc9ahn6789:===l4bmi34567>8;i7obd01234375j2hgg=>?01427g=edb:;<=>915`8fim789:;:<;m;cnh45678?;=n6lck1234506?k1i`f>?01255=d?60;a?gjl89:;<;?nb:`oo56789<:no5mlj2345619jh0nae?012324be3kf`<=>?073ff>dkc9:;<=8>fc9ahn6789:=>=l4bmi34567>;;i7obd01234345j2hgg=>?01417g=edb:;<=>925`8fim789:;:?;m;cnh45678?8=n6lck1234505?k1i`f>?01256=d?63;a?gjl89:;<;?070ff>dkc9:;<=8=fc9ahn6789:=?=l4bmi34567>:;i7obd01234355j2hgg=>?01407g=edb:;<=>935`8fim789:;:>;m;cnh45678?9=n6lck1234504?k1i`f>?01257=d?62;a?gjl89:;<;=nb:`oo56789<8no5mlj234561;jh0nae?012326be3kf`<=>?071ff>dkc9:;<=8=;i7obd01234325j2hgg=>?01477g=edb:;<=>945`8fim789:;:9;m;cnh45678?>=n6lck1234503?k1i`f>?01250=d?65;a?gjl89:;<;:nb:`oo56789?076ff>dkc9:;<=8;fc9ahn6789:=9=l4bmi34567><;i7obd01234335j2hgg=>?01467g=edb:;<=>955`8fim789:;:8;m;cnh45678??=n6lck1234502?k1i`f>?01251=d?64;a?gjl89:;<;;nb:`oo56789<>no5mlj234561=jh0nae?012320be3kf`<=>?077ff>dkc9:;<=8:fc9ahn6789:=:=l4bmi34567>?;i7obd01234305j2hgg=>?01457g=edb:;<=>965`8fim789:;:;;m;cnh45678?<=n6lck1234501?k1i`f>?01252=d?67;a?gjl89:;<;8nb:`oo56789<=no5mlj234561>jh0nae?012323be3kf`<=>?074ff>dkc9:;<=89fc9ahn6789:=;=l4bmi34567>>;i7obd01234315j2hgg=>?01447g=edb:;<=>975`8fim789:;::;m;cnh45678?==n6lck1234500?k1i`f>?01253=d?66;a?gjl89:;<;9nb:`oo56789<?075ff>dkc9:;<=88fc9ahn6789:=4=l4bmi34567>1;i7obd012343>5j2hgg=>?014;7g=edb:;<=>985`8fim789:;:5;m;cnh45678?2=n6lck123450??k1i`f>?0125<=d?69;a?gjl89:;<;6nb:`oo56789<3no5mlj2345610jh0nae?01232=be3kf`<=>?07:ff>dkc9:;<=87fc9ahn6789:=5=l4bmi34567>0;i7obd012343?5j2hgg=>?014:7g=edb:;<=>995`8fim789:;:4;m;cnh45678?3=n6lck123450>?k1i`f>?0125==d?68;a?gjl89:;<;7nb:`oo56789<2no5mlj2345611jh0nae?01232?07;ff>dkc9:;<=86fc9ahn6789:=m=l4bmi34567>h;i7obd012343g5j2hgg=>?014b7g=edb:;<=>9a5`8fim789:;:l;m;cnh45678?k=n6lck123450f?k1i`f>?0125e=d?6`;a?gjl89:;<;onb:`oo56789?07cff>dkc9:;<=8nfc9ahn6789:=n=l4bmi34567>k;i7obd012343d5j2hgg=>?014a7g=edb:;<=>9b5`8fim789:;:o;m;cnh45678?h=n6lck123450e?k1i`f>?0125f=d?6c;a?gjl89:;<;lnb:`oo56789?07`ff>dkc9:;<=8mfc9ahn6789:=o=l4bmi34567>j;i7obd012343e5j2hgg=>?014`7g=edb:;<=>9c5`8fim789:;:n;m;cnh45678?i=n6lck123450d?k1i`f>?0125g=d?6b;a?gjl89:;<;mnb:`oo56789?07aff>dkc9:;<=8lfc9ahn6789:=h=l4bmi34567>m;i7obd012343b5j2hgg=>?014g7g=edb:;<=>9d5`8fim789:;:i;m;cnh45678?n=n6lck123450c?k1i`f>?0125`=d?6e;a?gjl89:;<;jnb:`oo56789?07fff>dkc9:;<=8kfc9ahn6789:=i=l4bmi34567>l;i7obd012343c5j2hgg=>?014f7g=edb:;<=>9e5`8fim789:;:h;m;cnh45678?o=n6lck123450b?k1i`f>?0125a=d?6d;a?gjl89:;<;knb:`oo56789?07gff>dkc9:;<=8jfc9ahn6789:=j=l4bmi34567>o;i7obd012343`5j2hgg=>?014e7g=edb:;<=>9f5`8fim789:;:k;m;cnh45678?l=n6lck123450a?k1i`f>?0125b=d?6g;a?gjl89:;<;hnb:`oo56789?07dff>dkc9:;<=8ifc9ahn6789:<<=l4bmi34567?9;i7obd01234265j2hgg=>?01537g=edb:;<=>805`8fim789:;;=;m;cnh45678>:=n6lck1234517?k1i`f>?01244=d?71;a?gjl89:;<:>nb:`oo56789=;no5mlj2345608jh0nae?012335be3kf`<=>?062ff>dkc9:;<=9?fc9ahn6789:<==l4bmi34567?8;i7obd01234275j2hgg=>?01527g=edb:;<=>815`8fim789:;;<;m;cnh45678>;=n6lck1234516?k1i`f>?01245=d?70;a?gjl89:;<:?nb:`oo56789=:no5mlj2345609jh0nae?012334be3kf`<=>?063ff>dkc9:;<=9>fc9ahn6789:<>=l4bmi34567?;;i7obd01234245j2hgg=>?01517g=edb:;<=>825`8fim789:;;?;m;cnh45678>8=n6lck1234515?k1i`f>?01246=d?73;a?gjl89:;<:?060ff>dkc9:;<=9=fc9ahn6789:?01507g=edb:;<=>835`8fim789:;;>;m;cnh45678>9=n6lck1234514?k1i`f>?01247=d?72;a?gjl89:;<:=nb:`oo56789=8no5mlj234560;jh0nae?012336be3kf`<=>?061ff>dkc9:;<=9?01577g=edb:;<=>845`8fim789:;;9;m;cnh45678>>=n6lck1234513?k1i`f>?01240=d?75;a?gjl89:;<::nb:`oo56789=?no5mlj234560?066ff>dkc9:;<=9;fc9ahn6789:<9=l4bmi34567?<;i7obd01234235j2hgg=>?01567g=edb:;<=>855`8fim789:;;8;m;cnh45678>?=n6lck1234512?k1i`f>?01241=d?74;a?gjl89:;<:;nb:`oo56789=>no5mlj234560=jh0nae?012330be3kf`<=>?067ff>dkc9:;<=9:fc9ahn6789:<:=l4bmi34567??;i7obd01234205j2hgg=>?01557g=edb:;<=>865`8fim789:;;;;m;cnh45678><=n6lck1234511?k1i`f>?01242=d?77;a?gjl89:;<:8nb:`oo56789==no5mlj234560>jh0nae?012333be3kf`<=>?064ff>dkc9:;<=99fc9ahn6789:<;=l4bmi34567?>;i7obd01234215j2hgg=>?01547g=edb:;<=>875`8fim789:;;:;m;cnh45678>==n6lck1234510?k1i`f>?01243=d?76;a?gjl89:;<:9nb:`oo56789=?065ff>dkc9:;<=98fc9ahn6789:<4=l4bmi34567?1;i7obd012342>5j2hgg=>?015;7g=edb:;<=>885`8fim789:;;5;m;cnh45678>2=n6lck123451??k1i`f>?0124<=d?79;a?gjl89:;<:6nb:`oo56789=3no5mlj2345600jh0nae?01233=be3kf`<=>?06:ff>dkc9:;<=97fc9ahn6789:<5=l4bmi34567?0;i7obd012342?5j2hgg=>?015:7g=edb:;<=>895`8fim789:;;4;m;cnh45678>3=n6lck123451>?k1i`f>?0124==d?78;a?gjl89:;<:7nb:`oo56789=2no5mlj2345601jh0nae?01233?06;ff>dkc9:;<=96fc9ahn6789:?015b7g=edb:;<=>8a5`8fim789:;;l;m;cnh45678>k=n6lck123451f?k1i`f>?0124e=d?7`;a?gjl89:;<:onb:`oo56789=jno5mlj234560ijh0nae?01233dbe3kf`<=>?06cff>dkc9:;<=9nfc9ahn6789:?015a7g=edb:;<=>8b5`8fim789:;;o;m;cnh45678>h=n6lck123451e?k1i`f>?0124f=d?7c;a?gjl89:;<:lnb:`oo56789=ino5mlj234560jjh0nae?01233gbe3kf`<=>?06`ff>dkc9:;<=9mfc9ahn6789:?015`7g=edb:;<=>8c5`8fim789:;;n;m;cnh45678>i=n6lck123451d?k1i`f>?0124g=d?7b;a?gjl89:;<:mnb:`oo56789=hno5mlj234560kjh0nae?01233fbe3kf`<=>?06aff>dkc9:;<=9lfc9ahn6789:?015g7g=edb:;<=>8d5`8fim789:;;i;m;cnh45678>n=n6lck123451c?k1i`f>?0124`=d?7e;a?gjl89:;<:jnb:`oo56789=ono5mlj234560ljh0nae?01233abe3kf`<=>?06fff>dkc9:;<=9kfc9ahn6789:?015f7g=edb:;<=>8e5`8fim789:;;h;m;cnh45678>o=n6lck123451b?k1i`f>?0124a=d?7d;a?gjl89:;<:knb:`oo56789=nno5mlj234560mjh0nae?01233`be3kf`<=>?06gff>dkc9:;<=9jfc9ahn6789:?015e7g=edb:;<=>8f5`8fim789:;;k;m;cnh45678>l=n6lck123451a?k1i`f>?0124b=d?7g;a?gjl89:;<:hnb:`oo56789=mno5mlj234560njh0nae?01233cbe3kf`<=>?06dff>dkc9:;<=9ifc9ahn6789:3<=<4cdc8gmgiW`d#<$m4cicm[lh;83:5n6mgao]lqq.7!m1hdl`Potv?4?69;2iggo5lodd\`lh/8 h0obki_ekm,4/d3jenjRjfn)33-f=dgllThd`'10+`?fibnVnbb%?=)b9`k``Xl`d#=>'l;bmfbZbnf!;?%n5lodd\`lh/9<#h7najf^fjj-71!j1hchhPdhl+52/e3jenjRjfn)0*f>ehmoUoec&<)c9`k``Xl`d#8$l4cnge[aoi <#i7najf^fjj-0.j2idikQkio*4-g=dgllThd`'8(`8gjcaWmce$4'm;bmfbZbnf5:5o6m`eg]gmk:687i0obki_ekm8479k2idikQkio>26;eehmoUoec2>4?a8gjcaWmce0<;1c:alacYcag6::3k4cnge[aoi48=1<3m4cnge[aoi48=5n6m`eg]gmk:66k1hchhPdhl?6;d2o5lodd\`lh;>7h0obki_ekm828e3jenjRjfn=:=f>ehmoUoec26>008gjcaWocgxh|Psdn+4,733jenjRhflugq[vck490;2:5lodd\ha1bnf!;:%:5kio*26,1'8;ekm,42.?2nbb%?:)69gmk.6> =0hd`'16+4?aoi 82";6jfn)3:-3=cag"9%:5kio*14,1<'8;ekm,74.?2nbb%<<)79gmk.4!?1oec&;)79gmk.2!?1oec&9)79gmk.0!?1oec&7)79gmk.>!01oec2=3;2=<>bnfV;#<$64dhl\5-7.12nbbR?'11+:?aoiW8":=$74dhl\5-75!01oecQ>(01*=>bnfV;#=9'6;ekm[4.6= 30hd`P1)35-<=cagU:$<9&9:fjjZ7/91#27iga_0*2=,>bnfV;#;$64dhl\5->.02nbbR?'9(;8`lhX99";%45kio]24-7.i2nbbR??(02*e>bnfV;;$bnfV;;$<;&a:fjjZ77 8<"m6jfn^33,41.i2nbbR??(0:*e>bnfV;;$<7&9:fjjZ77 ;#j7iga_02+65/f3mceS<>'20+b?aoiW8:#>?'n;ekm[46/::#27iga_02+7,?3mceS<>'7(;8`lhX99"3%45kio]24-?.k2nbbR??<3194;?(03*e>bnfV;:$<<&a:fjjZ76 89"m6jfn^32,42.i2nbbR?>(07*e>bnfV;:$<8&a:fjjZ76 8="m6jfn^32,4>.i2nbbR?>(0;*=>bnfV;:$?'n;ekm[47/:9#j7iga_03+64/f3mceS>'6;ekm[47/; 30hd`P10*7-<=cagU:=%;&9:fjjZ76 ?#27iga_03+3,?%?&a:fjjZ75 8:"m6jfn^31,47.i2nbbR?=(00*e>bnfV;9$<=&a:fjjZ75 8>"m6jfn^31,43.i2nbbR?=(04*e>bnfV;9$<9&a:fjjZ75 82"m6jfn^31,4?.12nbbR?=(3+b?aoiW88#>='n;ekm[44/:8#j7iga_00+67/f3mceS<<'22+:?aoiW88#?$74dhl\57.3!01oecQ>2)7*=>bnfV;9$;'6;ekm[44/? 30hd`P13*;-<=cagU:>%7&c:fjjZ754;91<374dhl\56.7!01oecQ>3)3*e>bnfV;8$<>&a:fjjZ74 8;"m6jfn^30,44.i2nbbR?<(01*e>bnfV;8$<:&a:fjjZ74 8?"m6jfn^30,40.i2nbbR?<(05*e>bnfV;8$<6&a:fjjZ74 83"56jfn^30,7/f3mceS<='21+b?aoiW89#><'n;ekm[45/:;#j7iga_01+66/>3mceS<='3(;8`lhX9:"?%45kio]27-3.12nbbR?<(7+:?aoiW89#;$74dhl\56.?!01oecQ>3);*g>bnfV;80?=50?;8`lhX9=";%45kio]20-7.i2nbbR?;(02*e>bnfV;?$bnfV;?$<;&a:fjjZ73 8<"m6jfn^37,41.i2nbbR?;(0:*e>bnfV;?$<7&9:fjjZ73 ;#j7iga_06+65/f3mceS<:'20+b?aoiW8>#>?'n;ekm[42/::#27iga_06+7,?3mceS<:'7(;8`lhX9="3%45kio]20-?.k2nbbR?;<3194;?bnfV;>$<<&a:fjjZ72 89"m6jfn^36,42.i2nbbR?:(07*e>bnfV;>$<8&a:fjjZ72 8="m6jfn^36,4>.i2nbbR?:(0;*=>bnfV;>$?'n;ekm[43/:9#j7iga_07+64/f3mceS<;'23+b?aoiW8?#>>'6;ekm[43/; 30hd`P14*7-<=cagU:9%;&9:fjjZ72 ?#27iga_07+3,?bnfV;=$<=&a:fjjZ71 8>"m6jfn^35,43.i2nbbR?9(04*e>bnfV;=$<9&a:fjjZ71 82"m6jfn^35,4?.12nbbR?9(3+b?aoiW8<#>='n;ekm[40/:8#j7iga_04+67/f3mceS<8'22+:?aoiW8<#?$74dhl\53.3!01oecQ>6)7*=>bnfV;=$;'6;ekm[40/? 30hd`P17*;-<=cagU::%7&c:fjjZ714;91<364dhl\596912nbbR?311<:?aoiW86:=374dhl\5975601oecQ><01==>bnfV;7=906;ekm[4:6=730hd`P1=35:<=cagU:0<919:fjjZ7;91427iga_0>2=;>15;?c9gmkY64;91<374dhl\5944611oecQ><3<;?aoiW868255kio]2818?3mceS<2:>99gmkY64?437iga_0>4:==cagU:0507;ekm[4:>611oecQ=(1+;?aoiW;":%45kio]1,46.12nbbR<'10+:?aoiW;":>$74dhl\6-74!01oecQ=(06*=>bnfV8#=8'6;ekm[7.6> 30hd`P2)34-<=cagU9$<6&9:fjjZ4/90#37iga_3*1-<=cagU9$?>&9:fjjZ4/:8#27iga_3*16,?%<<)99gmkY5 :#37iga_3*7-==cagU9$8'7;ekm[7.1!11oecQ=(6+;?aoiW;"3%55kio]1,89gmkY548:556jfn^0?548>3mceS?2>2?;8`lhX:5;8245kio]1842912nbbR<314<:?aoiW;6::374dhl\6970601oecQ=<0:==>bnfV87=407;ekm[7:6601oecQ=<32==>bnfV87><06;ekm[7:5:7h0hd`P2=00>58>3mceS?2=3?:8`lhX:58546jfn^0?7;>1:18:fjjZ4;=720hd`P2=4=<>bnfV87;364dhl\69>902nbbR<39?:8`lhX;!:"46jfn^1+5,?3mceS>&>3(;8`lhX;!;?%45kio]0,43.12nbbR='17+:?aoiW:":;$74dhl\7-7?!01oecQ<(0;*<>bnfV9#>$74dhl\7-47!01oecQ<(33*=>bnfV9#>?'6;ekm[6.5; 20hd`P3)1*<>bnfV9#8$64dhl\7-3.02nbbR='6(:8`lhX;!="46jfn^1+<,>)89gmkY3 8:"56jfn^6+54/>3mceS9&>2(;8`lhXbnfV>#=4'7;ekm[1.5!01oecQ;(32*=>bnfV>#><'6;ekm[1.5: 30hd`P4)00-==cagU?$>'7;ekm[1.3!11oecQ;(4+;?aoiW="=%55kio]7,2/?3mceS9&7)99gmkY3 0#i7iga_5>17?6902nbbR;'0(:8`lhX=!;"56jfn^7+55/>3mceS8&>1(;8`lhX=!;9%45kio]6,45.12nbbR;'15+:?aoiW<":9$74dhl\1-71!01oecQ:(05*=>bnfV?#=5'6;ekm[0.61 20hd`P5)0*=>bnfV?#>='6;ekm[0.59 30hd`P5)01-<=cagU>$?=&8:fjjZ3/; 20hd`P5)6*<>bnfV?#9$64dhl\1-0.02nbbR;'7(:8`lhX=!2"46jfn^7+=,d3mceS;&>0(;8`lhX>!;:%45kio]5,44.12nbbR8'12+:?aoiW?":8$74dhl\2-72!01oecQ9(04*=>bnfV<#=:'6;ekm[3.60 30hd`P6)3:-==cagU=$?'6;ekm[3.58 30hd`P6)02-<=cagU=$?<&9:fjjZ0/::#37iga_7*0-==cagU=$9'7;ekm[3.2!11oecQ9(7+;?aoiW?"<%55kio]5,=/?3mceS;&6)c9gmkY14;91<364dhl\3-6.02nbbR9'1(;8`lhX?!;;%45kio]4,47.12nbbR9'13+:?aoiW>":?$74dhl\3-73!01oecQ8(07*=>bnfV=#=;'6;ekm[2.6? 30hd`P7)3;-<=cagU<$<7&8:fjjZ1/: 30hd`P7)03-<=cagU<$??&9:fjjZ1/:;#27iga_6*17,>bnfV=#:$64dhl\3-1.02nbbR9'8(:8`lhX?!3"n6jfn^5?66<7611oecQ7(1+;?aoiW1":%45kio];,46.12nbbR6'10+:?aoiW1":>$74dhl\<-74!01oecQ7(06*=>bnfV2#=8'6;ekm[=.6> 30hd`P8)34-<=cagU3$<6&9:fjjZ>/90#37iga_9*1-<=cagU3$?>&9:fjjZ>/:8#27iga_9*16,?bnfV3#<$64dhl\=-7.12nbbR7'11+:?aoiW0":=$74dhl\=-75!01oecQ6(01*=>bnfV3#=9'6;ekm[<.6= 30hd`P9)35-<=cagU2$<9&9:fjjZ?/91#27iga_8*2=,> ;9"46jfn^;+7,>bnfV3#;$64dhl\=->.02nbbR7'9(`8`lhX15886=08;emvp-6.?2ndyy&>)99gkpr/99#37iazt)32-==cg|~#=?'7;emvp-74!11ocxz'15+;?air|!;>%55kotv+53/?3me~x%?8)99gkpr/91#37iazt)3:-2=cg|~#>$64dnww,76.02ndyy&=1(:8`jss ;8"46j`uu*17,>bh}}"9;$64dnww,7>.02ndyy&=9(58`jss :#37iazt)13-==cg|~#?<'7;emvp-55!11ocxz'32+;?air|!9?%55kotv+70/?3me~x%=9)99gkpr/;>#37iazt)1;-==cg|~#?4'8;emvp-2.02ndyy&;0(:8`jss =;"46j`uu*76,>bh}}"?:$94dnww,0/03me~x%8&7:flqq.0!>1ocxz'8(58`jss 0#j7iazt=65>58>3me~xR?'0(;8`jssW8":%l5kotv\5-77!h1ocxzP1)32-d=cg|~T=%?=)`9gkprX9!;8%l5kotv\5-73!h1ocxzP1)36-d=cg|~T=%?9)`9gkprX9!;<%l5kotv\5-7?!h1ocxzP1)3:-<=cg|~T=%<&a:flqqY6 ;:"m6j`uu]2,77.i2ndyyQ>(30*e>bh}}U:$?=&a:flqqY6 ;>"m6j`uu]2,73.i2ndyyQ>(34*e>bh}}U:$?9&a:flqqY6 ;2"m6j`uu]2,7?.12ndyyQ>(2+b?air|V;#?='n;emvpZ7/;8#j7iazt^3+77/f3me~xR?'32+b?air|V;#?9'n;emvpZ7/;<#j7iazt^3+73/f3me~xR?'36+b?air|V;#?5'n;emvpZ7/;0#27iazt^3+0,g 30hb{{_0*6-<=cg|~T=%8&9:flqqY6 >#27iazt^3+<,?'10+a?air|V;;$<<&b:flqqY68!;8%o5kotv\55.6< h0hb{{_02+50/e3me~xR??(04*f>bh}}U:<%?8)c9gkprX99":4$l4dnww[46/90#j7iazt^33,7/e3me~xR??(32*f>bh}}U:<%<>)c9gkprX99"9>$l4dnww[46/::#i7iazt^33,72.j2ndyyQ>0)06-g=cg|~T==&=6(`8`jssW8:#>:'m;emvpZ77 ;2"n6j`uu]24-4>!h1ocxzP11*0-g=cg|~T==&<0(`8`jssW8:#?<'m;emvpZ77 :8"n6j`uu]24-54!k1ocxzP11*00,d'34+a?air|V;;$>8&b:flqqY68!9<%o5kotv\55.40 h0hb{{_02+7&b:flqqY68!>:%o5kotv\55.3: h0hb{{_02+06/e3me~xR??(56*f>bh}}U:<%::)c9gkprX99"?:$o4dnww[46/= k0hb{{_02+2,g'7(c8`jssW8:#4$o4dnww[46/1 n0hb{{_02?03<76h1ocxzP10*3-d=cg|~T=<&>)c9gkprX98":<$l4dnww[47/98#i7iazt^32,44.j2ndyyQ>1)30-g=cg|~T=<&>4(`8`jssW8;#=8'm;emvpZ76 8<"n6j`uu]25-70!k1ocxzP10*2<,d%o5kotv\54.5> h0hb{{_03+62/e3me~xR?>(3:*f>bh}}U:=%<6)`9gkprX98"8%o5kotv\54.48 h0hb{{_03+74/e3me~xR?>(20*f>bh}}U:=%=<)c9gkprX98"88$l4dnww[47/;<#i7iazt^32,60.j2ndyyQ>1)14-g=cg|~T=<&<8(`8`jssW8;#?4'n;emvpZ76 =#i7iazt^32,16.j2ndyyQ>1)62-g=cg|~T=<&;2(`8`jssW8;#8>'m;emvpZ76 =>"n6j`uu]25-22!k1ocxzP10*72,g`9gkprX9;";%l5kotv\57.6!k1ocxzP13*24,dbh}}U:>%?8)c9gkprX9;":4$l4dnww[44/90#j7iazt^31,7/e3me~xR?=(32*f>bh}}U:>%<>)c9gkprX9;"9>$l4dnww[44/::#i7iazt^31,72.j2ndyyQ>2)06-g=cg|~T=?&=6(`8`jssW88#>:'m;emvpZ75 ;2"n6j`uu]26-4>!h1ocxzP13*0-g=cg|~T=?&<0(`8`jssW88#?<'m;emvpZ75 :8"n6j`uu]26-54!k1ocxzP13*00,d8&b:flqqY6:!9<%o5kotv\57.40 h0hb{{_00+7&b:flqqY6:!>:%o5kotv\57.3: h0hb{{_00+06/e3me~xR?=(56*f>bh}}U:>%::)c9gkprX9;"?:$o4dnww[44/= k0hb{{_00+2,g&>)c9gkprX9:":<$l4dnww[45/98#i7iazt^30,44.j2ndyyQ>3)30-g=cg|~T=>&>4(`8`jssW89#=8'm;emvpZ74 8<"n6j`uu]27-70!k1ocxzP12*2<,d3)62-g=cg|~T=>&;2(`8`jssW89#8>'m;emvpZ74 =>"n6j`uu]27-22!k1ocxzP12*72,gbh}}U:8%?8)c9gkprX9=":4$l4dnww[42/90#j7iazt^37,7/e3me~xR?;(32*f>bh}}U:8%<>)c9gkprX9="9>$l4dnww[42/::#i7iazt^37,72.j2ndyyQ>4)06-g=cg|~T=9&=6(`8`jssW8>#>:'m;emvpZ73 ;2"n6j`uu]20-4>!h1ocxzP15*0-g=cg|~T=9&<0(`8`jssW8>#?<'m;emvpZ73 :8"n6j`uu]20-54!k1ocxzP15*00,d8&b:flqqY6&b:flqqY6:%o5kotv\51.3: h0hb{{_06+06/e3me~xR?;(56*f>bh}}U:8%::)c9gkprX9="?:$o4dnww[42/= k0hb{{_06+2,g#4$o4dnww[42/1 n0hb{{_06?03<76h1ocxzP14*3-d=cg|~T=8&>)c9gkprX9<":<$l4dnww[43/98#i7iazt^36,44.j2ndyyQ>5)30-g=cg|~T=8&>4(`8`jssW8?#=8'm;emvpZ72 8<"n6j`uu]21-70!k1ocxzP14*2<,d$?'m;emvpZ72 ;:"n6j`uu]21-46!k1ocxzP14*16,d$?:&b:flqqY6=!8>%o5kotv\50.5> h0hb{{_07+62/e3me~xR?:(3:*f>bh}}U:9%<6)`9gkprX9<"8%o5kotv\50.48 h0hb{{_07+74/e3me~xR?:(20*f>bh}}U:9%=<)c9gkprX9<"88$l4dnww[43/;<#i7iazt^36,60.j2ndyyQ>5)14-g=cg|~T=8&<8(`8`jssW8?#?4'n;emvpZ72 =#i7iazt^36,16.j2ndyyQ>5)62-g=cg|~T=8&;2(`8`jssW8?#8>'m;emvpZ72 =>"n6j`uu]21-22!k1ocxzP14*72,g`9gkprX9?";%l5kotv\53.6!k1ocxzP17*24,d!;8%o5kotv\53.6< h0hb{{_04+50/e3me~xR?9(04*f>bh}}U::%?8)c9gkprX9?":4$l4dnww[40/90#j7iazt^35,7/e3me~xR?9(32*f>bh}}U::%<>)c9gkprX9?"9>$l4dnww[40/::#i7iazt^35,72.j2ndyyQ>6)06-g=cg|~T=;&=6(`8`jssW8<#>:'m;emvpZ71 ;2"n6j`uu]22-4>!h1ocxzP17*0-g=cg|~T=;&<0(`8`jssW8<#?<'m;emvpZ71 :8"n6j`uu]22-54!k1ocxzP17*00,d8&b:flqqY6>!9<%o5kotv\53.40 h0hb{{_04+7&b:flqqY6>!>:%o5kotv\53.3: h0hb{{_04+06/e3me~xR?9(56*f>bh}}U::%::)c9gkprX9?"?:$o4dnww[40/= k0hb{{_04+2,gbh}}U:0<>1a:flqqY648;5m6j`uu]28449i2ndyyQ><01=e>bh}}U:0<:1a:flqqY648?5m6j`uu]28409i2ndyyQ><05=e>bh}}U:0<61a:flqqY6483556j`uu]2848f3me~xR?321<0n;emvpZ7;:;4j7iazt^3?668f3me~xR?32580n;emvpZ7;:?4j7iazt^3?628f3me~xR?329406;emvpZ7;:7k0hb{{_0>04;g3o4dnww[4:4;7k0hb{{_0>00;g0<;g`9gkprX95>82l5kotv\59236h1ocxzP1=66:f=cg|~T=1:9:16:<=cg|~T=1819:flqqY64>427iazt^3?<;?89gkprX:!:"56j`uu]1,4/f3me~xR<'11+b?air|V8#=<'n;emvpZ4/9;#j7iazt^0+56/f3me~xR<'15+b?air|V8#=8'n;emvpZ4/9?#j7iazt^0+52/f3me~xR<'19+b?air|V8#=4'6;emvpZ4/: k0hb{{_3*14,g$o4dnww[7.5; k0hb{{_3*10,g%==)`9gkprX:!98%l5kotv\6-53!h1ocxzP2)16-d=cg|~T>%=9)`9gkprX:!9<%l5kotv\6-5?!h1ocxzP2)1:-<=cg|~T>%:&a:flqqY5 =:"m6j`uu]1,17.i2ndyyQ=(50*e>bh}}U9$9=&a:flqqY5 =>"m6j`uu]1,13.i2ndyyQ=(54*=>bh}}U9$8'6;emvpZ4/> 30hb{{_3*4-<=cg|~T>%6&9:flqqY5 0#27iazt^0?4;g0?c8`jssW;6:=3o4dnww[7:6:7k0hb{{_3>27;g4?c8`jssW;6:93o4dnww[7:6>7k0hb{{_3>23;g8?c8`jssW;6:5374dnww[7:66h1ocxzP2=03:d=cg|~T>1<>>`9gkprX:5892l5kotv\69446h1ocxzP2=07:d=cg|~T>1<:>`9gkprX:58=2l5kotv\69406h1ocxzP2=0;:d=cg|~T>1<6>89gkprX:585m6j`uu]18669i2ndyyQ=<23=e>bh}}U90><1a:flqqY54:95m6j`uu]18629i2ndyyQ=<27=e>bh}}U90>81a:flqqY54:=5m6j`uu]186>9i2ndyyQ=<2;==>bh}}U90>0n;emvpZ4;<94j7iazt^0?048f3me~xR<3430n;emvpZ4;<=4j7iazt^0?008d3me~xR<34783:d=cg|~T>1:9>89gkprX:5>556j`uu]1808>3me~xR<36?;8`jssW;6<245kotv\69>912ndyyQ=<8<:?air|V9#<$74dnww[6.6!h1ocxzP3)33-d=cg|~T?%?>)`9gkprX;!;9%l5kotv\7-74!h1ocxzP3)37-d=cg|~T?%?:)`9gkprX;!;=%l5kotv\7-70!h1ocxzP3)3;-d=cg|~T?%?6)89gkprX;!8"m6j`uu]0,76.i2ndyyQ<(33*e>bh}}U8$?<&a:flqqY4 ;9"m6j`uu]0,72.i2ndyyQ<(37*e>bh}}U8$?8&a:flqqY4 ;="m6j`uu]0,7>.i2ndyyQ<(3;*=>bh}}U8$>'n;emvpZ5/;9#j7iazt^1+74/f3me~xR='33+b?air|V9#?>'n;emvpZ5/;=#j7iazt^1+70/f3me~xR='37+b?air|V9#?:'n;emvpZ5/;1#j7iazt^1+73me~xR='4(c8`jssW:"?<$o4dnww[6.39 k0hb{{_2*76,g&;3(c8`jssW:"?8$o4dnww[6.3= k0hb{{_2*72,?&:)89gkprX;!<"56j`uu]0,2/>3me~xR='8(;8`jssW:"2%n5kotv\792129427iazt^6+4,?)`9gkprX!01ocxzP4)0*e>bh}}U?$?>&a:flqqY3 ;;"m6j`uu]7,74.i2ndyyQ;(31*e>bh}}U?$?:&a:flqqY3 ;?"m6j`uu]7,70.i2ndyyQ;(35*e>bh}}U?$?6&a:flqqY3 ;3"56j`uu]7,6/f3me~xR:'31+b?air|V>#?<'n;emvpZ2/;;#j7iazt^6+76/f3me~xR:'35+b?air|V>#?8'n;emvpZ2/;?#j7iazt^6+72/f3me~xR:'39+b?air|V>#?4'6;emvpZ2/< k0hb{{_5*74,g$o4dnww[1.3; k0hb{{_5*70,gbh}}U?$:'6;emvpZ2/0 30hb{{_5*:-f=cg|~T81:9:1<:?air|V?#<$74dnww[0.6!h1ocxzP5)33-d=cg|~T9%?>)`9gkprX=!;9%l5kotv\1-74!h1ocxzP5)37-d=cg|~T9%?:)`9gkprX=!;=%l5kotv\1-70!h1ocxzP5)3;-d=cg|~T9%?6)89gkprX=!8"m6j`uu]6,76.i2ndyyQ:(33*e>bh}}U>$?<&a:flqqY2 ;9"m6j`uu]6,72.i2ndyyQ:(37*e>bh}}U>$?8&a:flqqY2 ;="m6j`uu]6,7>.i2ndyyQ:(3;*=>bh}}U>$>'n;emvpZ3/;9#j7iazt^7+74/f3me~xR;'33+b?air|V?#?>'n;emvpZ3/;=#j7iazt^7+70/f3me~xR;'37+b?air|V?#?:'n;emvpZ3/;1#j7iazt^7+73me~xR;'4(c8`jssW<"?<$o4dnww[0.39 k0hb{{_4*76,g3me~xR;'8(;8`jssW<"2%n5kotv\192129427iazt^4+4,?)`9gkprX>!;;%l5kotv\2-76!h1ocxzP6)31-d=cg|~T:%?<)`9gkprX>!;?%l5kotv\2-72!h1ocxzP6)35-d=cg|~T:%?8)`9gkprX>!;3%l5kotv\2-7>!01ocxzP6)0*e>bh}}U=$?>&a:flqqY1 ;;"m6j`uu]5,74.i2ndyyQ9(31*e>bh}}U=$?:&a:flqqY1 ;?"m6j`uu]5,70.i2ndyyQ9(35*e>bh}}U=$?6&a:flqqY1 ;3"56j`uu]5,6/f3me~xR8'31+b?air|V<#?<'n;emvpZ0/;;#j7iazt^4+76/f3me~xR8'35+b?air|V<#?8'n;emvpZ0/;?#j7iazt^4+72/f3me~xR8'39+b?air|V<#?4'6;emvpZ0/< k0hb{{_7*74,g$o4dnww[3.3; k0hb{{_7*70,gbh}}U=$:'6;emvpZ0/0 30hb{{_7*:-f=cg|~T:1:9:1<:?air|V=#<$74dnww[2.6!h1ocxzP7)33-d=cg|~T;%?>)`9gkprX?!;9%l5kotv\3-74!h1ocxzP7)37-d=cg|~T;%?:)`9gkprX?!;=%l5kotv\3-70!h1ocxzP7)3;-d=cg|~T;%?6)89gkprX?!8"m6j`uu]4,76.i2ndyyQ8(33*e>bh}}U<$?<&a:flqqY0 ;9"m6j`uu]4,72.i2ndyyQ8(37*e>bh}}U<$?8&a:flqqY0 ;="m6j`uu]4,7>.i2ndyyQ8(3;*=>bh}}U<$>'n;emvpZ1/;9#j7iazt^5+74/f3me~xR9'33+b?air|V=#?>'n;emvpZ1/;=#j7iazt^5+70/f3me~xR9'37+b?air|V=#?:'n;emvpZ1/;1#j7iazt^5+73me~xR9'4(c8`jssW>"?<$o4dnww[2.39 k0hb{{_6*76,g"?8$o4dnww[2.3= k0hb{{_6*72,?3me~xR9'8(;8`jssW>"2%n5kotv\392129427iazt^:+4,?)`9gkprX0!;;%l5kotv\<-76!h1ocxzP8)31-d=cg|~T4%?<)`9gkprX0!;?%l5kotv\<-72!h1ocxzP8)35-d=cg|~T4%?8)`9gkprX0!;3%l5kotv\<-7>!01ocxzP8)0*e>bh}}U3$?>&a:flqqY? ;;"m6j`uu];,74.i2ndyyQ7(31*e>bh}}U3$?:&a:flqqY? ;?"m6j`uu];,70.i2ndyyQ7(35*e>bh}}U3$?6&a:flqqY? ;3"56j`uu];,6/f3me~xR6'31+b?air|V2#?<'n;emvpZ>/;;#j7iazt^:+76/f3me~xR6'35+b?air|V2#?8'n;emvpZ>/;?#j7iazt^:+72/f3me~xR6'39+b?air|V2#?4'6;emvpZ>/< k0hb{{_9*74,g$o4dnww[=.3; k0hb{{_9*70,gbh}}U3$:'6;emvpZ>/0 30hb{{_9*:-f=cg|~T41:9:1<:?air|V3#<$74dnww[<.6!h1ocxzP9)33-d=cg|~T5%?>)`9gkprX1!;9%l5kotv\=-74!h1ocxzP9)37-d=cg|~T5%?:)`9gkprX1!;=%l5kotv\=-70!h1ocxzP9)3;-d=cg|~T5%?6)89gkprX1!8"m6j`uu]:,76.i2ndyyQ6(33*e>bh}}U2$?<&a:flqqY> ;9"m6j`uu]:,72.i2ndyyQ6(37*e>bh}}U2$?8&a:flqqY> ;="m6j`uu]:,7>.i2ndyyQ6(3;*=>bh}}U2$>'n;emvpZ?/;9#j7iazt^;+74/f3me~xR7'33+b?air|V3#?>'n;emvpZ?/;=#j7iazt^;+70/f3me~xR7'37+b?air|V3#?:'n;emvpZ?/;1#j7iazt^;+73me~xR7'4(c8`jssW0"?<$o4dnww[<.39 k0hb{{_8*76,g3me~xR7'8(;8`jssW0"2%n5kotv\=92129427iazt^k+4,?)`9gkprXa!;;%l5kotv\m-76!h1ocxzPi)31-d=cg|~Te%?<)`9gkprXa!;?%l5kotv\m-72!h1ocxzPi)35-d=cg|~Te%?8)`9gkprXa!;3%l5kotv\m-7>!01ocxzPi)0*e>bh}}Ub$?>&a:flqqYn ;;"m6j`uu]j,74.i2ndyyQf(31*=>bh}}Ub$>'6;emvpZo/< 30hb{{_h*6-<=cg|~Te%8&9:flqqYn >#27iazt^k+<,?%n5kotv\mZ7/9?#h7iazt^k\5-70!j1ocxzPi^3+5=/d3me~xRgP1)3:-g=cg|~TeR?'2(a8`jssW`U:$?>&c:flqqYnW8"9=$m4dnww[lY6 ;8"o6j`uu]j[4.5; h0hb{{_h]2,6/e3me~xRgP1)6*f>bh}}UbS<&:)c9gkprXaV;#:$l4dnww[lY6 >#i7iazt^k\5->.j2ndyyQf_0*:-f=cg|~TeR??(1+`?air|VcT==&>)e9gkprXaV;;$<>&d:flqqYnW8:#=<'k;emvpZoX99":>$j4dnww[lY68!;8%i5kotv\mZ77 8>"h6j`uu]j[46/9<#o7iazt^k\55.6> n0hb{{_h]24-70!m1ocxzPi^33,4>.l2ndyyQf_02+5bh}}UbS<>'20+g?air|VcT==&=2(f8`jssW`U:<%<<)b9gkprXaV;;$>'l;emvpZoX99"?%n5kotv\mZ77 <#h7iazt^k\55.1!j1ocxzPi^33,2/d3me~xRgP11*;-f=cg|~TeR??(8+e?air|VcT==2=3;2=g>bh}}UbS1)0*`>bh}}UbS"o6j`uu]j[47/= i0hb{{_h]25-0.k2ndyyQf_03+3,e1):*g>bh}}UbS2)3:-f=cg|~TeR?=(3+g?air|VcT=?&=0(f8`jssW`U:>%<>)e9gkprXaV;9$?<&d:flqqYnW88#>>'l;emvpZoX9;"8%n5kotv\mZ75 =#h7iazt^k\57.2!j1ocxzPi^31,3/d3me~xRgP13*4-f=cg|~TeR?=(9+`?air|VcT=?&6)g9gkprXaV;90?=50?a8`jssW`U:?%>&c:flqqYnW89#=$j4dnww[lY6;!;;%i5kotv\mZ74 8;"h6j`uu]j[45/9;#o7iazt^k\56.6; n0hb{{_h]27-73!m1ocxzPi^30,43.l2ndyyQf_01+53/c3me~xRgP12*23,b3)3;-a=cg|~TeR?<(0;*g>bh}}UbS<='2(f8`jssW`U:?%?'k;emvpZoX9:"9?$m4dnww[lY6;!9"o6j`uu]j[45/< i0hb{{_h]27-3.k2ndyyQf_01+2,e3)5*g>bh}}UbS<='8(a8`jssW`U:?%7&f:flqqYnW897>>4?>b9gkprXaV;?$='l;emvpZoX9=":%i5kotv\mZ73 8:"h6j`uu]j[42/98#o7iazt^k\51.6: n0hb{{_h]20-74!m1ocxzPi^37,42.l2ndyyQf_06+50/c3me~xRgP15*22,b4)34-a=cg|~TeR?;(0:*`>bh}}UbS<:'18+`?air|VcT=9&=)e9gkprXaV;?$?>&d:flqqYnW8>#><'k;emvpZoX9="9>$j4dnww[lY61c:flqqYnW8?#<$m4dnww[lY6=!;"h6j`uu]j[43/99#o7iazt^k\50.69 n0hb{{_h]21-75!m1ocxzPi^36,45.l2ndyyQf_07+51/c3me~xRgP14*21,b5)35-a=cg|~TeR?:(05*`>bh}}UbS<;'19+g?air|VcT=8&>9(a8`jssW`U:9%<&d:flqqYnW8?#>='k;emvpZoX9<"9=$j4dnww[lY6=!89%i5kotv\mZ72 ;9"o6j`uu]j[43/; i0hb{{_h]21-2.k2ndyyQf_07+1,e5)4*g>bh}}UbS<;'7(a8`jssW`U:9%6&c:flqqYnW8?#5$h4dnww[lY6=5886=0l;emvpZoX9?";%n5kotv\mZ71 8#o7iazt^k\53.68 n0hb{{_h]22-76!m1ocxzPi^35,44.l2ndyyQf_04+56/c3me~xRgP17*20,b6)36-a=cg|~TeR?9(04*`>bh}}UbS<8'16+g?air|VcT=;&>8(f8`jssW`U::%?6)b9gkprXaV;=$?'k;emvpZoX9?"9<$j4dnww[lY6>!8:%i5kotv\mZ71 ;8"h6j`uu]j[40/::#h7iazt^k\53.4!j1ocxzPi^35,1/d3me~xRgP17*6-f=cg|~TeR?9(7+`?air|VcT=;&8)b9gkprXaV;=$5'l;emvpZoX9?"2%k5kotv\mZ714;91<3k4dnww[lY64;91<3l4dnww[lY5 9#i7iazt^k\6-7.k2ndyyQf_3*24,ebh}}UbS?&>2(a8`jssW`U9$<=&c:flqqYnW;":8$m4dnww[lY5 8?"o6j`uu]j[7.6> i0hb{{_h]1,41.k2ndyyQf_3*2<,ebh}}UbS?&=)b9gkprXaV8#>='l;emvpZoX:!8:%n5kotv\mZ4/:;#h7iazt^k\6-44!k1ocxzPi^0+7,d%;&b:flqqYnW;"=%o5kotv\mZ4/? h0hb{{_h]1,=/e3me~xRgP2);*a>bh}}UbS?2=3;2=f>bh}}UbS>&?)c9gkprXaV9#=$m4dnww[lY4 8:"o6j`uu]j[6.69 i0hb{{_h]0,44.k2ndyyQf_2*27,ebh}}UbS>&>5(a8`jssW`U8$<8&c:flqqYnW:":;$m4dnww[lY4 82"o6j`uu]j[6.61 h0hb{{_h]0,7/d3me~xRgP3)03-f=cg|~TeR='20+`?air|VcT?%<=)b9gkprXaV9#>>'m;emvpZoX;!9"n6j`uu]j[6.3!k1ocxzPi^1+1,dbh}}UbS9&>0(a8`jssW`U?$$m4dnww[lY3 89"o6j`uu]j[1.6< i0hb{{_h]7,43.k2ndyyQf_5*22,ebh}}UbS9&>8(a8`jssW`U?$<7&b:flqqYnW="9%n5kotv\mZ2/:9#h7iazt^k\0-46!j1ocxzPi^6+67/d3me~xRgP4)00-g=cg|~TeR:'3(`8`jssW`U?$9'm;emvpZoX1b:flqqYnW<";%o5kotv\mZ3/9 i0hb{{_h]6,46.k2ndyyQf_4*25,ebh}}UbS8&>3(a8`jssW`U>$<:&c:flqqYnW<":9$m4dnww[lY2 8<"o6j`uu]j[0.6? i0hb{{_h]6,4>.k2ndyyQf_4*2=,d<'l;emvpZoX=!89%n5kotv\mZ3/::#i7iazt^k\1-5.j2ndyyQf_4*7-g=cg|~TeR;'5(`8`jssW`U>$;'m;emvpZoX=!="n6j`uu]j[0.?!k1ocxzPi^7+=,cbh}}UbS;&>6(a8`jssW`U=$<9&c:flqqYnW?":4$m4dnww[lY1 83"n6j`uu]j[3.5!j1ocxzPi^4+65/d3me~xRgP6)02-f=cg|~TeR8'23+`?air|VcT:%<<)c9gkprXaV<#?$l4dnww[lY1 =#i7iazt^k\2-3.j2ndyyQf_7*5-g=cg|~TeR8'7(`8`jssW`U=$5'm;emvpZoX>!3"i6j`uu]j[3:5;3:5n6j`uu]j[2.7!k1ocxzPi^5+5,ebh}}UbS:&>1(a8`jssW`U<$<<&c:flqqYnW>":?$m4dnww[lY0 8>"o6j`uu]j[2.6= i0hb{{_h]4,40.k2ndyyQf_6*23,ebh}}UbS:&>9(`8`jssW`U<$?'l;emvpZoX?!8;%n5kotv\mZ1/:8#h7iazt^k\3-45!j1ocxzPi^5+66/e3me~xRgP7)1*f>bh}}UbS:&;)c9gkprXaV=#9$l4dnww[lY0 ?#i7iazt^k\3-1.j2ndyyQf_6*;-g=cg|~TeR9'9(g8`jssW`U<0?=50?`8`jssW`U3$='m;emvpZoX0!;"o6j`uu]j[=.68 i0hb{{_h];,47.k2ndyyQf_9*26,ebh}}UbS5&>4(a8`jssW`U3$<;&c:flqqYnW1"::$m4dnww[lY? 8="o6j`uu]j[=.60 i0hb{{_h];,4?.j2ndyyQf_9*1-f=cg|~TeR6'21+`?air|VcT4%<>)b9gkprXaV2#>?'l;emvpZoX0!88%o5kotv\mZ>/; h0hb{{_h];,1/e3me~xRgP8)7*f>bh}}UbS5&9)c9gkprXaV2#;$l4dnww[lY? 1#i7iazt^k\<-?.m2ndyyQf_9>17?69j2ndyyQf_8*3-g=cg|~TeR7'1(a8`jssW`U2$<>&c:flqqYnW0":=$m4dnww[lY> 88"o6j`uu]j[<.6; i0hb{{_h]:,42.k2ndyyQf_8*21,ebh}}UbS4&>7(a8`jssW`U2$<6&c:flqqYnW0":5$l4dnww[lY> ;#h7iazt^k\=-47!j1ocxzPi^;+64/d3me~xRgP9)01-f=cg|~TeR7'22+a?air|VcT5%=&b:flqqYnW0"?%o5kotv\mZ?/= h0hb{{_h]:,3/e3me~xRgP9)5*f>bh}}UbS4&7)c9gkprXaV3#5$k4dnww[lY>4;91<374dnww[t.7!01ocxzPq)3*e>bh}}Uz$<>&a:flqqYv 8;"m6j`uu]r,44.i2ndyyQ~(01*e>bh}}Uz$<:&a:flqqYv 8?"m6j`uu]r,40.i2ndyyQ~(05*e>bh}}Uz$<6&a:flqqYv 83"56j`uu]r,7/f3me~xR'21+b?air|V{#><'n;emvpZw/:;#j7iazt^s+66/f3me~xR'25+b?air|V{#>8'n;emvpZw/:?#j7iazt^s+62/f3me~xR'29+b?air|V{#>4'6;emvpZw/; k0hb{{_p*04,g$o4dnww[t.4; k0hb{{_p*00,g8%l5kotv\u-23!h1ocxzPq)66-d=cg|~T}%:9)89gkprXy!?"56j`uu]r,3/>3me~xR'7(;8`jssWx"3%45kotv\u-?.k2ndyyQ~<5494;d(1+a?air|V{T=%?&c:flqqYvW8":<$m4dnww[tY6 8;"o6j`uu]r[4.6: i0hb{{_p]2,45.k2ndyyQ~_0*20,e(07*g>bh}}UzS<&>6(a8`jssWxU:$<9&c:flqqYvW8":4$m4dnww[tY6 83"n6j`uu]r[4.5!j1ocxzPq^3+65/d3me~xRP1)02-f=cg|~T}R?'23+`?air|V{T=%<<)b9gkprXyV;#>9'l;emvpZwX9!8>%n5kotv\uZ7/:?#h7iazt^s\5-40!j1ocxzPq^3+6=/d3me~xRP1)0:-g=cg|~T}R?'3(a8`jssWxU:$>>&c:flqqYvW8"8=$m4dnww[tY6 :8"o6j`uu]r[4.4; i0hb{{_p]2,62.k2ndyyQ~_0*01,e(24*g>bh}}UzS<&<7(a8`jssWxU:$>6&c:flqqYvW8"85$l4dnww[tY6 =#h7iazt^s\5-27!j1ocxzPq^3+04/d3me~xRP1)61-f=cg|~T}R?'42+`?air|V{T=%:;)b9gkprXyV;#88'l;emvpZwX9!>=%o5kotv\uZ7/= h0hb{{_p]2,3/e3me~xRP1)5*f>bh}}UzS<&7)c9gkprXyV;#5$m4dnww[tY68!:"o6j`uu]r[46/9 n0hb{{_p]24-77!m1ocxzPq^33,47.l2ndyyQ~_02+57/c3me~xRP11*27,b0)37-a=cg|~T}R??(07*`>bh}}UzS<>'17+g?air|V{T==&>7(f8`jssWxU:<%?7)e9gkprXyV;;$<7&c:flqqYvW8:#>$j4dnww[tY68!8;%i5kotv\uZ77 ;;"h6j`uu]r[46/:;#o7iazt^s\55.5; n0hb{{_p]24-43!m1ocxzPq^33,73.l2ndyyQ~_02+63/c3me~xRP11*13,b0)0;-a=cg|~T}R??(3;*g>bh}}UzS<>'3(f8`jssWxU:<%=?)e9gkprXyV;;$>?&d:flqqYvW8:#??'k;emvpZwX99"8?$j4dnww[tY68!9?%i5kotv\uZ77 :?"h6j`uu]r[46/;?#o7iazt^s\55.4? n0hb{{_p]24-5?!m1ocxzPq^33,6?.k2ndyyQ~_02+0,b0)63-a=cg|~T}R??(53*`>bh}}UzS<>'43+g?air|V{T==&;3(f8`jssWxU:<%:;)e9gkprXyV;;$9;&d:flqqYvW8:#8;'l;emvpZwX99">%n5kotv\uZ77 ?#h7iazt^s\55.0!j1ocxzPq^33,=/d3me~xRP11*:-c=cg|~T}R??<5494;e1)2*g>bh}}UzS1)03-a=cg|~T}R?>(33*`>bh}}UzS;'k;emvpZwX98"9;$j4dnww[tY69!83%i5kotv\uZ76 ;3"o6j`uu]r[47/; n0hb{{_p]25-57!m1ocxzPq^32,67.l2ndyyQ~_03+77/c3me~xRP10*07,b1)17-a=cg|~T}R?>(27*`>bh}}UzS7&c:flqqYvW8;#8$j4dnww[tY69!>;%i5kotv\uZ76 =;"h6j`uu]r[47/<;#o7iazt^s\54.3; n0hb{{_p]25-23!m1ocxzPq^32,13.l2ndyyQ~_03+03/d3me~xRP10*6-f=cg|~T}R?>(7+`?air|V{T=<&8)b9gkprXyV;:$5'l;emvpZwX98"2%k5kotv\uZ764=<1<3m4dnww[tY6:!:"o6j`uu]r[44/9 n0hb{{_p]26-77!m1ocxzPq^31,47.l2ndyyQ~_00+57/c3me~xRP13*27,b2)37-a=cg|~T}R?=(07*`>bh}}UzS<<'17+g?air|V{T=?&>7(f8`jssWxU:>%?7)e9gkprXyV;9$<7&c:flqqYvW88#>$j4dnww[tY6:!8;%i5kotv\uZ75 ;;"h6j`uu]r[44/:;#o7iazt^s\57.5; n0hb{{_p]26-43!m1ocxzPq^31,73.l2ndyyQ~_00+63/c3me~xRP13*13,b2)0;-a=cg|~T}R?=(3;*g>bh}}UzS<<'3(f8`jssWxU:>%=?)e9gkprXyV;9$>?&d:flqqYvW88#??'k;emvpZwX9;"8?$j4dnww[tY6:!9?%i5kotv\uZ75 :?"h6j`uu]r[44/;?#o7iazt^s\57.4? n0hb{{_p]26-5?!m1ocxzPq^31,6?.k2ndyyQ~_00+0,b2)63-a=cg|~T}R?=(53*`>bh}}UzS<<'43+g?air|V{T=?&;3(f8`jssWxU:>%:;)e9gkprXyV;9$9;&d:flqqYvW88#8;'l;emvpZwX9;">%n5kotv\uZ75 ?#h7iazt^s\57.0!j1ocxzPq^31,=/d3me~xRP13*:-c=cg|~T}R?=<5494;e3)2*g>bh}}UzS<='1(f8`jssWxU:?%??)e9gkprXyV;8$3)03-a=cg|~T}R?<(33*`>bh}}UzS<='23+g?air|V{T=>&=3(f8`jssWxU:?%<;)e9gkprXyV;8$?;&d:flqqYvW89#>;'k;emvpZwX9:"9;$j4dnww[tY6;!83%i5kotv\uZ74 ;3"o6j`uu]r[45/; n0hb{{_p]27-57!m1ocxzPq^30,67.l2ndyyQ~_01+77/c3me~xRP12*07,b3)17-a=cg|~T}R?<(27*`>bh}}UzS<='37+g?air|V{T=>&<7(f8`jssWxU:?%=7)e9gkprXyV;8$>7&c:flqqYvW89#8$j4dnww[tY6;!>;%i5kotv\uZ74 =;"h6j`uu]r[45/<;#o7iazt^s\56.3; n0hb{{_p]27-23!m1ocxzPq^30,13.l2ndyyQ~_01+03/d3me~xRP12*6-f=cg|~T}R?<(7+`?air|V{T=>&8)b9gkprXyV;8$5'l;emvpZwX9:"2%k5kotv\uZ744=<1<3m4dnww[tY64)37-a=cg|~T}R?;(07*`>bh}}UzS<:'17+g?air|V{T=9&>7(f8`jssWxU:8%?7)e9gkprXyV;?$<7&c:flqqYvW8>#>$j4dnww[tY64)0;-a=cg|~T}R?;(3;*g>bh}}UzS<:'3(f8`jssWxU:8%=?)e9gkprXyV;?$>?&d:flqqYvW8>#??'k;emvpZwX9="8?$j4dnww[tY64)63-a=cg|~T}R?;(53*`>bh}}UzS<:'43+g?air|V{T=9&;3(f8`jssWxU:8%:;)e9gkprXyV;?$9;&d:flqqYvW8>#8;'l;emvpZwX9=">%n5kotv\uZ73 ?#h7iazt^s\51.0!j1ocxzPq^37,=/d3me~xRP15*:-c=cg|~T}R?;<5494;e5)2*g>bh}}UzS<;'1(f8`jssWxU:9%??)e9gkprXyV;>$5)03-a=cg|~T}R?:(33*`>bh}}UzS<;'23+g?air|V{T=8&=3(f8`jssWxU:9%<;)e9gkprXyV;>$?;&d:flqqYvW8?#>;'k;emvpZwX9<"9;$j4dnww[tY6=!83%i5kotv\uZ72 ;3"o6j`uu]r[43/; n0hb{{_p]21-57!m1ocxzPq^36,67.l2ndyyQ~_07+77/c3me~xRP14*07,b5)17-a=cg|~T}R?:(27*`>bh}}UzS<;'37+g?air|V{T=8&<7(f8`jssWxU:9%=7)e9gkprXyV;>$>7&c:flqqYvW8?#8$j4dnww[tY6=!>;%i5kotv\uZ72 =;"h6j`uu]r[43/<;#o7iazt^s\50.3; n0hb{{_p]21-23!m1ocxzPq^36,13.l2ndyyQ~_07+03/d3me~xRP14*6-f=cg|~T}R?:(7+`?air|V{T=8&8)b9gkprXyV;>$5'l;emvpZwX9<"2%k5kotv\uZ724=<1<3m4dnww[tY6>!:"o6j`uu]r[40/9 n0hb{{_p]22-77!m1ocxzPq^35,47.l2ndyyQ~_04+57/c3me~xRP17*27,b6)37-a=cg|~T}R?9(07*`>bh}}UzS<8'17+g?air|V{T=;&>7(f8`jssWxU::%?7)e9gkprXyV;=$<7&c:flqqYvW8<#>$j4dnww[tY6>!8;%i5kotv\uZ71 ;;"h6j`uu]r[40/:;#o7iazt^s\53.5; n0hb{{_p]22-43!m1ocxzPq^35,73.l2ndyyQ~_04+63/c3me~xRP17*13,b6)0;-a=cg|~T}R?9(3;*g>bh}}UzS<8'3(f8`jssWxU::%=?)e9gkprXyV;=$>?&d:flqqYvW8<#??'k;emvpZwX9?"8?$j4dnww[tY6>!9?%i5kotv\uZ71 :?"h6j`uu]r[40/;?#o7iazt^s\53.4? n0hb{{_p]22-5?!m1ocxzPq^35,6?.k2ndyyQ~_04+0,b6)63-a=cg|~T}R?9(53*`>bh}}UzS<8'43+g?air|V{T=;&;3(f8`jssWxU::%:;)e9gkprXyV;=$9;&d:flqqYvW8<#8;'l;emvpZwX9?">%n5kotv\uZ71 ?#h7iazt^s\53.0!j1ocxzPq^35,=/d3me~xRP17*:-c=cg|~T}R?9<5494;c<5494;d%?&c:flqqYvW;":<$m4dnww[tY5 8;"o6j`uu]r[7.6: i0hb{{_p]1,45.k2ndyyQ~_3*20,ebh}}UzS?&>6(a8`jssWxU9$<9&c:flqqYvW;":4$m4dnww[tY5 83"n6j`uu]r[7.5!j1ocxzPq^0+65/d3me~xRP2)02-f=cg|~T}R<'23+`?air|V{T>%<<)b9gkprXyV8#>9'l;emvpZwX:!8>%n5kotv\uZ4/:?#h7iazt^s\6-40!j1ocxzPq^0+6=/d3me~xRP2)0:-g=cg|~T}R<'3(a8`jssWxU9$>>&c:flqqYvW;"8=$m4dnww[tY5 :8"o6j`uu]r[7.4; i0hb{{_p]1,62.k2ndyyQ~_3*01,ebh}}UzS?&<7(a8`jssWxU9$>6&c:flqqYvW;"85$l4dnww[tY5 =#h7iazt^s\6-27!j1ocxzPq^0+04/d3me~xRP2)61-f=cg|~T}R<'42+`?air|V{T>%:;)b9gkprXyV8#88'l;emvpZwX:!>=%o5kotv\uZ4/= h0hb{{_p]1,3/e3me~xRP2)5*f>bh}}UzS?&7)c9gkprXyV8#5$k4dnww[tY54=<1<3l4dnww[tY4 9#i7iazt^s\7-7.k2ndyyQ~_2*24,ebh}}UzS>&>2(a8`jssWxU8$<=&c:flqqYvW:":8$m4dnww[tY4 8?"o6j`uu]r[6.6> i0hb{{_p]0,41.k2ndyyQ~_2*2<,ebh}}UzS>&=)b9gkprXyV9#>='l;emvpZwX;!8:%n5kotv\uZ5/:;#h7iazt^s\7-44!j1ocxzPq^1+61/d3me~xRP3)06-f=cg|~T}R='27+`?air|V{T?%<8)b9gkprXyV9#>5'l;emvpZwX;!82%o5kotv\uZ5/; i0hb{{_p]0,66.k2ndyyQ~_2*05,ebh}}UzS>&<3(a8`jssWxU8$>:&c:flqqYvW:"89$m4dnww[tY4 :<"o6j`uu]r[6.4? i0hb{{_p]0,6>.k2ndyyQ~_2*0=,d9%n5kotv\uZ5/<:#h7iazt^s\7-23!j1ocxzPq^1+00/d3me~xRP3)65-g=cg|~T}R='5(`8`jssWxU8$;'m;emvpZwX;!="n6j`uu]r[6.?!k1ocxzPq^1+=,cbh}}UzS9&>6(a8`jssWxU?$<9&c:flqqYvW=":4$m4dnww[tY3 83"n6j`uu]r[1.5!j1ocxzPq^6+65/d3me~xRP4)02-f=cg|~T}R:'23+`?air|V{T8%<<)b9gkprXyV>#>9'l;emvpZwX%n5kotv\uZ2/:?#h7iazt^s\0-40!j1ocxzPq^6+6=/d3me~xRP4)0:-g=cg|~T}R:'3(a8`jssWxU?$>>&c:flqqYvW="8=$m4dnww[tY3 :8"o6j`uu]r[1.4; i0hb{{_p]7,62.k2ndyyQ~_5*01,ebh}}UzS9&<7(a8`jssWxU?$>6&c:flqqYvW="85$l4dnww[tY3 =#h7iazt^s\0-27!j1ocxzPq^6+04/d3me~xRP4)61-f=cg|~T}R:'42+`?air|V{T8%:;)b9gkprXyV>#88'l;emvpZwX=%o5kotv\uZ2/= h0hb{{_p]7,3/e3me~xRP4)5*f>bh}}UzS9&7)c9gkprXyV>#5$k4dnww[tY34=<1<3l4dnww[tY2 9#i7iazt^s\1-7.k2ndyyQ~_4*24,ebh}}UzS8&>2(a8`jssWxU>$<=&c:flqqYvW<":8$m4dnww[tY2 8?"o6j`uu]r[0.6> i0hb{{_p]6,41.k2ndyyQ~_4*2<,ebh}}UzS8&=)b9gkprXyV?#>='l;emvpZwX=!8:%n5kotv\uZ3/:;#h7iazt^s\1-44!j1ocxzPq^7+61/d3me~xRP5)06-f=cg|~T}R;'27+`?air|V{T9%<8)b9gkprXyV?#>5'l;emvpZwX=!82%o5kotv\uZ3/; i0hb{{_p]6,66.k2ndyyQ~_4*05,ebh}}UzS8&<3(a8`jssWxU>$>:&c:flqqYvW<"89$m4dnww[tY2 :<"o6j`uu]r[0.4? i0hb{{_p]6,6>.k2ndyyQ~_4*0=,d9%n5kotv\uZ3/<:#h7iazt^s\1-23!j1ocxzPq^7+00/d3me~xRP5)65-g=cg|~T}R;'5(`8`jssWxU>$;'m;emvpZwX=!="n6j`uu]r[0.?!k1ocxzPq^7+=,cbh}}UzS;&>6(a8`jssWxU=$<9&c:flqqYvW?":4$m4dnww[tY1 83"n6j`uu]r[3.5!j1ocxzPq^4+65/d3me~xRP6)02-f=cg|~T}R8'23+`?air|V{T:%<<)b9gkprXyV<#>9'l;emvpZwX>!8>%n5kotv\uZ0/:?#h7iazt^s\2-40!j1ocxzPq^4+6=/d3me~xRP6)0:-g=cg|~T}R8'3(a8`jssWxU=$>>&c:flqqYvW?"8=$m4dnww[tY1 :8"o6j`uu]r[3.4; i0hb{{_p]5,62.k2ndyyQ~_7*01,ebh}}UzS;&<7(a8`jssWxU=$>6&c:flqqYvW?"85$l4dnww[tY1 =#h7iazt^s\2-27!j1ocxzPq^4+04/d3me~xRP6)61-f=cg|~T}R8'42+`?air|V{T:%:;)b9gkprXyV<#88'l;emvpZwX>!>=%o5kotv\uZ0/= h0hb{{_p]5,3/e3me~xRP6)5*f>bh}}UzS;&7)c9gkprXyV<#5$k4dnww[tY14=<1<3l4dnww[tY0 9#i7iazt^s\3-7.k2ndyyQ~_6*24,ebh}}UzS:&>2(a8`jssWxU<$<=&c:flqqYvW>":8$m4dnww[tY0 8?"o6j`uu]r[2.6> i0hb{{_p]4,41.k2ndyyQ~_6*2<,ebh}}UzS:&=)b9gkprXyV=#>='l;emvpZwX?!8:%n5kotv\uZ1/:;#h7iazt^s\3-44!j1ocxzPq^5+61/d3me~xRP7)06-f=cg|~T}R9'27+`?air|V{T;%<8)b9gkprXyV=#>5'l;emvpZwX?!82%o5kotv\uZ1/; i0hb{{_p]4,66.k2ndyyQ~_6*05,ebh}}UzS:&<3(a8`jssWxU<$>:&c:flqqYvW>"89$m4dnww[tY0 :<"o6j`uu]r[2.4? i0hb{{_p]4,6>.k2ndyyQ~_6*0=,d9%n5kotv\uZ1/<:#h7iazt^s\3-23!j1ocxzPq^5+00/d3me~xRP7)65-g=cg|~T}R9'5(`8`jssWxU<$;'m;emvpZwX?!="n6j`uu]r[2.?!k1ocxzPq^5+=,cbh}}UzS5&>6(a8`jssWxU3$<9&c:flqqYvW1":4$m4dnww[tY? 83"n6j`uu]r[=.5!j1ocxzPq^:+65/d3me~xRP8)02-f=cg|~T}R6'23+`?air|V{T4%<<)b9gkprXyV2#>9'l;emvpZwX0!8>%n5kotv\uZ>/:?#h7iazt^s\<-40!j1ocxzPq^:+6=/d3me~xRP8)0:-g=cg|~T}R6'3(a8`jssWxU3$>>&c:flqqYvW1"8=$m4dnww[tY? :8"o6j`uu]r[=.4; i0hb{{_p];,62.k2ndyyQ~_9*01,ebh}}UzS5&<7(a8`jssWxU3$>6&c:flqqYvW1"85$l4dnww[tY? =#h7iazt^s\<-27!j1ocxzPq^:+04/d3me~xRP8)61-f=cg|~T}R6'42+`?air|V{T4%:;)b9gkprXyV2#88'l;emvpZwX0!>=%o5kotv\uZ>/= h0hb{{_p];,3/e3me~xRP8)5*f>bh}}UzS5&7)c9gkprXyV2#5$k4dnww[tY?4=<1<3l4dnww[tY> 9#i7iazt^s\=-7.k2ndyyQ~_8*24,ebh}}UzS4&>2(a8`jssWxU2$<=&c:flqqYvW0":8$m4dnww[tY> 8?"o6j`uu]r[<.6> i0hb{{_p]:,41.k2ndyyQ~_8*2<,ebh}}UzS4&=)b9gkprXyV3#>='l;emvpZwX1!8:%n5kotv\uZ?/:;#h7iazt^s\=-44!j1ocxzPq^;+61/d3me~xRP9)06-f=cg|~T}R7'27+`?air|V{T5%<8)b9gkprXyV3#>5'l;emvpZwX1!82%o5kotv\uZ?/; i0hb{{_p]:,66.k2ndyyQ~_8*05,ebh}}UzS4&<3(a8`jssWxU2$>:&c:flqqYvW0"89$m4dnww[tY> :<"o6j`uu]r[<.4? i0hb{{_p]:,6>.k2ndyyQ~_8*0=,d9%n5kotv\uZ?/<:#h7iazt^s\=-23!j1ocxzPq^;+00/d3me~xRP9)65-g=cg|~T}R7'5(`8`jssWxU2$;'m;emvpZwX1!="n6j`uu]r[<.?!k1ocxzPq^;+=,c3mzySob_5;8`utXzhgT955jdhd1jbbc3ocgxh|Psdn+4,`3>585l2lb~Rm`mqkoawYq=V:',Ugcioz#GJTB(Noeio{os"20+213ahoin<=2:ja``e5$ocySnabphnfvZp2W9&ECCK#NNLF6gg<`knno?"iis]`khvndlxTz8Q?,zc`gpkX`nd0:8,`nokrYfxyxdt0>#c^jbwZeb58&hSeo|_ecweZpfd`n6=!mPh`q\ja;6$jUcm~Q|cmp>5)eXdh~nbnw223.`[hcjW`dbxRhfld?kfacd:'gbj!mPoqvjil|f|`ee1<"lh`l\mkYfl7; nfnn^qfhZqnl}b6=!mcobi\bwcv5?>;<=>?0-alacYjmd~r|h3?,bmfbZtbdfko1<"lodd\p|vb59&hchhPwhfwl87?$jegSnaafhe>0)ehdVgdhh3?,bmo[uowmVfnb0:#d`vb[hcj|pzn1="kauc\p|vb59&omyoPwhfwl843$mkm}o{h^ofiqwm4:'hhmfm^pbp`;5$ocgxh|Pasak94*aae~n~R}jl^uj`qn:9%lb`yk}_u{sa80+ag~n~}Q}aug>5)owj|lmS`kbtxrf95*imnUxu`cjts{>4)hreVicmc`jlr?2(ksjWocgx~3>,own[ugs`z79 c{b_ucsw820$fnoS~wbmdvq}87+gyh~jkQbelvzt`;7$f|xzPrde>5)ir|ySzgkti?73)tf|lUhdl`he^vzt`;7${e~biQboeg>4)ufeyfnSk|jq<11456789&xoa|Pddvfvhoiaz~bo0?#sxonaqt~58&qiaPp`ahmkaXnhic3>,|3f?mdcmj8Tjd|PcnosmicuW?T?00`8wla789:;<=?012340d<{`m;<=>?014a?vo`89:;<=>8b:qjc56789:;4o5|if23456780h0di?012345ge3zcl<=>?012af>uno9:;<=>?cc9pmb6789:;?01224g=tan:;<=>?10`8wla789:;<<?012350d<{`m;<=>?004a?vo`89:;<=?8b:qjc56789::4o5|if23456790h0di?012344ge3zcl<=>?013af>uno9:;<=>>cc9pmb6789:;=il4she3456788oi7~gh0123457aj2ybk=>?01214g=tan:;<=>?20`8wla789:;?012360d<{`m;<=>?034a?vo`89:;<=<8b:qjc56789:94o5|if234567:0h0di?012347ge3zcl<=>?010af>uno9:;<=>=cc9pmb6789:;>il4she345678;oi7~gh0123454aj2ybk=>?01204g=tan:;<=>?30`8wla789:;<>?012370d<{`m;<=>?024a?vo`89:;<==8b:qjc56789:84o5|if234567;0h0di?012346ge3zcl<=>?011af>uno9:;<=>?01274g=tan:;<=>?40`8wla789:;<98n6}fg1234563?012300d<{`m;<=>?054a?vo`89:;<=:8b:qjc56789:?4o5|if234567<0h0di?012341ge3zcl<=>?016af>uno9:;<=>;cc9pmb6789:;8il4she345678=oi7~gh0123452aj2ybk=>?01264g=tan:;<=>?50`8wla789:;<8?012310d<{`m;<=>?044a?vo`89:;<=;8b:qjc56789:>4o5|if234567=0h0di?012340ge3zcl<=>?017af>uno9:;<=>:cc9pmb6789:;9il4she345678?01254g=tan:;<=>?60`8wla789:;<;?012320d<{`m;<=>?074a?vo`89:;<=88b:qjc56789:=4o5|if234567>0h0di?012343ge3zcl<=>?014af>uno9:;<=>9cc9pmb6789:;:il4she345678?oi7~gh0123450aj2ybk=>?01244g=tan:;<=>?70`8wla789:;<:?012330d<{`m;<=>?064a?vo`89:;<=98b:qjc56789:<4o5|if234567?0h0di?012342ge3zcl<=>?015af>uno9:;<=>8cc9pmb6789:;;il4she345678>oi7~gh0123451aj2ybk=>?012;4g=tan:;<=>?80`8wla789:;<5?0123<0d<{`m;<=>?094a?vo`89:;<=68b:qjc56789:34o5|if23456700h0di?01234=ge3zcl<=>?01:af>uno9:;<=>7cc9pmb6789:;4il4she3456781oi7~gh012345>aj2ybk=>?012:4g=tan:;<=>?90`8wla789:;<4?0123=0d<{`m;<=>?084a?vo`89:;<=78b:qjc56789:24o5|if23456710h0di?01234?01;af>uno9:;<=>6cc9pmb6789:;5il4she3456780oi7~gh012345?aj2ybk=>?012b4g=tan:;<=>?a0`8wla789:;?0123e0d<{`m;<=>?0`4a?vo`89:;<=o8b:qjc56789:j4o5|if234567i0h0di?01234dge3zcl<=>?01caf>uno9:;<=>ncc9pmb6789:;mil4she345678hoi7~gh012345gaj2ybk=>?012a4g=tan:;<=>?b0`8wla789:;?0123f0d<{`m;<=>?0c4a?vo`89:;<=l8b:qjc56789:i4o5|if234567j0h0di?01234gge3zcl<=>?01`af>uno9:;<=>mcc9pmb6789:;nil4she345678koi7~gh012345daj2ybk=>?012`4g=tan:;<=>?c0`8wla789:;?0123g0d<{`m;<=>?0b4a?vo`89:;<=m8b:qjc56789:h4o5|if234567k0h0di?01234fge3zcl<=>?01aaf>uno9:;<=>lcc9pmb6789:;oil4she345678joi7~gh012345eaj2ybk=>?012g4g=tan:;<=>?d0`8wla789:;?0123`0d<{`m;<=>?0e4a?vo`89:;<=j8b:qjc56789:o4o5|if234567l0h0di?01234age3zcl<=>?01faf>uno9:;<=>kcc9pmb6789:;hil4she345678moi7~gh012345baj2ybk=>?012f4g=tan:;<=>?e0`8wla789:;?0123a0d<{`m;<=>?0d4a?vo`89:;<=k8b:qjc56789:n4o5|if234567m0h0di?01234`ge3zcl<=>?01gaf>uno9:;<=>jcc9pmb6789:;iil4she345678loi7~gh012345caj2ybk=>?012e4g=tan:;<=>?f0`8wla789:;?0123b0d<{`m;<=>?0g4a?vo`89:;<=h8b:qjc56789:m4o5|if234567n0h0di?01234cge3zcl<=>?01daf>uno9:;<=>icc9pmb6789:;jil4she345678ooi7~gh012345`aj2ybk=>?01334g=tan:;<=>>00`8wla789:;==?012240d<{`m;<=>?114a?vo`89:;<<>8b:qjc56789;;4o5|if23456680h0di?012355ge3zcl<=>?002af>uno9:;<=??cc9pmb6789::?01324g=tan:;<=>>10`8wla789:;=<?012250d<{`m;<=>?104a?vo`89:;<?003af>uno9:;<=?>cc9pmb6789::=il4she3456798oi7~gh0123447aj2ybk=>?01314g=tan:;<=>>20`8wla789:;=??012260d<{`m;<=>?134a?vo`89:;<<<8b:qjc56789;94o5|if234566:0h0di?012357ge3zcl<=>?000af>uno9:;<=?=cc9pmb6789::>il4she345679;oi7~gh0123444aj2ybk=>?01304g=tan:;<=>>30`8wla789:;=>?012270d<{`m;<=>?124a?vo`89:;<<=8b:qjc56789;84o5|if234566;0h0di?012356ge3zcl<=>?001af>uno9:;<=??01374g=tan:;<=>>40`8wla789:;=98n6}fg1234573?012200d<{`m;<=>?154a?vo`89:;<<:8b:qjc56789;?4o5|if234566<0h0di?012351ge3zcl<=>?006af>uno9:;<=?;cc9pmb6789::8il4she345679=oi7~gh0123442aj2ybk=>?01364g=tan:;<=>>50`8wla789:;=8?012210d<{`m;<=>?144a?vo`89:;<<;8b:qjc56789;>4o5|if234566=0h0di?012350ge3zcl<=>?007af>uno9:;<=?:cc9pmb6789::9il4she345679?01354g=tan:;<=>>60`8wla789:;=;?012220d<{`m;<=>?174a?vo`89:;<<88b:qjc56789;=4o5|if234566>0h0di?012353ge3zcl<=>?004af>uno9:;<=?9cc9pmb6789:::il4she345679?oi7~gh0123440aj2ybk=>?01344g=tan:;<=>>70`8wla789:;=:?012230d<{`m;<=>?164a?vo`89:;<<98b:qjc56789;<4o5|if234566?0h0di?012352ge3zcl<=>?005af>uno9:;<=?8cc9pmb6789::;il4she345679>oi7~gh0123441aj2ybk=>?013;4g=tan:;<=>>80`8wla789:;=5?0122<0d<{`m;<=>?194a?vo`89:;<<68b:qjc56789;34o5|if23456600h0di?01235=ge3zcl<=>?00:af>uno9:;<=?7cc9pmb6789::4il4she3456791oi7~gh012344>aj2ybk=>?013:4g=tan:;<=>>90`8wla789:;=4?0122=0d<{`m;<=>?184a?vo`89:;<<78b:qjc56789;24o5|if23456610h0di?01235?00;af>uno9:;<=?6cc9pmb6789::5il4she3456790oi7~gh012344?aj2ybk=>?013b4g=tan:;<=>>a0`8wla789:;=l?0122e0d<{`m;<=>?1`4a?vo`89:;<?00caf>uno9:;<=?ncc9pmb6789::mil4she345679hoi7~gh012344gaj2ybk=>?013a4g=tan:;<=>>b0`8wla789:;=o?0122f0d<{`m;<=>?1c4a?vo`89:;<?00`af>uno9:;<=?mcc9pmb6789::nil4she345679koi7~gh012344daj2ybk=>?013`4g=tan:;<=>>c0`8wla789:;=n?0122g0d<{`m;<=>?1b4a?vo`89:;<?00aaf>uno9:;<=?lcc9pmb6789::oil4she345679joi7~gh012344eaj2ybk=>?013g4g=tan:;<=>>d0`8wla789:;=i?0122`0d<{`m;<=>?1e4a?vo`89:;<?00faf>uno9:;<=?kcc9pmb6789::hil4she345679moi7~gh012344baj2ybk=>?013f4g=tan:;<=>>e0`8wla789:;=h?0122a0d<{`m;<=>?1d4a?vo`89:;<?00gaf>uno9:;<=?jcc9pmb6789::iil4she345679loi7~gh012344caj2ybk=>?013e4g=tan:;<=>>f0`8wla789:;=k?0122b0d<{`m;<=>?1g4a?vo`89:;<?00daf>uno9:;<=?icc9pmb6789::jil4she345679ooi7~gh012344`aj2ybk=>?01034g=tan:;<=>=00`8wla789:;>=?012140d<{`m;<=>?214a?vo`89:;8b:qjc567898;4o5|if23456580h0di?012365ge3zcl<=>?032af>uno9:;<=?01024g=tan:;<=>=10`8wla789:;><?012150d<{`m;<=>?204a?vo`89:;?033af>uno9:;<=<>cc9pmb6789:9=il4she34567:8oi7~gh0123477aj2ybk=>?01014g=tan:;<=>=20`8wla789:;>??012160d<{`m;<=>?234a?vo`89:;?030af>uno9:;<=<=cc9pmb6789:9>il4she34567:;oi7~gh0123474aj2ybk=>?01004g=tan:;<=>=30`8wla789:;>>?012170d<{`m;<=>?224a?vo`89:;?031af>uno9:;<=<?01074g=tan:;<=>=40`8wla789:;>98n6}fg1234543?012100d<{`m;<=>?254a?vo`89:;?036af>uno9:;<=<;cc9pmb6789:98il4she34567:=oi7~gh0123472aj2ybk=>?01064g=tan:;<=>=50`8wla789:;>8?012110d<{`m;<=>?244a?vo`89:;4o5|if234565=0h0di?012360ge3zcl<=>?037af>uno9:;<=<:cc9pmb6789:99il4she34567:?01054g=tan:;<=>=60`8wla789:;>;?012120d<{`m;<=>?274a?vo`89:;0h0di?012363ge3zcl<=>?034af>uno9:;<=<9cc9pmb6789:9:il4she34567:?oi7~gh0123470aj2ybk=>?01044g=tan:;<=>=70`8wla789:;>:?012130d<{`m;<=>?264a?vo`89:;?035af>uno9:;<=<8cc9pmb6789:9;il4she34567:>oi7~gh0123471aj2ybk=>?010;4g=tan:;<=>=80`8wla789:;>5?0121<0d<{`m;<=>?294a?vo`89:;?03:af>uno9:;<=<7cc9pmb6789:94il4she34567:1oi7~gh012347>aj2ybk=>?010:4g=tan:;<=>=90`8wla789:;>4?0121=0d<{`m;<=>?284a?vo`89:;?03;af>uno9:;<=<6cc9pmb6789:95il4she34567:0oi7~gh012347?aj2ybk=>?010b4g=tan:;<=>=a0`8wla789:;>l?0121e0d<{`m;<=>?2`4a?vo`89:;?03caf>uno9:;<=?010a4g=tan:;<=>=b0`8wla789:;>o?0121f0d<{`m;<=>?2c4a?vo`89:;?03`af>uno9:;<=?010`4g=tan:;<=>=c0`8wla789:;>n?0121g0d<{`m;<=>?2b4a?vo`89:;?03aaf>uno9:;<=?010g4g=tan:;<=>=d0`8wla789:;>i?0121`0d<{`m;<=>?2e4a?vo`89:;?03faf>uno9:;<=?010f4g=tan:;<=>=e0`8wla789:;>h?0121a0d<{`m;<=>?2d4a?vo`89:;?03gaf>uno9:;<=?010e4g=tan:;<=>=f0`8wla789:;>k?0121b0d<{`m;<=>?2g4a?vo`89:;?03daf>uno9:;<=?01134g=tan:;<=><00`8wla789:;?=?012040d<{`m;<=>?314a?vo`89:;<>>8b:qjc567899;4o5|if23456480h0di?012375ge3zcl<=>?022af>uno9:;<==?cc9pmb6789:8?01124g=tan:;<=><10`8wla789:;?<?012050d<{`m;<=>?304a?vo`89:;<>?8b:qjc567899:4o5|if23456490h0di?012374ge3zcl<=>?023af>uno9:;<==>cc9pmb6789:8=il4she34567;8oi7~gh0123467aj2ybk=>?01114g=tan:;<=><20`8wla789:;???012060d<{`m;<=>?334a?vo`89:;<><8b:qjc56789994o5|if234564:0h0di?012377ge3zcl<=>?020af>uno9:;<===cc9pmb6789:8>il4she34567;;oi7~gh0123464aj2ybk=>?01104g=tan:;<=><30`8wla789:;?>?012070d<{`m;<=>?324a?vo`89:;<>=8b:qjc56789984o5|if234564;0h0di?012376ge3zcl<=>?021af>uno9:;<==?01174g=tan:;<=><40`8wla789:;?98n6}fg1234553?012000d<{`m;<=>?354a?vo`89:;<>:8b:qjc567899?4o5|if234564<0h0di?012371ge3zcl<=>?026af>uno9:;<==;cc9pmb6789:88il4she34567;=oi7~gh0123462aj2ybk=>?01164g=tan:;<=><50`8wla789:;?8?012010d<{`m;<=>?344a?vo`89:;<>;8b:qjc567899>4o5|if234564=0h0di?012370ge3zcl<=>?027af>uno9:;<==:cc9pmb6789:89il4she34567;?01154g=tan:;<=><60`8wla789:;?;?012020d<{`m;<=>?374a?vo`89:;<>88b:qjc567899=4o5|if234564>0h0di?012373ge3zcl<=>?024af>uno9:;<==9cc9pmb6789:8:il4she34567;?oi7~gh0123460aj2ybk=>?01144g=tan:;<=><70`8wla789:;?:?012030d<{`m;<=>?364a?vo`89:;<>98b:qjc567899<4o5|if234564?0h0di?012372ge3zcl<=>?025af>uno9:;<==8cc9pmb6789:8;il4she34567;>oi7~gh0123461aj2ybk=>?011;4g=tan:;<=><80`8wla789:;?5?0120<0d<{`m;<=>?394a?vo`89:;<>68b:qjc56789934o5|if23456400h0di?01237=ge3zcl<=>?02:af>uno9:;<==7cc9pmb6789:84il4she34567;1oi7~gh012346>aj2ybk=>?011:4g=tan:;<=><90`8wla789:;?4?0120=0d<{`m;<=>?384a?vo`89:;<>78b:qjc56789924o5|if23456410h0di?01237?02;af>uno9:;<==6cc9pmb6789:85il4she34567;0oi7~gh012346?aj2ybk=>?011b4g=tan:;<=>?0120e0d<{`m;<=>?3`4a?vo`89:;<>o8b:qjc567899j4o5|if234564i0h0di?01237dge3zcl<=>?02caf>uno9:;<==ncc9pmb6789:8mil4she34567;hoi7~gh012346gaj2ybk=>?011a4g=tan:;<=>?0120f0d<{`m;<=>?3c4a?vo`89:;<>l8b:qjc567899i4o5|if234564j0h0di?01237gge3zcl<=>?02`af>uno9:;<==mcc9pmb6789:8nil4she34567;koi7~gh012346daj2ybk=>?011`4g=tan:;<=>?0120g0d<{`m;<=>?3b4a?vo`89:;<>m8b:qjc567899h4o5|if234564k0h0di?01237fge3zcl<=>?02aaf>uno9:;<==lcc9pmb6789:8oil4she34567;joi7~gh012346eaj2ybk=>?011g4g=tan:;<=>?0120`0d<{`m;<=>?3e4a?vo`89:;<>j8b:qjc567899o4o5|if234564l0h0di?01237age3zcl<=>?02faf>uno9:;<==kcc9pmb6789:8hil4she34567;moi7~gh012346baj2ybk=>?011f4g=tan:;<=>?0120a0d<{`m;<=>?3d4a?vo`89:;<>k8b:qjc567899n4o5|if234564m0h0di?01237`ge3zcl<=>?02gaf>uno9:;<==jcc9pmb6789:8iil4she34567;loi7~gh012346caj2ybk=>?011e4g=tan:;<=>?0120b0d<{`m;<=>?3g4a?vo`89:;<>h8b:qjc567899m4o5|if234564n0h0di?01237cge3zcl<=>?02daf>uno9:;<==icc9pmb6789:8jil4she34567;ooi7~gh012346`aj2ybk=>?01634g=tan:;<=>;00`8wla789:;8=?012740d<{`m;<=>?414a?vo`89:;<9>8b:qjc56789>;4o5|if23456380h0di?012305ge3zcl<=>?052af>uno9:;<=:?cc9pmb6789:??01624g=tan:;<=>;10`8wla789:;8<?012750d<{`m;<=>?404a?vo`89:;<9?8b:qjc56789>:4o5|if23456390h0di?012304ge3zcl<=>?053af>uno9:;<=:>cc9pmb6789:?=il4she34567<8oi7~gh0123417aj2ybk=>?01614g=tan:;<=>;20`8wla789:;8??012760d<{`m;<=>?434a?vo`89:;<9<8b:qjc56789>94o5|if234563:0h0di?012307ge3zcl<=>?050af>uno9:;<=:=cc9pmb6789:?>il4she34567<;oi7~gh0123414aj2ybk=>?01604g=tan:;<=>;30`8wla789:;8>?012770d<{`m;<=>?424a?vo`89:;<9=8b:qjc56789>84o5|if234563;0h0di?012306ge3zcl<=>?051af>uno9:;<=:?01674g=tan:;<=>;40`8wla789:;898n6}fg1234523?012700d<{`m;<=>?454a?vo`89:;<9:8b:qjc56789>?4o5|if234563<0h0di?012301ge3zcl<=>?056af>uno9:;<=:;cc9pmb6789:?8il4she34567<=oi7~gh0123412aj2ybk=>?01664g=tan:;<=>;50`8wla789:;88?012710d<{`m;<=>?444a?vo`89:;<9;8b:qjc56789>>4o5|if234563=0h0di?012300ge3zcl<=>?057af>uno9:;<=::cc9pmb6789:?9il4she34567<?01654g=tan:;<=>;60`8wla789:;8;?012720d<{`m;<=>?474a?vo`89:;<988b:qjc56789>=4o5|if234563>0h0di?012303ge3zcl<=>?054af>uno9:;<=:9cc9pmb6789:?:il4she34567?01644g=tan:;<=>;70`8wla789:;8:?012730d<{`m;<=>?464a?vo`89:;<998b:qjc56789><4o5|if234563?0h0di?012302ge3zcl<=>?055af>uno9:;<=:8cc9pmb6789:?;il4she34567<>oi7~gh0123411aj2ybk=>?016;4g=tan:;<=>;80`8wla789:;85?0127<0d<{`m;<=>?494a?vo`89:;<968b:qjc56789>34o5|if23456300h0di?01230=ge3zcl<=>?05:af>uno9:;<=:7cc9pmb6789:?4il4she34567<1oi7~gh012341>aj2ybk=>?016:4g=tan:;<=>;90`8wla789:;84?0127=0d<{`m;<=>?484a?vo`89:;<978b:qjc56789>24o5|if23456310h0di?01230?05;af>uno9:;<=:6cc9pmb6789:?5il4she34567<0oi7~gh012341?aj2ybk=>?016b4g=tan:;<=>;a0`8wla789:;8l?0127e0d<{`m;<=>?4`4a?vo`89:;<9o8b:qjc56789>j4o5|if234563i0h0di?01230dge3zcl<=>?05caf>uno9:;<=:ncc9pmb6789:?mil4she34567?016a4g=tan:;<=>;b0`8wla789:;8o?0127f0d<{`m;<=>?4c4a?vo`89:;<9l8b:qjc56789>i4o5|if234563j0h0di?01230gge3zcl<=>?05`af>uno9:;<=:mcc9pmb6789:?nil4she34567?016`4g=tan:;<=>;c0`8wla789:;8n?0127g0d<{`m;<=>?4b4a?vo`89:;<9m8b:qjc56789>h4o5|if234563k0h0di?01230fge3zcl<=>?05aaf>uno9:;<=:lcc9pmb6789:?oil4she34567?016g4g=tan:;<=>;d0`8wla789:;8i?0127`0d<{`m;<=>?4e4a?vo`89:;<9j8b:qjc56789>o4o5|if234563l0h0di?01230age3zcl<=>?05faf>uno9:;<=:kcc9pmb6789:?hil4she34567?016f4g=tan:;<=>;e0`8wla789:;8h?0127a0d<{`m;<=>?4d4a?vo`89:;<9k8b:qjc56789>n4o5|if234563m0h0di?01230`ge3zcl<=>?05gaf>uno9:;<=:jcc9pmb6789:?iil4she34567?016e4g=tan:;<=>;f0`8wla789:;8k?0127b0d<{`m;<=>?4g4a?vo`89:;<9h8b:qjc56789>m4o5|if234563n0h0di?01230cge3zcl<=>?05daf>uno9:;<=:icc9pmb6789:?jil4she34567?01734g=tan:;<=>:00`8wla789:;9=?012640d<{`m;<=>?514a?vo`89:;<8>8b:qjc56789?;4o5|if23456280h0di?012315ge3zcl<=>?042af>uno9:;<=;?cc9pmb6789:>?01724g=tan:;<=>:10`8wla789:;9<?012650d<{`m;<=>?504a?vo`89:;<8?8b:qjc56789?:4o5|if23456290h0di?012314ge3zcl<=>?043af>uno9:;<=;>cc9pmb6789:>=il4she34567=8oi7~gh0123407aj2ybk=>?01714g=tan:;<=>:20`8wla789:;9??012660d<{`m;<=>?534a?vo`89:;<8<8b:qjc56789?94o5|if234562:0h0di?012317ge3zcl<=>?040af>uno9:;<=;=cc9pmb6789:>>il4she34567=;oi7~gh0123404aj2ybk=>?01704g=tan:;<=>:30`8wla789:;9>?012670d<{`m;<=>?524a?vo`89:;<8=8b:qjc56789?84o5|if234562;0h0di?012316ge3zcl<=>?041af>uno9:;<=;?il4she34567=:oi7~gh0123405aj2ybk=>?01774g=tan:;<=>:40`8wla789:;998n6}fg1234533?012600d<{`m;<=>?554a?vo`89:;<8:8b:qjc56789??4o5|if234562<0h0di?012311ge3zcl<=>?046af>uno9:;<=;;cc9pmb6789:>8il4she34567==oi7~gh0123402aj2ybk=>?01764g=tan:;<=>:50`8wla789:;98?012610d<{`m;<=>?544a?vo`89:;<8;8b:qjc56789?>4o5|if234562=0h0di?012310ge3zcl<=>?047af>uno9:;<=;:cc9pmb6789:>9il4she34567=?01754g=tan:;<=>:60`8wla789:;9;?012620d<{`m;<=>?574a?vo`89:;<888b:qjc56789?=4o5|if234562>0h0di?012313ge3zcl<=>?044af>uno9:;<=;9cc9pmb6789:>:il4she34567=?oi7~gh0123400aj2ybk=>?01744g=tan:;<=>:70`8wla789:;9:?012630d<{`m;<=>?564a?vo`89:;<898b:qjc56789?<4o5|if234562?0h0di?012312ge3zcl<=>?045af>uno9:;<=;8cc9pmb6789:>;il4she34567=>oi7~gh0123401aj2ybk=>?017;4g=tan:;<=>:80`8wla789:;95?0126<0d<{`m;<=>?594a?vo`89:;<868b:qjc56789?34o5|if23456200h0di?01231=ge3zcl<=>?04:af>uno9:;<=;7cc9pmb6789:>4il4she34567=1oi7~gh012340>aj2ybk=>?017:4g=tan:;<=>:90`8wla789:;94?0126=0d<{`m;<=>?584a?vo`89:;<878b:qjc56789?24o5|if23456210h0di?01231?04;af>uno9:;<=;6cc9pmb6789:>5il4she34567=0oi7~gh012340?aj2ybk=>?017b4g=tan:;<=>:a0`8wla789:;9l?0126e0d<{`m;<=>?5`4a?vo`89:;<8o8b:qjc56789?j4o5|if234562i0h0di?01231dge3zcl<=>?04caf>uno9:;<=;ncc9pmb6789:>mil4she34567=hoi7~gh012340gaj2ybk=>?017a4g=tan:;<=>:b0`8wla789:;9o?0126f0d<{`m;<=>?5c4a?vo`89:;<8l8b:qjc56789?i4o5|if234562j0h0di?01231gge3zcl<=>?04`af>uno9:;<=;mcc9pmb6789:>nil4she34567=koi7~gh012340daj2ybk=>?017`4g=tan:;<=>:c0`8wla789:;9n?0126g0d<{`m;<=>?5b4a?vo`89:;<8m8b:qjc56789?h4o5|if234562k0h0di?01231fge3zcl<=>?04aaf>uno9:;<=;lcc9pmb6789:>oil4she34567=joi7~gh012340eaj2ybk=>?017g4g=tan:;<=>:d0`8wla789:;9i?0126`0d<{`m;<=>?5e4a?vo`89:;<8j8b:qjc56789?o4o5|if234562l0h0di?01231age3zcl<=>?04faf>uno9:;<=;kcc9pmb6789:>hil4she34567=moi7~gh012340baj2ybk=>?017f4g=tan:;<=>:e0`8wla789:;9h?0126a0d<{`m;<=>?5d4a?vo`89:;<8k8b:qjc56789?n4o5|if234562m0h0di?01231`ge3zcl<=>?04gaf>uno9:;<=;jcc9pmb6789:>iil4she34567=loi7~gh012340caj2ybk=>?017e4g=tan:;<=>:f0`8wla789:;9k?0126b0d<{`m;<=>?5g4a?vo`89:;<8h8b:qjc56789?m4o5|if234562n0h0di?01231cge3zcl<=>?04daf>uno9:;<=;icc9pmb6789:>jil4she34567=ooi7~gh012340`aj2ybk=>?01434g=tan:;<=>900`8wla789:;:=?012540d<{`m;<=>?614a?vo`89:;<;>8b:qjc56789<;4o5|if23456180h0di?012325ge3zcl<=>?072af>uno9:;<=8?cc9pmb6789:=9oi7~gh0123436aj2ybk=>?01424g=tan:;<=>910`8wla789:;:<?012550d<{`m;<=>?604a?vo`89:;<;?8b:qjc56789<:4o5|if23456190h0di?012324ge3zcl<=>?073af>uno9:;<=8>cc9pmb6789:==il4she34567>8oi7~gh0123437aj2ybk=>?01414g=tan:;<=>920`8wla789:;:??012560d<{`m;<=>?634a?vo`89:;<;<8b:qjc56789<94o5|if234561:0h0di?012327ge3zcl<=>?070af>uno9:;<=8=cc9pmb6789:=>il4she34567>;oi7~gh0123434aj2ybk=>?01404g=tan:;<=>930`8wla789:;:>?012570d<{`m;<=>?624a?vo`89:;<;=8b:qjc56789<84o5|if234561;0h0di?012326ge3zcl<=>?071af>uno9:;<=8:oi7~gh0123435aj2ybk=>?01474g=tan:;<=>940`8wla789:;:98n6}fg1234503?012500d<{`m;<=>?654a?vo`89:;<;:8b:qjc56789?076af>uno9:;<=8;cc9pmb6789:=8il4she34567>=oi7~gh0123432aj2ybk=>?01464g=tan:;<=>950`8wla789:;:8?012510d<{`m;<=>?644a?vo`89:;<;;8b:qjc56789<>4o5|if234561=0h0di?012320ge3zcl<=>?077af>uno9:;<=8:cc9pmb6789:=9il4she34567>?01454g=tan:;<=>960`8wla789:;:;?012520d<{`m;<=>?674a?vo`89:;<;88b:qjc56789<=4o5|if234561>0h0di?012323ge3zcl<=>?074af>uno9:;<=89cc9pmb6789:=:il4she34567>?oi7~gh0123430aj2ybk=>?01444g=tan:;<=>970`8wla789:;::?012530d<{`m;<=>?664a?vo`89:;<;98b:qjc56789<<4o5|if234561?0h0di?012322ge3zcl<=>?075af>uno9:;<=88cc9pmb6789:=;il4she34567>>oi7~gh0123431aj2ybk=>?014;4g=tan:;<=>980`8wla789:;:5?0125<0d<{`m;<=>?694a?vo`89:;<;68b:qjc56789<34o5|if23456100h0di?01232=ge3zcl<=>?07:af>uno9:;<=87cc9pmb6789:=4il4she34567>1oi7~gh012343>aj2ybk=>?014:4g=tan:;<=>990`8wla789:;:4?0125=0d<{`m;<=>?684a?vo`89:;<;78b:qjc56789<24o5|if23456110h0di?01232?07;af>uno9:;<=86cc9pmb6789:=5il4she34567>0oi7~gh012343?aj2ybk=>?014b4g=tan:;<=>9a0`8wla789:;:l?0125e0d<{`m;<=>?6`4a?vo`89:;<;o8b:qjc56789?07caf>uno9:;<=8ncc9pmb6789:=mil4she34567>hoi7~gh012343gaj2ybk=>?014a4g=tan:;<=>9b0`8wla789:;:o?0125f0d<{`m;<=>?6c4a?vo`89:;<;l8b:qjc56789?07`af>uno9:;<=8mcc9pmb6789:=nil4she34567>koi7~gh012343daj2ybk=>?014`4g=tan:;<=>9c0`8wla789:;:n?0125g0d<{`m;<=>?6b4a?vo`89:;<;m8b:qjc56789?07aaf>uno9:;<=8lcc9pmb6789:=oil4she34567>joi7~gh012343eaj2ybk=>?014g4g=tan:;<=>9d0`8wla789:;:i?0125`0d<{`m;<=>?6e4a?vo`89:;<;j8b:qjc56789?07faf>uno9:;<=8kcc9pmb6789:=hil4she34567>moi7~gh012343baj2ybk=>?014f4g=tan:;<=>9e0`8wla789:;:h?0125a0d<{`m;<=>?6d4a?vo`89:;<;k8b:qjc56789?07gaf>uno9:;<=8jcc9pmb6789:=iil4she34567>loi7~gh012343caj2ybk=>?014e4g=tan:;<=>9f0`8wla789:;:k?0125b0d<{`m;<=>?6g4a?vo`89:;<;h8b:qjc56789?07daf>uno9:;<=8icc9pmb6789:=jil4she34567>ooi7~gh012343`aj2ybk=>?01534g=tan:;<=>800`8wla789:;;=:8n6}fg1234517?012440d<{`m;<=>?714a?vo`89:;<:>8b:qjc56789=;4o5|if23456080h0di?012335ge3zcl<=>?062af>uno9:;<=9?cc9pmb6789:<?01524g=tan:;<=>810`8wla789:;;<;8n6}fg1234516?012450d<{`m;<=>?704a?vo`89:;<:?8b:qjc56789=:4o5|if23456090h0di?012334ge3zcl<=>?063af>uno9:;<=9>cc9pmb6789:<=il4she34567?8oi7~gh0123427aj2ybk=>?01514g=tan:;<=>820`8wla789:;;?88n6}fg1234515?012460d<{`m;<=>?734a?vo`89:;<:<8b:qjc56789=94o5|if234560:0h0di?012337ge3zcl<=>?060af>uno9:;<=9=cc9pmb6789:<>il4she34567?;oi7~gh0123424aj2ybk=>?01504g=tan:;<=>830`8wla789:;;>98n6}fg1234514?012470d<{`m;<=>?724a?vo`89:;<:=8b:qjc56789=84o5|if234560;0h0di?012336ge3zcl<=>?061af>uno9:;<=9?01574g=tan:;<=>840`8wla789:;;9>8n6}fg1234513?012400d<{`m;<=>?754a?vo`89:;<::8b:qjc56789=?4o5|if234560<0h0di?012331ge3zcl<=>?066af>uno9:;<=9;cc9pmb6789:<8il4she34567?=oi7~gh0123422aj2ybk=>?01564g=tan:;<=>850`8wla789:;;8?8n6}fg1234512?012410d<{`m;<=>?744a?vo`89:;<:;8b:qjc56789=>4o5|if234560=0h0di?012330ge3zcl<=>?067af>uno9:;<=9:cc9pmb6789:<9il4she34567??01554g=tan:;<=>860`8wla789:;;;<8n6}fg1234511?012420d<{`m;<=>?774a?vo`89:;<:88b:qjc56789==4o5|if234560>0h0di?012333ge3zcl<=>?064af>uno9:;<=99cc9pmb6789:<:il4she34567??oi7~gh0123420aj2ybk=>?01544g=tan:;<=>870`8wla789:;;:=8n6}fg1234510?012430d<{`m;<=>?764a?vo`89:;<:98b:qjc56789=<4o5|if234560?0h0di?012332ge3zcl<=>?065af>uno9:;<=98cc9pmb6789:<;il4she34567?>oi7~gh0123421aj2ybk=>?015;4g=tan:;<=>880`8wla789:;;528n6}fg123451??0124<0d<{`m;<=>?794a?vo`89:;<:68b:qjc56789=34o5|if23456000h0di?01233=ge3zcl<=>?06:af>uno9:;<=97cc9pmb6789:<4il4she34567?1oi7~gh012342>aj2ybk=>?015:4g=tan:;<=>890`8wla789:;;438n6}fg123451>?0124=0d<{`m;<=>?784a?vo`89:;<:78b:qjc56789=24o5|if23456010h0di?01233?06;af>uno9:;<=96cc9pmb6789:<5il4she34567?0oi7~gh012342?aj2ybk=>?015b4g=tan:;<=>8a0`8wla789:;;lk8n6}fg123451f?0124e0d<{`m;<=>?7`4a?vo`89:;<:o8b:qjc56789=j4o5|if234560i0h0di?01233dge3zcl<=>?06caf>uno9:;<=9ncc9pmb6789:?015a4g=tan:;<=>8b0`8wla789:;;oh8n6}fg123451e?0124f0d<{`m;<=>?7c4a?vo`89:;<:l8b:qjc56789=i4o5|if234560j0h0di?01233gge3zcl<=>?06`af>uno9:;<=9mcc9pmb6789:?015`4g=tan:;<=>8c0`8wla789:;;ni8n6}fg123451d?0124g0d<{`m;<=>?7b4a?vo`89:;<:m8b:qjc56789=h4o5|if234560k0h0di?01233fge3zcl<=>?06aaf>uno9:;<=9lcc9pmb6789:?015g4g=tan:;<=>8d0`8wla789:;;in8n6}fg123451c?0124`0d<{`m;<=>?7e4a?vo`89:;<:j8b:qjc56789=o4o5|if234560l0h0di?01233age3zcl<=>?06faf>uno9:;<=9kcc9pmb6789:?015f4g=tan:;<=>8e0`8wla789:;;ho8n6}fg123451b?0124a0d<{`m;<=>?7d4a?vo`89:;<:k8b:qjc56789=n4o5|if234560m0h0di?01233`ge3zcl<=>?06gaf>uno9:;<=9jcc9pmb6789:?015e4g=tan:;<=>8f0`8wla789:;;kl8n6}fg123451a?0124b0d<{`m;<=>?7g4a?vo`89:;<:h8b:qjc56789=m4o5|if234560n0h0di?01233cge3zcl<=>?06daf>uno9:;<=9icc9pmb6789:?01:34g=tan:;<=>700`8wla789:;4=7?012;40d<{`m;<=>?814a?vo`89:;<5>8b:qjc567892;4o5|if23456?80h0di?0123<5ge3zcl<=>?092af>uno9:;<=6?cc9pmb6789:3?01:24g=tan:;<=>710`8wla789:;4<6?012;50d<{`m;<=>?804a?vo`89:;<5?8b:qjc567892:4o5|if23456?90h0di?0123<4ge3zcl<=>?093af>uno9:;<=6>cc9pmb6789:3=il4she3456708oi7~gh01234=7aj2ybk=>?01:14g=tan:;<=>720`8wla789:;4?5?012;60d<{`m;<=>?834a?vo`89:;<5<8b:qjc56789294o5|if23456?:0h0di?0123<7ge3zcl<=>?090af>uno9:;<=6=cc9pmb6789:3>il4she345670;oi7~gh01234=4aj2ybk=>?01:04g=tan:;<=>730`8wla789:;4>4?012;70d<{`m;<=>?824a?vo`89:;<5=8b:qjc56789284o5|if23456?;0h0di?0123<6ge3zcl<=>?091af>uno9:;<=6?01:74g=tan:;<=>740`8wla789:;498n6}fg12345>3?012;00d<{`m;<=>?854a?vo`89:;<5:8b:qjc567892?4o5|if23456?<0h0di?0123<1ge3zcl<=>?096af>uno9:;<=6;cc9pmb6789:38il4she345670=oi7~gh01234=2aj2ybk=>?01:64g=tan:;<=>750`8wla789:;482?012;10d<{`m;<=>?844a?vo`89:;<5;8b:qjc567892>4o5|if23456?=0h0di?0123<0ge3zcl<=>?097af>uno9:;<=6:cc9pmb6789:39il4she345670?01:54g=tan:;<=>760`8wla789:;4;1?012;20d<{`m;<=>?874a?vo`89:;<588b:qjc567892=4o5|if23456?>0h0di?0123<3ge3zcl<=>?094af>uno9:;<=69cc9pmb6789:3:il4she345670?oi7~gh01234=0aj2ybk=>?01:44g=tan:;<=>770`8wla789:;4:0?012;30d<{`m;<=>?864a?vo`89:;<598b:qjc567892<4o5|if23456??0h0di?0123<2ge3zcl<=>?095af>uno9:;<=68cc9pmb6789:3;il4she345670>oi7~gh01234=1aj2ybk=>?01:;4g=tan:;<=>780`8wla789:;45??012;<0d<{`m;<=>?894a?vo`89:;<568b:qjc56789234o5|if23456?00h0di?0123<=ge3zcl<=>?09:af>uno9:;<=67cc9pmb6789:34il4she3456701oi7~gh01234=>aj2ybk=>?01::4g=tan:;<=>790`8wla789:;44>?012;=0d<{`m;<=>?884a?vo`89:;<578b:qjc56789224o5|if23456?10h0di?0123<?09;af>uno9:;<=66cc9pmb6789:35il4she3456700oi7~gh01234=?aj2ybk=>?01:b4g=tan:;<=>7a0`8wla789:;4lf?012;e0d<{`m;<=>?8`4a?vo`89:;<5o8b:qjc567892j4o5|if23456?i0h0di?0123?09caf>uno9:;<=6ncc9pmb6789:3mil4she345670hoi7~gh01234=gaj2ybk=>?01:a4g=tan:;<=>7b0`8wla789:;4oe?012;f0d<{`m;<=>?8c4a?vo`89:;<5l8b:qjc567892i4o5|if23456?j0h0di?0123?09`af>uno9:;<=6mcc9pmb6789:3nil4she345670koi7~gh01234=daj2ybk=>?01:`4g=tan:;<=>7c0`8wla789:;4nd?012;g0d<{`m;<=>?8b4a?vo`89:;<5m8b:qjc567892h4o5|if23456?k0h0di?0123?09aaf>uno9:;<=6lcc9pmb6789:3oil4she345670joi7~gh01234=eaj2ybk=>?01:g4g=tan:;<=>7d0`8wla789:;4ic?012;`0d<{`m;<=>?8e4a?vo`89:;<5j8b:qjc567892o4o5|if23456?l0h0di?0123?09faf>uno9:;<=6kcc9pmb6789:3hil4she345670moi7~gh01234=baj2ybk=>?01:f4g=tan:;<=>7e0`8wla789:;4hb?012;a0d<{`m;<=>?8d4a?vo`89:;<5k8b:qjc567892n4o5|if23456?m0h0di?0123<`ge3zcl<=>?09gaf>uno9:;<=6jcc9pmb6789:3iil4she345670loi7~gh01234=caj2ybk=>?01:e4g=tan:;<=>7f0`8wla789:;4ka?012;b0d<{`m;<=>?8g4a?vo`89:;<5h8b:qjc567892m4o5|if23456?n0h0di?0123?09daf>uno9:;<=6icc9pmb6789:3jil4she345670ooi7~gh01234=`aj2ybk=>?01;34g=tan:;<=>600`8wla789:;5=?012:40d<{`m;<=>?914a?vo`89:;<4>8b:qjc567893;4o5|if23456>80h0di?0123=5ge3zcl<=>?082af>uno9:;<=7?cc9pmb6789:2?01;24g=tan:;<=>610`8wla789:;5<?012:50d<{`m;<=>?904a?vo`89:;<4?8b:qjc567893:4o5|if23456>90h0di?0123=4ge3zcl<=>?083af>uno9:;<=7>cc9pmb6789:2=il4she3456718oi7~gh01234<7aj2ybk=>?01;14g=tan:;<=>620`8wla789:;5??012:60d<{`m;<=>?934a?vo`89:;<4<8b:qjc56789394o5|if23456>:0h0di?0123=7ge3zcl<=>?080af>uno9:;<=7=cc9pmb6789:2>il4she345671;oi7~gh01234<4aj2ybk=>?01;04g=tan:;<=>630`8wla789:;5>?012:70d<{`m;<=>?924a?vo`89:;<4=8b:qjc56789384o5|if23456>;0h0di?0123=6ge3zcl<=>?081af>uno9:;<=7?01;74g=tan:;<=>640`8wla789:;598n6}fg12345?3?012:00d<{`m;<=>?954a?vo`89:;<4:8b:qjc567893?4o5|if23456><0h0di?0123=1ge3zcl<=>?086af>uno9:;<=7;cc9pmb6789:28il4she345671=oi7~gh01234<2aj2ybk=>?01;64g=tan:;<=>650`8wla789:;58?012:10d<{`m;<=>?944a?vo`89:;<4;8b:qjc567893>4o5|if23456>=0h0di?0123=0ge3zcl<=>?087af>uno9:;<=7:cc9pmb6789:29il4she345671?01;54g=tan:;<=>660`8wla789:;5;?012:20d<{`m;<=>?974a?vo`89:;<488b:qjc567893=4o5|if23456>>0h0di?0123=3ge3zcl<=>?084af>uno9:;<=79cc9pmb6789:2:il4she345671?oi7~gh01234<0aj2ybk=>?01;44g=tan:;<=>670`8wla789:;5:?012:30d<{`m;<=>?964a?vo`89:;<498b:qjc567893<4o5|if23456>?0h0di?0123=2ge3zcl<=>?085af>uno9:;<=78cc9pmb6789:2;il4she345671>oi7~gh01234<1aj2ybk=>?01;;4g=tan:;<=>680`8wla789:;55?012:<0d<{`m;<=>?994a?vo`89:;<468b:qjc56789334o5|if23456>00h0di?0123==ge3zcl<=>?08:af>uno9:;<=77cc9pmb6789:24il4she3456711oi7~gh01234<>aj2ybk=>?01;:4g=tan:;<=>690`8wla789:;54?012:=0d<{`m;<=>?984a?vo`89:;<478b:qjc56789324o5|if23456>10h0di?0123=?08;af>uno9:;<=76cc9pmb6789:25il4she3456710oi7~gh01234?01;b4g=tan:;<=>6a0`8wla789:;5l?012:e0d<{`m;<=>?9`4a?vo`89:;<4o8b:qjc567893j4o5|if23456>i0h0di?0123=dge3zcl<=>?08caf>uno9:;<=7ncc9pmb6789:2mil4she345671hoi7~gh01234?01;a4g=tan:;<=>6b0`8wla789:;5o?012:f0d<{`m;<=>?9c4a?vo`89:;<4l8b:qjc567893i4o5|if23456>j0h0di?0123=gge3zcl<=>?08`af>uno9:;<=7mcc9pmb6789:2nil4she345671koi7~gh01234?01;`4g=tan:;<=>6c0`8wla789:;5n?012:g0d<{`m;<=>?9b4a?vo`89:;<4m8b:qjc567893h4o5|if23456>k0h0di?0123=fge3zcl<=>?08aaf>uno9:;<=7lcc9pmb6789:2oil4she345671joi7~gh01234?01;g4g=tan:;<=>6d0`8wla789:;5i?012:`0d<{`m;<=>?9e4a?vo`89:;<4j8b:qjc567893o4o5|if23456>l0h0di?0123=age3zcl<=>?08faf>uno9:;<=7kcc9pmb6789:2hil4she345671moi7~gh01234?01;f4g=tan:;<=>6e0`8wla789:;5h?012:a0d<{`m;<=>?9d4a?vo`89:;<4k8b:qjc567893n4o5|if23456>m0h0di?0123=`ge3zcl<=>?08gaf>uno9:;<=7jcc9pmb6789:2iil4she345671loi7~gh01234?01;e4g=tan:;<=>6f0`8wla789:;5k?012:b0d<{`m;<=>?9g4a?vo`89:;<4h8b:qjc567893m4o5|if23456>n0h0di?0123=cge3zcl<=>?08daf>uno9:;<=7icc9pmb6789:2jil4she345671ooi7~gh01234<`aj2ybk=>?01c34g=tan:;<=>n00`8wla789:;m=?012b40d<{`m;<=>?a14a?vo`89:;8b:qjc56789k;4o5|if23456f80h0di?0123e5ge3zcl<=>?0`2af>uno9:;<=o?cc9pmb6789:j?01c24g=tan:;<=>n10`8wla789:;m<?012b50d<{`m;<=>?a04a?vo`89:;?0`3af>uno9:;<=o>cc9pmb6789:j=il4she34567i8oi7~gh01234d7aj2ybk=>?01c14g=tan:;<=>n20`8wla789:;m??012b60d<{`m;<=>?a34a?vo`89:;?0`0af>uno9:;<=o=cc9pmb6789:j>il4she34567i;oi7~gh01234d4aj2ybk=>?01c04g=tan:;<=>n30`8wla789:;m>?012b70d<{`m;<=>?a24a?vo`89:;?0`1af>uno9:;<=o?01c74g=tan:;<=>n40`8wla789:;m98n6}fg12345g3?012b00d<{`m;<=>?a54a?vo`89:;?0`6af>uno9:;<=o;cc9pmb6789:j8il4she34567i=oi7~gh01234d2aj2ybk=>?01c64g=tan:;<=>n50`8wla789:;m8?012b10d<{`m;<=>?a44a?vo`89:;4o5|if23456f=0h0di?0123e0ge3zcl<=>?0`7af>uno9:;<=o:cc9pmb6789:j9il4she34567i?01c54g=tan:;<=>n60`8wla789:;m;?012b20d<{`m;<=>?a74a?vo`89:;0h0di?0123e3ge3zcl<=>?0`4af>uno9:;<=o9cc9pmb6789:j:il4she34567i?oi7~gh01234d0aj2ybk=>?01c44g=tan:;<=>n70`8wla789:;m:?012b30d<{`m;<=>?a64a?vo`89:;?0`5af>uno9:;<=o8cc9pmb6789:j;il4she34567i>oi7~gh01234d1aj2ybk=>?01c;4g=tan:;<=>n80`8wla789:;m5?012b<0d<{`m;<=>?a94a?vo`89:;?0`:af>uno9:;<=o7cc9pmb6789:j4il4she34567i1oi7~gh01234d>aj2ybk=>?01c:4g=tan:;<=>n90`8wla789:;m4?012b=0d<{`m;<=>?a84a?vo`89:;?0`;af>uno9:;<=o6cc9pmb6789:j5il4she34567i0oi7~gh01234d?aj2ybk=>?01cb4g=tan:;<=>na0`8wla789:;ml?012be0d<{`m;<=>?a`4a?vo`89:;?0`caf>uno9:;<=oncc9pmb6789:jmil4she34567ihoi7~gh01234dgaj2ybk=>?01ca4g=tan:;<=>nb0`8wla789:;mo?012bf0d<{`m;<=>?ac4a?vo`89:;?0``af>uno9:;<=omcc9pmb6789:jnil4she34567ikoi7~gh01234ddaj2ybk=>?01c`4g=tan:;<=>nc0`8wla789:;mn?012bg0d<{`m;<=>?ab4a?vo`89:;?0`aaf>uno9:;<=olcc9pmb6789:joil4she34567ijoi7~gh01234deaj2ybk=>?01cg4g=tan:;<=>nd0`8wla789:;mi?012b`0d<{`m;<=>?ae4a?vo`89:;?0`faf>uno9:;<=okcc9pmb6789:jhil4she34567imoi7~gh01234dbaj2ybk=>?01cf4g=tan:;<=>ne0`8wla789:;mh?012ba0d<{`m;<=>?ad4a?vo`89:;?0`gaf>uno9:;<=ojcc9pmb6789:jiil4she34567iloi7~gh01234dcaj2ybk=>?01ce4g=tan:;<=>nf0`8wla789:;mk?012bb0d<{`m;<=>?ag4a?vo`89:;?0`daf>uno9:;<=oicc9pmb6789:jjil4she34567iooi7~gh01234d`aj2ybk=>?01`34g=tan:;<=>m00`8wla789:;n=?012a40d<{`m;<=>?b14a?vo`89:;8b:qjc56789h;4o5|if23456e80h0di?0123f5ge3zcl<=>?0c2af>uno9:;<=l?cc9pmb6789:i?01`24g=tan:;<=>m10`8wla789:;n<?012a50d<{`m;<=>?b04a?vo`89:;?0c3af>uno9:;<=l>cc9pmb6789:i=il4she34567j8oi7~gh01234g7aj2ybk=>?01`14g=tan:;<=>m20`8wla789:;n??012a60d<{`m;<=>?b34a?vo`89:;?0c0af>uno9:;<=l=cc9pmb6789:i>il4she34567j;oi7~gh01234g4aj2ybk=>?01`04g=tan:;<=>m30`8wla789:;n>?012a70d<{`m;<=>?b24a?vo`89:;?0c1af>uno9:;<=l?01`74g=tan:;<=>m40`8wla789:;n98n6}fg12345d3?012a00d<{`m;<=>?b54a?vo`89:;?0c6af>uno9:;<=l;cc9pmb6789:i8il4she34567j=oi7~gh01234g2aj2ybk=>?01`64g=tan:;<=>m50`8wla789:;n8?012a10d<{`m;<=>?b44a?vo`89:;4o5|if23456e=0h0di?0123f0ge3zcl<=>?0c7af>uno9:;<=l:cc9pmb6789:i9il4she34567j?01`54g=tan:;<=>m60`8wla789:;n;?012a20d<{`m;<=>?b74a?vo`89:;0h0di?0123f3ge3zcl<=>?0c4af>uno9:;<=l9cc9pmb6789:i:il4she34567j?oi7~gh01234g0aj2ybk=>?01`44g=tan:;<=>m70`8wla789:;n:?012a30d<{`m;<=>?b64a?vo`89:;?0c5af>uno9:;<=l8cc9pmb6789:i;il4she34567j>oi7~gh01234g1aj2ybk=>?01`;4g=tan:;<=>m80`8wla789:;n5?012a<0d<{`m;<=>?b94a?vo`89:;?0c:af>uno9:;<=l7cc9pmb6789:i4il4she34567j1oi7~gh01234g>aj2ybk=>?01`:4g=tan:;<=>m90`8wla789:;n4?012a=0d<{`m;<=>?b84a?vo`89:;?0c;af>uno9:;<=l6cc9pmb6789:i5il4she34567j0oi7~gh01234g?aj2ybk=>?01`b4g=tan:;<=>ma0`8wla789:;nl?012ae0d<{`m;<=>?b`4a?vo`89:;?0ccaf>uno9:;<=lncc9pmb6789:imil4she34567jhoi7~gh01234ggaj2ybk=>?01`a4g=tan:;<=>mb0`8wla789:;no?012af0d<{`m;<=>?bc4a?vo`89:;?0c`af>uno9:;<=lmcc9pmb6789:inil4she34567jkoi7~gh01234gdaj2ybk=>?01``4g=tan:;<=>mc0`8wla789:;nn?012ag0d<{`m;<=>?bb4a?vo`89:;?0caaf>uno9:;<=llcc9pmb6789:ioil4she34567jjoi7~gh01234geaj2ybk=>?01`g4g=tan:;<=>md0`8wla789:;ni?012a`0d<{`m;<=>?be4a?vo`89:;?0cfaf>uno9:;<=lkcc9pmb6789:ihil4she34567jmoi7~gh01234gbaj2ybk=>?01`f4g=tan:;<=>me0`8wla789:;nh?012aa0d<{`m;<=>?bd4a?vo`89:;?0cgaf>uno9:;<=ljcc9pmb6789:iiil4she34567jloi7~gh01234gcaj2ybk=>?01`e4g=tan:;<=>mf0`8wla789:;nk?012ab0d<{`m;<=>?bg4a?vo`89:;?0cdaf>uno9:;<=licc9pmb6789:ijil4she34567jooi7~gh01234g`aj2ybk=>?01a34g=tan:;<=>l00`8wla789:;o=?012`40d<{`m;<=>?c14a?vo`89:;8b:qjc56789i;4o5|if23456d80h0di?0123g5ge3zcl<=>?0b2af>uno9:;<=m?cc9pmb6789:h?01a24g=tan:;<=>l10`8wla789:;o<?012`50d<{`m;<=>?c04a?vo`89:;?0b3af>uno9:;<=m>cc9pmb6789:h=il4she34567k8oi7~gh01234f7aj2ybk=>?01a14g=tan:;<=>l20`8wla789:;o??012`60d<{`m;<=>?c34a?vo`89:;?0b0af>uno9:;<=m=cc9pmb6789:h>il4she34567k;oi7~gh01234f4aj2ybk=>?01a04g=tan:;<=>l30`8wla789:;o>?012`70d<{`m;<=>?c24a?vo`89:;?0b1af>uno9:;<=m?01a74g=tan:;<=>l40`8wla789:;o98n6}fg12345e3?012`00d<{`m;<=>?c54a?vo`89:;?0b6af>uno9:;<=m;cc9pmb6789:h8il4she34567k=oi7~gh01234f2aj2ybk=>?01a64g=tan:;<=>l50`8wla789:;o8?012`10d<{`m;<=>?c44a?vo`89:;4o5|if23456d=0h0di?0123g0ge3zcl<=>?0b7af>uno9:;<=m:cc9pmb6789:h9il4she34567k?01a54g=tan:;<=>l60`8wla789:;o;?012`20d<{`m;<=>?c74a?vo`89:;0h0di?0123g3ge3zcl<=>?0b4af>uno9:;<=m9cc9pmb6789:h:il4she34567k?oi7~gh01234f0aj2ybk=>?01a44g=tan:;<=>l70`8wla789:;o:?012`30d<{`m;<=>?c64a?vo`89:;?0b5af>uno9:;<=m8cc9pmb6789:h;il4she34567k>oi7~gh01234f1aj2ybk=>?01a;4g=tan:;<=>l80`8wla789:;o5?012`<0d<{`m;<=>?c94a?vo`89:;?0b:af>uno9:;<=m7cc9pmb6789:h4il4she34567k1oi7~gh01234f>aj2ybk=>?01a:4g=tan:;<=>l90`8wla789:;o4?012`=0d<{`m;<=>?c84a?vo`89:;?0b;af>uno9:;<=m6cc9pmb6789:h5il4she34567k0oi7~gh01234f?aj2ybk=>?01ab4g=tan:;<=>la0`8wla789:;ol?012`e0d<{`m;<=>?c`4a?vo`89:;?0bcaf>uno9:;<=mncc9pmb6789:hmil4she34567khoi7~gh01234fgaj2ybk=>?01aa4g=tan:;<=>lb0`8wla789:;oo?012`f0d<{`m;<=>?cc4a?vo`89:;?0b`af>uno9:;<=mmcc9pmb6789:hnil4she34567kkoi7~gh01234fdaj2ybk=>?01a`4g=tan:;<=>lc0`8wla789:;on?012`g0d<{`m;<=>?cb4a?vo`89:;?0baaf>uno9:;<=mlcc9pmb6789:hoil4she34567kjoi7~gh01234feaj2ybk=>?01ag4g=tan:;<=>ld0`8wla789:;oi?012``0d<{`m;<=>?ce4a?vo`89:;?0bfaf>uno9:;<=mkcc9pmb6789:hhil4she34567kmoi7~gh01234fbaj2ybk=>?01af4g=tan:;<=>le0`8wla789:;oh?012`a0d<{`m;<=>?cd4a?vo`89:;?0bgaf>uno9:;<=mjcc9pmb6789:hiil4she34567kloi7~gh01234fcaj2ybk=>?01ae4g=tan:;<=>lf0`8wla789:;ok?012`b0d<{`m;<=>?cg4a?vo`89:;?0bdaf>uno9:;<=micc9pmb6789:hjil4she34567kooi7~gh01234f`aj2ybk=>?01f34g=tan:;<=>k00`8wla789:;h=?012g40d<{`m;<=>?d14a?vo`89:;8b:qjc56789n;4o5|if23456c80h0di?0123`5ge3zcl<=>?0e2af>uno9:;<=j?cc9pmb6789:o?01f24g=tan:;<=>k10`8wla789:;h<?012g50d<{`m;<=>?d04a?vo`89:;?0e3af>uno9:;<=j>cc9pmb6789:o=il4she34567l8oi7~gh01234a7aj2ybk=>?01f14g=tan:;<=>k20`8wla789:;h??012g60d<{`m;<=>?d34a?vo`89:;?0e0af>uno9:;<=j=cc9pmb6789:o>il4she34567l;oi7~gh01234a4aj2ybk=>?01f04g=tan:;<=>k30`8wla789:;h>?012g70d<{`m;<=>?d24a?vo`89:;?0e1af>uno9:;<=j?01f74g=tan:;<=>k40`8wla789:;h98n6}fg12345b3?012g00d<{`m;<=>?d54a?vo`89:;?0e6af>uno9:;<=j;cc9pmb6789:o8il4she34567l=oi7~gh01234a2aj2ybk=>?01f64g=tan:;<=>k50`8wla789:;h8?012g10d<{`m;<=>?d44a?vo`89:;4o5|if23456c=0h0di?0123`0ge3zcl<=>?0e7af>uno9:;<=j:cc9pmb6789:o9il4she34567l?01f54g=tan:;<=>k60`8wla789:;h;?012g20d<{`m;<=>?d74a?vo`89:;0h0di?0123`3ge3zcl<=>?0e4af>uno9:;<=j9cc9pmb6789:o:il4she34567l?oi7~gh01234a0aj2ybk=>?01f44g=tan:;<=>k70`8wla789:;h:?012g30d<{`m;<=>?d64a?vo`89:;?0e5af>uno9:;<=j8cc9pmb6789:o;il4she34567l>oi7~gh01234a1aj2ybk=>?01f;4g=tan:;<=>k80`8wla789:;h5?012g<0d<{`m;<=>?d94a?vo`89:;?0e:af>uno9:;<=j7cc9pmb6789:o4il4she34567l1oi7~gh01234a>aj2ybk=>?01f:4g=tan:;<=>k90`8wla789:;h4?012g=0d<{`m;<=>?d84a?vo`89:;?0e;af>uno9:;<=j6cc9pmb6789:o5il4she34567l0oi7~gh01234a?aj2ybk=>?01fb4g=tan:;<=>ka0`8wla789:;hl?012ge0d<{`m;<=>?d`4a?vo`89:;?0ecaf>uno9:;<=jncc9pmb6789:omil4she34567lhoi7~gh01234agaj2ybk=>?01fa4g=tan:;<=>kb0`8wla789:;ho?012gf0d<{`m;<=>?dc4a?vo`89:;?0e`af>uno9:;<=jmcc9pmb6789:onil4she34567lkoi7~gh01234adaj2ybk=>?01f`4g=tan:;<=>kc0`8wla789:;hn?012gg0d<{`m;<=>?db4a?vo`89:;?0eaaf>uno9:;<=jlcc9pmb6789:ooil4she34567ljoi7~gh01234aeaj2ybk=>?01fg4g=tan:;<=>kd0`8wla789:;hi?012g`0d<{`m;<=>?de4a?vo`89:;?0efaf>uno9:;<=jkcc9pmb6789:ohil4she34567lmoi7~gh01234abaj2ybk=>?01ff4g=tan:;<=>ke0`8wla789:;hh?012ga0d<{`m;<=>?dd4a?vo`89:;?0egaf>uno9:;<=jjcc9pmb6789:oiil4she34567lloi7~gh01234acaj2ybk=>?01fe4g=tan:;<=>kf0`8wla789:;hk?012gb0d<{`m;<=>?dg4a?vo`89:;?0edaf>uno9:;<=jicc9pmb6789:ojil4she34567looi7~gh01234a`aj2ybk=>?01g34g=tan:;<=>j00`8wla789:;i=?012f40d<{`m;<=>?e14a?vo`89:;8b:qjc56789o;4o5|if23456b80h0di?0123a5ge3zcl<=>?0d2af>uno9:;<=k?cc9pmb6789:n?01g24g=tan:;<=>j10`8wla789:;i<?012f50d<{`m;<=>?e04a?vo`89:;?0d3af>uno9:;<=k>cc9pmb6789:n=il4she34567m8oi7~gh01234`7aj2ybk=>?01g14g=tan:;<=>j20`8wla789:;i??012f60d<{`m;<=>?e34a?vo`89:;?0d0af>uno9:;<=k=cc9pmb6789:n>il4she34567m;oi7~gh01234`4aj2ybk=>?01g04g=tan:;<=>j30`8wla789:;i>?012f70d<{`m;<=>?e24a?vo`89:;?0d1af>uno9:;<=k?01g74g=tan:;<=>j40`8wla789:;i98n6}fg12345c3?012f00d<{`m;<=>?e54a?vo`89:;?0d6af>uno9:;<=k;cc9pmb6789:n8il4she34567m=oi7~gh01234`2aj2ybk=>?01g64g=tan:;<=>j50`8wla789:;i8?012f10d<{`m;<=>?e44a?vo`89:;4o5|if23456b=0h0di?0123a0ge3zcl<=>?0d7af>uno9:;<=k:cc9pmb6789:n9il4she34567m?01g54g=tan:;<=>j60`8wla789:;i;?012f20d<{`m;<=>?e74a?vo`89:;0h0di?0123a3ge3zcl<=>?0d4af>uno9:;<=k9cc9pmb6789:n:il4she34567m?oi7~gh01234`0aj2ybk=>?01g44g=tan:;<=>j70`8wla789:;i:?012f30d<{`m;<=>?e64a?vo`89:;?0d5af>uno9:;<=k8cc9pmb6789:n;il4she34567m>oi7~gh01234`1aj2ybk=>?01g;4g=tan:;<=>j80`8wla789:;i5?012f<0d<{`m;<=>?e94a?vo`89:;?0d:af>uno9:;<=k7cc9pmb6789:n4il4she34567m1oi7~gh01234`>aj2ybk=>?01g:4g=tan:;<=>j90`8wla789:;i4?012f=0d<{`m;<=>?e84a?vo`89:;?0d;af>uno9:;<=k6cc9pmb6789:n5il4she34567m0oi7~gh01234`?aj2ybk=>?01gb4g=tan:;<=>ja0`8wla789:;il?012fe0d<{`m;<=>?e`4a?vo`89:;?0dcaf>uno9:;<=kncc9pmb6789:nmil4she34567mhoi7~gh01234`gaj2ybk=>?01ga4g=tan:;<=>jb0`8wla789:;io?012ff0d<{`m;<=>?ec4a?vo`89:;?0d`af>uno9:;<=kmcc9pmb6789:nnil4she34567mkoi7~gh01234`daj2ybk=>?01g`4g=tan:;<=>jc0`8wla789:;in?012fg0d<{`m;<=>?eb4a?vo`89:;?0daaf>uno9:;<=klcc9pmb6789:noil4she34567mjoi7~gh01234`eaj2ybk=>?01gg4g=tan:;<=>jd0`8wla789:;ii?012f`0d<{`m;<=>?ee4a?vo`89:;?0dfaf>uno9:;<=kkcc9pmb6789:nhil4she34567mmoi7~gh01234`baj2ybk=>?01gf4g=tan:;<=>je0`8wla789:;ih?012fa0d<{`m;<=>?ed4a?vo`89:;?0dgaf>uno9:;<=kjcc9pmb6789:niil4she34567mloi7~gh01234`caj2ybk=>?01ge4g=tan:;<=>jf0`8wla789:;ik?012fb0d<{`m;<=>?eg4a?vo`89:;?0ddaf>uno9:;<=kicc9pmb6789:njil4she34567mooi7~gh01234``aj2ybk=>?01d34g=tan:;<=>i00`8wla789:;j=?012e40d<{`m;<=>?f14a?vo`89:;8b:qjc56789l;4o5|if23456a80h0di?0123b5ge3zcl<=>?0g2af>uno9:;<=h?cc9pmb6789:m?01d24g=tan:;<=>i10`8wla789:;j<?012e50d<{`m;<=>?f04a?vo`89:;?0g3af>uno9:;<=h>cc9pmb6789:m=il4she34567n8oi7~gh01234c7aj2ybk=>?01d14g=tan:;<=>i20`8wla789:;j??012e60><{yUym`Q>8:qs[wgjW;;97yc/^ad+mdcmj8%bja;;w3-4xFGx>2;96NOx37:0?@=>3;p_<=m:046b?712898;:;k:23e47}i;mi1=6`=874f974`7:2Y9??4>67:94?74;>=>h7=>f118W45>28<=47>5121430b=;8l;86]=33805`<5=0?1=>=874f974`7=2Y:?44<1d811<3=9:9<;8j530d31>b6>?91<7?51zQ27g<6>32541a<49o:97{Z4<62k99w^?3;8?:9:d;12b54<,:n96?=<;W1gf?4|};<96<5z27194>{#;<;14>5m1740>56718 6b>28<=>6g>67a94?=njlk1<75`174a>5<5;ng7g?6=,:h>6kmn;o1a0?6<3fo?n7>5$2`6>cef3g9i87?4;ng7e?6=,:h>6kmn;o1a0?4<3fo?57>5$2`6>cef3g9i87=4;ng76kmn;o1a0?2<3fo?;7>5$2`6>cef3g9i87;4;ng72?6=,:h>6kmn;o1a0?0<3fo?87>5$2`6>cef3g9i8794;ng77?6=,:h>6kmn;o1a0?><3fo?>7>5$2`6>cef3g9i8774;ng75?6=,:h>6kmn;o1a0?g<3fo?<7>5$2`6>cef3g9i87l4;ng0b?6=,:h>6kmn;o1a0?e<3fo8i7>5$2`6>cef3g9i87j4;ng0`?6=,:h>6kmn;o1a0?c<3fo8o7>5$2`6>cef3g9i87h4;ng0f?6=,:h>6kmn;o1a0?7732en?44?:%1a1?`di2d8n94>1:9la6>=83.8n84ic`9m7g2=9;10ch=8:18'7g3=njk0b>l;:018?jc4>3:1(>l::gab?k5e<3;?76aj3483>!5e=3lhm6`=hm:>1<7*1=;54od10>5<#;k?1jno4n2`7>41<3fo8>7>5$2`6>cef3g9i87?7;:mf74<72-9i97hla:l0f1<6121di>>50;&0f0ib:j0;6)=m5;d`e>h4j=0:h65`e3`94?"4j<0mol5a3c695`=6kmn;o1a0?4732en>54?:%1a1?`di2d8n94=1:9la71=83.8n84ic`9m7g2=:;10ch<9:18'7g3=njk0b>l;:318?jc5=3:1(>l::gab?k5e<38?76aj5083>!5e=3lhm6`=hm<:1<7*1>;54od6e>5<#;k?1jno4n2`7>71<3fo?i7>5$2`6>cef3g9i87<7;:mf0a<72-9i97hla:l0f1<5121di9;50;&0f0ib:=0;6)=m5;d`e>h4j=09h65`e3194?"4j<0mol5a3c696`=3g9i87>4;ndee?6=,:h>6<>=9:l0f1<632emj44?:%1a1?77:01e?o:52:9lbc>=83.8n84>03;8j6d32:10ckh8:18'7g3=99827c=m4;68?j`a>3:1(>l::021=>h4j=0>76aif483>!5e=3;;>45a3c692>=hno91<7*5<#;k?1==<6;o1a0?><3flm=7>5$2`6>46512d8n946;:meb5<72-9i97??289m7g2=i21djhh50;&0f0<68;30b>l;:c98kccb290/?o;5110:?k5e<3i07bhjd;29 6d228:956`iamj0;6)=m5;336<=i;k>1i65`fd`94?"4j<0:c=3g9i87??;:mea=<72-9i97??289m7g2=9810ckk8:18'7g3=99827c=m4;31?>iam?0;6)=m5;336<=i;k>1=>54ogg6>5<#;k?1==<6;o1a0?7332emi94?:%1a1?77:01e?o:51498kcc4290/?o;5110:?k5e<3;=76aie383>!5e=3;;>45a3c6952=3g9i87?7;:mea5<72-9i97??289m7g2=9010ckji:18'7g3=99827c=m4;3b?>ialm0;6)=m5;336<=i;k>1=o54ogf`>5<#;k?1==<6;o1a0?7d32emho4?:%1a1?77:01e?o:51e98kcbf290/?o;5110:?k5e<3;n76aid883>!5e=3;;>45a3c695c=3g9i87ial<0;6)=m5;336<=i;k>1>>54ogf7>5<#;k?1==<6;o1a0?4332e:<=>50;&0f0<68;30b>l;:378?j`an3:1(>l::021=>h4j=09:65`fgg94?"4j<0:71<3flmh7>5$2`6>46512d8n94=8:9lbce=83.8n84>03;8j6d32;307bhi4;29 6d228:956`=hnl31<7*6<>=9:l0f1<5k21dji=50;&0f0<68;30b>l;:3f8?j`c:3:1(>l::021=>h4j=09i65fc5194?"4j<0o<45a3c694>=nk=81<7*1=65fc5394?"4j<0o<45a3c696>=nk=:1<7*1?65fc2g94?"4j<0o<45a3c690>=nk:n1<7*1965fc2a94?"4j<0o<45a3c692>=nk:h1<7*1;65fc2c94?"4j<0o<45a3c69<>=nk:31<7*1565fc2:94?"4j<0o<45a3c69e>=nk:=1<7*1n65fc2494?"4j<0o<45a3c69g>=nk:?1<7*1h65fc5c94?"4j<0o<45a3c69a>=nk=31<7*1j65fc5:94?"4j<0o<45a3c6955=<6=4+3c79`5?6i>6;o1a0?7532ch884?:%1a1?b712d8n94>3:9jg12=83.8n84k089m7g2=9=10en=i:18'7g3=l930b>l;:078?le4<3:1(>l::e2:?k5e<3;=76gl3283>!5e=3n;56`=hk831<75fd3194?"4j<0o?l5a3c694>=nl;81<7*1=65fd3394?"4j<0o?l5a3c696>=nl;:1<7*1?65fd0g94?"4j<0o?l5a3c690>=nl8n1<7*1965fd0a94?"4j<0o?l5a3c692>=nl8h1<7*1;65fd0c94?"4j<0o?l5a3c69<>=nl831<7*1565fd0:94?"4j<0o?l5a3c69e>=nl8=1<7*1n65fd0494?"4j<0o?l5a3c69g>=nl8?1<7*1h65fd3c94?"4j<0o?l5a3c69a>=nl;31<7*1j65fd3:94?"4j<0o?l5a3c6955=6i=n;o1a0?7532co>84?:%1a1?b4i2d8n94>3:9j`72=83.8n84k3`9m7g2=9=10ei?i:18'7g3=l:k0b>l;:078?lb6<3:1(>l::e1b?k5e<3;=76gk1283>!5e=3n8m6`=nk821<75fbg;94?"4j<0h=njo21<7*1=65fbg594?"4j<0h=njo<1<7*1?65fbg794?"4j<0h=njo>1<7*1965fbg194?"4j<0h=njo81<7*1;65fc1394?"4j<0h=nk9:1<7*1565fbgd94?"4j<0h=njoo1<7*1n65fbgf94?"4j<0h=njoi1<7*1h65fbg`94?"4j<0h=njok1<7*1j65fbg394?"4j<0h5}O;m:0(>mj:2f2?j56n3:17pl4<729qC?i>4$2af>7403f89:7>5;|`0af<7202o698i:81`M5c82Pm?77={44912<203?268o55c86g?5b2:l18=4;1;61>15=<=09h7=52786`?3b237=;?0=>7=8:7197=<1<3926;;53`80f?5d2?=1:5499;4b>3d=>j0=h7=69954987=?2f2?o18o49f;6`>26=2;=1>:4=0;05>7e=;=0897=9:2597=<4139j6>l53b81`?4b2;l1?=4<1;11>65=:10957;::2f923<2l3>>6;k55d872?0a21>=?80==7:6:60927<3i3=86;=54c840?032=i1;8495;6g>20==?08i788:4597c<103?369>56886=?262?k19l4;2;4a>0d=<:0=o7;l:5692a?>0(<=n:0451>"4k10:7)=l7;1ga>"48m08=<5f174`>5<5<#;k?1i5?4n2`7>4=5<#;k?1i5?4n2`7>6=5<#;k?1i5?4n2`7>0=6=4+3c79a=75<#;k?1i5?4n2`7>2=5<#;k?1i5?4n2`7><=5<#;k?1i5?4n2`7>g=5<#;k?1i5?4n2`7>a=5<#;k?1i5?4n2`7>c=4;ng56h6>;o1a0?7632en::4?:%1a1?c?92d8n94>2:9la30=83.8n84j809m7g2=9:10ch8::18'7g3=m1;0b>l;:068?jc1<3:1(>l::d:2?k5e<3;>76aj6283>!5e=3o3=6`=hm?81<7*1=:54od42>5<#;k?1i5?4n2`7>4><3fo=<7>5$2`6>`>63g9i87?6;:mf1c<72-9i97k71:l0f1<6i21di8j50;&0f0ib=h0;6)=m5;g;5>h4j=0:i65`e4;94?"4j<0n4<5a3c695c=4;ng63?6=,:h>6h6>;o1a0?4632en9;4?:%1a1?c?92d8n94=2:9la03=83.8n84j809m7g2=::10ch;;:18'7g3=m1;0b>l;:368?jc?83:1(>l::d:2?k5e<38>76aj7g83>!5e=3o3=6`=hm>o1<7*1>:54od5g>5<#;k?1i5?4n2`7>7><3fo5$2`6>`>63g9i87<6;:mf31<72-9i97k71:l0f1<5i21di;750;&0f0ib=;0;6)=m5;g;5>h4j=09i65`e``94?"4j<0nn<5a3c694>=hmhk1<7*1=65`e`;94?"4j<0nn<5a3c696>=hmh21<7*1?65`e`594?"4j<0nn<5a3c690>=hmh<1<7*1965`e`794?"4j<0nn<5a3c692>=hmh91<7*1;65`e`094?"4j<0nn<5a3c69<>=hmh;1<7*1565`e`294?"4j<0nn<5a3c69e>=hm0l1<7*1n65`e8g94?"4j<0nn<5a3c69g>=hm0n1<7*1h65`e8a94?"4j<0nn<5a3c69a>=hm0h1<7*1j65`e8c94?"4j<0nn<5a3c6955=6hl>;o1a0?7532en5;4?:%1a1?ce92d8n94>3:9la<3=83.8n84jb09m7g2=9=10ch7;:18'7g3=mk;0b>l;:078?jc>;3:1(>l::d`2?k5e<3;=76aj9383>!5e=3oi=6`=hm0;1<7*1=554od;3>5<#;k?1io?4n2`7>4?<3fo3j7>5$2`6>`d63g9i87?n;:mfe290/?o;5ec38j6d328n07bk7a;29 6d22lh:7c=m4;3f?>ib000;6)=m5;ga5>h4j=0:j65`e9:94?"4j<0nn<5a3c6965=6hl>;o1a0?4532en484?:%1a1?ce92d8n94=3:9la=2=83.8n84jb09m7g2=:=10chl?:18'7g3=mk;0b>l;:378?jcfn3:1(>l::d`2?k5e<38=76ajad83>!5e=3oi=6`=hmhn1<7*1>554odc`>5<#;k?1io?4n2`7>7?<3foj87>5$2`6>`d63g9i874290/?o;5ec38j6d32;n07bk72;29 6d22lh:7c=m4;0f?>iblk0;6)=m5;gf5>h4j=0;76ajd`83>!5e=3on=6`ibl00;6)=m5;gf5>h4j=0976ajd983>!5e=3on=6`ibl>0;6)=m5;gf5>h4j=0?76ajd783>!5e=3on=6`ibl<0;6)=m5;gf5>h4j=0=76ajd283>!5e=3on=6`ibl;0;6)=m5;gf5>h4j=0376ajd083>!5e=3on=6`ibl90;6)=m5;gf5>h4j=0j76ajcg83>!5e=3on=6`ibkl0;6)=m5;gf5>h4j=0h76ajce83>!5e=3on=6`ibkj0;6)=m5;gf5>h4j=0n76ajcc83>!5e=3on=6`ibkh0;6)=m5;gf5>h4j=0:<65`eb:94?"4j<0ni<5a3c6954=6hk>;o1a0?7432eno84?:%1a1?cb92d8n94>4:9laf2=83.8n84je09m7g2=9<10chm<:18'7g3=ml;0b>l;:048?jcd:3:1(>l::dg2?k5e<3;<76ajc083>!5e=3on=6`=hmj:1<7*1=454od`e>5<#;k?1ih?4n2`7>4g<3foih7>5$2`6>`c63g9i87?m;:mfff<72-9i97kj1:l0f1<6k21diol50;&0f0ibj10;6)=m5;gf5>h4j=09<65`ec594?"4j<0ni<5a3c6964=6hk>;o1a0?4432enn94?:%1a1?cb92d8n94=4:9la`6=83.8n84je09m7g2=:<10chji:18'7g3=ml;0b>l;:348?jccm3:1(>l::dg2?k5e<38<76ajde83>!5e=3on=6`=hmmi1<7*1>454odf7>5<#;k?1ih?4n2`7>7g<3foh57>5$2`6>`c63g9i87l::g32?k5e<3;07bh?9;29 6d22o;:7c=m4;08?j`703:1(>l::g32?k5e<3907bh?7;29 6d22o;:7c=m4;68?j`7>3:1(>l::g32?k5e<3?07bh?5;29 6d22o;:7c=m4;48?j`7;3:1(>l::g32?k5e<3=07bh?2;29 6d22o;:7c=m4;:8?j`793:1(>l::g32?k5e<3307bh?0;29 6d22o;:7c=m4;c8?jcan3:1(>l::g32?k5e<3h07bkie;29 6d22o;:7c=m4;a8?jcal3:1(>l::g32?k5e<3n07bkic;29 6d22o;:7c=m4;g8?jcaj3:1(>l::g32?k5e<3l07bkia;29 6d22o;:7c=m4;33?>ibn10;6)=m5;d25>h4j=0:=65`eg594?"4j<0m=<5a3c6957=6k?>;o1a0?7332enj94?:%1a1?`692d8n94>5:9lac5=83.8n84i109m7g2=9?10chh=:18'7g3=n8;0b>l;:058?jca93:1(>l::g32?k5e<3;376ajf183>!5e=3l:=6`=hmll1<7*1=l54odgg>5<#;k?1j4d<3fono7>5$2`6>c763g9i87?l;:mfag<72-9i97h>1:l0f1<6l21diho50;&0f0290/?o;5f038j6d328l07bkj8;29 6d22o;:7c=m4;03?>ibm>0;6)=m5;d25>h4j=09=65`ed494?"4j<0m=<5a3c6967=6=4+3c79b476k?>;o1a0?4332em==4?:%1a1?`692d8n94=5:9lb5`=83.8n84i109m7g2=:?10ck>j:18'7g3=n8;0b>l;:358?j`7l3:1(>l::g32?k5e<38376ai0b83>!5e=3l:=6`=hn9>1<7*1>l54odd:>5<#;k?1j7d<3foni7>5$2`6>c763g9i871:l0f1<5l21dih<50;&0f0l;:098kc5>290/?o;5f538j6d32;10ck=7:18'7g3=n=;0b>l;:298kc50290/?o;5f538j6d32=10ck=9:18'7g3=n=;0b>l;:498kc52290/?o;5f538j6d32?10ck=<:18'7g3=n=;0b>l;:698kc55290/?o;5f538j6d32110ck=>:18'7g3=n=;0b>l;:898kc57290/?o;5f538j6d32h10ckl;:c98kc4b290/?o;5f538j6d32j10ckl;:e98kc4d290/?o;5f538j6d32l10ckl;:g98kc4f290/?o;5f538j6d328:07bh=8;29 6d22o>:7c=m4;32?>ia:>0;6)=m5;d75>h4j=0:>65`f3494?"4j<0m8<5a3c6956=6=4+3c79b176k:>;o1a0?7232em>>4?:%1a1?`392d8n94>6:9lb74=83.8n84i409m7g2=9>10ck<>:18'7g3=n=;0b>l;:0:8?j`583:1(>l::g62?k5e<3;276ai1g83>!5e=3l?=6`=hn8n1<7*1=o54og3`>5<#;k?1j9?4n2`7>4e<3fl:n7>5$2`6>c263g9i87?k;:me5d<72-9i97h;1:l0f1<6m21dj<750;&0f07;29 6d22o>:7c=m4;02?>ia9?0;6)=m5;d75>h4j=09>65`f0794?"4j<0m8<5a3c6966=6k:>;o1a0?4232em?k4?:%1a1?`392d8n94=6:9lb6c=83.8n84i409m7g2=:>10ck=k:18'7g3=n=;0b>l;:3:8?j`4k3:1(>l::g62?k5e<38276ai3583>!5e=3l?=6`=hn;31<7*1>o54og3f>5<#;k?1j9?4n2`7>7e<3fl:?7>5$2`6>c263g9i87=83.8n84i709m7g2=;21dj;950;&0f050;&0f0ia=?0;6)=m5;d45>h4j=0:?65`f4794?"4j<0m;<5a3c6951=6k9>;o1a0?7132em9?4?:%1a1?`092d8n94>7:9lb07=83.8n84i709m7g2=9110ck;?:18'7g3=n>;0b>l;:0;8?j`3n3:1(>l::g52?k5e<3;j76ai4e83>!5e=3l<=6`=hn=i1<7*1=n54og6a>5<#;k?1j:?4n2`7>4b<3fl?m7>5$2`6>c163g9i87?j;:me0<<72-9i97h81:l0f1<6n21dj9650;&0f0ia<<0;6)=m5;d45>h4j=09?65`f5694?"4j<0m;<5a3c6961=6k9>;o1a0?4132em:h4?:%1a1?`092d8n94=7:9lb3b=83.8n84i709m7g2=:110ck8l:18'7g3=n>;0b>l;:3;8?j`1<3:1(>l::g52?k5e<38j76ai5883>!5e=3l<=6`=hn=o1<7*1>n54og60>5<#;k?1j:?4n2`7>7b<3fl?>7>5$2`6>c163g9i87;:me=<<72-9i97hn1:l0f1<532em554?:%1a1?`f92d8n94<;:me=2<72-9i97hn1:l0f1<332em5;4?:%1a1?`f92d8n94:;:me=0<72-9i97hn1:l0f1<132em5>4?:%1a1?`f92d8n948;:me=7<72-9i97hn1:l0f10290/?o;5f`38j6d328807bh76;29 6d22ok:7c=m4;30?>ia0<0;6)=m5;db5>h4j=0:865`f9694?"4j<0mm<5a3c6950=6ko>;o1a0?7032em4<4?:%1a1?`f92d8n94>8:9lb=6=83.8n84ia09m7g2=9010ck9i:18'7g3=nh;0b>l;:0c8?j`0l3:1(>l::gc2?k5e<3;i76ai7b83>!5e=3lj=6`=hn>h1<7*1=i54og5b>5<#;k?1jl?4n2`7>4c<3fl<57>5$2`6>cg63g9i87?i;:me3=<72-9i97hn1:l0f1<5821dj:950;&0f0ia?=0;6)=m5;db5>h4j=09865`f`294?"4j<0mm<5a3c6960=6ko>;o1a0?4032em5i4?:%1a1?`f92d8n94=8:9lbl;:3c8?j`?13:1(>l::gc2?k5e<38i76ai7d83>!5e=3lj=6`=hn>91<7*1>i54og51>5<#;k?1jl?4n2`7>7c<3`hnm7>5;n32g7<72-9i97?>c`9m7g2=821d=:18'7g3=98ij7c=m4;38?j76k90;6)=m5;32gd=i;k>1>65`10`e>5<#;k?1=bc83>!5e=3;:ol5a3c692>=h98hj6=4+3c7954ef3g9i8794;n32f<<72-9i97?>c`9m7g2=021d=0;6)=m5;32gd=i;k>1m65`10`5>5<#;k?1=c883>!5e=3;:ol5a3c69a>=h98i36=4+3c7954ef3g9i87h4;n32g2<72-9i97?>c`9m7g2=9910c=h98i>6=4+3c7954ef3g9i87?=;:m25f2=83.8n84>1bc8j6d328907b?>c283>!5e=3;:ol5a3c6951=5$2`6>47di2d8n94>5:9l54d4290/?o;510ab?k5e<3;=76a>1c094?"4j<0:=no4n2`7>41<3f;:ho4?:%1a1?76m:1e?o:50:9l54bf290/?o;510g0?k5e<3;07b?>d883>!5e=3;:i>5a3c696>=h98n36=4+3c7954c43g9i87=4;n32`3<72-9i97?>e29m7g2=<21d=1:65`10f0>5<#;k?1=d183>!5e=3;:i>5a3c69e>=h98im6=4+3c7954c43g9i87l4;n32g`<72-9i97?>e29m7g2=k21d=1i65`10g2>5<#;k?1=l::03f7>h4j=0:=65`10ff>5<#;k?1=l;:018?j76lj0;6)=m5;32a6=i;k>1=954o03g3?6=,:h>6i69jh1<7*fb9m7g2=821d=1>65`10d2>5<#;k?1=ee83>!5e=3;:jn5a3c692>=h98oh6=4+3c7954`d3g9i8794;n32ag<72-9i97?>fb9m7g2=021d=1m65`10g;>5<#;k?1=fc83>!5e=3;:jn5a3c69a>=h98lj6=4+3c7954`d3g9i87h4;n32b<<72-9i97?>fb9m7g2=9910c=h98l<6=4+3c7954`d3g9i87?=;:m25c0=83.8n84>1ga8j6d328907b?>f483>!5e=3;:jn5a3c6951=5$2`6>47ak2d8n94>5:9l54c2290/?o;510d`?k5e<3;=76a>1d694?"4j<0:=km4n2`7>41<3f;9!5e=3;9=85a3c696>=h9;:j6=4+3c7957723g9i87=4;n314=<72-9i97?=149m7g2=<21d=?>8:18'7g3=9;;>7c=m4;78?j758?0;6)=m5;3150=i;k>1:65`1326>5<#;k?1=??:;o1a0?1<3f;9<94?:%1a1?759<1e?o:58:9l5764290/?o;51336?k5e<3307b?=0383>!5e=3;9=85a3c69e>=h9;::6=4+3c7957723g9i87l4;n3145<72-9i97?=149m7g2=k21d=7c=m4;f8?j759=0;6)=m5;3150=i;k>1i65`1330>5<#;k?1=??:;o1a0?`<3f;9=?4?:%1a1?759<1e?o:51198k44693:1(>l::0021>h4j=0:=65`1333>5<#;k?1=??:;o1a0?7532e:>=h50;&0f0<6:8?0b>l;:018?j758l0;6)=m5;3150=i;k>1=954o003=?6=,:h>6<<>5:l0f1<6=21d=7c=m4;35?>i69on1<7*1>65`1300>5<#;k?1=?<4?:%1a1?75:l1e?o:54:9l5747290/?o;5130f?k5e<3?07b?=1g83>!5e=3;9>h5a3c692>=h9;;n6=4+3c79574b3g9i8794;n315a<72-9i97?=2d9m7g2=021d=??l:18'7g3=9;8n7c=m4;;8?j759k0;6)=m5;316`=i;k>1m65`133b>5<#;k?1=?!5e=3;9>h5a3c69a>=h9;8h6=4+3c79574b3g9i87h4;n316g<72-9i97?=2d9m7g2=9910c<<=a;29 6d22889i6`=h9;826=4+3c79574b3g9i87?=;:m267>=83.8n84>23g8j6d328907b?=2683>!5e=3;9>h5a3c6951=7>5$2`6>445m2d8n94>5:9l5770290/?o;5130f?k5e<3;=76a>20494?"4j<0:>?k4n2`7>41<3f;9?k4?:%1a1?75<>1e?o:50:9l575b290/?o;51364?k5e<3;07b?=3e83>!5e=3;98:5a3c696>=h9;9h6=4+3c7957203g9i87=4;n317d<72-9i97?=469m7g2=<21d=?=6:18'7g3=9;><7c=m4;78?j75;10;6)=m5;3102=i;k>1:65`1314>5<#;k?1=?:8;o1a0?1<3f;9?;4?:%1a1?75<>1e?o:58:9l5752290/?o;51364?k5e<3307b?=3583>!5e=3;98:5a3c69e>=h9;986=4+3c7957203g9i87l4;n3177<72-9i97?=469m7g2=k21d=?=>:18'7g3=9;><7c=m4;f8?j751i65`1366>5<#;k?1=?:8;o1a0?`<3f;9894?:%1a1?75<>1e?o:51198k443;3:1(>l::0073>h4j=0:=65`1361>5<#;k?1=?:8;o1a0?7532e:>9?50;&0f0<6:==0b>l;:018?j75<90;6)=m5;3102=i;k>1=954o000f?6=,:h>6<<;7:l0f1<6=21d=?=?:18'7g3=9;><7c=m4;35?>i6:;l1<7*1>65`1376>5<#;k?1=?8?;o1a0?5<3f;99>4?:%1a1?75>91e?o:54:9l5735290/?o;51343?k5e<3?07b?=5083>!5e=3;9:=5a3c692>=h9;?;6=4+3c7957073g9i8794;n310c<72-9i97?=619m7g2=021d=?:j:18'7g3=9;<;7c=m4;;8?j751m65`136`>5<#;k?1=?8?;o1a0?d<3f;98o4?:%1a1?75>91e?o:5c:9l572f290/?o;51343?k5e<3n07b?=5g83>!5e=3;9:=5a3c69a>=h9;?n6=4+3c7957073g9i87h4;n311a<72-9i97?=619m7g2=9910c<<:c;29 6d2288=<6`=h9;?i6=4+3c7957073g9i87?=;:m260g=83.8n84>2728j6d328907b?=5883>!5e=3;9:=5a3c6951=87>5$2`6>44182d8n94>5:9l572>290/?o;51343?k5e<3;=76a>25:94?"4j<0:>;>4n2`7>41<3f;=:o4?::k`1g<72-9i97m93:l0f1<732ch9l4?:%1a1?e1;2d8n94>;:k`1<<72-9i97m93:l0f1<532ch954?:%1a1?e1;2d8n94<;:k`13<72-9i97m93:l0f1<332ch984?:%1a1?e1;2d8n94:;:k`11<72-9i97m93:l0f1<132ch9>4?:%1a1?e1;2d8n948;:k`17<72-9i97m93:l0f1:1e?o:51098mf3b290/?o;5c718j6d328807dm:d;29 6d22j<87c=m4;30?>od=j0;6)=m5;a57>h4j=0:865fc4594?"4j<0h:>5a3c6950=h6=4+3c79g356n8<;o1a0?7032ch;94?:%1a1?e0k2d8n94?;:k`36<72-9i97m8c:l0f1<632ch;?4?:%1a1?e0k2d8n94=;:k`34<72-9i97m8c:l0f1<432ch:k4?:%1a1?e0k2d8n94;;:k`2`<72-9i97m8c:l0f1<232ch:i4?:%1a1?e0k2d8n949;:k`2f<72-9i97m8c:l0f1<032ch:o4?:%1a1?e0k2d8n947;:k`2d<72-9i97m8c:l0f1<>32ch:44?:%1a1?e0k2d8n94n;:k`2=<72-9i97m8c:l0f10:9jg2>=83.8n84l7b9m7g2=9810en98:18'7g3=k>i0b>l;:008?le0>3:1(>l::b5`?k5e<3;876gl7483>!5e=3i=nk>:1<7*1=854ib46>5<#;k?1o:m4n2`7>40<3`i=87>5$2`6>f1d3g9i87?8;:k`=2d8n94>;:k`=2d8n94<;:k`<=<72-9i97m65:l0f1<332ch4:4?:%1a1?e>=2d8n94:;:k`<3<72-9i97m65:l0f1<132ch484?:%1a1?e>=2d8n948;:k`<1<72-9i97m65:l0f14?:%1a1?e>=2d8n946;:k`<7<72-9i97m65:l0f1=2d8n94m;:k`<5<72-9i97m65:l0f1=2d8n94k;:k`=1<72-9i97m65:l0f14?:%1a1?e>=2d8n94i;:k`=7<72-9i97m65:l0f1<6821bo4?50;&0f07c=m4;30?>od0l0;6)=m5;a:1>h4j=0:865fc9;94?"4j<0h585a3c6950=6n7:;o1a0?7032chm;4?:%1a1?efm2d8n94?;:k`e0<72-9i97mne:l0f1<632chm94?:%1a1?efm2d8n94=;:k`e6<72-9i97mne:l0f1<432chm<4?:%1a1?efm2d8n94;;:k`e5<72-9i97mne:l0f1<232ch5k4?:%1a1?efm2d8n949;:k`=`<72-9i97mne:l0f1<032ch5i4?:%1a1?efm2d8n947;:k`=f<72-9i97mne:l0f1<>32ch5o4?:%1a1?efm2d8n94n;:k`=d<72-9i97mne:l0f10:9jgdg=83.8n84lad9m7g2=9810eno6:18'7g3=kho0b>l;:008?lef03:1(>l::bcf?k5e<3;876gla683>!5e=3iji6`=nkh81<7*1=854ib;4>5<#;k?1olk4n2`7>40<3`i2:7>5$2`6>fgb3g9i87?8;:k`fc<72-9i97ml7:l0f1<732chnh4?:%1a1?ed?2d8n94>;:k`fa<72-9i97ml7:l0f1<532chnn4?:%1a1?ed?2d8n94<;:k`fd<72-9i97ml7:l0f1<332chn44?:%1a1?ed?2d8n94:;:k`f=<72-9i97ml7:l0f1<132chn:4?:%1a1?ed?2d8n948;:k`f3<72-9i97ml7:l0f14?:%1a1?ed?2d8n94m;:k`f7<72-9i97ml7:l0f11e?o:51098mfe5290/?o;5cb58j6d328807dml1;29 6d22ji<7c=m4;30?>odk90;6)=m5;a`3>h4j=0:865fcc`94?"4j<0ho:5a3c6950=6nm8;o1a0?7032e::;o50;9jga>=83.8n84le19m7g2=821boi950;&0f021boi>50;&0f0l;:038?lecj3:1(>l::bg3?k5e<3;976gld`83>!5e=3in<6`=nkm31<7*1=954ibf7>5<#;k?1oh>4n2`7>43<3`ih57>5$2`6>fc73g9i87?9;:k`g=<72-9i97mj0:l0f1<6?21bok?50;&0f0odn:0;6)=m5;ae=>h4j=0:?65fcg094?"4j<0hj45a3c6951=6nh6;o1a0?7132chi<4?:%1a1?ea12d8n94>7:9jg43=83.8n84l179m7g2=821di9m50;&0f0h50;&0f0j50;&0f0l50;&0f0290/?o;5fbc8j6d328;07bk<8;29 6d22oij7c=m4;31?>ib;>0;6)=m5;d`e>h4j=0:?65`e2494?"4j<0mol5a3c6951=6=4+3c79bfg6kmn;o1a0?7132en?>4?:%1a1?`di2d8n94>7:9la64=83.8n84ic`9m7g2=9110ch=>:18'7g3=njk0b>l;:0;8?jc483:1(>l::gab?k5e<3;j76aj2d83>!5e=3lhm6`=hm;n1<7*1=n54od0`>5<#;k?1jno4n2`7>4b<3fo9n7>5$2`6>cef3g9i87?j;:mf6d<72-9i97hla:l0f1<6n21di?750;&0f0ib:?0;6)=m5;d`e>h4j=09?65`e3794?"4j<0mol5a3c6961=6kmn;o1a0?4132en8k4?:%1a1?`di2d8n94=7:9la1c=83.8n84ic`9m7g2=:110ch:k:18'7g3=njk0b>l;:3;8?jc3=3:1(>l::gab?k5e<38j76aj3`83>!5e=3lhm6`=hm;l1<7*1>n54od07>5<#;k?1jno4n2`7>7b<3fo9?7>5$2`6>cef3g9i87l;:098kc`>290/?o;5110:?k5e<3807bhi8;29 6d228:956`ian>0;6)=m5;336<=i;k>1865`fg494?"4j<0:0=6=4+3c79554>3g9i8784;nde7?6=,:h>6<>=9:l0f1<032emj?4?:%1a1?77:01e?o:58:9lbc7=83.8n84>03;8j6d32010ckh?:18'7g3=99827c=m4;c8?j`bn3:1(>l::021=>h4j=0i76aied83>!5e=3;;>45a3c69g>=hnln1<7*5<#;k?1==<6;o1a0?c<3flnn7>5$2`6>46512d8n94i;:mead<72-9i97??289m7g2=9910ckk7:18'7g3=99827c=m4;32?>iam>0;6)=m5;336<=i;k>1=?54ogg5>5<#;k?1==<6;o1a0?7432emi84?:%1a1?77:01e?o:51598kcc3290/?o;5110:?k5e<3;>76aie283>!5e=3;;>45a3c6953=3g9i87?8;:mea4<72-9i97??289m7g2=9110ckk?:18'7g3=99827c=m4;3:?>ialo0;6)=m5;336<=i;k>1=l54ogfg>5<#;k?1==<6;o1a0?7e32emhn4?:%1a1?77:01e?o:51b98kcbe290/?o;5110:?k5e<3;o76aid`83>!5e=3;;>45a3c695`=3g9i87?i;:me`=<72-9i97??289m7g2=:910ckj8:18'7g3=99827c=m4;02?>ial?0;6)=m5;336<=i;k>1>?54ogf6>5<#;k?1==<6;o1a0?4432emh94?:%1a1?77:01e?o:52598k46783:1(>l::021=>h4j=09965`fgd94?"4j<0:70<3flmi7>5$2`6>46512d8n94=7:9lbcb=83.8n84>03;8j6d32;207bhic;29 6d228:956`=hno>1<7*6<>=9:l0f1<5j21djik50;&0f0<68;30b>l;:3a8?j`c;3:1(>l::021=>h4j=09h65`fe094?"4j<0:7c<3f;;9l4?:%1a1?77>91e?o:50:9l553>290/?o;51143?k5e<3;07b??5983>!5e=3;;:=5a3c696>=h99?<6=4+3c7955073g9i87=4;n3313<72-9i97??619m7g2=<21d==;::18'7g3=99<;7c=m4;78?j77==0;6)=m5;3325=i;k>1:65`1171>5<#;k?1==8?;o1a0?1<3f;;9<4?:%1a1?77>91e?o:58:9l5537290/?o;51143?k5e<3307b??4g83>!5e=3;;:=5a3c69e>=h99>n6=4+3c7955073g9i87l4;n330a<72-9i97??619m7g2=k21d==:l:18'7g3=99<;7c=m4;f8?j771i65`116b>5<#;k?1==8?;o1a0?`<3f;;844?:%1a1?77>91e?o:51198k463?3:1(>l::0254>h4j=0:=65`1165>5<#;k?1==8?;o1a0?7532e:<9;50;&0f0<68?:0b>l;:018?j77<=0;6)=m5;3325=i;k>1=954o0277?6=,:h>6<>90:l0f1<6=21d==:=:18'7g3=99<;7c=m4;35?>i68=;1<7*=h999n6=4+3c7955073g9i87?n;:m246e=83.8n84>0728j6d328h07b??3c83>!5e=3;;:=5a3c695f=5$2`6>46182d8n94>d:9l555>290/?o;51143?k5e<3;n76a>02:94?"4j<0:<;>4n2`7>4`<3f;;?:4?:%1a1?77>91e?o:52198k464>3:1(>l::0254>h4j=09=65`1116>5<#;k?1==8?;o1a0?4532e:<>:50;&0f0<68?:0b>l;:318?j77;:0;6)=m5;3325=i;k>1>954o026b?6=,:h>6<>90:l0f1<5=21d==;j:18'7g3=99<;7c=m4;05?>i68:b;29 6d228:=<6`=h99?86=4+3c7955073g9i87=83.8n84>0728j6d32;h07b??3e83>!5e=3;;:=5a3c696f=7>5$2`6>46182d8n94=d:9l5556290/?o;51143?k5e<38n76al1883>>i681k1<7*6<>60:l0f1<632e:<5650;&0f0<680:0b>l;:398k46??3:1(>l::02:4>h4j=0876a>09494?"4j<0:<4>4n2`7>1=5$2`6>46>82d8n94:;:m24=2=83.8n84>0828j6d32?10c<>72;29 6d228:2<6`i681;1<7*6<>60:l0f1<>32e:<:h50;&0f0<680:0b>l;:`98k460m3:1(>l::02:4>h4j=0i76a>06f94?"4j<0:<4>4n2`7>f=5$2`6>46>82d8n94k;:m242d=83.8n84>0828j6d32l10c<>8a;29 6d228:2<6`i68>31<7*4;n3332<72-9i97??919m7g2=9810c<>86;29 6d228:2<6`=h99=>6=4+3c7955?73g9i87?<;:m2422=83.8n84>0828j6d328>07b??7283>!5e=3;;5=5a3c6950=7>5$2`6>46>82d8n94>6:9l5516290/?o;511;3?k5e<3;<76a>06294?"4j<0:<4>4n2`7>4><3f;;:k4?:%1a1?77191e?o:51898k461m3:1(>l::02:4>h4j=0:m65`114`>5<#;k?1==7?;o1a0?7e32e:<;l50;&0f0<680:0b>l;:0a8?j77>h0;6)=m5;33=5=i;k>1=i54o025=?6=,:h>6<>60:l0f1<6m21d==87:18'7g3=993;7c=m4;3e?>i68?=1<7*4;n3323<72-9i97??919m7g2=:810c<>95;29 6d228:2<6`=h990828j6d32;>07b??8g83>!5e=3;;5=5a3c6960=5$2`6>46>82d8n94=6:9l55>c290/?o;511;3?k5e<38<76a>09a94?"4j<0:<4>4n2`7>7><3f;;4o4?:%1a1?77191e?o:52898k46?;3:1(>l::02:4>h4j=09m65`115;>5<#;k?1==7?;o1a0?4e32e:<;j50;&0f0<680:0b>l;:3a8?j77>;0;6)=m5;33=5=i;k>1>i54o0255?6=,:h>6<>60:l0f1<5m21bo9=50;&0f0k50;&0f0m50;&0f0o50;&0f0650;&0f0850;&0f0od<<0;6)=m5;f3=>h4j=0:?65fc5694?"4j<0o<45a3c6951=6i>6;o1a0?7132ch?>4?:%1a1?b712d8n94>7:9j`75=83.8n84k3`9m7g2=821bh?<50;&0f050;&0f021bh=83.8n84k3`9m7g2=i21bh<950;&0f0=83.8n84k3`9m7g2=9910ei<8:18'7g3=l:k0b>l;:038?lb5>3:1(>l::e1b?k5e<3;976gk2483>!5e=3n8m6`=nl;>1<7*1=954ie3e>5<#;k?1h>o4n2`7>43<3`n:87>5$2`6>a5f3g9i87?9;:kg56<72-9i97j1=65`11`;>5<#;k?1==m?;o1a0?4<3f;;n:4?:%1a1?77k91e?o:53:9l55d1290/?o;511a3?k5e<3>07b??b483>!5e=3;;o=5a3c691>=h99h?6=4+3c7955e73g9i8784;n33f7<72-9i97??c19m7g2=?21d==l>:18'7g3=99i;7c=m4;:8?j77j90;6)=m5;33g5=i;k>1565`11ce>5<#;k?1==m?;o1a0?g<3f;;mh4?:%1a1?77k91e?o:5b:9l55gc290/?o;511a3?k5e<3i07b??ab83>!5e=3;;o=5a3c69`>=h99ki6=4+3c7955e73g9i87k4;n33ed<72-9i97??c19m7g2=n21d==o6:18'7g3=99i;7c=m4;33?>i68h=1<7*n5;29 6d228:h<6`=h99k?6=4+3c7955e73g9i87?;;:m24d5=83.8n84>0b28j6d328?07b??a383>!5e=3;;o=5a3c6953=5$2`6>46d82d8n94>7:9l55g7290/?o;511a3?k5e<3;376a>08d94?"4j<0:4n2`7>4?<3f;;5h4?:%1a1?77k91e?o:51`98k46>k3:1(>l::02`4>h4j=0:n65`11;a>5<#;k?1==m?;o1a0?7d32e:<4o50;&0f0<68j:0b>l;:0f8?j77100;6)=m5;33g5=i;k>1=h54o02:6<>l0:l0f1<6n21d==78:18'7g3=99i;7c=m4;03?>i680<1<7*64;29 6d228:h<6`=h99386=4+3c7955e73g9i87<;;:m24g`=83.8n84>0b28j6d32;?07b??bd83>!5e=3;;o=5a3c6963=5$2`6>46d82d8n94=7:9l55dd290/?o;511a3?k5e<38376a>0c`94?"4j<0:4n2`7>7?<3f;;n>4?:%1a1?77k91e?o:52`98k46f03:1(>l::02`4>h4j=09n65`11;g>5<#;k?1==m?;o1a0?4d32e:<4<50;&0f0<68j:0b>l;:3f8?j77180;6)=m5;33g5=i;k>1>h54ie77>5<#;k?1h8m4n2`7>5=5<#;k?1h8m4n2`7>7=54ie6e>5<#;k?1h8m4n2`7>1=n6=4+3c79`0e5<#;k?1h8m4n2`7>3=h6=4+3c79`0e5<#;k?1h8m4n2`7>==j6=4+3c79`0e5<#;k?1h8m4n2`7>d=36=4+3c79`0e5<#;k?1h8m4n2`7>f==6=4+3c79`0e5<#;k?1h8m4n2`7>`=5<#;k?1h8m4n2`7>46<3`n>47>5$2`6>a3d3g9i87?>;:kg12<72-9i97j:c:l0f1<6:21bh8850;&0f007dj:0;29 6d22m?h7c=m4;36?>oc<<0;6)=m5;f6g>h4j=0::65fd5694?"4j<0o9n5a3c6952=5$2`6>46a82d8n94?;:m24`?=83.8n84>0g28j6d32810c<>j8;29 6d228:m<6`i68l=1<7*54o02f2?6=,:h>6<>i0:l0f1<332e:l;:498k46b<3:1(>l::02e4>h4j=0=76a>0d094?"4j<0:4n2`7>2=5$2`6>46a82d8n947;:m24`6=83.8n84>0g28j6d32010c<>kf;29 6d228:m<6`i68mo1<7*6<>i0:l0f1l;:e98k46cj3:1(>l::02e4>h4j=0n76a>0ec94?"4j<0:4n2`7>c=5$2`6>46a82d8n94>0:9l55b0290/?o;511d3?k5e<3;:76a>0e494?"4j<0:4n2`7>44<3f;;h84?:%1a1?77n91e?o:51298k46c<3:1(>l::02e4>h4j=0:865`11f0>5<#;k?1==h?;o1a0?7232e:l;:048?j77l80;6)=m5;33b5=i;k>1=:54o02g4?6=,:h>6<>i0:l0f1<6021d==mi:18'7g3=99l;7c=m4;3:?>i68jo1<7*lb;29 6d228:m<6`=h99ij6=4+3c7955`73g9i87?k;:m24f?=83.8n84>0g28j6d328o07b??c983>!5e=3;;j=5a3c695c=5$2`6>46a82d8n94=0:9l55e1290/?o;511d3?k5e<38:76a>0b794?"4j<0:4n2`7>74<3f;;o94?:%1a1?77n91e?o:52298k46d;3:1(>l::02e4>h4j=09865`11ge>5<#;k?1==h?;o1a0?4232e:l;:348?j77mm0;6)=m5;33b5=i;k>1>:54o02fg?6=,:h>6<>i0:l0f1<5021d==km:18'7g3=99l;7c=m4;0:?>i68l91<7*ld;29 6d228:m<6`=h99i96=4+3c7955`73g9i870g28j6d32;o07dj9d;29 6d22m=>7c=m4;28?lb1k3:1(>l::e56?k5e<3;07dj9b;29 6d22m=>7c=m4;08?lb1i3:1(>l::e56?k5e<3907dj98;29 6d22m=>7c=m4;68?lb1?3:1(>l::e56?k5e<3?07dj96;29 6d22m=>7c=m4;48?lb1=3:1(>l::e56?k5e<3=07dj94;29 6d22m=>7c=m4;:8?lb1;3:1(>l::e56?k5e<3307dj92;29 6d22m=>7c=m4;c8?lb193:1(>l::e56?k5e<3h07dj90;29 6d22m=>7c=m4;a8?lb2n3:1(>l::e56?k5e<3n07dj84;29 6d22m=>7c=m4;g8?lb0;3:1(>l::e56?k5e<3l07dj82;29 6d22m=>7c=m4;33?>oc?80;6)=m5;f41>h4j=0:=65fd6294?"4j<0o;85a3c6957=6i9:;o1a0?7332co:44?:%1a1?b0=2d8n94>5:9j`0c=83.8n84k749m7g2=9?10ei;k:18'7g3=l>?0b>l;:058?j769h0;6)=m5;3265=i;k>1<65`103:>5<#;k?1=<1783>!5e=3;:>=5a3c690>=h98;>6=4+3c7954473g9i87;4;n3251<72-9i97?>219m7g2=>21d=1465`1033>5<#;k?1=<0e83>!5e=3;:>=5a3c69g>=h98:h6=4+3c7954473g9i87j4;n324g<72-9i97?>219m7g2=m21d=<>n:18'7g3=988;7c=m4;d8?j76800;6)=m5;3265=i;k>1==54o0333?6=,:h>69:18'7g3=988;7c=m4;31?>i699?1<7*219m7g2=9=10c=h98:96=4+3c7954473g9i87?9;:m2557=83.8n84>1328j6d328=07b?>0183>!5e=3;:>=5a3c695==5$2`6>47582d8n94>9:9l55`b290/?o;51003?k5e<3;j76a>0ga94?"4j<0:=?>4n2`7>4d<3f;;jo4?:%1a1?76:91e?o:51b98k46ai3:1(>l::0314>h4j=0:h65`11d:>5<#;k?1=<l;:0d8?j77n>0;6)=m5;3265=i;k>1>=54o02e2?6=,:h>6i68o>1<7*219m7g2=:=10cf;29 6d228;9<6`=h98;n6=4+3c7954473g9i87<9;:m254b=83.8n84>1328j6d32;=07b?>1b83>!5e=3;:>=5a3c696==5$2`6>47582d8n94=9:9l5474290/?o;51003?k5e<38j76a>11:94?"4j<0:=?>4n2`7>7d<3f;;ji4?:%1a1?76:91e?o:52b98k46a:3:1(>l::0314>h4j=09h65`11d2>5<#;k?1=<32co;o4?:%1a1?b?m2d8n94n;:kg3d<72-9i97j7e:l0f10:9j`=g=83.8n84k8d9m7g2=9810ei66:18'7g3=l1o0b>l;:008?lb?03:1(>l::e:f?k5e<3;876gk8683>!5e=3n3i6`=nl181<7*1=854ie54>5<#;k?1h5k4n2`7>40<3`n<:7>5$2`6>a>b3g9i87?8;:m251g=83.8n84>1428j6d32910c<6`i69=21<7*6l;:598k473=3:1(>l::0364>h4j=0>76a>15694?"4j<0:=8>4n2`7>3=7>5$2`6>47282d8n948;:m2517=83.8n84>1428j6d32110c<6`i69:l1<7*6j50;&0f0<69<:0b>l;:b98k474k3:1(>l::0364>h4j=0o76a>12`94?"4j<0:=8>4n2`7>`=5$2`6>47282d8n94i;:m256?=83.8n84>1428j6d328:07b?>3683>!5e=3;:9=5a3c6954=5$2`6>47282d8n94>2:9l5452290/?o;51073?k5e<3;876a>12694?"4j<0:=8>4n2`7>42<3f;:?>4?:%1a1?76=91e?o:51498k474:3:1(>l::0364>h4j=0::65`1012>5<#;k?1=<;?;o1a0?7032e:=>>50;&0f0<69<:0b>l;:0:8?j76:o0;6)=m5;3215=i;k>1=454o031a?6=,:h>6i69;h1<7*519m7g2=9m10c<6`=h98836=4+3c7954373g9i87?i;:m2571=83.8n84>1428j6d32;:07b?>2783>!5e=3;:9=5a3c6964=5$2`6>47282d8n94=2:9l5443290/?o;51073?k5e<38876a>13194?"4j<0:=8>4n2`7>72<3f;:8k4?:%1a1?76=91e?o:52498k473m3:1(>l::0364>h4j=09:65`106g>5<#;k?1=<;?;o1a0?4032e:=9m50;&0f0<69<:0b>l;:3:8?j761>454o0377?6=,:h>6i69;n1<7*519m7g2=:m10c<6`=nl0l1<7*1<65fd8g94?"4j<0om:5a3c695>=nl0n1<7*1>65fd8a94?"4j<0om:5a3c697>=nl0k1<7*1865fd8;94?"4j<0om:5a3c691>=nl021<7*1:65fd8594?"4j<0om:5a3c693>=nl0<1<7*1465fd8794?"4j<0om:5a3c69=>=nl0>1<7*1m65fd8194?"4j<0om:5a3c69f>=nl081<7*1o65fd8394?"4j<0om:5a3c69`>=nlh<1<7*1i65fd`794?"4j<0om:5a3c69b>=nlh>1<7*1==54iec0>5<#;k?1hl94n2`7>47<3`nj>7>5$2`6>ag03g9i87?=;:kge4<72-9i97jn7:l0f1<6;21bhl>50;&0f01e?o:51598ma?e290/?o;5d`58j6d328?07dj60;29 6d22mk<7c=m4;35?>oc0o0;6)=m5;fb3>h4j=0:;65`105b>5<#;k?1=<6?;o1a0?6<3f;:;44?:%1a1?76091e?o:51:9l541?290/?o;510:3?k5e<3807b?>7683>!5e=3;:4=5a3c697>=h98==6=4+3c7954>73g9i87:4;n3230<72-9i97?>819m7g2==21d=<9;:18'7g3=982;7c=m4;48?j76?;0;6)=m5;32<5=i;k>1;65`1052>5<#;k?1=<6?;o1a0?><3f;:;=4?:%1a1?76091e?o:59:9l540a290/?o;510:3?k5e<3k07b?>6d83>!5e=3;:4=5a3c69f>=h9873g9i87m4;n322f<72-9i97?>819m7g2=l21d=<8m:18'7g3=982;7c=m4;g8?j76>h0;6)=m5;32<5=i;k>1j65`104:>5<#;k?1=<6?;o1a0?7732e:=;950;&0f0<691:0b>l;:038?j76>?0;6)=m5;32<5=i;k>1=?54o0351?6=,:h>6i69?91<7*819m7g2=9?10c=h98<;6=4+3c7954>73g9i87?7;:m250`=83.8n84>1928j6d328307b?>5d83>!5e=3;:4=5a3c695d=o7>5$2`6>47?82d8n94>b:9l543e290/?o;510:3?k5e<3;h76a>14c94?"4j<0:=5>4n2`7>4b<3f;:944?:%1a1?76091e?o:51d98k47203:1(>l::03;4>h4j=0:j65`1074>5<#;k?1=<6?;o1a0?4732e:=8850;&0f0<691:0b>l;:338?j76=<0;6)=m5;32<5=i;k>1>?54o0360?6=,:h>6i69>l1<7*819m7g2=:?10c=h98=h6=4+3c7954>73g9i87<7;:m252d=83.8n84>1928j6d32;307b?>7283>!5e=3;:4=5a3c696d=5$2`6>47?82d8n94=b:9l543c290/?o;510:3?k5e<38h76a>14094?"4j<0:=5>4n2`7>7b<3f;:9<4?:%1a1?76091e?o:52d98mad?290/?o;5db28j6d32910eil8:18'7g3=lj:0b>l;:098mad1290/?o;5db28j6d32;10eil::18'7g3=lj:0b>l;:298mad4290/?o;5db28j6d32=10eil=:18'7g3=lj:0b>l;:498mad6290/?o;5db28j6d32?10eil?:18'7g3=lj:0b>l;:698maga290/?o;5db28j6d32110eioj:18'7g3=lj:0b>l;:898magc290/?o;5db28j6d32h10eiol:18'7g3=lj:0b>l;:c98mage290/?o;5db28j6d32j10eion:18'7g3=lj:0b>l;:e98mada290/?o;5db28j6d32l10eilj:18'7g3=lj:0b>l;:g98madc290/?o;5db28j6d328:07djmc;29 6d22mi;7c=m4;32?>ocjk0;6)=m5;f`4>h4j=0:>65fdcc94?"4j<0oo=5a3c6956=6im?;o1a0?7232com44?:%1a1?bd82d8n94>6:9j`d>=83.8n84kc19m7g2=9>10eij>:18'7g3=lm30b>l;:198mab7290/?o;5de;8j6d32810eimi:18'7g3=lm30b>l;:398maeb290/?o;5de;8j6d32:10eiml:18'7g3=lm30b>l;:598maee290/?o;5de;8j6d32<10eimn:18'7g3=lm30b>l;:798mae>290/?o;5de;8j6d32>10eim7:18'7g3=lm30b>l;:998mae0290/?o;5de;8j6d32010eim9:18'7g3=lm30b>l;:`98mae2290/?o;5de;8j6d32k10eim;:18'7g3=lm30b>l;:b98mae4290/?o;5de;8j6d32m10eij7:18'7g3=lm30b>l;:d98mab0290/?o;5de;8j6d32o10eij9:18'7g3=lm30b>l;:028?lbc=3:1(>l::ef:?k5e<3;:76gkd583>!5e=3no56`=nlm91<7*1=>54ief1>5<#;k?1hi74n2`7>42<3`nhh7>5$2`6>ab>3g9i87?:;:kgg7<72-9i97jk9:l0f1<6>21bhn?50;&0f0l;:098mac?290/?o;5dg08j6d32;10eik8:18'7g3=lo80b>l;:298mac2290/?o;5dg08j6d32=10eik;:18'7g3=lo80b>l;:498mac4290/?o;5dg08j6d32?10eik=:18'7g3=lo80b>l;:698mac6290/?o;5dg08j6d32110eik?:18'7g3=lo80b>l;:898maba290/?o;5dg08j6d32h10eijj:18'7g3=lo80b>l;:c98mabc290/?o;5dg08j6d32j10eijl:18'7g3=lo80b>l;:e98ma`6290/?o;5dg08j6d32l10eih?:18'7g3=lo80b>l;:g98maca290/?o;5dg08j6d328:07djje;29 6d22ml97c=m4;32?>ocmm0;6)=m5;fe6>h4j=0:>65fdda94?"4j<0oj?5a3c6956=6ih=;o1a0?7232coho4?:%1a1?ba:2d8n94>6:9j`ag=83.8n84kf39m7g2=9>10c<=m2;29 6d2289i46`i6;k;1<7*6<=m8:l0f1<532e:?lh50;&0f0<6;k20b>l;:298k45fm3:1(>l::01a<>h4j=0?76a>3`f94?"4j<0:?o64n2`7>0=5$2`6>45e02d8n949;:m27dg=83.8n84>3c:8j6d32>10c<=n9;29 6d2289i46`i6;h21<7*6<=m8:l0f1l;:c98k45f=3:1(>l::01a<>h4j=0h76a>3`694?"4j<0:?o64n2`7>a=5$2`6>45e02d8n94j;:m27d4=83.8n84>3c:8j6d32o10c<=n1;29 6d2289i46`=h9:3m6=4+3c7956d?3g9i87?>;:m273c:8j6d328807b?<9e83>!5e=3;8n55a3c6956=5$2`6>45e02d8n94>4:9l56?e290/?o;512`;?k5e<3;>76a>38c94?"4j<0:?o64n2`7>40<3f;8544?:%1a1?74j11e?o:51698k45>03:1(>l::01a<>h4j=0:465`12;4>5<#;k?1=>l7;o1a0?7>32e:?4850;&0f0<6;k20b>l;:0c8?j741=0;6)=m5;30f==i;k>1=o54o01:7?6=,:h>6<=m8:l0f1<6k21d=>7=:18'7g3=9:h37c=m4;3g?>i6;0;1<7*=h9:2n6=4+3c7956d?3g9i87<>;:m27=b=83.8n84>3c:8j6d32;807b?<8b83>!5e=3;8n55a3c6966=5$2`6>45e02d8n94=4:9l56d0290/?o;512`;?k5e<38>76a>3c494?"4j<0:?o64n2`7>70<3f;8n84?:%1a1?74j11e?o:52698k45e<3:1(>l::01a<>h4j=09465`12`0>5<#;k?1=>l7;o1a0?4>32e:?ll50;&0f0<6;k20b>l;:3c8?j74i90;6)=m5;30f==i;k>1>o54o01:1?6=,:h>6<=m8:l0f1<5k21d=>6n:18'7g3=9:h37c=m4;0g?>i6;131<7*k>:18'7g3=9:o37c=m4;38?j74m90;6)=m5;30a==i;k>1>65`12fe>5<#;k?1=>k7;o1a0?5<3f;8hh4?:%1a1?74m11e?o:54:9l56bc290/?o;512g;?k5e<3?07b?!5e=3;8i55a3c692>=h9:nj6=4+3c7956c?3g9i8794;n30`<<72-9i97?j7:18'7g3=9:o37c=m4;;8?j74l>0;6)=m5;30a==i;k>1m65`12f5>5<#;k?1=>k7;o1a0?d<3f;8h84?:%1a1?74m11e?o:5c:9l56b3290/?o;512g;?k5e<3n07b?!5e=3;8i55a3c69a>=h9:n96=4+3c7956c?3g9i87h4;n30`4<72-9i97?=h9:in6=4+3c7956c?3g9i87?=;:m27fb=83.8n84>3d:8j6d328907b?!5e=3;8i55a3c6951=5$2`6>45b02d8n94>5:9l56ef290/?o;512g;?k5e<3;=76a>3b;94?"4j<0:?h64n2`7>41<3f;8o54?:%1a1?74m11e?o:51998k45d?3:1(>l::01f<>h4j=0:565`12a5>5<#;k?1=>k7;o1a0?7f32e:?n:50;&0f0<6;l20b>l;:0`8?j74k:0;6)=m5;30a==i;k>1=n54o01`6?6=,:h>6<=j8:l0f1<6l21d=>m>:18'7g3=9:o37c=m4;3f?>i6;j:1<7*=h9:ho6=4+3c7956c?3g9i87<=;:m27ge=83.8n84>3d:8j6d32;907b?!5e=3;8i55a3c6961=5$2`6>45b02d8n94=5:9l56c1290/?o;512g;?k5e<38=76a>3d794?"4j<0:?h64n2`7>71<3f;8i94?:%1a1?74m11e?o:52998k45b;3:1(>l::01f<>h4j=09565`12fa>5<#;k?1=>k7;o1a0?4f32e:?i>50;&0f0<6;l20b>l;:3`8?j74k<0;6)=m5;30a==i;k>1>n54o01ae?6=,:h>6<=j8:l0f1<5l21d=>l6:18'7g3=9:o37c=m4;0f?>i6<881<7*6<:>8:l0f1<632e:8<>50;&0f0<6<820b>l;:398k427n3:1(>l::062<>h4j=0876a>41g94?"4j<0:8<64n2`7>1=;h7>5$2`6>42602d8n94:;:m205e=83.8n84>40:8j6d32?10c<:?a;29 6d228>:46`i6<931<7*6<:>8:l0f1<>32e:8=950;&0f0<6<820b>l;:`98k427>3:1(>l::062<>h4j=0i76a>41794?"4j<0:8<64n2`7>f=;87>5$2`6>42602d8n94k;:m2055=83.8n84>40:8j6d32l10c<:?2;29 6d228>:46`i6<9;1<7*4;n30bc<72-9i97?;199m7g2=9810c<=ie;29 6d228>:46`=h9:lo6=4+3c79517?3g9i87?<;:m27ce=83.8n84>40:8j6d328>07b?!5e=3;?=55a3c6950=5$2`6>42602d8n94>6:9l56`>290/?o;5153;?k5e<3;<76a>3g:94?"4j<0:8<64n2`7>4><3f;8j:4?:%1a1?73911e?o:51898k45a>3:1(>l::062<>h4j=0:m65`12d7>5<#;k?1=9?7;o1a0?7e32e:?k=50;&0f0<6<820b>l;:0a8?j74n;0;6)=m5;375==i;k>1=i54o01e5?6=,:h>6<:>8:l0f1<6m21d=>h?:18'7g3=9=;37c=m4;3e?>i6;ll1<7*4;n30a`<72-9i97?;199m7g2=:810c<=jd;29 6d228>:46`=h9:oh6=4+3c79517?3g9i87<<;:m27`d=83.8n84>40:8j6d32;>07b?;1683>!5e=3;?=55a3c6960=::7>5$2`6>42602d8n94=6:9l5172290/?o;5153;?k5e<38<76a>40694?"4j<0:8<64n2`7>7><3f;?=>4?:%1a1?73911e?o:52898k427j3:1(>l::062<>h4j=09m65`1523>5<#;k?1=9?7;o1a0?4e32e:?k;50;&0f0<6<820b>l;:3a8?j74mh0;6)=m5;375==i;k>1>i54o01f=?6=,:h>6<:>8:l0f1<5m21d=9:=:18'7g3=9=>37c=m4;28?j73<80;6)=m5;370==i;k>1=65`1563>5<#;k?1=9:7;o1a0?4<3f;??k4?:%1a1?73<11e?o:53:9l515b290/?o;5156;?k5e<3>07b?;3e83>!5e=3;?855a3c691>=h9=9h6=4+3c79512?3g9i8784;n377d<72-9i97?;499m7g2=?21d=9=6:18'7g3=9=>37c=m4;:8?j73;10;6)=m5;370==i;k>1565`1514>5<#;k?1=9:7;o1a0?g<3f;??;4?:%1a1?73<11e?o:5b:9l5152290/?o;5156;?k5e<3i07b?;3583>!5e=3;?855a3c69`>=h9=986=4+3c79512?3g9i87k4;n3777<72-9i97?;499m7g2=n21d=9=>:18'7g3=9=>37c=m4;33?>i6<;l1<7*?46`=h9=8h6=4+3c79512?3g9i87?;;:m207d=83.8n84>45:8j6d328?07b?;2`83>!5e=3;?855a3c6953=957>5$2`6>42302d8n94>7:9l514?290/?o;5156;?k5e<3;376a>43594?"4j<0:8964n2`7>4?<3f;?>;4?:%1a1?73<11e?o:51`98k425<3:1(>l::067<>h4j=0:n65`1500>5<#;k?1=9:7;o1a0?7d32e:8?<50;&0f0<6<=20b>l;:0f8?j73:80;6)=m5;370==i;k>1=h54o0614?6=,:h>6<:;8:l0f1<6n21d=9?i:18'7g3=9=>37c=m4;03?>i6<8o1<7*c;29 6d228>?46`=h9=;i6=4+3c79512?3g9i87<;;:m2011=83.8n84>45:8j6d32;?07b?;4783>!5e=3;?855a3c6963=?97>5$2`6>42302d8n94=7:9l5123290/?o;5156;?k5e<38376a>45194?"4j<0:8964n2`7>7?<3f;??o4?:%1a1?73<11e?o:52`98k42483:1(>l::067<>h4j=09n65`1506>5<#;k?1=9:7;o1a0?4d32e:8l;:3f8?j73900;6)=m5;370==i;k>1>h54o0646?6=,:h>6<:88:l0f1<732e:8:?50;&0f0<6<>20b>l;:098k42083:1(>l::064<>h4j=0976a>47d94?"4j<0:8:64n2`7>6==i7>5$2`6>42002d8n94;;:m203b=83.8n84>46:8j6d32<10c<:9c;29 6d228><46`i66<:88:l0f120b>l;:898k421?3:1(>l::064<>h4j=0j76a>47494?"4j<0:8:64n2`7>g==97>5$2`6>42002d8n94l;:m2032=83.8n84>46:8j6d32m10c<:93;29 6d228><46`i66<:88:l0f1<6821d=9;i:18'7g3=9==37c=m4;32?>i6<<46`=h9=?i6=4+3c79511?3g9i87?:;:m200g=83.8n84>46:8j6d328<07b?;5883>!5e=3;?;55a3c6952=>47>5$2`6>42002d8n94>8:9l5130290/?o;5155;?k5e<3;276a>44494?"4j<0:8:64n2`7>4g<3f;?994?:%1a1?73?11e?o:51c98k422;3:1(>l::064<>h4j=0:o65`1571>5<#;k?1=997;o1a0?7c32e:88?50;&0f0<6<>20b>l;:0g8?j73=90;6)=m5;373==i;k>1=k54o067b?6=,:h>6<:88:l0f1<5821d=9:j:18'7g3=9==37c=m4;02?>i6<=n1<7*<46`=h9==<6=4+3c79511?3g9i87<:;:m2020=83.8n84>46:8j6d32;<07b?;7483>!5e=3;?;55a3c6962=<87>5$2`6>42002d8n94=8:9l5114290/?o;5155;?k5e<38276a>47`94?"4j<0:8:64n2`7>7g<3f;?:=4?:%1a1?73?11e?o:52c98k422=3:1(>l::064<>h4j=09o65`156b>5<#;k?1=997;o1a0?4c32e:89750;&0f0<6<>20b>l;:3g8?le603:17b?;a383>!5e=3;?m55a3c694>=h9=k:6=4+3c7951g?3g9i87?4;n37e5<72-9i97?;a99m7g2=:21d=97i:18'7g3=9=k37c=m4;18?j731l0;6)=m5;37e==i;k>1865`15;g>5<#;k?1=9o7;o1a0?3<3f;?5n4?:%1a1?73i11e?o:56:9l51?f290/?o;515c;?k5e<3=07b?;9883>!5e=3;?m55a3c69<>=h9=336=4+3c7951g?3g9i8774;n37=2<72-9i97?;a99m7g2=i21d=979:18'7g3=9=k37c=m4;`8?j731<0;6)=m5;37e==i;k>1o65`15;7>5<#;k?1=9o7;o1a0?b<3f;?5>4?:%1a1?73i11e?o:5e:9l51?5290/?o;515c;?k5e<3l07b?;9083>!5e=3;?m55a3c6955=3j7>5$2`6>42f02d8n94>1:9l51>b290/?o;515c;?k5e<3;976a>49f94?"4j<0:8l64n2`7>45<3f;?4n4?:%1a1?73i11e?o:51598k42?j3:1(>l::06b<>h4j=0:965`15:b>5<#;k?1=9o7;o1a0?7132e:85750;&0f0<6l;:058?j73010;6)=m5;37e==i;k>1=554o06;3?6=,:h>6<:n8:l0f1<6121d=969:18'7g3=9=k37c=m4;3b?>i6<1>1<7*j46`=h9=2:6=4+3c7951g?3g9i87?j;:m20=6=83.8n84>4`:8j6d328l07b?;7g83>!5e=3;?m55a3c6965=5$2`6>42f02d8n94=1:9l511c290/?o;515c;?k5e<38976a>46a94?"4j<0:8l64n2`7>75<3f;?;o4?:%1a1?73i11e?o:52598k42f?3:1(>l::06b<>h4j=09965`15c5>5<#;k?1=9o7;o1a0?4132e:8l;50;&0f0<6l;:358?j73i=0;6)=m5;37e==i;k>1>554o06b7?6=,:h>6<:n8:l0f1<5121d=97m:18'7g3=9=k37c=m4;0b?>i6<0:1<7*j46`=h9==26=4+3c7951g?3g9i874e:8j6d32910c<:k1;29 6d228>o46`i66<:k8:l0f1<432e:8nk50;&0f0<6l;:598k42dl3:1(>l::06g<>h4j=0>76a>4ba94?"4j<0:8i64n2`7>3=hm7>5$2`6>42c02d8n948;:m20f?=83.8n84>4e:8j6d32110c<:l8;29 6d228>o46`i66<:k8:l0f1l;:b98k42d<3:1(>l::06g<>h4j=0o76a>4b194?"4j<0:8i64n2`7>`=h>7>5$2`6>42c02d8n94i;:m20f7=83.8n84>4e:8j6d328:07b?;bg83>!5e=3;?h55a3c6954=ii7>5$2`6>42c02d8n94>2:9l51dc290/?o;515f;?k5e<3;876a>4ca94?"4j<0:8i64n2`7>42<3f;?no4?:%1a1?73l11e?o:51498k42ei3:1(>l::06g<>h4j=0::65`15`:>5<#;k?1=9j7;o1a0?7032e:8o650;&0f0<6l;:0:8?j73j>0;6)=m5;37`==i;k>1=454o06a2?6=,:h>6<:k8:l0f1<6i21d=9l;:18'7g3=9=n37c=m4;3a?>i6o46`=h9=h;6=4+3c7951b?3g9i87?i;:m20d`=83.8n84>4e:8j6d32;:07b?;ad83>!5e=3;?h55a3c6964=jh7>5$2`6>42c02d8n94=2:9l51gd290/?o;515f;?k5e<38876a>4``94?"4j<0:8i64n2`7>72<3f;?h:4?:%1a1?73l11e?o:52498k42c>3:1(>l::06g<>h4j=09:65`15f6>5<#;k?1=9j7;o1a0?4032e:8i:50;&0f0<6l;:3:8?j73l:0;6)=m5;37`==i;k>1>454o06`f?6=,:h>6<:k8:l0f1<5i21d=9m?:18'7g3=9=n37c=m4;0a?>i6o46`=njo31<7*1<65fbg:94?"4j<0h=njo=1<7*1>65fbg494?"4j<0h=njo?1<7*1865fbg694?"4j<0h=njo91<7*1:65fbg094?"4j<0h=nk9;1<7*1465fc1294?"4j<0h=njol1<7*1m65fbgg94?"4j<0h=njon1<7*1o65fbga94?"4j<0h=njoh1<7*1i65fbgc94?"4j<0h=njo;1<7*1==54icd3>5<#;k?1o=o4n2`7>47<3`;=::4?::m25<7=83.8n84>18;8j6d32910ci691l1<7*6l;:598k47?j3:1(>l::03:=>h4j=0>76a>19c94?"4j<0:=474n2`7>3=5$2`6>47>12d8n948;:m25=>=83.8n84>18;8j6d32110ci691<1<7*6l;:b98k47?;3:1(>l::03:=>h4j=0o76a>18:94?"4j<0:=474n2`7>`=5$2`6>47>12d8n94i;:m25<0=83.8n84>18;8j6d328:07b?>9483>!5e=3;:545a3c6954=5$2`6>47>12d8n94>2:9l54?4290/?o;510;:?k5e<3;876a>18094?"4j<0:=474n2`7>42<3f;:4i4?:%1a1?76101e?o:51498k47?:3:1(>l::03:=>h4j=0::65`10:2>5<#;k?1=<76;o1a0?7032e:?8=50;&0f0<6;<30b>l;:198k452:3:1(>l::016=>h4j=0:76a>34394?"4j<0:?874n2`7>7=<7>5$2`6>45212d8n94<;:m271`=83.8n84>34;8j6d32=10c<=;e;29 6d2289>56`i6;=n1<7*6<=:9:l0f1<032e:?9o50;&0f0<6;<30b>l;:998k45313:1(>l::016=>h4j=0276a>35:94?"4j<0:?874n2`7>d=5$2`6>45212d8n94m;:m2710=83.8n84>34;8j6d32j10c<=;5;29 6d2289>56`i6;=>1<7*6<=:9:l0f1l;:028?j74<90;6)=m5;301<=i;k>1=<54o010b?6=,:h>6<=:9:l0f1<6:21d=>=j:18'7g3=9:?27c=m4;30?>i6;:n1<7*56`=h9:9j6=4+3c79563>3g9i87?8;:m276?=83.8n84>34;8j6d328207b?<3983>!5e=3;8945a3c695<=5$2`6>45212d8n94>a:9l5652290/?o;5127:?k5e<3;i76a>32694?"4j<0:?874n2`7>4e<3f;8?>4?:%1a1?74=01e?o:51e98k454:3:1(>l::016=>h4j=0:i65`1212>5<#;k?1=>;6;o1a0?7a32e:?>>50;&0f0<6;<30b>l;:328?j74:o0;6)=m5;301<=i;k>1><54o011a?6=,:h>6<=:9:l0f1<5:21d=>i6;;i1<7*56`=h9:?=6=4+3c79563>3g9i87<8;:m2703=83.8n84>34;8j6d32;207b?<5583>!5e=3;8945a3c696<=5$2`6>45212d8n94=a:9l5626290/?o;5127:?k5e<38i76a>32494?"4j<0:?874n2`7>7e<3f;8>o4?:%1a1?74=01e?o:52e98k455i3:1(>l::016=>h4j=09i65fbdd94?=h98kj6=4+3c7957063g9i87>4;n32e<<72-9i97?=609m7g2=921d=0;6)=m5;3124=i;k>1?65`10c6>5<#;k?1=?8>;o1a0?2<3f;:m94?:%1a1?75>81e?o:55:9l54g4290/?o;51342?k5e<3<07b?>a383>!5e=3;9:<5a3c693>=h98k:6=4+3c7957063g9i8764;n32e5<72-9i97?=609m7g2=121d=<7i:18'7g3=9;<:7c=m4;c8?j761l0;6)=m5;3124=i;k>1n65`10;g>5<#;k?1=?8>;o1a0?e<3f;:5n4?:%1a1?75>81e?o:5d:9l54d6290/?o;51342?k5e<3o07b?>b183>!5e=3;9:<5a3c69b>=h98km6=4+3c7957063g9i87??;:m25dc=83.8n84>2738j6d328;07b?>ae83>!5e=3;9:<5a3c6957=5$2`6>44192d8n94>3:9l54ge290/?o;51342?k5e<3;?76a>1`494?"4j<0:>;?4n2`7>43<3f;:5o4?:%1a1?75>81e?o:51798k47>i3:1(>l::0055>h4j=0:;65`1351>5<#;k?1=?9n;o1a0?6<3f;9;<4?:%1a1?75?h1e?o:51:9l5717290/?o;5135b?k5e<3807b?=6g83>!5e=3;9;l5a3c697>=h9;h0;6)=m5;313d=i;k>1;65`134:>5<#;k?1=?9n;o1a0?><3f;9:54?:%1a1?75?h1e?o:59:9l5700290/?o;5135b?k5e<3k07b?=6783>!5e=3;9;l5a3c69f>=h9;<>6=4+3c79571f3g9i87m4;n3121<72-9i97?=7`9m7g2=l21d=?96:18'7g3=9;=j7c=m4;g8?j75?10;6)=m5;313d=i;k>1j65`1354>5<#;k?1=?9n;o1a0?7732e:>:850;&0f0<6:>k0b>l;:038?j75?<0;6)=m5;313d=i;k>1=?54o0040?6=,:h>6<<8a:l0f1<6;21d=?9<:18'7g3=9;=j7c=m4;37?>i6:?o1<7*=h9;2i6=4+3c7957?43g9i87>4;n311?65`13:5>5<#;k?1=?7<;o1a0?2<3f;9484?:%1a1?751:1e?o:55:9l57>3290/?o;513;0?k5e<3<07b?=8283>!5e=3;95>5a3c693>=h9;296=4+3c7957?43g9i8764;n31<4<72-9i97?=929m7g2=121d=?6?:18'7g3=9;387c=m4;c8?j75?o0;6)=m5;31=6=i;k>1n65`135f>5<#;k?1=?7<;o1a0?e<3f;9;i4?:%1a1?751:1e?o:5d:9l57?5290/?o;513;0?k5e<3o07b?=9083>!5e=3;95>5a3c69b>=h9;3;6=4+3c7957?43g9i87??;:m26=`=83.8n84>2818j6d328;07b?=8d83>!5e=3;95>5a3c6957=5$2`6>44>;2d8n94>3:9l57>d290/?o;513;0?k5e<3;?76a>29594?"4j<0:>4=4n2`7>43<3f;9;n4?:%1a1?751:1e?o:51798k440j3:1(>l::00:7>h4j=0:;65`13c7>5<#;k?1=?ol;o1a0?6<3f;9m>4?:%1a1?75ij1e?o:51:9l57g5290/?o;513c`?k5e<3807b?=a083>!5e=3;9mn5a3c697>=h9;3m6=4+3c7957gd3g9i87:4;n31=`<72-9i97?=ab9m7g2==21d=?7k:18'7g3=9;kh7c=m4;48?j751j0;6)=m5;31ef=i;k>1;65`13;a>5<#;k?1=?ol;o1a0?><3f;95l4?:%1a1?75ij1e?o:59:9l57?>290/?o;513c`?k5e<3k07b?=9983>!5e=3;9mn5a3c69f>=h9;3<6=4+3c7957gd3g9i87m4;n31=3<72-9i97?=ab9m7g2=l21d=?om:18'7g3=9;kh7c=m4;g8?j75ih0;6)=m5;31ef=i;k>1j65`13c:>5<#;k?1=?ol;o1a0?7732e:>l650;&0f0<6:hi0b>l;:038?j75i>0;6)=m5;31ef=i;k>1=?54o00b2?6=,:h>6<i6:h:1<7*=h9;ho6=4+3c7957e23g9i87>4;n31ff<72-9i97?=c49m7g2=921d=?lm:18'7g3=9;i>7c=m4;08?j75jh0;6)=m5;31g0=i;k>1?65`13`;>5<#;k?1=?m:;o1a0?2<3f;9n:4?:%1a1?75k<1e?o:55:9l57d1290/?o;513a6?k5e<3<07b?=b483>!5e=3;9o85a3c693>=h9;h?6=4+3c7957e23g9i8764;n31f6<72-9i97?=c49m7g2=121d=?l=:18'7g3=9;i>7c=m4;c8?j75j80;6)=m5;31g0=i;k>1n65`13`3>5<#;k?1=?m:;o1a0?e<3f;9mk4?:%1a1?75k<1e?o:5d:9l57e3290/?o;513a6?k5e<3o07b?=c283>!5e=3;9o85a3c69b>=h9;i96=4+3c7957e23g9i87??;:m26f7=83.8n84>2b78j6d328;07b?=c183>!5e=3;9o85a3c6957=5$2`6>44d=2d8n94>3:9l57db290/?o;513a6?k5e<3;?76a>2c;94?"4j<0:>n;4n2`7>43<3f;9mh4?:%1a1?75k<1e?o:51798k44fl3:1(>l::00`1>h4j=0:;65`13f5>5<#;k?1=?jj;o1a0?6<3f;9h84?:%1a1?75ll1e?o:51:9l57b3290/?o;513ff?k5e<3807b?=d283>!5e=3;9hh5a3c697>=h9;n:6=4+3c7957bb3g9i87:4;n31`5<72-9i97?=dd9m7g2==21d=?mi:18'7g3=9;nn7c=m4;48?j75kl0;6)=m5;31``=i;k>1;65`13ag>5<#;k?1=?jj;o1a0?><3f;9on4?:%1a1?75ll1e?o:59:9l57ee290/?o;513ff?k5e<3k07b?=c`83>!5e=3;9hh5a3c69f>=h9;i26=4+3c7957bb3g9i87m4;n31g=<72-9i97?=dd9m7g2=l21d=?jk:18'7g3=9;nn7c=m4;g8?j75lj0;6)=m5;31``=i;k>1j65`13fa>5<#;k?1=?jj;o1a0?7732e:>io50;&0f0<6:mo0b>l;:038?j75l00;6)=m5;31``=i;k>1=?54o00g6<i6:m81<7*=h9:286=4+3c7951b>3g9i87>4;n30<7<72-9i97?;d89m7g2=921d=>6>:18'7g3=9=n27c=m4;08?j74090;6)=m5;37`<=i;k>1?65`125e>5<#;k?1=9j6;o1a0?2<3f;8;h4?:%1a1?73l01e?o:55:9l561c290/?o;515f:?k5e<3<07b?<7c83>!5e=3;?h45a3c693>=h9:=j6=4+3c7951b>3g9i8764;n303<<72-9i97?;d89m7g2=121d=>97:18'7g3=9=n27c=m4;c8?j74?>0;6)=m5;37`<=i;k>1n65`1255>5<#;k?1=9j6;o1a0?e<3f;8;84?:%1a1?73l01e?o:5d:9l5613290/?o;515f:?k5e<3o07b?<7283>!5e=3;?h45a3c69b>=h9:=96=4+3c7951b>3g9i87??;:m2726=83.8n84>4e;8j6d328;07b?<6g83>!5e=3;?h45a3c6957=5$2`6>42c12d8n94>3:9l560c290/?o;515f:?k5e<3;?76a>37a94?"4j<0:8i74n2`7>43<3f;8:o4?:%1a1?73l01e?o:51798k451i3:1(>l::06g=>h4j=0:;65`124:>5<#;k?1=9j6;o1a0?7?32e:?;650;&0f0<6l;:0;8?j74>>0;6)=m5;37`<=i;k>1=l54o0151?6=,:h>6<:k9:l0f1<6j21d=>8;:18'7g3=9=n27c=m4;3`?>i6;?91<7*o56`=h9:<;6=4+3c7951b>3g9i874e;8j6d32;;07b?<5d83>!5e=3;?h45a3c6967=h7>5$2`6>42c12d8n94=3:9l563d290/?o;515f:?k5e<38?76a>39:94?"4j<0:8i74n2`7>73<3f;84:4?:%1a1?73l01e?o:52798k45?>3:1(>l::06g=>h4j=09;65`12:6>5<#;k?1=9j6;o1a0?4?32e:?5:50;&0f0<6l;:3;8?j74?j0;6)=m5;37`<=i;k>1>l54o0145?6=,:h>6<:k9:l0f1<5j21d=>89:18'7g3=9=n27c=m4;0`?>i6;i6:lo1<7*6<hm50;&0f0<6:o=0b>l;:298k44bi3:1(>l::00e3>h4j=0?76a>2d;94?"4j<0:>k94n2`7>0=5$2`6>44a?2d8n949;:m26`1=83.8n84>2g58j6d32>10c<i6:l?1<7*6<h=50;&0f0<6:o=0b>l;:c98k44b:3:1(>l::00e3>h4j=0h76a>2d394?"4j<0:>k94n2`7>a=5$2`6>44a?2d8n94j;:m26c3=83.8n84>2g58j6d32o10c<=h9;l86=4+3c7957`03g9i87?>;:m26c4=83.8n84>2g58j6d328807b?=f083>!5e=3;9j:5a3c6956=5$2`6>44a?2d8n94>4:9l57ce290/?o;513d4?k5e<3;>76a>2d294?"4j<0:>k94n2`7>40<3f;9hk4?:%1a1?75n>1e?o:51698k437;3:1(>l::073=>h4j=0;76a>51094?"4j<0:9=74n2`7>4=5$2`6>43712d8n94=;:m2156=83.8n84>51;8j6d32:10c<:if;29 6d228?;56`i66<;?9:l0f1<132e:8kl50;&0f0<6=930b>l;:698k42ai3:1(>l::073=>h4j=0376a>4g;94?"4j<0:9=74n2`7><=m47>5$2`6>43712d8n94n;:m20c1=83.8n84>51;8j6d32k10c<:i6;29 6d228?;56`i66<;?9:l0f1l;:g98k42a:3:1(>l::073=>h4j=0:<65`15d3>5<#;k?1=8>6;o1a0?7632e:8hh50;&0f0<6=930b>l;:008?j73ml0;6)=m5;364<=i;k>1=>54o06f`?6=,:h>6<;?9:l0f1<6<21d=9kl:18'7g3=9<:27c=m4;36?>i610c<:j9;29 6d228?;56`=h9=o36=4+3c79506>3g9i87?6;:m20`1=83.8n84>51;8j6d328k07b?;e483>!5e=3;><45a3c695g=n87>5$2`6>43712d8n94>c:9l51c4290/?o;5142:?k5e<3;o76a>4d094?"4j<0:9=74n2`7>4c<3f;?i<4?:%1a1?72801e?o:51g98k42b83:1(>l::073=>h4j=09<65`15fe>5<#;k?1=8>6;o1a0?4632e:8ik50;&0f0<6=930b>l;:308?j73lm0;6)=m5;364<=i;k>1>>54o06gg?6=,:h>6<;?9:l0f1<5<21d=8>7:18'7g3=9<:27c=m4;06?>i6=9=1<7*10c<;?5;29 6d228?;56`=h9<:?6=4+3c79506>3g9i87<6;:m20ce=83.8n84>51;8j6d32;k07b?;f083>!5e=3;><45a3c696g=n:7>5$2`6>43712d8n94=c:9l51be290/?o;5142:?k5e<38o76a>4ec94?"4j<0:9=74n2`7>7c<3f;8<54?:%1a1?74991e?o:50:9l5660290/?o;51233?k5e<3;07b?<0783>!5e=3;8==5a3c696>=h9::>6=4+3c7956773g9i87=4;n3046<72-9i97?<119m7g2=<21d=>>=:18'7g3=9:;;7c=m4;78?j74880;6)=m5;3055=i;k>1:65`1223>5<#;k?1=>??;o1a0?1<3f;9jk4?:%1a1?74991e?o:58:9l57`b290/?o;51233?k5e<3307b?=fe83>!5e=3;8==5a3c69e>=h9;lh6=4+3c7956773g9i87l4;n31bg<72-9i97?<119m7g2=k21d=?hn:18'7g3=9:;;7c=m4;f8?j748o0;6)=m5;3055=i;k>1i65`122f>5<#;k?1=>??;o1a0?`<3f;8l::0124>h4j=0:=65`122a>5<#;k?1=>??;o1a0?7532e:?=o50;&0f0<6;8:0b>l;:018?j74800;6)=m5;3055=i;k>1=954o0130?6=,:h>6<=>0:l0f1<6=21d=?h6:18'7g3=9:;;7c=m4;35?>i6:o21<7*1>65`1413>5<#;k?1=8=6;o1a0?5<3f;>>k4?:%1a1?72;01e?o:54:9l504b290/?o;5141:?k5e<3?07b?:2e83>!5e=3;>?45a3c692>=h9<8i6=4+3c79505>3g9i8794;n366d<72-9i97?:389m7g2=021d=8<6:18'7g3=9<927c=m4;;8?j72:10;6)=m5;367<=i;k>1m65`1404>5<#;k?1=8=6;o1a0?d<3f;>>;4?:%1a1?72;01e?o:5c:9l5042290/?o;5141:?k5e<3n07b?:2583>!5e=3;>?45a3c69a>=h9<886=4+3c79505>3g9i87h4;n3667<72-9i97?:389m7g2=9910c<;=0;29 6d228?856`=h9<;m6=4+3c79505>3g9i87?=;:m214c=83.8n84>52;8j6d328907b?:1e83>!5e=3;>?45a3c6951=5$2`6>43412d8n94>5:9l507e290/?o;5141:?k5e<3;=76a>50c94?"4j<0:9>74n2`7>41<3f;>=44?:%1a1?72;01e?o:51998k43603:1(>l::070=>h4j=0:565`1434>5<#;k?1=8=6;o1a0?7f32e:9<;50;&0f0<6=:30b>l;:0`8?j729=0;6)=m5;367<=i;k>1=n54o0727?6=,:h>6<;<9:l0f1<6l21d=8?=:18'7g3=9<927c=m4;3f?>i6=8;1<7*=h9<:n6=4+3c79505>3g9i87<=;:m215b=83.8n84>52;8j6d32;907b?:0b83>!5e=3;>?45a3c6961=5$2`6>43412d8n94=5:9l5050290/?o;5141:?k5e<38=76a>52494?"4j<0:9>74n2`7>71<3f;>?84?:%1a1?72;01e?o:52998k434<3:1(>l::070=>h4j=09565`140`>5<#;k?1=8=6;o1a0?4f32e:9??50;&0f0<6=:30b>l;:3`8?j729?0;6)=m5;367<=i;k>1>n54o073f?6=,:h>6<;<9:l0f1<5l21d=8>n:18'7g3=9<927c=m4;0f?>i6;;;1<7*6<==9:l0f1<632e:?l;:398k456m3:1(>l::011=>h4j=0876a>30a94?"4j<0:??74n2`7>1=5$2`6>45512d8n94:;:m274g=83.8n84>33;8j6d32?10c<=>9;29 6d2289956`i6;821<7*6<==9:l0f1<>32e:?<850;&0f0<6;;30b>l;:`98k456=3:1(>l::011=>h4j=0i76a>30694?"4j<0:??74n2`7>f=5$2`6>45512d8n94k;:m277>=83.8n84>33;8j6d32l10c<==7;29 6d2289956`i6;;<1<7*4;n3060<72-9i97?<289m7g2=9810c<==4;29 6d2289956`=h9:886=4+3c79564>3g9i87?<;:m2774=83.8n84>33;8j6d328>07b?<1e83>!5e=3;8>45a3c6950=7>5$2`6>45512d8n94>6:9l5676290/?o;5120:?k5e<3;<76a>57194?"4j<0:9;74n2`7>5=7>5$2`6>43112d8n94>;:m2137=83.8n84>57;8j6d32;10c<;90;29 6d228?=56`i6=6<;99:l0f1<232e:98j50;&0f0<6=?30b>l;:798k432j3:1(>l::075=>h4j=0<76a>54c94?"4j<0:9;74n2`7>==57>5$2`6>43112d8n946;:m210>=83.8n84>57;8j6d32h10c<;:7;29 6d228?=56`i6=<<1<7*6<;99:l0f1l;:d98k432;3:1(>l::075=>h4j=0m76a>54094?"4j<0:9;74n2`7>46<3f;>9=4?:%1a1?72>01e?o:51098k433n3:1(>l::075=>h4j=0:>65`146f>5<#;k?1=886;o1a0?7432e:99j50;&0f0<6=?30b>l;:068?j721=854o077f?6=,:h>6<;99:l0f1<6>21d=8:n:18'7g3=9<<27c=m4;34?>i6==31<7*=h9<>>6=4+3c79500>3g9i87?m;:m2112=83.8n84>57;8j6d328i07b?:4283>!5e=3;>:45a3c695a=7>5$2`6>43112d8n94>e:9l5026290/?o;5144:?k5e<3;m76a>55294?"4j<0:9;74n2`7>76<3f;>?k4?:%1a1?72>01e?o:52098k434m3:1(>l::075=>h4j=09>65`141g>5<#;k?1=886;o1a0?4432e:9>m50;&0f0<6=?30b>l;:368?j72>10;6)=m5;362<=i;k>1>854o0753?6=,:h>6<;99:l0f1<5>21d=889:18'7g3=9<<27c=m4;04?>i6=??1<7*=h93g9i8757;8j6d32;i07b?:3c83>!5e=3;>:45a3c696a=5$2`6>43112d8n94=e:9l50?4290/?o;514;:?k5e<3:07b?:9383>!5e=3;>545a3c695>=h9<3:6=4+3c7950?>3g9i87<4;n36=5<72-9i97?:989m7g2=;21d=86i:18'7g3=9<327c=m4;68?j720l0;6)=m5;36=<=i;k>1965`14:g>5<#;k?1=876;o1a0?0<3f;>4o4?:%1a1?72101e?o:57:9l50>f290/?o;514;:?k5e<3207b?:8883>!5e=3;>545a3c69=>=h9<236=4+3c7950?>3g9i87o4;n36<2<72-9i97?:989m7g2=j21d=869:18'7g3=9<327c=m4;a8?j720<0;6)=m5;36=<=i;k>1h65`14:7>5<#;k?1=876;o1a0?c<3f;>4>4?:%1a1?72101e?o:5f:9l50>5290/?o;514;:?k5e<3;;76a>59294?"4j<0:9474n2`7>47<3f;>;k4?:%1a1?72101e?o:51398k430m3:1(>l::07:=>h4j=0:?65`145g>5<#;k?1=876;o1a0?7332e:9:m50;&0f0<6=030b>l;:078?j72?k0;6)=m5;36=<=i;k>1=;54o074e?6=,:h>6<;69:l0f1<6?21d=896:18'7g3=9<327c=m4;3;?>i6=>21<7*=h9<=?6=4+3c7950?>3g9i87?l;:m2125=83.8n84>58;8j6d328n07b?:7383>!5e=3;>545a3c695`=5$2`6>43>12d8n94>f:9l5017290/?o;514;:?k5e<38;76a>57d94?"4j<0:9474n2`7>77<3f;>:h4?:%1a1?72101e?o:52398k431l3:1(>l::07:=>h4j=09?65`144`>5<#;k?1=876;o1a0?4332e:94650;&0f0<6=030b>l;:378?j721>0;6)=m5;36=<=i;k>1>;54o07:2?6=,:h>6<;69:l0f1<5?21d=87::18'7g3=9<327c=m4;0;?>i6=0>1<7*=h9<==6=4+3c7950?>3g9i8758;8j6d32;n07b?:6`83>!5e=3;>545a3c696`=5$2`6>43d12d8n94?;:m21f4=83.8n84>5b;8j6d32810c<;l1;29 6d228?h56`i6=j:1<7*54o07ab?6=,:h>6<;l9:l0f1<332e:9ok50;&0f0<6=j30b>l;:498k43el3:1(>l::07`=>h4j=0=76a>5c`94?"4j<0:9n74n2`7>2=5$2`6>43d12d8n947;:m21g?=83.8n84>5b;8j6d32010c<;m8;29 6d228?h56`i6=k=1<7*6<;l9:l0f1l;:e98k43e<3:1(>l::07`=>h4j=0n76a>5c194?"4j<0:9n74n2`7>c=7>5$2`6>43d12d8n94>0:9l50d7290/?o;514a:?k5e<3;:76a>5`d94?"4j<0:9n74n2`7>44<3f;>mh4?:%1a1?72k01e?o:51298k43fl3:1(>l::07`=>h4j=0:865`14c`>5<#;k?1=8m6;o1a0?7232e:9ll50;&0f0<6=j30b>l;:048?j72ih0;6)=m5;36g<=i;k>1=:54o07b=?6=,:h>6<;l9:l0f1<6021d=8o7:18'7g3=9i6=h=1<7*=h93g9i87?k;:m21d4=83.8n84>5b;8j6d328o07b?:a083>!5e=3;>o45a3c695c=5$2`6>43d12d8n94=0:9l50?a290/?o;514a:?k5e<38:76a>58g94?"4j<0:9n74n2`7>74<3f;>5i4?:%1a1?72k01e?o:52298k43>k3:1(>l::07`=>h4j=09865`14a;>5<#;k?1=8m6;o1a0?4232e:9n950;&0f0<6=j30b>l;:348?j72k?0;6)=m5;36g<=i;k>1>:54o07`1?6=,:h>6<;l9:l0f1<5021d=8m;:18'7g3=9i6=ki1<7*=h9<3i6=4+3c7950e>3g9i875b;8j6d32;o07b?:f283>!5e=3;>j45a3c694>=h93g9i87?4;n36b4<72-9i97?:f89m7g2=:21d=8h?:18'7g3=91865`14gf>5<#;k?1=8h6;o1a0?3<3f;>ii4?:%1a1?72n01e?o:56:9l50ce290/?o;514d:?k5e<3=07b?:e`83>!5e=3;>j45a3c69<>=h93g9i8774;n36a=<72-9i97?:f89m7g2=i21d=8k8:18'7g3=91o65`14g6>5<#;k?1=8h6;o1a0?b<3f;>i94?:%1a1?72n01e?o:5e:9l50c4290/?o;514d:?k5e<3l07b?:e383>!5e=3;>j45a3c6955=5$2`6>43a12d8n94>1:9l50ba290/?o;514d:?k5e<3;976a>5eg94?"4j<0:9k74n2`7>45<3f;>hi4?:%1a1?72n01e?o:51598k43ck3:1(>l::07e=>h4j=0:965`14fa>5<#;k?1=8h6;o1a0?7132e:9io50;&0f0<6=o30b>l;:058?j72l00;6)=m5;36b<=i;k>1=554o07g6<;i9:l0f1<6121d=8j8:18'7g3=9i6=m?1<7*=h93g9i87?j;:m21a7=83.8n84>5g;8j6d328l07b?:d183>!5e=3;>j45a3c6965=5$2`6>43a12d8n94=1:9l50eb290/?o;514d:?k5e<38976a>5bf94?"4j<0:9k74n2`7>75<3f;>on4?:%1a1?72n01e?o:52598k43a03:1(>l::07e=>h4j=09965`14d4>5<#;k?1=8h6;o1a0?4132e:9k850;&0f0<6=o30b>l;:358?j72n<0;6)=m5;36b<=i;k>1>554o07e0?6=,:h>6<;i9:l0f1<5121d=8kl:18'7g3=9i6=l;1<7*=h93g9i8763;8j6d32910c<8=2;29 6d228<956`i6>;;1<7*6<8=9:l0f1<432e::;30b>l;:598k406m3:1(>l::041=>h4j=0>76a>60f94?"4j<0::?74n2`7>3=5$2`6>40512d8n948;:m224g=83.8n84>63;8j6d32110c<8>9;29 6d228<956`i6>821<7*6<8=9:l0f1;30b>l;:b98k406=3:1(>l::041=>h4j=0o76a>60694?"4j<0::?74n2`7>`=5$2`6>40512d8n94i;:m2244=83.8n84>63;8j6d328:07b?91183>!5e=3;=>45a3c6954=5$2`6>40512d8n94>2:9l536b290/?o;5170:?k5e<3;876a>61f94?"4j<0::?74n2`7>42<3f;=l::041=>h4j=0::65`172b>5<#;k?1=;<6;o1a0?7032e::=750;&0f0<6>;30b>l;:0:8?j71810;6)=m5;356<=i;k>1=454o0433?6=,:h>6<8=9:l0f1<6i21d=;>::18'7g3=9?827c=m4;3a?>i6>9>1<7*=h9?::6=4+3c79534>3g9i87?i;:m2256=83.8n84>63;8j6d32;:07b?:fg83>!5e=3;=>45a3c6964=5$2`6>40512d8n94=2:9l50`c290/?o;5170:?k5e<38876a>5ga94?"4j<0::?74n2`7>72<3f;=>54?:%1a1?71:01e?o:52498k405?3:1(>l::041=>h4j=09:65`1705>5<#;k?1=;<6;o1a0?4032e::?;50;&0f0<6>;30b>l;:3:8?j71:=0;6)=m5;356<=i;k>1>454o042g?6=,:h>6<8=9:l0f1<5i21d=;?>:18'7g3=9?827c=m4;0a?>i6>9<1<7*=h9??86=4+3c79533>3g9i87>4;n3517<72-9i97?9589m7g2=921d=;;>:18'7g3=9??27c=m4;08?j71=90;6)=m5;351<=i;k>1?65`176e>5<#;k?1=;;6;o1a0?2<3f;=8h4?:%1a1?71=01e?o:55:9l532c290/?o;5177:?k5e<3<07b?94c83>!5e=3;=945a3c693>=h9?>j6=4+3c79533>3g9i8764;n350<<72-9i97?9589m7g2=121d=;:7:18'7g3=9??27c=m4;c8?j71<>0;6)=m5;351<=i;k>1n65`1765>5<#;k?1=;;6;o1a0?e<3f;=884?:%1a1?71=01e?o:5d:9l5323290/?o;5177:?k5e<3o07b?94283>!5e=3;=945a3c69b>=h9?>96=4+3c79533>3g9i87??;:m2216=83.8n84>64;8j6d328;07b?93g83>!5e=3;=945a3c6957=5$2`6>40212d8n94>3:9l535c290/?o;5177:?k5e<3;?76a>62a94?"4j<0::874n2`7>43<3f;=?o4?:%1a1?71=01e?o:51798k404i3:1(>l::046=>h4j=0:;65`171:>5<#;k?1=;;6;o1a0?7?32e::>650;&0f0<6><30b>l;:0;8?j71;>0;6)=m5;351<=i;k>1=l54o0401?6=,:h>6<8:9:l0f1<6j21d=;=;:18'7g3=9??27c=m4;3`?>i6>:91<7*56`=h9?9;6=4+3c79533>3g9i8764;8j6d32;;07b?92d83>!5e=3;=945a3c6967=5$2`6>40212d8n94=3:9l534d290/?o;5177:?k5e<38?76a>64:94?"4j<0::874n2`7>73<3f;=9:4?:%1a1?71=01e?o:52798k402>3:1(>l::046=>h4j=09;65`1776>5<#;k?1=;;6;o1a0?4?32e::8:50;&0f0<6><30b>l;:3;8?j711>l54o0475?6=,:h>6<8:9:l0f1<5j21d=;=9:18'7g3=9??27c=m4;0`?>i6>;h1<7*l;:198k`7e290/?o;5e308j6d32810ch?n:18'7g3=m;80b>l;:398k`7>290/?o;5e308j6d32:10ch?7:18'7g3=m;80b>l;:598k`70290/?o;5e308j6d32<10ch?9:18'7g3=m;80b>l;:798k`73290/?o;5e308j6d32>10ch?<:18'7g3=m;80b>l;:998k`75290/?o;5e308j6d32010ch?>:18'7g3=m;80b>l;:`98k`77290/?o;5e308j6d32k10ch>i:18'7g3=m;80b>l;:b98k`6b290/?o;5e308j6d32m10ch>k:18'7g3=m;80b>l;:d98k`6d290/?o;5e308j6d32o10ch>m:18'7g3=m;80b>l;:028?jc713:1(>l::d01?k5e<3;:76aj0983>!5e=3o9>6`=hm9=1<7*1=>54od25>5<#;k?1i?<4n2`7>42<3fo;97>5$2`6>`453g9i87?:;:mf41<72-9i97k=2:l0f1<6>21di==50;&0f0ib890;6)=m5;g16>h4j=0:m65`dgg94?"4j<0n>?5a3c695g=6h<=;o1a0?7c32eojo4?:%1a1?c5:2d8n94>e:9l`cg=83.8n84j239m7g2=9o10cih6:18'7g3=m;80b>l;:328?jba03:1(>l::d01?k5e<38:76akf683>!5e=3o9>6`=hlo<1<7*1>>54oed6>5<#;k?1i?<4n2`7>72<3fo9=7>5$2`6>`453g9i87<:;:mf65<72-9i97k=2:l0f1<5>21did;29 6d22l897c=m4;0:?>ib9<0;6)=m5;g16>h4j=09m65`e1c94?"4j<0n>?5a3c696g=6h<=;o1a0?4c32eoj>4?:%1a1?c5:2d8n94=e:9jg7g=83.8n84l339m7g2=821bo?750;&0f0=83.8n84l339m7g2=:21bo?950;&0f021bo?<50;&0f050;&0f0>50;&0f0l;:038?le5l3:1(>l::b11?k5e<3;976gl2b83>!5e=3i8>6`=nk;h1<7*1=954ib05>5<#;k?1o><4n2`7>43<3`i:n7>5$2`6>f553g9i87?9;:k`5d<72-9i97m<2:l0f1<6?21dnhl50;&0f0<@:n;7b<=6;29?xd4ml0;6<4?:1y'7fc=;m;0D>j7;I1g4>i49o0;66sm3dd94?72i3:1j?;[d0>g}?j38:6:j57882`?7d2>=1;n4>e;3a>07=u-9:h7?9689m=5<73g396<5ab683?kd?281e=?950:&26=<49;1e=?l50:l27f<63g8::7?4n37f>4=i;8i1<6`3183>>o4jl0;66g>o6;80;66g>o6;=0;66g>2g83>>i6:h0;66g>o4k80;66g>3983>>o4jm0;66g>3283>>o6:l0;66g>2783>>o6;<0;66g>2e83>>i6:00;66g>o4k:0;66gl2;29 6d22j;0b>l;:198mf6=83.8n84l1:l0f1<632cij7>5$2`6>f7oel3:1(>l::b38j6d32=10eom50;&0f06n?4n2`7>3=h4j=0<76glb;29 6d22j;0b>l;:998mfg=83.8n84l1:l0f1<>32ch57>5$2`6>f7od?3:1(>l::b38j6d32j10en850;&0f06n?4n2`7>`=1<7*h4j=0m76gl3;29 6d22j;0b>l;:028?ld>290/?o;5c09m7g2=9810ch650;&0f06h94n2`7>4=h4j=0976aj4;29 6d22l=0b>l;:298k`5=83.8n84j7:l0f1<332en>7>5$2`6>`1ib83:1(>l::d58j6d32>10ck?50;&0f06h94n2`7><=h4j=0j76aje;29 6d22l=0b>l;:c98k`b=83.8n84j7:l0f15$2`6>`1ibi3:1(>l::d58j6d32o10ch750;&0f00:9l`c<72-9i97k8;o1a0?7632c8;n4?:%1a1?50j2d8n94?;:k03d<72-9i97=8b:l0f1<632c8;44?:%1a1?50j2d8n94=;:k03=<72-9i97=8b:l0f1<432c8;:4?:%1a1?50j2d8n94;;:k033<72-9i97=8b:l0f1<232c8;84?:%1a1?50j2d8n949;:k031<72-9i97=8b:l0f1<032c8;?4?:%1a1?50j2d8n947;:k034<72-9i97=8b:l0f1<>32c8;=4?:%1a1?50j2d8n94n;:k02c<72-9i97=8b:l0f10:9j73?=83.8n84<7c9m7g2=9810e>88:18'7g3=;>h0b>l;:008?l51>3:1(>l::25a?k5e<3;876g<6483>!5e=39=n;?>1<7*1=854i240>5<#;k?1?:l4n2`7>40<3`9=>7>5$2`6>61e3g9i87?8;:k024<72-9i97=8b:l0f1<6021b?;>50;&0f0<4?k1e?o:51898m63a290/?o;536`8j6d328k07d=:e;29 6d22:=i7c=m4;3a?>o4=j0;6)=m5;14f>h4j=0:o65f34`94?"4j<08;o5a3c695a=6>9m;o1a0?7a32c8954?:%1a1?50j2d8n94=0:9j701=83.8n84<7c9m7g2=:810e>;9:18'7g3=;>h0b>l;:308?l52=3:1(>l::25a?k5e<38876g<5583>!5e=39=n;<91<7*1>854i2:1>5<#;k?1?:l4n2`7>70<3`93=7>5$2`6>61e3g9i87<8;:k0<5<72-9i97=8b:l0f1<5021b?:h50;&0f0<4?k1e?o:52898m61b290/?o;536`8j6d32;k07d=8d;29 6d22:=i7c=m4;0a?>o4?:0;6)=m5;14f>h4j=09o65f37:94?"4j<08;o5a3c696a=6>9m;o1a0?4a32c:=k4?:%1a1?76m2d8n94?;:k25a<72-9i97?>e:l0f1<632c:=n4?:%1a1?76m2d8n94=;:k25g<72-9i97?>e:l0f1<432c:=l4?:%1a1?76m2d8n94;;:k25<<72-9i97?>e:l0f1<232c:=54?:%1a1?76m2d8n949;:k252<72-9i97?>e:l0f1<032c:=84?:%1a1?76m2d8n947;:k251<72-9i97?>e:l0f1<>32c:=>4?:%1a1?76m2d8n94n;:k257<72-9i97?>e:l0f1e:l0f1e:l0f10:9j55e=83.8n84>1d9m7g2=9810e<>n:18'7g3=98o0b>l;:008?l7713:1(>l::03f?k5e<3;876g>0983>!5e=3;:i6`=n99=1<7*1=854i025>5<#;k?1=40<3`;;97>5$2`6>47b3g9i87?8;:k241<72-9i97?>e:l0f1<6021b===50;&0f0<69l1e?o:51898m465290/?o;510g8j6d328k07d??1;29 6d228;n7c=m4;3a?>oan3:1(>l::03f?k5e<3;h76gie;29 6d228;n7c=m4;3g?>oal3:1(>l::03f?k5e<3;n76gic;29 6d228;n7c=m4;3e?>oaj3:1(>l::03f?k5e<38;76gia;29 6d228;n7c=m4;02?>oa13:1(>l::03f?k5e<38976gi8;29 6d228;n7c=m4;00?>oa?3:1(>l::03f?k5e<38?76gi6;29 6d228;n7c=m4;06?>o6:<0;6)=m5;32a>h4j=09:65f13694?"4j<0:=h5a3c6962=632c:><4?:%1a1?76m2d8n94=a:9j576=83.8n84>1d9m7g2=:k10el;:3a8?l77j3:1(>l::03f?k5e<38o76g>0183>!5e=3;:i6`=nn<0;6)=m5;32a>h4j=09j65`35c94?"4j<08845a3c694>=h;=21<7*1=65`35594?"4j<08845a3c696>=h;=<1<7*1?65`35794?"4j<08845a3c690>=h;=>1<7*1965`35194?"4j<08845a3c692>=h;=81<7*1;65`35294?"4j<08845a3c69<>=h;:l1<7*1565`32g94?"4j<08845a3c69e>=h;:n1<7*1n65`32a94?"4j<08845a3c69g>=h;:h1<7*1h65`32c94?"4j<08845a3c69a>=h;:31<7*1j65`32:94?"4j<08845a3c6955=6>:6;o1a0?7532e8?94?:%1a1?5312d8n94>3:9l765=83.8n84<489m7g2=9=10c>==:18'7g3=;=30b>l;:078?j5493:1(>l::26:?k5e<3;=76a<3183>!5e=39?56`=h;;l1<7*1=554o20f>5<#;k?1?974n2`7>4?<3f99h7>5$2`6>62>3g9i87?n;:m06f<72-9i97=;9:l0f1<6j21d??o50;&0f0<4<01e?o:51b98k64>290/?o;535;8j6d328n07b==8;29 6d22:>27c=m4;3f?>i4:>0;6)=m5;17=>h4j=0:j65`33494?"4j<08845a3c6965=6=4+3c7971?6>:6;o1a0?4532e8>>4?:%1a1?5312d8n94=3:9l774=83.8n84<489m7g2=:=10c><>:18'7g3=;=30b>l;:378?j5283:1(>l::26:?k5e<38=76a<4g83>!5e=39?56`=h;=o1<7*1>554o26g>5<#;k?1?974n2`7>7?<3f9?o7>5$2`6>62>3g9i8727c=m4;0f?>i4:90;6)=m5;17=>h4j=09j65f30`94?"4j<08=l5a3c694>=n;831<7*1=65f30:94?"4j<08=l5a3c696>=n;8=1<7*1?65f30494?"4j<08=l5a3c690>=n;8?1<7*1965f30694?"4j<08=l5a3c692>=n;891<7*1;65f15:94?"4j<0:8:5a3c694>=n9=<1<7*1=65f15794?"4j<0:8:5a3c696>=n9=>1<7*1?65f15194?"4j<0:8:5a3c690>=n9=81<7*1965f15394?"4j<0:8:5a3c692>=n9=:1<7*1;65f14394?"4j<0:8:5a3c69<>=n9<:1<7*1565f15d94?"4j<0:8:5a3c69e>=n9=o1<7*1n65f15f94?"4j<0:8:5a3c69g>=n9=i1<7*1h65f15`94?"4j<0:8:5a3c69a>=n9=k1<7*1j65f15;94?"4j<0:8:5a3c6955=6>ol;o1a0?6<3f9jn7>5$2`6>6gd3g9i87?4;n1be?6=,:h>6>ol;o1a0?4<3f9j57>5$2`6>6gd3g9i87=4;n1b6>ol;o1a0?2<3f9j;7>5$2`6>6gd3g9i87;4;n1b2?6=,:h>6>ol;o1a0?0<3f9j97>5$2`6>6gd3g9i8794;n1b7?6=,:h>6>ol;o1a0?><3f9j>7>5$2`6>6gd3g9i8774;n1b5?6=,:h>6>ol;o1a0?g<3f9j<7>5$2`6>6gd3g9i87l4;n1:b?6=,:h>6>ol;o1a0?e<3f92i7>5$2`6>6gd3g9i87j4;n1:`?6=,:h>6>ol;o1a0?c<3f92o7>5$2`6>6gd3g9i87h4;n1:f?6=,:h>6>ol;o1a0?7732e85l4?:%1a1?5fk2d8n94>1:9l7<>=83.8n8478:18'7g3=;hi0b>l;:018?j5>>3:1(>l::2c`?k5e<3;?76a<9483>!5e=39jo6`=h;0>1<7*1=;54o2;0>5<#;k?1?lm4n2`7>41<3f92>7>5$2`6>6gd3g9i87?7;:m0=4<72-9i97=nc:l0f1<6121d?4>50;&0f0<4ij1e?o:51`98k6>a290/?o;53`a8j6d328h07b=7d;29 6d22:kh7c=m4;3`?>i40j0;6)=m5;1bg>h4j=0:h65`39`94?"4j<08mn5a3c695`=6>ol;o1a0?4732e8454?:%1a1?5fk2d8n94=1:9l7=1=83.8n8469:18'7g3=;hi0b>l;:318?j5?=3:1(>l::2c`?k5e<38?76a<8583>!5e=39jo6`=h;k91<7*1>;54o2`1>5<#;k?1?lm4n2`7>71<3f9i=7>5$2`6>6gd3g9i87<7;:m0f5<72-9i97=nc:l0f1<5121d?lh50;&0f0<4ij1e?o:52`98k6gb290/?o;53`a8j6d32;h07b=n4;29 6d22:kh7c=m4;0`?>i4100;6)=m5;1bg>h4j=09h65`39g94?"4j<08mn5a3c696`=5<#;k?15i5a3c694>=n1j0;6)=m5;;g?k5e<3;07d7m:18'7g3=1m1e?o:52:9j=d<72-9i977k;o1a0?5<3`326=4+3c79=a=i;k>1865f9983>!5e=33o7c=m4;78?l?0290/?o;59e9m7g2=>21b5;4?:%1a1??c3g9i8794;hc4>5<#;k?15i5a3c69<>=ni?0;6)=m5;;g?k5e<3307do::18'7g3=1m1e?o:5a:9je1<72-9i977k;o1a0?d<3`k86=4+3c79=a=i;k>1o65fa383>!5e=33o7c=m4;f8?lg6290/?o;59e9m7g2=m21bm=4?:%1a1??c3g9i87h4;h;e>5<#;k?15i5a3c6955=h4j=0:=65`24494?"4j<09985a3c694>=h:<>1<7*1=65`24194?"4j<09985a3c696>=h:<81<7*1?65`24394?"4j<09985a3c690>=h:<:1<7*1965`25g94?"4j<09985a3c692>=h:=n1<7*1;65`25a94?"4j<09985a3c69<>=h:=h1<7*1565`25c94?"4j<09985a3c69e>=h:=31<7*1n65`25:94?"4j<09985a3c69g>=h:==1<7*1h65`25494?"4j<09985a3c69a>=h:=?1<7*1j65`25194?"4j<09985a3c6955=96=4+3c796036?;:;o1a0?7532e98=4?:%1a1?42=2d8n94>3:9l66`=83.8n84=549m7g2=9=10c?=j:18'7g3=:l;:078?j44l3:1(>l::376?k5e<3;=76a=3b83>!5e=38>96`=h::h1<7*1=554o31b>5<#;k?1>8;4n2`7>4?<3f8>h7>5$2`6>7323g9i87?n;:m11f<72-9i97<:5:l0f1<6j21d>8l50;&0f0<5=<1e?o:51b98k73f290/?o;52478j6d328n07b<:9;29 6d22;?>7c=m4;3f?>i5=10;6)=m5;061>h4j=0:j65`24594?"4j<09985a3c6965=m6=4+3c796036?;:;o1a0?4532e9?44?:%1a1?42=2d8n94=3:9j`0<72-9i97j;;o1a0?6<@:i276gk3;29 6d22m>0b>l;:09K7f?<3`n96=4+3c79`1=i;k>1>6F!5e=3n?7c=m4;68L6e>32chj7>5$2`6>a22B8o454ibf94?"4j<0o86`ocl3:1(>l::e68j6d3201C?n74;hf`>5<#;k?1h95a3c69e>N4k010eil50;&0f0=nlh0;6)=m5;f7?k5e<3i0D>m6;:kg=?6=,:h>6i:4n2`7>a=O;j307dj7:18'7g3=l=1e?o:5e:J0g<=h4j=0m7E=l9:9j`3<72-9i97j;;o1a0?773A9h565fcb83>!5e=3n?7c=m4;32?M5d121vn>h?:1821d<729q/?nk52058L6b?3A9o<6Ti3;`xi1=h4>b;72>x"49m0::;74n8294>h>:3;0bo950:lah59?0:7c<:e;38j67d291e?o951:&0g1<4km1b=>>50;9j7gc=831b?oh50;9j567=831b?n>50;9j562=831b=?h50;9l57g=831b?n<50;9j7f7=831b=>650;9j7gb=831b=>=50;9j57c=831b=?850;9j563=831b=?j50;9l57?=831b?om50;9j7f5=831bo?4?:%1a1?e63g9i87>4;ha3>5<#;k?1o<5a3c695>=njo0;6)=m5;a2?k5e<3807dlj:18'7g3=k81e?o:53:9jfa<72-9i97m>;o1a0?2<3`hh6=4+3c79g4=i;k>1965fbc83>!5e=3i:7c=m4;48?ldf290/?o;5c09m7g2=?21boo4?:%1a1?e63g9i8764;hab>5<#;k?1o<5a3c69=>=nk00;6)=m5;a2?k5e<3k07dm7:18'7g3=k81e?o:5b:9jg2<72-9i97m>;o1a0?e<3`i=6=4+3c79g4=i;k>1h65fc483>!5e=3i:7c=m4;g8?le3290/?o;5c09m7g2=n21bo>4?:%1a1?e63g9i87??;:ka=?6=,:h>6n?4n2`7>47<3fo36=4+3c79a2=i;k>1<65`e783>!5e=3o<7c=m4;38?jc2290/?o;5e69m7g2=:21di94?:%1a1?c03g9i87=4;ng0>5<#;k?1i:5a3c690>=hm;0;6)=m5;g4?k5e<3?07bk>:18'7g3=m>1e?o:56:9la5<72-9i97k8;o1a0?1<3fl:6=4+3c79a2=i;k>1465`f183>!5e=3o<7c=m4;;8?jca290/?o;5e69m7g2=i21dih4?:%1a1?c03g9i87l4;ngg>5<#;k?1i:5a3c69g>=hmj0;6)=m5;g4?k5e<3n07bkm:18'7g3=m>1e?o:5e:9lad<72-9i97k8;o1a0?`<3fo26=4+3c79a2=i;k>1==54oed94?"4j<0n;6`=n;>i1<7*1<65f36c94?"4j<08;o5a3c695>=n;>31<7*1>65f36:94?"4j<08;o5a3c697>=n;>=1<7*1865f36494?"4j<08;o5a3c691>=n;>?1<7*1:65f36694?"4j<08;o5a3c693>=n;>81<7*1465f36394?"4j<08;o5a3c69=>=n;>:1<7*1m65f37d94?"4j<08;o5a3c69f>=n;?o1<7*1o65f37f94?"4j<08;o5a3c69`>=n;?i1<7*1i65f37`94?"4j<08;o5a3c69b>=n;?k1<7*1==54i24:>5<#;k?1?:l4n2`7>47<3`9=;7>5$2`6>61e3g9i87?=;:k023<72-9i97=8b:l0f1<6;21b?;;50;&0f0<4?k1e?o:51598m603290/?o;536`8j6d328?07d=93;29 6d22:=i7c=m4;35?>o4>;0;6)=m5;14f>h4j=0:;65f37394?"4j<08;o5a3c695==6>9m;o1a0?7f32c89h4?:%1a1?50j2d8n94>b:9j70e=83.8n84<7c9m7g2=9j10e>;m:18'7g3=;>h0b>l;:0f8?l52i3:1(>l::25a?k5e<3;n76g<5883>!5e=39=n;<21<7*1>=54i274>5<#;k?1?:l4n2`7>77<3`9>:7>5$2`6>61e3g9i87<=;:k010<72-9i97=8b:l0f1<5;21b?8:50;&0f0<4?k1e?o:52598m634290/?o;536`8j6d32;?07d=72;29 6d22:=i7c=m4;05?>o4080;6)=m5;14f>h4j=09;65f39294?"4j<08;o5a3c696==6>9m;o1a0?4f32c8;i4?:%1a1?50j2d8n94=b:9j725=83.8n84<7c9m7g2=:j10e>87:18'7g3=;>h0b>l;:3f8?l52l3:1(>l::25a?k5e<38n76g<5383>!5e=39=n98l1<7*1<65f10f94?"4j<0:=h5a3c695>=n98i1<7*1>65f10`94?"4j<0:=h5a3c697>=n98k1<7*1865f10;94?"4j<0:=h5a3c691>=n9821<7*1:65f10594?"4j<0:=h5a3c693>=n98?1<7*1465f10694?"4j<0:=h5a3c69=>=n9891<7*1m65f10094?"4j<0:=h5a3c69f>=n98;1<7*1o65f10294?"4j<0:=h5a3c69`>=n99l1<7*1i65f11g94?"4j<0:=h5a3c69b>=n99n1<7*1==54i02`>5<#;k?1=47<3`;;m7>5$2`6>47b3g9i87?=;:k24<<72-9i97?>e:l0f1<6;21b==650;&0f0<69l1e?o:51598m460290/?o;510g8j6d328?07d??6;29 6d228;n7c=m4;35?>o68<0;6)=m5;32a>h4j=0:;65f11694?"4j<0:=h5a3c695==6b:9jbc<72-9i97?>e:l0f1<6k21bjh4?:%1a1?76m2d8n94>d:9jba<72-9i97?>e:l0f1<6m21bjn4?:%1a1?76m2d8n94>f:9jbg<72-9i97?>e:l0f1<5821bjl4?:%1a1?76m2d8n94=1:9jb<<72-9i97?>e:l0f1<5:21bj54?:%1a1?76m2d8n94=3:9jb2<72-9i97?>e:l0f1<5<21bj;4?:%1a1?76m2d8n94=5:9j573=83.8n84>1d9m7g2=:?10e<<;:18'7g3=98o0b>l;:358?l75;3:1(>l::03f?k5e<38376g>2383>!5e=3;:i6`=n9;;1<7*1>l54i003>5<#;k?1=7d<3`;::7>5$2`6>47b3g9i87e:l0f1<5l21b==>50;&0f0<69l1e?o:52d98mc3=83.8n84>1d9m7g2=:o10c>:n:18'7g3=;=30b>l;:198k62?290/?o;535;8j6d32810c>:8:18'7g3=;=30b>l;:398k621290/?o;535;8j6d32:10c>:::18'7g3=;=30b>l;:598k623290/?o;535;8j6d32<10c>:<:18'7g3=;=30b>l;:798k625290/?o;535;8j6d32>10c>:?:18'7g3=;=30b>l;:998k65a290/?o;535;8j6d32010c>=j:18'7g3=;=30b>l;:`98k65c290/?o;535;8j6d32k10c>=l:18'7g3=;=30b>l;:b98k65e290/?o;535;8j6d32m10c>=n:18'7g3=;=30b>l;:d98k65>290/?o;535;8j6d32o10c>=7:18'7g3=;=30b>l;:028?j54?3:1(>l::26:?k5e<3;:76a<3483>!5e=39?56`=h;:>1<7*1=>54o210>5<#;k?1?974n2`7>42<3f98>7>5$2`6>62>3g9i87?:;:m074<72-9i97=;9:l0f1<6>21d?>>50;&0f0<4<01e?o:51698k64a290/?o;535;8j6d328207b==e;29 6d22:>27c=m4;3:?>i4:m0;6)=m5;17=>h4j=0:m65`33a94?"4j<08845a3c695g=6>:6;o1a0?7c32e8>54?:%1a1?5312d8n94>e:9l771=83.8n84<489m7g2=9o10c><9:18'7g3=;=30b>l;:328?j55=3:1(>l::26:?k5e<38:76a<2583>!5e=39?56`=h;;91<7*1>>54o201>5<#;k?1?974n2`7>72<3f99=7>5$2`6>62>3g9i87<:;:m015<72-9i97=;9:l0f1<5>21d?9h50;&0f0<4<01e?o:52698k62b290/?o;535;8j6d32;207b=;d;29 6d22:>27c=m4;0:?>i4h4j=09m65`35`94?"4j<08845a3c696g=:6=4+3c7971?6>:6;o1a0?4c32e8>o4?:%1a1?5312d8n94=e:9l776=83.8n84<489m7g2=:o10e>?m:18'7g3=;8k0b>l;:198m67>290/?o;530c8j6d32810e>?7:18'7g3=;8k0b>l;:398m670290/?o;530c8j6d32:10e>?9:18'7g3=;8k0b>l;:598m672290/?o;530c8j6d32<10e>?;:18'7g3=;8k0b>l;:798m674290/?o;530c8j6d32>10e<:7:18'7g3=9==0b>l;:198m421290/?o;51558j6d32810e<:::18'7g3=9==0b>l;:398m423290/?o;51558j6d32:10e<:<:18'7g3=9==0b>l;:598m425290/?o;51558j6d32<10e<:>:18'7g3=9==0b>l;:798m427290/?o;51558j6d32>10e<;>:18'7g3=9==0b>l;:998m437290/?o;51558j6d32010e<:i:18'7g3=9==0b>l;:`98m42b290/?o;51558j6d32k10e<:k:18'7g3=9==0b>l;:b98m42d290/?o;51558j6d32m10e<:m:18'7g3=9==0b>l;:d98m42f290/?o;51558j6d32o10e<:6:18'7g3=9==0b>l;:028?l74n3:1(>l::064?k5e<3;:76a!5e=39jo6`i4ik0;6)=m5;1bg>h4j=0:76a!5e=39jo6`i4i00;6)=m5;1bg>h4j=0876a!5e=39jo6`i4i>0;6)=m5;1bg>h4j=0>76a!5e=39jo6`i4i<0;6)=m5;1bg>h4j=0<76a!5e=39jo6`i4i;0;6)=m5;1bg>h4j=0276a!5e=39jo6`i4i90;6)=m5;1bg>h4j=0i76a<9g83>!5e=39jo6`i41l0;6)=m5;1bg>h4j=0o76a<9e83>!5e=39jo6`i41j0;6)=m5;1bg>h4j=0m76a<9c83>!5e=39jo6`=h;0k1<7*1=<54o2;;>5<#;k?1?lm4n2`7>44<3f92;7>5$2`6>6gd3g9i87?<;:m0=3<72-9i97=nc:l0f1<6<21d?4;50;&0f0<4ij1e?o:51498k6?3290/?o;53`a8j6d328<07b=63;29 6d22:kh7c=m4;34?>i41;0;6)=m5;1bg>h4j=0:465`38394?"4j<08mn5a3c695<=6>ol;o1a0?7e32e84i4?:%1a1?5fk2d8n94>c:9l7=e=83.8n846m:18'7g3=;hi0b>l;:0g8?j5?i3:1(>l::2c`?k5e<3;m76a<8883>!5e=39jo6`=h;121<7*1><54o2:4>5<#;k?1?lm4n2`7>74<3f93:7>5$2`6>6gd3g9i87<<;:m0<0<72-9i97=nc:l0f1<5<21d?5:50;&0f0<4ij1e?o:52498k6d4290/?o;53`a8j6d32;<07b=m2;29 6d22:kh7c=m4;04?>i4j80;6)=m5;1bg>h4j=09465`3c294?"4j<08mn5a3c696<=6>ol;o1a0?4e32e8m94?:%1a1?5fk2d8n94=c:9l76j:18'7g3=;hi0b>l;:3g8?j5?;3:1(>l::2c`?k5e<38m76g6e;29 6d220n0b>l;:198m5$2`6>o>13:1(>l::8f8j6d32=10e4650;&0f0<>l2d8n94:;:k:3?6=,:h>64j4n2`7>3=h4j=0<76gn7;29 6d220n0b>l;:998md0=83.8n846d:l0f1<>32cj97>5$2`6>of;3:1(>l::8f8j6d32j10el<50;&0f0<>l2d8n94k;:kb5?6=,:h>64j4n2`7>`=h4j=0m76g6f;29 6d220n0b>l;:028?l?2290/?o;59e9m7g2=9810c?;9:18'7g3=:l;:198k733290/?o;52478j6d32810c?;<:18'7g3=:l;:398k735290/?o;52478j6d32:10c?;>:18'7g3=:l;:598k737290/?o;52478j6d32<10c?:j:18'7g3=:l;:798k72c290/?o;52478j6d32>10c?:l:18'7g3=:l;:998k72e290/?o;52478j6d32010c?:n:18'7g3=:l;:`98k72>290/?o;52478j6d32k10c?:7:18'7g3=:l;:b98k720290/?o;52478j6d32m10c?:9:18'7g3=:l;:d98k722290/?o;52478j6d32o10c?:<:18'7g3=:l;:028?j43:3:1(>l::376?k5e<3;:76a=4083>!5e=38>96`=h:=:1<7*1=>54o31e>5<#;k?1>8;4n2`7>42<3f88i7>5$2`6>7323g9i87?:;:m17a<72-9i97<:5:l0f1<6>21d>>m50;&0f0<5=<1e?o:51698k75e290/?o;52478j6d328207b<7c=m4;3:?>i5=m0;6)=m5;061>h4j=0:m65`24a94?"4j<09985a3c695g=6?;:;o1a0?7c32e9944?:%1a1?42=2d8n94>e:9l60>=83.8n84=549m7g2=9o10c?;8:18'7g3=:l;:328?j43n3:1(>l::376?k5e<38:76a=4583>!5e=38>96`=h::31<7*1>>54ie794?"4j<0o86`4?:%1a1?b33g9i87?4H2a:?>oc:3:1(>l::e68j6d32;1C?n74;hf2>5<#;k?1h95a3c697>N4k010ei>50;&0f0=nko0;6)=m5;f7?k5e<3?0D>m6;:k`a?6=,:h>6i:4n2`7>3=O;j307dmk:18'7g3=l=1e?o:57:J0g<=h4j=037E=l9:9j`a<72-9i97j;;o1a0??<@:i276gkc;29 6d22m>0b>l;:`9K7f?<3`ni6=4+3c79`1=i;k>1n6F!5e=3n?7c=m4;f8L6e>32co47>5$2`6>a2N4k010enm50;&0f01:J0g<=55;294~"4kl09:=5G3e:8L6b73-9:h7?9689j561=831b><>50;9j7f3=831d?<>50;9l667=831vn>h=:180>5<7s-9hi7=k7:J0`==O;m:0(>?k:045=>o6;>0;66g=3183>>i4990;66sm3g194?5=83:p(>mj:33g?M5c02B8h=5+23g96>oa<3:17d?6<729q/?nk520f8L6b?3A9o<6*=2d81?l`32900e<=k:188k6d?2900qo=i5;297?6=8r.8oh4=1e9K7a><@:n;7)<=e;08mc2=831b=>j50;9l7g>=831vn>h9:186>5<7s-9hi7<90:J0`==O;m:0(>?k:045=>o6;>0;66g=1183>>o4k<0;66a<1183>>i5;80;66sm3g594?3=83:p(>mj:343?M5c02B8h=5+30f9530>3`;8;7>5;h024?6=3`9h97>5;n124?6=3f88=7>5;|`0b=<72:0;6=u+3bg97a1<@:n37E=k0:&05a<6>?30e<=8:188m7572900c>??:188yg5a13:197>50z&0g`<5>91C?i64H2f3?!56l3;=:45f12594?=n:8:1<75f3b794?=h;8:1<75`22394?=zj:lj6=4;:183!5dm38>j6Ft$2af>6b03A9o46F0;29?xd4nj0;684?:1y'7fc=:?:0D>j7;I1g4>"49m0::;74i014>5<5<5<514c94?6|,:in6??8;I1g<>N4l91Qj>4m{9`964<0l3=26;o12g?75<5<5<5<5<5<5<5<5<5<h4j=0;76gl0;29 6d22j;0b>l;:098mg`=83.8n84l1:l0f1<532cii7>5$2`6>f754icf94?"4j<0h=6`oek3:1(>l::b38j6d32<10eol50;&0f06n?4n2`7>2=h4j=0376gla;29 6d22j;0b>l;:898mf?=83.8n84l1:l0f15$2`6>f7od>3:1(>l::b38j6d32m10en;50;&0f06n?4n2`7>c=h4j=0:<65fb883>!5e=3i:7c=m4;32?>ib03:1(>l::d58j6d32910ch850;&0f0;:mf1?6=,:h>6h94n2`7>7=1<7*h4j=0876aj3;29 6d22l=0b>l;:598k`4=83.8n84j7:l0f1<232en=7>5$2`6>`1ia93:1(>l::d58j6d32110ck>50;&0f06h94n2`7>d=h4j=0i76ajd;29 6d22l=0b>l;:b98k`e=83.8n84j7:l0f15$2`6>`1ib13:1(>l::d58j6d328:07bji:18'7g3=m>1e?o:51098m61d290/?o;536`8j6d32910e>9n:18'7g3=;>h0b>l;:098m61>290/?o;536`8j6d32;10e>97:18'7g3=;>h0b>l;:298m610290/?o;536`8j6d32=10e>99:18'7g3=;>h0b>l;:498m612290/?o;536`8j6d32?10e>9;:18'7g3=;>h0b>l;:698m615290/?o;536`8j6d32110e>9>:18'7g3=;>h0b>l;:898m617290/?o;536`8j6d32h10e>8i:18'7g3=;>h0b>l;:c98m60b290/?o;536`8j6d32j10e>8k:18'7g3=;>h0b>l;:e98m60d290/?o;536`8j6d32l10e>8m:18'7g3=;>h0b>l;:g98m60f290/?o;536`8j6d328:07d=99;29 6d22:=i7c=m4;32?>o4>>0;6)=m5;14f>h4j=0:>65f37494?"4j<08;o5a3c6956=6=4+3c7972d6>9m;o1a0?7232c8:>4?:%1a1?50j2d8n94>6:9j734=83.8n84<7c9m7g2=9>10e>8>:18'7g3=;>h0b>l;:0:8?l5183:1(>l::25a?k5e<3;276g<5g83>!5e=39=n;1=o54i27`>5<#;k?1?:l4n2`7>4e<3`9>n7>5$2`6>61e3g9i87?k;:k01d<72-9i97=8b:l0f1<6m21b?8750;&0f0<4?k1e?o:51g98m63?290/?o;536`8j6d32;:07d=:7;29 6d22:=i7c=m4;02?>o4=?0;6)=m5;14f>h4j=09>65f34794?"4j<08;o5a3c6966=6>9m;o1a0?4232c84?4?:%1a1?50j2d8n94=6:9j7=7=83.8n84<7c9m7g2=:>10e>6?:18'7g3=;>h0b>l;:3:8?l50n3:1(>l::25a?k5e<38276g<7d83>!5e=39=n;>n1<7*1>o54i250>5<#;k?1?:l4n2`7>7e<3`9=47>5$2`6>61e3g9i87l;:098m47d290/?o;510g8j6d32;10el;:298m47f290/?o;510g8j6d32=10el;:498m47?290/?o;510g8j6d32?10el;:698m472290/?o;510g8j6d32110el;:898m474290/?o;510g8j6d32h10el;:c98m476290/?o;510g8j6d32j10el;:e98m46a290/?o;510g8j6d32l10e<>j:18'7g3=98o0b>l;:g98m46c290/?o;510g8j6d328:07d??c;29 6d228;n7c=m4;32?>o68h0;6)=m5;32a>h4j=0:>65f11;94?"4j<0:=h5a3c6956=66:9j553=83.8n84>1d9m7g2=9>10e<>;:18'7g3=98o0b>l;:0:8?l77;3:1(>l::03f?k5e<3;276g>0383>!5e=3;:i6`=n99;1<7*1=o54igd94?"4j<0:=h5a3c695f=1=i54igf94?"4j<0:=h5a3c695`=1=k54ig`94?"4j<0:=h5a3c6965=1><54ig;94?"4j<0:=h5a3c6967=1>>54ig594?"4j<0:=h5a3c6961=1>854i006>5<#;k?1=70<3`;987>5$2`6>47b3g9i87<8;:k266<72-9i97?>e:l0f1<5021b=?<50;&0f0<69l1e?o:52898m446290/?o;510g8j6d32;k07d?=0;29 6d228;n7c=m4;0a?>o69?0;6)=m5;32a>h4j=09o65f11`94?"4j<0:=h5a3c696a=5<#;k?1=7`<3f9?m7>5$2`6>62>3g9i87>4;n176>:6;o1a0?7<3f9?;7>5$2`6>62>3g9i87<4;n172?6=,:h>6>:6;o1a0?5<3f9?97>5$2`6>62>3g9i87:4;n170?6=,:h>6>:6;o1a0?3<3f9??7>5$2`6>62>3g9i8784;n176?6=,:h>6>:6;o1a0?1<3f9?<7>5$2`6>62>3g9i8764;n10b?6=,:h>6>:6;o1a0??<3f98i7>5$2`6>62>3g9i87o4;n10`?6=,:h>6>:6;o1a0?d<3f98o7>5$2`6>62>3g9i87m4;n10f?6=,:h>6>:6;o1a0?b<3f98m7>5$2`6>62>3g9i87k4;n10=?6=,:h>6>:6;o1a0?`<3f9847>5$2`6>62>3g9i87??;:m072<72-9i97=;9:l0f1<6921d?>;50;&0f0<4<01e?o:51398k653290/?o;535;8j6d328907b=<3;29 6d22:>27c=m4;37?>i4;;0;6)=m5;17=>h4j=0:965`32394?"4j<08845a3c6953=6>:6;o1a0?7?32e8>h4?:%1a1?5312d8n94>9:9l77b=83.8n84<489m7g2=9h10c>l;:0`8?j55i3:1(>l::26:?k5e<3;h76a<2883>!5e=39?56`=h;;21<7*1=h54o204>5<#;k?1?974n2`7>4`<3f99:7>5$2`6>62>3g9i8727c=m4;07?>i4:80;6)=m5;17=>h4j=09965`34294?"4j<08845a3c6963=m6=4+3c7971?6>:6;o1a0?4?32e88i4?:%1a1?5312d8n94=9:9l71e=83.8n84<489m7g2=:h10c>:m:18'7g3=;=30b>l;:3`8?j5393:1(>l::26:?k5e<38h76a<3783>!5e=39?56`=h;;h1<7*1>h54o203>5<#;k?1?974n2`7>7`<3`9:n7>5$2`6>67f3g9i87>4;h12=?6=,:h>6>?n;o1a0?7<3`9:47>5$2`6>67f3g9i87<4;h123?6=,:h>6>?n;o1a0?5<3`9::7>5$2`6>67f3g9i87:4;h121?6=,:h>6>?n;o1a0?3<3`9:87>5$2`6>67f3g9i8784;h127?6=,:h>6>?n;o1a0?1<3`;?47>5$2`6>4203g9i87>4;h372?6=,:h>6<:8;o1a0?7<3`;?97>5$2`6>4203g9i87<4;h370?6=,:h>6<:8;o1a0?5<3`;??7>5$2`6>4203g9i87:4;h376?6=,:h>6<:8;o1a0?3<3`;?=7>5$2`6>4203g9i8784;h374?6=,:h>6<:8;o1a0?1<3`;>=7>5$2`6>4203g9i8764;h364?6=,:h>6<:8;o1a0??<3`;?j7>5$2`6>4203g9i87o4;h37a?6=,:h>6<:8;o1a0?d<3`;?h7>5$2`6>4203g9i87m4;h37g?6=,:h>6<:8;o1a0?b<3`;?n7>5$2`6>4203g9i87k4;h37e?6=,:h>6<:8;o1a0?`<3`;?57>5$2`6>4203g9i87??;:k27c<72-9i97?;7:l0f1<6921d?lj50;&0f0<4ij1e?o:50:9l7dd=83.8n84i41>0;6)=m5;1bg>h4j=0:?65`38494?"4j<08mn5a3c6951=6=4+3c797de6>ol;o1a0?7132e85>4?:%1a1?5fk2d8n94>7:9l7<4=83.8n847>:18'7g3=;hi0b>l;:0;8?j5>83:1(>l::2c`?k5e<3;j76a<8g83>!5e=39jo6`=h;1n1<7*1=n54o2:`>5<#;k?1?lm4n2`7>4b<3f93n7>5$2`6>6gd3g9i87?j;:m0?290/?o;53`a8j6d32;;07b=77;29 6d22:kh7c=m4;01?>i40?0;6)=m5;1bg>h4j=09?65`39794?"4j<08mn5a3c6961=6>ol;o1a0?4132e8n?4?:%1a1?5fk2d8n94=7:9l7g7=83.8n84l?:18'7g3=;hi0b>l;:3;8?j5fn3:1(>l::2c`?k5e<38j76a!5e=39jo6`=h;h>1<7*1>n54o2;:>5<#;k?1?lm4n2`7>7b<3f93i7>5$2`6>6gd3g9i874;h;`>5<#;k?15i5a3c695>=n1k0;6)=m5;;g?k5e<3807d7n:18'7g3=1m1e?o:53:9j=<<72-9i977k;o1a0?2<3`336=4+3c79=a=i;k>1965f9683>!5e=33o7c=m4;48?l?1290/?o;59e9m7g2=?21bm:4?:%1a1??c3g9i8764;hc5>5<#;k?15i5a3c69=>=ni<0;6)=m5;;g?k5e<3k07do;:18'7g3=1m1e?o:5b:9je6<72-9i977k;o1a0?e<3`k96=4+3c79=a=i;k>1h65fa083>!5e=33o7c=m4;g8?lg7290/?o;59e9m7g2=n21b5k4?:%1a1??c3g9i87??;:k:1?6=,:h>64j4n2`7>47<3f8>:7>5$2`6>7323g9i87>4;n060?6=,:h>6?;:;o1a0?7<3f8>?7>5$2`6>7323g9i87<4;n066?6=,:h>6?;:;o1a0?5<3f8>=7>5$2`6>7323g9i87:4;n064?6=,:h>6?;:;o1a0?3<3f8?i7>5$2`6>7323g9i8784;n07`?6=,:h>6?;:;o1a0?1<3f8?o7>5$2`6>7323g9i8764;n07f?6=,:h>6?;:;o1a0??<3f8?m7>5$2`6>7323g9i87o4;n07=?6=,:h>6?;:;o1a0?d<3f8?47>5$2`6>7323g9i87m4;n073?6=,:h>6?;:;o1a0?b<3f8?:7>5$2`6>7323g9i87k4;n071?6=,:h>6?;:;o1a0?`<3f8??7>5$2`6>7323g9i87??;:m107<72-9i97<:5:l0f1<6921d>9?50;&0f0<5=<1e?o:51398k727290/?o;52478j6d328907b<7c=m4;37?>i5;l0;6)=m5;061>h4j=0:965`22f94?"4j<09985a3c6953=6?;:;o1a0?7?32e9?l4?:%1a1?42=2d8n94>9:9l60b=83.8n84=549m7g2=9h10c?;l:18'7g3=:l;:0`8?j42j3:1(>l::376?k5e<3;h76a=5`83>!5e=38>96`=h:<31<7*1=h54o37;>5<#;k?1>8;4n2`7>4`<3f8>;7>5$2`6>7323g9i879:50;&0f0<5=<1e?o:52398k75>290/?o;52478j6d32;907dj::18'7g3=l=1e?o:50:J0g<=h4j=0:7E=l9:9j`7<72-9i97j;;o1a0?4<@:i276gk1;29 6d22m>0b>l;:29K7f?<3`n;6=4+3c79`1=i;k>186F!5e=3n?7c=m4;48L6e>32chh7>5$2`6>a2ocj3:1(>l::e68j6d32k1C?n74;hfb>5<#;k?1h95a3c69g>N4k010ei750;&0f0=nl10;6)=m5;f7?k5e<3o0D>m6;:kg3?6=,:h>6i:4n2`7>c=O;j307dj9:18'7g3=l=1e?o:5119K7f?<3`ih6=4+3c79`1=i;k>1=<5G3b;8?xd4nl0;6<;n:183!5dm38:;6F40112d2<7?4n8095>he?3;0bo651:l262<73-;947=>2:l26g<73g;8o7?4n335>4=i:m;:2ag?l7483:17d=me;29?l5en3:17d?<1;29?l5d83:17d?<4;29?l75n3:17b?=a;29?l5d:3:17d=l1;29?l7403:17d=md;29?l74;3:17d?=e;29?l75>3:17d?<5;29?l75l3:17b?=9;29?l5ek3:17d=l3;29?le5290/?o;5c09m7g2=821bo=4?:%1a1?e63g9i87?4;h`e>5<#;k?1o<5a3c696>=njl0;6)=m5;a2?k5e<3907dlk:18'7g3=k81e?o:54:9jff<72-9i97m>;o1a0?3<3`hi6=4+3c79g4=i;k>1:65fb`83>!5e=3i:7c=m4;58?lee290/?o;5c09m7g2=021bol4?:%1a1?e63g9i8774;ha:>5<#;k?1o<5a3c69e>=nk10;6)=m5;a2?k5e<3h07dm8:18'7g3=k81e?o:5c:9jg3<72-9i97m>;o1a0?b<3`i>6=4+3c79g4=i;k>1i65fc583>!5e=3i:7c=m4;d8?le4290/?o;5c09m7g2=9910eo750;&0f01:9la=<72-9i97k8;o1a0?6<3fo=6=4+3c79a2=i;k>1=65`e483>!5e=3o<7c=m4;08?jc3290/?o;5e69m7g2=;21di>4?:%1a1?c03g9i87:4;ng1>5<#;k?1i:5a3c691>=hm80;6)=m5;g4?k5e<3<07bk?:18'7g3=m>1e?o:57:9lb4<72-9i97k8;o1a0?><3fl;6=4+3c79a2=i;k>1565`eg83>!5e=3o<7c=m4;c8?jcb290/?o;5e69m7g2=j21dii4?:%1a1?c03g9i87m4;ng`>5<#;k?1i:5a3c69`>=hmk0;6)=m5;g4?k5e<3o07bkn:18'7g3=m>1e?o:5f:9la<<72-9i97k8;o1a0?7732eoj7>5$2`6>`16>9m;o1a0?6<3`95$2`6>61e3g9i87?4;h14=?6=,:h>6>9m;o1a0?4<3`9<47>5$2`6>61e3g9i87=4;h143?6=,:h>6>9m;o1a0?2<3`9<:7>5$2`6>61e3g9i87;4;h141?6=,:h>6>9m;o1a0?0<3`9<87>5$2`6>61e3g9i8794;h146?6=,:h>6>9m;o1a0?><3`9<=7>5$2`6>61e3g9i8774;h144?6=,:h>6>9m;o1a0?g<3`9=j7>5$2`6>61e3g9i87l4;h15a?6=,:h>6>9m;o1a0?e<3`9=h7>5$2`6>61e3g9i87j4;h15g?6=,:h>6>9m;o1a0?c<3`9=n7>5$2`6>61e3g9i87h4;h15e?6=,:h>6>9m;o1a0?7732c8:44?:%1a1?50j2d8n94>1:9j731=83.8n84<7c9m7g2=9;10e>89:18'7g3=;>h0b>l;:018?l51=3:1(>l::25a?k5e<3;?76g<6583>!5e=39=n;?91<7*1=;54i241>5<#;k?1?:l4n2`7>41<3`9==7>5$2`6>61e3g9i87?7;:k025<72-9i97=8b:l0f1<6121b?8h50;&0f0<4?k1e?o:51`98m63b290/?o;536`8j6d328h07d=:c;29 6d22:=i7c=m4;3`?>o4=k0;6)=m5;14f>h4j=0:h65f34c94?"4j<08;o5a3c695`=6>9m;o1a0?4732c89:4?:%1a1?50j2d8n94=1:9j700=83.8n84<7c9m7g2=:;10e>;::18'7g3=;>h0b>l;:318?l52<3:1(>l::25a?k5e<38?76g<5283>!5e=39=n;181<7*1>;54i2:2>5<#;k?1?:l4n2`7>71<3`93<7>5$2`6>61e3g9i87<7;:k03c<72-9i97=8b:l0f1<5121b?:k50;&0f0<4?k1e?o:52`98m61c290/?o;536`8j6d32;h07d=83;29 6d22:=i7c=m4;0`?>o4>10;6)=m5;14f>h4j=09h65f34f94?"4j<08;o5a3c696`=65$2`6>47b3g9i87?4;h32g?6=,:h>65$2`6>47b3g9i87=4;h32e?6=,:h>65$2`6>47b3g9i87;4;h3265$2`6>47b3g9i8794;h321?6=,:h>6<3`;:87>5$2`6>47b3g9i8774;h327?6=,:h>67>5$2`6>47b3g9i87l4;h325?6=,:h>65$2`6>47b3g9i87j4;h33b?6=,:h>65$2`6>47b3g9i87h4;h33`?6=,:h>61:9j55g=83.8n84>1d9m7g2=9;10e<>6:18'7g3=98o0b>l;:018?l7703:1(>l::03f?k5e<3;?76g>0683>!5e=3;:i6`=n99<1<7*1=;54i026>5<#;k?1=41<3`;;87>5$2`6>47b3g9i87?7;:k246<72-9i97?>e:l0f1<6121b==<50;&0f0<69l1e?o:51`98m466290/?o;510g8j6d328h07dhi:18'7g3=98o0b>l;:0a8?l`b290/?o;510g8j6d328n07dhk:18'7g3=98o0b>l;:0g8?l`d290/?o;510g8j6d328l07dhm:18'7g3=98o0b>l;:328?l`f290/?o;510g8j6d32;;07dh6:18'7g3=98o0b>l;:308?l`?290/?o;510g8j6d32;907dh8:18'7g3=98o0b>l;:368?l`1290/?o;510g8j6d32;?07d?=5;29 6d228;n7c=m4;05?>o6:=0;6)=m5;32a>h4j=09;65f13194?"4j<0:=h5a3c696==6=4?:%1a1?76m2d8n94=b:9j540=83.8n84>1d9m7g2=:j10e<>m:18'7g3=98o0b>l;:3f8?l7783:1(>l::03f?k5e<38n76gi5;29 6d228;n7c=m4;0e?>i4h4j=0;76a<4983>!5e=39?56`i4<>0;6)=m5;17=>h4j=0976a<4783>!5e=39?56`i4<<0;6)=m5;17=>h4j=0?76a<4583>!5e=39?56`i4<:0;6)=m5;17=>h4j=0=76a<4383>!5e=39?56`i4<90;6)=m5;17=>h4j=0376a<3g83>!5e=39?56`i4;l0;6)=m5;17=>h4j=0j76a<3e83>!5e=39?56`i4;j0;6)=m5;17=>h4j=0h76a<3c83>!5e=39?56`i4;h0;6)=m5;17=>h4j=0n76a<3883>!5e=39?56`i4;10;6)=m5;17=>h4j=0:<65`32594?"4j<08845a3c6954=6=4+3c7971?6>:6;o1a0?7432e8?>4?:%1a1?5312d8n94>4:9l764=83.8n84<489m7g2=9<10c>=>:18'7g3=;=30b>l;:048?j5483:1(>l::26:?k5e<3;<76a<2g83>!5e=39?56`=h;;o1<7*1=454o20g>5<#;k?1?974n2`7>4g<3f99o7>5$2`6>62>3g9i87?m;:m06d<72-9i97=;9:l0f1<6k21d??750;&0f0<4<01e?o:51e98k64?290/?o;535;8j6d328o07b==7;29 6d22:>27c=m4;3e?>i4:?0;6)=m5;17=>h4j=09<65`33794?"4j<08845a3c6964=6>:6;o1a0?4432e8>?4?:%1a1?5312d8n94=4:9l777=83.8n84<489m7g2=:<10c>;?:18'7g3=;=30b>l;:348?j53n3:1(>l::26:?k5e<38<76a<4d83>!5e=39?56`=h;=n1<7*1>454o26`>5<#;k?1?974n2`7>7g<3f9?n7>5$2`6>62>3g9i87850;&0f0<4<01e?o:52e98k64e290/?o;535;8j6d32;o07b==0;29 6d22:>27c=m4;0e?>o49k0;6)=m5;12e>h4j=0;76g<1883>!5e=39:m6`o4910;6)=m5;12e>h4j=0976g<1683>!5e=39:m6`o49?0;6)=m5;12e>h4j=0?76g<1483>!5e=39:m6`o49=0;6)=m5;12e>h4j=0=76g<1283>!5e=39:m6`o6<10;6)=m5;373>h4j=0;76g>4783>!5e=3;?;6`o6<<0;6)=m5;373>h4j=0976g>4583>!5e=3;?;6`o6<:0;6)=m5;373>h4j=0?76g>4383>!5e=3;?;6`o6<80;6)=m5;373>h4j=0=76g>4183>!5e=3;?;6`o6=80;6)=m5;373>h4j=0376g>5183>!5e=3;?;6`o6h4j=0j76g>4d83>!5e=3;?;6`o6h4j=0h76g>4b83>!5e=3;?;6`o6h4j=0n76g>4`83>!5e=3;?;6`o6<00;6)=m5;373>h4j=0:<65f12d94?"4j<0:8:5a3c6954=5<#;k?1?lm4n2`7>4=5<#;k?1?lm4n2`7>6=5<#;k?1?lm4n2`7>0=5<#;k?1?lm4n2`7>2=5<#;k?1?lm4n2`7><=5<#;k?1?lm4n2`7>g=5<#;k?1?lm4n2`7>a=5<#;k?1?lm4n2`7>c=4;n1:e?6=,:h>6>ol;o1a0?7632e8554?:%1a1?5fk2d8n94>2:9l7<1=83.8n8479:18'7g3=;hi0b>l;:068?j5>=3:1(>l::2c`?k5e<3;>76a<9583>!5e=39jo6`=h;091<7*1=:54o2;1>5<#;k?1?lm4n2`7>4><3f92=7>5$2`6>6gd3g9i87?6;:m0=5<72-9i97=nc:l0f1<6i21d?5h50;&0f0<4ij1e?o:51c98k6>c290/?o;53`a8j6d328i07b=7c;29 6d22:kh7c=m4;3g?>i40k0;6)=m5;1bg>h4j=0:i65`39c94?"4j<08mn5a3c695c=4;n1;6>ol;o1a0?4632e84:4?:%1a1?5fk2d8n94=2:9l7=0=83.8n846::18'7g3=;hi0b>l;:368?j5?<3:1(>l::2c`?k5e<38>76a!5e=39jo6`=h;k81<7*1>:54o2`2>5<#;k?1?lm4n2`7>7><3f9i<7>5$2`6>6gd3g9i87<6;:m0ec<72-9i97=nc:l0f1<5i21d?lk50;&0f0<4ij1e?o:52c98k6g3290/?o;53`a8j6d32;i07b=69;29 6d22:kh7c=m4;0g?>i40l0;6)=m5;1bg>h4j=09i65`39194?"4j<08mn5a3c696c=h4j=0;76g6c;29 6d220n0b>l;:098m5$2`6>54i8;94?"4j<02h6`o>03:1(>l::8f8j6d32<10e4950;&0f0<>l2d8n949;:k:2?6=,:h>64j4n2`7>2=h4j=0376gn6;29 6d220n0b>l;:898md3=83.8n846d:l0f15$2`6>of:3:1(>l::8f8j6d32m10el?50;&0f0<>l2d8n94j;:kb4?6=,:h>64j4n2`7>c=h4j=0:<65f9483>!5e=33o7c=m4;32?>i5=?0;6)=m5;061>h4j=0;76a=5583>!5e=38>96`i5=:0;6)=m5;061>h4j=0976a=5383>!5e=38>96`i5=80;6)=m5;061>h4j=0?76a=5183>!5e=38>96`i5h4j=0=76a=4e83>!5e=38>96`i5h4j=0376a=4c83>!5e=38>96`i5h4j=0j76a=4883>!5e=38>96`i5<10;6)=m5;061>h4j=0h76a=4683>!5e=38>96`i5h4j=0n76a=4483>!5e=38>96`i5<:0;6)=m5;061>h4j=0:<65`25094?"4j<09985a3c6954=:6=4+3c796036?;:;o1a0?7432e9?k4?:%1a1?42=2d8n94>4:9l66c=83.8n84=549m7g2=9<10c?=k:18'7g3=:l;:048?j44k3:1(>l::376?k5e<3;<76a=3c83>!5e=38>96`=h::k1<7*1=454o37g>5<#;k?1>8;4n2`7>4g<3f8>o7>5$2`6>7323g9i87?m;:m11g<72-9i97<:5:l0f1<6k21d>8o50;&0f0<5=<1e?o:51e98k73>290/?o;52478j6d328o07b<:8;29 6d22;?>7c=m4;3e?>i5=>0;6)=m5;061>h4j=09<65`25d94?"4j<09985a3c6964=?6=4+3c796036?;:;o1a0?4432co97>5$2`6>a2oc83:1(>l::e68j6d32=1C?n74;hae>5<#;k?1h95a3c691>N4k010enk50;&0f0=nkm0;6)=m5;f7?k5e<3=0D>m6;:kga?6=,:h>6i:4n2`7>==O;j307djk:18'7g3=l=1e?o:59:J0g<=h4j=0j7E=l9:9j`g<72-9i97j;;o1a0?d<@:i276gka;29 6d22m>0b>l;:b9K7f?<3`n26=4+3c79`1=i;k>1h6F=83.8n84k4:l0f1!5e=3n?7c=m4;d8L6e>32co:7>5$2`6>a24H2a:?>odk3:1(>l::e68j6d328;0D>m6;:a7c`=83;>m7>50z&0g`<59>1C?i64H2f3?_`42kq3n7<>:6f93<<6l3;h6:957b82a?7e2<;1q)=>d;352<=i190:7c7=:09mf2<63gh36<5a13594>"6:108=?5a13`94>h6;j0:7c<>6;38j73b281e?l4?::k0g7<722c8o<4?::k27=<722c8ni4?::k276<722c:>h4?::k263<722c:?84?::k26a<722e:>44?::k0ff<722c8o>4?::k`6?6=,:h>6n?4n2`7>5=h4j=0:76gmf;29 6d22j;0b>l;:398mgc=83.8n84l1:l0f1<432cih7>5$2`6>f7oej3:1(>l::b38j6d32?10eoo50;&0f06n?4n2`7>==h4j=0276gl9;29 6d22j;0b>l;:`98mf>=83.8n84l1:l0f15$2`6>f7od=3:1(>l::b38j6d32l10en:50;&0f06n?4n2`7>46<3`h26=4+3c79g4=i;k>1=<54od:94?"4j<0n;6`ib>3:1(>l::d58j6d32810ch;50;&0f06h94n2`7>6=h4j=0?76aj2;29 6d22l=0b>l;:498k`7=83.8n84j7:l0f1<132en<7>5$2`6>`1ia83:1(>l::d58j6d32010chh50;&0f06h94n2`7>g=h4j=0h76ajc;29 6d22l=0b>l;:e98k`d=83.8n84j7:l0f15$2`6>`1=hlo0;6)=m5;g4?k5e<3;:76g<7b83>!5e=39o4?h0;6)=m5;14f>h4j=0:76g<7883>!5e=39o4?10;6)=m5;14f>h4j=0876g<7683>!5e=39o4??0;6)=m5;14f>h4j=0>76g<7483>!5e=39o4?=0;6)=m5;14f>h4j=0<76g<7383>!5e=39o4?80;6)=m5;14f>h4j=0276g<7183>!5e=39o4>o0;6)=m5;14f>h4j=0i76g<6d83>!5e=39o4>m0;6)=m5;14f>h4j=0o76g<6b83>!5e=39o4>k0;6)=m5;14f>h4j=0m76g<6`83>!5e=39=n;?31<7*1=<54i244>5<#;k?1?:l4n2`7>44<3`9=:7>5$2`6>61e3g9i87?<;:k020<72-9i97=8b:l0f1<6<21b?;:50;&0f0<4?k1e?o:51498m604290/?o;536`8j6d328<07d=92;29 6d22:=i7c=m4;34?>o4>80;6)=m5;14f>h4j=0:465f37294?"4j<08;o5a3c695<=6>9m;o1a0?7e32c89n4?:%1a1?50j2d8n94>c:9j70d=83.8n84<7c9m7g2=9m10e>;n:18'7g3=;>h0b>l;:0g8?l5213:1(>l::25a?k5e<3;m76g<5983>!5e=39=n;<=1<7*1><54i275>5<#;k?1?:l4n2`7>74<3`9>97>5$2`6>61e3g9i87<<;:k011<72-9i97=8b:l0f1<5<21b?8=50;&0f0<4?k1e?o:52498m6>5290/?o;536`8j6d32;<07d=71;29 6d22:=i7c=m4;04?>o4090;6)=m5;14f>h4j=09465f36d94?"4j<08;o5a3c696<=6>9m;o1a0?4e32c8;>4?:%1a1?50j2d8n94=c:9j73>=83.8n84<7c9m7g2=:m10e>;k:18'7g3=;>h0b>l;:3g8?l52:3:1(>l::25a?k5e<38m76g>1g83>!5e=3;:i6`o69m0;6)=m5;32a>h4j=0:76g>1b83>!5e=3;:i6`o69k0;6)=m5;32a>h4j=0876g>1`83>!5e=3;:i6`o6900;6)=m5;32a>h4j=0>76g>1983>!5e=3;:i6`o69>0;6)=m5;32a>h4j=0<76g>1483>!5e=3;:i6`o69=0;6)=m5;32a>h4j=0276g>1283>!5e=3;:i6`o69;0;6)=m5;32a>h4j=0i76g>1083>!5e=3;:i6`o6990;6)=m5;32a>h4j=0o76g>0g83>!5e=3;:i6`o68l0;6)=m5;32a>h4j=0m76g>0e83>!5e=3;:i6`=n99i1<7*1=<54i02b>5<#;k?1=44<3`;;57>5$2`6>47b3g9i87?<;:k24=<72-9i97?>e:l0f1<6<21b==950;&0f0<69l1e?o:51498m461290/?o;510g8j6d328<07d??5;29 6d228;n7c=m4;34?>o68=0;6)=m5;32a>h4j=0:465f11194?"4j<0:=h5a3c695<=65$2`6>47b3g9i87?l;:kea?6=,:h>65$2`6>47b3g9i87?j;:keg?6=,:h>65$2`6>47b3g9i8765$2`6>47b3g9i87<=;:ke65$2`6>47b3g9i87<;;:ke2?6=,:h>684?:%1a1?76m2d8n94=6:9j572=83.8n84>1d9m7g2=:>10e<<<:18'7g3=98o0b>l;:3:8?l75:3:1(>l::03f?k5e<38276g>2083>!5e=3;:i6`=n9;:1<7*1>o54i035>5<#;k?1=7e<3`;;n7>5$2`6>47b3g9i87e:l0f1<5m21bj84?:%1a1?76m2d8n94=f:9l71g=83.8n84<489m7g2=821d?9650;&0f0<4<01e?o:51:9l711=83.8n84<489m7g2=:21d?9850;&0f0<4<01e?o:53:9l713=83.8n84<489m7g2=<21d?9:50;&0f0<4<01e?o:55:9l715=83.8n84<489m7g2=>21d?9<50;&0f0<4<01e?o:57:9l716=83.8n84<489m7g2=021d?>h50;&0f0<4<01e?o:59:9l76c=83.8n84<489m7g2=i21d?>j50;&0f0<4<01e?o:5b:9l76e=83.8n84<489m7g2=k21d?>l50;&0f0<4<01e?o:5d:9l76g=83.8n84<489m7g2=m21d?>750;&0f0<4<01e?o:5f:9l76>=83.8n84<489m7g2=9910c>=8:18'7g3=;=30b>l;:038?j54=3:1(>l::26:?k5e<3;976a<3583>!5e=39?56`=h;:91<7*1=954o211>5<#;k?1?974n2`7>43<3f98=7>5$2`6>62>3g9i87?9;:m075<72-9i97=;9:l0f1<6?21d??h50;&0f0<4<01e?o:51998k64b290/?o;535;8j6d328307b==d;29 6d22:>27c=m4;3b?>i4:j0;6)=m5;17=>h4j=0:n65`33c94?"4j<08845a3c695f=6>:6;o1a0?7b32e8>:4?:%1a1?5312d8n94>f:9l770=83.8n84<489m7g2=:910c><::18'7g3=;=30b>l;:338?j55<3:1(>l::26:?k5e<38976a<2283>!5e=39?56`=h;;81<7*1>954o202>5<#;k?1?974n2`7>73<3f9><7>5$2`6>62>3g9i87<9;:m00c<72-9i97=;9:l0f1<5?21d?9k50;&0f0<4<01e?o:52998k62c290/?o;535;8j6d32;307b=;c;29 6d22:>27c=m4;0b?>i4h4j=09n65`35394?"4j<08845a3c696f=6>:6;o1a0?4b32e8>=4?:%1a1?5312d8n94=f:9j74d=83.8n84<1`9m7g2=821b?<750;&0f0<49h1e?o:51:9j74>=83.8n84<1`9m7g2=:21b?<950;&0f0<49h1e?o:53:9j740=83.8n84<1`9m7g2=<21b?<;50;&0f0<49h1e?o:55:9j742=83.8n84<1`9m7g2=>21b?<=50;&0f0<49h1e?o:57:9j51>=83.8n84>469m7g2=821b=9850;&0f0<6<>1e?o:51:9j513=83.8n84>469m7g2=:21b=9:50;&0f0<6<>1e?o:53:9j515=83.8n84>469m7g2=<21b=9<50;&0f0<6<>1e?o:55:9j517=83.8n84>469m7g2=>21b=9>50;&0f0<6<>1e?o:57:9j507=83.8n84>469m7g2=021b=8>50;&0f0<6<>1e?o:59:9j51`=83.8n84>469m7g2=i21b=9k50;&0f0<6<>1e?o:5b:9j51b=83.8n84>469m7g2=k21b=9m50;&0f0<6<>1e?o:5d:9j51d=83.8n84>469m7g2=m21b=9o50;&0f0<6<>1e?o:5f:9j51?=83.8n84>469m7g2=9910e<=i:18'7g3=9==0b>l;:038?j5fl3:1(>l::2c`?k5e<3:07b=nb;29 6d22:kh7c=m4;38?j5fi3:1(>l::2c`?k5e<3807b=n9;29 6d22:kh7c=m4;18?j5f03:1(>l::2c`?k5e<3>07b=n7;29 6d22:kh7c=m4;78?j5f>3:1(>l::2c`?k5e<3<07b=n5;29 6d22:kh7c=m4;58?j5f;3:1(>l::2c`?k5e<3207b=n2;29 6d22:kh7c=m4;;8?j5f93:1(>l::2c`?k5e<3k07b=n0;29 6d22:kh7c=m4;`8?j5>n3:1(>l::2c`?k5e<3i07b=6e;29 6d22:kh7c=m4;f8?j5>l3:1(>l::2c`?k5e<3o07b=6c;29 6d22:kh7c=m4;d8?j5>j3:1(>l::2c`?k5e<3;;76a<9`83>!5e=39jo6`=h;021<7*1=?54o2;4>5<#;k?1?lm4n2`7>45<3f92:7>5$2`6>6gd3g9i87?;;:m0=0<72-9i97=nc:l0f1<6=21d?4:50;&0f0<4ij1e?o:51798k6?4290/?o;53`a8j6d328=07b=62;29 6d22:kh7c=m4;3;?>i4180;6)=m5;1bg>h4j=0:565`38294?"4j<08mn5a3c695d=6>ol;o1a0?7d32e84n4?:%1a1?5fk2d8n94>d:9l7=d=83.8n846n:18'7g3=;hi0b>l;:0d8?j5?13:1(>l::2c`?k5e<38;76a<8983>!5e=39jo6`=h;1=1<7*1>?54o2:5>5<#;k?1?lm4n2`7>75<3f9397>5$2`6>6gd3g9i87<;;:m0<1<72-9i97=nc:l0f1<5=21d?o=50;&0f0<4ij1e?o:52798k6d5290/?o;53`a8j6d32;=07b=m1;29 6d22:kh7c=m4;0;?>i4j90;6)=m5;1bg>h4j=09565`3`d94?"4j<08mn5a3c696d=6>ol;o1a0?4d32e8544?:%1a1?5fk2d8n94=d:9l7=c=83.8n846<:18'7g3=;hi0b>l;:3d8?l?b290/?o;59e9m7g2=821b5n4?:%1a1??c3g9i87?4;h;a>5<#;k?15i5a3c696>=n1h0;6)=m5;;g?k5e<3907d76:18'7g3=1m1e?o:54:9j==<72-9i977k;o1a0?3<3`3<6=4+3c79=a=i;k>1:65f9783>!5e=33o7c=m4;58?lg0290/?o;59e9m7g2=021bm;4?:%1a1??c3g9i8774;hc6>5<#;k?15i5a3c69e>=ni=0;6)=m5;;g?k5e<3h07do<:18'7g3=1m1e?o:5c:9je7<72-9i977k;o1a0?b<3`k:6=4+3c79=a=i;k>1i65fa183>!5e=33o7c=m4;d8?l?a290/?o;59e9m7g2=9910e4;50;&0f0<>l2d8n94>1:9l600=83.8n84=549m7g2=821d>8:50;&0f0<5=<1e?o:51:9l605=83.8n84=549m7g2=:21d>8<50;&0f0<5=<1e?o:53:9l607=83.8n84=549m7g2=<21d>8>50;&0f0<5=<1e?o:55:9l61c=83.8n84=549m7g2=>21d>9j50;&0f0<5=<1e?o:57:9l61e=83.8n84=549m7g2=021d>9l50;&0f0<5=<1e?o:59:9l61g=83.8n84=549m7g2=i21d>9750;&0f0<5=<1e?o:5b:9l61>=83.8n84=549m7g2=k21d>9950;&0f0<5=<1e?o:5d:9l610=83.8n84=549m7g2=m21d>9;50;&0f0<5=<1e?o:5f:9l615=83.8n84=549m7g2=9910c?:=:18'7g3=:l;:038?j4393:1(>l::376?k5e<3;976a=4183>!5e=38>96`=h::l1<7*1=954o31f>5<#;k?1>8;4n2`7>43<3f88h7>5$2`6>7323g9i87?9;:m17f<72-9i97<:5:l0f1<6?21d>>l50;&0f0<5=<1e?o:51998k75f290/?o;52478j6d328307b<:d;29 6d22;?>7c=m4;3b?>i5=j0;6)=m5;061>h4j=0:n65`24`94?"4j<09985a3c695f=6?;:;o1a0?7b32e9954?:%1a1?42=2d8n94>f:9l601=83.8n84=549m7g2=:910c?:i:18'7g3=:l;:338?j43<3:1(>l::376?k5e<38976a=3883>!5e=38>96`=nl<0;6)=m5;f7?k5e<3:0D>m6;:kg7?6=,:h>6i:4n2`7>4=O;j307dj=:18'7g3=l=1e?o:52:J0g<=h4j=087E=l9:9j`5<72-9i97j;;o1a0?2<@:i276glf;29 6d22m>0b>l;:49K7f?<3`in6=4+3c79`1=i;k>1:6F!5e=3n?7c=m4;:8L6e>32coh7>5$2`6>a2oc13:1(>l::e68j6d32m1C?n74;hf;>5<#;k?1h95a3c69a>N4k010ei950;&0f0=nl?0;6)=m5;f7?k5e<3;;7E=l9:9jgf<72-9i97j;;o1a0?763A9h565rb523>5<6=h0;6=u+3bg9641<@:n37E=k0:Xe7?d|0k09=79k:6;95a<6k3=<6:m51d82f?362t.8=i4>67;8j<6=92d2>7?4nc595>he03;0b<<8:19'57>=;880b<;o06a?7"4k=08oi5f12294?=n;ko1<75f3cd94?=n9:;1<75f3b294?=n9:>1<75f13d94?=h9;k1<75f3b094?=n;j;1<75f12:94?=n;kn1<75f12194?=n9;o1<75f13494?=n9:?1<75f13f94?=h9;31<75f3ca94?=n;j91<75fc383>!5e=3i:7c=m4;28?le7290/?o;5c09m7g2=921bnk4?:%1a1?e63g9i87<4;h`f>5<#;k?1o<5a3c697>=njm0;6)=m5;a2?k5e<3>07dll:18'7g3=k81e?o:55:9jfg<72-9i97m>;o1a0?0<3`hj6=4+3c79g4=i;k>1;65fcc83>!5e=3i:7c=m4;:8?lef290/?o;5c09m7g2=121bo44?:%1a1?e63g9i87o4;ha;>5<#;k?1o<5a3c69f>=nk>0;6)=m5;a2?k5e<3i07dm9:18'7g3=k81e?o:5d:9jg0<72-9i97m>;o1a0?c<3`i?6=4+3c79g4=i;k>1j65fc283>!5e=3i:7c=m4;33?>oe13:1(>l::b38j6d328;07bk7:18'7g3=m>1e?o:50:9la3<72-9i97k8;o1a0?7<3fo>6=4+3c79a2=i;k>1>65`e583>!5e=3o<7c=m4;18?jc4290/?o;5e69m7g2=<21di?4?:%1a1?c03g9i87;4;ng2>5<#;k?1i:5a3c692>=hm90;6)=m5;g4?k5e<3=07bh>:18'7g3=m>1e?o:58:9lb5<72-9i97k8;o1a0??<3fom6=4+3c79a2=i;k>1m65`ed83>!5e=3o<7c=m4;`8?jcc290/?o;5e69m7g2=k21din4?:%1a1?c03g9i87j4;nga>5<#;k?1i:5a3c69a>=hmh0;6)=m5;g4?k5e<3l07bk6:18'7g3=m>1e?o:51198ka`=83.8n84j7:l0f1<6921b?:m50;&0f0<4?k1e?o:50:9j72g=83.8n84<7c9m7g2=921b?:750;&0f0<4?k1e?o:52:9j72>=83.8n84<7c9m7g2=;21b?:950;&0f0<4?k1e?o:54:9j720=83.8n84<7c9m7g2==21b?:;50;&0f0<4?k1e?o:56:9j722=83.8n84<7c9m7g2=?21b?:<50;&0f0<4?k1e?o:58:9j727=83.8n84<7c9m7g2=121b?:>50;&0f0<4?k1e?o:5a:9j73`=83.8n84<7c9m7g2=j21b?;k50;&0f0<4?k1e?o:5c:9j73b=83.8n84<7c9m7g2=l21b?;m50;&0f0<4?k1e?o:5e:9j73d=83.8n84<7c9m7g2=n21b?;o50;&0f0<4?k1e?o:51198m60>290/?o;536`8j6d328;07d=97;29 6d22:=i7c=m4;31?>o4>?0;6)=m5;14f>h4j=0:?65f37794?"4j<08;o5a3c6951=6>9m;o1a0?7132c8:?4?:%1a1?50j2d8n94>7:9j737=83.8n84<7c9m7g2=9110e>8?:18'7g3=;>h0b>l;:0;8?l52n3:1(>l::25a?k5e<3;j76g<5d83>!5e=39=n;1=n54i27a>5<#;k?1?:l4n2`7>4b<3`9>m7>5$2`6>61e3g9i87?j;:k01<<72-9i97=8b:l0f1<6n21b?8650;&0f0<4?k1e?o:52198m630290/?o;536`8j6d32;;07d=:6;29 6d22:=i7c=m4;01?>o4=<0;6)=m5;14f>h4j=09?65f34694?"4j<08;o5a3c6961=6>9m;o1a0?4132c84<4?:%1a1?50j2d8n94=7:9j7=6=83.8n84<7c9m7g2=:110e>9i:18'7g3=;>h0b>l;:3;8?l50m3:1(>l::25a?k5e<38j76g<7e83>!5e=39=n;>91<7*1>n54i24;>5<#;k?1?:l4n2`7>7b<3`9>h7>5$2`6>61e3g9i871d9m7g2=921b=1d9m7g2=;21b=1d9m7g2==21b=<650;&0f0<69l1e?o:56:9j541=83.8n84>1d9m7g2=?21b=<;50;&0f0<69l1e?o:58:9j542=83.8n84>1d9m7g2=121b=<=50;&0f0<69l1e?o:5a:9j544=83.8n84>1d9m7g2=j21b=1d9m7g2=l21b==h50;&0f0<69l1e?o:5e:9j55c=83.8n84>1d9m7g2=n21b==j50;&0f0<69l1e?o:51198m46d290/?o;510g8j6d328;07d??a;29 6d228;n7c=m4;31?>o6800;6)=m5;32a>h4j=0:?65f11:94?"4j<0:=h5a3c6951=67:9j552=83.8n84>1d9m7g2=9110e<><:18'7g3=98o0b>l;:0;8?l77:3:1(>l::03f?k5e<3;j76g>0083>!5e=3;:i6`=nno0;6)=m5;32a>h4j=0:o65ffd83>!5e=3;:i6`=nnm0;6)=m5;32a>h4j=0:i65ffb83>!5e=3;:i6`=nnk0;6)=m5;32a>h4j=09<65ff`83>!5e=3;:i6`=nn00;6)=m5;32a>h4j=09>65ff983>!5e=3;:i6`=nn>0;6)=m5;32a>h4j=09865ff783>!5e=3;:i6`=n9;?1<7*1>;54i007>5<#;k?1=71<3`;9?7>5$2`6>47b3g9i87<7;:k267<72-9i97?>e:l0f1<5121b=??50;&0f0<69l1e?o:52`98m447290/?o;510g8j6d32;h07d?>6;29 6d228;n7c=m4;0`?>o68k0;6)=m5;32a>h4j=09h65f11294?"4j<0:=h5a3c696`=1>k54o26b>5<#;k?1?974n2`7>5=36=4+3c7971?5<#;k?1?974n2`7>7==6=4+3c7971?54o266>5<#;k?1?974n2`7>1=?6=4+3c7971?5<#;k?1?974n2`7>3=96=4+3c7971?5<#;k?1?974n2`7>==5<#;k?1?974n2`7>d=5<#;k?1?974n2`7>f=5<#;k?1?974n2`7>`=5<#;k?1?974n2`7>46<3f98;7>5$2`6>62>3g9i87?>;:m070<72-9i97=;9:l0f1<6:21d?>:50;&0f0<4<01e?o:51298k654290/?o;535;8j6d328>07b=<2;29 6d22:>27c=m4;36?>i4;80;6)=m5;17=>h4j=0::65`32294?"4j<08845a3c6952=6>:6;o1a0?7>32e8>i4?:%1a1?5312d8n94>a:9l77e=83.8n84<489m7g2=9k10c>l;:0a8?j5513:1(>l::26:?k5e<3;o76a<2983>!5e=39?56`=h;;=1<7*1=k54o205>5<#;k?1?974n2`7>76<3f9997>5$2`6>62>3g9i87<>;:m061<72-9i97=;9:l0f1<5:21d??=50;&0f0<4<01e?o:52298k645290/?o;535;8j6d32;>07b==1;29 6d22:>27c=m4;06?>i4=90;6)=m5;17=>h4j=09:65`35d94?"4j<08845a3c6962=n6=4+3c7971?6>:6;o1a0?4>32e88n4?:%1a1?5312d8n94=a:9l71d=83.8n84<489m7g2=:k10c>:>:18'7g3=;=30b>l;:3a8?j54>3:1(>l::26:?k5e<38o76a<2c83>!5e=39?56`=h;;:1<7*1>k54i23a>5<#;k?1?5=5<#;k?1?7=54i235>5<#;k?1?1=6=4+3c7974g5<#;k?1?3=5<#;k?1=994n2`7>5==6=4+3c795115<#;k?1=994n2`7>7=?6=4+3c7951154i060>5<#;k?1=994n2`7>1=96=4+3c795115<#;k?1=994n2`7>3=;6=4+3c795115<#;k?1=994n2`7>==5<#;k?1=994n2`7>d=n6=4+3c795115<#;k?1=994n2`7>f=h6=4+3c795115<#;k?1=994n2`7>`=j6=4+3c795115<#;k?1=994n2`7>46<3`;8j7>5$2`6>4203g9i87?>;:m0ea<72-9i97=nc:l0f1<732e8mo4?:%1a1?5fk2d8n94>;:m0ed<72-9i97=nc:l0f1<532e8m44?:%1a1?5fk2d8n94<;:m0e=<72-9i97=nc:l0f1<332e8m:4?:%1a1?5fk2d8n94:;:m0e3<72-9i97=nc:l0f1<132e8m84?:%1a1?5fk2d8n948;:m0e6<72-9i97=nc:l0f1i41?0;6)=m5;1bg>h4j=0:865`38794?"4j<08mn5a3c6950=6>ol;o1a0?7032e85?4?:%1a1?5fk2d8n94>8:9l7<7=83.8n847?:18'7g3=;hi0b>l;:0c8?j5?n3:1(>l::2c`?k5e<3;i76a<8e83>!5e=39jo6`=h;1i1<7*1=i54o2:a>5<#;k?1?lm4n2`7>4c<3f93m7>5$2`6>6gd3g9i87?i;:m0<<<72-9i97=nc:l0f1<5821d?5650;&0f0<4ij1e?o:52098k6>0290/?o;53`a8j6d32;807b=76;29 6d22:kh7c=m4;00?>i40<0;6)=m5;1bg>h4j=09865`39694?"4j<08mn5a3c6960=6>ol;o1a0?4032e8n<4?:%1a1?5fk2d8n94=8:9l7g6=83.8n84oi:18'7g3=;hi0b>l;:3c8?j5fm3:1(>l::2c`?k5e<38i76a!5e=39jo6`=h;031<7*1>i54o2:f>5<#;k?1?lm4n2`7>7c<3f93?7>5$2`6>6gd3g9i8764j4n2`7>5=h4j=0:76g6b;29 6d220n0b>l;:398m5$2`6>o>?3:1(>l::8f8j6d32?10e4850;&0f0<>l2d8n948;:kb3?6=,:h>64j4n2`7>==h4j=0276gn5;29 6d220n0b>l;:`98md2=83.8n846d:l0f15$2`6>of93:1(>l::8f8j6d32l10el>50;&0f0<>l2d8n94i;:k:b?6=,:h>64j4n2`7>46<3`3>6=4+3c79=a=i;k>1=<54o375>5<#;k?1>8;4n2`7>5=5<#;k?1>8;4n2`7>7=54o372>5<#;k?1>8;4n2`7>1=5<#;k?1>8;4n2`7>3=o6=4+3c796035<#;k?1>8;4n2`7>==i6=4+3c796035<#;k?1>8;4n2`7>d=26=4+3c796035<#;k?1>8;4n2`7>f=<6=4+3c796035<#;k?1>8;4n2`7>`=>6=4+3c796035<#;k?1>8;4n2`7>46<3f8?>7>5$2`6>7323g9i87?>;:m104<72-9i97<:5:l0f1<6:21d>9>50;&0f0<5=<1e?o:51298k75a290/?o;52478j6d328>07b<7c=m4;36?>i5;m0;6)=m5;061>h4j=0::65`22a94?"4j<09985a3c6952=6?;:;o1a0?7>32e99i4?:%1a1?42=2d8n94>a:9l60e=83.8n84=549m7g2=9k10c?;m:18'7g3=:l;:0a8?j42i3:1(>l::376?k5e<3;o76a=5883>!5e=38>96`=h:<21<7*1=k54o374>5<#;k?1>8;4n2`7>76<3f8?j7>5$2`6>7323g9i87<>;:m101<72-9i97<:5:l0f1<5:21d>>750;&0f0<5=<1e?o:52298ma3=83.8n84k4:l0f1<73A9h565fd283>!5e=3n?7c=m4;38L6e>32co>7>5$2`6>a2odm3:1(>l::e68j6d32?1C?n74;hag>5<#;k?1h95a3c693>N4k010eik50;&0f0=nlm0;6)=m5;f7?k5e<330D>m6;:kgg?6=,:h>6i:4n2`7>d=O;j307djm:18'7g3=l=1e?o:5b:J0g<=h4j=0h7E=l9:9j`<<72-9i97j;;o1a0?b<@:i276gk8;29 6d22m>0b>l;:d9K7f?<3`n<6=4+3c79`1=i;k>1j6FN4k010qo:?1;297?6=8r.8oh4<@:n;7)=>d;352<=n9:=1<75f22294?=h;8:1<75rb521>5<3290;w)=le;06b>N4l11C?i>4$23g>40112c:?:4?::k155<722c8o84?::m055<722wi8==50;794?6|,:in6?8?;I1g<>N4l91/?0;29?l5d=3:17b=>0;29?j4493:17pl;0583>0<729q/?nk52728L6b?3A9o<6*<1e8223?5<6=44o233>5<j?;%12`?71>01b=>950;9j646=831b?n;50;9l746=831vn9>9:180>5<7s-9hi7=k7:J0`==O;m:0(>?k:045=>o6;>0;66g=3183>>i4990;66sm41594?2=83:p(>mj:37e?M5c02B8h=5+30f9530>3`;8;7>5;h024?6=3`9h97>5;n124?6=3th?<54?:483>5}#;jo1>?<4H2f;?M5c82.8=i4>67;8 74b28?0ek:50;9j57e=831b=>j50;9j7f3=831d?o650;9~f16>290>6=4?{%1`a?4592B8h55G3e28 67c28<=56*=2d81?l`32900e<ln:188k6d?2900qo:?a;291?6=8r.8oh4=209K7a><@:n;7)=>d;352<=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo:?c;291?6=8r.8oh4=209K7a><@:n;7)=>d;352<=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"49m0::;74$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm41g94?3=83:p(>mj:302?M5c02B8h=5+30f9530>3-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj=:m6=4::183!5dm389=6F5<5<j?;%12`?71>01/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a044=83?1<7>t$2af>7463A9o46F=831vn9?<:186>5<7s-9hi7<=1:J0`==O;m:0(>?k:045=>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg26<3:197>50z&0g`<5:;1C?i64H2f3?!56l3;=:45+23g950=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm40794?3=83:p(>mj:302?M5c02B8h=5ff583>>o6:j0;66g>3e83>>o4jh0;66a>{e<8<1<7;50;2x 6eb2;897E=k8:J0`5=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm40594?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl;1983>0<729q/?nk52338L6b?3A9o<6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg26i3:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f17e290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a04e=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`75a<72021:?469zJ0`5=#;jo1=;;n;[d0>0}3n3=368>57`84f?{nil0;6)=m5;cg?k5e<3:07dol:18'7g3=im1e?o:51:9j5d5=83.8n84>a39m7g2=821b=l?50;&0f0<6i;1e?o:51:9j5d6=83.8n84>a39m7g2=:21b=4h50;&0f0<6i;1e?o:53:9j5a39m7g2=<21b=4j50;&0f0<6i;1e?o:55:9j5a39m7g2=>21b=4l50;&0f0<6i;1e?o:57:9j5a39m7g2=021b=4650;&0f0<6i;1e?o:59:9j5<1=83.8n84>a39m7g2=i21b=4850;&0f0<6i;1e?o:5b:9j5<3=83.8n84>a39m7g2=k21b=4:50;&0f0<6i;1e?o:5d:9j5<5=83.8n84>a39m7g2=m21b=4<50;&0f0<6i;1e?o:5f:9j5<7=83.8n84>a39m7g2=9910e<7?:18'7g3=9h80b>l;:038?l7?m3:1(>l::0c1?k5e<3;976g>8e83>!5e=3;j>6`=n91i1<7*1=954i0:a>5<#;k?1=l<4n2`7>43<3`;3m7>5$2`6>4g53g9i87?9;:k2<<<72-9i97?n2:l0f1<6?21b=5650;&0f0<6i;1e?o:51998m4>0290/?o;51`08j6d328307d?76;29 6d228k97c=m4;3b?>o60<0;6)=m5;3b6>h4j=0:n65f19194?"4j<0:m?5a3c695f=6f:9j52c=83.8n84>a39m7g2=:910e<9k:18'7g3=9h80b>l;:338?l70k3:1(>l::0c1?k5e<38976g>7c83>!5e=3;j>6`=n9>k1<7*1>954i05:>5<#;k?1=l<4n2`7>73<3`;j57>5$2`6>4g53g9i87<9;:k2e=<72-9i97?n2:l0f1<5?21b=l950;&0f0<6i;1e?o:52998m4g1290/?o;51`08j6d32;307d?n5;29 6d228k97c=m4;0b?>o6i=0;6)=m5;3b6>h4j=09n65f18c94?"4j<0:m?5a3c696f=61=65f3e794?=n9;i1<75f3b494?=n9:21<75`1e794?"4j<0:h95a3c694>=h9m91<7*1=65`1e094?"4j<0:h95a3c696>=h9m;1<7*1?65`1e294?"4j<0:h95a3c690>=h9jl1<7*1965`1bg94?"4j<0:h95a3c692>=h9jn1<7*1;65`1b`94?"4j<0:h95a3c69<>=h9jk1<7*1565`1b;94?"4j<0:h95a3c69e>=h9j21<7*1n65`1b594?"4j<0:h95a3c69g>=h9j<1<7*1h65`1b794?"4j<0:h95a3c69a>=h9j>1<7*1j65`1b194?"4j<0:h95a3c6955=63:9l5gc=83.8n84>d59m7g2=9=10c0b>l;:078?j7ek3:1(>l::0f7?k5e<3;=76a>bc83>!5e=3;o86`=h9kk1<7*1=554o0`:>5<#;k?1=i:4n2`7>4?<3f;i47>5$2`6>4b33g9i87?n;:m2f2<72-9i97?k4:l0f1<6j21d=o;50;&0f0<6l=1e?o:51b98k4d3290/?o;51e68j6d328n07b?m3;29 6d228n?7c=m4;3f?>i6j80;6)=m5;3g0>h4j=0:j65`1c294?"4j<0:h95a3c6965=6d59m7g2=:=10c0b>l;:378?j7cj3:1(>l::0f7?k5e<38=76a>d`83>!5e=3;o86`=h9m31<7*1>554o0f;>5<#;k?1=i:4n2`7>7?<3f;o;7>5$2`6>4b33g9i87i6ih0;6)=m5;3g0>h4j=09j65`21594?"4j<09<;5a3c694>=h:9?1<7*1=65`21694?"4j<09<;5a3c696>=h:991<7*1?65`21094?"4j<09<;5a3c690>=h:9;1<7*1965`21294?"4j<09<;5a3c692>=h9ol1<7*1;65`1gf94?"4j<09<;5a3c69<>=h9oi1<7*1565`1g`94?"4j<09<;5a3c69e>=h9ok1<7*1n65`1g;94?"4j<09<;5a3c69g>=h9o21<7*1h65`1g594?"4j<09<;5a3c69a>=h9o<1<7*1j65`1g794?"4j<09<;5a3c6955=6?>9;o1a0?7532e:j<4?:%1a1?47>2d8n94>3:9l5c6=83.8n84=079m7g2=9=10cl;:078?j7bm3:1(>l::325?k5e<3;=76a>ee83>!5e=38;:6`=h9li1<7*1=554o0ga>5<#;k?1>=84n2`7>4?<3f;nm7>5$2`6>7613g9i87?n;:m2a<<72-9i97i6m:0;6)=m5;032>h4j=0:j65`1d094?"4j<09<;5a3c6965=6?>9;o1a0?4532e:hk4?:%1a1?47>2d8n94=3:9l5ac=83.8n84=079m7g2=:=10cl;:378?j47l3:1(>l::325?k5e<38=76a=0b83>!5e=38;:6`=h:9h1<7*1>554o32b>5<#;k?1>=84n2`7>7?<3f8;57>5$2`6>7613g9i87i6lj0;6)=m5;032>h4j=09j65m40g94?7=83:p(>mj:304?M5c02B8h=5`23494?=zj=;m6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm43294?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd3:80;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo:=2;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f144290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi8?:50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`760<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<9:7>54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb504>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e<;21<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg25i3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn95<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a07e=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1ai4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj=8m6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm42294?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd3;80;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo:<2;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f154290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi8>:50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`770<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<8:7>54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb514>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e<:21<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg24i3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn9=m:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a06e=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj=9m6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm45294?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd3<80;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo:;2;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f124290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi89:50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`700<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<?:7>54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb564>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e<=21<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg23i3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn9:m:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a01e=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj=>m6=4k:183!5dm39in6F5f8g83>>o>93:17d7<:188m<2=831b=>j50;9j644=831b><=50;9j642=831b><;50;9j7a5=831b?i:50;9l7fd=831d>1<75`3b`94?=h:8;1<75rb572>5N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j56b=831b><<50;9j645=831b><:50;9j643=831b?i=50;9j7a2=831d?nl50;9l647=831vn9;=:18g>5<7s-9hi7=mb:J0`==O;m:0(?5;h;0>5<1<75f12f94?=n:881<75f20194?=n:8>1<75f20794?=n;m91<75f3e694?=h;jh1<75`20394?=zj=?86=4k:183!5dm39in6F5f8g83>>o>93:17d7<:188m<2=831b=>j50;9j644=831b><=50;9j642=831b><;50;9j7a5=831b?i:50;9l7fd=831d>1<75`3b`94?=h:8;1<75rb576>5N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j56b=831b><<50;9j645=831b><:50;9j643=831b?i=50;9j7a2=831d?nl50;9l647=831vn9;9:18g>5<7s-9hi7=mb:J0`==O;m:0(?5;h;0>5<1<75f12f94?=n:881<75f20194?=n:8>1<75f20794?=n;m91<75f3e694?=h;jh1<75`20394?=zj=?<6=4k:183!5dm39in6F5f8g83>>o>93:17d7<:188m<2=831b=>j50;9j644=831b><=50;9j642=831b><;50;9j7a5=831b?i:50;9l7fd=831d>1<75`3b`94?=h:8;1<75rb57:>5N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j56b=831b><<50;9j645=831b><:50;9j643=831b?i=50;9j7a2=831d?nl50;9l647=831vn9;n:18g>5<7s-9hi7=mb:J0`==O;m:0(?5;h;0>5<1<75f12f94?=n:881<75f20194?=n:8>1<75f20794?=n;m91<75f3e694?=h;jh1<75`20394?=zj=?i6=4k:183!5dm39in6F5f8g83>>o>93:17d7<:188m<2=831b=>j50;9j644=831b><=50;9j642=831b><;50;9j7a5=831b?i:50;9l7fd=831d>1<75`3b`94?=h:8;1<75rb57g>5N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j56b=831b><<50;9j645=831b><:50;9j643=831b?i=50;9j7a2=831d?nl50;9l647=831vn9;j:18g>5<7s-9hi7=mb:J0`==O;m:0(?5;h;0>5<1<75f12f94?=n:881<75f20194?=n:8>1<75f20794?=n;m91<75f3e694?=h;jh1<75`20394?=zj=?m6=4k:183!5dm39in6F5f8g83>>o>93:17d7<:188m<2=831b=>j50;9j644=831b><=50;9j642=831b><;50;9j7a5=831b?i:50;9l7fd=831d>1<75`3b`94?=h:8;1<75rb542>5N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j56b=831b><<50;9j645=831b><:50;9j643=831b?i=50;9j7a2=831d?nl50;9l647=831vn98=:18g>5<7s-9hi7=mb:J0`==O;m:0(?5;h;0>5<1<75f12f94?=n:881<75f20194?=n:8>1<75f20794?=n;m91<75f3e694?=h;jh1<75`20394?=zj=<86=4k:183!5dm39in6F5f8g83>>o>93:17d7<:188m<2=831b=>j50;9j644=831b><=50;9j642=831b><;50;9j7a5=831b?i:50;9l7fd=831d>1<75`3b`94?=h:8;1<75rb546>5N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j56b=831b><<50;9j645=831b><:50;9j643=831b?i=50;9j7a2=831d?nl50;9l647=831vn989:18g>5<7s-9hi7=mb:J0`==O;m:0(?5;h;0>5<1<75f12f94?=n:881<75f20194?=n:8>1<75f20794?=n;m91<75f3e694?=h;jh1<75`20394?=zj=<<6=4k:183!5dm39in6F5f8g83>>o>93:17d7<:188m<2=831b=>j50;9j644=831b><=50;9j642=831b><;50;9j7a5=831b?i:50;9l7fd=831d>1<75`3b`94?=h:8;1<75rb54:>5N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j56b=831b><<50;9j645=831b><:50;9j643=831b?i=50;9j7a2=831d?nl50;9l647=831vn98n:18g>5<7s-9hi7=mb:J0`==O;m:0(?5;h;0>5<1<75f12f94?=n:881<75f20194?=n:8>1<75f20794?=n;m91<75f3e694?=h;jh1<75`20394?=zj=5f8g83>>o>93:17d7<:188m<2=831b=>j50;9j644=831b><=50;9j642=831b><;50;9j7a5=831b?i:50;9l7fd=831d>1<75`3b`94?=h:8;1<75rb54g>5N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j56b=831b><<50;9j645=831b><:50;9j643=831b?i=50;9j7a2=831d?nl50;9l647=831vn98j:18g>5<7s-9hi7=mb:J0`==O;m:0(?5;h;0>5<1<75f12f94?=n:881<75f20194?=n:8>1<75f20794?=n;m91<75f3e694?=h;jh1<75`20394?=zj=5f8g83>>o>93:17d7<:188m<2=831b=>j50;9j644=831b><=50;9j642=831b><;50;9j7a5=831b?i:50;9l7fd=831d>1<75`3b`94?=h:8;1<75rb552>5N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j56b=831b><<50;9j645=831b><:50;9j643=831b?i=50;9j7a2=831d?nl50;9l647=831vn99=:18g>5<7s-9hi7=mb:J0`==O;m:0(?5;h;0>5<1<75f12f94?=n:881<75f20194?=n:8>1<75f20794?=n;m91<75f3e694?=h;jh1<75`20394?=zj==86=4k:183!5dm39in6F5f8g83>>o>93:17d7<:188m<2=831b=>j50;9j644=831b><=50;9j642=831b><;50;9j7a5=831b?i:50;9l7fd=831d>1<75`3b`94?=h:8;1<75rb556>5N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j56b=831b><<50;9j645=831b><:50;9j643=831b?i=50;9j7a2=831d?nl50;9l647=831vn999:18g>5<7s-9hi7=mb:J0`==O;m:0(?5;h;0>5<1<75f12f94?=n:881<75f20194?=n:8>1<75f20794?=n;m91<75f3e694?=h;jh1<75`20394?=zj==<6=4k:183!5dm39in6F5f8g83>>o>93:17d7<:188m<2=831b=>j50;9j644=831b><=50;9j642=831b><;50;9j7a5=831b?i:50;9l7fd=831d>1<75`3b`94?=h:8;1<75rb55:>5N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j56b=831b><<50;9j645=831b><:50;9j643=831b?i=50;9j7a2=831d?nl50;9l647=831vn99n:18g>5<7s-9hi7=mb:J0`==O;m:0(?5;h;0>5<1<75f12f94?=n:881<75f20194?=n:8>1<75f20794?=n;m91<75f3e694?=h;jh1<75`20394?=zj==i6=4k:183!5dm39in6F5f8g83>>o>93:17d7<:188m<2=831b=>j50;9j644=831b><=50;9j642=831b><;50;9j7a5=831b?i:50;9l7fd=831d>1<75`3b`94?=h:8;1<75rb55g>5N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j56b=831b><<50;9j645=831b><:50;9j643=831b?i=50;9j7a2=831d?nl50;9l647=831vn99j:18g>5<7s-9hi7=mb:J0`==O;m:0(?5;h;0>5<1<75f12f94?=n:881<75f20194?=n:8>1<75f20794?=n;m91<75f3e694?=h;jh1<75`20394?=zj==m6=4<:183!5dm38896F5<5<m64?4=573><7<5=?:64?4=571><7<5=?864?4=577><7<5=?>64?4=575><7<5=?<64?4=57;><7<5=?264?4=57b><7<5=?i64?4=57`><7<5=?o64?4=57f><7<5=?m64?4=543><7<5=<:64?4=541><7<5=<864?4=547><7<5=<>64?4=545><7<5=<<64?4=54;><7<5=<264?4=54b><7<5=<7<5=<7<5=<7<5==:64?4=551><7<5==864?4=557><7<5==>64?4=555><7<5==<64?4=55;><7<5==264?4=55b><7<5==i64?4=55`><7<5==o64?4=55f><7m65h4=573>=`<5=?:65h4=571>=`<5=?865h4=577>=`<5=?>65h4=575>=`<5=?<65h4=57;>=`<5=?265h4=57b>=`<5=?i65h4=57`>=`<5=?o65h4=57f>=`<5=?m65h4=543>=`<5=<:65h4=541>=`<5=<865h4=547>=`<5=<>65h4=545>=`<5=<<65h4=54;>=`<5=<265h4=54b>=`<5==`<5==`<5==`<5==:65h4=551>=`<5==865h4=557>=`<5==>65h4=555>=`<5==<65h4=55;>=`<5==265h4=55b>=`<5==i65h4=55`>=`<5==o65h4=55f>=`;<64a?74l2wx=kok:181[7f827?;i4>3e9~w4`fm3:1>vP>9g9>02?=9:n0q~?iag83>7}Y90o0199m:01g?xu6nk:1<75<5sW;2o63;77827a=z{8li>7>52z\2=g=:<>21=>j4}r3ef6<72;qU=474=554>45c3ty:jo:50;0xZ4??34>0;6?uQ18789117289o7p}>fc:94?4|V83?70:82;30`>{t9oh26=4={_3:7>;3?80:?i5rs0dae?6=:rT:5?5247f956b3e9~w4`em3:1>vP>8e9>03e=9:n0q~?ibg83>7}Y91i0198m:01g?xu6nj:1<75<5sW;3m63;68827a=z{8lh>7>52z\2<<=:j4}r3eg6<72;qU=564=547>45c3ty:jn:50;0xZ4>034>=:7?0;6?uQ19189104289o7p}>fb:94?4|V82970:92;30`>{t9oi26=4={_3;5>;3=l0:?i5rs0d`e?6=:rT:;k52472956b3e9~w4`dm3:1>vP>7c9>00e=9:n0q~?icg83>7}Y9>k019;7:01g?xu6nm:1<75<5sW;j563;58827a=z{8lo>7>52z\2e==:<j4}r3e`6<72;qU=l94=574>45c3ty:ji:50;0xZ4g134>>:7?0;6?uQ18c89134289o7p}>fe:94?4|V82m70:;f;30`>{t9on26=4={_3;0>;3=80:?i5rs0dge?6=:rT:;552442956bm6??<;<664?46;27?9<4=129>004=:89019;<:330?822<38:?63;548156=:<<<1><=4=574>77434>>47<>3:?71<<59:1688o52018913e2;;870::c;027>;3=m09=>5244g9645<5=?m6??<;<654?46;27?:<4=129>034=:890198<:330?821<38:?63;648156=:<=4=544>77434>=47<>3:?72<<59:168;o52018910e2;;870:9c;027>;3>m09=>5247g9645<5=024=:890199<:330?820<38:?63;748156=:<><1><=4=554>77434><47<>3:?73<<59:168:o52018911e2;;870:8c;027>;3?m09=>5246g9645m6??=;<664?46:27?9<4=139>004=:88019;<:331?822<38:>63;548157=:<<<1><<4=574>77534>>47<>2:?71<<59;1688o52008913e2;;970::c;026>;3=m09=?5244g9644<5=?m6??=;<654?46:27?:<4=139>034=:880198<:331?821<38:>63;648157=:<<4=544>77534>=47<>2:?72<<59;168;o52008910e2;;970:9c;026>;3>m09=?5247g9644<5=024=:880199<:331?820<38:>63;748157=:<><1><<4=554>77534><47<>2:?73<<59;168:o52008911e2;;970:8c;026>;3?m09=?5246g9644513a89146288h70:=2;31g>;3::0:>n52436957e<5=8>6<:4>2b9>07>=9;i019<6:00`?825i3;9o63;2c826f=:<;i1=?m4=50g>44d34>9i7?=c:?76c<6:j168>>513a89156288h70:<2;31g>;3;:0:>n52426957e<5=9>6<2b9>06>=9;i019=6:00`?824i3;9o63;3c826f=:<:i1=?m4=51g>44d34>8i7?=c:?77c<6:j1689>513a89126288h70:;2;31g>;3<:0:>n52456957e<5=>>6<2b9>01>=9;i019:6:00`?823i3;9o63;4c826f=:<=i1=?m4=56g>44d34>?i7?=c:?73c<5:h1v019<>:g6891452o>019<<:g6891432o>019<::g6891412o>019<8:g68914?2o>019<6:g68914f2o>019019019019=>:g6891552o>019=<:g6891532o>019=::g6891512o>019=8:g68915?2o>019=6:g68915f2o>019=m:g68915d2o>019=k:g68915b2o>019=i:g6891272o>019:>:g6891252o>019:<:g6891232o>019:::g6891212o>019:8:g68912?2o>019:6:g68912f2o>019:m:g68912d2o>019:k:g68912b2o>019:i:2f0?822839o?63;5080`6=:<<81?i=4=570>6b434>>87=k3:?710<4l:1688853e1891302:n870::8;1g7>;3=008h>5244c97a5<5=?i6>j<;<66g?5c;27?9i400c=;m9019;i:2f0?821839o?63;6080`6=:6b434>=87=k3:?720<4l:168;853e1891002:n870:98;1g7>;3>008h>5247c97a5<5=j<;<65g?5c;27?:i403c=;m90198i:2f0?820839o?63;7080`6=:<>81?i=4=550>6b434><87=k3:?730<4l:168:853e1891102:n870:88;1g7>;3?008h>5246c97a5<5==i6>j<;<64g?5c;27?;i402c=;m90q~?ie183>7}Y:9=019:j:2`;?xu6nl;1<75<5sW8;863;4b80f==z{8ln?7>52z\146=:<=h1?o64}r3ea1<72;qU>=<4=56b>6d?3ty:jh;50;0xZ76634>?57=m8:p5cc12909wSfd;94?4|V8lh70:;5;1a<>{t9ooj6=4={_3ef>;3<=08n55rs0dff?6=:rT:jl5245197g>96>l7;|q2b`b=838pRvP>f79>06`=;k20q~?if183>7}Y9o?019=j:2`;?xu6no;1<75<5sW;m>63;3b80f==z{8lm?7>52z\2b4=:<:h1?o64}r3eb1<72;qU=k>4=51b>6d?3ty:jk;50;0xZ4ca34>857=m8:p5c`12909wS?je:?77=<4j11v953c:8yv7an10;6?uQ1da891512:h37p}>fg;94?4|V8oi70:<5;1a<>{t9olj6=4={_3fe>;3;=08n55rs0def?6=:rT:i45242197g>l7;|q2bcb=838pRvP>e29>07`=;k20q~7}Y9l80195<5sW;n<63;2b80f==z{;:;?7>52z\2`c=:<;h1?o64}r0341<72;qU=ik4=50b>6d?3ty9<=;50;0xZ4bc34>957=m8:p65612909wS?7;296~X58j168?953c:8yv47810;6?uQ21`891412:h37p}=01;94?4|V;:j70:=5;1a<>{t:9:j6=4={_03=>;3:=08n55rs323f?6=:rT9<55243197g>l7;|q145b=838pR=>j:181[7b027?>=4vP>db9>04`=;k20q~f7|5=;n6?<9;<67b??434>?j77;;<67b?46<27?8k4=149>006=1:1688>5959>006=:8>019;?:336?822933870::1;;7?822938:863;508150=:<<815>524409=1=:<<81><:4=571>77234>>?77<;<667??334>>?7<>4:?716<59<1688:5929>002=1=1688:5206891332;;>70::5;;0?822=33?70::5;020>;3=<09=8524449=6=:<<<159524449642<5=?=6??:;<663??434>>;77;;<663?46<27?9:4=149>00>=1:168865959>00>=:8>019;7:336?822133870::9;;7?822138:863;588150=:<5244c9=1=:<<:4=57b>77234>>n77<;<66f??334>>n7<>4:?71g<59<1688m5929>00e=1=1688m52068913d2;;>70::d;;0?822l33?70::d;020>;3=m09=85244g9=6=:<>j77;;<66b?46<27?9k4=149>036=1:168;>5959>036=:8>0198?:336?821933870:91;;7?821938:863;608150=:524709=1=:<:4=541>77234>=?77<;<657??334>=?7<>4:?726<59<168;:5929>032=1=168;:5206891032;;>70:95;;0?821=33?70:95;020>;3><09=8524749=6=:=;77;;<653?46<27?::4=149>03>=1:168;65959>03>=:8>01987:336?821133870:99;;7?821138:863;688150=:5247c9=1=:<:4=54b>77234>=n77<;<65f??334>=n7<>4:?72g<59<168;m5929>03e=1=168;m52068910d2;;>70:9d;;0?821l33?70:9d;020>;3>m09=85247g9=6=:=j77;;<65b?46<27?:k4=149>026=1:168:>5959>026=:8>0199?:336?820933870:81;;7?820938:863;708150=:<>815>524609=1=:<>81><:4=551>77234>4:?736<59<168::5929>022=1=168::5206891132;;>70:85;;0?820=33?70:85;020>;3?<09=8524649=6=:<><159524649642<5===6??:;<643??434><;77;;<643?46<27?;:4=149>02>=1:168:65959>02>=:8>01997:336?820133870:89;;7?820138:863;788150=:<>k15>5246c9=1=:<>k1><:4=55b>77234>4:?73g<59<168:m5929>02e=1=168:m52068911d2;;>70:8d;;0?820l33?70:8d;020>;3?m09=85246g9=6=:<>o1595246g9642<5==n6??:;|q1447=838p19?i:01g?822838:=6s|2131>5<5s4>9<7?>3;296~;3:80:?i5245d9647074=9:n019;<:332?xu588?1<745c34>>87<>1:p65712909w0:=4;30`>;3=;09=<5rs3223?6=:r7?>84>3e9>000=:8;0q~7}:<;<1=>j4=574>7763ty9<<750;0x9140289o70::5;025>{t:9;j6=4={<61v3;28827a=:<=?j:181825k3;8h63;5e8154=z{;::j7>52z?76a<6;m1688l52038yv47:90;6?u243g956b<5=?m6??>;|q1477=838p195<5s4>8<7?=3;296~;3;80:?i52470964794?:3y>064=9:n0198<:332?xu58;?1<745c34>==7<>1:p65412909w0:<4;30`>;3><09=<5rs3213?6=:r7??84>3e9>030=:8;0q~7}:<:<1=>j4=547>7763ty9{t:98j6=4={<60v3;38827a=:o512f8910e2;;:7p}=03f94?4|5=9i6<=k;<65g?4692wx>=52z?77a<6;m168;k52038yv47;90;6?u242g956b<5=;|q1467=838p19=i:01g?821l38:=6s|2111>5<5s4>?<7?<3;296~;3<80:?i524609647014=9:n0199?:332?xu58:?1<745c34><87<>1:p65512909w0:;4;30`>;3?<09=<5rs3203?6=:r7?884>3e9>025=:8;0q~7}:<=<1=>j4=554>7763ty9<>750;0x9120289o70:88;025>{t:99j6=4={<67v3;48827a=:<>k1>i6<=k;<64=?4692wx>==j:181823k3;8h63;7e8154=z{;:8j7>52z?70a<6;m168:k52038yv47<90;6?u245g956b<5==h6??>;|q1417=839:w0:;f;1g0>;3=908h95244397a2<5=?96>j;;<667?5c<27?994003=;m>019;9:2f7?822?39o863;5980`1=:<<31?i:4=57b>6b334>>n7=k4:?71f<4l=1688j53e68913b2:n?70::f;1g0>;3>908h95247397a2<5=<96>j;;<657?5c<27?:94033=;m>01989:2f7?821?39o863;6980`1=:6b334>=n7=k4:?72f<4l=168;j53e68910b2:n?70:9f;1g0>;3?908h95246397a2<5==96>j;;<647?5c<27?;94023=;m>01999:2f7?820?39o863;7980`1=:<>31?i:4=55b>6b334>{zj=2;6=4::183!5dm389>6F5;h31g?6=3`;8h7>5;h1`1?6=3f9i47>5;|`7<4<72<0;6=u+3bg9677<@:n37E=k0:&05a<6>?30(?n4?::k27a<722c8nl4?::m0f=<722wi85<50;794?6|,:in6?8?;I1g<>N4l91/?0;29?l5d=3:17b=>0;29?j4493:17pl;8283>0<729q/?nk52728L6b?3A9o<6*<1e8223?5<6=44o233>5<j?;%12`?71>01b=>950;9j666=831d?<>50;9~f1>2290>6=4?{%1`a?4182B8h55G3e28 67c28<=56g>3683>>o5990;66g>i4990;66a=3083>>{e<1<1<7:50;2x 6eb2;?m7E=k8:J0`5=#;8n1=;86;h303?6=3`8:<7>5;h1`1?6=3f9:<7>5;|`7<2<72:0;6=u+3bg97a1<@:n37E=k0:&05a<6>?30e<=8:188m7572900c>??:188yg2?03:197>50z&0g`<5>91C?i64H2f3?!56l3;=:45f12594?=n:8:1<75f3b794?=h;8:1<75`22394?=zj=226=4::183!5dm38=<6FN4l91/?0;29?l5d=3:17b=>0;29?xd30k0;6>4?:1y'7fc=;m=0D>j7;I1g4>"49m0::;74i014>5<5<3o7>55;294~"4kl09:=5G3e:8L6b73-9:h7?9689j561=831b><>50;9j7f3=831d?<>50;9l667=831vn96k:186>5<7s-9hi7<90:J0`==O;m:0(>?k:045=>o6;>0;66g=1183>>o4k<0;66a<1183>>i5;80;66sm49g94?2=83:p(>mj:37e?M5c02B8h=5+30f9530>3`;8;7>5;h024?6=3`9h97>5;n124?6=3th?4k4?:283>5}#;jo1?i94H2f;?M5c82.8=i4>67;8m4502900e?=?:188k6772900qo:60;291?6=8r.8oh4=619K7a><@:n;7)=>d;352<=n9:=1<75f20294?=n;j?1<75`30294?=h::;1<75rb5;2>5<3290;w)=le;06b>N4l11C?i>4$23g>40112c:?:4?::k155<722c8o84?::m055<722wi84<50;194?6|,:in6>j8;I1g<>N4l91/?0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo:64;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn97::186>5<7s-9hi7<=1:J0`==O;m:0(>?k:045=>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg2>>3:197>50z&0g`<5:81C?i64H2f3?!56l3;=:45+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl;9683>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo:68;291?6=8r.8oh4=209K7a><@:n;7)=>d;352<=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"49m0::;74$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm48c94?3=83:p(>mj:302?M5c02B8h=5+30f9530>3-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj=3i6=4::183!5dm389=6F5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj=3o6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm48g94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd31o0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo:n0;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f1g6290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi8l<50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`7e6<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j87>54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb5c6>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg2f03:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn9o6:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a0dg=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?i94H2f;?M5c82.8=i4>67;8m4502900e?=?:188k6772900qo:nc;291?6=8r.8oh4=619K7a><@:n;7)=>d;352<=n9:=1<75f20294?=n;j?1<75`30294?=h::;1<75rb5cg>5<4290;w)=le;1g3>N4l11C?i>4$23g>40112c:?:4?::k175<722e8==4?::a0dc=83>1<7>t$2af>7063A9o46F6<729q/?nk53e58L6b?3A9o<6*<1e8223?5<j?;%12`?71>01b=>950;9j646=831b?n;50;9l746=831d>>?50;9~f1d629086=4?{%1`a?5c?2B8h55G3e28 67c28<=56g>3683>>o5;90;66a<1183>>{e5;h1`1?6=3f88=7>5;|`7f6<72:0;6=u+3bg97a1<@:n37E=k0:&05a<6>?30e<=8:188m7572900c>??:188yg2e<3:1?7>50z&0g`<4l>1C?i64H2f3?!56l3;=:45f12594?=n:::1<75`30294?=zj=h>6=4;:183!5dm38>j6Ft$2af>7073A9o46F0;694?:1y'7fc=:?;0D>j7;I1g4>"49m0::;74i014>5<5<j?;%12`?71>01b=>950;9j646=831b?n;50;9l667=831vn9l6:180>5<7s-9hi7=k7:J0`==O;m:0(>?k:045=>o6;>0;66g=3183>>i4990;66sm4cc94?3=83:p(>mj:343?M5c02B8h=5+30f9530>3`;8;7>5;h024?6=3`9h97>5;n124?6=3f88=7>5;|`7fg<72:0;6=u+3bg97a1<@:n37E=k0:&05a<6>?30e<=8:188m7572900c>??:188yg2ek3:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f1dc290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a0gc=83>1<7>t$2af>77a3A9o46Fn4?::k27a<722e8n54?::a0g`=8391<7>t$2af>6b03A9o46F0;29?xd3k90;684?:1y'7fc=:?:0D>j7;I1g4>"49m0::;74i014>5<5<5<h=7>55;294~"4kl09:=5G3e:8L6b73-9:h7?9689j561=831b><>50;9j7f3=831d?<>50;9l667=831vn9m=:186>5<7s-9hi7<90:J0`==O;m:0(>?k:045=>o6;>0;66g=1183>>o4k<0;66a<1183>>i5;80;66sm4b194?3=83:p(>mj:343?M5c02B8h=5+30f9530>3`;8;7>5;h024?6=3`9h97>5;n124?6=3f88=7>5;|`7g1<72<0;6=u+3bg9636<@:n37E=k0:&05a<6>?30e<=8:188m7772900e>m::188k6772900c?=>:188yg2d=3:197>50z&0g`<5>91C?i64H2f3?!56l3;=:45f12594?=n:8:1<75f3b794?=h;8:1<75`22394?=zj=i=6=4::183!5dm38=<6F;I1g<>N4l91/?j50;9j7gg=831d?o650;9~f1e?290>6=4?{%1`a?45:2B8h55G3e28 67c28<=56*=2d821>oa<3:17d?=c;29?l74l3:17d=l5;29?j5e03:17pl;c883>6<729q/?nk53e58L6b?3A9o<6*<1e8223?5<j?;%12`?71>01b=>950;9j646=831b?n;50;9l746=831d>>?50;9~f1ee290>6=4?{%1`a?4182B8h55G3e28 67c28<=56g>3683>>o5990;66g>i4990;66a=3083>>{e5;h1`1?6=3f9:<7>5;n005?6=3th?oi4?:483>5}#;jo1>;>4H2f;?M5c82.8=i4>67;8m4502900e???:188m6e22900c>??:188k7562900qo:le;291?6=8r.8oh4=619K7a><@:n;7)=>d;352<=n9:=1<75f20294?=n;j?1<75`30294?=h::;1<75rb5ae>5<2290;w)=le;054>N4l11C?i>4$23g>40112c:?:4?::k155<722c8o84?::m055<722e9?<4?::a0a6=83?1<7>t$2af>7463A9o46F=831vn9j>:186>5<7s-9hi7<=2:J0`==O;m:0(>?k:045=>"5:l0:96gi4;29?l75k3:17d?j7;I1g4>"49m0::;74$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm4e194?3=83:p(>mj:343?M5c02B8h=5+30f9530>3`;8;7>5;h024?6=3`9h97>5;n124?6=3f88=7>5;|`7`1<72=0;6=u+3bg960`<@:n37E=k0:&05a<6>?30e<=8:188m7772900e>m::188k6772900qo:k5;297?6=8r.8oh4<@:n;7)=>d;352<=n9:=1<75f22294?=h;8:1<75rb5f5>5<2290;w)=le;054>N4l11C?i>4$23g>40112c:?:4?::k155<722c8o84?::m055<722e9?<4?::a0a1=83?1<7>t$2af>7073A9o46Fj7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg2c13:1?7>50z&0g`<4l>1C?i64H2f3?!56l3;=:45f12594?=n:::1<75`30294?=zj=nj6=4<:183!5dm39o;6FN4l91/?0;29?l5d=3:17b=>0;29?xd3lj0;684?:1y'7fc=:?:0D>j7;I1g4>"49m0::;74i014>5<5<5<oh7>54;294~"4kl09:<5G3e:8L6b73-9:h7?9689j561=831b><>50;9j7f3=831d>>?50;9~f1bb29086=4?{%1`a?5c?2B8h55G3e28 67c28<=56g>3683>>o5;90;66a<1183>>{e5;n124?6=3th?i=4?:483>5}#;jo1>;>4H2f;?M5c82.8=i4>67;8m4502900e???:188m6e22900c>??:188k7562900qo:j1;290?6=8r.8oh4=609K7a><@:n;7)=>d;352<=n9:=1<75f20294?=n;j?1<75`22394?=zj=o96=4;:183!5dm38:j6F1<75f13a94?=n9:n1<75`3c:94?=zj=o86=4<:183!5dm39o;6Fj8;I1g<>N4l91/?1<729q/?nk524d8L6b?3A9o<6*<1e8223?5<6=44o233>5<n:7>55;294~"4kl09:=5G3e:8L6b73-9:h7?9689j561=831b><>50;9j7f3=831d?<>50;9l667=831vn9k8:187>5<7s-9hi7<91:J0`==O;m:0(>?k:045=>o6;>0;66g=1183>>o4k<0;66a=3083>>{e5;n124?6=3th?i44?:283>5}#;jo1?i94H2f;?M5c82.8=i4>67;8m4502900e?=?:188k6772900qo:ja;291?6=8r.8oh4=619K7a><@:n;7)=>d;352<=n9:=1<75f20294?=n;j?1<75`30294?=h::;1<75rb5ga>5<3290;w)=le;055>N4l11C?i>4$23g>40112c:?:4?::k155<722c8o84?::m174<722wi8hm50;694?6|,:in6?8>;I1g<>N4l91/?0;29?l5d=3:17b<<1;29?xd3mm0;6>4?:1y'7fc=;m=0D>j7;I1g4>"49m0::;74i014>5<5<ni7>55;294~"4kl09:=5G3e:8L6b73-9:h7?9689j561=831b><>50;9j7f3=831d?<>50;9l667=831vn9ki:180>5<7s-9hi7=k7:J0`==O;m:0(>?k:045=>o6;>0;66g=3183>>i4990;66sm4g294?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd3n80;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo:i2;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn9h<:1821d<729q/?nk52058L6b?3A9o<6Ti3;`xi1=h4>b;72>x"49m0::;74n8294>h>:3;0bo951:lah59?0:7c<:e;38j67d281e?o951:&0g1<4km1b=>>50;9j7gc=831b?oh50;9j567=831b?n>50;9j562=831b=?h50;9l57g=831b?n<50;9j7f7=831b=>650;9j7gb=831b=>=50;9j57c=831b=?850;9j563=831b=?j50;9l57?=831b?om50;9j7f5=831bo?4?:%1a1?e63g9i87>4;ha3>5<#;k?1o<5a3c695>=njo0;6)=m5;a2?k5e<3807dlj:18'7g3=k81e?o:53:9jfa<72-9i97m>;o1a0?2<3`hh6=4+3c79g4=i;k>1965fbc83>!5e=3i:7c=m4;48?ldf290/?o;5c09m7g2=?21boo4?:%1a1?e63g9i8764;hab>5<#;k?1o<5a3c69=>=nk00;6)=m5;a2?k5e<3k07dm7:18'7g3=k81e?o:5b:9jg2<72-9i97m>;o1a0?e<3`i=6=4+3c79g4=i;k>1h65fc483>!5e=3i:7c=m4;g8?le3290/?o;5c09m7g2=n21bo>4?:%1a1?e63g9i87??;:ka=?6=,:h>6n?4n2`7>47<3fo36=4+3c79a2=i;k>1<65`e783>!5e=3o<7c=m4;38?jc2290/?o;5e69m7g2=:21di94?:%1a1?c03g9i87=4;ng0>5<#;k?1i:5a3c690>=hm;0;6)=m5;g4?k5e<3?07bk>:18'7g3=m>1e?o:56:9la5<72-9i97k8;o1a0?1<3fl:6=4+3c79a2=i;k>1465`f183>!5e=3o<7c=m4;;8?jca290/?o;5e69m7g2=i21dih4?:%1a1?c03g9i87l4;ngg>5<#;k?1i:5a3c69g>=hmj0;6)=m5;g4?k5e<3n07bkm:18'7g3=m>1e?o:5e:9lad<72-9i97k8;o1a0?`<3fo26=4+3c79a2=i;k>1==54oed94?"4j<0n;6`=n;>i1<7*1<65f36c94?"4j<08;o5a3c695>=n;>31<7*1>65f36:94?"4j<08;o5a3c697>=n;>=1<7*1865f36494?"4j<08;o5a3c691>=n;>?1<7*1:65f36694?"4j<08;o5a3c693>=n;>81<7*1465f36394?"4j<08;o5a3c69=>=n;>:1<7*1m65f37d94?"4j<08;o5a3c69f>=n;?o1<7*1o65f37f94?"4j<08;o5a3c69`>=n;?i1<7*1i65f37`94?"4j<08;o5a3c69b>=n;?k1<7*1==54i24:>5<#;k?1?:l4n2`7>47<3`9=;7>5$2`6>61e3g9i87?=;:k023<72-9i97=8b:l0f1<6;21b?;;50;&0f0<4?k1e?o:51598m603290/?o;536`8j6d328?07d=93;29 6d22:=i7c=m4;35?>o4>;0;6)=m5;14f>h4j=0:;65f37394?"4j<08;o5a3c695==6>9m;o1a0?7f32c89h4?:%1a1?50j2d8n94>b:9j70e=83.8n84<7c9m7g2=9j10e>;m:18'7g3=;>h0b>l;:0f8?l52i3:1(>l::25a?k5e<3;n76g<5883>!5e=39=n;<21<7*1>=54i274>5<#;k?1?:l4n2`7>77<3`9>:7>5$2`6>61e3g9i87<=;:k010<72-9i97=8b:l0f1<5;21b?8:50;&0f0<4?k1e?o:52598m634290/?o;536`8j6d32;?07d=72;29 6d22:=i7c=m4;05?>o4080;6)=m5;14f>h4j=09;65f39294?"4j<08;o5a3c696==6>9m;o1a0?4f32c8;i4?:%1a1?50j2d8n94=b:9j725=83.8n84<7c9m7g2=:j10e>87:18'7g3=;>h0b>l;:3f8?l52l3:1(>l::25a?k5e<38n76g<5383>!5e=39=n98l1<7*1<65f10f94?"4j<0:=h5a3c695>=n98i1<7*1>65f10`94?"4j<0:=h5a3c697>=n98k1<7*1865f10;94?"4j<0:=h5a3c691>=n9821<7*1:65f10594?"4j<0:=h5a3c693>=n98?1<7*1465f10694?"4j<0:=h5a3c69=>=n9891<7*1m65f10094?"4j<0:=h5a3c69f>=n98;1<7*1o65f10294?"4j<0:=h5a3c69`>=n99l1<7*1i65f11g94?"4j<0:=h5a3c69b>=n99n1<7*1==54i02`>5<#;k?1=47<3`;;m7>5$2`6>47b3g9i87?=;:k24<<72-9i97?>e:l0f1<6;21b==650;&0f0<69l1e?o:51598m460290/?o;510g8j6d328?07d??6;29 6d228;n7c=m4;35?>o68<0;6)=m5;32a>h4j=0:;65f11694?"4j<0:=h5a3c695==6b:9jbc<72-9i97?>e:l0f1<6k21bjh4?:%1a1?76m2d8n94>d:9jba<72-9i97?>e:l0f1<6m21bjn4?:%1a1?76m2d8n94>f:9jbg<72-9i97?>e:l0f1<5821bjl4?:%1a1?76m2d8n94=1:9jb<<72-9i97?>e:l0f1<5:21bj54?:%1a1?76m2d8n94=3:9jb2<72-9i97?>e:l0f1<5<21bj;4?:%1a1?76m2d8n94=5:9j573=83.8n84>1d9m7g2=:?10e<<;:18'7g3=98o0b>l;:358?l75;3:1(>l::03f?k5e<38376g>2383>!5e=3;:i6`=n9;;1<7*1>l54i003>5<#;k?1=7d<3`;::7>5$2`6>47b3g9i87e:l0f1<5l21b==>50;&0f0<69l1e?o:52d98mc3=83.8n84>1d9m7g2=:o10c>:n:18'7g3=;=30b>l;:198k62?290/?o;535;8j6d32810c>:8:18'7g3=;=30b>l;:398k621290/?o;535;8j6d32:10c>:::18'7g3=;=30b>l;:598k623290/?o;535;8j6d32<10c>:<:18'7g3=;=30b>l;:798k625290/?o;535;8j6d32>10c>:?:18'7g3=;=30b>l;:998k65a290/?o;535;8j6d32010c>=j:18'7g3=;=30b>l;:`98k65c290/?o;535;8j6d32k10c>=l:18'7g3=;=30b>l;:b98k65e290/?o;535;8j6d32m10c>=n:18'7g3=;=30b>l;:d98k65>290/?o;535;8j6d32o10c>=7:18'7g3=;=30b>l;:028?j54?3:1(>l::26:?k5e<3;:76a<3483>!5e=39?56`=h;:>1<7*1=>54o210>5<#;k?1?974n2`7>42<3f98>7>5$2`6>62>3g9i87?:;:m074<72-9i97=;9:l0f1<6>21d?>>50;&0f0<4<01e?o:51698k64a290/?o;535;8j6d328207b==e;29 6d22:>27c=m4;3:?>i4:m0;6)=m5;17=>h4j=0:m65`33a94?"4j<08845a3c695g=6>:6;o1a0?7c32e8>54?:%1a1?5312d8n94>e:9l771=83.8n84<489m7g2=9o10c><9:18'7g3=;=30b>l;:328?j55=3:1(>l::26:?k5e<38:76a<2583>!5e=39?56`=h;;91<7*1>>54o201>5<#;k?1?974n2`7>72<3f99=7>5$2`6>62>3g9i87<:;:m015<72-9i97=;9:l0f1<5>21d?9h50;&0f0<4<01e?o:52698k62b290/?o;535;8j6d32;207b=;d;29 6d22:>27c=m4;0:?>i4h4j=09m65`35`94?"4j<08845a3c696g=:6=4+3c7971?6>:6;o1a0?4c32e8>o4?:%1a1?5312d8n94=e:9l776=83.8n84<489m7g2=:o10e>?m:18'7g3=;8k0b>l;:198m67>290/?o;530c8j6d32810e>?7:18'7g3=;8k0b>l;:398m670290/?o;530c8j6d32:10e>?9:18'7g3=;8k0b>l;:598m672290/?o;530c8j6d32<10e>?;:18'7g3=;8k0b>l;:798m674290/?o;530c8j6d32>10e<:7:18'7g3=9==0b>l;:198m421290/?o;51558j6d32810e<:::18'7g3=9==0b>l;:398m423290/?o;51558j6d32:10e<:<:18'7g3=9==0b>l;:598m425290/?o;51558j6d32<10e<:>:18'7g3=9==0b>l;:798m427290/?o;51558j6d32>10e<;>:18'7g3=9==0b>l;:998m437290/?o;51558j6d32010e<:i:18'7g3=9==0b>l;:`98m42b290/?o;51558j6d32k10e<:k:18'7g3=9==0b>l;:b98m42d290/?o;51558j6d32m10e<:m:18'7g3=9==0b>l;:d98m42f290/?o;51558j6d32o10e<:6:18'7g3=9==0b>l;:028?l74n3:1(>l::064?k5e<3;:76a!5e=39jo6`i4ik0;6)=m5;1bg>h4j=0:76a!5e=39jo6`i4i00;6)=m5;1bg>h4j=0876a!5e=39jo6`i4i>0;6)=m5;1bg>h4j=0>76a!5e=39jo6`i4i<0;6)=m5;1bg>h4j=0<76a!5e=39jo6`i4i;0;6)=m5;1bg>h4j=0276a!5e=39jo6`i4i90;6)=m5;1bg>h4j=0i76a<9g83>!5e=39jo6`i41l0;6)=m5;1bg>h4j=0o76a<9e83>!5e=39jo6`i41j0;6)=m5;1bg>h4j=0m76a<9c83>!5e=39jo6`=h;0k1<7*1=<54o2;;>5<#;k?1?lm4n2`7>44<3f92;7>5$2`6>6gd3g9i87?<;:m0=3<72-9i97=nc:l0f1<6<21d?4;50;&0f0<4ij1e?o:51498k6?3290/?o;53`a8j6d328<07b=63;29 6d22:kh7c=m4;34?>i41;0;6)=m5;1bg>h4j=0:465`38394?"4j<08mn5a3c695<=6>ol;o1a0?7e32e84i4?:%1a1?5fk2d8n94>c:9l7=e=83.8n846m:18'7g3=;hi0b>l;:0g8?j5?i3:1(>l::2c`?k5e<3;m76a<8883>!5e=39jo6`=h;121<7*1><54o2:4>5<#;k?1?lm4n2`7>74<3f93:7>5$2`6>6gd3g9i87<<;:m0<0<72-9i97=nc:l0f1<5<21d?5:50;&0f0<4ij1e?o:52498k6d4290/?o;53`a8j6d32;<07b=m2;29 6d22:kh7c=m4;04?>i4j80;6)=m5;1bg>h4j=09465`3c294?"4j<08mn5a3c696<=6>ol;o1a0?4e32e8m94?:%1a1?5fk2d8n94=c:9l76j:18'7g3=;hi0b>l;:3g8?j5?;3:1(>l::2c`?k5e<38m76g6e;29 6d220n0b>l;:198m5$2`6>o>13:1(>l::8f8j6d32=10e4650;&0f0<>l2d8n94:;:k:3?6=,:h>64j4n2`7>3=h4j=0<76gn7;29 6d220n0b>l;:998md0=83.8n846d:l0f1<>32cj97>5$2`6>of;3:1(>l::8f8j6d32j10el<50;&0f0<>l2d8n94k;:kb5?6=,:h>64j4n2`7>`=h4j=0m76g6f;29 6d220n0b>l;:028?l?2290/?o;59e9m7g2=9810c?;9:18'7g3=:l;:198k733290/?o;52478j6d32810c?;<:18'7g3=:l;:398k735290/?o;52478j6d32:10c?;>:18'7g3=:l;:598k737290/?o;52478j6d32<10c?:j:18'7g3=:l;:798k72c290/?o;52478j6d32>10c?:l:18'7g3=:l;:998k72e290/?o;52478j6d32010c?:n:18'7g3=:l;:`98k72>290/?o;52478j6d32k10c?:7:18'7g3=:l;:b98k720290/?o;52478j6d32m10c?:9:18'7g3=:l;:d98k722290/?o;52478j6d32o10c?:<:18'7g3=:l;:028?j43:3:1(>l::376?k5e<3;:76a=4083>!5e=38>96`=h:=:1<7*1=>54o31e>5<#;k?1>8;4n2`7>42<3f88i7>5$2`6>7323g9i87?:;:m17a<72-9i97<:5:l0f1<6>21d>>m50;&0f0<5=<1e?o:51698k75e290/?o;52478j6d328207b<7c=m4;3:?>i5=m0;6)=m5;061>h4j=0:m65`24a94?"4j<09985a3c695g=6?;:;o1a0?7c32e9944?:%1a1?42=2d8n94>e:9l60>=83.8n84=549m7g2=9o10c?;8:18'7g3=:l;:328?j43n3:1(>l::376?k5e<38:76a=4583>!5e=38>96`=h::31<7*1>>54ie794?"4j<0o86`4?:%1a1?b33g9i87?4H2a:?>oc:3:1(>l::e68j6d32;1C?n74;hf2>5<#;k?1h95a3c697>N4k010ei>50;&0f0=nko0;6)=m5;f7?k5e<3?0D>m6;:k`a?6=,:h>6i:4n2`7>3=O;j307dmk:18'7g3=l=1e?o:57:J0g<=h4j=037E=l9:9j`a<72-9i97j;;o1a0??<@:i276gkc;29 6d22m>0b>l;:`9K7f?<3`ni6=4+3c79`1=i;k>1n6F!5e=3n?7c=m4;f8L6e>32co47>5$2`6>a2N4k010enm50;&0f01:J0g<=m87>514c94?6|,:in6??8;I1g<>N4l91Qj>4m{9`964<0l3=26;o12g?75<5<5<5<5<5<5<5<5<5<h4j=0;76gl0;29 6d22j;0b>l;:098mg`=83.8n84l1:l0f1<532cii7>5$2`6>f754icf94?"4j<0h=6`oek3:1(>l::b38j6d32<10eol50;&0f06n?4n2`7>2=h4j=0376gla;29 6d22j;0b>l;:898mf?=83.8n84l1:l0f15$2`6>f7od>3:1(>l::b38j6d32m10en;50;&0f06n?4n2`7>c=h4j=0:<65fb883>!5e=3i:7c=m4;32?>ib03:1(>l::d58j6d32910ch850;&0f0;:mf1?6=,:h>6h94n2`7>7=1<7*h4j=0876aj3;29 6d22l=0b>l;:598k`4=83.8n84j7:l0f1<232en=7>5$2`6>`1ia93:1(>l::d58j6d32110ck>50;&0f06h94n2`7>d=h4j=0i76ajd;29 6d22l=0b>l;:b98k`e=83.8n84j7:l0f15$2`6>`1ib13:1(>l::d58j6d328:07bji:18'7g3=m>1e?o:51098m61d290/?o;536`8j6d32910e>9n:18'7g3=;>h0b>l;:098m61>290/?o;536`8j6d32;10e>97:18'7g3=;>h0b>l;:298m610290/?o;536`8j6d32=10e>99:18'7g3=;>h0b>l;:498m612290/?o;536`8j6d32?10e>9;:18'7g3=;>h0b>l;:698m615290/?o;536`8j6d32110e>9>:18'7g3=;>h0b>l;:898m617290/?o;536`8j6d32h10e>8i:18'7g3=;>h0b>l;:c98m60b290/?o;536`8j6d32j10e>8k:18'7g3=;>h0b>l;:e98m60d290/?o;536`8j6d32l10e>8m:18'7g3=;>h0b>l;:g98m60f290/?o;536`8j6d328:07d=99;29 6d22:=i7c=m4;32?>o4>>0;6)=m5;14f>h4j=0:>65f37494?"4j<08;o5a3c6956=6=4+3c7972d6>9m;o1a0?7232c8:>4?:%1a1?50j2d8n94>6:9j734=83.8n84<7c9m7g2=9>10e>8>:18'7g3=;>h0b>l;:0:8?l5183:1(>l::25a?k5e<3;276g<5g83>!5e=39=n;1=o54i27`>5<#;k?1?:l4n2`7>4e<3`9>n7>5$2`6>61e3g9i87?k;:k01d<72-9i97=8b:l0f1<6m21b?8750;&0f0<4?k1e?o:51g98m63?290/?o;536`8j6d32;:07d=:7;29 6d22:=i7c=m4;02?>o4=?0;6)=m5;14f>h4j=09>65f34794?"4j<08;o5a3c6966=6>9m;o1a0?4232c84?4?:%1a1?50j2d8n94=6:9j7=7=83.8n84<7c9m7g2=:>10e>6?:18'7g3=;>h0b>l;:3:8?l50n3:1(>l::25a?k5e<38276g<7d83>!5e=39=n;>n1<7*1>o54i250>5<#;k?1?:l4n2`7>7e<3`9=47>5$2`6>61e3g9i87l;:098m47d290/?o;510g8j6d32;10el;:298m47f290/?o;510g8j6d32=10el;:498m47?290/?o;510g8j6d32?10el;:698m472290/?o;510g8j6d32110el;:898m474290/?o;510g8j6d32h10el;:c98m476290/?o;510g8j6d32j10el;:e98m46a290/?o;510g8j6d32l10e<>j:18'7g3=98o0b>l;:g98m46c290/?o;510g8j6d328:07d??c;29 6d228;n7c=m4;32?>o68h0;6)=m5;32a>h4j=0:>65f11;94?"4j<0:=h5a3c6956=66:9j553=83.8n84>1d9m7g2=9>10e<>;:18'7g3=98o0b>l;:0:8?l77;3:1(>l::03f?k5e<3;276g>0383>!5e=3;:i6`=n99;1<7*1=o54igd94?"4j<0:=h5a3c695f=1=i54igf94?"4j<0:=h5a3c695`=1=k54ig`94?"4j<0:=h5a3c6965=1><54ig;94?"4j<0:=h5a3c6967=1>>54ig594?"4j<0:=h5a3c6961=1>854i006>5<#;k?1=70<3`;987>5$2`6>47b3g9i87<8;:k266<72-9i97?>e:l0f1<5021b=?<50;&0f0<69l1e?o:52898m446290/?o;510g8j6d32;k07d?=0;29 6d228;n7c=m4;0a?>o69?0;6)=m5;32a>h4j=09o65f11`94?"4j<0:=h5a3c696a=5<#;k?1=7`<3f9?m7>5$2`6>62>3g9i87>4;n176>:6;o1a0?7<3f9?;7>5$2`6>62>3g9i87<4;n172?6=,:h>6>:6;o1a0?5<3f9?97>5$2`6>62>3g9i87:4;n170?6=,:h>6>:6;o1a0?3<3f9??7>5$2`6>62>3g9i8784;n176?6=,:h>6>:6;o1a0?1<3f9?<7>5$2`6>62>3g9i8764;n10b?6=,:h>6>:6;o1a0??<3f98i7>5$2`6>62>3g9i87o4;n10`?6=,:h>6>:6;o1a0?d<3f98o7>5$2`6>62>3g9i87m4;n10f?6=,:h>6>:6;o1a0?b<3f98m7>5$2`6>62>3g9i87k4;n10=?6=,:h>6>:6;o1a0?`<3f9847>5$2`6>62>3g9i87??;:m072<72-9i97=;9:l0f1<6921d?>;50;&0f0<4<01e?o:51398k653290/?o;535;8j6d328907b=<3;29 6d22:>27c=m4;37?>i4;;0;6)=m5;17=>h4j=0:965`32394?"4j<08845a3c6953=6>:6;o1a0?7?32e8>h4?:%1a1?5312d8n94>9:9l77b=83.8n84<489m7g2=9h10c>l;:0`8?j55i3:1(>l::26:?k5e<3;h76a<2883>!5e=39?56`=h;;21<7*1=h54o204>5<#;k?1?974n2`7>4`<3f99:7>5$2`6>62>3g9i8727c=m4;07?>i4:80;6)=m5;17=>h4j=09965`34294?"4j<08845a3c6963=m6=4+3c7971?6>:6;o1a0?4?32e88i4?:%1a1?5312d8n94=9:9l71e=83.8n84<489m7g2=:h10c>:m:18'7g3=;=30b>l;:3`8?j5393:1(>l::26:?k5e<38h76a<3783>!5e=39?56`=h;;h1<7*1>h54o203>5<#;k?1?974n2`7>7`<3`9:n7>5$2`6>67f3g9i87>4;h12=?6=,:h>6>?n;o1a0?7<3`9:47>5$2`6>67f3g9i87<4;h123?6=,:h>6>?n;o1a0?5<3`9::7>5$2`6>67f3g9i87:4;h121?6=,:h>6>?n;o1a0?3<3`9:87>5$2`6>67f3g9i8784;h127?6=,:h>6>?n;o1a0?1<3`;?47>5$2`6>4203g9i87>4;h372?6=,:h>6<:8;o1a0?7<3`;?97>5$2`6>4203g9i87<4;h370?6=,:h>6<:8;o1a0?5<3`;??7>5$2`6>4203g9i87:4;h376?6=,:h>6<:8;o1a0?3<3`;?=7>5$2`6>4203g9i8784;h374?6=,:h>6<:8;o1a0?1<3`;>=7>5$2`6>4203g9i8764;h364?6=,:h>6<:8;o1a0??<3`;?j7>5$2`6>4203g9i87o4;h37a?6=,:h>6<:8;o1a0?d<3`;?h7>5$2`6>4203g9i87m4;h37g?6=,:h>6<:8;o1a0?b<3`;?n7>5$2`6>4203g9i87k4;h37e?6=,:h>6<:8;o1a0?`<3`;?57>5$2`6>4203g9i87??;:k27c<72-9i97?;7:l0f1<6921d?lj50;&0f0<4ij1e?o:50:9l7dd=83.8n84i41>0;6)=m5;1bg>h4j=0:?65`38494?"4j<08mn5a3c6951=6=4+3c797de6>ol;o1a0?7132e85>4?:%1a1?5fk2d8n94>7:9l7<4=83.8n847>:18'7g3=;hi0b>l;:0;8?j5>83:1(>l::2c`?k5e<3;j76a<8g83>!5e=39jo6`=h;1n1<7*1=n54o2:`>5<#;k?1?lm4n2`7>4b<3f93n7>5$2`6>6gd3g9i87?j;:m0?290/?o;53`a8j6d32;;07b=77;29 6d22:kh7c=m4;01?>i40?0;6)=m5;1bg>h4j=09?65`39794?"4j<08mn5a3c6961=6>ol;o1a0?4132e8n?4?:%1a1?5fk2d8n94=7:9l7g7=83.8n84l?:18'7g3=;hi0b>l;:3;8?j5fn3:1(>l::2c`?k5e<38j76a!5e=39jo6`=h;h>1<7*1>n54o2;:>5<#;k?1?lm4n2`7>7b<3f93i7>5$2`6>6gd3g9i874;h;`>5<#;k?15i5a3c695>=n1k0;6)=m5;;g?k5e<3807d7n:18'7g3=1m1e?o:53:9j=<<72-9i977k;o1a0?2<3`336=4+3c79=a=i;k>1965f9683>!5e=33o7c=m4;48?l?1290/?o;59e9m7g2=?21bm:4?:%1a1??c3g9i8764;hc5>5<#;k?15i5a3c69=>=ni<0;6)=m5;;g?k5e<3k07do;:18'7g3=1m1e?o:5b:9je6<72-9i977k;o1a0?e<3`k96=4+3c79=a=i;k>1h65fa083>!5e=33o7c=m4;g8?lg7290/?o;59e9m7g2=n21b5k4?:%1a1??c3g9i87??;:k:1?6=,:h>64j4n2`7>47<3f8>:7>5$2`6>7323g9i87>4;n060?6=,:h>6?;:;o1a0?7<3f8>?7>5$2`6>7323g9i87<4;n066?6=,:h>6?;:;o1a0?5<3f8>=7>5$2`6>7323g9i87:4;n064?6=,:h>6?;:;o1a0?3<3f8?i7>5$2`6>7323g9i8784;n07`?6=,:h>6?;:;o1a0?1<3f8?o7>5$2`6>7323g9i8764;n07f?6=,:h>6?;:;o1a0??<3f8?m7>5$2`6>7323g9i87o4;n07=?6=,:h>6?;:;o1a0?d<3f8?47>5$2`6>7323g9i87m4;n073?6=,:h>6?;:;o1a0?b<3f8?:7>5$2`6>7323g9i87k4;n071?6=,:h>6?;:;o1a0?`<3f8??7>5$2`6>7323g9i87??;:m107<72-9i97<:5:l0f1<6921d>9?50;&0f0<5=<1e?o:51398k727290/?o;52478j6d328907b<7c=m4;37?>i5;l0;6)=m5;061>h4j=0:965`22f94?"4j<09985a3c6953=6?;:;o1a0?7?32e9?l4?:%1a1?42=2d8n94>9:9l60b=83.8n84=549m7g2=9h10c?;l:18'7g3=:l;:0`8?j42j3:1(>l::376?k5e<3;h76a=5`83>!5e=38>96`=h:<31<7*1=h54o37;>5<#;k?1>8;4n2`7>4`<3f8>;7>5$2`6>7323g9i879:50;&0f0<5=<1e?o:52398k75>290/?o;52478j6d32;907dj::18'7g3=l=1e?o:50:J0g<=h4j=0:7E=l9:9j`7<72-9i97j;;o1a0?4<@:i276gk1;29 6d22m>0b>l;:29K7f?<3`n;6=4+3c79`1=i;k>186F!5e=3n?7c=m4;48L6e>32chh7>5$2`6>a2ocj3:1(>l::e68j6d32k1C?n74;hfb>5<#;k?1h95a3c69g>N4k010ei750;&0f0=nl10;6)=m5;f7?k5e<3o0D>m6;:kg3?6=,:h>6i:4n2`7>c=O;j307dj9:18'7g3=l=1e?o:5119K7f?<3`ih6=4+3c79`1=i;k>1=<5G3b;8?xd3n<0;6<;n:183!5dm38:;6F40112d2<7>4n8095>he?3;0bo651:l262<73-;947=>2:l26g<73g;8o7?4n335>4=i:m;:2ag?l7483:17d=me;29?l5en3:17d?<1;29?l5d83:17d?<4;29?l75n3:17b?=a;29?l5d:3:17d=l1;29?l7403:17d=md;29?l74;3:17d?=e;29?l75>3:17d?<5;29?l75l3:17b?=9;29?l5ek3:17d=l3;29?le5290/?o;5c09m7g2=821bo=4?:%1a1?e63g9i87?4;h`e>5<#;k?1o<5a3c696>=njl0;6)=m5;a2?k5e<3907dlk:18'7g3=k81e?o:54:9jff<72-9i97m>;o1a0?3<3`hi6=4+3c79g4=i;k>1:65fb`83>!5e=3i:7c=m4;58?lee290/?o;5c09m7g2=021bol4?:%1a1?e63g9i8774;ha:>5<#;k?1o<5a3c69e>=nk10;6)=m5;a2?k5e<3h07dm8:18'7g3=k81e?o:5c:9jg3<72-9i97m>;o1a0?b<3`i>6=4+3c79g4=i;k>1i65fc583>!5e=3i:7c=m4;d8?le4290/?o;5c09m7g2=9910eo750;&0f01:9la=<72-9i97k8;o1a0?6<3fo=6=4+3c79a2=i;k>1=65`e483>!5e=3o<7c=m4;08?jc3290/?o;5e69m7g2=;21di>4?:%1a1?c03g9i87:4;ng1>5<#;k?1i:5a3c691>=hm80;6)=m5;g4?k5e<3<07bk?:18'7g3=m>1e?o:57:9lb4<72-9i97k8;o1a0?><3fl;6=4+3c79a2=i;k>1565`eg83>!5e=3o<7c=m4;c8?jcb290/?o;5e69m7g2=j21dii4?:%1a1?c03g9i87m4;ng`>5<#;k?1i:5a3c69`>=hmk0;6)=m5;g4?k5e<3o07bkn:18'7g3=m>1e?o:5f:9la<<72-9i97k8;o1a0?7732eoj7>5$2`6>`16>9m;o1a0?6<3`95$2`6>61e3g9i87?4;h14=?6=,:h>6>9m;o1a0?4<3`9<47>5$2`6>61e3g9i87=4;h143?6=,:h>6>9m;o1a0?2<3`9<:7>5$2`6>61e3g9i87;4;h141?6=,:h>6>9m;o1a0?0<3`9<87>5$2`6>61e3g9i8794;h146?6=,:h>6>9m;o1a0?><3`9<=7>5$2`6>61e3g9i8774;h144?6=,:h>6>9m;o1a0?g<3`9=j7>5$2`6>61e3g9i87l4;h15a?6=,:h>6>9m;o1a0?e<3`9=h7>5$2`6>61e3g9i87j4;h15g?6=,:h>6>9m;o1a0?c<3`9=n7>5$2`6>61e3g9i87h4;h15e?6=,:h>6>9m;o1a0?7732c8:44?:%1a1?50j2d8n94>1:9j731=83.8n84<7c9m7g2=9;10e>89:18'7g3=;>h0b>l;:018?l51=3:1(>l::25a?k5e<3;?76g<6583>!5e=39=n;?91<7*1=;54i241>5<#;k?1?:l4n2`7>41<3`9==7>5$2`6>61e3g9i87?7;:k025<72-9i97=8b:l0f1<6121b?8h50;&0f0<4?k1e?o:51`98m63b290/?o;536`8j6d328h07d=:c;29 6d22:=i7c=m4;3`?>o4=k0;6)=m5;14f>h4j=0:h65f34c94?"4j<08;o5a3c695`=6>9m;o1a0?4732c89:4?:%1a1?50j2d8n94=1:9j700=83.8n84<7c9m7g2=:;10e>;::18'7g3=;>h0b>l;:318?l52<3:1(>l::25a?k5e<38?76g<5283>!5e=39=n;181<7*1>;54i2:2>5<#;k?1?:l4n2`7>71<3`93<7>5$2`6>61e3g9i87<7;:k03c<72-9i97=8b:l0f1<5121b?:k50;&0f0<4?k1e?o:52`98m61c290/?o;536`8j6d32;h07d=83;29 6d22:=i7c=m4;0`?>o4>10;6)=m5;14f>h4j=09h65f34f94?"4j<08;o5a3c696`=65$2`6>47b3g9i87?4;h32g?6=,:h>65$2`6>47b3g9i87=4;h32e?6=,:h>65$2`6>47b3g9i87;4;h3265$2`6>47b3g9i8794;h321?6=,:h>6<3`;:87>5$2`6>47b3g9i8774;h327?6=,:h>67>5$2`6>47b3g9i87l4;h325?6=,:h>65$2`6>47b3g9i87j4;h33b?6=,:h>65$2`6>47b3g9i87h4;h33`?6=,:h>61:9j55g=83.8n84>1d9m7g2=9;10e<>6:18'7g3=98o0b>l;:018?l7703:1(>l::03f?k5e<3;?76g>0683>!5e=3;:i6`=n99<1<7*1=;54i026>5<#;k?1=41<3`;;87>5$2`6>47b3g9i87?7;:k246<72-9i97?>e:l0f1<6121b==<50;&0f0<69l1e?o:51`98m466290/?o;510g8j6d328h07dhi:18'7g3=98o0b>l;:0a8?l`b290/?o;510g8j6d328n07dhk:18'7g3=98o0b>l;:0g8?l`d290/?o;510g8j6d328l07dhm:18'7g3=98o0b>l;:328?l`f290/?o;510g8j6d32;;07dh6:18'7g3=98o0b>l;:308?l`?290/?o;510g8j6d32;907dh8:18'7g3=98o0b>l;:368?l`1290/?o;510g8j6d32;?07d?=5;29 6d228;n7c=m4;05?>o6:=0;6)=m5;32a>h4j=09;65f13194?"4j<0:=h5a3c696==6=4?:%1a1?76m2d8n94=b:9j540=83.8n84>1d9m7g2=:j10e<>m:18'7g3=98o0b>l;:3f8?l7783:1(>l::03f?k5e<38n76gi5;29 6d228;n7c=m4;0e?>i4h4j=0;76a<4983>!5e=39?56`i4<>0;6)=m5;17=>h4j=0976a<4783>!5e=39?56`i4<<0;6)=m5;17=>h4j=0?76a<4583>!5e=39?56`i4<:0;6)=m5;17=>h4j=0=76a<4383>!5e=39?56`i4<90;6)=m5;17=>h4j=0376a<3g83>!5e=39?56`i4;l0;6)=m5;17=>h4j=0j76a<3e83>!5e=39?56`i4;j0;6)=m5;17=>h4j=0h76a<3c83>!5e=39?56`i4;h0;6)=m5;17=>h4j=0n76a<3883>!5e=39?56`i4;10;6)=m5;17=>h4j=0:<65`32594?"4j<08845a3c6954=6=4+3c7971?6>:6;o1a0?7432e8?>4?:%1a1?5312d8n94>4:9l764=83.8n84<489m7g2=9<10c>=>:18'7g3=;=30b>l;:048?j5483:1(>l::26:?k5e<3;<76a<2g83>!5e=39?56`=h;;o1<7*1=454o20g>5<#;k?1?974n2`7>4g<3f99o7>5$2`6>62>3g9i87?m;:m06d<72-9i97=;9:l0f1<6k21d??750;&0f0<4<01e?o:51e98k64?290/?o;535;8j6d328o07b==7;29 6d22:>27c=m4;3e?>i4:?0;6)=m5;17=>h4j=09<65`33794?"4j<08845a3c6964=6>:6;o1a0?4432e8>?4?:%1a1?5312d8n94=4:9l777=83.8n84<489m7g2=:<10c>;?:18'7g3=;=30b>l;:348?j53n3:1(>l::26:?k5e<38<76a<4d83>!5e=39?56`=h;=n1<7*1>454o26`>5<#;k?1?974n2`7>7g<3f9?n7>5$2`6>62>3g9i87850;&0f0<4<01e?o:52e98k64e290/?o;535;8j6d32;o07b==0;29 6d22:>27c=m4;0e?>o49k0;6)=m5;12e>h4j=0;76g<1883>!5e=39:m6`o4910;6)=m5;12e>h4j=0976g<1683>!5e=39:m6`o49?0;6)=m5;12e>h4j=0?76g<1483>!5e=39:m6`o49=0;6)=m5;12e>h4j=0=76g<1283>!5e=39:m6`o6<10;6)=m5;373>h4j=0;76g>4783>!5e=3;?;6`o6<<0;6)=m5;373>h4j=0976g>4583>!5e=3;?;6`o6<:0;6)=m5;373>h4j=0?76g>4383>!5e=3;?;6`o6<80;6)=m5;373>h4j=0=76g>4183>!5e=3;?;6`o6=80;6)=m5;373>h4j=0376g>5183>!5e=3;?;6`o6h4j=0j76g>4d83>!5e=3;?;6`o6h4j=0h76g>4b83>!5e=3;?;6`o6h4j=0n76g>4`83>!5e=3;?;6`o6<00;6)=m5;373>h4j=0:<65f12d94?"4j<0:8:5a3c6954=5<#;k?1?lm4n2`7>4=5<#;k?1?lm4n2`7>6=5<#;k?1?lm4n2`7>0=5<#;k?1?lm4n2`7>2=5<#;k?1?lm4n2`7><=5<#;k?1?lm4n2`7>g=5<#;k?1?lm4n2`7>a=5<#;k?1?lm4n2`7>c=4;n1:e?6=,:h>6>ol;o1a0?7632e8554?:%1a1?5fk2d8n94>2:9l7<1=83.8n8479:18'7g3=;hi0b>l;:068?j5>=3:1(>l::2c`?k5e<3;>76a<9583>!5e=39jo6`=h;091<7*1=:54o2;1>5<#;k?1?lm4n2`7>4><3f92=7>5$2`6>6gd3g9i87?6;:m0=5<72-9i97=nc:l0f1<6i21d?5h50;&0f0<4ij1e?o:51c98k6>c290/?o;53`a8j6d328i07b=7c;29 6d22:kh7c=m4;3g?>i40k0;6)=m5;1bg>h4j=0:i65`39c94?"4j<08mn5a3c695c=4;n1;6>ol;o1a0?4632e84:4?:%1a1?5fk2d8n94=2:9l7=0=83.8n846::18'7g3=;hi0b>l;:368?j5?<3:1(>l::2c`?k5e<38>76a!5e=39jo6`=h;k81<7*1>:54o2`2>5<#;k?1?lm4n2`7>7><3f9i<7>5$2`6>6gd3g9i87<6;:m0ec<72-9i97=nc:l0f1<5i21d?lk50;&0f0<4ij1e?o:52c98k6g3290/?o;53`a8j6d32;i07b=69;29 6d22:kh7c=m4;0g?>i40l0;6)=m5;1bg>h4j=09i65`39194?"4j<08mn5a3c696c=h4j=0;76g6c;29 6d220n0b>l;:098m5$2`6>54i8;94?"4j<02h6`o>03:1(>l::8f8j6d32<10e4950;&0f0<>l2d8n949;:k:2?6=,:h>64j4n2`7>2=h4j=0376gn6;29 6d220n0b>l;:898md3=83.8n846d:l0f15$2`6>of:3:1(>l::8f8j6d32m10el?50;&0f0<>l2d8n94j;:kb4?6=,:h>64j4n2`7>c=h4j=0:<65f9483>!5e=33o7c=m4;32?>i5=?0;6)=m5;061>h4j=0;76a=5583>!5e=38>96`i5=:0;6)=m5;061>h4j=0976a=5383>!5e=38>96`i5=80;6)=m5;061>h4j=0?76a=5183>!5e=38>96`i5h4j=0=76a=4e83>!5e=38>96`i5h4j=0376a=4c83>!5e=38>96`i5h4j=0j76a=4883>!5e=38>96`i5<10;6)=m5;061>h4j=0h76a=4683>!5e=38>96`i5h4j=0n76a=4483>!5e=38>96`i5<:0;6)=m5;061>h4j=0:<65`25094?"4j<09985a3c6954=:6=4+3c796036?;:;o1a0?7432e9?k4?:%1a1?42=2d8n94>4:9l66c=83.8n84=549m7g2=9<10c?=k:18'7g3=:l;:048?j44k3:1(>l::376?k5e<3;<76a=3c83>!5e=38>96`=h::k1<7*1=454o37g>5<#;k?1>8;4n2`7>4g<3f8>o7>5$2`6>7323g9i87?m;:m11g<72-9i97<:5:l0f1<6k21d>8o50;&0f0<5=<1e?o:51e98k73>290/?o;52478j6d328o07b<:8;29 6d22;?>7c=m4;3e?>i5=>0;6)=m5;061>h4j=09<65`25d94?"4j<09985a3c6964=?6=4+3c796036?;:;o1a0?4432co97>5$2`6>a2oc83:1(>l::e68j6d32=1C?n74;hae>5<#;k?1h95a3c691>N4k010enk50;&0f0=nkm0;6)=m5;f7?k5e<3=0D>m6;:kga?6=,:h>6i:4n2`7>==O;j307djk:18'7g3=l=1e?o:59:J0g<=h4j=0j7E=l9:9j`g<72-9i97j;;o1a0?d<@:i276gka;29 6d22m>0b>l;:b9K7f?<3`n26=4+3c79`1=i;k>1h6F=83.8n84k4:l0f1!5e=3n?7c=m4;d8L6e>32co:7>5$2`6>a24H2a:?>odk3:1(>l::e68j6d328;0D>m6;:a0c0=83;>m7>50z&0g`<59>1C?i64H2f3?_`42kq3n7<>:6f93<<6l3;h6:957b82a?7e2<;1q)=>d;352<=i190;7c7=:09mf2<63gh36<5a13594>"6:108=?5a13`94>h6;j0:7c<>6;38j73b281e?l4?::k0g7<722c8o<4?::k27=<722c8ni4?::k276<722c:>h4?::k263<722c:?84?::k26a<722e:>44?::k0ff<722c8o>4?::k`6?6=,:h>6n?4n2`7>5=h4j=0:76gmf;29 6d22j;0b>l;:398mgc=83.8n84l1:l0f1<432cih7>5$2`6>f7oej3:1(>l::b38j6d32?10eoo50;&0f06n?4n2`7>==h4j=0276gl9;29 6d22j;0b>l;:`98mf>=83.8n84l1:l0f15$2`6>f7od=3:1(>l::b38j6d32l10en:50;&0f06n?4n2`7>46<3`h26=4+3c79g4=i;k>1=<54od:94?"4j<0n;6`ib>3:1(>l::d58j6d32810ch;50;&0f06h94n2`7>6=h4j=0?76aj2;29 6d22l=0b>l;:498k`7=83.8n84j7:l0f1<132en<7>5$2`6>`1ia83:1(>l::d58j6d32010chh50;&0f06h94n2`7>g=h4j=0h76ajc;29 6d22l=0b>l;:e98k`d=83.8n84j7:l0f15$2`6>`1=hlo0;6)=m5;g4?k5e<3;:76g<7b83>!5e=39o4?h0;6)=m5;14f>h4j=0:76g<7883>!5e=39o4?10;6)=m5;14f>h4j=0876g<7683>!5e=39o4??0;6)=m5;14f>h4j=0>76g<7483>!5e=39o4?=0;6)=m5;14f>h4j=0<76g<7383>!5e=39o4?80;6)=m5;14f>h4j=0276g<7183>!5e=39o4>o0;6)=m5;14f>h4j=0i76g<6d83>!5e=39o4>m0;6)=m5;14f>h4j=0o76g<6b83>!5e=39o4>k0;6)=m5;14f>h4j=0m76g<6`83>!5e=39=n;?31<7*1=<54i244>5<#;k?1?:l4n2`7>44<3`9=:7>5$2`6>61e3g9i87?<;:k020<72-9i97=8b:l0f1<6<21b?;:50;&0f0<4?k1e?o:51498m604290/?o;536`8j6d328<07d=92;29 6d22:=i7c=m4;34?>o4>80;6)=m5;14f>h4j=0:465f37294?"4j<08;o5a3c695<=6>9m;o1a0?7e32c89n4?:%1a1?50j2d8n94>c:9j70d=83.8n84<7c9m7g2=9m10e>;n:18'7g3=;>h0b>l;:0g8?l5213:1(>l::25a?k5e<3;m76g<5983>!5e=39=n;<=1<7*1><54i275>5<#;k?1?:l4n2`7>74<3`9>97>5$2`6>61e3g9i87<<;:k011<72-9i97=8b:l0f1<5<21b?8=50;&0f0<4?k1e?o:52498m6>5290/?o;536`8j6d32;<07d=71;29 6d22:=i7c=m4;04?>o4090;6)=m5;14f>h4j=09465f36d94?"4j<08;o5a3c696<=6>9m;o1a0?4e32c8;>4?:%1a1?50j2d8n94=c:9j73>=83.8n84<7c9m7g2=:m10e>;k:18'7g3=;>h0b>l;:3g8?l52:3:1(>l::25a?k5e<38m76g>1g83>!5e=3;:i6`o69m0;6)=m5;32a>h4j=0:76g>1b83>!5e=3;:i6`o69k0;6)=m5;32a>h4j=0876g>1`83>!5e=3;:i6`o6900;6)=m5;32a>h4j=0>76g>1983>!5e=3;:i6`o69>0;6)=m5;32a>h4j=0<76g>1483>!5e=3;:i6`o69=0;6)=m5;32a>h4j=0276g>1283>!5e=3;:i6`o69;0;6)=m5;32a>h4j=0i76g>1083>!5e=3;:i6`o6990;6)=m5;32a>h4j=0o76g>0g83>!5e=3;:i6`o68l0;6)=m5;32a>h4j=0m76g>0e83>!5e=3;:i6`=n99i1<7*1=<54i02b>5<#;k?1=44<3`;;57>5$2`6>47b3g9i87?<;:k24=<72-9i97?>e:l0f1<6<21b==950;&0f0<69l1e?o:51498m461290/?o;510g8j6d328<07d??5;29 6d228;n7c=m4;34?>o68=0;6)=m5;32a>h4j=0:465f11194?"4j<0:=h5a3c695<=65$2`6>47b3g9i87?l;:kea?6=,:h>65$2`6>47b3g9i87?j;:keg?6=,:h>65$2`6>47b3g9i8765$2`6>47b3g9i87<=;:ke65$2`6>47b3g9i87<;;:ke2?6=,:h>684?:%1a1?76m2d8n94=6:9j572=83.8n84>1d9m7g2=:>10e<<<:18'7g3=98o0b>l;:3:8?l75:3:1(>l::03f?k5e<38276g>2083>!5e=3;:i6`=n9;:1<7*1>o54i035>5<#;k?1=7e<3`;;n7>5$2`6>47b3g9i87e:l0f1<5m21bj84?:%1a1?76m2d8n94=f:9l71g=83.8n84<489m7g2=821d?9650;&0f0<4<01e?o:51:9l711=83.8n84<489m7g2=:21d?9850;&0f0<4<01e?o:53:9l713=83.8n84<489m7g2=<21d?9:50;&0f0<4<01e?o:55:9l715=83.8n84<489m7g2=>21d?9<50;&0f0<4<01e?o:57:9l716=83.8n84<489m7g2=021d?>h50;&0f0<4<01e?o:59:9l76c=83.8n84<489m7g2=i21d?>j50;&0f0<4<01e?o:5b:9l76e=83.8n84<489m7g2=k21d?>l50;&0f0<4<01e?o:5d:9l76g=83.8n84<489m7g2=m21d?>750;&0f0<4<01e?o:5f:9l76>=83.8n84<489m7g2=9910c>=8:18'7g3=;=30b>l;:038?j54=3:1(>l::26:?k5e<3;976a<3583>!5e=39?56`=h;:91<7*1=954o211>5<#;k?1?974n2`7>43<3f98=7>5$2`6>62>3g9i87?9;:m075<72-9i97=;9:l0f1<6?21d??h50;&0f0<4<01e?o:51998k64b290/?o;535;8j6d328307b==d;29 6d22:>27c=m4;3b?>i4:j0;6)=m5;17=>h4j=0:n65`33c94?"4j<08845a3c695f=6>:6;o1a0?7b32e8>:4?:%1a1?5312d8n94>f:9l770=83.8n84<489m7g2=:910c><::18'7g3=;=30b>l;:338?j55<3:1(>l::26:?k5e<38976a<2283>!5e=39?56`=h;;81<7*1>954o202>5<#;k?1?974n2`7>73<3f9><7>5$2`6>62>3g9i87<9;:m00c<72-9i97=;9:l0f1<5?21d?9k50;&0f0<4<01e?o:52998k62c290/?o;535;8j6d32;307b=;c;29 6d22:>27c=m4;0b?>i4h4j=09n65`35394?"4j<08845a3c696f=6>:6;o1a0?4b32e8>=4?:%1a1?5312d8n94=f:9j74d=83.8n84<1`9m7g2=821b?<750;&0f0<49h1e?o:51:9j74>=83.8n84<1`9m7g2=:21b?<950;&0f0<49h1e?o:53:9j740=83.8n84<1`9m7g2=<21b?<;50;&0f0<49h1e?o:55:9j742=83.8n84<1`9m7g2=>21b?<=50;&0f0<49h1e?o:57:9j51>=83.8n84>469m7g2=821b=9850;&0f0<6<>1e?o:51:9j513=83.8n84>469m7g2=:21b=9:50;&0f0<6<>1e?o:53:9j515=83.8n84>469m7g2=<21b=9<50;&0f0<6<>1e?o:55:9j517=83.8n84>469m7g2=>21b=9>50;&0f0<6<>1e?o:57:9j507=83.8n84>469m7g2=021b=8>50;&0f0<6<>1e?o:59:9j51`=83.8n84>469m7g2=i21b=9k50;&0f0<6<>1e?o:5b:9j51b=83.8n84>469m7g2=k21b=9m50;&0f0<6<>1e?o:5d:9j51d=83.8n84>469m7g2=m21b=9o50;&0f0<6<>1e?o:5f:9j51?=83.8n84>469m7g2=9910e<=i:18'7g3=9==0b>l;:038?j5fl3:1(>l::2c`?k5e<3:07b=nb;29 6d22:kh7c=m4;38?j5fi3:1(>l::2c`?k5e<3807b=n9;29 6d22:kh7c=m4;18?j5f03:1(>l::2c`?k5e<3>07b=n7;29 6d22:kh7c=m4;78?j5f>3:1(>l::2c`?k5e<3<07b=n5;29 6d22:kh7c=m4;58?j5f;3:1(>l::2c`?k5e<3207b=n2;29 6d22:kh7c=m4;;8?j5f93:1(>l::2c`?k5e<3k07b=n0;29 6d22:kh7c=m4;`8?j5>n3:1(>l::2c`?k5e<3i07b=6e;29 6d22:kh7c=m4;f8?j5>l3:1(>l::2c`?k5e<3o07b=6c;29 6d22:kh7c=m4;d8?j5>j3:1(>l::2c`?k5e<3;;76a<9`83>!5e=39jo6`=h;021<7*1=?54o2;4>5<#;k?1?lm4n2`7>45<3f92:7>5$2`6>6gd3g9i87?;;:m0=0<72-9i97=nc:l0f1<6=21d?4:50;&0f0<4ij1e?o:51798k6?4290/?o;53`a8j6d328=07b=62;29 6d22:kh7c=m4;3;?>i4180;6)=m5;1bg>h4j=0:565`38294?"4j<08mn5a3c695d=6>ol;o1a0?7d32e84n4?:%1a1?5fk2d8n94>d:9l7=d=83.8n846n:18'7g3=;hi0b>l;:0d8?j5?13:1(>l::2c`?k5e<38;76a<8983>!5e=39jo6`=h;1=1<7*1>?54o2:5>5<#;k?1?lm4n2`7>75<3f9397>5$2`6>6gd3g9i87<;;:m0<1<72-9i97=nc:l0f1<5=21d?o=50;&0f0<4ij1e?o:52798k6d5290/?o;53`a8j6d32;=07b=m1;29 6d22:kh7c=m4;0;?>i4j90;6)=m5;1bg>h4j=09565`3`d94?"4j<08mn5a3c696d=6>ol;o1a0?4d32e8544?:%1a1?5fk2d8n94=d:9l7=c=83.8n846<:18'7g3=;hi0b>l;:3d8?l?b290/?o;59e9m7g2=821b5n4?:%1a1??c3g9i87?4;h;a>5<#;k?15i5a3c696>=n1h0;6)=m5;;g?k5e<3907d76:18'7g3=1m1e?o:54:9j==<72-9i977k;o1a0?3<3`3<6=4+3c79=a=i;k>1:65f9783>!5e=33o7c=m4;58?lg0290/?o;59e9m7g2=021bm;4?:%1a1??c3g9i8774;hc6>5<#;k?15i5a3c69e>=ni=0;6)=m5;;g?k5e<3h07do<:18'7g3=1m1e?o:5c:9je7<72-9i977k;o1a0?b<3`k:6=4+3c79=a=i;k>1i65fa183>!5e=33o7c=m4;d8?l?a290/?o;59e9m7g2=9910e4;50;&0f0<>l2d8n94>1:9l600=83.8n84=549m7g2=821d>8:50;&0f0<5=<1e?o:51:9l605=83.8n84=549m7g2=:21d>8<50;&0f0<5=<1e?o:53:9l607=83.8n84=549m7g2=<21d>8>50;&0f0<5=<1e?o:55:9l61c=83.8n84=549m7g2=>21d>9j50;&0f0<5=<1e?o:57:9l61e=83.8n84=549m7g2=021d>9l50;&0f0<5=<1e?o:59:9l61g=83.8n84=549m7g2=i21d>9750;&0f0<5=<1e?o:5b:9l61>=83.8n84=549m7g2=k21d>9950;&0f0<5=<1e?o:5d:9l610=83.8n84=549m7g2=m21d>9;50;&0f0<5=<1e?o:5f:9l615=83.8n84=549m7g2=9910c?:=:18'7g3=:l;:038?j4393:1(>l::376?k5e<3;976a=4183>!5e=38>96`=h::l1<7*1=954o31f>5<#;k?1>8;4n2`7>43<3f88h7>5$2`6>7323g9i87?9;:m17f<72-9i97<:5:l0f1<6?21d>>l50;&0f0<5=<1e?o:51998k75f290/?o;52478j6d328307b<:d;29 6d22;?>7c=m4;3b?>i5=j0;6)=m5;061>h4j=0:n65`24`94?"4j<09985a3c695f=6?;:;o1a0?7b32e9954?:%1a1?42=2d8n94>f:9l601=83.8n84=549m7g2=:910c?:i:18'7g3=:l;:338?j43<3:1(>l::376?k5e<38976a=3883>!5e=38>96`=nl<0;6)=m5;f7?k5e<3:0D>m6;:kg7?6=,:h>6i:4n2`7>4=O;j307dj=:18'7g3=l=1e?o:52:J0g<=h4j=087E=l9:9j`5<72-9i97j;;o1a0?2<@:i276glf;29 6d22m>0b>l;:49K7f?<3`in6=4+3c79`1=i;k>1:6F!5e=3n?7c=m4;:8L6e>32coh7>5$2`6>a2oc13:1(>l::e68j6d32m1C?n74;hf;>5<#;k?1h95a3c69a>N4k010ei950;&0f0=nl?0;6)=m5;f7?k5e<3;;7E=l9:9jgf<72-9i97j;;o1a0?763A9h565rb5d4>5<6=h0;6=u+3bg9641<@:n37E=k0:Xe7?d|0k09=79k:6;95a<6k3=<6:m51d82f?362t.8=i4>67;8j<6=82d2>7?4nc595>he03;0b<<8:19'57>=;880b<;o06a?7"4k=08oi5f12294?=n;ko1<75f3cd94?=n9:;1<75f3b294?=n9:>1<75f13d94?=h9;k1<75f3b094?=n;j;1<75f12:94?=n;kn1<75f12194?=n9;o1<75f13494?=n9:?1<75f13f94?=h9;31<75f3ca94?=n;j91<75fc383>!5e=3i:7c=m4;28?le7290/?o;5c09m7g2=921bnk4?:%1a1?e63g9i87<4;h`f>5<#;k?1o<5a3c697>=njm0;6)=m5;a2?k5e<3>07dll:18'7g3=k81e?o:55:9jfg<72-9i97m>;o1a0?0<3`hj6=4+3c79g4=i;k>1;65fcc83>!5e=3i:7c=m4;:8?lef290/?o;5c09m7g2=121bo44?:%1a1?e63g9i87o4;ha;>5<#;k?1o<5a3c69f>=nk>0;6)=m5;a2?k5e<3i07dm9:18'7g3=k81e?o:5d:9jg0<72-9i97m>;o1a0?c<3`i?6=4+3c79g4=i;k>1j65fc283>!5e=3i:7c=m4;33?>oe13:1(>l::b38j6d328;07bk7:18'7g3=m>1e?o:50:9la3<72-9i97k8;o1a0?7<3fo>6=4+3c79a2=i;k>1>65`e583>!5e=3o<7c=m4;18?jc4290/?o;5e69m7g2=<21di?4?:%1a1?c03g9i87;4;ng2>5<#;k?1i:5a3c692>=hm90;6)=m5;g4?k5e<3=07bh>:18'7g3=m>1e?o:58:9lb5<72-9i97k8;o1a0??<3fom6=4+3c79a2=i;k>1m65`ed83>!5e=3o<7c=m4;`8?jcc290/?o;5e69m7g2=k21din4?:%1a1?c03g9i87j4;nga>5<#;k?1i:5a3c69a>=hmh0;6)=m5;g4?k5e<3l07bk6:18'7g3=m>1e?o:51198ka`=83.8n84j7:l0f1<6921b?:m50;&0f0<4?k1e?o:50:9j72g=83.8n84<7c9m7g2=921b?:750;&0f0<4?k1e?o:52:9j72>=83.8n84<7c9m7g2=;21b?:950;&0f0<4?k1e?o:54:9j720=83.8n84<7c9m7g2==21b?:;50;&0f0<4?k1e?o:56:9j722=83.8n84<7c9m7g2=?21b?:<50;&0f0<4?k1e?o:58:9j727=83.8n84<7c9m7g2=121b?:>50;&0f0<4?k1e?o:5a:9j73`=83.8n84<7c9m7g2=j21b?;k50;&0f0<4?k1e?o:5c:9j73b=83.8n84<7c9m7g2=l21b?;m50;&0f0<4?k1e?o:5e:9j73d=83.8n84<7c9m7g2=n21b?;o50;&0f0<4?k1e?o:51198m60>290/?o;536`8j6d328;07d=97;29 6d22:=i7c=m4;31?>o4>?0;6)=m5;14f>h4j=0:?65f37794?"4j<08;o5a3c6951=6>9m;o1a0?7132c8:?4?:%1a1?50j2d8n94>7:9j737=83.8n84<7c9m7g2=9110e>8?:18'7g3=;>h0b>l;:0;8?l52n3:1(>l::25a?k5e<3;j76g<5d83>!5e=39=n;1=n54i27a>5<#;k?1?:l4n2`7>4b<3`9>m7>5$2`6>61e3g9i87?j;:k01<<72-9i97=8b:l0f1<6n21b?8650;&0f0<4?k1e?o:52198m630290/?o;536`8j6d32;;07d=:6;29 6d22:=i7c=m4;01?>o4=<0;6)=m5;14f>h4j=09?65f34694?"4j<08;o5a3c6961=6>9m;o1a0?4132c84<4?:%1a1?50j2d8n94=7:9j7=6=83.8n84<7c9m7g2=:110e>9i:18'7g3=;>h0b>l;:3;8?l50m3:1(>l::25a?k5e<38j76g<7e83>!5e=39=n;>91<7*1>n54i24;>5<#;k?1?:l4n2`7>7b<3`9>h7>5$2`6>61e3g9i871d9m7g2=921b=1d9m7g2=;21b=1d9m7g2==21b=<650;&0f0<69l1e?o:56:9j541=83.8n84>1d9m7g2=?21b=<;50;&0f0<69l1e?o:58:9j542=83.8n84>1d9m7g2=121b=<=50;&0f0<69l1e?o:5a:9j544=83.8n84>1d9m7g2=j21b=1d9m7g2=l21b==h50;&0f0<69l1e?o:5e:9j55c=83.8n84>1d9m7g2=n21b==j50;&0f0<69l1e?o:51198m46d290/?o;510g8j6d328;07d??a;29 6d228;n7c=m4;31?>o6800;6)=m5;32a>h4j=0:?65f11:94?"4j<0:=h5a3c6951=67:9j552=83.8n84>1d9m7g2=9110e<><:18'7g3=98o0b>l;:0;8?l77:3:1(>l::03f?k5e<3;j76g>0083>!5e=3;:i6`=nno0;6)=m5;32a>h4j=0:o65ffd83>!5e=3;:i6`=nnm0;6)=m5;32a>h4j=0:i65ffb83>!5e=3;:i6`=nnk0;6)=m5;32a>h4j=09<65ff`83>!5e=3;:i6`=nn00;6)=m5;32a>h4j=09>65ff983>!5e=3;:i6`=nn>0;6)=m5;32a>h4j=09865ff783>!5e=3;:i6`=n9;?1<7*1>;54i007>5<#;k?1=71<3`;9?7>5$2`6>47b3g9i87<7;:k267<72-9i97?>e:l0f1<5121b=??50;&0f0<69l1e?o:52`98m447290/?o;510g8j6d32;h07d?>6;29 6d228;n7c=m4;0`?>o68k0;6)=m5;32a>h4j=09h65f11294?"4j<0:=h5a3c696`=1>k54o26b>5<#;k?1?974n2`7>5=36=4+3c7971?5<#;k?1?974n2`7>7==6=4+3c7971?54o266>5<#;k?1?974n2`7>1=?6=4+3c7971?5<#;k?1?974n2`7>3=96=4+3c7971?5<#;k?1?974n2`7>==5<#;k?1?974n2`7>d=5<#;k?1?974n2`7>f=5<#;k?1?974n2`7>`=5<#;k?1?974n2`7>46<3f98;7>5$2`6>62>3g9i87?>;:m070<72-9i97=;9:l0f1<6:21d?>:50;&0f0<4<01e?o:51298k654290/?o;535;8j6d328>07b=<2;29 6d22:>27c=m4;36?>i4;80;6)=m5;17=>h4j=0::65`32294?"4j<08845a3c6952=6>:6;o1a0?7>32e8>i4?:%1a1?5312d8n94>a:9l77e=83.8n84<489m7g2=9k10c>l;:0a8?j5513:1(>l::26:?k5e<3;o76a<2983>!5e=39?56`=h;;=1<7*1=k54o205>5<#;k?1?974n2`7>76<3f9997>5$2`6>62>3g9i87<>;:m061<72-9i97=;9:l0f1<5:21d??=50;&0f0<4<01e?o:52298k645290/?o;535;8j6d32;>07b==1;29 6d22:>27c=m4;06?>i4=90;6)=m5;17=>h4j=09:65`35d94?"4j<08845a3c6962=n6=4+3c7971?6>:6;o1a0?4>32e88n4?:%1a1?5312d8n94=a:9l71d=83.8n84<489m7g2=:k10c>:>:18'7g3=;=30b>l;:3a8?j54>3:1(>l::26:?k5e<38o76a<2c83>!5e=39?56`=h;;:1<7*1>k54i23a>5<#;k?1?5=5<#;k?1?7=54i235>5<#;k?1?1=6=4+3c7974g5<#;k?1?3=5<#;k?1=994n2`7>5==6=4+3c795115<#;k?1=994n2`7>7=?6=4+3c7951154i060>5<#;k?1=994n2`7>1=96=4+3c795115<#;k?1=994n2`7>3=;6=4+3c795115<#;k?1=994n2`7>==5<#;k?1=994n2`7>d=n6=4+3c795115<#;k?1=994n2`7>f=h6=4+3c795115<#;k?1=994n2`7>`=j6=4+3c795115<#;k?1=994n2`7>46<3`;8j7>5$2`6>4203g9i87?>;:m0ea<72-9i97=nc:l0f1<732e8mo4?:%1a1?5fk2d8n94>;:m0ed<72-9i97=nc:l0f1<532e8m44?:%1a1?5fk2d8n94<;:m0e=<72-9i97=nc:l0f1<332e8m:4?:%1a1?5fk2d8n94:;:m0e3<72-9i97=nc:l0f1<132e8m84?:%1a1?5fk2d8n948;:m0e6<72-9i97=nc:l0f1i41?0;6)=m5;1bg>h4j=0:865`38794?"4j<08mn5a3c6950=6>ol;o1a0?7032e85?4?:%1a1?5fk2d8n94>8:9l7<7=83.8n847?:18'7g3=;hi0b>l;:0c8?j5?n3:1(>l::2c`?k5e<3;i76a<8e83>!5e=39jo6`=h;1i1<7*1=i54o2:a>5<#;k?1?lm4n2`7>4c<3f93m7>5$2`6>6gd3g9i87?i;:m0<<<72-9i97=nc:l0f1<5821d?5650;&0f0<4ij1e?o:52098k6>0290/?o;53`a8j6d32;807b=76;29 6d22:kh7c=m4;00?>i40<0;6)=m5;1bg>h4j=09865`39694?"4j<08mn5a3c6960=6>ol;o1a0?4032e8n<4?:%1a1?5fk2d8n94=8:9l7g6=83.8n84oi:18'7g3=;hi0b>l;:3c8?j5fm3:1(>l::2c`?k5e<38i76a!5e=39jo6`=h;031<7*1>i54o2:f>5<#;k?1?lm4n2`7>7c<3f93?7>5$2`6>6gd3g9i8764j4n2`7>5=h4j=0:76g6b;29 6d220n0b>l;:398m5$2`6>o>?3:1(>l::8f8j6d32?10e4850;&0f0<>l2d8n948;:kb3?6=,:h>64j4n2`7>==h4j=0276gn5;29 6d220n0b>l;:`98md2=83.8n846d:l0f15$2`6>of93:1(>l::8f8j6d32l10el>50;&0f0<>l2d8n94i;:k:b?6=,:h>64j4n2`7>46<3`3>6=4+3c79=a=i;k>1=<54o375>5<#;k?1>8;4n2`7>5=5<#;k?1>8;4n2`7>7=54o372>5<#;k?1>8;4n2`7>1=5<#;k?1>8;4n2`7>3=o6=4+3c796035<#;k?1>8;4n2`7>==i6=4+3c796035<#;k?1>8;4n2`7>d=26=4+3c796035<#;k?1>8;4n2`7>f=<6=4+3c796035<#;k?1>8;4n2`7>`=>6=4+3c796035<#;k?1>8;4n2`7>46<3f8?>7>5$2`6>7323g9i87?>;:m104<72-9i97<:5:l0f1<6:21d>9>50;&0f0<5=<1e?o:51298k75a290/?o;52478j6d328>07b<7c=m4;36?>i5;m0;6)=m5;061>h4j=0::65`22a94?"4j<09985a3c6952=6?;:;o1a0?7>32e99i4?:%1a1?42=2d8n94>a:9l60e=83.8n84=549m7g2=9k10c?;m:18'7g3=:l;:0a8?j42i3:1(>l::376?k5e<3;o76a=5883>!5e=38>96`=h:<21<7*1=k54o374>5<#;k?1>8;4n2`7>76<3f8?j7>5$2`6>7323g9i87<>;:m101<72-9i97<:5:l0f1<5:21d>>750;&0f0<5=<1e?o:52298ma3=83.8n84k4:l0f1<73A9h565fd283>!5e=3n?7c=m4;38L6e>32co>7>5$2`6>a2odm3:1(>l::e68j6d32?1C?n74;hag>5<#;k?1h95a3c693>N4k010eik50;&0f0=nlm0;6)=m5;f7?k5e<330D>m6;:kgg?6=,:h>6i:4n2`7>d=O;j307djm:18'7g3=l=1e?o:5b:J0g<=h4j=0h7E=l9:9j`<<72-9i97j;;o1a0?b<@:i276gk8;29 6d22m>0b>l;:d9K7f?<3`n<6=4+3c79`1=i;k>1j6FN4k010qo:i8;2950g=83:p(>mj:334?M5c02B8h=5Uf28a=d=:805=i1;0:7cl8:09mf=<63g;9;7>4$00;>6753g;9n7>4n01`>4=i:8<1=6`=5d82?k56k3;0b>l8:09'7f2=;jn0e<=?:188m6db2900e>li:188m4562900e>m?:188m4532900e<m=:188m6e62900e<=7:188m6dc2900e<=<:188m44b2900e<<9:188m4522900e<2900e>ll:188m6e42900en<50;&0f06n?4n2`7>4=h4j=0976gme;29 6d22j;0b>l;:298mgb=83.8n84l1:l0f1<332cio7>5$2`6>f7oei3:1(>l::b38j6d32>10enl50;&0f06n?4n2`7><=h4j=0j76gl8;29 6d22j;0b>l;:c98mf1=83.8n84l1:l0f15$2`6>f7od<3:1(>l::b38j6d32o10en=50;&0f00:9jf<<72-9i97m>;o1a0?7632en47>5$2`6>`1ib=3:1(>l::d58j6d32;10ch:50;&0f06h94n2`7>1=h4j=0>76aj1;29 6d22l=0b>l;:798k`6=83.8n84j7:l0f1<032em=7>5$2`6>`1ibn3:1(>l::d58j6d32h10chk50;&0f06h94n2`7>f=h4j=0o76ajb;29 6d22l=0b>l;:d98k`g=83.8n84j7:l0f15$2`6>`14;nfe>5<#;k?1i:5a3c6954=5<#;k?1?:l4n2`7>4=5<#;k?1?:l4n2`7>6=5<#;k?1?:l4n2`7>0=6=4+3c7972d5<#;k?1?:l4n2`7>2=5<#;k?1?:l4n2`7><=5<#;k?1?:l4n2`7>g=5<#;k?1?:l4n2`7>a=5<#;k?1?:l4n2`7>c=4;h15=?6=,:h>6>9m;o1a0?7632c8::4?:%1a1?50j2d8n94>2:9j730=83.8n84<7c9m7g2=9:10e>8::18'7g3=;>h0b>l;:068?l51<3:1(>l::25a?k5e<3;>76g<6283>!5e=39=n;?81<7*1=:54i242>5<#;k?1?:l4n2`7>4><3`9=<7>5$2`6>61e3g9i87?6;:k01c<72-9i97=8b:l0f1<6i21b?8k50;&0f0<4?k1e?o:51c98m63d290/?o;536`8j6d328i07d=:b;29 6d22:=i7c=m4;3g?>o4=h0;6)=m5;14f>h4j=0:i65f34;94?"4j<08;o5a3c695c=4;h163?6=,:h>6>9m;o1a0?4632c89;4?:%1a1?50j2d8n94=2:9j703=83.8n84<7c9m7g2=::10e>;;:18'7g3=;>h0b>l;:368?l52;3:1(>l::25a?k5e<38>76g<8383>!5e=39=n;1;1<7*1>:54i2:3>5<#;k?1?:l4n2`7>7><3`95$2`6>61e3g9i87<6;:k03`<72-9i97=8b:l0f1<5i21b?:j50;&0f0<4?k1e?o:52c98m614290/?o;536`8j6d32;i07d=98;29 6d22:=i7c=m4;0g?>o4=m0;6)=m5;14f>h4j=09i65f34094?"4j<08;o5a3c696c=5<#;k?1=4=5<#;k?1=6=5<#;k?1=0=5<#;k?1=2=6=4+3c7954c5<#;k?1=<=5<#;k?1=g=5<#;k?1=a=5<#;k?1=c=4;h33g?6=,:h>62:9j55?=83.8n84>1d9m7g2=9:10e<>7:18'7g3=98o0b>l;:068?l77?3:1(>l::03f?k5e<3;>76g>0783>!5e=3;:i6`=n99?1<7*1=:54i027>5<#;k?1=4><3`;;?7>5$2`6>47b3g9i87?6;:k247<72-9i97?>e:l0f1<6i21b==?50;&0f0<69l1e?o:51c98mc`=83.8n84>1d9m7g2=9j10ekk50;&0f0<69l1e?o:51e98mcb=83.8n84>1d9m7g2=9l10ekm50;&0f0<69l1e?o:51g98mcd=83.8n84>1d9m7g2=:910eko50;&0f0<69l1e?o:52098mc?=83.8n84>1d9m7g2=:;10ek650;&0f0<69l1e?o:52298mc1=83.8n84>1d9m7g2=:=10ek850;&0f0<69l1e?o:52498m442290/?o;510g8j6d32;<07d?=4;29 6d228;n7c=m4;04?>o6::0;6)=m5;32a>h4j=09465f13094?"4j<0:=h5a3c696<=61d9m7g2=:m10e<>?:18'7g3=98o0b>l;:3g8?l`2290/?o;510g8j6d32;l07b=;a;29 6d22:>27c=m4;28?j5303:1(>l::26:?k5e<3;07b=;7;29 6d22:>27c=m4;08?j53>3:1(>l::26:?k5e<3907b=;5;29 6d22:>27c=m4;68?j53<3:1(>l::26:?k5e<3?07b=;3;29 6d22:>27c=m4;48?j53:3:1(>l::26:?k5e<3=07b=;0;29 6d22:>27c=m4;:8?j54n3:1(>l::26:?k5e<3307b=27c=m4;c8?j54l3:1(>l::26:?k5e<3h07b=27c=m4;a8?j54j3:1(>l::26:?k5e<3n07b=27c=m4;g8?j5413:1(>l::26:?k5e<3l07b=<8;29 6d22:>27c=m4;33?>i4;>0;6)=m5;17=>h4j=0:=65`32794?"4j<08845a3c6957=6>:6;o1a0?7332e8??4?:%1a1?5312d8n94>5:9l767=83.8n84<489m7g2=9?10c>=?:18'7g3=;=30b>l;:058?j55n3:1(>l::26:?k5e<3;376a<2d83>!5e=39?56`=h;;n1<7*1=l54o20`>5<#;k?1?974n2`7>4d<3f99m7>5$2`6>62>3g9i87?l;:m06<<72-9i97=;9:l0f1<6l21d??650;&0f0<4<01e?o:51d98k640290/?o;535;8j6d328l07b==6;29 6d22:>27c=m4;03?>i4:<0;6)=m5;17=>h4j=09=65`33694?"4j<08845a3c6967=6>:6;o1a0?4332e8><4?:%1a1?5312d8n94=5:9l706=83.8n84<489m7g2=:?10c>:i:18'7g3=;=30b>l;:358?j53m3:1(>l::26:?k5e<38376a<4e83>!5e=39?56`=h;=i1<7*1>l54o26a>5<#;k?1?974n2`7>7d<3f9?=7>5$2`6>62>3g9i87b;29 6d22:;j7c=m4;28?l5613:1(>l::23b?k5e<3;07d=>8;29 6d22:;j7c=m4;08?l56?3:1(>l::23b?k5e<3907d=>6;29 6d22:;j7c=m4;68?l56=3:1(>l::23b?k5e<3?07d=>4;29 6d22:;j7c=m4;48?l56;3:1(>l::23b?k5e<3=07d?;8;29 6d228><7c=m4;28?l73>3:1(>l::064?k5e<3;07d?;5;29 6d228><7c=m4;08?l73<3:1(>l::064?k5e<3907d?;3;29 6d228><7c=m4;68?l73:3:1(>l::064?k5e<3?07d?;1;29 6d228><7c=m4;48?l7383:1(>l::064?k5e<3=07d?:1;29 6d228><7c=m4;:8?l7283:1(>l::064?k5e<3307d?;f;29 6d228><7c=m4;c8?l73m3:1(>l::064?k5e<3h07d?;d;29 6d228><7c=m4;a8?l73k3:1(>l::064?k5e<3n07d?;b;29 6d228><7c=m4;g8?l73i3:1(>l::064?k5e<3l07d?;9;29 6d228><7c=m4;33?>o6;o0;6)=m5;373>h4j=0:=65`3`f94?"4j<08mn5a3c694>=h;hh1<7*1=65`3`c94?"4j<08mn5a3c696>=h;h31<7*1?65`3`:94?"4j<08mn5a3c690>=h;h=1<7*1965`3`494?"4j<08mn5a3c692>=h;h?1<7*1;65`3`194?"4j<08mn5a3c69<>=h;h81<7*1565`3`394?"4j<08mn5a3c69e>=h;h:1<7*1n65`38d94?"4j<08mn5a3c69g>=h;0o1<7*1h65`38f94?"4j<08mn5a3c69a>=h;0i1<7*1j65`38`94?"4j<08mn5a3c6955=6>ol;o1a0?7532e85:4?:%1a1?5fk2d8n94>3:9l7<0=83.8n847::18'7g3=;hi0b>l;:078?j5><3:1(>l::2c`?k5e<3;=76a<9283>!5e=39jo6`=h;081<7*1=554o2;2>5<#;k?1?lm4n2`7>4?<3f92<7>5$2`6>6gd3g9i87?n;:m0d290/?o;53`a8j6d328n07b=7b;29 6d22:kh7c=m4;3f?>i40h0;6)=m5;1bg>h4j=0:j65`39;94?"4j<08mn5a3c6965=6>ol;o1a0?4532e84;4?:%1a1?5fk2d8n94=3:9l7=3=83.8n846;:18'7g3=;hi0b>l;:378?j5e;3:1(>l::2c`?k5e<38=76a!5e=39jo6`=h;k;1<7*1>554o2`3>5<#;k?1?lm4n2`7>7?<3f9jj7>5$2`6>6gd3g9i87290/?o;53`a8j6d32;n07b=7e;29 6d22:kh7c=m4;0f?>i40:0;6)=m5;1bg>h4j=09j65f9d83>!5e=33o7c=m4;28?l?d290/?o;59e9m7g2=921b5o4?:%1a1??c3g9i87<4;h;b>5<#;k?15i5a3c697>=n100;6)=m5;;g?k5e<3>07d77:18'7g3=1m1e?o:55:9j=2<72-9i977k;o1a0?0<3`3=6=4+3c79=a=i;k>1;65fa683>!5e=33o7c=m4;:8?lg1290/?o;59e9m7g2=121bm84?:%1a1??c3g9i87o4;hc7>5<#;k?15i5a3c69f>=ni:0;6)=m5;;g?k5e<3i07do=:18'7g3=1m1e?o:5d:9je4<72-9i977k;o1a0?c<3`k;6=4+3c79=a=i;k>1j65f9g83>!5e=33o7c=m4;33?>o>=3:1(>l::8f8j6d328;07b<:6;29 6d22;?>7c=m4;28?j42<3:1(>l::376?k5e<3;07b<:3;29 6d22;?>7c=m4;08?j42:3:1(>l::376?k5e<3907b<:1;29 6d22;?>7c=m4;68?j4283:1(>l::376?k5e<3?07b<;e;29 6d22;?>7c=m4;48?j43l3:1(>l::376?k5e<3=07b<;c;29 6d22;?>7c=m4;:8?j43j3:1(>l::376?k5e<3307b<;a;29 6d22;?>7c=m4;c8?j4313:1(>l::376?k5e<3h07b<;8;29 6d22;?>7c=m4;a8?j43?3:1(>l::376?k5e<3n07b<;6;29 6d22;?>7c=m4;g8?j43=3:1(>l::376?k5e<3l07b<;3;29 6d22;?>7c=m4;33?>i5<;0;6)=m5;061>h4j=0:=65`25394?"4j<09985a3c6957=;6=4+3c796036?;:;o1a0?7332e9?h4?:%1a1?42=2d8n94>5:9l66b=83.8n84=549m7g2=9?10c?=l:18'7g3=:l;:058?j44j3:1(>l::376?k5e<3;376a=3`83>!5e=38>96`=h:1=l54o37`>5<#;k?1>8;4n2`7>4d<3f8>n7>5$2`6>7323g9i87?l;:m11d<72-9i97<:5:l0f1<6l21d>8750;&0f0<5=<1e?o:51d98k73?290/?o;52478j6d328l07b<:7;29 6d22;?>7c=m4;03?>i5h4j=09=65`25694?"4j<09985a3c6967=5<#;k?1h95a3c694>N4k010ei=50;&0f0;I1`=>=nl;0;6)=m5;f7?k5e<380D>m6;:kg5?6=,:h>6i:4n2`7>6=O;j307dj?:18'7g3=l=1e?o:54:J0g<=h4j=0>7E=l9:9jg`<72-9i97j;;o1a0?0<@:i276gld;29 6d22m>0b>l;:69K7f?<3`nn6=4+3c79`1=i;k>146F3A9h565fdb83>!5e=3n?7c=m4;c8L6e>32con7>5$2`6>a2oc?3:1(>l::e68j6d32o1C?n74;hf5>5<#;k?1h95a3c6955=O;j307dml:18'7g3=l=1e?o:5109K7f?<3th?j44?:07b>5<7s-9hi7<>7:J0`==O;m:0Vk=5bz:a>77=?m0<57?k:0a932<0k3;n6h6:>0;7)?=8;126>h6:k0;7c?8k51:l05f<63g9i;7?4$2a7>6ec3`;8<7>5;h1aa?6=3`9ij7>5;h305?6=3`9h<7>5;h300?6=3`;9j7>5;n31e?6=3`9h>7>5;h1`5?6=3`;847>5;h1a`?6=3`;8?7>5;h31a?6=3`;9:7>5;h301?6=3`;9h7>5;n31=?6=3`9io7>5;h1`7?6=3`i96=4+3c79g4=i;k>1<65fc183>!5e=3i:7c=m4;38?lda290/?o;5c09m7g2=:21bnh4?:%1a1?e63g9i87=4;h`g>5<#;k?1o<5a3c690>=njj0;6)=m5;a2?k5e<3?07dlm:18'7g3=k81e?o:56:9jfd<72-9i97m>;o1a0?1<3`ii6=4+3c79g4=i;k>1465fc`83>!5e=3i:7c=m4;;8?le>290/?o;5c09m7g2=i21bo54?:%1a1?e63g9i87l4;ha4>5<#;k?1o<5a3c69g>=nk?0;6)=m5;a2?k5e<3n07dm::18'7g3=k81e?o:5e:9jg1<72-9i97m>;o1a0?`<3`i86=4+3c79g4=i;k>1==54ic;94?"4j<0h=6`=hm10;6)=m5;g4?k5e<3:07bk9:18'7g3=m>1e?o:51:9la0<72-9i97k8;o1a0?4<3fo?6=4+3c79a2=i;k>1?65`e283>!5e=3o<7c=m4;68?jc5290/?o;5e69m7g2==21di<4?:%1a1?c03g9i8784;ng3>5<#;k?1i:5a3c693>=hn80;6)=m5;g4?k5e<3207bh?:18'7g3=m>1e?o:59:9lac<72-9i97k8;o1a0?g<3fon6=4+3c79a2=i;k>1n65`ee83>!5e=3o<7c=m4;a8?jcd290/?o;5e69m7g2=l21dio4?:%1a1?c03g9i87k4;ngb>5<#;k?1i:5a3c69b>=hm00;6)=m5;g4?k5e<3;;76akf;29 6d22l=0b>l;:038?l50k3:1(>l::25a?k5e<3:07d=8a;29 6d22:=i7c=m4;38?l5013:1(>l::25a?k5e<3807d=88;29 6d22:=i7c=m4;18?l50?3:1(>l::25a?k5e<3>07d=86;29 6d22:=i7c=m4;78?l50=3:1(>l::25a?k5e<3<07d=84;29 6d22:=i7c=m4;58?l50:3:1(>l::25a?k5e<3207d=81;29 6d22:=i7c=m4;;8?l5083:1(>l::25a?k5e<3k07d=9f;29 6d22:=i7c=m4;`8?l51m3:1(>l::25a?k5e<3i07d=9d;29 6d22:=i7c=m4;f8?l51k3:1(>l::25a?k5e<3o07d=9b;29 6d22:=i7c=m4;d8?l51i3:1(>l::25a?k5e<3;;76g<6883>!5e=39=n;?=1<7*1=?54i245>5<#;k?1?:l4n2`7>45<3`9=97>5$2`6>61e3g9i87?;;:k021<72-9i97=8b:l0f1<6=21b?;=50;&0f0<4?k1e?o:51798m605290/?o;536`8j6d328=07d=91;29 6d22:=i7c=m4;3;?>o4>90;6)=m5;14f>h4j=0:565f34d94?"4j<08;o5a3c695d=6>9m;o1a0?7d32c89o4?:%1a1?50j2d8n94>d:9j70g=83.8n84<7c9m7g2=9l10e>;6:18'7g3=;>h0b>l;:0d8?l5203:1(>l::25a?k5e<38;76g<5683>!5e=39=n;<<1<7*1>?54i276>5<#;k?1?:l4n2`7>75<3`9>87>5$2`6>61e3g9i87<;;:k016<72-9i97=8b:l0f1<5=21b?5<50;&0f0<4?k1e?o:52798m6>6290/?o;536`8j6d32;=07d=70;29 6d22:=i7c=m4;0;?>o4?o0;6)=m5;14f>h4j=09565f36g94?"4j<08;o5a3c696d=6>9m;o1a0?4d32c8:54?:%1a1?50j2d8n94=d:9j70b=83.8n84<7c9m7g2=:l10e>;=:18'7g3=;>h0b>l;:3d8?l76n3:1(>l::03f?k5e<3:07d?>d;29 6d228;n7c=m4;38?l76k3:1(>l::03f?k5e<3807d?>b;29 6d228;n7c=m4;18?l76i3:1(>l::03f?k5e<3>07d?>9;29 6d228;n7c=m4;78?l7603:1(>l::03f?k5e<3<07d?>7;29 6d228;n7c=m4;58?l76=3:1(>l::03f?k5e<3207d?>4;29 6d228;n7c=m4;;8?l76;3:1(>l::03f?k5e<3k07d?>2;29 6d228;n7c=m4;`8?l7693:1(>l::03f?k5e<3i07d?>0;29 6d228;n7c=m4;f8?l77n3:1(>l::03f?k5e<3o07d??e;29 6d228;n7c=m4;d8?l77l3:1(>l::03f?k5e<3;;76g>0b83>!5e=3;:i6`=n99k1<7*1=?54i02:>5<#;k?1=45<3`;;47>5$2`6>47b3g9i87?;;:k242<72-9i97?>e:l0f1<6=21b==850;&0f0<69l1e?o:51798m462290/?o;510g8j6d328=07d??4;29 6d228;n7c=m4;3;?>o68:0;6)=m5;32a>h4j=0:565f11094?"4j<0:=h5a3c695d=5<#;k?1=4e<3`ln6=4+3c7954c5<#;k?1=4c<3`lh6=4+3c7954c5<#;k?1=76<3`lj6=4+3c7954c5<#;k?1=74<3`l36=4+3c7954c5<#;k?1=72<3`l=6=4+3c7954c694?:%1a1?76m2d8n94=7:9j575=83.8n84>1d9m7g2=:110e<<=:18'7g3=98o0b>l;:3;8?l7593:1(>l::03f?k5e<38j76g>2183>!5e=3;:i6`=n98<1<7*1>n54i02a>5<#;k?1=7b<3`;;<7>5$2`6>47b3g9i8764?:%1a1?5312d8n949;:m007<72-9i97=;9:l0f1<032e88=4?:%1a1?5312d8n947;:m07c<72-9i97=;9:l0f1<>32e8?h4?:%1a1?5312d8n94n;:m07a<72-9i97=;9:l0f10:9l761=83.8n84<489m7g2=9810c>=::18'7g3=;=30b>l;:008?j54<3:1(>l::26:?k5e<3;876a<3283>!5e=39?56`=h;:81<7*1=854o212>5<#;k?1?974n2`7>40<3f98<7>5$2`6>62>3g9i87?8;:m06c<72-9i97=;9:l0f1<6021d??k50;&0f0<4<01e?o:51898k64c290/?o;535;8j6d328k07b==c;29 6d22:>27c=m4;3a?>i4:h0;6)=m5;17=>h4j=0:o65`33;94?"4j<08845a3c695a=6>:6;o1a0?7a32e8>;4?:%1a1?5312d8n94=0:9l773=83.8n84<489m7g2=:810c><;:18'7g3=;=30b>l;:308?j55;3:1(>l::26:?k5e<38876a<2383>!5e=39?56`=h;;;1<7*1>854o273>5<#;k?1?974n2`7>70<3f9?j7>5$2`6>62>3g9i87<8;:m00`<72-9i97=;9:l0f1<5021d?9j50;&0f0<4<01e?o:52898k62d290/?o;535;8j6d32;k07b=;b;29 6d22:>27c=m4;0a?>i4<80;6)=m5;17=>h4j=09o65`32494?"4j<08845a3c696a=6>:6;o1a0?4a32c8=o4?:%1a1?56i2d8n94?;:k05<<72-9i97=>a:l0f1<632c8=54?:%1a1?56i2d8n94=;:k052<72-9i97=>a:l0f1<432c8=;4?:%1a1?56i2d8n94;;:k050<72-9i97=>a:l0f1<232c8=94?:%1a1?56i2d8n949;:k056<72-9i97=>a:l0f1<032c:854?:%1a1?73?2d8n94?;:k203<72-9i97?;7:l0f1<632c:884?:%1a1?73?2d8n94=;:k201<72-9i97?;7:l0f1<432c:8>4?:%1a1?73?2d8n94;;:k207<72-9i97?;7:l0f1<232c:8<4?:%1a1?73?2d8n949;:k205<72-9i97?;7:l0f1<032c:9<4?:%1a1?73?2d8n947;:k215<72-9i97?;7:l0f1<>32c:8k4?:%1a1?73?2d8n94n;:k20`<72-9i97?;7:l0f10:9j56`=83.8n84>469m7g2=9810c>ok:18'7g3=;hi0b>l;:198k6ge290/?o;53`a8j6d32810c>on:18'7g3=;hi0b>l;:398k6g>290/?o;53`a8j6d32:10c>o7:18'7g3=;hi0b>l;:598k6g0290/?o;53`a8j6d32<10c>o9:18'7g3=;hi0b>l;:798k6g2290/?o;53`a8j6d32>10c>o<:18'7g3=;hi0b>l;:998k6g5290/?o;53`a8j6d32010c>o>:18'7g3=;hi0b>l;:`98k6g7290/?o;53`a8j6d32k10c>7i:18'7g3=;hi0b>l;:b98k6?b290/?o;53`a8j6d32m10c>7k:18'7g3=;hi0b>l;:d98k6?d290/?o;53`a8j6d32o10c>7m:18'7g3=;hi0b>l;:028?j5>i3:1(>l::2c`?k5e<3;:76a<9983>!5e=39jo6`=h;0=1<7*1=>54o2;5>5<#;k?1?lm4n2`7>42<3f9297>5$2`6>6gd3g9i87?:;:m0=1<72-9i97=nc:l0f1<6>21d?4=50;&0f0<4ij1e?o:51698k6?5290/?o;53`a8j6d328207b=61;29 6d22:kh7c=m4;3:?>i4190;6)=m5;1bg>h4j=0:m65`39d94?"4j<08mn5a3c695g=6>ol;o1a0?7c32e84o4?:%1a1?5fk2d8n94>e:9l7=g=83.8n8466:18'7g3=;hi0b>l;:328?j5?03:1(>l::2c`?k5e<38:76a<8683>!5e=39jo6`=h;1<1<7*1>>54o2:6>5<#;k?1?lm4n2`7>72<3f9387>5$2`6>6gd3g9i87<:;:m0f6<72-9i97=nc:l0f1<5>21d?o<50;&0f0<4ij1e?o:52698k6d6290/?o;53`a8j6d32;207b=m0;29 6d22:kh7c=m4;0:?>i4io0;6)=m5;1bg>h4j=09m65`3`g94?"4j<08mn5a3c696g=6>ol;o1a0?4c32e84h4?:%1a1?5fk2d8n94=e:9l7=5=83.8n84l2d8n94?;:k:g?6=,:h>64j4n2`7>4=h4j=0976g6a;29 6d220n0b>l;:298m5$2`6>o>>3:1(>l::8f8j6d32>10el950;&0f0<>l2d8n947;:kb2?6=,:h>64j4n2`7><=h4j=0j76gn4;29 6d220n0b>l;:c98md5=83.8n846d:l0f17>5$2`6>of83:1(>l::8f8j6d32o10e4h50;&0f0<>l2d8n94>0:9j=0<72-9i977k;o1a0?7632e99;4?:%1a1?42=2d8n94?;:m111<72-9i97<:5:l0f1<632e99>4?:%1a1?42=2d8n94=;:m117<72-9i97<:5:l0f1<432e99<4?:%1a1?42=2d8n94;;:m115<72-9i97<:5:l0f1<232e98h4?:%1a1?42=2d8n949;:m10a<72-9i97<:5:l0f1<032e98n4?:%1a1?42=2d8n947;:m10g<72-9i97<:5:l0f1<>32e98l4?:%1a1?42=2d8n94n;:m10<<72-9i97<:5:l0f14?:%1a1?42=2d8n94>0:9l614=83.8n84=549m7g2=9810c?:>:18'7g3=:l;:008?j4383:1(>l::376?k5e<3;876a=3g83>!5e=38>96`=h::o1<7*1=854o31g>5<#;k?1>8;4n2`7>40<3f88o7>5$2`6>7323g9i87?8;:m17g<72-9i97<:5:l0f1<6021d>>o50;&0f0<5=<1e?o:51898k73c290/?o;52478j6d328k07b<:c;29 6d22;?>7c=m4;3a?>i5=k0;6)=m5;061>h4j=0:o65`24c94?"4j<09985a3c695a=6?;:;o1a0?7a32e99:4?:%1a1?42=2d8n94=0:9l61`=83.8n84=549m7g2=:810c?:;:18'7g3=:l;:308?j4413:1(>l::376?k5e<38876gk5;29 6d22m>0b>l;:19K7f?<3`n86=4+3c79`1=i;k>1=6F!5e=3n?7c=m4;18L6e>32co<7>5$2`6>a2ocm3:1(>l::e68j6d3211C?n74;hfg>5<#;k?1h95a3c69=>N4k010eim50;&0f0=nlk0;6)=m5;f7?k5e<3h0D>m6;:kge?6=,:h>6i:4n2`7>f=O;j307dj6:18'7g3=l=1e?o:5d:J0g<=h4j=0n7E=l9:9j`2<72-9i97j;;o1a0?`<@:i276gk6;29 6d22m>0b>l;:028L6e>32cho7>5$2`6>a2{e2b=?00:h7?l:6593f<6m3;i68?5}%12`?71>01e5=4?;o;1>4=ij>0:7cl7:09m571=82.:>54<139m57d=82d:?n4>;o022?7h4j>0:7)=l4;1``>o6;90;66g>o4jo0;66g>3083>>o4k90;66g>3583>>o6:o0;66a>2`83>>o4k;0;66g>o6;10;66g>o6;:0;66g>2d83>>o6:?0;66g>3483>>o6:m0;66a>2883>>o4jj0;66g>od:3:1(>l::b38j6d32910en>50;&0f0;:kab?6=,:h>6n?4n2`7>7=h4j=0876gmd;29 6d22j;0b>l;:598mge=83.8n84l1:l0f1<232cin7>5$2`6>f7odj3:1(>l::b38j6d32110eno50;&0f06n?4n2`7>d=h4j=0i76gl7;29 6d22j;0b>l;:b98mf0=83.8n84l1:l0f15$2`6>f7od;3:1(>l::b38j6d328:07dl6:18'7g3=k81e?o:51098k`>=83.8n84j7:l0f1<732en:7>5$2`6>`1ib<3:1(>l::d58j6d32:10ch=50;&0f06h94n2`7>0=h4j=0=76aj0;29 6d22l=0b>l;:698kc7=83.8n84j7:l0f15$2`6>`1ibm3:1(>l::d58j6d32k10chj50;&0f06h94n2`7>a=h4j=0n76aja;29 6d22l=0b>l;:g98k`?=83.8n84j7:l0f1<6821dhk4?:%1a1?c03g9i87?>;:k03f<72-9i97=8b:l0f1<732c8;l4?:%1a1?50j2d8n94>;:k03<<72-9i97=8b:l0f1<532c8;54?:%1a1?50j2d8n94<;:k032<72-9i97=8b:l0f1<332c8;;4?:%1a1?50j2d8n94:;:k030<72-9i97=8b:l0f1<132c8;94?:%1a1?50j2d8n948;:k037<72-9i97=8b:l0f1o4><0;6)=m5;14f>h4j=0:865f37694?"4j<08;o5a3c6950=6>9m;o1a0?7032c8:<4?:%1a1?50j2d8n94>8:9j736=83.8n84<7c9m7g2=9010e>;i:18'7g3=;>h0b>l;:0c8?l52m3:1(>l::25a?k5e<3;i76g<5b83>!5e=39=n;1=i54i27b>5<#;k?1?:l4n2`7>4c<3`9>57>5$2`6>61e3g9i87?i;:k01=<72-9i97=8b:l0f1<5821b?8950;&0f0<4?k1e?o:52098m631290/?o;536`8j6d32;807d=:5;29 6d22:=i7c=m4;00?>o4==0;6)=m5;14f>h4j=09865f34194?"4j<08;o5a3c6960=6>9m;o1a0?4032c84=4?:%1a1?50j2d8n94=8:9j72`=83.8n84<7c9m7g2=:010e>9j:18'7g3=;>h0b>l;:3c8?l50l3:1(>l::25a?k5e<38i76g<7283>!5e=39=n;?21<7*1>i54i27g>5<#;k?1?:l4n2`7>7c<3`9>>7>5$2`6>61e3g9i87e:l0f1<732c:=i4?:%1a1?76m2d8n94>;:k25f<72-9i97?>e:l0f1<532c:=o4?:%1a1?76m2d8n94<;:k25d<72-9i97?>e:l0f1<332c:=44?:%1a1?76m2d8n94:;:k25=<72-9i97?>e:l0f1<132c:=:4?:%1a1?76m2d8n948;:k250<72-9i97?>e:l0f1e:l0f1e:l0f1e:l0f1e:l0f1<6821b==m50;&0f0<69l1e?o:51098m46f290/?o;510g8j6d328807d??9;29 6d228;n7c=m4;30?>o6810;6)=m5;32a>h4j=0:865f11594?"4j<0:=h5a3c6950=68:9j555=83.8n84>1d9m7g2=9010e<>=:18'7g3=98o0b>l;:0c8?l7793:1(>l::03f?k5e<3;i76gif;29 6d228;n7c=m4;3`?>oam3:1(>l::03f?k5e<3;o76gid;29 6d228;n7c=m4;3f?>oak3:1(>l::03f?k5e<3;m76gib;29 6d228;n7c=m4;03?>oai3:1(>l::03f?k5e<38:76gi9;29 6d228;n7c=m4;01?>oa03:1(>l::03f?k5e<38876gi7;29 6d228;n7c=m4;07?>oa>3:1(>l::03f?k5e<38>76g>2483>!5e=3;:i6`=n9;>1<7*1>:54i000>5<#;k?1=7><3`;9>7>5$2`6>47b3g9i87<6;:k264<72-9i97?>e:l0f1<5i21b=?>50;&0f0<69l1e?o:52c98m471290/?o;510g8j6d32;i07d??b;29 6d228;n7c=m4;0g?>o6890;6)=m5;32a>h4j=09i65ff483>!5e=3;:i6`=h;=k1<7*1<65`35:94?"4j<08845a3c695>=h;==1<7*1>65`35494?"4j<08845a3c697>=h;=?1<7*1865`35694?"4j<08845a3c691>=h;=91<7*1:65`35094?"4j<08845a3c693>=h;=:1<7*1465`32d94?"4j<08845a3c69=>=h;:o1<7*1m65`32f94?"4j<08845a3c69f>=h;:i1<7*1o65`32`94?"4j<08845a3c69`>=h;:k1<7*1i65`32;94?"4j<08845a3c69b>=h;:21<7*1==54o214>5<#;k?1?974n2`7>47<3f9897>5$2`6>62>3g9i87?=;:m071<72-9i97=;9:l0f1<6;21d?>=50;&0f0<4<01e?o:51598k655290/?o;535;8j6d328?07b=<1;29 6d22:>27c=m4;35?>i4;90;6)=m5;17=>h4j=0:;65`33d94?"4j<08845a3c695==6>:6;o1a0?7f32e8>n4?:%1a1?5312d8n94>b:9l77g=83.8n84<489m7g2=9j10c><6:18'7g3=;=30b>l;:0f8?j5503:1(>l::26:?k5e<3;n76a<2683>!5e=39?56`=h;;<1<7*1>=54o206>5<#;k?1?974n2`7>77<3f9987>5$2`6>62>3g9i87<=;:m066<72-9i97=;9:l0f1<5;21d??<50;&0f0<4<01e?o:52598k646290/?o;535;8j6d32;?07b=:0;29 6d22:>27c=m4;05?>i4h4j=09;65`35g94?"4j<08845a3c696==o6=4+3c7971?6>:6;o1a0?4f32e88o4?:%1a1?5312d8n94=b:9l717=83.8n84<489m7g2=:j10c>=9:18'7g3=;=30b>l;:3f8?j55j3:1(>l::26:?k5e<38n76a<2183>!5e=39?56`=n;8h1<7*1<65f30;94?"4j<08=l5a3c695>=n;821<7*1>65f30594?"4j<08=l5a3c697>=n;8<1<7*1865f30794?"4j<08=l5a3c691>=n;8>1<7*1:65f30194?"4j<08=l5a3c693>=n9=21<7*1<65f15494?"4j<0:8:5a3c695>=n9=?1<7*1>65f15694?"4j<0:8:5a3c697>=n9=91<7*1865f15094?"4j<0:8:5a3c691>=n9=;1<7*1:65f15294?"4j<0:8:5a3c693>=n9<;1<7*1465f14294?"4j<0:8:5a3c69=>=n9=l1<7*1m65f15g94?"4j<0:8:5a3c69f>=n9=n1<7*1o65f15a94?"4j<0:8:5a3c69`>=n9=h1<7*1i65f15c94?"4j<0:8:5a3c69b>=n9=31<7*1==54i01e>5<#;k?1=994n2`7>47<3f9jh7>5$2`6>6gd3g9i87>4;n1bf?6=,:h>6>ol;o1a0?7<3f9jm7>5$2`6>6gd3g9i87<4;n1b=?6=,:h>6>ol;o1a0?5<3f9j47>5$2`6>6gd3g9i87:4;n1b3?6=,:h>6>ol;o1a0?3<3f9j:7>5$2`6>6gd3g9i8784;n1b1?6=,:h>6>ol;o1a0?1<3f9j?7>5$2`6>6gd3g9i8764;n1b6?6=,:h>6>ol;o1a0??<3f9j=7>5$2`6>6gd3g9i87o4;n1b4?6=,:h>6>ol;o1a0?d<3f92j7>5$2`6>6gd3g9i87m4;n1:a?6=,:h>6>ol;o1a0?b<3f92h7>5$2`6>6gd3g9i87k4;n1:g?6=,:h>6>ol;o1a0?`<3f92n7>5$2`6>6gd3g9i87??;:m0=d<72-9i97=nc:l0f1<6921d?4650;&0f0<4ij1e?o:51398k6?0290/?o;53`a8j6d328907b=66;29 6d22:kh7c=m4;37?>i41<0;6)=m5;1bg>h4j=0:965`38694?"4j<08mn5a3c6953=6>ol;o1a0?7?32e85<4?:%1a1?5fk2d8n94>9:9l7<6=83.8n846i:18'7g3=;hi0b>l;:0`8?j5?l3:1(>l::2c`?k5e<3;h76a<8b83>!5e=39jo6`=h;1h1<7*1=h54o2:b>5<#;k?1?lm4n2`7>4`<3f9357>5$2`6>6gd3g9i871290/?o;53`a8j6d32;907b=75;29 6d22:kh7c=m4;07?>i40=0;6)=m5;1bg>h4j=09965`3c194?"4j<08mn5a3c6963=6>ol;o1a0?4?32e8n=4?:%1a1?5fk2d8n94=9:9l7d`=83.8n84oj:18'7g3=;hi0b>l;:3`8?j5f<3:1(>l::2c`?k5e<38h76a<9883>!5e=39jo6`=h;1o1<7*1>h54o2:0>5<#;k?1?lm4n2`7>7`<3`3n6=4+3c79=a=i;k>1<65f9b83>!5e=33o7c=m4;38?l?e290/?o;59e9m7g2=:21b5l4?:%1a1??c3g9i87=4;h;:>5<#;k?15i5a3c690>=n110;6)=m5;;g?k5e<3?07d78:18'7g3=1m1e?o:56:9j=3<72-9i977k;o1a0?1<3`k<6=4+3c79=a=i;k>1465fa783>!5e=33o7c=m4;;8?lg2290/?o;59e9m7g2=i21bm94?:%1a1??c3g9i87l4;hc0>5<#;k?15i5a3c69g>=ni;0;6)=m5;;g?k5e<3n07do>:18'7g3=1m1e?o:5e:9je5<72-9i977k;o1a0?`<3`3m6=4+3c79=a=i;k>1==54i8794?"4j<02h6`=h:<<1<7*1<65`24694?"4j<09985a3c695>=h:<91<7*1>65`24094?"4j<09985a3c697>=h:<;1<7*1865`24294?"4j<09985a3c691>=h:=o1<7*1:65`25f94?"4j<09985a3c693>=h:=i1<7*1465`25`94?"4j<09985a3c69=>=h:=k1<7*1m65`25;94?"4j<09985a3c69f>=h:=21<7*1o65`25594?"4j<09985a3c69`>=h:=<1<7*1i65`25794?"4j<09985a3c69b>=h:=91<7*1==54o361>5<#;k?1>8;4n2`7>47<3f8?=7>5$2`6>7323g9i87?=;:m105<72-9i97<:5:l0f1<6;21d>>h50;&0f0<5=<1e?o:51598k75b290/?o;52478j6d328?07b<7c=m4;35?>i5;j0;6)=m5;061>h4j=0:;65`22`94?"4j<09985a3c695==6?;:;o1a0?7f32e99n4?:%1a1?42=2d8n94>b:9l60d=83.8n84=549m7g2=9j10c?;n:18'7g3=:l;:0f8?j4213:1(>l::376?k5e<3;n76a=5983>!5e=38>96`=h:<=1<7*1>=54o36e>5<#;k?1>8;4n2`7>77<3f8?87>5$2`6>7323g9i87<=;:m17<<72-9i97<:5:l0f1<5;21bh84?:%1a1?b33g9i87>4H2a:?>oc;3:1(>l::e68j6d3281C?n74;hf1>5<#;k?1h95a3c696>N4k010ei?50;&0f0=nl90;6)=m5;f7?k5e<3>0D>m6;:k`b?6=,:h>6i:4n2`7>0=O;j307dmj:18'7g3=l=1e?o:56:J0g<=h4j=0<7E=l9:9j``<72-9i97j;;o1a0?><@:i276gkd;29 6d22m>0b>l;:89K7f?<3`nh6=4+3c79`1=i;k>1m6F!5e=3n?7c=m4;a8L6e>32co57>5$2`6>a2=nkj0;6)=m5;f7?k5e<3;:7E=l9:9~f1`e290:9l4?:1y'7fc=:8=0D>j7;I1g4>\a;3hp4o4=1;5g>2?=9m0:o798:6a95`<6j3?:6p*<1e8223?5=#9;21?<<4n00a>5=i9:i1=6`=1782?k42m3;0b>?l:09m7g1=92.8o94?50;9j7f6=831b=>:50;9j57`=831d=?o50;9j7f4=831b?n?50;9j56>=831b?oj50;9j565=831b=?k50;9j570=831b=>;50;9j57b=831d=?750;9j7ge=831b?n=50;9jg7<72-9i97m>;o1a0?6<3`i;6=4+3c79g4=i;k>1=65fbg83>!5e=3i:7c=m4;08?ldb290/?o;5c09m7g2=;21bni4?:%1a1?e63g9i87:4;h``>5<#;k?1o<5a3c691>=njk0;6)=m5;a2?k5e<3<07dln:18'7g3=k81e?o:57:9jgg<72-9i97m>;o1a0?><3`ij6=4+3c79g4=i;k>1565fc883>!5e=3i:7c=m4;c8?le?290/?o;5c09m7g2=j21bo:4?:%1a1?e63g9i87m4;ha5>5<#;k?1o<5a3c69`>=nk<0;6)=m5;a2?k5e<3o07dm;:18'7g3=k81e?o:5f:9jg6<72-9i97m>;o1a0?7732ci57>5$2`6>f75<#;k?1i:5a3c694>=hm?0;6)=m5;g4?k5e<3;07bk::18'7g3=m>1e?o:52:9la1<72-9i97k8;o1a0?5<3fo86=4+3c79a2=i;k>1865`e383>!5e=3o<7c=m4;78?jc6290/?o;5e69m7g2=>21di=4?:%1a1?c03g9i8794;nd2>5<#;k?1i:5a3c69<>=hn90;6)=m5;g4?k5e<3307bki:18'7g3=m>1e?o:5a:9la`<72-9i97k8;o1a0?d<3foo6=4+3c79a2=i;k>1o65`eb83>!5e=3o<7c=m4;f8?jce290/?o;5e69m7g2=m21dil4?:%1a1?c03g9i87h4;ng:>5<#;k?1i:5a3c6955=h4j=0:=65f36a94?"4j<08;o5a3c694>=n;>k1<7*1=65f36;94?"4j<08;o5a3c696>=n;>21<7*1?65f36594?"4j<08;o5a3c690>=n;><1<7*1965f36794?"4j<08;o5a3c692>=n;>>1<7*1;65f36094?"4j<08;o5a3c69<>=n;>;1<7*1565f36294?"4j<08;o5a3c69e>=n;?l1<7*1n65f37g94?"4j<08;o5a3c69g>=n;?n1<7*1h65f37a94?"4j<08;o5a3c69a>=n;?h1<7*1j65f37c94?"4j<08;o5a3c6955=6>9m;o1a0?7532c8:;4?:%1a1?50j2d8n94>3:9j733=83.8n84<7c9m7g2=9=10e>8;:18'7g3=;>h0b>l;:078?l51;3:1(>l::25a?k5e<3;=76g<6383>!5e=39=n;?;1<7*1=554i243>5<#;k?1?:l4n2`7>4?<3`9>j7>5$2`6>61e3g9i87?n;:k01`<72-9i97=8b:l0f1<6j21b?8m50;&0f0<4?k1e?o:51b98m63e290/?o;536`8j6d328n07d=:a;29 6d22:=i7c=m4;3f?>o4=00;6)=m5;14f>h4j=0:j65f34:94?"4j<08;o5a3c6965=6>9m;o1a0?4532c8984?:%1a1?50j2d8n94=3:9j702=83.8n84<7c9m7g2=:=10e>;<:18'7g3=;>h0b>l;:378?l5?:3:1(>l::25a?k5e<38=76g<8083>!5e=39=n;1:1<7*1>554i25e>5<#;k?1?:l4n2`7>7?<3`95$2`6>61e3g9i87o4=;0;6)=m5;14f>h4j=09j65f10d94?"4j<0:=h5a3c694>=n98n1<7*1=65f10a94?"4j<0:=h5a3c696>=n98h1<7*1?65f10c94?"4j<0:=h5a3c690>=n9831<7*1965f10:94?"4j<0:=h5a3c692>=n98=1<7*1;65f10794?"4j<0:=h5a3c69<>=n98>1<7*1565f10194?"4j<0:=h5a3c69e>=n9881<7*1n65f10394?"4j<0:=h5a3c69g>=n98:1<7*1h65f11d94?"4j<0:=h5a3c69a>=n99o1<7*1j65f11f94?"4j<0:=h5a3c6955=63:9j55>=83.8n84>1d9m7g2=9=10e<>8:18'7g3=98o0b>l;:078?l77>3:1(>l::03f?k5e<3;=76g>0483>!5e=3;:i6`=n99>1<7*1=554i020>5<#;k?1=4?<3`;;>7>5$2`6>47b3g9i87?n;:k244<72-9i97?>e:l0f1<6j21bjk4?:%1a1?76m2d8n94>c:9jb`<72-9i97?>e:l0f1<6l21bji4?:%1a1?76m2d8n94>e:9jbf<72-9i97?>e:l0f1<6n21bjo4?:%1a1?76m2d8n94=0:9jbd<72-9i97?>e:l0f1<5921bj44?:%1a1?76m2d8n94=2:9jb=<72-9i97?>e:l0f1<5;21bj:4?:%1a1?76m2d8n94=4:9jb3<72-9i97?>e:l0f1<5=21b=?;50;&0f0<69l1e?o:52798m443290/?o;510g8j6d32;=07d?=3;29 6d228;n7c=m4;0;?>o6:;0;6)=m5;32a>h4j=09565f13394?"4j<0:=h5a3c696d=61d9m7g2=:l10ek;50;&0f0<69l1e?o:52g98k62f290/?o;535;8j6d32910c>:7:18'7g3=;=30b>l;:098k620290/?o;535;8j6d32;10c>:9:18'7g3=;=30b>l;:298k622290/?o;535;8j6d32=10c>:;:18'7g3=;=30b>l;:498k624290/?o;535;8j6d32?10c>:=:18'7g3=;=30b>l;:698k627290/?o;535;8j6d32110c>=i:18'7g3=;=30b>l;:898k65b290/?o;535;8j6d32h10c>=k:18'7g3=;=30b>l;:c98k65d290/?o;535;8j6d32j10c>=m:18'7g3=;=30b>l;:e98k65f290/?o;535;8j6d32l10c>=6:18'7g3=;=30b>l;:g98k65?290/?o;535;8j6d328:07b=<7;29 6d22:>27c=m4;32?>i4;<0;6)=m5;17=>h4j=0:>65`32694?"4j<08845a3c6956=6>:6;o1a0?7232e8?<4?:%1a1?5312d8n94>6:9l766=83.8n84<489m7g2=9>10c>l;:0:8?j55m3:1(>l::26:?k5e<3;276a<2e83>!5e=39?56`=h;;i1<7*1=o54o20b>5<#;k?1?974n2`7>4e<3f9957>5$2`6>62>3g9i87?k;:m06=<72-9i97=;9:l0f1<6m21d??950;&0f0<4<01e?o:51g98k641290/?o;535;8j6d32;:07b==5;29 6d22:>27c=m4;02?>i4:=0;6)=m5;17=>h4j=09>65`33194?"4j<08845a3c6966=6>:6;o1a0?4232e89=4?:%1a1?5312d8n94=6:9l71`=83.8n84<489m7g2=:>10c>:j:18'7g3=;=30b>l;:3:8?j53l3:1(>l::26:?k5e<38276a<4b83>!5e=39?56`=h;=h1<7*1>o54o262>5<#;k?1?974n2`7>7e<3f98:7>5$2`6>62>3g9i8750;&0f0<4<01e?o:52g98m67e290/?o;530c8j6d32910e>?6:18'7g3=;8k0b>l;:098m67?290/?o;530c8j6d32;10e>?8:18'7g3=;8k0b>l;:298m671290/?o;530c8j6d32=10e>?::18'7g3=;8k0b>l;:498m673290/?o;530c8j6d32?10e>?<:18'7g3=;8k0b>l;:698m42?290/?o;51558j6d32910e<:9:18'7g3=9==0b>l;:098m422290/?o;51558j6d32;10e<:;:18'7g3=9==0b>l;:298m424290/?o;51558j6d32=10e<:=:18'7g3=9==0b>l;:498m426290/?o;51558j6d32?10e<:?:18'7g3=9==0b>l;:698m436290/?o;51558j6d32110e<;?:18'7g3=9==0b>l;:898m42a290/?o;51558j6d32h10e<:j:18'7g3=9==0b>l;:c98m42c290/?o;51558j6d32j10e<:l:18'7g3=9==0b>l;:e98m42e290/?o;51558j6d32l10e<:n:18'7g3=9==0b>l;:g98m42>290/?o;51558j6d328:07d?<7c=m4;32?>i4im0;6)=m5;1bg>h4j=0;76a!5e=39jo6`i4ih0;6)=m5;1bg>h4j=0976a!5e=39jo6`i4i10;6)=m5;1bg>h4j=0?76a!5e=39jo6`i4i?0;6)=m5;1bg>h4j=0=76a!5e=39jo6`i4i:0;6)=m5;1bg>h4j=0376a!5e=39jo6`i4i80;6)=m5;1bg>h4j=0j76a!5e=39jo6`i41o0;6)=m5;1bg>h4j=0h76a<9d83>!5e=39jo6`i41m0;6)=m5;1bg>h4j=0n76a<9b83>!5e=39jo6`i41k0;6)=m5;1bg>h4j=0:<65`38c94?"4j<08mn5a3c6954=6>ol;o1a0?7432e85;4?:%1a1?5fk2d8n94>4:9l7<3=83.8n847;:18'7g3=;hi0b>l;:048?j5>;3:1(>l::2c`?k5e<3;<76a<9383>!5e=39jo6`=h;0;1<7*1=454o2;3>5<#;k?1?lm4n2`7>4g<3f93j7>5$2`6>6gd3g9i87?m;:m0e290/?o;53`a8j6d328o07b=7a;29 6d22:kh7c=m4;3e?>i4000;6)=m5;1bg>h4j=09<65`39:94?"4j<08mn5a3c6964=6>ol;o1a0?4432e8484?:%1a1?5fk2d8n94=4:9l7=2=83.8n84l<:18'7g3=;hi0b>l;:348?j5e:3:1(>l::2c`?k5e<38<76a!5e=39jo6`=h;k:1<7*1>454o2ce>5<#;k?1?lm4n2`7>7g<3f9ji7>5$2`6>6gd3g9i87b290/?o;53`a8j6d32;o07b=73;29 6d22:kh7c=m4;0e?>o>m3:1(>l::8f8j6d32910e4m50;&0f0<>l2d8n94>;:k:f?6=,:h>64j4n2`7>7=h4j=0876g69;29 6d220n0b>l;:598m<>=83.8n846d:l0f1<232c2;7>5$2`6>of?3:1(>l::8f8j6d32110el850;&0f0<>l2d8n946;:kb1?6=,:h>64j4n2`7>d=1<7*h4j=0i76gn3;29 6d220n0b>l;:b98md4=83.8n846d:l0f15$2`6>o>n3:1(>l::8f8j6d328:07d7::18'7g3=1m1e?o:51098k731290/?o;52478j6d32910c?;;:18'7g3=:l;:098k734290/?o;52478j6d32;10c?;=:18'7g3=:l;:298k736290/?o;52478j6d32=10c?;?:18'7g3=:l;:498k72b290/?o;52478j6d32?10c?:k:18'7g3=:l;:698k72d290/?o;52478j6d32110c?:m:18'7g3=:l;:898k72f290/?o;52478j6d32h10c?:6:18'7g3=:l;:c98k72?290/?o;52478j6d32j10c?:8:18'7g3=:l;:e98k721290/?o;52478j6d32l10c?:::18'7g3=:l;:g98k724290/?o;52478j6d328:07b<;2;29 6d22;?>7c=m4;32?>i5<80;6)=m5;061>h4j=0:>65`25294?"4j<09985a3c6956=6?;:;o1a0?7232e9?i4?:%1a1?42=2d8n94>6:9l66e=83.8n84=549m7g2=9>10c?=m:18'7g3=:l;:0:8?j44i3:1(>l::376?k5e<3;276a=5e83>!5e=38>96`=h:1=o54o37a>5<#;k?1>8;4n2`7>4e<3f8>m7>5$2`6>7323g9i87?k;:m11<<72-9i97<:5:l0f1<6m21d>8650;&0f0<5=<1e?o:51g98k730290/?o;52478j6d32;:07b<;f;29 6d22;?>7c=m4;02?>i5<=0;6)=m5;061>h4j=09>65`22;94?"4j<09985a3c6966=h4j=0;7E=l9:9j`6<72-9i97j;;o1a0?7<@:i276gk2;29 6d22m>0b>l;:39K7f?<3`n:6=4+3c79`1=i;k>1?6F!5e=3n?7c=m4;78L6e>32chi7>5$2`6>a2ock3:1(>l::e68j6d32h1C?n74;hfa>5<#;k?1h95a3c69f>N4k010eio50;&0f0=nl00;6)=m5;f7?k5e<3n0D>m6;:kg6i:4n2`7>`=O;j307dj8:18'7g3=l=1e?o:5f:J0g<=h4j=0:<6Ft$2af>7703A9o46F<48d;5:>4b=9j0<;79l:0g95g<293w/?;o`4>4=ij10:7c?=7;28 44?2:;97c?=b;28j45d281e><851:l11`<63g9:o7?4n2`4>4=#;j>1?nj4i013>5<5<5<5<5<5<5<5<6=44i00g>5<5<od83:1(>l::b38j6d32810eoh50;&0f06n?4n2`7>6=h4j=0?76gmc;29 6d22j;0b>l;:498mgd=83.8n84l1:l0f1<132cim7>5$2`6>f7odi3:1(>l::b38j6d32010en750;&0f06n?4n2`7>g=h4j=0h76gl6;29 6d22j;0b>l;:e98mf3=83.8n84l1:l0f15$2`6>f7=nj00;6)=m5;a2?k5e<3;:76aj8;29 6d22l=0b>l;:198k`0=83.8n84j7:l0f1<632en97>5$2`6>`1ib;3:1(>l::d58j6d32=10ch<50;&0f06h94n2`7>3=h4j=0<76ai1;29 6d22l=0b>l;:998kc6=83.8n84j7:l0f1<>32enj7>5$2`6>`1ibl3:1(>l::d58j6d32j10chm50;&0f06h94n2`7>`=h4j=0m76aj9;29 6d22l=0b>l;:028?jba290/?o;5e69m7g2=9810e>9l:18'7g3=;>h0b>l;:198m61f290/?o;536`8j6d32810e>96:18'7g3=;>h0b>l;:398m61?290/?o;536`8j6d32:10e>98:18'7g3=;>h0b>l;:598m611290/?o;536`8j6d32<10e>9::18'7g3=;>h0b>l;:798m613290/?o;536`8j6d32>10e>9=:18'7g3=;>h0b>l;:998m616290/?o;536`8j6d32010e>9?:18'7g3=;>h0b>l;:`98m60a290/?o;536`8j6d32k10e>8j:18'7g3=;>h0b>l;:b98m60c290/?o;536`8j6d32m10e>8l:18'7g3=;>h0b>l;:d98m60e290/?o;536`8j6d32o10e>8n:18'7g3=;>h0b>l;:028?l5113:1(>l::25a?k5e<3;:76g<6683>!5e=39=n;?<1<7*1=>54i246>5<#;k?1?:l4n2`7>42<3`9=87>5$2`6>61e3g9i87?:;:k026<72-9i97=8b:l0f1<6>21b?;<50;&0f0<4?k1e?o:51698m606290/?o;536`8j6d328207d=90;29 6d22:=i7c=m4;3:?>o4=o0;6)=m5;14f>h4j=0:m65f34g94?"4j<08;o5a3c695g=6>9m;o1a0?7c32c89l4?:%1a1?50j2d8n94>e:9j70?=83.8n84<7c9m7g2=9o10e>;7:18'7g3=;>h0b>l;:328?l52?3:1(>l::25a?k5e<38:76g<5783>!5e=39=n;1>>54i277>5<#;k?1?:l4n2`7>72<3`9>?7>5$2`6>61e3g9i87<:;:k0<7<72-9i97=8b:l0f1<5>21b?5?50;&0f0<4?k1e?o:52698m6>7290/?o;536`8j6d32;207d=8f;29 6d22:=i7c=m4;0:?>o4?l0;6)=m5;14f>h4j=09m65f36f94?"4j<08;o5a3c696g=6>9m;o1a0?4c32c89i4?:%1a1?50j2d8n94=e:9j704=83.8n84<7c9m7g2=:o10el;:198m47c290/?o;510g8j6d32810el;:398m47e290/?o;510g8j6d32:10el;:598m47>290/?o;510g8j6d32<10el;:798m470290/?o;510g8j6d32>10el;:998m473290/?o;510g8j6d32010el;:`98m475290/?o;510g8j6d32k10e:18'7g3=98o0b>l;:b98m477290/?o;510g8j6d32m10e<>i:18'7g3=98o0b>l;:d98m46b290/?o;510g8j6d32o10e<>k:18'7g3=98o0b>l;:028?l77k3:1(>l::03f?k5e<3;:76g>0`83>!5e=3;:i6`=n9931<7*1=>54i02;>5<#;k?1=42<3`;;;7>5$2`6>47b3g9i87?:;:k243<72-9i97?>e:l0f1<6>21b==;50;&0f0<69l1e?o:51698m463290/?o;510g8j6d328207d??3;29 6d228;n7c=m4;3:?>o68;0;6)=m5;32a>h4j=0:m65f11394?"4j<0:=h5a3c695g=1=n54igg94?"4j<0:=h5a3c695a=1=h54iga94?"4j<0:=h5a3c695c=1>=54igc94?"4j<0:=h5a3c6964=1>?54ig:94?"4j<0:=h5a3c6966=1>954ig494?"4j<0:=h5a3c6960=6=4+3c7954c6>4?:%1a1?76m2d8n94=8:9j574=83.8n84>1d9m7g2=:010e<<>:18'7g3=98o0b>l;:3c8?l7583:1(>l::03f?k5e<38i76g>1783>!5e=3;:i6`=n99h1<7*1>i54i023>5<#;k?1=7c<3`l>6=4+3c7954c6>:6;o1a0?6<3f9?47>5$2`6>62>3g9i87?4;n173?6=,:h>6>:6;o1a0?4<3f9?:7>5$2`6>62>3g9i87=4;n171?6=,:h>6>:6;o1a0?2<3f9?87>5$2`6>62>3g9i87;4;n177?6=,:h>6>:6;o1a0?0<3f9?>7>5$2`6>62>3g9i8794;n174?6=,:h>6>:6;o1a0?><3f98j7>5$2`6>62>3g9i8774;n10a?6=,:h>6>:6;o1a0?g<3f98h7>5$2`6>62>3g9i87l4;n10g?6=,:h>6>:6;o1a0?e<3f98n7>5$2`6>62>3g9i87j4;n10e?6=,:h>6>:6;o1a0?c<3f9857>5$2`6>62>3g9i87h4;n106>:6;o1a0?7732e8?:4?:%1a1?5312d8n94>1:9l763=83.8n84<489m7g2=9;10c>=;:18'7g3=;=30b>l;:018?j54;3:1(>l::26:?k5e<3;?76a<3383>!5e=39?56`=h;:;1<7*1=;54o213>5<#;k?1?974n2`7>41<3f99j7>5$2`6>62>3g9i87?7;:m06`<72-9i97=;9:l0f1<6121d??j50;&0f0<4<01e?o:51`98k64d290/?o;535;8j6d328h07b==a;29 6d22:>27c=m4;3`?>i4:00;6)=m5;17=>h4j=0:h65`33:94?"4j<08845a3c695`=6>:6;o1a0?4732e8>84?:%1a1?5312d8n94=1:9l772=83.8n84<489m7g2=:;10c><<:18'7g3=;=30b>l;:318?j55:3:1(>l::26:?k5e<38?76a<2083>!5e=39?56`=h;<:1<7*1>;54o26e>5<#;k?1?974n2`7>71<3f9?i7>5$2`6>62>3g9i87<7;:m00a<72-9i97=;9:l0f1<5121d?9m50;&0f0<4<01e?o:52`98k62e290/?o;535;8j6d32;h07b=;1;29 6d22:>27c=m4;0`?>i4;?0;6)=m5;17=>h4j=09h65`33`94?"4j<08845a3c696`=6>?n;o1a0?6<3`9:57>5$2`6>67f3g9i87?4;h126>?n;o1a0?4<3`9:;7>5$2`6>67f3g9i87=4;h122?6=,:h>6>?n;o1a0?2<3`9:97>5$2`6>67f3g9i87;4;h120?6=,:h>6>?n;o1a0?0<3`9:?7>5$2`6>67f3g9i8794;h376<:8;o1a0?6<3`;?:7>5$2`6>4203g9i87?4;h371?6=,:h>6<:8;o1a0?4<3`;?87>5$2`6>4203g9i87=4;h377?6=,:h>6<:8;o1a0?2<3`;?>7>5$2`6>4203g9i87;4;h375?6=,:h>6<:8;o1a0?0<3`;?<7>5$2`6>4203g9i8794;h365?6=,:h>6<:8;o1a0?><3`;><7>5$2`6>4203g9i8774;h37b?6=,:h>6<:8;o1a0?g<3`;?i7>5$2`6>4203g9i87l4;h37`?6=,:h>6<:8;o1a0?e<3`;?o7>5$2`6>4203g9i87j4;h37f?6=,:h>6<:8;o1a0?c<3`;?m7>5$2`6>4203g9i87h4;h37=?6=,:h>6<:8;o1a0?7732c:?k4?:%1a1?73?2d8n94>1:9l7db=83.8n84=83.8n8421d?l;50;&0f0<4ij1e?o:57:9l7d5=83.8n8450;&0f0<4ij1e?o:5b:9l7<`=83.8n847n:18'7g3=;hi0b>l;:038?j5>03:1(>l::2c`?k5e<3;976a<9683>!5e=39jo6`=h;0<1<7*1=954o2;6>5<#;k?1?lm4n2`7>43<3f9287>5$2`6>6gd3g9i87?9;:m0=6<72-9i97=nc:l0f1<6?21d?4<50;&0f0<4ij1e?o:51998k6?6290/?o;53`a8j6d328307b=60;29 6d22:kh7c=m4;3b?>i40o0;6)=m5;1bg>h4j=0:n65`39f94?"4j<08mn5a3c695f=6>ol;o1a0?7b32e84l4?:%1a1?5fk2d8n94>f:9l7=?=83.8n8467:18'7g3=;hi0b>l;:338?j5??3:1(>l::2c`?k5e<38976a<8783>!5e=39jo6`=h;1?1<7*1>954o2:7>5<#;k?1?lm4n2`7>73<3f9i?7>5$2`6>6gd3g9i87<9;:m0f7<72-9i97=nc:l0f1<5?21d?o?50;&0f0<4ij1e?o:52998k6d7290/?o;53`a8j6d32;307b=nf;29 6d22:kh7c=m4;0b?>i4il0;6)=m5;1bg>h4j=09n65`3`694?"4j<08mn5a3c696f=6>ol;o1a0?4b32e84>4?:%1a1?5fk2d8n94=f:9j=`<72-9i977k;o1a0?6<3`3h6=4+3c79=a=i;k>1=65f9c83>!5e=33o7c=m4;08?l?f290/?o;59e9m7g2=;21b544?:%1a1??c3g9i87:4;h;;>5<#;k?15i5a3c691>=n1>0;6)=m5;;g?k5e<3<07d79:18'7g3=1m1e?o:57:9je2<72-9i977k;o1a0?><3`k=6=4+3c79=a=i;k>1565fa483>!5e=33o7c=m4;c8?lg3290/?o;59e9m7g2=j21bm>4?:%1a1??c3g9i87m4;hc1>5<#;k?15i5a3c69`>=ni80;6)=m5;;g?k5e<3o07do?:18'7g3=1m1e?o:5f:9j=c<72-9i977k;o1a0?7732c297>5$2`6>6?;:;o1a0?6<3f8>87>5$2`6>7323g9i87?4;n067?6=,:h>6?;:;o1a0?4<3f8>>7>5$2`6>7323g9i87=4;n065?6=,:h>6?;:;o1a0?2<3f8><7>5$2`6>7323g9i87;4;n07a?6=,:h>6?;:;o1a0?0<3f8?h7>5$2`6>7323g9i8794;n07g?6=,:h>6?;:;o1a0?><3f8?n7>5$2`6>7323g9i8774;n07e?6=,:h>6?;:;o1a0?g<3f8?57>5$2`6>7323g9i87l4;n076?;:;o1a0?e<3f8?;7>5$2`6>7323g9i87j4;n072?6=,:h>6?;:;o1a0?c<3f8?97>5$2`6>7323g9i87h4;n077?6=,:h>6?;:;o1a0?7732e98?4?:%1a1?42=2d8n94>1:9l617=83.8n84=549m7g2=9;10c?:?:18'7g3=:l;:018?j44n3:1(>l::376?k5e<3;?76a=3d83>!5e=38>96`=h::n1<7*1=;54o31`>5<#;k?1>8;4n2`7>41<3f88n7>5$2`6>7323g9i87?7;:m17d<72-9i97<:5:l0f1<6121d>8j50;&0f0<5=<1e?o:51`98k73d290/?o;52478j6d328h07b<:b;29 6d22;?>7c=m4;3`?>i5=h0;6)=m5;061>h4j=0:h65`24;94?"4j<09985a3c695`=6?;:;o1a0?4732e98k4?:%1a1?42=2d8n94=1:9l612=83.8n84=549m7g2=:;10c?=6:18'7g3=:l;:318?lb2290/?o;5d59m7g2=82B8o454ie194?"4j<0o86`oc93:1(>l::e68j6d32:1C?n74;hf3>5<#;k?1h95a3c690>N4k010enh50;&0f0=nkl0;6)=m5;f7?k5e<3<0D>m6;:k``?6=,:h>6i:4n2`7>2=O;j307djj:18'7g3=l=1e?o:58:J0g<=h4j=027E=l9:9j`f<72-9i97j;;o1a0?g<@:i276gkb;29 6d22m>0b>l;:c9K7f?<3`nj6=4+3c79`1=i;k>1o6F!5e=3n?7c=m4;g8L6e>32co;7>5$2`6>a25<#;k?1h95a3c6954=O;j307pl;fe83>43f290;w)=le;023>N4l11C?i>4Zg19f~>e2;;1;i489;3g>4e=?>0=92d:>:4?;%31o4?;o30g?7h49j0:7c=m7;38 6e32:io7d?<0;29?l5em3:17d=mf;29?l7493:17d=l0;29?l74<3:17d?=f;29?j75i3:17d=l2;29?l5d93:17d?<8;29?l5el3:17d?<3;29?l75m3:17d?=6;29?l74=3:17d?=d;29?j7513:17d=mc;29?l5d;3:17dm=:18'7g3=k81e?o:50:9jg5<72-9i97m>;o1a0?7<3`hm6=4+3c79g4=i;k>1>65fbd83>!5e=3i:7c=m4;18?ldc290/?o;5c09m7g2=<21bnn4?:%1a1?e63g9i87;4;h`a>5<#;k?1o<5a3c692>=njh0;6)=m5;a2?k5e<3=07dmm:18'7g3=k81e?o:58:9jgd<72-9i97m>;o1a0??<3`i26=4+3c79g4=i;k>1m65fc983>!5e=3i:7c=m4;`8?le0290/?o;5c09m7g2=k21bo;4?:%1a1?e63g9i87j4;ha6>5<#;k?1o<5a3c69a>=nk=0;6)=m5;a2?k5e<3l07dm<:18'7g3=k81e?o:51198mg?=83.8n84l1:l0f1<6921di54?:%1a1?c03g9i87>4;ng5>5<#;k?1i:5a3c695>=hm<0;6)=m5;g4?k5e<3807bk;:18'7g3=m>1e?o:53:9la6<72-9i97k8;o1a0?2<3fo96=4+3c79a2=i;k>1965`e083>!5e=3o<7c=m4;48?jc7290/?o;5e69m7g2=?21dj<4?:%1a1?c03g9i8764;nd3>5<#;k?1i:5a3c69=>=hmo0;6)=m5;g4?k5e<3k07bkj:18'7g3=m>1e?o:5b:9laa<72-9i97k8;o1a0?e<3foh6=4+3c79a2=i;k>1h65`ec83>!5e=3o<7c=m4;g8?jcf290/?o;5e69m7g2=n21di44?:%1a1?c03g9i87??;:mgb?6=,:h>6h94n2`7>47<3`95$2`6>61e3g9i87>4;h14e?6=,:h>6>9m;o1a0?7<3`9<57>5$2`6>61e3g9i87<4;h146>9m;o1a0?5<3`9<;7>5$2`6>61e3g9i87:4;h142?6=,:h>6>9m;o1a0?3<3`9<97>5$2`6>61e3g9i8784;h140?6=,:h>6>9m;o1a0?1<3`9<>7>5$2`6>61e3g9i8764;h145?6=,:h>6>9m;o1a0??<3`9<<7>5$2`6>61e3g9i87o4;h15b?6=,:h>6>9m;o1a0?d<3`9=i7>5$2`6>61e3g9i87m4;h15`?6=,:h>6>9m;o1a0?b<3`9=o7>5$2`6>61e3g9i87k4;h15f?6=,:h>6>9m;o1a0?`<3`9=m7>5$2`6>61e3g9i87??;:k02<<72-9i97=8b:l0f1<6921b?;950;&0f0<4?k1e?o:51398m601290/?o;536`8j6d328907d=95;29 6d22:=i7c=m4;37?>o4>=0;6)=m5;14f>h4j=0:965f37194?"4j<08;o5a3c6953=6>9m;o1a0?7?32c8:=4?:%1a1?50j2d8n94>9:9j70`=83.8n84<7c9m7g2=9h10e>;j:18'7g3=;>h0b>l;:0`8?l52k3:1(>l::25a?k5e<3;h76g<5c83>!5e=39=n;1=h54i27:>5<#;k?1?:l4n2`7>4`<3`9>47>5$2`6>61e3g9i87o4=:0;6)=m5;14f>h4j=09965f39094?"4j<08;o5a3c6963=6>9m;o1a0?4?32c8;k4?:%1a1?50j2d8n94=9:9j72c=83.8n84<7c9m7g2=:h10e>9k:18'7g3=;>h0b>l;:3`8?l50;3:1(>l::25a?k5e<38h76g<6983>!5e=39=n;1>h54i271>5<#;k?1?:l4n2`7>7`<3`;:j7>5$2`6>47b3g9i87>4;h32`?6=,:h>65$2`6>47b3g9i87<4;h32f?6=,:h>65$2`6>47b3g9i87:4;h32=?6=,:h>65$2`6>47b3g9i8784;h323?6=,:h>65$2`6>47b3g9i8764;h320?6=,:h>65$2`6>47b3g9i87o4;h326?6=,:h>65$2`6>47b3g9i87m4;h324?6=,:h>65$2`6>47b3g9i87k4;h33a?6=,:h>65$2`6>47b3g9i87??;:k24f<72-9i97?>e:l0f1<6921b==o50;&0f0<69l1e?o:51398m46>290/?o;510g8j6d328907d??8;29 6d228;n7c=m4;37?>o68>0;6)=m5;32a>h4j=0:965f11494?"4j<0:=h5a3c6953=6=4+3c7954c64?:%1a1?76m2d8n94>9:9j554=83.8n84>1d9m7g2=9h10e<>>:18'7g3=98o0b>l;:0`8?l`a290/?o;510g8j6d328i07dhj:18'7g3=98o0b>l;:0f8?l`c290/?o;510g8j6d328o07dhl:18'7g3=98o0b>l;:0d8?l`e290/?o;510g8j6d32;:07dhn:18'7g3=98o0b>l;:338?l`>290/?o;510g8j6d32;807dh7:18'7g3=98o0b>l;:318?l`0290/?o;510g8j6d32;>07dh9:18'7g3=98o0b>l;:378?l75=3:1(>l::03f?k5e<38=76g>2583>!5e=3;:i6`=n9;91<7*1>554i001>5<#;k?1=7?<3`;9=7>5$2`6>47b3g9i87e:l0f1<5j21b=<850;&0f0<69l1e?o:52b98m46e290/?o;510g8j6d32;n07d??0;29 6d228;n7c=m4;0f?>oa=3:1(>l::03f?k5e<38m76a<4`83>!5e=39?56`i4<10;6)=m5;17=>h4j=0:76a<4683>!5e=39?56`i4h4j=0876a<4483>!5e=39?56`i4<=0;6)=m5;17=>h4j=0>76a<4283>!5e=39?56`i4<;0;6)=m5;17=>h4j=0<76a<4183>!5e=39?56`i4;o0;6)=m5;17=>h4j=0276a<3d83>!5e=39?56`i4;m0;6)=m5;17=>h4j=0i76a<3b83>!5e=39?56`i4;k0;6)=m5;17=>h4j=0o76a<3`83>!5e=39?56`i4;00;6)=m5;17=>h4j=0m76a<3983>!5e=39?56`=h;:=1<7*1=<54o216>5<#;k?1?974n2`7>44<3f9887>5$2`6>62>3g9i87?<;:m076<72-9i97=;9:l0f1<6<21d?><50;&0f0<4<01e?o:51498k656290/?o;535;8j6d328<07b=<0;29 6d22:>27c=m4;34?>i4:o0;6)=m5;17=>h4j=0:465`33g94?"4j<08845a3c695<=6>:6;o1a0?7e32e8>l4?:%1a1?5312d8n94>c:9l77?=83.8n84<489m7g2=9m10c><7:18'7g3=;=30b>l;:0g8?j55?3:1(>l::26:?k5e<3;m76a<2783>!5e=39?56`=h;;?1<7*1><54o207>5<#;k?1?974n2`7>74<3f99?7>5$2`6>62>3g9i87<<;:m067<72-9i97=;9:l0f1<5<21d???50;&0f0<4<01e?o:52498k637290/?o;535;8j6d32;<07b=;f;29 6d22:>27c=m4;04?>i4h4j=09465`35f94?"4j<08845a3c696<=h6=4+3c7971?6>:6;o1a0?4e32e88<4?:%1a1?5312d8n94=c:9l760=83.8n84<489m7g2=:m10c>l;:3g8?j5583:1(>l::26:?k5e<38m76g<1c83>!5e=39:m6`o4900;6)=m5;12e>h4j=0:76g<1983>!5e=39:m6`o49>0;6)=m5;12e>h4j=0876g<1783>!5e=39:m6`o49<0;6)=m5;12e>h4j=0>76g<1583>!5e=39:m6`o49:0;6)=m5;12e>h4j=0<76g>4983>!5e=3;?;6`o6h4j=0:76g>4483>!5e=3;?;6`o6<=0;6)=m5;373>h4j=0876g>4283>!5e=3;?;6`o6<;0;6)=m5;373>h4j=0>76g>4083>!5e=3;?;6`o6<90;6)=m5;373>h4j=0<76g>5083>!5e=3;?;6`o6=90;6)=m5;373>h4j=0276g>4g83>!5e=3;?;6`o6h4j=0i76g>4e83>!5e=3;?;6`o6h4j=0o76g>4c83>!5e=3;?;6`o6h4j=0m76g>4883>!5e=3;?;6`=n9:l1<7*1=<54o2cg>5<#;k?1?lm4n2`7>5=5<#;k?1?lm4n2`7>7=54o2c;>5<#;k?1?lm4n2`7>1=5<#;k?1?lm4n2`7>3=6=4+3c797de5<#;k?1?lm4n2`7>==5<#;k?1?lm4n2`7>d=5<#;k?1?lm4n2`7>f=5<#;k?1?lm4n2`7>`=5<#;k?1?lm4n2`7>46<3f92m7>5$2`6>6gd3g9i87?>;:m0==<72-9i97=nc:l0f1<6:21d?4950;&0f0<4ij1e?o:51298k6?1290/?o;53`a8j6d328>07b=65;29 6d22:kh7c=m4;36?>i41=0;6)=m5;1bg>h4j=0::65`38194?"4j<08mn5a3c6952=6>ol;o1a0?7>32e85=4?:%1a1?5fk2d8n94>a:9l7=`=83.8n846k:18'7g3=;hi0b>l;:0a8?j5?k3:1(>l::2c`?k5e<3;o76a<8c83>!5e=39jo6`=h;1k1<7*1=k54o2::>5<#;k?1?lm4n2`7>76<3f9347>5$2`6>6gd3g9i87<>;:m0<2<72-9i97=nc:l0f1<5:21d?5850;&0f0<4ij1e?o:52298k6>2290/?o;53`a8j6d32;>07b=74;29 6d22:kh7c=m4;06?>i4j:0;6)=m5;1bg>h4j=09:65`3c094?"4j<08mn5a3c6962=6>ol;o1a0?4>32e8mk4?:%1a1?5fk2d8n94=a:9l7dc=83.8n84o;:18'7g3=;hi0b>l;:3a8?j5>13:1(>l::2c`?k5e<38o76a<8d83>!5e=39jo6`=h;191<7*1>k54i8g94?"4j<02h6`o>k3:1(>l::8f8j6d32810e4l50;&0f0<>l2d8n94=;:k:e?6=,:h>64j4n2`7>6=h4j=0?76g68;29 6d220n0b>l;:498m<1=83.8n846d:l0f1<132c2:7>5$2`6>of>3:1(>l::8f8j6d32010el;50;&0f0<>l2d8n94n;:kb0?6=,:h>64j4n2`7>g=h4j=0h76gn2;29 6d220n0b>l;:e98md7=83.8n846d:l0f15$2`6>=n1<0;6)=m5;;g?k5e<3;:76a=5783>!5e=38>96`i5==0;6)=m5;061>h4j=0:76a=5283>!5e=38>96`i5=;0;6)=m5;061>h4j=0876a=5083>!5e=38>96`i5=90;6)=m5;061>h4j=0>76a=4d83>!5e=38>96`i5h4j=0<76a=4b83>!5e=38>96`i5h4j=0276a=4`83>!5e=38>96`i5<00;6)=m5;061>h4j=0i76a=4983>!5e=38>96`i5<>0;6)=m5;061>h4j=0o76a=4783>!5e=38>96`i5<<0;6)=m5;061>h4j=0m76a=4283>!5e=38>96`=h:=81<7*1=<54o362>5<#;k?1>8;4n2`7>44<3f8?<7>5$2`6>7323g9i87?<;:m17c<72-9i97<:5:l0f1<6<21d>>k50;&0f0<5=<1e?o:51498k75c290/?o;52478j6d328<07b<7c=m4;34?>i5;k0;6)=m5;061>h4j=0:465`22c94?"4j<09985a3c695<=6?;:;o1a0?7e32e99o4?:%1a1?42=2d8n94>c:9l60g=83.8n84=549m7g2=9m10c?;6:18'7g3=:l;:0g8?j4203:1(>l::376?k5e<3;m76a=5683>!5e=38>96`=h:=l1<7*1><54o367>5<#;k?1>8;4n2`7>74<3f8857>5$2`6>7323g9i87<<;:kg1?6=,:h>6i:4n2`7>5=O;j307dj<:18'7g3=l=1e?o:51:J0g<=h4j=097E=l9:9j`4<72-9i97j;;o1a0?5<@:i276gk0;29 6d22m>0b>l;:59K7f?<3`im6=4+3c79`1=i;k>196F!5e=3n?7c=m4;58L6e>32coi7>5$2`6>a2oci3:1(>l::e68j6d32j1C?n74;hf:>5<#;k?1h95a3c69`>N4k010ei650;&0f0=nl>0;6)=m5;f7?k5e<3l0D>m6;:kg2?6=,:h>6i:4n2`7>46<@:i276glc;29 6d22m>0b>l;:038L6e>32wi8kk50;36e?6=8r.8oh4=169K7a><@:n;7Wh<:cy;f?462>n1;44>d;3`>21=?j0:i7?m:439y!56l3;=:45a9183?k?5281en:4>;o`;>4=i9;=1<6*>298057=i9;h1<6`>3b82?k46>3;0b?;j:09m74e=92d8n:4>;%1`0?5dl2c:?=4?::k0f`<722c8nk4?::k274<722c8o=4?::k271<722c:>k4?::m26d<722c8o?4?::k0g4<722c:?54?::k0fa<722c:?>4?::k26`<722c:>;4?::k270<722c:>i4?::m26<<722c8nn4?::k0g6<722ch>7>5$2`6>f7oen3:1(>l::b38j6d32;10eok50;&0f06n?4n2`7>1=h4j=0>76gmb;29 6d22j;0b>l;:798mgg=83.8n84l1:l0f1<032chn7>5$2`6>f7od13:1(>l::b38j6d32h10en650;&0f06n?4n2`7>f=h4j=0o76gl5;29 6d22j;0b>l;:d98mf2=83.8n84l1:l0f15$2`6>f74;h`:>5<#;k?1o<5a3c6954=h4j=0;76aj6;29 6d22l=0b>l;:098k`3=83.8n84j7:l0f1<532en87>5$2`6>`154od194?"4j<0n;6`ib:3:1(>l::d58j6d32<10ch?50;&0f06h94n2`7>2=h4j=0376ai0;29 6d22l=0b>l;:898k``=83.8n84j7:l0f15$2`6>`1ibk3:1(>l::d58j6d32m10chl50;&0f06h94n2`7>c=h4j=0:<65`dg83>!5e=3o<7c=m4;32?>o4?j0;6)=m5;14f>h4j=0;76g<7`83>!5e=39o4?00;6)=m5;14f>h4j=0976g<7983>!5e=39o4?>0;6)=m5;14f>h4j=0?76g<7783>!5e=39o4?<0;6)=m5;14f>h4j=0=76g<7583>!5e=39o4?;0;6)=m5;14f>h4j=0376g<7083>!5e=39o4?90;6)=m5;14f>h4j=0j76g<6g83>!5e=39o4>l0;6)=m5;14f>h4j=0h76g<6e83>!5e=39o4>j0;6)=m5;14f>h4j=0n76g<6c83>!5e=39o4>h0;6)=m5;14f>h4j=0:<65f37;94?"4j<08;o5a3c6954=6>9m;o1a0?7432c8:84?:%1a1?50j2d8n94>4:9j732=83.8n84<7c9m7g2=9<10e>8<:18'7g3=;>h0b>l;:048?l51:3:1(>l::25a?k5e<3;<76g<6083>!5e=39=n;?:1<7*1=454i27e>5<#;k?1?:l4n2`7>4g<3`9>i7>5$2`6>61e3g9i87?m;:k01f<72-9i97=8b:l0f1<6k21b?8l50;&0f0<4?k1e?o:51e98m63f290/?o;536`8j6d328o07d=:9;29 6d22:=i7c=m4;3e?>o4=10;6)=m5;14f>h4j=09<65f34594?"4j<08;o5a3c6964=6>9m;o1a0?4432c8994?:%1a1?50j2d8n94=4:9j705=83.8n84<7c9m7g2=:<10e>6=:18'7g3=;>h0b>l;:348?l5?93:1(>l::25a?k5e<38<76g<8183>!5e=39=n;>l1<7*1>454i25f>5<#;k?1?:l4n2`7>7g<3`95$2`6>61e3g9i87o69o0;6)=m5;32a>h4j=0;76g>1e83>!5e=3;:i6`o69j0;6)=m5;32a>h4j=0976g>1c83>!5e=3;:i6`o69h0;6)=m5;32a>h4j=0?76g>1883>!5e=3;:i6`o6910;6)=m5;32a>h4j=0=76g>1683>!5e=3;:i6`o69<0;6)=m5;32a>h4j=0376g>1583>!5e=3;:i6`o69:0;6)=m5;32a>h4j=0j76g>1383>!5e=3;:i6`o6980;6)=m5;32a>h4j=0h76g>1183>!5e=3;:i6`o68o0;6)=m5;32a>h4j=0n76g>0d83>!5e=3;:i6`o68m0;6)=m5;32a>h4j=0:<65f11a94?"4j<0:=h5a3c6954=64:9j551=83.8n84>1d9m7g2=9<10e<>9:18'7g3=98o0b>l;:048?l77=3:1(>l::03f?k5e<3;<76g>0583>!5e=3;:i6`=n9991<7*1=454i021>5<#;k?1=4g<3`;;=7>5$2`6>47b3g9i87?m;:keb?6=,:h>65$2`6>47b3g9i87?k;:ke`?6=,:h>65$2`6>47b3g9i87?i;:kef?6=,:h>65$2`6>47b3g9i87<>;:ke=?6=,:h>65$2`6>47b3g9i87<<;:ke3?6=,:h>65$2`6>47b3g9i87<:;:k260<72-9i97?>e:l0f1<5>21b=?:50;&0f0<69l1e?o:52698m444290/?o;510g8j6d32;207d?=2;29 6d228;n7c=m4;0:?>o6:80;6)=m5;32a>h4j=09m65f13294?"4j<0:=h5a3c696g=6e:l0f1<5n21d?9o50;&0f0<4<01e?o:50:9l71>=83.8n84<489m7g2=921d?9950;&0f0<4<01e?o:52:9l710=83.8n84<489m7g2=;21d?9;50;&0f0<4<01e?o:54:9l712=83.8n84<489m7g2==21d?9=50;&0f0<4<01e?o:56:9l714=83.8n84<489m7g2=?21d?9>50;&0f0<4<01e?o:58:9l76`=83.8n84<489m7g2=121d?>k50;&0f0<4<01e?o:5a:9l76b=83.8n84<489m7g2=j21d?>m50;&0f0<4<01e?o:5c:9l76d=83.8n84<489m7g2=l21d?>o50;&0f0<4<01e?o:5e:9l76?=83.8n84<489m7g2=n21d?>650;&0f0<4<01e?o:51198k650290/?o;535;8j6d328;07b=<5;29 6d22:>27c=m4;31?>i4;=0;6)=m5;17=>h4j=0:?65`32194?"4j<08845a3c6951=6>:6;o1a0?7132e8?=4?:%1a1?5312d8n94>7:9l77`=83.8n84<489m7g2=9110c>l;:0;8?j55l3:1(>l::26:?k5e<3;j76a<2b83>!5e=39?56`=h;;k1<7*1=n54o20:>5<#;k?1?974n2`7>4b<3f9947>5$2`6>62>3g9i87?j;:m062<72-9i97=;9:l0f1<6n21d??850;&0f0<4<01e?o:52198k642290/?o;535;8j6d32;;07b==4;29 6d22:>27c=m4;01?>i4::0;6)=m5;17=>h4j=09?65`33094?"4j<08845a3c6961=6>:6;o1a0?4132e88k4?:%1a1?5312d8n94=7:9l71c=83.8n84<489m7g2=:110c>:k:18'7g3=;=30b>l;:3;8?j53k3:1(>l::26:?k5e<38j76a<4c83>!5e=39?56`=h;=;1<7*1>n54o215>5<#;k?1?974n2`7>7b<3f99n7>5$2`6>62>3g9i871e?o:50:9j510=83.8n84>469m7g2=921b=9;50;&0f0<6<>1e?o:52:9j512=83.8n84>469m7g2=;21b=9=50;&0f0<6<>1e?o:54:9j514=83.8n84>469m7g2==21b=9?50;&0f0<6<>1e?o:56:9j516=83.8n84>469m7g2=?21b=8?50;&0f0<6<>1e?o:58:9j506=83.8n84>469m7g2=121b=9h50;&0f0<6<>1e?o:5a:9j51c=83.8n84>469m7g2=j21b=9j50;&0f0<6<>1e?o:5c:9j51e=83.8n84>469m7g2=l21b=9l50;&0f0<6<>1e?o:5e:9j51g=83.8n84>469m7g2=n21b=9750;&0f0<6<>1e?o:51198m45a290/?o;51558j6d328;07b=nd;29 6d22:kh7c=m4;28?j5fj3:1(>l::2c`?k5e<3;07b=na;29 6d22:kh7c=m4;08?j5f13:1(>l::2c`?k5e<3907b=n8;29 6d22:kh7c=m4;68?j5f?3:1(>l::2c`?k5e<3?07b=n6;29 6d22:kh7c=m4;48?j5f=3:1(>l::2c`?k5e<3=07b=n3;29 6d22:kh7c=m4;:8?j5f:3:1(>l::2c`?k5e<3307b=n1;29 6d22:kh7c=m4;c8?j5f83:1(>l::2c`?k5e<3h07b=6f;29 6d22:kh7c=m4;a8?j5>m3:1(>l::2c`?k5e<3n07b=6d;29 6d22:kh7c=m4;g8?j5>k3:1(>l::2c`?k5e<3l07b=6b;29 6d22:kh7c=m4;33?>i41h0;6)=m5;1bg>h4j=0:=65`38:94?"4j<08mn5a3c6957=6>ol;o1a0?7332e8584?:%1a1?5fk2d8n94>5:9l7<2=83.8n847<:18'7g3=;hi0b>l;:058?j5>:3:1(>l::2c`?k5e<3;376a<9083>!5e=39jo6`=h;0:1<7*1=l54o2:e>5<#;k?1?lm4n2`7>4d<3f93h7>5$2`6>6gd3g9i87?l;:m0f290/?o;53`a8j6d328l07b=79;29 6d22:kh7c=m4;03?>i4010;6)=m5;1bg>h4j=09=65`39594?"4j<08mn5a3c6967=6>ol;o1a0?4332e8494?:%1a1?5fk2d8n94=5:9l7g5=83.8n84l=:18'7g3=;hi0b>l;:358?j5e93:1(>l::2c`?k5e<38376a!5e=39jo6`=h;hl1<7*1>l54o2cf>5<#;k?1?lm4n2`7>7d<3f9j87>5$2`6>6gd3g9i874290/?o;53`a8j6d32;l07d7j:18'7g3=1m1e?o:50:9j=f<72-9i977k;o1a0?7<3`3i6=4+3c79=a=i;k>1>65f9`83>!5e=33o7c=m4;18?l?>290/?o;59e9m7g2=<21b554?:%1a1??c3g9i87;4;h;4>5<#;k?15i5a3c692>=n1?0;6)=m5;;g?k5e<3=07do8:18'7g3=1m1e?o:58:9je3<72-9i977k;o1a0??<3`k>6=4+3c79=a=i;k>1m65fa583>!5e=33o7c=m4;`8?lg4290/?o;59e9m7g2=k21bm?4?:%1a1??c3g9i87j4;hc2>5<#;k?15i5a3c69a>=ni90;6)=m5;;g?k5e<3l07d7i:18'7g3=1m1e?o:51198m<3=83.8n846d:l0f1<6921d>8850;&0f0<5=<1e?o:50:9l602=83.8n84=549m7g2=921d>8=50;&0f0<5=<1e?o:52:9l604=83.8n84=549m7g2=;21d>8?50;&0f0<5=<1e?o:54:9l606=83.8n84=549m7g2==21d>9k50;&0f0<5=<1e?o:56:9l61b=83.8n84=549m7g2=?21d>9m50;&0f0<5=<1e?o:58:9l61d=83.8n84=549m7g2=121d>9o50;&0f0<5=<1e?o:5a:9l61?=83.8n84=549m7g2=j21d>9650;&0f0<5=<1e?o:5c:9l611=83.8n84=549m7g2=l21d>9850;&0f0<5=<1e?o:5e:9l613=83.8n84=549m7g2=n21d>9=50;&0f0<5=<1e?o:51198k725290/?o;52478j6d328;07b<;1;29 6d22;?>7c=m4;31?>i5<90;6)=m5;061>h4j=0:?65`22d94?"4j<09985a3c6951=6?;:;o1a0?7132e9?n4?:%1a1?42=2d8n94>7:9l66d=83.8n84=549m7g2=9110c?=n:18'7g3=:l;:0;8?j42l3:1(>l::376?k5e<3;j76a=5b83>!5e=38>96`=h:1=n54o37b>5<#;k?1>8;4n2`7>4b<3f8>57>5$2`6>7323g9i87?j;:m11=<72-9i97<:5:l0f1<6n21d>8950;&0f0<5=<1e?o:52198k72a290/?o;52478j6d32;;07b<;4;29 6d22;?>7c=m4;01?>i5;00;6)=m5;061>h4j=09?65fd483>!5e=3n?7c=m4;28L6e>32co?7>5$2`6>a2odn3:1(>l::e68j6d32<1C?n74;haf>5<#;k?1h95a3c692>N4k010enj50;&0f0=nll0;6)=m5;f7?k5e<320D>m6;:kg`?6=,:h>6i:4n2`7><=O;j307djl:18'7g3=l=1e?o:5a:J0g<=h4j=0i7E=l9:9j`d<72-9i97j;;o1a0?e<@:i276gk9;29 6d22m>0b>l;:e9K7f?<3`n36=4+3c79`1=i;k>1i6F!5e=3n?7c=m4;33?M5d121bon4?:%1a1?b33g9i87?>;I1`=>=zj=lm6=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e=9:1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg37:3:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f064290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a152=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`640<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb424>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm51:94?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl:0883>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo;?a;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn8>m:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi9=m50;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj<:m6=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e=8:1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg36:3:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f074290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a142=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`650<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb434>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm50:94?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl:1883>70=:;08;vF28k1qdon:18'7g3=i01e?o:50:9je=<72-9i97o6;o1a0?7<3`;>n7>5$2`6>43f3g9i87>4;h36=?6=,:h>6<;n;o1a0?7<3`;>47>5$2`6>43f3g9i87<4;h363?6=,:h>6<;n;o1a0?5<3`;>:7>5$2`6>43f3g9i87:4;h361?6=,:h>6<;n;o1a0?3<3`;=97>5$2`6>43f3g9i8784;h350?6=,:h>6<;n;o1a0?1<3`;=?7>5$2`6>43f3g9i8764;h355?6=,:h>6<;n;o1a0??<3`;=<7>5$2`6>43f3g9i87o4;h36b?6=,:h>6<;n;o1a0?d<3`;>i7>5$2`6>43f3g9i87m4;h36`?6=,:h>6<;n;o1a0?b<3`;>o7>5$2`6>43f3g9i87k4;h360?6=,:h>6<;n;o1a0?`<3`9o87>5;h31g?6=3`9h:7>5;h305$2`6>40d3g9i87>4;n35f?6=,:h>6<8l;o1a0?7<3f;=m7>5$2`6>40d3g9i87<4;n35=?6=,:h>6<8l;o1a0?5<3f;=47>5$2`6>40d3g9i87:4;n353?6=,:h>6<8l;o1a0?3<3f;<;7>5$2`6>40d3g9i8784;n342?6=,:h>6<8l;o1a0?1<3f;<97>5$2`6>40d3g9i8764;n347?6=,:h>6<8l;o1a0??<3f;<>7>5$2`6>40d3g9i87o4;n345?6=,:h>6<8l;o1a0?d<3f;<<7>5$2`6>40d3g9i87m4;n35b?6=,:h>6<8l;o1a0?b<3f;=i7>5$2`6>40d3g9i87k4;n352?6=,:h>6<8l;o1a0?`<3k?:m7>51;294~"4kl09>:5G3e:8L6b73f89:7>5;|`65g<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb43g>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e=8o1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg3583:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn8<>:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a174=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a>>4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj<8>6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm53494?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd2:>0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo;=8;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f04>290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi9?o50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`66g<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl:2b83><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th>>i4?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`66c<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl:3183><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th>?<4?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`676<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl:3583><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th>?84?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`672<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl:3983><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th>?44?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`67g<72:0;6=u+3bg9663<@:n37E=k0:&16`44?::k16d<722e8==4?::p6525290:=vPna:?66g<>927>>n461:?66a<>927>>h461:?66c<>927>?=461:?674<>927>??461:?676<>927>?9461:?670<>927>?;461:?672<>927>?5461:?67<<>927>?l461:p6524290:=vPn8:?66g>n47f:?66a>h47f:?66c?=47f:?674??47f:?676?947f:?670?;47f:?672?547f:?67<?l47f:p65232909wS?:b:?67d<6;m1v?>;5;296~X6=0169>7512f8yv47{t:9>36=4={_362>;2;?0:?i5rs327=?6=:rT:9852527956b=:l:181[71;27>??4>3e9~w763l3:1>vP>609>167=9:n0q~7}Y9?:018=?:01g?xu58=l1<75<5sW;>i63:2d827a=z{;:>=7>52z\21a=:=;n1=>j4}r0317<72;qU=8m4=40`>45c3ty9<8=50;0xZ43334?9n7?:5;2957}Y9;i018?m:00`?836k3;9o63:1e826f=:=8o1=?m4=43e>44d34?9<7?=c:?664<6:j169?<513a89044288h70;=4;31g>;2:<0:>n52534957e<5<8<6<>44>2b9>17g=9;i018=m:30b?xu58<<1<7<>{_30<>;29k0m863:1b8e0>;29m0m863:1d8e0>;29o0m863:218e0>;2:80m863:238e0>;2::0m863:258e0>;2:<0m863:278e0>;2:>0m863:298e0>;2:00m863:2`8e0>;2:k0:?55253a956><5<8o6<=7;<71a?74027>>k4>399>166=9:2018=>:01;?834:3;8463:32827==:=:>1=>64=416>45?34?8:7?<8:?672<6;1169>6512:8905>289370;{t:9?<6=4={_35`>;2:h08n55rs326l7;|q140g=838pR<86;<713?5e02wx>=;m:181[71027>>;4vP>669>173=;k20q~7}Y9>=018<;:2`;?xu585<5sW;<963:2380f==z{;:=<7>52z\236=:=;;1?o64}r0324<72;qU=:<4=403>6d?3ty9<;<50;0xZ41634?:j7=m8:p65042909wS?80:?65`<4j11v?>94;296~X6>o169<0;6?uQ17g8907d2:h37p}=07494?4|V8<=70;>b;1a<>{t:9<<6=4=1z?65d<5:?169?l5929>17d=1=169?m5929>17e=1=169?j5929>17b=1=169?k5929>17c=1=169?h5929>17`=1=169>>5929>166=1=169>?5929>167=1=169><5929>164=1=169>=5929>165=1=169>:5929>162=1=169>;5929>163=1=169>85929>160=1=169>95929>161=1=169>65929>16>=1=169>75929>16?=1=169>o5929>16g=1=1v?>98;296~;29k0:?i5253`97g>14e=9:n01845c34?9h7=m8:p650e2909w0;>e;30`>;2:l08n55rs325g?6=:r7>=k4>3e9>17`=;k20q~7}:=;:1=>j4=413>6d?3ty9<;k50;0x9046289o70;<1;1a<>{t:9??4v3:22827a=:=:91?o64}r0334<72;q69?:512f890532:h37p}=06094?4|5<8>6<=k;<701?5e02wx>=9<:181835>3;8h63:3780f==z{;:<87>52z?662<6;m169>953c:8yv47?<0;6?u253:956b<5<936>l7;|q1420=838p18<6:01g?834139i46s|2154>5<5s4?9m7?88;2954}:=;h1=?m4=40`>44d34?9h7?=c:?66`<6:j169?h513a89057288h70;<1;31g>;2;;0:>n52521957e<5<9?6<?;4>2b9>161=9;i018=7:00`?83413;9o63:3`826f=:=:h1?<>4}|`67f<72;<1>?4<7zJ0`5=#;jo1>87=;[d0>6}3m3;265<#;k?1m45a3c694>=ni10;6)=m5;c:?k5e<3;07d?:b;29 6d228?j7c=m4;28?l7213:1(>l::07b?k5e<3;07d?:8;29 6d228?j7c=m4;08?l72?3:1(>l::07b?k5e<3907d?:6;29 6d228?j7c=m4;68?l72=3:1(>l::07b?k5e<3?07d?95;29 6d228?j7c=m4;48?l71<3:1(>l::07b?k5e<3=07d?93;29 6d228?j7c=m4;:8?l7193:1(>l::07b?k5e<3307d?90;29 6d228?j7c=m4;c8?l72n3:1(>l::07b?k5e<3h07d?:e;29 6d228?j7c=m4;a8?l72l3:1(>l::07b?k5e<3n07d?:c;29 6d228?j7c=m4;g8?l72<3:1(>l::07b?k5e<3l07d=k4;29?l75k3:17d=l6;29?l7403:17b?9d;29 6d228l::04`?k5e<3;07b?9a;29 6d228l::04`?k5e<3907b?98;29 6d228l::04`?k5e<3?07b?87;29 6d2283:1(>l::04`?k5e<3=07b?85;29 6d228l::04`?k5e<3307b?82;29 6d228l::04`?k5e<3h07b?80;29 6d228l::04`?k5e<3n07b?9e;29 6d2283:1(>l::04`?k5e<3l07o;<@:n;7b<=6;29?xd2;l0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo;<@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f027290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi99?50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`607<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb467>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e==?1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg33?3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn8:7:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a11?=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a8l4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj<>h6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm55f94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd2j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`60c<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl:5183><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th>9<4?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`616<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl:5583><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th>984?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`612<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl:5983><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th>944?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`61g<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl:5b83><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th>9i4?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?4?:1y'7fc=::?0D>j7;I1g4>"5:l03;6g=2883>>o5:h0;66a<1183>>{t:9=26=4>1z\be>;2;2=902=63:508:5>;2=;02=63:528:5>;2==02=63:548:5>;2=?02=63:568:5>;2=102=63:588:5>;2=h02=63:5c8:5>;2=j02=63:5e8:5>{t:9=j6=4>1z\b<>;2;2=903j63:508;b>;2=;03j63:528;b>;2==03j63:548;b>;2=?03j63:568;b>;2=103j63:588;b>;2=h03j63:5c8;b>;2=j03j63:5e8;b>{t:9=i6=4={_36f>;2=m0:?i5rs324g?6=:rT:945254a956b<5=9i:181[72>27>944>3e9~w76?83:1>vP>549>10>=9:n0q~7}Y9??018;8:01g?xu58181<73;8h6s|21:0>5<5sW;=?63:54827a=z{;:387>52z\224=:=<>1=>j4}r03<0<72;qU=;>4=470>45c3ty9<5850;0xZ43a34?>>7?02909wS?:e:?614<6;m1v?>78;296~X6=m1698>512f8yv47000;6?uQ14a8902a289o7p}=09c94?4|V8??70;;e;30`>{t:92i6=4={_1g0>;2=l09>45rs32;g?6=9;qU=?m4=41f>44d34?8j7?=c:?605<6:j1699?513a89025288h70;;3;31g>;2<=0:>n52557957e<5<>=6<854>2b9>11?=9;i018:n:00`?833j3;9o63:4b826f=:==n1=?m4=47f>74f3ty9<5j50;02[74027>?h4i4:?67c8=4i4:?6048?4i4:?606894i4:?6008;4i4:?602854i4:?60<8l4i4:?60g8n4i4:?60a8h4>399>11`=9:2018;?:01;?83293;8463:53827==:=<91=>64=477>45?34?>97?<8:?613<6;116989512:8903?289370;:9;30<>;2=h0:?55254`956><5=6j:181[71l27>8i4vP>6c9>11e=;k20q~7}Y9?k018:m:2`;?xu580;1<75<5sW;=463:4880f==z{;:2?7>52z\222=:==21?o64}r03=1<72;qU=:94=464>6d?3ty9<4;50;0xZ41134??:7=m8:p65?12909wS?85:?600<4j11v?>67;296~X6?:1699:53c:8yv47110;6?uQ160890242:h37p}=08;94?4|V8=:70;;2;1a<>{t:93j6=4={_344>;2<808n55rs32:f?6=:rT::k5255297g>l7;|q14=7j:1815~;2;m09>;5255g9=6=:==o1595255d9=6=:==l159525429=6=:=<:159525439=6=:=<;159525409=6=:=<8159525419=6=:=<9159525469=6=:=<>159525479=6=:=?h4>3e9>11c=;k20q~7}:=:l1=>j4=46e>6d?3ty9{t:9k96=4={<775?74l27>9<4v3:43827a=:=<81?o64}r03e1<72;q699=512f890342:h37p}=0`794?4|5<>?6<=k;<760?5e02wx>=o9:181833=3;8h63:5480f==z{;:j;7>52z?603<6;m1698853c:8yv47i10;6?u2555956b<5l7;|q14d?=838p18:7:01g?832039i46s|21cb>5<5s4??57?nb;296~;211d=9:n018;m:2`;?xu58hn1<745c34?>o7=m8:p65gb2909w0;;d;30`>;2=m08n55rs32bb?6=98q699k513a8902a288h70;:0;31g>;2=80:>n52540957e<5984>2b9>100=9;i018;8:00`?83203;9o63:58826f=:=44d34?>o7?=c:?61a<6:j1698k53028yxd2=o0;6?8523803~N4l91/?nk524;1?_`42:q?i7?6:0c9ylgf290/?o;5a89m7g2=821bm54?:%1a1?g>3g9i87?4;h36f?6=,:h>6<;n;o1a0?6<3`;>57>5$2`6>43f3g9i87?4;h366<;n;o1a0?4<3`;>;7>5$2`6>43f3g9i87=4;h362?6=,:h>6<;n;o1a0?2<3`;>97>5$2`6>43f3g9i87;4;h351?6=,:h>6<;n;o1a0?0<3`;=87>5$2`6>43f3g9i8794;h357?6=,:h>6<;n;o1a0?><3`;==7>5$2`6>43f3g9i8774;h354?6=,:h>6<;n;o1a0?g<3`;>j7>5$2`6>43f3g9i87l4;h36a?6=,:h>6<;n;o1a0?e<3`;>h7>5$2`6>43f3g9i87j4;h36g?6=,:h>6<;n;o1a0?c<3`;>87>5$2`6>43f3g9i87h4;h1g0?6=3`;9o7>5;h1`2?6=3`;847>5;n35`?6=,:h>6<8l;o1a0?6<3f;=n7>5$2`6>40d3g9i87?4;n35e?6=,:h>6<8l;o1a0?4<3f;=57>5$2`6>40d3g9i87=4;n356<8l;o1a0?2<3f;=;7>5$2`6>40d3g9i87;4;n343?6=,:h>6<8l;o1a0?0<3f;<:7>5$2`6>40d3g9i8794;n341?6=,:h>6<8l;o1a0?><3f;5$2`6>40d3g9i8774;n346?6=,:h>6<8l;o1a0?g<3f;<=7>5$2`6>40d3g9i87l4;n344?6=,:h>6<8l;o1a0?e<3f;=j7>5$2`6>40d3g9i87j4;n35a?6=,:h>6<8l;o1a0?c<3f;=:7>5$2`6>40d3g9i87h4;c754?6=93:1j?;n012?6=3th>:<4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj<<86=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm57694?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd2><0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo;96;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f000290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi9;650;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`62<<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb44a>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e=?i1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg31m3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn88i:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a126=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a;<4?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`636<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl:7583><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th>;84?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`632<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl:7983><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th>;44?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`63g<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl:7b83><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th>;i4?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`63c<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl:8183><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th>4<4?:283>5}#;jo1>>;4H2f;?M5c82.9>h477:k16<<722c9>l4?::m055<722wx>=l?:1825~Xfi27>;<461:?637<>927>;>461:?631<>927>;8461:?633<>927>;:461:?63=<>927>;4461:?63d<>927>;o461:?63f<>927>;i461:?63`<>927>;k461:?6<5<>92wx>=l>:1825~Xf027>;<47f:?637;>47f:?631;847f:?633;:47f:?63=;447f:?63d;o47f:?63f;i47f:?63`;k47f:?6<5=l=:181[72j27>4=4>3e9~w76e;3:1>vP>589>12`=9:n0q~7}Y9<20189j:01g?xu58k?1<75<5sW;>:63:7b827a=z{;:i;7>52z\210=:=>h1=>j4}r03f=<72;qU=;;4=45b>45c3ty9mb;296~X6>8169:9512f8yv47jj0;6?uQ17289011289o7p}=0cf94?4|V8?m70;85;30`>{t:9hn6=4={_36a>;2?=0:?i5rs32ab?6=:rT:9i52561956b=m=:181[5c<27>4<4=289~w76d;3:1=?uQ13a89006288h70;92;31g>;2>:0:>n52576957e<5<<>6<::4>2b9>13>=9;i01886:00`?831i3;9o63:6c826f=:=?i1=?m4=44g>44d34?=i7?=c:?62c<6:j169:>513a890>62;8j7p}=0b694?46sW;8463:608e0>;2>;0m863:628e0>;2>=0m863:648e0>;2>?0m863:668e0>;2>10m863:688e0>;2>h0m863:6c8e0>;2>j0m863:6e8e0>;2>l0m863:6g8e0>;2?90m863:70827==:=>81=>64=450>45?34?<87?<8:?630<6;1169:8512:89010289370;88;30<>;2?00:?55256c956><5<=i6<=7;<74g?74027>;i4>399>12c=9:20189i:01;?83?83;846s|21a6>5<5sW;=h63:7180f==z{;:h:7>52z\22g=:=?l1?o64}r03g2<72;qU=;o4=44f>6d?3ty934?=h7=m8:p65e>2909wS?98:?62f<4j11v?>la;296~X6>>169;l53c:8yv47kk0;6?uQ1658900f2:h37p}=0ba94?4|V8==70;99;1a<>{t:9io6=4={_341>;2>108n55rs32`a?6=:rT:;>5257597g>l7;|q14a6=838pR<9>;<751?5e02wx>=j>:181[70827>:94vP>6g9>135=;k20q~7}Y9?o0188=:2`;?xu58m>1<75<59r7>:=4=279>127=1:169:?5959>124=1:169:<5959>125=1:169:=5959>122=1:169::5959>123=1:169:;5959>120=1:169:85959>121=1:169:95959>12>=1:169:65959>12?=1:169:75959>12g=1:169:o5959>12d=1:169:l5959>12e=1:169:m5959>12b=1:169:j5959>12c=1:169:k5959>12`=1:169:h5959>1=6=1:1695>5959~w76c>3:1>v3:60827a=:=>;1?o64}r03`2<72;q69;<512f890152:h37p}=0e:94?4|5<<86<=k;<747?5e02wx>=j6:181831<3;8h63:7580f==z{;:om7>52z?620<6;m169:;53c:8yv47lk0;6?u2574956b<5<==6>l7;|q14ae=838p1888:01g?830?39i46s|21fg>5<5s4?=47?ke;296~;2>00:?i5256;97g>13g=9:n0189n:2`;?xu58l:1<745c34?;2?j08n55rs32f6?6=:r7>:i4>3e9>12b=;k20q~7}:=?o1=>j4=45f>6d?3ty9{t:9o>6=4={<744?74l27>4=43:1=;94>2b9>123=9;i01899:00`?830?3;9o63:79826f=:=>31=?m4=45b>44d34?;2090:>n5259397464?4?:34967<4?rB8h=5+3bg960?53Sl86>u;e;3:>4g=u`kj6=4+3c79e<=i;k>1<65fa983>!5e=3k27c=m4;38?l72j3:1(>l::07b?k5e<3:07d?:9;29 6d228?j7c=m4;38?l7203:1(>l::07b?k5e<3807d?:7;29 6d228?j7c=m4;18?l72>3:1(>l::07b?k5e<3>07d?:5;29 6d228?j7c=m4;78?l71=3:1(>l::07b?k5e<3<07d?94;29 6d228?j7c=m4;58?l71;3:1(>l::07b?k5e<3207d?91;29 6d228?j7c=m4;;8?l7183:1(>l::07b?k5e<3k07d?:f;29 6d228?j7c=m4;`8?l72m3:1(>l::07b?k5e<3i07d?:d;29 6d228?j7c=m4;f8?l72k3:1(>l::07b?k5e<3o07d?:4;29 6d228?j7c=m4;d8?l5c<3:17d?=c;29?l5d>3:17d?<8;29?j71l3:1(>l::04`?k5e<3:07b?9b;29 6d228l::04`?k5e<3807b?99;29 6d228l::04`?k5e<3>07b?97;29 6d228l::04`?k5e<3<07b?86;29 6d228l::04`?k5e<3207b?83;29 6d228l::04`?k5e<3k07b?81;29 6d228l::04`?k5e<3i07b?9f;29 6d228l::04`?k5e<3o07b?96;29 6d22850z&0g`<5:>1C?i64H2f3?j45>3:17pl:8583>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg3?=3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn869:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a1=1=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a454?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj<2j6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm59`94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd20j0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo;7d;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f0>b290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi95h50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`6=5<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb4;1>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e=091<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th>584?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`6=2<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl:9983><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th>544?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`6=g<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl:9b83><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th>5i4?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`6=c<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl:a183><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th>m<4?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`6e6<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl:a583>6<729q/?nk52278L6b?3A9o<6*=2d8;3>o5:00;66g=2`83>>i4990;66s|21g4>5<69rTjm63:958:5>;21<02=63:978:5>;21>02=63:998:5>;21002=63:9`8:5>;21k02=63:9b8:5>;21m02=63:9d8:5>;21o02=63:a18:5>;2i802=63:a38:5>;2i:02=6s|21g;>5<69rTj463:958;b>;21<03j63:978;b>;21>03j63:998;b>;21003j63:9`8;b>;21k03j63:9b8;b>;21m03j63:9d8;b>;21o03j63:a18;b>;2i803j63:a38;b>;2i:03j6s|21g:>5<5sW;>n63:a2827a=z{;:nm7>52z\21<=:=h81=>j4}r03ag<72;qU=864=4c2>45c3ty9je;296~X6=<1694k512f8yv47mo0;6?uQ177890?c289o7p}=0g294?4|V8{t:9l:6=4={_357>;21k0:?i5rs32e6?6=:rT::<5258c956b4?:3y]536<5<326<=k;|q14c2=838pR<;i;<7:=h::181[72m27>5:4>3e9~w76a>3:1>vP>5e9>1<0=9:n0q~7}Y9<3;8h6s|21d:>5<5sW9o863:a5816<=z{;:mm7>513y]57e<5<2?6<4;4>2b9>1=1=9;i01867:00`?83?13;9o63:8`826f=:=1h1=?m4=4:`>44d34?3h7?=c:?6<`<6:j1695h513a890?7288h70;61;31g>;21;0:>n52581957e<5484i4:?6<34:4i4:?6<=444i4:?64o4i4:?64i4i4:?6<`4k4i4:?6=55<4i4:?6=75>4i4:?6=1<6;11694;512:890?1289370;67;30<>;2110:?55258;956><5<3j6<=7;<7:f?74027>5n4>399>1n3;8463:a1827==:=h;1=>64=4c1>45?34?j?7?<8:p65`d2909wS?9d:?6=6<4j11v?>id;296~X6>k1694<53c:8yv47nl0;6?uQ17c890?62:h37p}=0gd94?4|V8<270;60;1a<>{t:8:;6=4={_35<>;20o08n55rs3335?6=:rT:::5259g97g>l7;|q1555=838pR<99;<7;g?5e02wx><>;:181[70=27>4o4vP>729>1=g=;k20q~<>0783>7}Y9>801866:2`;?xu599=1<75<5sW;<<63:8680f==z{;;;57>52z\22c=:=1<1?o64}r024d<72;qU=;k4=4:6>6d?3ty9==l50;0xZ40134?387=m8:p646d2909=v3:828163=:=0>15>525869=1=:=0?15>525879=1=:=0<15>525849=1=:=0=15>525859=1=:=0215>5258:9=1=:=0315>5258;9=1=:=0k15>5258c9=1=:=0h15>5258`9=1=:=0i15>5258a9=1=:=0n15>5258f9=1=:=0o15>5258g9=1=:=0l15>5258d9=1=:=h:15>525`29=1=:=h;15>525`39=1=:=h815>525`09=1=:=h915>525`19=1=z{;;;h7>52z?6<1<6;m1694:53c:8yv468l0;6?u2597956b<5<3>6>l7;|q155`=838p1869:01g?83>>39i46s|2033>5<5s4?3;7?1;296~;2010:?i5258:97g>1=?=9:n01876:2`;?xu59891<745c34?2m7=m8:p64732909w0;7b;30`>;21k08n55rs3321?6=:r7>4n4>3e9>11783>7}:=1n1=>j4=4;g>6d?3ty9=<950;0x90>b289o70;6e;1a<>{t:8;36=4={<7;b?74l27>5k4v3:91827a=:=h:1?o64}r025d<72;q694?512f890g62:h37p}=10`94?4|5<396<=k;<7b6?5e02wx>;3;8h63:a280f==z{;;:h7>510y>1<2=9;i0187::00`?83>>3;9o63:96826f=:=021=?m4=4;:>44d34?2m7?=c:?6=g<6:j1694m513a890?c288h70;6e;31g>;21o0:>n525`2957e<5m>4>2b9>1d2=;8:0qpl:a483>70=:;08;vF28k1qdon:18'7g3=i01e?o:50:9je=<72-9i97o6;o1a0?7<3`;>n7>5$2`6>43f3g9i87>4;h36=?6=,:h>6<;n;o1a0?7<3`;>47>5$2`6>43f3g9i87<4;h363?6=,:h>6<;n;o1a0?5<3`;>:7>5$2`6>43f3g9i87:4;h361?6=,:h>6<;n;o1a0?3<3`;=97>5$2`6>43f3g9i8784;h350?6=,:h>6<;n;o1a0?1<3`;=?7>5$2`6>43f3g9i8764;h355?6=,:h>6<;n;o1a0??<3`;=<7>5$2`6>43f3g9i87o4;h36b?6=,:h>6<;n;o1a0?d<3`;>i7>5$2`6>43f3g9i87m4;h36`?6=,:h>6<;n;o1a0?b<3`;>o7>5$2`6>43f3g9i87k4;h360?6=,:h>6<;n;o1a0?`<3`9o87>5;h31g?6=3`9h:7>5;h305$2`6>40d3g9i87>4;n35f?6=,:h>6<8l;o1a0?7<3f;=m7>5$2`6>40d3g9i87<4;n35=?6=,:h>6<8l;o1a0?5<3f;=47>5$2`6>40d3g9i87:4;n353?6=,:h>6<8l;o1a0?3<3f;<;7>5$2`6>40d3g9i8784;n342?6=,:h>6<8l;o1a0?1<3f;<97>5$2`6>40d3g9i8764;n347?6=,:h>6<8l;o1a0??<3f;<>7>5$2`6>40d3g9i87o4;n345?6=,:h>6<8l;o1a0?d<3f;<<7>5$2`6>40d3g9i87m4;n35b?6=,:h>6<8l;o1a0?b<3f;=i7>5$2`6>40d3g9i87k4;n352?6=,:h>6<8l;o1a0?`<3k?j:7>51;294~"4kl09>:5G3e:8L6b73f89:7>5;|`6e2<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb4c:>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e=hk1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg3fk3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn8ok:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a1dc=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1amk4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj>o6:j0;66g>3e83>>i4j10;66sm5c094?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd2j:0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo;m4;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f0d2290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi9o850;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`6f2<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl:b983><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th>n44?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`6fg<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl:bb83><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th>ni4?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`6fc<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl:c183><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th>o<4?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`6g6<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl:c583><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th>o84?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`6g2<72:0;6=u+3bg9663<@:n37E=k0:&16`44?::k16d<722e8==4?::p647b290:=vPna:?6f2<>927>n5461:?6f<<>927>nl461:?6fg<>927>nn461:?6fa<>927>nh461:?6fc<>927>o=461:?6g4<>927>o?461:?6g6<>927>o9461:?6g0<>927>o;461:p647a290:=vPn8:?6f2n547f:?6f<nl47f:?6fgnn47f:?6fanh47f:?6fco=47f:?6g4o?47f:?6g6o947f:?6g0o;47f:p64472909wS?:b:?6g3<6;m1v??=1;296~X6=0169n;512f8yv46:;0;6?uQ14:890e3289o7p}=13194?4|V8?<70;l3;30`>{t:88?6=4={_362>;2k;0:?i5rs3311?6=:rT:98525b3956b;4?:3y]533<5<<7:181[71;27>nh4>3e9~w77513:1>vP>609>1gb=9:n0q~<>2`83>7}Y9?:018ll:01g?xu59;h1<75<5sW;>i63:b`827a=z{;;9h7>52z\21a=:=k31=>j4}r026`<72;qU=8m4=4`;>45c3ty9=?h50;0xZ43334?i;7?44d34?jo7?=c:?6ea<6:j169lk513a890ga288h70;m0;31g>;2j80:>n525c0957e<5n84>2b9>1g0=9;i018m8:30b?xu59:81<7<>{_30<>;2i>0m863:a98e0>;2i00m863:a`8e0>;2ik0m863:ab8e0>;2im0m863:ad8e0>;2io0m863:b18e0>;2j80m863:b38e0>;2j:0m863:b58e0>;2j<0m863:b78e0>;2j>0:?5525c:956><5no4>399>1ge=9:2018lk:01;?83em3;8463:bg827==:=j:1=>64=4a2>45?34?h>7?<8:?6g6<6;1169n:512:890e2289370;l6;30<>{t:8986=4={_35`>;2j?08n55rs3300?6=:rT::o525c797g>l7;|q1560=838pR<86;<7a7?5e02wx><=8:181[71027>n?4vP>669>1g7=;k20q~<>3883>7}Y9>=018l?:2`;?xu59:k1<75<5sW;<963:ad80f==z{;;8o7>52z\236=:=hn1?o64}r027a<72;qU=:<4=4c`>6d?3ty9=>k50;0xZ41634?jn7=m8:p645a2909wS?80:?6ed<4j11v??;0;296~X6>o169l753c:8yv46<80;6?uQ17g890g?2:h37p}=15094?4|V8<=70;n7;1a<>{t:8>86=4=1z?6e3<5:?169o95929>1g1=1=169o65929>1g>=1=169o75929>1g?=1=169oo5929>1gg=1=169ol5929>1gd=1=169om5929>1ge=1=169oj5929>1gb=1=169ok5929>1gc=1=169oh5929>1g`=1=169n>5929>1f6=1=169n?5929>1f7=1=169n<5929>1f4=1=169n=5929>1f5=1=169n:5929>1f2=1=169n;5929>1f3=1=169n85929>1f0=1=1v??;4;296~;2i>0:?i525c597g>1d>=9:n018l7:2`;?xu59=<1<745c34?i57=m8:p64202909w0;na;30`>;2jh08n55rs337mo4>3e9>1gd=;k20q~<>4883>7}:=hi1=>j4=4``>6d?3ty9=9o50;0x90gc289o70;md;1a<>{t:8>i6=4={<7ba?74l27>nh4v3:ag827a=:=kl1?o64}r020a<72;q69o>512f890e72:h37p}=15g94?4|5<:i:18183e:3;8h63:c380f==z{;;><7>52z?6f6<6;m169n=53c:8yv46=80;6?u25c6956b<5l7;|q1504=838p18l::01g?83d=39i46s|2070>5<5s4?i:7?44d34?i57?=c:?6fd<6:j169ol513a890dd288h70;md;31g>;2jl0:>n525cd957e<5o?4>2b9>1f5=9;i018m;:00`?83d=3;9o63:c7826f=:=j=1?<>4}|`6g=<72;<1>?4<7zJ0`5=#;jo1>87=;[d0>6}3m3;265<#;k?1m45a3c694>=ni10;6)=m5;c:?k5e<3;07d?:b;29 6d228?j7c=m4;28?l7213:1(>l::07b?k5e<3;07d?:8;29 6d228?j7c=m4;08?l72?3:1(>l::07b?k5e<3907d?:6;29 6d228?j7c=m4;68?l72=3:1(>l::07b?k5e<3?07d?95;29 6d228?j7c=m4;48?l71<3:1(>l::07b?k5e<3=07d?93;29 6d228?j7c=m4;:8?l7193:1(>l::07b?k5e<3307d?90;29 6d228?j7c=m4;c8?l72n3:1(>l::07b?k5e<3h07d?:e;29 6d228?j7c=m4;a8?l72l3:1(>l::07b?k5e<3n07d?:c;29 6d228?j7c=m4;g8?l72<3:1(>l::07b?k5e<3l07d=k4;29?l75k3:17d=l6;29?l7403:17b?9d;29 6d228l::04`?k5e<3;07b?9a;29 6d228l::04`?k5e<3907b?98;29 6d228l::04`?k5e<3?07b?87;29 6d2283:1(>l::04`?k5e<3=07b?85;29 6d228l::04`?k5e<3307b?82;29 6d228l::04`?k5e<3h07b?80;29 6d228l::04`?k5e<3n07b?9e;29 6d2283:1(>l::04`?k5e<3l07o;l9;295?6=8r.8oh4=269K7a><@:n;7b<=6;29?xd2kh0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo;lb;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f0ed290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi9nj50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`6g`<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb4f3>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e=m;1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg3c;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn8j;:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a1a3=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1ah;4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj>o6:j0;66g>3e83>>i4j10;66sm5e;94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd2lh0;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`6`g<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl:db83><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th>hi4?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`6`c<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl:e183><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th>i<4?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`6a6<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl:e583><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th>i84?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`6a2<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl:e983><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th>i44?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?4?:1y'7fc=::?0D>j7;I1g4>"5:l03;6g=2883>>o5:h0;66a<1183>>{t:8?>6=4>1z\be>;2lh02=63:dc8:5>;2lj02=63:de8:5>;2ll02=63:dg8:5>;2m902=63:e08:5>;2m;02=63:e28:5>;2m=02=63:e48:5>;2m?02=63:e68:5>;2m102=63:e88:5>{t:8?=6=4>1z\b<>;2lh03j63:dc8;b>;2lj03j63:de8;b>;2ll03j63:dg8;b>;2m903j63:e08;b>;2m;03j63:e28;b>;2m=03j63:e48;b>;2m?03j63:e68;b>;2m103j63:e88;b>{t:8?<6=4={_36f>;2m00:?i5rs336<5<;m:181[72>27>i84>3e9~w772k3:1>vP>549>1`2=9:n0q~<>5e83>7}Y9??018k<:01g?xu595<5sW;=?63:e0827a=z{;;=<7>52z\224=:=l:1=>j4}r0224<72;qU=;>4=4fe>45c3ty9=;<50;0xZ43a34?oi7?<0;6?uQ14a890be289o7p}=17494?4|V8??70;ka;30`>{t:8<<6=4={_1g0>;2mh09>45rs33544d34?hn7?=c:?6gf<6:j169nj513a890eb288h70;lf;31g>;2l90:>n525e3957e<5h94>2b9>1a3=9;i018j9:00`?83c?3;9o63:d9826f=:=m31=?m4=4gb>74f3ty9=;750;02[74027>ol4i4:?6ggon4i4:?6gaoh4i4:?6gch=4i4:?6`4h?4i4:?6`6h94i4:?6`0h;4i4:?6`2h54i4:?6`<hl4>399>1ad=9:2018jl:01;?83cl3;8463:dd827==:=ml1=>64=4g3>45?34?n=7?<8:?6a7<6;1169h=512:890c3289370;j5;30<>;2m?0:?5525d5956><5<8n:181[71l27>h44vP>6c9>1a>=;k20q~<>6b83>7}Y9?k018j8:2`;?xu59?n1<739i46s|204f>5<5sW;=463:d480f==z{;;=j7>52z\222=:=m>1?o64}r0235<72;qU=:94=4f0>6d?3ty9=:?50;0xZ41134?o>7=m8:p64152909wS?85:?6`4<4j11v??83;296~X6?:169i>53c:8yv46?=0;6?uQ160890ea2:h37p}=16794?4|V8=:70;le;1a<>{t:8==6=4={_344>;2km08n55rs3343?6=:rT::k525ba97g>l7;|q152?=838pR<89;<7`e?5e02wx><9n:1815~;2k009>;525ec9=6=:=mk159525e`9=6=:=mh159525ea9=6=:=mi159525ef9=6=:=mn159525eg9=6=:=mo159525ed9=6=:=ml159525d29=6=:=l:159525d39=6=:=l;159525d09=6=:=l8159525d19=6=:=l9159525d69=6=:=l>159525d79=6=:=l?159525d49=6=:=l<159525d59=6=:=l=159525d:9=6=:=l2159525d;9=6=:=l31595rs334f?6=:r7>ol4>3e9>1ag=;k20q~<>7b83>7}:=jh1=>j4=4fa>6d?3ty9=:j50;0x90ed289o70;kc;1a<>{t:8=n6=4={<7``?74l27>hi4v3:cd827a=:=mo1?o64}r02<5<72;q69nh512f890ba2:h37p}=19394?4|5<6=:18183c93;8h63:e080f==z{;;3?7>52z?6`7<6;m169h<53c:8yv460=0;6?u25e1956b<5l7;|q15=3=838p18j;:01g?83b<39i46s|20:5>5<5s4?o97?1a1=9:n018k8:2`;?xu59131<745c34?n47=m8:p64>f2909w0;k9;30`>;2m008n55rs33;f?6=98q69io513a890be288h70;kc;31g>;2lm0:>n525eg957e<5i<4>2b9>1`4=9;i018k<:00`?83b<3;9o63:e4826f=:=l<1=?m4=4g4>44d34?n47?=c:?6a<<6:j169ho53028yxd2mk0;6?8523803~N4l91/?nk524;1?_`42:q?i7?6:0c9ylgf290/?o;5a89m7g2=821bm54?:%1a1?g>3g9i87?4;h36f?6=,:h>6<;n;o1a0?6<3`;>57>5$2`6>43f3g9i87?4;h366<;n;o1a0?4<3`;>;7>5$2`6>43f3g9i87=4;h362?6=,:h>6<;n;o1a0?2<3`;>97>5$2`6>43f3g9i87;4;h351?6=,:h>6<;n;o1a0?0<3`;=87>5$2`6>43f3g9i8794;h357?6=,:h>6<;n;o1a0?><3`;==7>5$2`6>43f3g9i8774;h354?6=,:h>6<;n;o1a0?g<3`;>j7>5$2`6>43f3g9i87l4;h36a?6=,:h>6<;n;o1a0?e<3`;>h7>5$2`6>43f3g9i87j4;h36g?6=,:h>6<;n;o1a0?c<3`;>87>5$2`6>43f3g9i87h4;h1g0?6=3`;9o7>5;h1`2?6=3`;847>5;n35`?6=,:h>6<8l;o1a0?6<3f;=n7>5$2`6>40d3g9i87?4;n35e?6=,:h>6<8l;o1a0?4<3f;=57>5$2`6>40d3g9i87=4;n356<8l;o1a0?2<3f;=;7>5$2`6>40d3g9i87;4;n343?6=,:h>6<8l;o1a0?0<3f;<:7>5$2`6>40d3g9i8794;n341?6=,:h>6<8l;o1a0?><3f;5$2`6>40d3g9i8774;n346?6=,:h>6<8l;o1a0?g<3f;<=7>5$2`6>40d3g9i87l4;n344?6=,:h>6<8l;o1a0?e<3f;=j7>5$2`6>40d3g9i87j4;n35a?6=,:h>6<8l;o1a0?c<3f;=:7>5$2`6>40d3g9i87h4;c7fg?6=93:1j?;n012?6=3th>ii4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj>o6:j0;66g>3e83>>i4j10;66sm5g294?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd2n80;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo;i2;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f0`4290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi9k:50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`6b0<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb4d4>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e=o21<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg3ai3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn8hm:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a1ce=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1aji4?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`6bc<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl90183><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th=<<4?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`546<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl90583><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th=<84?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`542<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl90983><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th=<44?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`54g<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl90b83><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th=5}#;jo1>>;4H2f;?M5c82.9>h477:k16<<722c9>l4?::m055<722wx><6l:1825~Xfi27>ji461:?6b`<>927>jk461:?545<>927=<<461:?547<>927=<>461:?541<>927=<8461:?543<>927=<:461:?54=<>927=<4461:?54d<>927=92wx><6k:1825~Xf027>ji47f:?6b`jk47f:?54547f:?541<6j:181[72j27=3e9~w77?n3:1>vP>589>25d=9:n0q~<>9183>7}Y9<201;>n:01g?xu590;1<75<5sW;>:63909827a=z{;;2?7>52z\210=:>9=1=>j4}r02=1<72;qU=;;4=725>45c3ty9=4;50;0xZ40334<;97?816:==512f8yv46110;6?uQ17289365289o7p}=18;94?4|V8?m708?1;30`>{t:83j6=4={_36a>;1890:?i5rs33:f?6=:rT:9i525gd956b<7j:181[5c<27=n3:1=?uQ13a890cc288h70;je;31g>;2mo0:>n525g2957e<5j>4>2b9>1c2=9;i018h::00`?83a>3;9o63:f6826f=:=o21=?m4=4d:>44d34?mm7?=c:?6bg<6:j169km513a8936c2;8j7p}=1`294?46sW;8463:ee8e0>;2ml0m863:eg8e0>;2n90m863:f08e0>;2n;0m863:f28e0>;2n=0m863:f48e0>;2n?0m863:f68e0>;2n10m863:f88e0>;2nh0m863:fc8e0>;2nj0m863:fe827==:=oo1=>64=4de>45?34<;<7?<8:?544<6;116:=<512:893642893708?4;30<>;18<0:?552614956><5?:<6<=7;<43399>25g=9:201;>m:01;?807k3;846s|20c2>5<5sW;=h63:fb80f==z{;;j>7>52z\22g=:=oh1?o64}r02e6<72;qU=;o4=4db>6d?3ty9=l:50;0xZ40>34?m57=m8:p64g22909wS?98:?6b=<4j11v??n6;296~X6>>169k953c:8yv46i>0;6?uQ165890`12:h37p}=1`:94?4|V8==70;i5;1a<>{t:8k26=4={_341>;2n=08n55rs33be?6=:rT:;>525g197g>l7;|q15de=838pR<9>;<7e5?5e02wx>j=4vP>6g9>1``=;k20q~<>ag83>7}Y9?o018kj:2`;?xu59k:1<75<59r7>in4=279>1cb=1:169kj5959>1cc=1:169kk5959>1c`=1:169kh5959>256=1:16:=>5959>257=1:16:=?5959>254=1:16:=<5959>255=1:16:==5959>252=1:16:=:5959>253=1:16:=;5959>250=1:16:=85959>251=1:16:=95959>25>=1:16:=65959>25?=1:16:=75959>25g=1:16:=o5959>25d=1:16:=l5959>25e=1:16:=m5959~w77e:3:1>v3:ee827a=:=on1?o64}r02f6<72;q69hk512f890`b2:h37p}=1c694?4|552z?6b4<6;m16:=?53c:8yv46j>0;6?u25g0956b<5?:96>l7;|q15g>=838p18h<:01g?807;39i46s|20`:>5<5s4?m87?1c0=9:n01;>9:2`;?xu59ki1<745c34<;;7=m8:p64dc2909w0;i8;30`>;18108n55rs33aa?6=:r7>j44>3e9>25?=;k20q~<>bg83>7}:=ok1=>j4=72b>6d?3ty9=n>50;0x90`e289o708?b;1a<>{t:8i:6=4={<7eg?74l27=2b9>257=9;i01;>=:00`?807;3;9o63905826f=:>9?1=?m4=725>44d34<;;7?=c:?54=<6:j16:=7513a8936f288h708?b;31g>;18j0:>n5261f9746u;e;3:>4g=u`kj6=4+3c79e<=i;k>1<65fa983>!5e=3k27c=m4;38?l72j3:1(>l::07b?k5e<3:07d?:9;29 6d228?j7c=m4;38?l7203:1(>l::07b?k5e<3807d?:7;29 6d228?j7c=m4;18?l72>3:1(>l::07b?k5e<3>07d?:5;29 6d228?j7c=m4;78?l71=3:1(>l::07b?k5e<3<07d?94;29 6d228?j7c=m4;58?l71;3:1(>l::07b?k5e<3207d?91;29 6d228?j7c=m4;;8?l7183:1(>l::07b?k5e<3k07d?:f;29 6d228?j7c=m4;`8?l72m3:1(>l::07b?k5e<3i07d?:d;29 6d228?j7c=m4;f8?l72k3:1(>l::07b?k5e<3o07d?:4;29 6d228?j7c=m4;d8?l5c<3:17d?=c;29?l5d>3:17d?<8;29?j71l3:1(>l::04`?k5e<3:07b?9b;29 6d228l::04`?k5e<3807b?99;29 6d228l::04`?k5e<3>07b?97;29 6d228l::04`?k5e<3<07b?86;29 6d228l::04`?k5e<3207b?83;29 6d228l::04`?k5e<3k07b?81;29 6d228l::04`?k5e<3i07b?9f;29 6d228l::04`?k5e<3o07b?96;29 6d22850z&0g`<5:>1C?i64H2f3?j45>3:17pl91183>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg0693:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn;?=:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a245=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj?;=6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm60594?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd1910;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo8>9;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f37f290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi:N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`55f<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb73f>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e>8l1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th=><4?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`566<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl92583><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th=>84?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`562<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl92983><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th=>44?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`56g<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl92b83><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th=>i4?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`56c<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl93183>6<729q/?nk52278L6b?3A9o<6*=2d8;3>o5:00;66g=2`83>>i4990;66s|20a0>5<69rTjm639218:5>;1:802=639238:5>;1::02=639258:5>;1:<02=639278:5>;1:>02=639298:5>;1:002=6392`8:5>;1:k02=6392b8:5>;1:m02=6392d8:5>;1:o02=6s|20a7>5<69rTj4639218;b>;1:803j639238;b>;1::03j639258;b>;1:<03j639278;b>;1:>03j639298;b>;1:003j6392`8;b>;1:k03j6392b8;b>;1:m03j6392d8;b>;1:o03j6s|20a6>5<5sW;>n6392g827a=z{;;h:7>52z\21<=:>;o1=>j4}r02g2<72;qU=864=70g>45c3ty9=n650;0xZ43034<9o7?2909wS?:6:?56g<6;m1v??la;296~X6=<16:?o512f8yv46kk0;6?uQ1778934>289o7p}=1ba94?4|V8{t:8io6=4={_357>;1:>0:?i5rs33`a?6=:rT::<52634956b6<=k;|q15a6=838pR<;i;<410?74l2wx>:181[72m27=>>4>3e9~w77c:3:1>vP>5e9>274=9:n0q~<>d283>7}Y9:01g?xu59m>1<75<5sW9o863931816<=z{;;o:7>513y]57e<5?;;6<2b9>245=9;i01;?;:00`?806=3;9o63917826f=:>8=1=?m4=73;>44d34<:57?=c:?55d<6:j16:d;31g>;19l0:>n5260d957e<5?9;6?4i4:?551;1:=0:?552637956><5?8=6<=7;<413?74027=>54>399>27?=9:201;;n1=>64=70f>45?34<9j7?<8:p64b?2909wS?9d:?55c<4j11v??k9;296~X6>k16:c;1a<>{t:8nh6=4={_35<>;19k08n55rs33g`?6=:rT:::5260c97g>l7;|q15a`=838pR<99;<42vP>729>240=;k20q~<>e383>7}Y9>801;?::2`;?xu59l91<75<5sW;<<6391280f==z{;;n97>52z\22c=:>881?o64}r02a3<72;qU=;k4=732>6d?3ty9=h950;0xZ40134<:<7=m8:p64c?2909=v390g8163=:>;:15>526329=1=:>;;15>526339=1=:>;815>526309=1=:>;915>526319=1=:>;>15>526369=1=:>;?15>526379=1=:>;<15>526349=1=:>;=15>526359=1=:>;215>5263:9=1=:>;315>5263;9=1=:>;k15>5263c9=1=:>;h15>5263`9=1=:>;i15>5263a9=1=:>;n15>5263f9=1=:>;o15>5263g9=1=:>;l15>5263d9=1=z{;;n57>52z?555<6;m16:?>53c:8yv46mh0;6?u2603956b<5?8:6>l7;|q15`d=838p1;?=:01g?805:39i46s|20g`>5<5s4<:?7?243=9:n01;<::2`;?xu59ll1<745c34<9:7=m8:p64`72909w08>7;30`>;1:>08n55rs33e5?6=:r7==54>3e9>27>=;k20q~<>f383>7}:>831=>j4=70:>6d?3ty9=k=50;0x937f289o708=a;1a<>{t:8l?6=4={<42f?74l27=>o4v391b827a=:>;i1?o64}r02b3<72;q6:510y>276=9;i01;<>:00`?805:3;9o63922826f=:>;>1=?m4=706>44d34<9:7?=c:?562<6:j16:?6513a8934>288h708=a;31g>;1:k0:>n5263a957e<5?8o6<k4>2b9>266=;8:0qpl93083>70=:;08;vF28k1qdon:18'7g3=i01e?o:50:9je=<72-9i97o6;o1a0?7<3`;>n7>5$2`6>43f3g9i87>4;h36=?6=,:h>6<;n;o1a0?7<3`;>47>5$2`6>43f3g9i87<4;h363?6=,:h>6<;n;o1a0?5<3`;>:7>5$2`6>43f3g9i87:4;h361?6=,:h>6<;n;o1a0?3<3`;=97>5$2`6>43f3g9i8784;h350?6=,:h>6<;n;o1a0?1<3`;=?7>5$2`6>43f3g9i8764;h355?6=,:h>6<;n;o1a0??<3`;=<7>5$2`6>43f3g9i87o4;h36b?6=,:h>6<;n;o1a0?d<3`;>i7>5$2`6>43f3g9i87m4;h36`?6=,:h>6<;n;o1a0?b<3`;>o7>5$2`6>43f3g9i87k4;h360?6=,:h>6<;n;o1a0?`<3`9o87>5;h31g?6=3`9h:7>5;h305$2`6>40d3g9i87>4;n35f?6=,:h>6<8l;o1a0?7<3f;=m7>5$2`6>40d3g9i87<4;n35=?6=,:h>6<8l;o1a0?5<3f;=47>5$2`6>40d3g9i87:4;n353?6=,:h>6<8l;o1a0?3<3f;<;7>5$2`6>40d3g9i8784;n342?6=,:h>6<8l;o1a0?1<3f;<97>5$2`6>40d3g9i8764;n347?6=,:h>6<8l;o1a0??<3f;<>7>5$2`6>40d3g9i87o4;n345?6=,:h>6<8l;o1a0?d<3f;<<7>5$2`6>40d3g9i87m4;n35b?6=,:h>6<8l;o1a0?b<3f;=i7>5$2`6>40d3g9i87k4;n352?6=,:h>6<8l;o1a0?`<3k<8>7>51;294~"4kl09>:5G3e:8L6b73f89:7>5;|`576<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb716>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e>:<1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg0403:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn;=6:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a26g=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj?9o6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm62g94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd1;o0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo8;0;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f326290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi:9<50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`506<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl94583><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th=884?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`502<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl94983><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th=844?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`50g<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl94b83><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th=8i4?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`50c<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl95183><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th=9<4?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`516<72:0;6=u+3bg9663<@:n37E=k0:&16`44?::k16d<722e8==4?::p64`f290:=vPna:?506<>927=89461:?500<>927=8;461:?502<>927=85461:?50<<>927=8l461:?50g<>927=8n461:?50a<>927=8h461:?50c<>927=9=461:?514<>927=9?461:p64`e290:=vPn8:?506{t:;:;6=4={_362>;1h6<=k;|q1655=838pR<8;;<47f?74l2wx>?>;:181[71;27=8l4>3e9~w747=3:1>vP>609>21?=9:n0q~<=0783>7}Y9?:01;:7:01g?xu5:9=1<75<5sW;>i63947827a=z{;8;57>52z\21a=:>=?1=>j4}r014d<72;qU=8m4=767>45c3ty9>=l50;0xZ43334:<1=?m4=714>44d34<847?=c:?57<<6:j16:>o513a8935e288h708;1;m0:>n5262g957e<5?9m6<2b9>214=9;i01;;<:30b?xu5:9o1<7<>{_30<>;1;:0m8639358e0>;1;<0m8639378e0>;1;>0m8639398e0>;1;00m86393`8e0>;1;k0m86393b8e0>;1;m0m86393d8e0>;1;o0m8639418e0>;1<80m8639438e0>;1<:0:?552656956><5?>>6<=7;<472?74027=8:4>399>21>=9:201;:6:01;?803i3;846394c827==:>=i1=>64=76g>45?34512:893362893708:2;30<>{t:;:m6=4={_35`>;1<;08n55rs3024?6=:rT::o5265397g>;6>l7;|q1644=838pR<86;<40b?5e02wx>??<:181[71027=?h4vP>669>26b=;k20q~<=1483>7}Y9>=01;=l:2`;?xu5:8<1<75<5sW;<96393`80f==z{;8:47>52z\236=:>:31?o64}r015<<72;qU=:<4=71;>6d?3ty9>c;296~X6>o16:>;53c:8yv459m0;6?uQ17g893532:h37p}=20g94?4|V8<=708<3;1a<>{t:;;m6=4=1z?577<5:?16:9=5929>215=1=16:9:5929>212=1=16:9;5929>213=1=16:985929>210=1=16:995929>211=1=16:965929>21>=1=16:975929>21?=1=16:9o5929>21g=1=16:9l5929>21d=1=16:9m5929>21e=1=16:9j5929>21b=1=16:9k5929>21c=1=16:9h5929>21`=1=16:8>5929>206=1=16:8?5929>207=1=16:8<5929>204=1=1v?<=0;296~;1;:0:?i5265197g><4?:3y>262=9:n01;:;:2`;?xu5:;81<745c34;13e9>211=;k20q~<=2483>7}:>:21=>j4=76;>6d?3ty9>?850;0x935>289o708;9;1a<>{t:;8<6=4={<40e?74l27=8l4v393c827a=:>=h1?o64}r016<<72;q6:>m512f8932d2:h37p}=23c94?4|5?9o6<=k;<47`?5e02wx>?52z?57c<6;m16:9h53c:8yv45:m0;6?u2652956b<5??;6>l7;|q167c=838p1;:>:01g?802939i46s|230e>5<5s47?=91=?m4=767>44d34;1n5265`957e<5?>h6<2b9>21`=9;i01;;?:00`?80293;9o63953826f=:><91?<>4}|`511<72;<1>?4<7zJ0`5=#;jo1>87=;[d0>6}3m3;265<#;k?1m45a3c694>=ni10;6)=m5;c:?k5e<3;07d?:b;29 6d228?j7c=m4;28?l7213:1(>l::07b?k5e<3;07d?:8;29 6d228?j7c=m4;08?l72?3:1(>l::07b?k5e<3907d?:6;29 6d228?j7c=m4;68?l72=3:1(>l::07b?k5e<3?07d?95;29 6d228?j7c=m4;48?l71<3:1(>l::07b?k5e<3=07d?93;29 6d228?j7c=m4;:8?l7193:1(>l::07b?k5e<3307d?90;29 6d228?j7c=m4;c8?l72n3:1(>l::07b?k5e<3h07d?:e;29 6d228?j7c=m4;a8?l72l3:1(>l::07b?k5e<3n07d?:c;29 6d228?j7c=m4;g8?l72<3:1(>l::07b?k5e<3l07d=k4;29?l75k3:17d=l6;29?l7403:17b?9d;29 6d228l::04`?k5e<3;07b?9a;29 6d228l::04`?k5e<3907b?98;29 6d228l::04`?k5e<3?07b?87;29 6d2283:1(>l::04`?k5e<3=07b?85;29 6d228l::04`?k5e<3307b?82;29 6d228l::04`?k5e<3h07b?80;29 6d228l::04`?k5e<3n07b?9e;29 6d2283:1(>l::04`?k5e<3l07o8:5;295?6=8r.8oh4=269K7a><@:n;7b<=6;29?xd1=?0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo8:7;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f33?290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi:8750;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`51d<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<n7>54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb77`>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg02n3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn;8?:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a237=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj?>o6:j0;66g>3e83>>i4j10;66sm67794?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd1>?0;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`522<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl96983><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th=:44?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?h0;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`52g<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl96b83><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th=:i4?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?l0;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`52c<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl97183><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th=;<4?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`536<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl97583><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th=;84?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?4?:1y'7fc=::?0D>j7;I1g4>"5:l03;6g=2883>>o5:h0;66a<1183>>{t:;9:6=4>1z\be>;1>?02=639668:5>;1>102=639688:5>;1>h02=6396c8:5>;1>j02=6396e8:5>;1>l02=6396g8:5>;1?902=639708:5>;1?;02=639728:5>;1?=02=639748:5>{t:;996=4>1z\b<>;1>?03j639668;b>;1>103j639688;b>;1>h03j6396c8;b>;1>j03j6396e8;b>;1>l03j6396g8;b>;1?903j639708;b>;1?;03j639728;b>;1?=03j639748;b>{t:;986=4={_36f>;1?<0:?i5rs3000?6=:rT:9452666956b<5?=86<=k;|q1660=838pR<;8;<446?74l2wx>?=8:181[72>27=;<4>3e9~w74403:1>vP>549>226=9:n0q~<=3883>7}Y9??01;8i:01g?xu5::k1<75<5sW;=?6396e827a=z{;88o7>52z\224=:>?i1=>j4}r017a<72;qU=;>4=74a>45c3ty9>>k50;0xZ43a34<=m7?{t:;>86=4={_1g0>;1??09>45rs3070?6=9;qU=?m4=775>44d34<>;7?=c:?51=<6:j16:87513a8933f288h708:b;31g>;1=j0:>n5264f957e<5??n6<2b9>237=9;i01;8=:00`?801;3;9o63965826f=:>??1=?m4=755>74f3ty9>9;50;02[74027=9;4i4:?512399>231=9:201;87:01;?80113;846396`827==:>?h1=>64=74`>45?34<=h7?<8:?52`<6;116:;h512:89317289370881;30<>;1?;0:?552661956><5?=?6<=7;<441?7402wx>?:9:181[71l27=:84vP>6c9>232=;k20q~<=4983>7}Y9?k01;8<:2`;?xu5:=31<75<5sW;=46396080f==z{;8?n7>52z\222=:>?:1?o64}r010f<72;qU=:94=77e>6d?3ty9>9j50;0xZ41134<>i7=m8:p672b2909wS?85:?51a<4j11v?<;f;296~X6?:16:8m53c:8yv45=90;6?uQ1608933e2:h37p}=24394?4|V8=:708:a;1a<>{t:;?96=4={_344>;1=008n55rs3067?6=:rT::k5264:97g>l7;|q1603=838pR<89;<462?5e02wx>?;9:1815~;1=<09>;526749=6=:>?<159526759=6=:>?=1595267:9=6=:>?21595267;9=6=:>?31595267c9=6=:>?k1595267`9=6=:>?h1595267a9=6=:>?i1595267f9=6=:>?n1595267g9=6=:>?o1595267d9=6=:>?l159526629=6=:>>:159526639=6=:>>;159526609=6=:>>8159526619=6=:>>9159526669=6=:>>>159526679=6=:>>?1595rs3063?6=:r7=9;4>3e9>230=;k20q~<=5983>7}:><=1=>j4=744>6d?3ty9>8750;0x933?289o70898;1a<>{t:;?j6=4={<46=?74l27=:44v395`827a=:>?k1?o64}r011f<72;q6:8l512f8930e2:h37p}=24f94?4|5??h6<=k;<45g?5e02wx>?;j:181802l3;8h6396e80f==z{;8>j7>52z?51`<6;m16:;k53c:8yv45>90;6?u264d956b<5?l7;|q1637=838p1;8?:01g?800839i46s|2341>5<5s4<==7?;0:?i5266097g>235=9:n01;9<:2`;?xu5:??1<745c34<<87=m8:p67012909w0895;30`>;1?<08n55rs3053?6=98q6:;8513a89300288h70898;31g>;1>00:>n5267c957e<5?2b9>23c=9;i01;8i:00`?80083;9o63970826f=:>>81=?m4=750>44d34<<87?=c:?530<6:j16::853028yxd1?>0;6?8523803~N4l91/?nk524;1?_`42:q?i7?6:0c9ylgf290/?o;5a89m7g2=821bm54?:%1a1?g>3g9i87?4;h36f?6=,:h>6<;n;o1a0?6<3`;>57>5$2`6>43f3g9i87?4;h366<;n;o1a0?4<3`;>;7>5$2`6>43f3g9i87=4;h362?6=,:h>6<;n;o1a0?2<3`;>97>5$2`6>43f3g9i87;4;h351?6=,:h>6<;n;o1a0?0<3`;=87>5$2`6>43f3g9i8794;h357?6=,:h>6<;n;o1a0?><3`;==7>5$2`6>43f3g9i8774;h354?6=,:h>6<;n;o1a0?g<3`;>j7>5$2`6>43f3g9i87l4;h36a?6=,:h>6<;n;o1a0?e<3`;>h7>5$2`6>43f3g9i87j4;h36g?6=,:h>6<;n;o1a0?c<3`;>87>5$2`6>43f3g9i87h4;h1g0?6=3`;9o7>5;h1`2?6=3`;847>5;n35`?6=,:h>6<8l;o1a0?6<3f;=n7>5$2`6>40d3g9i87?4;n35e?6=,:h>6<8l;o1a0?4<3f;=57>5$2`6>40d3g9i87=4;n356<8l;o1a0?2<3f;=;7>5$2`6>40d3g9i87;4;n343?6=,:h>6<8l;o1a0?0<3f;<:7>5$2`6>40d3g9i8794;n341?6=,:h>6<8l;o1a0?><3f;5$2`6>40d3g9i8774;n346?6=,:h>6<8l;o1a0?g<3f;<=7>5$2`6>40d3g9i87l4;n344?6=,:h>6<8l;o1a0?e<3f;=j7>5$2`6>40d3g9i87j4;n35a?6=,:h>6<8l;o1a0?c<3f;=:7>5$2`6>40d3g9i87h4;c44j?;n012?6=3th=;44?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj?=i6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm66a94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd1?m0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo88e;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f31a290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi:5>50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`5<4<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb7:0>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e>1>1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg0?>3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn;68:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a2=>=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`5<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th=4i4?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`5<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th=5<4?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`5=6<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl99583><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th=584?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`5=2<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl99983><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th=544?:283>5}#;jo1>>;4H2f;?M5c82.9>h477:k16<<722c9>l4?::m055<722wx>?87:1825~Xfi27=44461:?5927=4o461:?5927=4i461:?5<`<>927=4k461:?5=5<>927=5<461:?5=7<>927=5>461:?5=1<>927=58461:?5=3<>927=5:461:?5==<>92wx>?86:1825~Xf027=4447f:?547f:?5=1?8n:181[72j27=554>3e9~w741j3:1>vP>589>2<1=9:n0q~<=6b83>7}Y9<201;79:01g?xu5:?n1<7=3;8h6s|234f>5<5sW;>:63995827a=z{;8=j7>52z\210=:>091=>j4}r0135<72;qU=;;4=7;1>45c3ty9>:?50;0xZ40334<2=7?816:5h512f8yv45?=0;6?uQ172893>b289o7p}=26794?4|V8?m7087d;30`>{t:;==6=4={_36a>;10j0:?i5rs3043?6=:rT:9i5269`956b?9n:181[5c<27=544=289~w740j3:1=?uQ13a8931>288h7088a;31g>;1?k0:>n5266a957e<5?=o6<2b9>2=6=9;i01;6>:00`?80?:3;9o63982826f=:>1>1=?m4=7:6>44d34<3:7?=c:?5<2<6:j16:56513a893?>2;8j7p}=26a94?46sW;84639788e0>;1?h0m86397c8e0>;1?j0m86397e8e0>;1?l0m86397g8e0>;1090m8639808e0>;10;0m8639828e0>;10=0m8639848e0>;10?0m8639868e0>;1010m863988827==:>1k1=>64=7:a>45?34<3o7?<8:?5a289370860;30<>;1180:?552680956><5?386<=7;<4:0?74027=584>399>2<0=9:201;78:01;?80>03;846s|235g>5<5sW;=h6398980f==z{;852z\22g=:>1=1?o64}r013c<72;qU=;o4=7:5>6d?3ty9>5>50;0xZ40>34<397=m8:p67>62909wS?98:?5<1<4j11v?<72;296~X6>>16:5=53c:8yv450:0;6?uQ165893>52:h37p}=29694?4|V8==70871;1a<>{t:;2>6=4={_341>;10908n55rs30;2?6=:rT:;>5266d97g>l7;|q16=>=838pR<9>;<44`?5e02wx>?66:181[70827=;n4vP>6g9>22d=;k20q~<=8c83>7}Y9?o01;9n:2`;?xu5:1i1<75<59r7=;54=279>2=?=1:16:575959>2=g=1:16:5o5959>2=d=1:16:5l5959>2=e=1:16:5m5959>2=b=1:16:5j5959>2=c=1:16:5k5959>2=`=1:16:5h5959>2<6=1:16:4>5959>2<7=1:16:4?5959>2<4=1:16:4<5959>2<5=1:16:4=5959>2<2=1:16:4:5959>2<3=1:16:4;5959>2<0=1:16:485959>2<1=1:16:495959>2<>=1:16:465959~w74?m3:1>v3978827a=:>131?o64}r01f2:h37p}=28294?4|5?=i6<=k;<4;f?5e02wx>?7>:181800k3;8h6398b80f==z{;82>7>52z?53a<6;m16:5j53c:8yv451:0;6?u266g956b<5?2n6>l7;|q16<2=838p1;9i:01g?80?n39i46s|23;6>5<5s4<3<7?2=4=9:n01;7=:2`;?xu5:021<745c34<2?7=m8:p67?>2909w0874;30`>;11=08n55rs30:e?6=:r7=484>3e9>2<3=;k20q~<=9c83>7}:>1<1=>j4=7;5>6d?3ty9>4m50;0x93>0289o70867;1a<>{t:;3o6=4={<4;m3:1=2b9>2=b=9;i01;6j:00`?80?n3;9o63991826f=:>0;1=?m4=7;1>44d34<2?7?=c:?5=1<6:j16:4;513a893?1288h70867;31g>;1110:>n5268;9746u;e;3:>4g=u`kj6=4+3c79e<=i;k>1<65fa983>!5e=3k27c=m4;38?l72j3:1(>l::07b?k5e<3:07d?:9;29 6d228?j7c=m4;38?l7203:1(>l::07b?k5e<3807d?:7;29 6d228?j7c=m4;18?l72>3:1(>l::07b?k5e<3>07d?:5;29 6d228?j7c=m4;78?l71=3:1(>l::07b?k5e<3<07d?94;29 6d228?j7c=m4;58?l71;3:1(>l::07b?k5e<3207d?91;29 6d228?j7c=m4;;8?l7183:1(>l::07b?k5e<3k07d?:f;29 6d228?j7c=m4;`8?l72m3:1(>l::07b?k5e<3i07d?:d;29 6d228?j7c=m4;f8?l72k3:1(>l::07b?k5e<3o07d?:4;29 6d228?j7c=m4;d8?l5c<3:17d?=c;29?l5d>3:17d?<8;29?j71l3:1(>l::04`?k5e<3:07b?9b;29 6d228l::04`?k5e<3807b?99;29 6d228l::04`?k5e<3>07b?97;29 6d228l::04`?k5e<3<07b?86;29 6d228l::04`?k5e<3207b?83;29 6d228l::04`?k5e<3k07b?81;29 6d228l::04`?k5e<3i07b?9f;29 6d228l::04`?k5e<3o07b?96;29 6d228j3:1=7>50z&0g`<5:>1C?i64H2f3?j45>3:17pl99b83>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg0>l3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn;7j:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a2<`=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj?k96=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm6`194?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd1i=0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo8n5;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f3g1290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi:l950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`5e=<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb7cb>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e>hh1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th=mi4?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`5ec<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl9b183><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th=n<4?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`5f6<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl9b583><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th=n84?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`5f2<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl9b983><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3th=n44?:883>5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`5fg<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pl9bb83>6<729q/?nk52278L6b?3A9o<6*=2d8;3>o5:00;66g=2`83>>i4990;66s|23;e>5<69rTjm639ab8:5>;1im02=639ad8:5>;1io02=639b18:5>;1j802=639b38:5>;1j:02=639b58:5>;1j<02=639b78:5>;1j>02=639b98:5>;1j002=639b`8:5>;1jk02=6s|23c3>5<69rTj4639ab8;b>;1im03j639ad8;b>;1io03j639b18;b>;1j803j639b38;b>;1j:03j639b58;b>;1j<03j639b78;b>;1j>03j639b98;b>;1j003j639b`8;b>;1jk03j6s|23c2>5<5sW;>n639bc827a=z{;8j>7>52z\21<=:>kk1=>j4}r01e6<72;qU=864=7`:>45c3ty9>l:50;0xZ430340;6?uQ177893d2289o7p}=2`:94?4|V8{t:;k26=4={_357>;1j:0:?i5rs30be?6=:rT::<526c0956b?ok:181[72m27=mk4>3e9~w74fm3:1>vP>5e9>2dc=9:n0q~<=ag83>7}Y95<5sW9o8639bb816<=z{;8i>7>513y]57e<5?3h6<2b9>2<`=9;i01;o?:00`?80f93;9o639a3826f=:>h91=?m4=7c7>44d34;1ih0:>n526``957e<5?hh6?4i4:?5e1;1j90:?5526c3956><5?h96<=7;<4a7?74027=n94>399>2g3=9:201;l9:01;?80e?3;84639b9827==:>k31=>64=7`b>45?34k16:lo53c:8yv45j?0;6?uQ17c893g>2:h37p}=2c594?4|V8<2708n8;1a<>{t:;h36=4={_35<>;1i>08n55rs30a=?6=:rT:::526`497g>6>l7;|q16gd=838pR<99;<4b0?5e02wx>?ll:181[70=27=m>4vP>729>2d4=;k20q~<=bd83>7}Y9>801;o>:2`;?xu5:kl1<75<5sW;<<6399g80f==z{;8h=7>52z\22c=:>0o1?o64}r01g7<72;qU=;k4=7;g>6d?3ty9>n=50;0xZ40134<2o7=m8:p67e32909=v399c8163=:>hi15>526`a9=1=:>hn15>526`f9=1=:>ho15>526`g9=1=:>hl15>526`d9=1=:>k:15>526c29=1=:>k;15>526c39=1=:>k815>526c09=1=:>k915>526c19=1=:>k>15>526c69=1=:>k?15>526c79=1=:>k<15>526c49=1=:>k=15>526c59=1=:>k215>526c:9=1=:>k315>526c;9=1=:>kk15>526cc9=1=:>kh15>526c`9=1=z{;8h97>52z?5=f<6;m16:lm53c:8yv45k?0;6?u268f956b<5?ko6>l7;|q16f1=838p1;7j:01g?80fm39i46s|23a;>5<5s4<2j7?2d7=9:n01;l>:2`;?xu5:jh1<745c347=m8:p67ed2909w08n3;30`>;1j:08n55rs30``?6=:r7=m94>3e9>2g2=;k20q~<=cd83>7}:>h?1=>j4=7`6>6d?3ty9>nh50;0x93g1289o708m6;1a<>{t:;n;6=4={<4b3?74l27=n:4v39a9827a=:>k21?o64}r01`7<72;q6:l7512f893d>2:h37p}=2e194?4|5?kj6<=k;<4ae?5e02wx>?j;:18180fj3;8h639bc80f==z{;8o97>510y>2de=9;i01;ok:00`?80fm3;9o639ag826f=:>k:1=?m4=7`2>44d347?=c:?5f6<6:j16:o:513a893d2288h708m6;31g>;1j>0:>n526c:957e<5?h26<2b9>2ge=;8:0qpl9be83>16=;10=9vF?4=3;jf5<72-9i97oi;o1a0?6<3`kn6=4+3c79ec=i;k>1=65fab83>!5e=3km7c=m4;08?l7?93:1(>l::0:3?k5e<3:07d?8f;29 6d2282;7c=m4;38?l70m3:1(>l::0:3?k5e<3807d?8d;29 6d2282;7c=m4;18?l70k3:1(>l::0:3?k5e<3>07d?8b;29 6d2282;7c=m4;78?l70i3:1(>l::0:3?k5e<3<07d?89;29 6d2282;7c=m4;58?l7f13:1(>l::0:3?k5e<3207d?n8;29 6d2282;7c=m4;;8?l7f?3:1(>l::0:3?k5e<3k07d?n6;29 6d2282;7c=m4;`8?l7f=3:1(>l::0:3?k5e<3i07d?n4;29 6d2282;7c=m4;f8?l7>i3:1(>l::0:3?k5e<3o07d?7f;29 6d2282;7c=m4;d8?l7?<3:1(>l::0:3?k5e<3;;76g>7983>!5e=3;3<6`=nj?0;6)=m5;`6?k5e<3:07dl;:18'7g3=j<1e?o:51:9jf7<72-9i97l:;o1a0?4<3`9o97>5;h31g?6=3`9h:7>5;h305$2`6>4d53g9i87>4;n3a5?6=,:h>65$2`6>4d53g9i87<4;n3bb?6=,:h>65$2`6>4d53g9i87:4;n3b`?6=,:h>65$2`6>4d53g9i8784;n3bf?6=,:h>65$2`6>4d53g9i8764;n3ge?6=,:h>65$2`6>4d53g9i87o4;n3g65$2`6>4d53g9i87m4;n3g2?6=,:h>65$2`6>4d53g9i87k4;n3`5?6=,:h>65$2`6>4d53g9i87??;:m2ed<72-9i97?m2:l0f1<6921d=h;50;&0f0<6m=1e?o:50:9l5`5=83.8n84>e59m7g2=921d=h<50;&0f0<6m=1e?o:52:9l5`7=83.8n84>e59m7g2=;21d=h>50;&0f0<6m=1e?o:54:9l5a`=83.8n84>e59m7g2==21d=ik50;&0f0<6m=1e?o:56:9l5ab=83.8n84>e59m7g2=?21d>=j50;&0f0<6m=1e?o:58:9l65e=83.8n84>e59m7g2=121d>=l50;&0f0<6m=1e?o:5a:9l65g=83.8n84>e59m7g2=j21d>=750;&0f0<6m=1e?o:5c:9l65>=83.8n84>e59m7g2=l21d=kk50;&0f0<6m=1e?o:5e:9l5c5=83.8n84>e59m7g2=n21d=h650;&0f0<6m=1e?o:51198k4bd290/?o;51d68j6d328;07o8me;295?6=8r.8oh4=269K7a><@:n;7b<=6;29?xd1jo0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo8l0;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f3e6290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi:n<50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`5g6<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb7a6>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e>j<1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg0d03:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn;m6:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a2fg=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj?io6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm6bg94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd1ko0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo8k0;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f3b6290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi:i<50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`5`6<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb7f6>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e>m<1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg0c03:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn;j6:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a2ag=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj?no6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm6eg94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd1lo0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo8j0;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f3c6290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi:h<50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`5a6<72m0;6=u+3bg97gd<@:n37E=k0:&16`2;29?l46;3:17d<>4;29?l46=3:17d=k3;29?l5c<3:17b=lb;29?j4693:17pl9e583>a<729q/?nk53c`8L6b?3A9o<6*=2d8`?l>a2900e4?50;9j=6<722c287>5;h30`?6=3`8:>7>5;h027?6=3`8:87>5;h021?6=3`9o?7>5;h1g0?6=3f9hn7>5;n025?6=3th=i84?:e83>5}#;jo1?ol4H2f;?M5c82.9>h4i;h:e>5<>o><3:17d?3;29?l46<3:17d<>5;29?l5c;3:17d=k4;29?j5dj3:17b<>1;29?xd1m?0;6i4?:1y'7fc=;kh0D>j7;I1g4>"5:l037d6i:188m<7=831b5>4?::k:0?6=3`;8h7>5;h026?6=3`8:?7>5;h020?6=3`8:97>5;h1g7?6=3`9o87>5;n1`f?6=3f8:=7>5;|`5a2<72m0;6=u+3bg97gd<@:n37E=k0:&16`2;29?l46;3:17d<>4;29?l46=3:17d=k3;29?l5c<3:17b=lb;29?j4693:17pl9e983>a<729q/?nk53c`8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h30`?6=3`8:>7>5;h027?6=3`8:87>5;h021?6=3`9o?7>5;h1g0?6=3f9hn7>5;n025?6=3th=i44?:e83>5}#;jo1?ol4H2f;?M5c82.9>h4>2:k;b?6=3`3:6=44i8194?=n1=0;66g>3e83>>o59;0;66g=1283>>o59=0;66g=1483>>o4l:0;66g>i4kk0;66a=1083>>{e>lk1<7j50;2x 6eb2:hi7E=k8:J0`5=#:;o1;6g7f;29?l?62900e4=50;9j=1<722c:?i4?::k157<722c9=>4?::k151<722c9=84?::k0`6<722c8h94?::m0gg<722e9=<4?::a2`d=83n1<7>t$2af>6de3A9o46F5;h;2>5<>o6;m0;66g=1383>>o59:0;66g=1583>>o59<0;66g>o4l=0;66a>i5980;66sm6da94?b=83:p(>mj:2`a?M5c02B8h=5+23g9=>o?n3:17d7>:188m<5=831b594?::k27a<722c9=?4?::k156<722c9=94?::k150<722c8h>4?::k0`1<722e8oo4?::m154<722wi:hj50;f94?6|,:in6>lm;I1g<>N4l91/>?k5109j5;h;0>5<1<75f12f94?=n:881<75f20194?=n:8>1<75f20794?=n;m91<75f3e694?=h;jh1<75`20394?=zj?on6=4k:183!5dm39in6F>o>93:17d7<:188m<2=831b=>j50;9j644=831b><=50;9j642=831b><;50;9j7a5=831b?i:50;9l7fd=831d>1<75`3b`94?=h:8;1<75rb7d3>5N4l11C?i>4$30f><=n0o0;66g61;29?l?42900e4:50;9j56b=831b><<50;9j645=831b><:50;9j643=831b?i=50;9j7a2=831d?nl50;9l647=831vn;h>:18g>5<7s-9hi7=mb:J0`==O;m:0(?5;h;0>5<1<75f12f94?=n:881<75f20194?=n:8>1<75f20794?=n;m91<75f3e694?=h;jh1<75`20394?=zj?l96=4k:183!5dm39in6F>o>93:17d7<:188m<2=831b=>j50;9j644=831b><=50;9j642=831b><;50;9j7a5=831b?i:50;9l7fd=831d>1<75`3b`94?=h:8;1<75rb7d7>5N4l11C?i>4$30f><=n0o0;66g61;29?l?42900e4:50;9j56b=831b><<50;9j645=831b><:50;9j643=831b?i=50;9j7a2=831d?nl50;9l647=831vn;h::180>5<7s-9hi7<<5:J0`==O;m:0(?2900e?45|Vk:01;k<:81893c320901;k::81893c120901;k8:81893c?20901;k6:81893cf20901;km:81893cd20901;kk:81893cb20901;ki:81893`720901;h>:81893`520901;h<:81893`32090q~<=d683>45|Vho01;k<:83893c320;01;k::83893c120;01;k8:83893c?20;01;k6:83893cf20;01;km:83893cd20;01;kk:83893cb20;01;ki:83893`720;01;h>:83893`520;01;h<:83893`320;0q~<=d983>45|Vhi01;k<:9d893c321l01;k::9d893c121l01;k8:9d893c?21l01;k6:9d893cf21l01;km:9d893cd21l01;kk:9d893cb21l01;ki:9d893`721l01;h>:9d893`521l01;h<:9d893`321l0q~<=d883>7}Y91;01;h;:01g?xu5:mk1<75<5sW;52z\23a=:>o;1=>j4}r01`a<72;qU=:m4=7d3>45c3ty9>ik50;0xZ41e34{t:;o86=4={_3b3>;1mh0:?i5rs30f0?6=:rT:m;526d;956b?k8:181[7>i27=i;4>3e9~w74b03:1>vP>8g9>2`5=9:n0q~<=e883>7}Y91>01;k::01g?xu5:lk1<75<6;rTi:639e28151=:>l>1><:4=7g6>773344:?5a2<59=16:h65206893c>2;;?708ja;020>;1mk09=9526da9642<5?oo6??;;<4fa?46<27=ik4=159>2c6=:8>01;h>:337?80a:38:8639f28151=:>o>1><:4}r01af<7289pRo:4=7g0>774343:?5a0<59:16:h85201893c02;;8708j8;027>;1m009=>526dc9645<5?oi6??<;<4fg?46;27=ii4=129>2`c=:8901;ki:330?80a838:?639f08156=:>o81><=4=7d0>774343:p67cc290:?vPm2:?5a6<59;16:h:5200893c22;;9708j6;026>;1m>09=?526d:9644<5?o26??=;<4fe?46:27=io4=139>2`e=:8801;kk:331?80bm38:>639eg8157=:>o:1><<4=7d2>775347<>2:?5b6<59;16:k:52008yv45ml0;6?uQ3e7893`22;827p}=2dd94?41sW;9o639bg826f=:>j:1=?m4=7a2>44d347?=c:?5g6<6:j16:n:513a893e2288h708l6;31g>;1k>0:>n526b:957e<5?i26<2b9>2fe=9;i01;mk:00`?80dm3;9o639cg826f=:>m:1=?m4=7f2>44d347?=c:?5`6<6:j16:i:513a893b2288h708k6;31g>;1l>0:>n526e:957e<5?n26<2b9>2ae=9;i01;jk:00`?80cm3;9o639dg826f=:>l:1=?m4=7g2>44d347?=c:?5b0<5:h1v?01;m>:g6893e52o>01;m<:g6893e32o>01;m::g6893e12o>01;m8:g6893e?2o>01;m6:g6893ef2o>01;mm:g6893ed2o>01;mk:g6893eb2o>01;mi:g6893b72o>01;j>:g6893b52o>01;j<:g6893b32o>01;j::g6893b12o>01;j8:g6893b?2o>01;j6:g6893bf2o>01;jm:g6893bd2o>01;jk:g6893bb2o>01;ji:g6893c72o>01;k>:g6893c52o>01;k<:2f0?80b<39o?639e480`6=:>l<1?i=4=7g4>6b434;1mm08h>526dg97a5<5?om6>j<;<4e4?5c;27=j<42c4=;m901;h<:2f0?80a<39o?6s|23d2>5<5sW;i?639d180f==z{;8m>7>52z\2f4=:>jl1?o64}r01b6<72;qU=o>4=7af>6d?3ty9>k:50;0xZ4ga340;6?uQ1`a893ef2:h37p}=2g:94?4|V8ki708l9;1a<>{t:;l26=4={_3gf>;1k108n55rs30ee?6=:rT:hl526b597g>l7;|q16ce=838pR?hk:181[7c?27=o94vP>d79>2f5=;k20q~<=fg83>7}Y9ji01;m=:2`;?xu5;9:1<75<5sW;i:639c180f==z{;9;>7>52z\2ed=:>kl1?o64}r0046<72;qU=h;4=7g1>6d?3ty9?=:50;0xZ4c4340;6?uQ1d2893bb2:h37p}=31:94?4|V8nm708kd;1a<>{t:::26=4={_3ga>;1lj08n55rs313e?6=:rT:hi526e`97g>l7;|q175e=838pR?>l;<4g=?5e02wx>>>k:181[47j27=h54vP=0`9>2a1=;k20q~<<0g83>7}Y:9301;j9:2`;?xu5;8:1<75<5sW;mi639d580f==z{;9:>7>52z\2b6=:>m91?o64}r0056<72;qU=h64=7f1>6d?3ty9?<:50;0xZ4bd34l9159526d19643<5?o?64:4=7g7>772342`1=:8?01;k7:86893c?2;;>708j9;;7?80b138:9639e`8:0>;1mh09=8526d`9=1=:>lh1><;4=7g`><2<5?oh6??:;<4f`??3345:?5a`<><27=ih4=149>2``=1=16:hh5207893`720>01;h?:336?80a933?708i1;021>;1n;028639f38150=:>o9159526g19643<5?l?64:4=7d7>7723ty9?<850;0x93da289o708j4;1`f>{t::;<6=4={<4`4?74l27=i84v39c0827a=:>l91?nl4}r005<<72;q6:n<512f893c12:ii7p}=30c94?4|5?i86<=k;<4f3?5dj2wx>>?m:18180d<3;8h639e980gg=z{;9:o7>52z?5g0<6;m16:h753b`8yv449m0;6?u26b4956b<5?oj6>mm;|q174c=838p1;m8:01g?80bj39hn6s|223e>5<5s4<4?:3y>2fg=9:n01;kl:2aa?xu5;;81<745c34;1n908oo5rs3110?6=:r7=oi4>3e9>2c7=;jh0q~<<2483>7}:>jo1=>j4=7d1>6ee3ty9??850;0x93ea289o708i3;1`f>{t::8<6=4={<4g4?74l27=j94v39d0827a=:>l>1>>52z?5`0<6;m16:h952038yv44:m0;6?u26e4956b<5?o36??>;|q177c=838p1;j8:01g?80b138:=6s|220e>5<5s42ag=9:n01;kk:332?xu5;:81<745c341:p66542909w08kc;30`>;1mj09=<5rs3100?6=:r7=hi4>3e9>2``=:8;0q~<<3483>7}:>mo1=>j4=7d3>7763ty9?>850;0x93ba289o708i1;025>{t::9<6=4={<4f4?74l27=j?4=109~w75403:1>v39e0827a=:>o91>;1m108h9526d;97a2<5?oj6>j;;<4ff?5c<27=in42`b=;m>01;kj:2f7?80bn39o8639f180`1=:>o;1?i:4=7d1>6b334539851~N4l91/?nk5177`?_`42>7?i:41967<5;3wbn=4?:%1a1?ga3g9i87>4;hcf>5<#;k?1mk5a3c695>=nij0;6)=m5;ce?k5e<3807d?71;29 6d2282;7c=m4;28?l70n3:1(>l::0:3?k5e<3;07d?8e;29 6d2282;7c=m4;08?l70l3:1(>l::0:3?k5e<3907d?8c;29 6d2282;7c=m4;68?l70j3:1(>l::0:3?k5e<3?07d?8a;29 6d2282;7c=m4;48?l7013:1(>l::0:3?k5e<3=07d?n9;29 6d2282;7c=m4;:8?l7f03:1(>l::0:3?k5e<3307d?n7;29 6d2282;7c=m4;c8?l7f>3:1(>l::0:3?k5e<3h07d?n5;29 6d2282;7c=m4;a8?l7f<3:1(>l::0:3?k5e<3n07d?6a;29 6d2282;7c=m4;g8?l7?n3:1(>l::0:3?k5e<3l07d?74;29 6d2282;7c=m4;33?>o6?10;6)=m5;3;4>h4j=0:=65fb783>!5e=3h>7c=m4;28?ld3290/?o;5b49m7g2=921bn?4?:%1a1?d23g9i87<4;h1g1?6=3`;9o7>5;h1`2?6=3`;847>5;n3a7?6=,:h>65$2`6>4d53g9i87?4;n3a4?6=,:h>65$2`6>4d53g9i87=4;n3ba?6=,:h>65$2`6>4d53g9i87;4;n3bg?6=,:h>65$2`6>4d53g9i8794;n3gf?6=,:h>6<3f;om7>5$2`6>4d53g9i8774;n3g=?6=,:h>65$2`6>4d53g9i87l4;n3g3?6=,:h>65$2`6>4d53g9i87j4;n3`g?6=,:h>65$2`6>4d53g9i87h4;n3a2?6=,:h>61:9l5`3=83.8n84>e59m7g2=821d=h=50;&0f0<6m=1e?o:51:9l5`4=83.8n84>e59m7g2=:21d=h?50;&0f0<6m=1e?o:53:9l5`6=83.8n84>e59m7g2=<21d=ih50;&0f0<6m=1e?o:55:9l5ac=83.8n84>e59m7g2=>21d=ij50;&0f0<6m=1e?o:57:9l65b=83.8n84>e59m7g2=021d>=m50;&0f0<6m=1e?o:59:9l65d=83.8n84>e59m7g2=i21d>=o50;&0f0<6m=1e?o:5b:9l65?=83.8n84>e59m7g2=k21d>=650;&0f0<6m=1e?o:5d:9l5cc=83.8n84>e59m7g2=m21d=k=50;&0f0<6m=1e?o:5f:9l5`>=83.8n84>e59m7g2=9910c0b>l;:038?g0a?3:1=7>50z&0g`<5:>1C?i64H2f3?j45>3:17pl9f983>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg0a13:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn;hn:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a2cd=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj?ln6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm6gd94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd0890;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo9?1;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f265290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi;==50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`441<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb625>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e?9=1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg1713:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn:>n:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a35d=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj>:n6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm71d94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd0990;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo9>1;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f275290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi;<=50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`451<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb635>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e?8=1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg1613:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn:?n:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a34d=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?ol4H2f;?M5c82.9>h4>2:k;b?6=3`3:6=44i8194?=n1=0;66g>3e83>>o59;0;66g=1283>>o59=0;66g=1483>>o4l:0;66g>i4kk0;66a=1083>>{e?8n1<7j50;2x 6eb2:hi7E=k8:J0`5=#:;o196g7f;29?l?62900e4=50;9j=1<722c:?i4?::k157<722c9=>4?::k151<722c9=84?::k0`6<722c8h94?::m0gg<722e9=<4?::a34c=83n1<7>t$2af>6de3A9o46F5;h;2>5<>o6;m0;66g=1383>>o59:0;66g=1583>>o59<0;66g>o4l=0;66a>i5980;66sm70d94?b=83:p(>mj:2`a?M5c02B8h=5+23g93>o?n3:17d7>:188m<5=831b594?::k27a<722c9=?4?::k156<722c9=94?::k150<722c8h>4?::k0`1<722e8oo4?::m154<722wi;?>50;f94?6|,:in6>lm;I1g<>N4l91/>?k55:k;b?6=3`3:6=44i8194?=n1=0;66g>3e83>>o59;0;66g=1283>>o59=0;66g=1483>>o4l:0;66g>i4kk0;66a=1083>>{e?;;1<7j50;2x 6eb2:hi7E=k8:J0`5=#:;o1i6g7f;29?l?62900e4=50;9j=1<722c:?i4?::k157<722c9=>4?::k151<722c9=84?::k0`6<722c8h94?::m0gg<722e9=<4?::a374=83n1<7>t$2af>6de3A9o46F1<75`3b`94?=h:8;1<75rb600>5N4l11C?i>4$30f>d=n0o0;66g61;29?l?42900e4:50;9j56b=831b><<50;9j645=831b><:50;9j643=831b?i=50;9j7a2=831d?nl50;9l647=831vn:<;:18g>5<7s-9hi7=mb:J0`==O;m:0(?5;h;0>5<1<75f12f94?=n:881<75f20194?=n:8>1<75f20794?=n;m91<75f3e694?=h;jh1<75`20394?=zj>8>6=4k:183!5dm39in6F>o>93:17d7<:188m<2=831b=>j50;9j644=831b><=50;9j642=831b><;50;9j7a5=831b?i:50;9l7fd=831d>1<75`3b`94?=h:8;1<75rb604>5N4l11C?i>4$30f>f=n0o0;66g61;29?l?42900e4:50;9j56b=831b><<50;9j645=831b><:50;9j643=831b?i=50;9j7a2=831d?nl50;9l647=831vn:<7:18g>5<7s-9hi7=mb:J0`==O;m:0(?5<5<5<5<5<5d;294~"4kl08no5G3e:8L6b73-89i774i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg15i3:1h7>50z&0g`<4jk1C?i64H2f3?!45m330e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a??>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo9=c;29`?6=8r.8oh4<@:n;7)<=e;;8m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<5d;294~"4kl08no5G3e:8L6b73-89i774i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg15m3:1?7>50z&0g`<5;<1C?i64H2f3?!45m32<7d<=9;29?l45i3:17b=>0;29?xu5;:h1<7?<{_`3?816k338709>d;;0?816m338709>f;;0?8158338709=1;;0?815:338709=3;;0?815<338709=5;;0?815>338709=7;;0?8150338709=9;;0?815i338709=b;;0?815k338709=d;;0?xu5;:i1<7?<{_cf?816k33:709>d;;2?816m33:709>f;;2?815833:709=1;;2?815:33:709=3;;2?815<33:709=5;;2?815>33:709=7;;2?815033:709=9;;2?815i33:709=b;;2?815k33:709=d;;2?xu5;:n1<7?<{_c`?816k32m709>d;:e?816m32m709>f;:e?815832m709=1;:e?815:32m709=3;:e?815<32m709=5;:e?815>32m709=7;:e?815032m709=9;:e?815i32m709=b;:e?815k32m709=d;:e?xu5;:o1<75<5sW;52z\23`=:?;h1=>j4}r0004<72;qU=:j4=60b>45c3ty9?9<50;0xZ41d34=957?{t::><6=4={_3b<>;0:=0:?i5rs317896<=k;|q171g=838pR>:m:181[7f<27<>=4>3e9~w753k3:1>vP>9`9>34`=9:n0q~<<4e83>7}Y91l01:?l:01g?xu5;=o1<75<5sW;<46381e827a=z{;9><7>512y]f3=:?8i1><:4=63g>77334=:i7<>4:?45c<59=16;?>5206892462;;?709=2;020>;0::09=9527369642<5>8>6??;;<512?46<27<>:4=159>37>=:8>01:<6:337?815i38:86382c8151=:?;i1><:4=60g>7733ty9?8?50;30[d334=:o7<>3:?45a<59:16;;0:809=>527309645<5>886??<;<510?46;27<>84=129>370=:8901:<8:330?815038:?638288156=:?;k1><=4=60a>77434=9o7<>3:?46a<59:1v?=:2;2956}Yj;16;e;026>;09o09=?527329644<5>8:6??=;<516?46:27<>>4=139>372=:8801:<::331?815>38:>638268157=:?;21><<4=60:>77534=9m7<>2:?46g<59;16;?m52008924c2;;97p}=34194?4|V:n>709=e;01=>{t::??6=4=6z\26f=:>o21=?m4=7d:>44d34;1no0:>n52712957e<5>::6<4>2b9>352=9;i01:>::00`?817>3;9o63806826f=:?921=?m4=62:>44d34=;m7?=c:?44g<6:j16;=m513a8926c288h709?e;31g>;08o0:>n52702957e<5>;:6<4>2b9>342=9;i01:?::00`?816>3;9o63816826f=:?821=?m4=63:>44d34=:m7?=c:?45g<6:j16;?k523c8yv44=<0;6>9t^01;?80a03l?708i9;d7?80ai3l?708ib;d7?80ak3l?708id;d7?80am3l?708if;d7?81783l?709?1;d7?817:3l?709?3;d7?817<3l?709?5;d7?817>3l?709?7;d7?81703l?709?9;d7?817i3l?709?b;d7?817k3l?709?d;d7?817m3l?709?f;d7?81683l?709>1;d7?816:3l?709>3;d7?816<3l?709>5;d7?816>3l?709>7;d7?81603l?709>9;d7?816i3l?709>b;d7?816k39o?6381e80`6=:?8o1?i=4=63e>6b434=9<7=k3:?464<4l:16;?<53e1892442:n8709=4;1g7>;0:<08h>5273497a5<5>8<6>j<;<514437g=;m901::7>52z\2f6=:?931?o64}r0012<72;qU=o?4=62;>6d?3ty9?8650;0xZ4d734=;;7=m8:p663>2909wS?nf:?443<4j11v?=:a;296~X6il16;=;53c:8yv44=k0;6?uQ1`f892632:h37p}=34a94?4|V8kh709?3;1a<>{t::?o6=4={_3bf>;08;08n55rs316a?6=:rT:ho5271397g>:;6>l7;|q1736=838pR>8>:181[7c027=jh4vP>d69>2cb=;k20q~<<6283>7}Y9m<01;hl:2`;?xu5;?>1<75<5sW;h=639f`80f==z{;9=:7>52z\2f3=:>o31?o64}r0022<72;qU=lo4=7d;>6d?3ty9?;650;0xZ4c234=:n7=m8:p660>2909wS?j3:?45d<4j11v?=9a;296~X6m;16;<753c:8yv44>k0;6?uQ1d38927?2:h37p}=37a94?4|V8o;709>7;1a<>{t::;09?08n55rs315a?6=:rT:hh5270797g>;?6>l7;|q1726=838pR?>k;<527?5e02wx>>9>:181[47k27<=?4vP=0c9>347=;k20q~<<7283>7}Y:9k01:??:2`;?xu5;>>1<75<5sW8;46380d80f==z{;9<:7>52z\2b`=:?9n1?o64}r0032<72;qU=k=4=62`>6d?3ty9?:650;0xZ4c?34=;n7=m8:p661>2909wS?kc:?44d<4j11v?=8a;2960}:>o=1>?84=63`><2<5>;h6??:;<52`??334=:h7<>5:?45`<><27<=h4=149>34`=1=16;01:;0:;028638238150=:?;9159527319643<5>8?64:4=607>77234=9977;;<511?46=27<>;464:?463<59<16;?95959>371=:8?01:<7:868924?2;;>709=9;;7?815138:96382`8:0>;0:h09=85273`9=1=:?;h1><;4=60`><2<5>8h6??:;<51`??334=9h7<>5:p661e2909w08i8;30`>;09m08oo5rs314g?6=:r7=j44>3e9>34c=;jh0q~<<7e83>7}:>ok1=>j4=63`>6ee3ty9?:k50;0x93`e289o709>f;1`f>{t::=m6=4={<4eg?74l27<>=4v39fe827a=:?;;1?nl4}r00<4<72;q6:kk512f892452:ii7p}=39094?4|5?lm6<=k;<517?5dj2wx>>6<:18181783;8h6382580gg=z{;9387>52z?444<6;m16;?853b`8yv440<0;6?u2710956b<5>8<6>mm;|q17=0=838p1:><:01g?815=39hn6s|22:4>5<5s4=;87?350=9:n01:45c34=9n7=lb:p66>e2909w09?8;30`>;0:j08oo5rs31;g?6=:r7<<44>3e9>37b=;jh0q~<<8e83>7}:?9k1=>j4=63g>7763ty9?5k50;0x926e289o709>e;025>{t::2m6=4={<53g?74l27<=n4=109~w75>83:1>v380e827a=:?8l1>:m6<=k;<515?4692wx>>7<:18181683;8h638238154=z{;9287>52z?454<6;m16;?=52038yv441<0;6?u2700956b<5>8?6??>;|q17<0=838p1:?<:01g?815>38:=6s|22;4>5<5s4=:87?340=9:n01:<7:332?xu5;0k1<745c34=957<>1:p66?e2909w09>8;30`>;0:h09=<5rs31:g?6=:r7<=44>3e9>37d=:8;0q~<<9e83>7}:?8k1=>j4=60`>7763ty9?4k50;0x927e289o709=d;025>{t::3m6=4>3z?45f<4l=16;f;1g0>;0:908h95273397a2<5>896>j;;<517?5c<27<>94373=;m>01:<9:2f7?815?39o86382980`1=:?;31?i:4=60b>6b334=9n7=k4:?46f<4l=16;?j53e68924b2:;;7psm73d94?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl83183>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo9<1;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn:==:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi;>=50;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj>9=6=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e?:=1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg1413:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f25f290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a36d=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`47f<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb61f>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm72d94?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl84183>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo9;1;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn::=:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi;9=50;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj>>=6=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e?==1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg1313:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f22f290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a31d=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`40f<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb66f>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm75d94?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl85183>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo9:1;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn:;=:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi;8=50;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<97>55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj>?=6=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e?<=1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg1213:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f23f290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a30d=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`41f<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb67f>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm74d94?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl86183>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo991;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn:8=:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi;;=50;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj><=6=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e??=1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?10;684?:1y'7fc=:;;0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg1113:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f20f290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a33d=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`42f<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb64f>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm77d94?272;<18h6Ti3;7x17<6n3?86?<5228~mg6=83.8n84nf:l0f1<732cji7>5$2`6>d`o6080;6)=m5;3;4>h4j=0;76g>7g83>!5e=3;3<6`o6?l0;6)=m5;3;4>h4j=0976g>7e83>!5e=3;3<6`o6?j0;6)=m5;3;4>h4j=0?76g>7c83>!5e=3;3<6`o6?h0;6)=m5;3;4>h4j=0=76g>7883>!5e=3;3<6`o6i00;6)=m5;3;4>h4j=0376g>a983>!5e=3;3<6`o6i>0;6)=m5;3;4>h4j=0j76g>a783>!5e=3;3<6`o6i<0;6)=m5;3;4>h4j=0h76g>a583>!5e=3;3<6`o61h0;6)=m5;3;4>h4j=0n76g>8g83>!5e=3;3<6`o60=0;6)=m5;3;4>h4j=0:<65f16:94?"4j<0:4=5a3c6954=h4j=0;76gm4;29 6d22k?0b>l;:098mg4=83.8n84m5:l0f1<532c8h84?::k26f<722c8o;4?::k27=<722e:n>4?:%1a1?7e:2d8n94?;:m2f4<72-9i97?m2:l0f1<632e:n=4?:%1a1?7e:2d8n94=;:m2ec<72-9i97?m2:l0f1<432e:mh4?:%1a1?7e:2d8n94;;:m2ea<72-9i97?m2:l0f1<232e:mn4?:%1a1?7e:2d8n949;:m2eg<72-9i97?m2:l0f1<032e:ho4?:%1a1?7e:2d8n947;:m2`d<72-9i97?m2:l0f1<>32e:h44?:%1a1?7e:2d8n94n;:m2`=<72-9i97?m2:l0f10:9l5dg=83.8n84>b39m7g2=9810c0b>l;:198k4c4290/?o;51d68j6d32810c0b>l;:398k4c6290/?o;51d68j6d32:10c0b>l;:598k4ba290/?o;51d68j6d32<10c0b>l;:798k4bc290/?o;51d68j6d32>10c?>k:18'7g3=9l>0b>l;:998k76d290/?o;51d68j6d32010c?>m:18'7g3=9l>0b>l;:`98k76f290/?o;51d68j6d32k10c?>6:18'7g3=9l>0b>l;:b98k76?290/?o;51d68j6d32m10c0b>l;:d98k4`4290/?o;51d68j6d32o10c0b>l;:028?j7ck3:1(>l::0g7?k5e<3;:76l87183>4<729q/?nk52358L6b?3A9o<6a=2783>>{e?>;1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg10;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn:9;:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a323=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj>=36=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm76;94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd0?h0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo98b;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f21d290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi;:j50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`43`<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb6:3>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e?1;1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg1?;3:1h7>50z&0g`<4jk1C?i64H2f3?!45m3?0e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?b>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo975;29`?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<5d;294~"4kl08no5G3e:8L6b73-89i7l4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg1??3:1h7>50z&0g`<4jk1C?i64H2f3?!45m330e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?0>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo979;29`?6=8r.8oh4<@:n;7)<=e;33?l>a2900e4?50;9j=6<722c287>5;h30`?6=3`8:>7>5;h027?6=3`8:87>5;h021?6=3`9o?7>5;h1g0?6=3f9hn7>5;n025?6=3th<4l4?:e83>5}#;jo1?ol4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?3;29?l46<3:17d<>5;29?l5c;3:17d=k4;29?j5dj3:17b<>1;29?xd00k0;6i4?:1y'7fc=;kh0D>j7;I1g4>"5:l0=7d6i:188m<7=831b5>4?::k:0?6=3`;8h7>5;h026?6=3`8:?7>5;h020?6=3`8:97>5;h1g7?6=3`9o87>5;n1`f?6=3f8:=7>5;|`42;29?l46;3:17d<>4;29?l46=3:17d=k3;29?l5c<3:17b=lb;29?j4693:17pl88e83>a<729q/?nk53c`8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h30`?6=3`8:>7>5;h027?6=3`8:87>5;h021?6=3`9o?7>5;h1g0?6=3f9hn7>5;n025?6=3th<4h4?:e83>5}#;jo1?ol4H2f;?M5c82.9>h4k;h:e>5<>o><3:17d?3;29?l46<3:17d<>5;29?l5c;3:17d=k4;29?j5dj3:17b<>1;29?xd00o0;6i4?:1y'7fc=;kh0D>j7;I1g4>"5:l0i7d6i:188m<7=831b5>4?::k:0?6=3`;8h7>5;h026?6=3`8:?7>5;h020?6=3`8:97>5;h1g7?6=3`9o87>5;n1`f?6=3f8:=7>5;|`4=5<72m0;6=u+3bg97gd<@:n37E=k0:&16`<13`2m6=44i8394?=n1:0;66g64;29?l74l3:17d<>2;29?l46;3:17d<>4;29?l46=3:17d=k3;29?l5c<3:17b=lb;29?j4693:17pl89083>a<729q/?nk53c`8L6b?3A9o<6*=2d8g?l>a2900e4?50;9j=6<722c287>5;h30`?6=3`8:>7>5;h027?6=3`8:87>5;h021?6=3`9o?7>5;h1g0?6=3f9hn7>5;n025?6=3th<5?4?:e83>5}#;jo1?ol4H2f;?M5c82.9>h46;h:e>5<>o><3:17d?3;29?l46<3:17d<>5;29?l5c;3:17d=k4;29?j5dj3:17b<>1;29?xd01:0;6i4?:1y'7fc=;kh0D>j7;I1g4>"5:l0:=6g7f;29?l?62900e4=50;9j=1<722c:?i4?::k157<722c9=>4?::k151<722c9=84?::k0`6<722c8h94?::m0gg<722e9=<4?::a3<2=83n1<7>t$2af>6de3A9o46F5;h;2>5<>o6;m0;66g=1383>>o59:0;66g=1583>>o59<0;66g>o4l=0;66a>i5980;66sm78794?5=83:p(>mj:316?M5c02B8h=5+23g9<2=n:;31<75f23c94?=h;8:1<75rs31b4?6=9:qUn=527919=6=:?1>15>527979=6=:?1<15>527959=6=:?1215>5279;9=6=:?1k15>5279`9=6=:?1i15>5279f9=6=:?1o15>5279d9=6=:?0:15>527839=6=:?0815>527819=6=:?0>15>5rs31b5?6=9:qUmh527919=4=:?1>15<527979=4=:?1<15<527959=4=:?1215<5279;9=4=:?1k15<5279`9=4=:?1i15<5279f9=4=:?1o15<5279d9=4=:?0:15<527839=4=:?0815<527819=4=:?0>15<5rs31b6?6=9:qUmn52791914k52797914k5rs31b7?6=:rT:4<52786956b386<=k;|q17d3=838pR<9j;<5:6?74l2wx>>o9:181[70l27<5<4>3e9~w75f?3:1>vP>7b9>3<6=9:n0q~<7}Y9>h01:6i:01g?xu5;h31<75<5sW;<56388d827a=z{;9jn7>52z\2e<=:?1n1=>j4}r00ef<72;qU=l64=6:a>45c3ty9?lj50;0xZ4g034=3m7?0289o7p}=3c394?4|V83j70976;30`>{t::h96=4={_3;b>;00:0:?i5rs31a7?6=:rT:4952797956b<5>2?6<=k;|q17g3=83;8wSl9;<5;7?46<27<494=159>3=3=:8>01:69:337?81??38:8638898151=:?131><:4=6:b>77334=3n7<>4:?4b2;;?7097f;020>;01909=9527839642<5>396??;;<5:7?46<27<594=159~w75e>3:1=>uQb59>3=5=:8901:6;:330?81?=38:?638878156=:?1=1><=4=6:;>77434=357<>3:?4d2;;87097d;027>;00l09=>5279d9645<5>3;6??<;<5:5?46;27<5?4=129>3<5=:8901:7;:330?xu5;k=1<7?<{_`1?81?;38:>638858157=:?1?1><<4=6:5>77534=3;7<>2:?4<=<59;16;575200892>f2;;97097b;026>;00j09=?5279f9644<5>2n6??=;<5;b?46:27<5=4=139>3<7=:8801:7=:331?81>;38:>638958157=z{;9i47>52z\0`0=:?0?1>?74}r00f<<728>pR<2b9>325=9;i01:9;:00`?810=3;9o63877826f=:?>=1=?m4=65;>44d34=<57?=c:?43d<6:j16;:l513a8921d288h7098d;31g>;0?l0:>n5276d957e<5>2;6<2b9>3<3=:;k0q~<73|V89370981;d7?810:3l?70983;d7?810<3l?70985;d7?810>3l?70987;d7?81003l?70989;d7?810i3l?7098b;d7?810k3l?7098d;d7?810m3l?7098f;d7?81?83l?70971;d7?81?:3l?70973;1g7>;00=08h>5279797a5<5>2=6>j<;<5;3?5c;27<4543=?=;m901:6n:2f0?81?j39o?6388b80`6=:?1n1?i=4=6:f>6b434=3j7=k3:?4=5<4l:16;4?53e1892?52:n870963;1g7>;01=08h>5rs31af?6=:rT:i85279097g>2:6>l7;|q17gb=838pR>lj:181[7b927<;k4vP>e19>32c=;k20q~<7}Y9ml01:9k:2`;?xu5;j;1<75<5sW;oh6387c80f==z{;9h?7>52z\14a=:?>k1?o64}r00g1<72;qU>=m4=65:>6d?3ty9?n;50;0xZ76e34=<47=m8:p66e12909wS{t::ij6=4={_3e7>;0?:08n55rs31`f?6=:rT:i55276097g>=:6>l7;|q17fb=838>w0980;012>;00:028638828150=:?1>159527969643<5>2>64:4=6:6>77234=3:77;;<5;2?46=27<4:464:?4<2<59<16;565959>3=>=:8?01:66:86892>>2;;>7097a;;7?81?i38:96388c8:0>;00k09=85279a9=1=:?1i1><;4=6:g><2<5>2o6??:;<5;a??334=3i7<>5:?4<27<4k4=149>3<6=1=16;4>5207892?620>01:7>:336?81>:33?70962;021>;01:028638928150=:?0>159527869643327=9:n01:6;:332?xu5;jl1<745c34=397<>1:p66b72909w0983;30`>;00:09=<5rs31g5?6=:r7<;94>3e9>3=0=:8;0q~<7}:?>?1=>j4=6:4>7763ty9?i=50;0x9211289o70978;025>{t::n?6=4={<543?74l27<444=109~w75c=3:1>v3879827a=:?1k1>e2;;:7p}=3e594?4|5>=j6<=k;<5;`?4692wx>>j7:181810j3;8h6388d8154=z{;9o57>52z?43f<6;m16;5m52038yv44lh0;6?u276f956b<5>2m6??>;|q17ad=838p1:9j:01g?81>838:=6s|22f`>5<5s4=3=7=9:n01:7<:332?xu5;ml1<745c34=287<>1:p66c7290:?v388280`1=:?1>1?i:4=6:6>6b334=3:7=k4:?4<2<4l=16;5653e6892>>2:n?7097a;1g0>;00k08h95279a97a2<5>2o6>j;;<5;a?5c<27<4k43<6=;m>01:7>:2f7?81>:39o86389280`1=:?0>1?i:4=6;6>6773twi;4850;35>44=9oqC?i>4$2af>73>;2Pm?7=t4d8;e?>d2tcjm7>5$2`6>d?o6>:0;6)=m5;356>h4j=0;76g>6083>!5e=3;=>6`o6>90;6)=m5;356>h4j=0976g>5g83>!5e=3;=>6`o6=l0;6)=m5;356>h4j=0?76g>5e83>!5e=3;=>6`o6=j0;6)=m5;356>h4j=0=76g>5583>!5e=3;=>6`o4l=0;66g>2b83>>o4k?0;66g>3983>>i6?<0;6)=m5;340>h4j=0;76a>7283>!5e=3;<86`i6?;0;6)=m5;340>h4j=0976a>7083>!5e=3;<86`i6?90;6)=m5;340>h4j=0?76a>6g83>!5e=3;<86`i6>l0;6)=m5;340>h4j=0=76a>6783>!5e=3;<86`d01>0;6<4?:1y'7fc=:;=0D>j7;I1g4>i5:?0;66sm78:94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd0100;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo96a;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f2?e290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi;4m50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`4=a<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb6;e>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e?h:1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a3d7=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm7`094??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi;l=50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e?h>1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a3d3=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm7`494??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi;l950;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e?h21<7=50;2x 6eb2;9>7E=k8:J0`5=#:;o14:5f23;94?=n:;k1<75`30294?=z{;9n=7>59z\be>;0i902=638a08:5>;0i;02=638a28:5>;0i=02=638a48:5>;0i?02=638a68:5>{t::o96=46{_c;?81f832m709n1;:e?81f:32m709n3;:e?81f<32m709n5;:e?81f>32m709n7;:e?xu5;l91<75<5sW;==638a7827a=z{;9n97>52z\225=:?h?1=>j4}r00a3<72;qU=8h4=6c7>45c3ty9?h950;0xZ43b34=j?7?{t::oh6=4n{_31g>;0110:>n5278;957e<5>3j6<2b9>3n3;9o638a9816d=z{;9nh7>510y]56><5>336k:4=6;:>c2<5>3j6k:4=6;a>c2<5>3h6k:4=6;g>c2<5>3n6k:4=6;e>c2<5>k;6<=7;<5b5?74027399>3d5=9:201:o;:01;?81f=3;84638a7827==:?h=1=>64}r00a`<72;qU=:;4=6;e>6d?3ty9?hh50;0xZ41434=2i7=m8:p66`72909wS?82:?4=a<4j11v?=i1;296~X6?816;4m53c:8yv44n;0;6?uQ162892?e2:h37p}=3g194?4|V8{t::l?6=4={_35a>;01008n55rs31e1?6=:rT::;5278:97g>33?709n7;;0?81f?33?7p}=3g594?4|5>336<=k;<5b4?5e02wx>>h7:18181>13;8h638a080f==z{;9m57>52z?4=d<6;m16;l<53c:8yv44nh0;6?u278`956b<5>k86>l7;|q17cd=838p1:7l:01g?81f<39i46s|22d`>5<5s4=2h7?3<`=9:n01:o8:2`;?xu5;ol1<77t=6c3>44d34=j=7?=c:?4e7<6:j16;l=513a892g3288h709n5;31g>;0i?0:>n527`5957e<5>k36>??;|a3d?=83;=6<<51gyK7a6<,:in6?;63:Xe7?5|6l74n2`7>5=h4j=0:76g>6283>!5e=3;=>6`o6>80;6)=m5;356>h4j=0:76g>6183>!5e=3;=>6`o6=o0;6)=m5;356>h4j=0876g>5d83>!5e=3;=>6`o6=m0;6)=m5;356>h4j=0>76g>5b83>!5e=3;=>6`o6==0;6)=m5;356>h4j=0<76g>o6:j0;66g>o6;10;66a>7483>!5e=3;<86`i6?:0;6)=m5;340>h4j=0:76a>7383>!5e=3;<86`i6?80;6)=m5;340>h4j=0876a>7183>!5e=3;<86`i6>o0;6)=m5;340>h4j=0>76a>6d83>!5e=3;<86`i6>?0;6)=m5;340>h4j=0<76l8a`83>4<729q/?nk52358L6b?3A9o<6a=2783>>{e?hh1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg1fl3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn:oj:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a3d`=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj>h96=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm7c194??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi;o:50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e?k?1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a3g0=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm7c594??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi;o650;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e?k31<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a3gg=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm7c`94?5=83:p(>mj:316?M5c02B8h=5+23g9<2=n:;31<75f23c94?=h;8:1<75rs3634?6=1rTjm638b28:5>;0j=02=638b48:5>;0j?02=638b68:5>;0j102=638b88:5>;0jh02=6s|2522>5<>sWk3709m3;:e?81e<32m709m5;:e?81e>32m709m7;:e?81e032m709m9;:e?81ei32m7p}=41094?4|V8<8709ma;30`>{t:=:86=4={_355>;0j00:?i5rs3630?6=:rT::=527c:956bh<6<=k;|q1050=838pR<;j;<5a2?74l2wx>9>8:181[72l273e9~w72703:1>vP>5b9>3g2=9:n0q~<;0883>7}Y9<>01:l<:01g?xu5<9k1<7544d34=ji7?=c:?4ec<6:j16;o>513a892d6288h709m2;31g>;0jk09>l5rs363g?6=98qU=>64=6ca>c2<5>kh6k:4=6cg>c2<5>kn6k:4=6ce>c2<5>h;6k:4=6`2>c2<5>h96k:4=6`0>45?34=i87?<8:?4f0<6;116;o8512:892d02893709m8;30<>;0j00:?5527cc956>h96>l7;|q105c=838pR<9<;<5a5?5e02wx>9>i:181[70:27vP>709>3d`=;k20q~<;1083>7}Y9>:01:oj:2`;?xu5<881<75<5sW;=i638ab80f==z{;>:87>52z\223=:?hh1?o64}r0750<728;p1:on:305?81e;338709m3;;7?81e<338709m4;;7?81e=338709m5;;7?81e>338709m6;;7?81e?338709m7;;7?81e0338709m8;;7?81e1338709m9;;7?81ei338709ma;;7?xu5<8<1<745c34=i?7=m8:p61702909w09nc;30`>;0j=08n55rs3623e9>3g3=;k20q~<;1883>7}:?ho1=>j4=6`5>6d?3ty98{t:=;i6=4={<5a4?74l27v38b0827a=:?k31?o64}r075a<72;q6;o<512f892df2:h37p}=40g94??|5>h86<2b9>3g0=9;i01:l8:00`?81e03;9o638b8826f=:?kk1=?m4=6`a>6773twi;om50;35>44=9oqC?i>4$2af>73>;2Pm?7=t4d8;e?>d2tcjm7>5$2`6>d?o6>:0;6)=m5;356>h4j=0;76g>6083>!5e=3;=>6`o6>90;6)=m5;356>h4j=0976g>5g83>!5e=3;=>6`o6=l0;6)=m5;356>h4j=0?76g>5e83>!5e=3;=>6`o6=j0;6)=m5;356>h4j=0=76g>5583>!5e=3;=>6`o4l=0;66g>2b83>>o4k?0;66g>3983>>i6?<0;6)=m5;340>h4j=0;76a>7283>!5e=3;<86`i6?;0;6)=m5;340>h4j=0976a>7083>!5e=3;<86`i6?90;6)=m5;340>h4j=0?76a>6g83>!5e=3;<86`i6>l0;6)=m5;340>h4j=0=76a>6783>!5e=3;<86`d0jm0;6<4?:1y'7fc=:;=0D>j7;I1g4>i5:?0;66sm7cg94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd0jo0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo9l0;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f2e6290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi;n<50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`4g6<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb6a6>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e?j<1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a3f1=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm7b:94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi;n750;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e?jk1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a3fd=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm7ba94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi;nj50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e?jo1<7=50;2x 6eb2;9>7E=k8:J0`5=#:;o14:5f23;94?=n:;k1<75`30294?=z{;>:j7>59z\be>;0k?02=638c68:5>;0k102=638c88:5>;0kh02=638cc8:5>;0kj02=638ce8:5>{t:=8;6=46{_c;?81d>32m709l7;:e?81d032m709l9;:e?81di32m709lb;:e?81dk32m709ld;:e?xu5<;;1<75<5sW;==638cb827a=z{;>9?7>52z\225=:?jh1=>j4}r0761<72;qU=8h4=6ab>45c3ty98?;50;0xZ43b34=h57?{t:=8j6=4n{_31g>;0jl0:>n527cd957e<5>i;6<2b9>3f5=9;i01:m;:00`?81d=3;9o638cd816d=z{;>9n7>510y]56><5>hn6k:4=6`e>c2<5>i;6k:4=6a2>c2<5>i96k:4=6a0>c2<5>i?6k:4=6a6>c2<5>i=6<=7;<5`3?74027399>3f?=9:201:mn:01;?81dj3;84638cb827==:?jn1=>64}r076f<72;qU=:;4=6a6>6d?3ty98?j50;0xZ41434=h87=m8:p614b2909wS?82:?4g6<4j11v?:=f;296~X6?816;n<53c:8yv43;90;6?uQ162892e62:h37p}=42394?4|V8{t:=996=4={_35a>;0jo08n55rs3607?6=:rT::;527cg97g>33?709l7;;0?81d?33?709l8;;0?81d033?709l9;;0?81d133?709la;;0?81di33?709lb;;0?81dj33?709lc;;0?81dk33?709ld;;0?81dl33?7p}=42794?4|5>hn6<=k;<5`2?5e02wx>9=9:18181en3;8h638c680f==z{;>8;7>52z?4g5<6;m16;n653c:8yv43;10;6?u27b3956b<5>i26>l7;|q106?=838p1:m=:01g?81di39i46s|251b>5<5s4=h?7?3f3=9:n01:mk:2`;?xu5<:n1<77t=6a5>44d34=h;7?=c:?4g=<6:j16;n7513a892ef288h709lb;31g>;0kj0:>n527bf957e<5>in6>??;|a3f`=83;=6<<51gyK7a6<,:in6?;63:Xe7?5|6l74n2`7>5=h4j=0:76g>6283>!5e=3;=>6`o6>80;6)=m5;356>h4j=0:76g>6183>!5e=3;=>6`o6=o0;6)=m5;356>h4j=0876g>5d83>!5e=3;=>6`o6=m0;6)=m5;356>h4j=0>76g>5b83>!5e=3;=>6`o6==0;6)=m5;356>h4j=0<76g>o6:j0;66g>o6;10;66a>7483>!5e=3;<86`i6?:0;6)=m5;340>h4j=0:76a>7383>!5e=3;<86`i6?80;6)=m5;340>h4j=0876a>7183>!5e=3;<86`i6>o0;6)=m5;340>h4j=0>76a>6d83>!5e=3;<86`i6>?0;6)=m5;340>h4j=0<76l8d183>4<729q/?nk52358L6b?3A9o<6a=2783>>{e?m;1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg1c;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn:j;:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a3a3=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj>n36=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm7e;94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi;io50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e?mh1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a3ae=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm7ef94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi;ik50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e?ml1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a3`6=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm7d394?5=83:p(>mj:316?M5c02B8h=5+23g9<2=n:;31<75f23c94?=h;8:1<75rs360a?6=1rTjm638d88:5>;0lh02=638dc8:5>;0lj02=638de8:5>;0ll02=638dg8:5>;0m902=6s|251e>5<>sWk3709k9;:e?81ci32m709kb;:e?81ck32m709kd;:e?81cm32m709kf;:e?81b832m7p}=45294?4|V8<8709j0;30`>{t:=>:6=4={_355>;0lo0:?i5rs3676?6=:rT::=527eg956b4?:3y]50`<5>no6<=k;|q1012=838pR<;j;<5gg?74l2wx>9:::181[72l273e9~w723>3:1>vP>5b9>3ag=9:n0q~<;4683>7}Y9<>01:j6:01g?xu5<=21<7544d34=o87?=c:?4`0<6:j16;i8513a892b0288h709k8;31g>;0m809>l5rs367e?6=98qU=>64=6f2>c2<5>n96k:4=6f0>c2<5>n?6k:4=6f6>c2<5>n=6k:4=6f4>c2<5>n36k:4=6f:>45?34=om7?<8:?4`g<6;116;im512:892bc2893709ke;30<>;0lo0:?5527d2956>n36>l7;|q101e=838pR<9<;<5g3?5e02wx>9:k:181[70:27vP>709>3a3=;k20q~<;4g83>7}Y9>:01:j;:2`;?xu5<<:1<75<5sW;=i638d380f==z{;>>>7>52z\223=:?m;1?o64}r0716<728;p1:j?:305?81c1338709k9;;7?81ci338709ka;;7?81cj338709kb;;7?81ck338709kc;;7?81cl338709kd;;7?81cm338709ke;;7?81cn338709kf;;7?81b8338709j0;;7?xu5<<>1<745c34=o57=m8:p61322909w09k2;30`>;0lh08n55rs3662?6=:r74>3e9>3ad=;k20q~<;5683>7}:?m>1=>j4=6f`>6d?3ty988650;0x92b2289o709kd;1a<>{t:=?26=4={<5g2?74l27v38d6827a=:?ml1?o64}r071g<72;q6;i6512f892c72:h37p}=44a94??|5>n26<2b9>3ae=9;i01:jk:00`?81cm3;9o638dg826f=:?l:1=?m4=6g2>6773twi;h<50;35>44=9oqC?i>4$2af>73>;2Pm?7=t4d8;e?>d2tcjm7>5$2`6>d?o6>:0;6)=m5;356>h4j=0;76g>6083>!5e=3;=>6`o6>90;6)=m5;356>h4j=0976g>5g83>!5e=3;=>6`o6=l0;6)=m5;356>h4j=0?76g>5e83>!5e=3;=>6`o6=j0;6)=m5;356>h4j=0=76g>5583>!5e=3;=>6`o4l=0;66g>2b83>>o4k?0;66g>3983>>i6?<0;6)=m5;340>h4j=0;76a>7283>!5e=3;<86`i6?;0;6)=m5;340>h4j=0976a>7083>!5e=3;<86`i6?90;6)=m5;340>h4j=0?76a>6g83>!5e=3;<86`i6>l0;6)=m5;340>h4j=0=76a>6783>!5e=3;<86`d0m:0;6<4?:1y'7fc=:;=0D>j7;I1g4>i5:?0;66sm7d694?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd0m<0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo9j6;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f2c0290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi;h650;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`4a<<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb6ga>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e?li1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a3`b=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm7dg94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi;hh50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e?o:1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a3c7=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm7g094??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi;k=50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e?o>1<7=50;2x 6eb2;9>7E=k8:J0`5=#:;o14:5f23;94?=n:;k1<75`30294?=z{;>>h7>59z\be>;0mj02=638ee8:5>;0ml02=638eg8:5>;0n902=638f08:5>;0n;02=638f28:5>{t:=?n6=46{_c;?81bk32m709jd;:e?81bm32m709jf;:e?81a832m709i1;:e?81a:32m709i3;:e?xu5<5<5sW;==638f3827a=z{;>==7>52z\225=:?o;1=>j4}r0727<72;qU=8h4=6d3>45c3ty98;=50;0xZ43b34=nj7??0;6?uQ146892cd289o7p}=47594?4|V:n?709i4;01=>{t:=<36=4n{_31g>;0m=0:>n527d7957e<5>o=6<2b9>3`?=9;i01:kn:00`?81bj3;9o638f5816d=z{;>=57>510y]56><5>o?6k:4=6g6>c2<5>o=6k:4=6g4>c2<5>o36k:4=6g:>c2<5>oj6k:4=6ga>c2<5>oh6<=7;<5f`?74027399>3``=9:201:h?:01;?81a93;84638f3827==:?o91=>64}r072d<72;qU=:;4=6ga>6d?3ty98;l50;0xZ41434=nm7=m8:p610d2909wS?82:?4a<<4j11v?:9d;296~X6?816;h653c:8yv43>l0;6?uQ162892c02:h37p}=47d94?4|V8{t:==;6=4={_35a>;0m<08n55rs3645?6=:rT::;527d697g>o?6<=k;<5fg?5e02wx>99;:18181b=3;8h638ee80f==z{;><97>52z?4a3<6;m16;hk53c:8yv43??0;6?u27d5956b<5>om6>l7;|q1021=838p1:k7:01g?81a839i46s|255;>5<5s4=n57?3`d=9:n01:h<:2`;?xu5<>h1<77t=6g`>44d34=nh7?=c:?4a`<6:j16;hh513a892`7288h709i1;31g>;0n;0:>n527g1957e<5>l?6>??;|a3c3=83;=6<<51gyK7a6<,:in6?;63:Xe7?5|6l74n2`7>5=h4j=0:76g>6283>!5e=3;=>6`o6>80;6)=m5;356>h4j=0:76g>6183>!5e=3;=>6`o6=o0;6)=m5;356>h4j=0876g>5d83>!5e=3;=>6`o6=m0;6)=m5;356>h4j=0>76g>5b83>!5e=3;=>6`o6==0;6)=m5;356>h4j=0<76g>o6:j0;66g>o6;10;66a>7483>!5e=3;<86`i6?:0;6)=m5;340>h4j=0:76a>7383>!5e=3;<86`i6?80;6)=m5;340>h4j=0876a>7183>!5e=3;<86`i6>o0;6)=m5;340>h4j=0>76a>6d83>!5e=3;<86`i6>?0;6)=m5;340>h4j=0<76l8f783>4<729q/?nk52358L6b?3A9o<6a=2783>>{e?o=1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg1a13:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn:hn:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a3cd=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj>ln6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm7gd94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi4=>50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e09;1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a<54=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm81194??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi4=:50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e09?1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a<50=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm81594?5=83:p(>mj:316?M5c02B8h=5+23g9<2=n:;31<75f23c94?=h;8:1<75rs364g?6=1rTjm638fg8:5>;?8902=637008:5>;?8;02=637028:5>;?8=02=637048:5>;?8?02=6s|255g>5<>sWk3709if;:e?8>7832m706?1;:e?8>7:32m706?3;:e?8>7<32m706?5;:e?8>7>32m7p}=46g94?4|V8<8706?6;30`>{t:==m6=4={_355>;?8<0:?i5rs36;4?6=:rT::=52816956b96<:181[72l273<<4>3e9~w72?<3:1>vP>5b9><56=9:n0q~<;8483>7}Y9<>01:hi:01g?xu5<1<1<77?38956s|25:4>544d34=mm7?=c:?4bg<6:j16;km513a892`c288h709ie;31g>;?8>09>l5rs36;64=6d4>c2<5>l36k:4=6d:>c2<5>lj6k:4=6da>c2<5>lh6k:4=6dg>c2<5>ln6k:4=6de>45?342;<7?<8:?;44<6;1164=<512:89=642893706?4;30<>;?8<0:?552814956>ln6>l7;|q10=g=838pR<9<;<5e`?5e02wx>96m:181[70:27vP>709>3cd=;k20q~<;8e83>7}Y9>:01:hn:2`;?xu5<1o1<75<5sW;=i638f980f==z{;>2<7>52z\223=:?o=1?o64}r07=4<728;p1:h9:305?81an338709if;;7?8>78338706?0;;7?8>79338706?1;;7?8>7:338706?2;;7?8>7;338706?3;;7?8>7<338706?4;;7?8>7=338706?5;;7?8>7>338706?6;;7?xu5<081<745c34=mj7=m8:p61?42909w09i8;30`>;?8908n55rs36:0?6=:r73e9><57=;k20q~<;9483>7}:?ok1=>j4=921>6d?3ty984850;0x92`e289o706?3;1a<>{t:=3<6=4={<5eg?74l273<9403:1>v38fe827a=:09?1?o64}r07=<<72;q6;kk512f89=612:h37p}=48c94??|5>lm6<2b9><54=9;i015><:00`?8>7<3;9o63704826f=:09<1=?m4=924>6773twi4=650;35>44=9oqC?i>4$2af>73>;2Pm?7=t4d8;e?>d2tcjm7>5$2`6>d?o6>:0;6)=m5;356>h4j=0;76g>6083>!5e=3;=>6`o6>90;6)=m5;356>h4j=0976g>5g83>!5e=3;=>6`o6=l0;6)=m5;356>h4j=0?76g>5e83>!5e=3;=>6`o6=j0;6)=m5;356>h4j=0=76g>5583>!5e=3;=>6`o4l=0;66g>2b83>>o4k?0;66g>3983>>i6?<0;6)=m5;340>h4j=0;76a>7283>!5e=3;<86`i6?;0;6)=m5;340>h4j=0976a>7083>!5e=3;<86`i6?90;6)=m5;340>h4j=0?76a>6g83>!5e=3;<86`i6>l0;6)=m5;340>h4j=0=76a>6783>!5e=3;<86`d?800;6<4?:1y'7fc=:;=0D>j7;I1g4>i5:?0;66sm81c94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd?8k0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo6?c;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f=6c290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi4=k50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`;4c<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb932>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e0881<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a<45=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm80694??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi4<;50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e08<1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a<41=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm80:94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi4<750;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e08k1<7=50;2x 6eb2;9>7E=k8:J0`5=#:;o14:5f23;94?=n:;k1<75`30294?=z{;>2n7>59z\be>;?9;02=637128:5>;?9=02=637148:5>;?9?02=637168:5>;?9102=637188:5>{t:=3h6=46{_c;?8>6:32m706>3;:e?8>6<32m706>5;:e?8>6>32m706>7;:e?8>6032m706>9;:e?xu5<0n1<7613;8h6s|25;f>5<5sW;==63719827a=z{;>2j7>52z\225=:08=1=>j4}r07e5<72;qU=8h4=935>45c3ty98l?50;0xZ43b342:97?a;01=>{t:=k=6=4n{_31g>;?8h0:>n5281`957e<51:h6<2b9><5`=9;i015??:00`?8>693;9o6371`816d=z{;>j;7>510y]56><51:j6k:4=92a>c2<51:h6k:4=92g>c2<51:n6k:4=92e>c2<51;;6k:4=932>c2<51;96<=7;<:27?740273=94>399><43=9:2015?9:01;?8>6?3;8463719827==:0831=>64}r07e=<72;qU=:;4=932>6d?3ty98l750;0xZ414342:<7=m8:p61gf2909wS?82:?;4c<4j11v?:nb;296~X6?8164=k53c:8yv43ij0;6?uQ16289=6c2:h37p}=4`f94?4|V8{t:=kn6=4={_35a>;?8k08n55rs36bb?6=:rT::;5281c97g>2;8=706>2;;0?8>6:33?706>3;;0?8>6;33?706>4;;0?8>6<33?706>5;;0?8>6=33?706>6;;0?8>6>33?706>7;;0?8>6?33?706>8;;0?8>6033?706>9;;0?8>6133?7p}=4c394?4|51:j6<=k;<:26?5e02wx>9l=:1818>7j3;8h6371280f==z{;>i?7>52z?;4f<6;m164<:53c:8yv43j=0;6?u281f956b<51;>6>l7;|q10g3=838p15>j:01g?8>6>39i46s|25`5>5<5s42;j7?<47=9:n015?6:2`;?xu544d342:?7?=c:?;51<6:j164<;513a89=71288h706>7;31g>;?910:>n5280;957e<51;j6>??;|a<4d=83;=6<<51gyK7a6<,:in6?;63:Xe7?5|6l74n2`7>5=h4j=0:76g>6283>!5e=3;=>6`o6>80;6)=m5;356>h4j=0:76g>6183>!5e=3;=>6`o6=o0;6)=m5;356>h4j=0876g>5d83>!5e=3;=>6`o6=m0;6)=m5;356>h4j=0>76g>5b83>!5e=3;=>6`o6==0;6)=m5;356>h4j=0<76g>o6:j0;66g>o6;10;66a>7483>!5e=3;<86`i6?:0;6)=m5;340>h4j=0:76a>7383>!5e=3;<86`i6?80;6)=m5;340>h4j=0876a>7183>!5e=3;<86`i6>o0;6)=m5;340>h4j=0>76a>6d83>!5e=3;<86`i6>?0;6)=m5;340>h4j=0<76l71b83>4<729q/?nk52358L6b?3A9o<6a=2783>>{e08n1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg>6n3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn55<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a<77=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a?4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj18?6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm83794??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi4?850;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e0;=1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a<7>=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm83;94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi4?o50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e0;h1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a<7e=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm83f94?5=83:p(>mj:316?M5c02B8h=5+23g9<2=n:;31<75f23c94?=h;8:1<75rs36ae?6=1rTjm637248:5>;?:?02=637268:5>;?:102=637288:5>;?:h02=6372c8:5>;?:j02=6s|25`a>5<>sWk3706=5;:e?8>5>32m706=7;:e?8>5032m706=9;:e?8>5i32m706=b;:e?8>5k32m7p}=4ca94?4|V8<8706=c;30`>{t:=ho6=4={_355>;?:k0:?i5rs36aa?6=:rT::=5283c956b9m>:181[72l273>:4>3e9~w72d:3:1>vP>5b9><70=9:n0q~<;c283>7}Y9<>015<::01g?xu51<75l38956s|25a6>544d3429<7?=c:?;64<6:j164?<513a89=44288h706=4;31g>;?:m09>l5rs36`2?6=98qU=>64=93g>c2<51;n6k:4=93e>c2<518;6k:4=902>c2<51896k:4=900>c2<518?6k:4=906>45?3429:7?<8:?;62<6;1164?6512:89=4>2893706=a;30<>;?:k0:?55283a956>l7;|q10f>=838pR<9<;<:17?5e02wx>9m6:181[70:273>?4vP>709><77=;k20q~<;cc83>7}Y9>:0156n39i46s|25ag>5<5sW;=i6371d80f==z{;>hi7>52z\223=:08n1?o64}r07gc<728;p15?l:305?8>5=338706=5;;7?8>5>338706=6;;7?8>5?338706=7;;7?8>50338706=8;;7?8>51338706=9;;7?8>5i338706=a;;7?8>5j338706=b;;7?8>5k338706=c;;7?xu545c342997=m8:p61b62909w06>e;30`>;?:?08n55rs36g6?6=:r73=k4>3e9><71=;k20q~<;d283>7}:0;:1=>j4=90;>6d?3ty98i:50;0x9=46289o706=9;1a<>{t:=n>6=4={<:16?74l273>l43:1>v3722827a=:0;h1?o64}r07`2<72;q64?:512f89=4d2:h37p}=4e:94??|518>6<:4>2b9><7>=9;i015<6:00`?8>5i3;9o6372c826f=:0;i1=?m4=90g>6773twi4?k50;35>44=9oqC?i>4$2af>73>;2Pm?7=t4d8;e?>d2tcjm7>5$2`6>d?o6>:0;6)=m5;356>h4j=0;76g>6083>!5e=3;=>6`o6>90;6)=m5;356>h4j=0976g>5g83>!5e=3;=>6`o6=l0;6)=m5;356>h4j=0?76g>5e83>!5e=3;=>6`o6=j0;6)=m5;356>h4j=0=76g>5583>!5e=3;=>6`o4l=0;66g>2b83>>o4k?0;66g>3983>>i6?<0;6)=m5;340>h4j=0;76a>7283>!5e=3;<86`i6?;0;6)=m5;340>h4j=0976a>7083>!5e=3;<86`i6?90;6)=m5;340>h4j=0?76a>6g83>!5e=3;<86`i6>l0;6)=m5;340>h4j=0=76a>6783>!5e=3;<86`d?:o0;6<4?:1y'7fc=:;=0D>j7;I1g4>i5:?0;66sm82294?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd?;80;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo6<2;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f=54290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi4>:50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`;70<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb914>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e0:21<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a<6?=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm82c94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi4>l50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e0:i1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a<6b=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm82g94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi4>h50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e0=:1<7=50;2x 6eb2;9>7E=k8:J0`5=#:;o14:5f23;94?=n:;k1<75`30294?=z{;>o57>59z\be>;?;102=637388:5>;?;h02=6373c8:5>;?;j02=6373e8:5>;?;l02=6373g8:5>{t:=nj6=46{_c;?8>4032m706<9;:e?8>4i32m7064k32m7064m32m7064n3;8h6s|25f`>5<5sW;==6373d827a=z{;>oh7>52z\225=:0:n1=>j4}r07``<72;qU=8h4=91`>45c3ty98ih50;0xZ43b3428n7?7512f8yv43m;0;6?uQ14689=5?289o7p}=4d194?4|V:n?706;0;01=>{t:=o?6=4n{_31g>;?;90:>n52823957e<51996<2b9><63=9;i015=9:00`?8>4?3;9o63741816d=z{;>n97>510y]56><519;6k:4=912>c2<51996k:4=910>c2<519?6k:4=916>c2<519=6k:4=914>c2<51936<=7;<:0=?740273?l4>399><6d=9:2015=l:01;?8>4l3;846373d827==:0:l1=>64}r07a3<72;qU=:;4=914>6d?3ty98h950;0xZ4143428:7=m8:p61c?2909wS?82:?;70<4j11v?:j9;296~X6?8164>:53c:8yv43mh0;6?uQ16289=542:h37p}=4d`94?4|V8{t:=oh6=4={_35a>;?;808n55rs36f`?6=:rT::;5282297g>4033?706<9;;0?8>4133?7064i33?7064j33?7064k33?7064l33?7064m33?7064n33?7p}=4dd94?4|519;6<=k;<:09h?:1818>493;8h6373880f==z{;>m=7>52z?;77<6;m164>o53c:8yv43n;0;6?u2821956b<519i6>l7;|q10c5=838p15=;:01g?8>4k39i46s|25d7>5<5s42897?<61=9:n015=i:2`;?xu544d342857?=c:?;7d<6:j164>l513a89=5d288h706;?;l0:>n5282d957e<51>;6>??;|a<17=83;=6<<51gyK7a6<,:in6?;63:Xe7?5|6l74n2`7>5=h4j=0:76g>6283>!5e=3;=>6`o6>80;6)=m5;356>h4j=0:76g>6183>!5e=3;=>6`o6=o0;6)=m5;356>h4j=0876g>5d83>!5e=3;=>6`o6=m0;6)=m5;356>h4j=0>76g>5b83>!5e=3;=>6`o6==0;6)=m5;356>h4j=0<76g>o6:j0;66g>o6;10;66a>7483>!5e=3;<86`i6?:0;6)=m5;340>h4j=0:76a>7383>!5e=3;<86`i6?80;6)=m5;340>h4j=0876a>7183>!5e=3;<86`i6>o0;6)=m5;340>h4j=0>76a>6d83>!5e=3;<86`i6>?0;6)=m5;340>h4j=0<76l74383>4<729q/?nk52358L6b?3A9o<6a=2783>>{e0=91<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg>3=3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn5:9:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a<11=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj1>j6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm85`94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi49m50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e0=n1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a<1c=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm85d94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi48>50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e0<;1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a<04=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm84194?5=83:p(>mj:316?M5c02B8h=5+23g9<2=n:;31<75f23c94?=h;8:1<75rs36e;?;?;?=902=637508:5>;?=;02=6s|25d:>5<>sWk3706;b;:e?8>3k32m706;d;:e?8>3m32m706;f;:e?8>2832m706:1;:e?8>2:32m7p}=4gc94?4|V8<8706:2;30`>{t:=li6=4={_355>;?=80:?i5rs36eg?6=:rT::=52842956bm6<=k;|q10cc=838pR<;j;<:7a?74l2wx>9hi:181[72l2738i4>3e9~w73783:1>vP>5b9><1e=9:n0q~<:0083>7}Y9<>015:m:01g?xu5=981<72;38956s|2420>51=?m4=966>44d342?:7?=c:?;02<6:j16496513a89=2>288h706;a;31g>;?=:09>l5rs3730?6=98qU=>64=960>c2<51>?6k:4=966>c2<51>=6k:4=964>c2<51>36k:4=96:>c2<51>j6k:4=96a>45?342?o7?<8:?;0a<6;11649k512:89=2a2893706:0;30<>;?=80:?552840956><84?:3y]523<51>j6>l7;|q1150=838pR<9<;<:7=?5e02wx>8>8:181[70:273854vP>709><11=;k20q~<:0883>7}Y9>:015:9:2`;?xu5=9k1<73=39i46s|242a>5<5sW;=i6374580f==z{;?;o7>52z\223=:0=91?o64}r064a<728;p15:=:305?8>3j338706;b;;7?8>3k338706;c;;7?8>3l338706;d;;7?8>3m338706;e;;7?8>3n338706;f;;7?8>28338706:0;;7?8>29338706:1;;7?8>2:338706:2;;7?xu5=9o1<745c342?n7=m8:p606a2909w06;4;30`>;?3e9><1b=;k20q~<:1083>7}:0=<1=>j4=96f>6d?3ty99<<50;0x9=20289o706;f;1a<>{t:<;86=4={<:7v3748827a=:0<;1?o64}r0650<72;q649o512f89=352:h37p}=50494??|51>i6<2b9><1c=9;i015:i:00`?8>283;9o63750826f=:0<81=?m4=970>6773twi48:50;35>44=9oqC?i>4$2af>73>;2Pm?7=t4d8;e?>d2tcjm7>5$2`6>d?o6>:0;6)=m5;356>h4j=0;76g>6083>!5e=3;=>6`o6>90;6)=m5;356>h4j=0976g>5g83>!5e=3;=>6`o6=l0;6)=m5;356>h4j=0?76g>5e83>!5e=3;=>6`o6=j0;6)=m5;356>h4j=0=76g>5583>!5e=3;=>6`o4l=0;66g>2b83>>o4k?0;66g>3983>>i6?<0;6)=m5;340>h4j=0;76a>7283>!5e=3;<86`i6?;0;6)=m5;340>h4j=0976a>7083>!5e=3;<86`i6?90;6)=m5;340>h4j=0?76a>6g83>!5e=3;<86`i6>l0;6)=m5;340>h4j=0=76a>6783>!5e=3;<86`d?=<0;6<4?:1y'7fc=:;=0D>j7;I1g4>i5:?0;66sm84494?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd?=>0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo6:8;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f=3>290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi48o50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`;1g<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<o7>54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb97g>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e0n4?::k27=<722c:?i4?::m0f=<722e8n44?::a<0`=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm87294??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi4;?50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e0?81<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a<35=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm87694??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi4;;50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e0?<1<7=50;2x 6eb2;9>7E=k8:J0`5=#:;o14:5f23;94?=n:;k1<75`30294?=z{;?:;7>59z\be>;?=l02=6375g8:5>;?>902=637608:5>;?>;02=637628:5>;?>=02=637648:5>{t:<;36=46{_c;?8>2m32m706:f;:e?8>1832m70691;:e?8>1:32m70693;:e?8>1<32m70695;:e?xu5=831<71=3;8h6s|243b>5<5sW;==63765827a=z{;?:n7>52z\225=:0?91=>j4}r065f<72;qU=8h4=941>45c3ty99f;296~X6=j1648h512f8yv42:90;6?uQ14689=3b289o7p}=53394?4|V:n?70696;01=>{t:<896=4n{_31g>;?=?0:>n52845957e<51?36<2b9><0d=9;i015;l:00`?8>2l3;9o63767816d=z{;?9?7>510y]56><51?=6k:4=974>c2<51?36k:4=97:>c2<51?j6k:4=97a>c2<51?h6k:4=97g>c2<51?n6<=7;<:6b?740273:=4>399><37=9:20158=:01;?8>1;3;8463765827==:0??1=>64}r0661<72;qU=:;4=97g>6d?3ty99?;50;0xZ414342>o7=m8:p60412909wS?82:?;1g<4j11v?;=7;296~X6?81648o53c:8yv42:10;6?uQ16289=3>2:h37p}=53;94?4|V8{t:<8j6=4={_35a>;?=>08n55rs371f?6=:rT::;5284497g>>n4?:03x9=322;8=706:e;;0?8>2m33?706:f;;0?8>2n33?70690;;0?8>1833?70691;;0?8>1933?70692;;0?8>1:33?70693;;0?8>1;33?70694;;0?8>1<33?70695;;0?8>1=33?7p}=53f94?4|51?=6<=k;<:6a?5e02wx>82?3;8h6375g80f==z{;?9j7>52z?;1=<6;m164;>53c:8yv42;90;6?u284;956b<51<:6>l7;|q1167=838p15;n:01g?8>1:39i46s|2411>5<5s42>n7??94?:3y><0b=9:n0158::2`;?xu5=:?1<77t=97f>44d342>j7?=c:?;25<6:j164;?513a89=05288h70693;31g>;?>=0:>n52877957e<51<=6>??;|a<31=83;=6<<51gyK7a6<,:in6?;63:Xe7?5|6l74n2`7>5=h4j=0:76g>6283>!5e=3;=>6`o6>80;6)=m5;356>h4j=0:76g>6183>!5e=3;=>6`o6=o0;6)=m5;356>h4j=0876g>5d83>!5e=3;=>6`o6=m0;6)=m5;356>h4j=0>76g>5b83>!5e=3;=>6`o6==0;6)=m5;356>h4j=0<76g>o6:j0;66g>o6;10;66a>7483>!5e=3;<86`i6?:0;6)=m5;340>h4j=0:76a>7383>!5e=3;<86`i6?80;6)=m5;340>h4j=0876a>7183>!5e=3;<86`i6>o0;6)=m5;340>h4j=0>76a>6d83>!5e=3;<86`i6>?0;6)=m5;340>h4j=0<76l76983>4<729q/?nk52358L6b?3A9o<6a=2783>>{e0?31<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg>1j3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn58l:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a<3b=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj1=;6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm86394??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi4:<50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e0>91<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a<22=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm86794??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi4:850;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e0>=1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a<2>=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm86;94?5=83:p(>mj:316?M5c02B8h=5+23g9<2=n:;31<75f23c94?=h;8:1<75rs3702?6=1rTjm637708:5>;??;02=637728:5>;??=02=637748:5>;???02=637768:5>;??102=6s|2414>5<>sWk370681;:e?8>0:32m70683;:e?8>0<32m70685;:e?8>0>32m70687;:e?8>0032m7p}=52:94?4|V8<870688;30`>{t:<926=4={_355>;??>0:?i5rs370e?6=:rT::=52864956b?o4?:3y]50`<51=>6<=k;|q116e=838pR<;j;<:40?74l2wx>8=k:181[72l273;>4>3e9~w734m3:1>vP>5b9><24=9:n0q~<:3g83>7}Y9<>0159>:01g?xu5==:1<70138956s|2462>544d342=o7?=c:?;2a<6:j164;k513a89=0a288h70680;31g>;??009>l5rs3776?6=98qU=>64=94:>c2<51c2<51c2<51c2<51=;6k:4=952>45?342<>7?<8:?;36<6;1164::512:89=12289370686;30<>;??>0:?55286:956>8>4?:3y]523<51=;6>l7;|q1112=838pR<9<;<:5b?5e02wx>8:::181[70:273:h43:1>vP>709><3b=;k20q~<:4683>7}Y9>:0158l:2`;?xu5==21<71j39i46s|246:>5<5sW;=i6376`80f==z{;??m7>52z\223=:0?31?o64}r060g<728;p1587:305?8>0933870681;;7?8>0:33870682;;7?8>0;33870683;;7?8>0<33870684;;7?8>0=33870685;;7?8>0>33870686;;7?8>0?33870687;;7?8>0033870688;;7?xu5==i1<745c342<=7=m8:p602c2909w069a;30`>;??;08n55rs377a?6=:r73:o4>3e9><25=;k20q~<:4g83>7}:0?i1=>j4=957>6d?3ty998>50;0x9=0c289o70685;1a<>{t:v376g827a=:0>=1?o64}r0616<72;q64:>512f89=1?2:h37p}=54694??|51=:6<4>2b9><22=9;i0159::00`?8>0>3;9o63776826f=:0>21=?m4=95:>6773twi4:o50;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj1=o6=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e0>o1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg>?83:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f=>6290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a<=4=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`;<6<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb9:6>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm89494?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl78683>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo678;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn566:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi45o50;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj12o6=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e01o1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg>>83:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f=?6290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a<<4=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`;=6<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb9;6>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm88494?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl79683>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo668;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn576:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi44o50;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj13o6=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e00o1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg>f83:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f=g6290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::at$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`;e6<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb9c6>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm8`494?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl7a683>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo6n8;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn5o6:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi4lo50;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj1ko6=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e0ho1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg>e83:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f=d6290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::at$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`;f6<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb9`6>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm8c494?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl7b683>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo6m8;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn5l6:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi4oo50;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj1ho6=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e0ko1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg>d83:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f=e6290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::at$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`;g6<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb9a6>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm8b494?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl7c683>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo6l8;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn5m6:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi4no50;1`>70=;mqC?i>4$2af>402m2Pm?7;t2582b?422;81>>4ri`a94?"4j<0jn6`o6080;6)=m5;3;4>h4j=0;76g>7g83>!5e=3;3<6`o6?l0;6)=m5;3;4>h4j=0976g>7e83>!5e=3;3<6`o6?j0;6)=m5;3;4>h4j=0?76g>7c83>!5e=3;3<6`o6?h0;6)=m5;3;4>h4j=0=76g>7883>!5e=3;3<6`o6i00;6)=m5;3;4>h4j=0376g>a983>!5e=3;3<6`o6i>0;6)=m5;3;4>h4j=0j76g>a783>!5e=3;3<6`o6i<0;6)=m5;3;4>h4j=0h76g>a583>!5e=3;3<6`o61h0;6)=m5;3;4>h4j=0n76g>8g83>!5e=3;3<6`o60=0;6)=m5;3;4>h4j=0:<65f16:94?"4j<0:4=5a3c6954=h4j=0;76g>o6:j0;66g>o6;10;66a>b283>!5e=3;i>6`i6j80;6)=m5;3a6>h4j=0:76a>b183>!5e=3;i>6`i6io0;6)=m5;3a6>h4j=0876a>ad83>!5e=3;i>6`i6im0;6)=m5;3a6>h4j=0>76a>ab83>!5e=3;i>6`i6ik0;6)=m5;3a6>h4j=0<76a>dc83>!5e=3;i>6`i6lh0;6)=m5;3a6>h4j=0276a>d883>!5e=3;i>6`i6l10;6)=m5;3a6>h4j=0i76a>d683>!5e=3;i>6`i6l?0;6)=m5;3a6>h4j=0o76a>cb83>!5e=3;i>6`i6k80;6)=m5;3a6>h4j=0m76a>b783>!5e=3;i>6`=h9hk1<7*1=<54o0g6>5<#;k?1=h:4n2`7>5=5<#;k?1=h:4n2`7>7=54o0g3>5<#;k?1=h:4n2`7>1=5<#;k?1=h:4n2`7>3=5<#;k?1=h:4n2`7>==5<#;k?1=h:4n2`7>d=5<#;k?1=h:4n2`7>f=5<#;k?1=h:4n2`7>`=5<#;k?1=h:4n2`7>46<3f;oo7>5$2`6>4c33g9i87?>;:`;gg<7280;6=u+3bg9671<@:n37E=k0:m163<722wi4nm50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`;ga<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb9ae>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e0m:1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg>c:3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn5j<:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj1n<6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm8e:94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd?l00;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo6ka;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f=be290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi4im50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`;`a<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<5d;294~"4kl08no5G3e:8L6b73-89i7;4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg>cn3:1h7>50z&0g`<4jk1C?i64H2f3?!45m3?0e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?3>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo6j1;29`?6=8r.8oh4<@:n;7)<=e;78m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<7>5d;294~"4kl08no5G3e:8L6b73-89i7;4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg>b;3:1h7>50z&0g`<4jk1C?i64H2f3?!45m3?0e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?3>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo6j5;29`?6=8r.8oh4<@:n;7)<=e;78m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<5d;294~"4kl08no5G3e:8L6b73-89i7;4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg>b?3:1h7>50z&0g`<4jk1C?i64H2f3?!45m3?0e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?3>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo6j9;29`?6=8r.8oh4<@:n;7)<=e;78m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<5d;294~"4kl08no5G3e:8L6b73-89i7;4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg>bj3:1h7>50z&0g`<4jk1C?i64H2f3?!45m3?0e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?3>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo6jd;29`?6=8r.8oh4<@:n;7)<=e;78m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<5d;294~"4kl08no5G3e:8L6b73-89i7;4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg>bn3:1h7>50z&0g`<4jk1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?>03`8957>5;h01e?6=3f9:<7>5;|q1103=83;8wSol;<:ga?>a342oj76i;<:f4?>a342n=76i;<:f6?>a342n?76i;<:f0?>a342n976i;<:f2?>a342n;76i;<:fa342n576i;<:fe?>a342nn76i;<:fg?>a342nh76i;<:fa?>a342nj76i;|q1100=838pR<6>;<:fb?74l2wx>8;8:181[70n273ih4>3e9~w73203:1>vP>7d9><`b=9:n0q~<:5883>7}Y9>n015kl:01g?xu5=bj3;8h6s|247a>5<5sW;o7>52z\23d=:0l=1=>j4}r061a<72;qU=:74=9g:>45c3ty998k50;0xZ4g>342n47?164h;512f8yv42>80;6?uQ1`489=c3289o7p}=57094?4|V8k>706j3;30`>{t:<<86=4={_3b0>;?m;0:?i5rs3750?6=:rT:5l528d3956b:84?:3y]5=`<51nn6<=k;|q1130=838pR<6;;<:f4?74l2wx>888:181[700273hk4>3e9~w73103:1=>uQb39>b838:>637e08157=:0l81><<4=9g0>775342n87<>2:?;a0<59;164h8520089=c02;;9706j8;026>;?m009=?528dc9644<51oi6??=;<:fg?46:273ii4=139><`c=:88015ki:331?xu5=?31<7a838956s|244b>5<6n528ba957e<51io6<2b9>:00`?8>c:3;9o637d2826f=:0m>1=?m4=9f6>44d342o:7?=c:?;`2<6:j164i6513a89=b>288h706ka;31g>;?lk0:>n528ea957e<51no6<88m:1811~X6;1164nm5f59>5f59>;?m808h>528d097a5<51o86>j<;<:f0?5c;273i84<`0=;m9015k8:2f0?8>b039o?637e880`6=:0lk1?i=4=9ga>6b4342no7=k3:?;aa<4l:164hk53e189=ca2:n87p}=57a94?4|V8o>706kd;1a<>{t:<;?lj08n55rs375a?6=:rT:i?528e`97g>:k4?:3y]5`7<51nj6>l7;|q1126=838pR89>:181[7cn273h54vP>dd9>7}Y9mn015j9:2`;?xu5=>>1<7c=39i46s|2456>5<5sW8;o637d580f==z{;?<:7>52z\14g=:0m91?o64}r0632<72;qU>=o4=9f1>6d?3ty99:650;0xZ76>342o=7=m8:p601>2909wS{t:<=o6=4={_3gg>;?kj08n55rs374a?6=>mq64nl523489=bb20;015jj:8189=bb20>015jj:330?8>cm38:8637dd8150=:0ml15<528ed9=6=:0ml159528ed9645<51nm6??;;<:gb?46=273i=461:?;a5<>;273i=464:?;a5<59:164h>520689=c72;;>706j1;;2?8>b9338706j1;;7?8>b938:?637e08151=:0l;1><;4=9g1><7<51o964=4=9g1><2<51o96??<;<:f6?46<273i?4=149><`5=18164h=5929><`5=1=164h=520189=c42;;?706j3;021>;?m=02=637e58:7>;?m=028637e58156=:0l>1><:4=9g7>772342n977>;<:f1??4342n977;;<:f1?46;273i84=159><`3=:8?015k9:8389=c1209015k9:8689=c12;;8706j6;020>;?m?09=8528d59=4=:0l=15>528d59=1=:0l=1><=4=9g4>773342n;7<>5:?;a=<>9273i5463:?;a=<><273i54=129><`>=:8>015k7:336?8>b133:706j9;;0?8>b133?706j9;027>;?m009=9528d;9643<51oj64?4=9gb><5<51oj64:4=9gb>774342nm7<>4:?;ad<59<164hl5909><`d=1:164hl5959><`d=:89015km:337?8>bj38:9637eb8:5>;?mj02?637eb8:0>;?mj09=>528da9642<51oh6??:;<:f`??6342nh77<;<:f`??3342nh7<>3:?;aa<59=164hj520789=cb20;015kj:8189=cb20>015kj:330?8>bm38:8637ed8150=:0ll15<528dd9=6=:0ll159528dd9645<51om6??;;<:fb?46=2wx>89i:1818>dk3;8h637dg8154=z{;?3<7>52z?;ga<6;m164h>52038yv42080;6?u28bg956b<51nn6??>;|q11=4=838p15mi:01g?8>b938:=6s|24:0>5<5s42o<7?484?:3y>45c342n97<>1:p60>02909w06k4;30`>;?m?09=<5rs37;3e9><`>=:8;0q~<:8883>7}:0m<1=>j4=9g:>7763ty995o50;0x9=b0289o706j7;025>{t:<2i6=4={<:gv37d8827a=:0lh1>86i:1818>ck3;8h637ed8154=z{;?2<7>52z?;`a<6;m164hh52038yv42180;6<=t=9ff>6b3342oj7=k4:?;a5<4l=164h?53e689=c52:n?706j3;1g0>;?m=08h9528d797a2<51o=6>j;;<:f3?5c<273i54<`?=;m>015kn:2f7?8>bj39o8637eb80`1=:0ln1?i:4=9gf>6b3342nj7=k4:?;b5<4991vqo6i1;297?6=8r.8oh4<@:n;7)=>d;352<=n9:=1<75f22294?=h;8:1<75rb9d1>5<4290;w)=le;1g3>N4l11C?i>4$23g>40112c:?:4?::k175<722e8==4?::at$2af>6b03A9o46F0;29?xd?n=0;6>4?:1y'7fc=;m=0D>j7;I1g4>"49m0::;74i014>5<5<53;294~"4kl08h:5G3e:8L6b73-9:h7?9689j561=831b>>>50;9l746=831vn5h9:186>5<7s-9hi7<90:J0`==O;m:0(>?k:045=>o6;>0;66g=1183>>o4k<0;66a<1183>>i5;80;66sm8g594?2=83:p(>mj:342?M5c02B8h=5+30f9530>3`;8;7>5;h024?6=3`9h97>5;n005?6=3th3j54?:583>5}#;jo1>;?4H2f;?M5c82.8=i4>67;8m4502900e???:188m6e22900c?=>:188yg>a13:187>50z&0g`<5>81C?i64H2f3?!56l3;=:45f12594?=n:8:1<75f3b794?=h::;1<75rb9db>5<3290;w)=le;055>N4l11C?i>4$23g>40112c:?:4?::k155<722c8o84?::m174<722wi4kl50;794?6|,:in6?<>;I1g<>N4l91/?j50;9j7gg=831d?o650;9~f=`d290>6=4?{%1`a?4592B8h55G3e28 67c28<=56*=2d81?l`32900e<ln:188k6d?2900qo6id;291?6=8r.8oh4=209K7a><@:n;7)=>d;352<=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"49m0::;74$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm8gd94?3=83:p(>mj:302?M5c02B8h=5+30f9530>3-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj0:;6=4::183!5dm389=6F5<5<j?;%12`?71>01/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a=55=83?1<7>t$2af>7463A9o46F=831vn4>;:186>5<7s-9hi7<=1:J0`==O;m:0(>?k:045=>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg?7=3:197>50z&0g`<5:81C?i64H2f3?!56l3;=:45+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl60783>0<729q/?nk52338L6b?3A9o<6*<1e8223?<,;8n6?5ff583>>o6:j0;66g>3e83>>o4jh0;66a>{e19=1<7;50;2x 6eb2;8:7E=k8:J0`5=#;8n1=;86;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb82;>5<2290;w)=le;015>N4l11C?i>4$23g>40112.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-9:h7?9689'67c=:2cm87>5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`:4d<72<0;6=u+3bg9677<@:n37E=k0:&05a<6>?30(?n4?::k27a<722c8nl4?::m0f=<722wi5=l50;794?6|,:in6?<>;I1g<>N4l91/?j50;9j7gg=831d?o650;9~f<6d290>6=4?{%1`a?4592B8h55G3e28 67c28<=56*=2d81?l`32900e<ln:188k6d?2900qo7?d;291?6=8r.8oh4=209K7a><@:n;7)=>d;352<=#:;o1>6gi4;29?l75k3:17d?8l0;684?:1y'7fc=:;;0D>j7;I1g4>"49m0::;74$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm91d94?3=83:p(>mj:302?M5c02B8h=5+30f9530>3-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj0;;6=4::183!5dm389=6F5<5<j?;%12`?71>01/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a=45=83?1<7>t$2af>7463A9o46F=831vn4?;:186>5<7s-9hi7<=1:J0`==O;m:0(>?k:045=>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg?6=3:197>50z&0g`<5:81C?i64H2f3?!56l3;=:45+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl61783>0<729q/?nk52338L6b?3A9o<6*<1e8223?<,;8n6?5ff583>>o6:j0;66g>3e83>>o4jh0;66a>{e18=1<7;50;2x 6eb2;8:7E=k8:J0`5=#;8n1=;86;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb83;>5<2290;w)=le;015>N4l11C?i>4$23g>40112.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-9:h7?9689'67c=:2cm87>5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`:5d<72<0;6=u+3bg9677<@:n37E=k0:&05a<6>?30(?n4?::k27a<722c8nl4?::m0f=<722wi5;I1g<>N4l91/?j50;9j7gg=831d?o650;9~f<7d290>6=4?{%1`a?4592B8h55G3e28 67c28<=56*=2d81?l`32900e<ln:188k6d?2900qo7>d;291?6=8r.8oh4=209K7a><@:n;7)=>d;352<=#:;o1>6gi4;29?l75k3:17d?9l0;684?:1y'7fc=:;;0D>j7;I1g4>"49m0::;74$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm90d94?3=83:p(>mj:302?M5c02B8h=5+30f9530>3-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj08;6=4::183!5dm389=6F5<5<j?;%12`?71>01/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a?4?:483>5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a=75=83?1<7>t$2af>7463A9o46F=831vn4<;:186>5<7s-9hi7<=1:J0`==O;m:0(>?k:045=>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg?5=3:197>50z&0g`<5:81C?i64H2f3?!56l3;=:45+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl62783>0<729q/?nk52338L6b?3A9o<6*<1e8223?<,;8n6?5ff583>>o6:j0;66g>3e83>>o4jh0;66a>{e1;=1<7;50;2x 6eb2;8:7E=k8:J0`5=#;8n1=;86;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb80;>5<2290;w)=le;015>N4l11C?i>4$23g>40112.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-9:h7?9689'67c=:2cm87>5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`:6d<72<0;6=u+3bg9677<@:n37E=k0:&05a<6>?30(?n4?::k27a<722c8nl4?::m0f=<722wi5?l50;794?6|,:in6?<>;I1g<>N4l91/?j50;9j7gg=831d?o650;9~f<4d290>6=4?{%1`a?4592B8h55G3e28 67c28<=56*=2d81?l`32900e<ln:188k6d?2900qo7=d;291?6=8r.8oh4=209K7a><@:n;7)=>d;352<=#:;o1>6gi4;29?l75k3:17d?:l0;684?:1y'7fc=:;;0D>j7;I1g4>"49m0::;74$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm93d94?3=83:p(>mj:302?M5c02B8h=5+30f9530>3-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj09;6=4::183!5dm389=6F5<5<j?;%12`?71>01/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a=65=83?1<7>t$2af>7463A9o46F=831vn4=;:186>5<7s-9hi7<=1:J0`==O;m:0(>?k:045=>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg?4=3:197>50z&0g`<5:81C?i64H2f3?!56l3;=:45+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl63783>0<729q/?nk52338L6b?3A9o<6*<1e8223?<,;8n6?5ff583>>o6:j0;66g>3e83>>o4jh0;66a>{e1:=1<7;50;2x 6eb2;8:7E=k8:J0`5=#;8n1=;86;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb81;>5<2290;w)=le;015>N4l11C?i>4$23g>40112.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-9:h7?9689'67c=:2cm87>5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`:7d<72<0;6=u+3bg9677<@:n37E=k0:&05a<6>?30(?n4?::k27a<722c8nl4?::m0f=<722wi5>l50;794?6|,:in6?<>;I1g<>N4l91/?j50;9j7gg=831d?o650;9~f<5d290>6=4?{%1`a?4592B8h55G3e28 67c28<=56*=2d81?l`32900e<ln:188k6d?2900qo7<@:n;7)=>d;352<=#:;o1>6gi4;29?l75k3:17d?;l0;684?:1y'7fc=:;;0D>j7;I1g4>"49m0::;74$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm92d94?3=83:p(>mj:302?M5c02B8h=5+30f9530>3-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj0>;6=4::183!5dm389=6F5<5<j?;%12`?71>01/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a=15=83?1<7>t$2af>7463A9o46F=831vn4:;:186>5<7s-9hi7<=1:J0`==O;m:0(>?k:045=>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg?3=3:197>50z&0g`<5:81C?i64H2f3?!56l3;=:45+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl64783>0<729q/?nk52338L6b?3A9o<6*<1e8223?<,;8n6?5ff583>>o6:j0;66g>3e83>>o4jh0;66a>{e1==1<7;50;2x 6eb2;8:7E=k8:J0`5=#;8n1=;86;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb86;>5<2290;w)=le;015>N4l11C?i>4$23g>40112.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-9:h7?9689'67c=:2cm87>5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`:0d<72<0;6=u+3bg9677<@:n37E=k0:&05a<6>?30(?n4?::k27a<722c8nl4?::m0f=<722wi59l50;794?6|,:in6?<>;I1g<>N4l91/?j50;9j7gg=831d?o650;9~f<2d290>6=4?{%1`a?4592B8h55G3e28 67c28<=56*=2d81?l`32900e<ln:188k6d?2900qo7;d;291?6=8r.8oh4=209K7a><@:n;7)=>d;352<=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"49m0::;74$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm95d94?3=83:p(>mj:302?M5c02B8h=5+30f9530>3-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj0?;6=4::183!5dm389=6F5<5<j?;%12`?71>01/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a=05=83?1<7>t$2af>7463A9o46F=831vn4;;:186>5<7s-9hi7<=1:J0`==O;m:0(>?k:045=>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg?2=3:197>50z&0g`<5:81C?i64H2f3?!56l3;=:45+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl65783>0<729q/?nk52338L6b?3A9o<6*<1e8223?<,;8n6?5ff583>>o6:j0;66g>3e83>>o4jh0;66a>{e1<=1<7;50;2x 6eb2;8:7E=k8:J0`5=#;8n1=;86;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb87;>5<2290;w)=le;015>N4l11C?i>4$23g>40112.9>h4=;hd7>5<5<5<57>55;294~"4kl09><5G3e:8L6b73-9:h7?9689'67c=:2cm87>5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`:1d<72:0;6=u+3bg97a1<@:n37E=k0:&05a<6>?30e<=8:188m7572900c>??:188yg?2j3:1?7>50z&0g`<4l>1C?i64H2f3?!56l3;=:45f12594?=n:::1<75`30294?=zj0?h6=4<:183!5dm39o;6Fj8;I1g<>N4l91/?6<729q/?nk53e58L6b?3A9o<6*<1e8223?5<j?;%12`?71>01b=>950;9j646=831b?n;50;9l746=831d>>?50;9~f<07290?6=4?{%1`a?4192B8h55G3e28 67c28<=56g>3683>>o5990;66g>i5;80;66sm97394?2=83:p(>mj:342?M5c02B8h=5+30f9530>3`;8;7>5;h024?6=3`9h97>5;n005?6=3th2:?4?:583>5}#;jo1>;?4H2f;?M5c82.8=i4>67;8m4502900e???:188m6e22900c?=>:188yg?1;3:187>50z&0g`<5>81C?i64H2f3?!56l3;=:45f12594?=n:8:1<75f3b794?=h::;1<75rb847>5<3290;w)=le;06b>N4l11C?i>4$23g>40112c:?:4?::k155<722c8o84?::m055<722wi5;;50;794?6|,:in6?=8;I1g<>N4l91/>?k520g8 6d12:o>7d<=9;29?l45i3:17d<=b;29?l45k3:17b=>0;29?xd>>?0;694?:1y'7fc=::<0D>j7;I1g4>"5:l03i6g=2883>>o5:h0;66g=2c83>>i4990;66sm97594?3=83:p(>mj:314?M5c02B8h=5+23g9<5=#;k<1?h?4i30:>5<5<5<55;294~"4kl09?:5G3e:8L6b73-89i766;%1a2?5b;2c9>44?::k16d<722c9>o4?::k16f<722e8==4?::a=3?=83<1<7>t$2af>75?3A9o46Fl9:2g2?l4513:17d<=a;29?l45j3:17d<=c;29?l45l3:17b=>0;29?xd>>h0;6>4?:1y'7fc=::?0D>j7;I1g4>"5:l03=6g=2883>>o5:h0;66a<1183>>{e1?h1<7;50;2x 6eb2;9<7E=k8:J0`5=#:;o14i5+3c497`25<5<j?;%01a?>23`8957>5;h01e?6=3`89n7>5;n124?6=3th2:i4?:583>5}#;jo1>>84H2f;?M5c82.9>h4>3d9'7g0=;l?0e?<6:188m74f2900e?<@:n;7)<=e;:2?l4513:17d<=a;29?j5683:17pl66g83>1<729q/?nk52248L6b?3A9o<6*=2d815f=#;k<1?h=4i30:>5<5<3:1j?;%01a?25<5<5<53;294~"4kl09?85G3e:8L6b73-89i75;n124?6=3th2;?4?:283>5}#;jo1>>;4H2f;?M5c82.9>h4>3e9j67?=831b>?o50;9l746=831vn49<:187>5<7s-9hi7<<6:J0`==O;m:0(?39n:6g=2883>>o5:h0;66g=2c83>>i4990;66sm96694?2=83:p(>mj:315?M5c02B8h=5+23g952=n:;31<75f23c94?=n:;h1<75`30294?=zj0=>6=4<:183!5dm38896F5<5<54;294~"4kl09?;5G3e:8L6b73-89i767;%1a2?5b<2c9>44?::k16d<722c9>o4?::m055<722wi5:950;794?6|,:in6?=8;I1g<>N4l91/>?k52368m74>2900e?<@:n;7)<=e;011>o5:00;66g=2`83>>o5:k0;66g=2b83>>o5:m0;66a<1183>>{e1>31<7:50;2x 6eb2;9=7E=k8:J0`5=#:;o1j?5f23;94?=n:;k1<75f23`94?=h;8:1<75rb85b>5<3290;w)=le;002>N4l11C?i>4$30f>c45<5<53;294~"4kl09?85G3e:8L6b73-89i75;n124?6=3th2;n4?:283>5}#;jo1>>;4H2f;?M5c82.9>h4=a:k16<<722c9>l4?::m055<722wi5:j50;194?6|,:in6?=:;I1g<>N4l91/>?k52`9j67?=831b>?o50;9l746=831vn49j:187>5<7s-9hi7<<6:J0`==O;m:0(?6<729q/?nk52278L6b?3A9o<6*=2d8;3>o5:00;66g=2`83>>i4990;66sm99294?5=83:p(>mj:316?M5c02B8h=5+23g96d=#;k<1?h64i30:>5<5<53;294~"4kl09?85G3e:8L6b73-89i76>;h01=?6=3`89m7>5;n124?6=3th24?4?:283>5}#;jo1>>;4H2f;?M5c82.9>h471:k16<<722c9>l4?::m055<722wi55=50;794?6|,:in6?=8;I1g<>N4l91/>?k51418m74>2900e?<@:n;7)<=e;02f>o5:00;66g=2`83>>o5:k0;66g=2b83>>i4990;66sm99794?2=83:p(>mj:315?M5c02B8h=5+23g9<3=n:;31<75f23c94?=n:;h1<75`30294?=zj02=6=4<:183!5dm38896F5;n124?6=3th24:4?:583>5}#;jo1>>84H2f;?M5c82.9>h476:k16<<722c9>l4?::k16g<722e8==4?::a==>=8391<7>t$2af>7523A9o46F?750;9j67g=831d?<>50;9~f<>>290?6=4?{%1`a?44>2B8h55G3e28 74b2o80e?<6:188m74f2900e?<@:n;7)<=e;:7?l4513:17d<=a;29?j5683:17pl68c83>6<729q/?nk52278L6b?3A9o<6*=2d8;0>o5:00;66g=2`83>>i4990;66sm99a94?2=83:p(>mj:315?M5c02B8h=5+23g9<3=n:;31<75f23c94?=n:;h1<75`30294?=zj02o6=4;:183!5dm388:6F5<5<j?;%01a?>33`8957>5;h01e?6=3f9:<7>5;|`:44?::k16d<722e8==4?::a=<6=8391<7>t$2af>7523A9o46F?750;9j67g=831d?<>50;9~f2B8h55G3e28 74b28>0e?<6:188m74f2900e?<@:n;7)<=e;:4?l4513:17d<=a;29?j5683:17pl69283>0<729q/?nk52258L6b?3A9o<6*=2d814c=n:;31<75f23c94?=n:;h1<75f23a94?=h;8:1<75rb8;7>5<2290;w)=le;003>N4l11C?i>4$30f>7443`8957>5;h01e?6=3`89n7>5;h01g?6=3f9:<7>5;|`:=0<72=0;6=u+3bg9660<@:n37E=k0:&16`2c9>44?::k16d<722c9>o4?::m055<722wi54850;194?6|,:in6?=:;I1g<>N4l91/>?k5809j67?=831b>?o50;9l746=831vn478:180>5<7s-9hi7<<5:J0`==O;m:0(?1<729q/?nk52248L6b?3A9o<6*=2d8217=n:;31<75f23c94?=n:;h1<75`30294?=zj0326=4;:183!5dm388:6F5;h01f?6=3f9:<7>5;|`:=d<72:0;6=u+3bg9663<@:n37E=k0:&16`44?::k16d<722e8==4?::a=t$2af>7523A9o46F?750;9j67g=831d?<>50;9~f??:188yg?>l3:1?7>50z&0g`<5;<1C?i64H2f3?!45m32<7)=m6;1f3>o5:00;66g=2`83>>i4990;66sm98g94?5=83:p(>mj:316?M5c02B8h=5+23g9<2=#;k<1?h94i30:>5<5<53;294~"4kl09?85G3e:8L6b73-89i768;%1a2?5b82c9>44?::k16d<722e8==4?::a=d6=8391<7>t$2af>7523A9o46F1/?o853d;8m74>2900e?<@:n;7)<=e;:2?l4513:17d<=a;29?j5683:17pl6a383>0<729q/?nk52258L6b?3A9o<6*=2d84b>o5:00;66g=2`83>>o5:k0;66g=2b83>>i4990;66sm9`194?3=83:p(>mj:314?M5c02B8h=5+23g93c=n:;31<75f23c94?=n:;h1<75f23a94?=h;8:1<75rb8c7>5<2290;w)=le;003>N4l11C?i>4$30f>2`5<5<j?;%01a?1a3`8957>5;h01e?6=3`89n7>5;h01g?6=3f9:<7>5;|`:e3<72<0;6=u+3bg9661<@:n37E=k0:&16`<0n2c9>44?::k16d<722c9>o4?::k16f<722e8==4?::a=d1=83?1<7>t$2af>7503A9o46F?750;9j67g=831b>?l50;9j67e=831d?<>50;9~f6=4?{%1`a?44?2B8h55G3e28 74b2>l0e?<6:188m74f2900e???:188yg?f13:197>50z&0g`<5;>1C?i64H2f3?!45m3=m7d<=9;29?l45i3:17d<=b;29?l45k3:17b=>0;29?xd>ih0;684?:1y'7fc=::=0D>j7;I1g4>"5:l0>o5:h0;66g=2c83>>o5:j0;66a<1183>>{e1hh1<7;50;2x 6eb2;9<7E=k8:J0`5=#:;o1;k5f23;94?=n:;k1<75f23`94?=n:;i1<75`30294?=zj0kh6=4::183!5dm388;6F5<5<5<55;294~"4kl09?:5G3e:8L6b73-89i79i;h01=?6=3`89m7>5;h01f?6=3`89o7>5;n124?6=3th2mh4?:483>5}#;jo1>>94H2f;?M5c82.9>h48f:k16<<722c9>l4?::k16g<722c9>n4?::m055<722wi5lh50;794?6|,:in6?=8;I1g<>N4l91/>?k57g9j67?=831b>?o50;9j67d=831b>?m50;9l746=831vn4l?:186>5<7s-9hi7<<7:J0`==O;m:0(?2900e?<@:n;7)<=e;5e?l4513:17d<=a;29?l45j3:17d<=c;29?j5683:17pl6b383>0<729q/?nk52258L6b?3A9o<6*=2d84b>o5:00;66g=2`83>>o5:k0;66g=2b83>>i4990;66sm9c194?3=83:p(>mj:314?M5c02B8h=5+23g93c=n:;31<75f23c94?=n:;h1<75f23a94?=h;8:1<75rb8`7>5<2290;w)=le;003>N4l11C?i>4$30f>2`5<5<j?;%01a?1a3`8957>5;h01e?6=3`89n7>5;h01g?6=3f9:<7>5;|`:f3<72<0;6=u+3bg9661<@:n37E=k0:&16`<0n2c9>44?::k16d<722c9>o4?::k16f<722e8==4?::a=g1=83?1<7>t$2af>7503A9o46F?750;9j67g=831b>?l50;9j67e=831d?<>50;9~f6=4?{%1`a?44?2B8h55G3e28 74b2>l0e?<6:188m74f2900e???:188yg?e13:197>50z&0g`<5;>1C?i64H2f3?!45m3=m7d<=9;29?l45i3:17d<=b;29?l45k3:17b=>0;29?xd>jh0;684?:1y'7fc=::=0D>j7;I1g4>"5:l0>o5:h0;66g=2c83>>o5:j0;66a<1183>>{e1kh1<7;50;2x 6eb2;9<7E=k8:J0`5=#:;o1;k5f23;94?=n:;k1<75f23`94?=n:;i1<75`30294?=zj0hh6=4::183!5dm388;6F5<5<5<55;294~"4kl09?:5G3e:8L6b73-89i79i;h01=?6=3`89m7>5;h01f?6=3`89o7>5;n124?6=3th2nh4?:483>5}#;jo1>>94H2f;?M5c82.9>h48f:k16<<722c9>l4?::k16g<722c9>n4?::m055<722wi5oh50;794?6|,:in6?=8;I1g<>N4l91/>?k57g9j67?=831b>?o50;9j67d=831b>?m50;9l746=831vn4m?:186>5<7s-9hi7<<7:J0`==O;m:0(?2900e?<@:n;7)<=e;5e?l4513:17d<=a;29?l45j3:17d<=c;29?j5683:17pl6c383>0<729q/?nk52258L6b?3A9o<6*=2d84b>o5:00;66g=2`83>>o5:k0;66g=2b83>>i4990;66sm9b194?3=83:p(>mj:314?M5c02B8h=5+23g93c=n:;31<75f23c94?=n:;h1<75f23a94?=h;8:1<75rb8a7>5<2290;w)=le;003>N4l11C?i>4$30f>2`5<5<j?;%01a?1a3`8957>5;h01e?6=3`89n7>5;h01g?6=3f9:<7>5;|`:g3<72<0;6=u+3bg9661<@:n37E=k0:&16`<0n2c9>44?::k16d<722c9>o4?::k16f<722e8==4?::a=f1=83?1<7>t$2af>7503A9o46F?750;9j67g=831b>?l50;9j67e=831d?<>50;9~f6=4?{%1`a?44?2B8h55G3e28 74b2>l0e?<6:188m74f2900e???:188yg?d13:197>50z&0g`<5;>1C?i64H2f3?!45m3=m7d<=9;29?l45i3:17d<=b;29?l45k3:17b=>0;29?xd>kh0;684?:1y'7fc=::=0D>j7;I1g4>"5:l0>o5:h0;66g=2c83>>o5:j0;66a<1183>>{e1jh1<7;50;2x 6eb2;9<7E=k8:J0`5=#:;o1;k5f23;94?=n:;k1<75f23`94?=n:;i1<75`30294?=zj0ih6=4::183!5dm388;6F5<5<5<55;294~"4kl09?:5G3e:8L6b73-89i79i;h01=?6=3`89m7>5;h01f?6=3`89o7>5;n124?6=3th2oh4?:483>5}#;jo1>>94H2f;?M5c82.9>h48f:k16<<722c9>l4?::k16g<722c9>n4?::m055<722wi5nh50;794?6|,:in6?=8;I1g<>N4l91/>?k57g9j67?=831b>?o50;9j67d=831b>?m50;9l746=831vn4j?:186>5<7s-9hi7<<7:J0`==O;m:0(?2900e?<@:n;7)<=e;5e?l4513:17d<=a;29?l45j3:17d<=c;29?j5683:17pl6d383>0<729q/?nk52258L6b?3A9o<6*=2d84b>o5:00;66g=2`83>>o5:k0;66g=2b83>>i4990;66sm9e194?3=83:p(>mj:314?M5c02B8h=5+23g93c=n:;31<75f23c94?=n:;h1<75f23a94?=h;8:1<75rb8f7>5<2290;w)=le;003>N4l11C?i>4$30f>2`5<5<j?;%01a?1a3`8957>5;h01e?6=3`89n7>5;h01g?6=3f9:<7>5;|`:`3<72<0;6=u+3bg9661<@:n37E=k0:&16`<0n2c9>44?::k16d<722c9>o4?::k16f<722e8==4?::a=a1=83?1<7>t$2af>7503A9o46F?750;9j67g=831b>?l50;9j67e=831d?<>50;9~f6=4?{%1`a?44?2B8h55G3e28 74b2>l0e?<6:188m74f2900e???:188yg?c13:197>50z&0g`<5;>1C?i64H2f3?!45m3=m7d<=9;29?l45i3:17d<=b;29?l45k3:17b=>0;29?xd>lh0;684?:1y'7fc=::=0D>j7;I1g4>"5:l0>o5:h0;66g=2c83>>o5:j0;66a<1183>>{e1mh1<7;50;2x 6eb2;9<7E=k8:J0`5=#:;o1;k5f23;94?=n:;k1<75f23`94?=n:;i1<75`30294?=zj0nh6=4::183!5dm388;6F5<5<5<55;294~"4kl09?:5G3e:8L6b73-89i79i;h01=?6=3`89m7>5;h01f?6=3`89o7>5;n124?6=3th2hh4?:483>5}#;jo1>>94H2f;?M5c82.9>h48f:k16<<722c9>l4?::k16g<722c9>n4?::m055<722wi5ih50;794?6|,:in6?=8;I1g<>N4l91/>?k57g9j67?=831b>?o50;9j67d=831b>?m50;9l746=831vn4k?:186>5<7s-9hi7<<7:J0`==O;m:0(?2900e?<@:n;7)<=e;5e?l4513:17d<=a;29?l45j3:17d<=c;29?j5683:17pl6e383>0<729q/?nk52258L6b?3A9o<6*=2d84b>o5:00;66g=2`83>>o5:k0;66g=2b83>>i4990;66sm9d194?3=83:p(>mj:314?M5c02B8h=5+23g93c=n:;31<75f23c94?=n:;h1<75f23a94?=h;8:1<75rb8g7>5<2290;w)=le;003>N4l11C?i>4$30f>2`5<5<j?;%01a?1a3`8957>5;h01e?6=3`89n7>5;h01g?6=3f9:<7>5;|`:a3<72<0;6=u+3bg9661<@:n37E=k0:&16`<0n2c9>44?::k16d<722c9>o4?::k16f<722e8==4?::a=`1=83?1<7>t$2af>7503A9o46F?750;9j67g=831b>?l50;9j67e=831d?<>50;9~f6=4?{%1`a?44?2B8h55G3e28 74b2>l0e?<6:188m74f2900e???:188yg?b13:197>50z&0g`<5;>1C?i64H2f3?!45m3=m7d<=9;29?l45i3:17d<=b;29?l45k3:17b=>0;29?xd>mh0;684?:1y'7fc=::=0D>j7;I1g4>"5:l0>o5:h0;66g=2c83>>o5:j0;66a<1183>>{e1lh1<7;50;2x 6eb2;9<7E=k8:J0`5=#:;o1;k5f23;94?=n:;k1<75f23`94?=n:;i1<75`30294?=zj0oh6=4::183!5dm388;6F5<5<5<55;294~"4kl09?:5G3e:8L6b73-89i79i;h01=?6=3`89m7>5;h01f?6=3`89o7>5;n124?6=3th2ih4?:483>5}#;jo1>>94H2f;?M5c82.9>h48f:k16<<722c9>l4?::k16g<722c9>n4?::m055<722wi5hh50;794?6|,:in6?=8;I1g<>N4l91/>?k57g9j67?=831b>?o50;9j67d=831b>?m50;9l746=831vn4h?:186>5<7s-9hi7<<7:J0`==O;m:0(?2900e?<@:n;7)<=e;5e?l4513:17d<=a;29?l45j3:17d<=c;29?j5683:17pl6f383>0<729q/?nk52258L6b?3A9o<6*=2d84b>o5:00;66g=2`83>>o5:k0;66g=2b83>>i4990;66sm9g194?3=83:p(>mj:314?M5c02B8h=5+23g93c=n:;31<75f23c94?=n:;h1<75f23a94?=h;8:1<75rb8d7>5<2290;w)=le;003>N4l11C?i>4$30f>2`5<5<j?;%01a?1a3`8957>5;h01e?6=3`89n7>5;h01g?6=3f9:<7>5;|`:b3<72<0;6=u+3bg9661<@:n37E=k0:&16`<0n2c9>44?::k16d<722c9>o4?::k16f<722e8==4?::a=c1=83?1<7>t$2af>7503A9o46F?750;9j67g=831b>?l50;9j67e=831d?<>50;9~f<`?290>6=4?{%1`a?44?2B8h55G3e28 74b2>l0e?<6:188m74f2900e???:188yg?a13:197>50z&0g`<5;>1C?i64H2f3?!45m3=m7d<=9;29?l45i3:17d<=b;29?l45k3:17b=>0;29?xd>nh0;684?:1y'7fc=::=0D>j7;I1g4>"5:l0>o5:h0;66g=2c83>>o5:j0;66a<1183>>{e1oh1<7;50;2x 6eb2;9<7E=k8:J0`5=#:;o1;k5f23;94?=n:;k1<75f23`94?=n:;i1<75`30294?=zj0lh6=4::183!5dm388;6F5<5<5<55;294~"4kl09?:5G3e:8L6b73-89i79i;h01=?6=3`89m7>5;h01f?6=3`89o7>5;n124?6=3th2jh4?:483>5}#;jo1>>94H2f;?M5c82.9>h48f:k16<<722c9>l4?::k16g<722c9>n4?::m055<722wi5kh50;794?6|,:in6?=8;I1g<>N4l91/>?k57g9j67?=831b>?o50;9j67d=831b>?m50;9l746=831vnl>?:187>5<7s-9hi7<<6:J0`==O;m:0(?2900e???:188ygg793:187>50z&0g`<5;?1C?i64H2f3?!45m38;i6g=2883>>o5:h0;66g=2c83>>i4990;66sma1094?5=83:p(>mj:316?M5c02B8h=5+23g964>5<j?;%01a?4602c9>44?::k16d<722e8==4?::ae52=8391<7>t$2af>7523A9o46F??:188ygg7=3:1?7>50z&0g`<5;<1C?i64H2f3?!45m38:46g=2883>>o5:h0;66a<1183>>{ei9<1<7;50;2x 6eb2;8:7E=k8:J0`5=#;8n1=;86;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb`24>5<4290;w)=le;02`>N4l11C?i>4$30f>431<75f12f94?=h;k21<75rb`2;>5<4290;w)=le;001>N4l11C?i>4$30f>7g5<j?;%12`?71>01/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::ae5d=83?1<7>t$2af>7463A9o46F=831vnl>l:186>5<7s-9hi7<=1:J0`==O;m:0(>?k:045=>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188ygg7l3:197>50z&0g`<5:81C?i64H2f3?!56l3;=:45+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pln0d83>0<729q/?nk52338L6b?3A9o<6*<1e8223?<,;8n6?5ff583>>o6:j0;66g>3e83>>o4jh0;66a>{ei9l1<7;50;2x 6eb2;8:7E=k8:J0`5=#;8n1=;86;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb`33>5<2290;w)=le;015>N4l11C?i>4$23g>40112.9>h4=;hd7>5<5<5<54;294~"4kl09>=5G3e:8L6b73`l?6=44i01g>5<5<7>55;294~"4kl09><5G3e:8L6b73-9:h7?9689'67c=:2cm87>5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`b56<72<0;6=u+3bg9677<@:n37E=k0:&05a<6>?30(?n4?::k27a<722c8nl4?::m0f=<722wim<:50;794?6|,:in6?<>;I1g<>N4l91/?j50;9j7gg=831d?o650;9~fd72290>6=4?{%1`a?45:2B8h55G3e28 67c28<=56*=2d821>oa<3:17d?=c;29?l74l3:17d=l5;29?j5e03:17pln1783>1<729q/?nk52328L6b?3A9o<6gi4;29?l74l3:17d=ma;29?j5e03:17pln1683>0<729q/?nk52338L6b?3A9o<6*<1e8223?<,;8n6?5ff583>>o6:j0;66g>3e83>>o4jh0;66a>{ei821<7;50;2x 6eb2;8:7E=k8:J0`5=#;8n1=;86;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb`3:>5<2290;w)=le;016>N4l11C?i>4$23g>40112.9>h4>5:ke0?6=3`;9o7>5;h30`?6=3`9h97>5;n1a5}#;jo1>?<4H2f;?M5c82.8=i4>67;8 74b28?0ek:50;9j57e=831b=>j50;9j7f3=831d?o650;9~fd7e290>6=4?{%1`a?4592B8h55G3e28 67c28<=56*=2d81?l`32900e<ln:188k6d?2900qoo>c;291?6=8r.8oh4=209K7a><@:n;7)=>d;352<=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"49m0::;74$30f>431<75f13a94?=n9:n1<75f3b794?=h;k21<75rb`3f>5<2290;w)=le;015>N4l11C?i>4$23g>40112.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-9:h7?9689'67c=:2cm87>5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`b65<72<0;6=u+3bg9677<@:n37E=k0:&05a<6>?30(?n4?::k27a<722c8nl4?::m0f=<722wim??50;794?6|,:in6?<>;I1g<>N4l91/?j50;9j7gg=831d?o650;9~fd45290>6=4?{%1`a?4592B8h55G3e28 67c28<=56*=2d81?l`32900e<ln:188k6d?2900qoo=3;291?6=8r.8oh4=209K7a><@:n;7)=>d;352<=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"49m0::;74$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sma3794?3=83:p(>mj:302?M5c02B8h=5+30f9530>3-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zjh8=6=4::183!5dm389=6F5<5<j?;%01a?4f3`8957>5;n124?6=3thj>54?:283>5}#;jo1>>;4H2f;?M5c82.9>h4=a:k16<<722c9>l4?::m055<722wim?750;094?6|,:in6?=;;I1g<>N4l91/>?k52`9j67?=831d?<>50;9~fd4f29096=4?{%1`a?44<2B8h55G3e28 74b2;k0e?<6:188k6772900qoo=b;296?6=8r.8oh4=359K7a><@:n;7)<=e;0b?l4513:17b=>0;29?xdf:j0;6?4?:1y'7fc=::>0D>j7;I1g4>"5:l09m6g=2883>>i4990;66sma3f94?4=83:p(>mj:317?M5c02B8h=5+23g96d=n:;31<75`30294?=zjh8n6=4=:183!5dm38886F5<j?;%01a?4f3`8957>5;n124?6=3thj?=4?:383>5}#;jo1>>:4H2f;?M5c82.9>h4=a:k16<<722e8==4?::ae67=8381<7>t$2af>7533A9o46F?750;9l746=831vnl==:181>5<7s-9hi7<<4:J0`==O;m:0(?2900c>??:188ygg4;3:1>7>50z&0g`<5;=1C?i64H2f3?!45m38j7d<=9;29?j5683:17pln3583>7<729q/?nk52268L6b?3A9o<6*=2d81e>o5:00;66a<1183>>{ei:?1<7:50;2x 6eb2;8;7E=k8:J0`5=#:;o1>6gi4;29?l74l3:17d=ma;29?j5e03:17pln3783>1<729q/?nk52328L6b?3A9o<6*=2d81?l`32900e<=k:188m6df2900c>l7:188ygg4?3:1?7>50z&0g`<59m1C?i64H2f3?!45m3;>7dh;:188m45c2900c>l7:188ygg403:1?7>50z&0g`<59m1C?i64H2f3?!45m3;>7dh;:188m45c2900c>l7:188ygg413:1:7>50z&0g`<5;11C?i64H2f3?!45m3297d<=9;29?l45i3:17d<=b;29?l45k3:17d<=d;29?j5683:17pln3`83>1<729q/?nk52248L6b?3A9o<6*=2d815f=n:;31<75f23c94?=n:;h1<75`30294?=zjh9i6=4;:183!5dm388:6F2c9>44?::k16d<722c9>o4?::m055<722wim>m50;794?6|,:in6?=8;I1g<>N4l91/>?k520c8m74>2900e?<@:n;7)<=e;02e>"4j?08i=5f23;94?=n:;k1<75f23`94?=n:;i1<75`30294?=zjh9n6=4::183!5dm388;6F44?::k16d<722c9>o4?::k16f<722e8==4?::ae6`=83<1<7>t$2af>75?3A9o46F2900e???:188ygg383:197>50z&0g`<5;>1C?i64H2f3?!45m3;37)=m6;1gb>o5:00;66g=2`83>>o5:k0;66g=2b83>>i4990;66sma5394?0=83:p(>mj:31;?M5c02B8h=5+23g93`=#;k<1?h<4i30:>5<5<5<j?;%01a?333-9i:7=j2:k16<<722c9>l4?::k16g<722e8==4?::ae15=8381<7>t$2af>74a3A9o46F=831d?<>50;9~fd2329096=4?{%1`a?45n2B8h55G3e28m74?2900c>??:188ygg3=3:1>7>50z&0g`<5:o1C?i64H2f3?l4503:17b=>0;29?xdfj7;I1g4>o5:10;66a<1183>>{ei==1<7<50;2x 6eb2;8m7E=k8:J0`5=n:;21<75`30294?=zjh>36=4=:183!5dm389j6F5<52;294~"4kl09>k5G3e:8L6b73`8947>5;n124?6=3thj8l4?:383>5}#;jo1>?h4H2f;?M5c82c9>54?::m055<722wim9l50;094?6|,:in6?N4l91b>?650;9l746=831vnl:l:181>5<7s-9hi7<=f:J0`==O;m:0e?<7:188k6772900qoo;d;296?6=8r.8oh4=2g9K7a><@:n;7d<=8;29?j5683:17pln4d83><<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thj8k4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qoo:1;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fd3529026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb`70>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ei<>1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::ae03=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qoo:8;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<57>54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb`7b>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vnl;m:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::ae0e=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sma4f94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xdf=l0;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`b1c<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygg193:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vnl8=:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjh<86=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sma7694??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wim;;50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`b23<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pln6683>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188ygg103:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjh5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fd0e290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wim;m50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ei?n1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thj:k4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qoo81;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fd1529026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb`50>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ei>>1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::ae23=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qoo88;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb`5b>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vnl9m:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::ae2e=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sma6f94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xdf?l0;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`b3c<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygg?93:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vnl6=:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjh286=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sma9694??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wim5;50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`b<3<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pln8683>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188ygg?03:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjh2j6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fd>e290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wim5m50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ei1n1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thj4k4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qoo61;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fd?529026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb`;0>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ei0>1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::ae<3=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qoo68;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb`;b>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vnl7m:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::aet$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sma8f94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xdf1l0;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`b=c<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188yggf93:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vnlo=:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjhk86=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sma`694??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wiml;50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`be3<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plna683>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yggf03:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjhkj6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fdge290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wimlm50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{eihn1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thjmk4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qoom1;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fdd529026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb``0>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{eik>1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::aeg3=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qoom8;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb``b>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vnllm:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::aege=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smacf94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xdfjl0;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`bfc<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188yggd93:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vnlm=:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjhi86=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smab694??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wimn;50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`bg3<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plnc683>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yggd03:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjhij6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fdee290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wimnm50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{eijn1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thjok4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qook1;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fdb529026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb`f0>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{eim>1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::aea3=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qook8;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb`fb>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vnljm:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::aeae=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smaef94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xdfll0;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`b`c<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188yggb93:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vnlk=:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjho86=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smad694??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wimh;50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`ba3<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plne683>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yggb03:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjhoj6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fdce290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wimhm50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{eiln1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thjik4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qooi1;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fd`529026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb`d0>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{eio>1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::aec3=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qooi8;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb`db>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vnlhm:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::aece=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smagf94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xdfnl0;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`bbc<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygd793:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vno>=:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjk:86=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smb1694??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722win=;50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`a43<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plm0683>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188ygd703:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjk:j6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fg6e290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722win=m50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ej9n1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thi5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qol>1;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fg7529026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rbc30>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ej8>1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::af43=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qol>8;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rbc3b>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vno?m:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::af4e=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smb0f94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xde9l0;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`a5c<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygd593:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vno<=:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjk886=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smb3694??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722win?;50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`a63<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plm2683>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188ygd503:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjk8j6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fg4e290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722win?m50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ej;n1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thi>k4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qol<1;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fg5529026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rbc10>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ej:>1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::af63=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qol<8;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rbc1b>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vno=m:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::af6e=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smb2f94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xde;l0;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`a7c<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygd393:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vno:=:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjk>86=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smb5694??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722win9;50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`a03<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plm4683>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188ygd303:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjk>j6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fg2e290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722win9m50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ej=n1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thi8k4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qol:1;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fg3529026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rbc70>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ej<>1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::af03=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qol:8;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<57>54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rbc7b>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vno;m:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::af0e=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smb4f94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xde=l0;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`a1c<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygd193:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vno8=:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjk<86=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smb7694??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722win;;50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`a23<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plm6683>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188ygd103:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjk5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fg0e290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722win;m50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ej?n1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thi:k4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qol81;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fg1529026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rbc50>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ej>>1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::af23=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qol88;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rbc5b>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vno9m:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::af2e=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smb6f94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xde?l0;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`a3c<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygd?93:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vno6=:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjk286=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smb9694??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722win5;50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`a<3<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plm8683>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188ygd?03:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjk2j6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fg>e290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722win5m50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ej1n1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thi4k4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qol61;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fg?529026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rbc;0>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ej0>1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::af<3=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qol68;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rbc;b>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vno7m:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::aft$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smb8f94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xde1l0;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`a=c<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygdf93:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vnoo=:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjkk86=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smb`694??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722winl;50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`ae3<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plma683>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188ygdf03:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjkkj6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fgge290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722winlm50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ejhn1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thimk4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qolm1;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fgd529026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rbc`0>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ejk>1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::afg3=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qolm8;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rbc`b>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vnolm:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::afge=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smbcf94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xdejl0;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`afc<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygdd93:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vnom=:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjki86=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smbb694??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722winn;50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`ag3<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plmc683>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188ygdd03:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjkij6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fgee290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722winnm50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ejjn1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thiok4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qolk1;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fgb529026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rbcf0>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ejm>1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::afa3=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qolk8;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rbcfb>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vnojm:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::afae=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smbef94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xdell0;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`a`c<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygdb93:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vnok=:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjko86=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smbd694??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722winh;50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`aa3<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plme683>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188ygdb03:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=z{8<=h7>52z\ab<=:i<<1=>j4}r352`<72;qUnk64=`7;>45c3ty::;h50;0xZg`034k>87?66194?4|Vkl870o92;30`>{t9?=?6=4={_`e6>;f>=0:?i5rs0441?6=:rTh<<52a72956b3e9~w40013:1>vPmfe9>e3e=9:n0q~?97`83>7}Yjoi01l9?:01g?xu6>>h1<75<5sWhmm63n6d827a=z{8<52z\ab4=:i><1=>j4}r353`<72;qUnk>4=`5;>45c3ty:::h50;0xZf2434>:h7?78:p53>72909wSm;2:?75a<60>1v<871;296~Xd<81687p}>69194?4|Vj9n70:>d;3;7>{t9?2?6=4={_a0`>;39m0:4?5rs04;1?6=:rTh?n5240f95=77e9~w40?13:1>vPl399>04b=9>i0q~?98`83>7}Yk:=019?k:05a?xu6>1h1<75<5sWi8963;1e823<=z{8<3h7>52z\`0d=:<8n1=l74}r35<`<72;qUo974=53g>4g?3ty::5h50;0xZf2?34>:h7?n7:p53?72909wSm;7:?75a<6i?1v<861;296~Xd68194?4|Vj>?70:>d;3:e>{t9?3?6=4={_a0b>;39m0:4k5rs04:1?6=:rTh?95240f95=2a09~w40>13:1>vPk209>04b=9h:0q~?99`83>7}Yl;:019?k:0;e?xu6>0h1<75<5sWn:h63;1e82=a=z{8<2h7>52z\g5f=:<8n1=4m4}r35=`<72;qUh4?e3ty::4h50;0xZa7f34>:h7?69:p53g72909wSj>9:?75a<6111v<8n1;296~Xc911686`194?4|Vm;=70:>d;3:1>{t9?k?6=4={_f21>;39m0:595rs04b1?6=:rTo>l5240f95<592wx=;o7:181[b5?27?=i4>919~w40f13:1>vPk279>04b=91o0q~?9a`83>7}Yl;?019?k:0:g?xu6>hh1<75<5sWn:j63;1e8252z\g51=:<8n1=5o4}r35e`<72;qUh<=4=53g>4>>3ty::lh50;37e~X6>?i019>7:2a6?827139im63;0`80fd=:<9i1?oo4=52g>6df34>;i7=ma:?74c<4jh168<>53cc891762:hj70:>2;1ae>;39:08nl5240697f3<5=;>6>ln;<622?5d=27?=5404?=;kk019?m:2`b?826k39im63;1e80g3=:6df34?;;7=ma:?64=<4jh169=753cc8906f2:hj70;?b;1ae>;28j08nl5251f97gg<5<:n6>ln;<73b?5ei27>==4147=;kk018?=:2`b?836;39im63:1580fd=:=8?1?oo4=435>6df34?:;7=ma:?65=<4jh169<753b48905d2:i=70;:f;1`2>;20;08o;525`797f0<5m9;<7ff?5d>27=267=;j<01;;;:2a5?800?39h:6399`80g3=:>kn1?n84=7d5>6e134=9j7=ma:?475<4jh16;>?53cc892552:hj709<3;1ae>;0;=08nl5272797gg<5>9=6>ln;<503?5ei2736?=;kk01:=n:2`b?814j39im6383b80fd=:?:n1?oo4=61f>6df34=8j7=ma:?405<4jh16;9?53cc892252:hj709;3;1ae>;0<=08nl5275797gg<5>>=6>ln;<573?5ei27<85431?=;kk01::n:2`b?813j39im6384b80fd=:?=n1?oo4=66f>6df34=?j7=ma:?415<4jh16;8?53cc892352:hj709:3;1ae>;0==08nl5274797gg<5>?=6>ln;<563?5ei27<95430?=;kk01:;n:2`b?812j39im6385b80fd=:?6df34=>j7=ma:?425<4jh16;;?53cc892052:hj70993;1ae>;0>=08nl5277797gg<5><=6>ln;<553?5ei27<:5433?=;kk01:8n:2`b?811j39im6386b80fd=:??n1?oo4=64f>6df34==j7=l6:?4=3<4k?16;l753b4892dd2:i=709lf;1`2>;0m;08o;527g797f0<51:36>m9;<:2f?5d>273>h4<17=;j<015;;:2a5?8>1?39h:6377`80fd=:0>h1?oo4=95`>6df34272:hj70671;1ae>;?0;08nl5289197gg<512?6>ln;<:;1?5ei2734;4<=1=;kk01567:2`b?8>?139im6378`80fd=:01h1?oo4=9:`>6df3423h7=ma:?;<`<4jh1645h53cc89=?72:hj70661;1ae>;?1;08nl5288197gg<513?6>ln;<::1?5ei2735;4<<1=;kk01577:2`b?8>>139im6379`80fd=:00h1?oo4=9;`>6df3422h7=ma:?;=`<4jh1644h53cc89=g72:hj706n1;1ae>;?i;08nl528`197gg<51k?6>ln;<:b1?5ei273m;4f139im637a`80fd=:0hh1?oo4=9c`>6df342jh7=ma:?;e`<4jh164lh53cc89=d72:hj706m1;1ae>;?j;08nl528c197gg<51h?6>ln;<:a1?5ei273n;4e139im637b`80fd=:0kh1?oo4=9``>6df342ih7=ma:?;f`<4jh164oh53cc89=e72:hj706l1;1ae>;?k;08nl528b197gg<51i?6>ln;<:`1?5ei273o;4d139im637c`80g3=:0oh1?oo4=9d`>6df342mh7=ma:?;b`<4jh164kh53cc89<672:hj707?1;1ae>;>8;08nl5291197gg<50:?6>ln;<;31?5ei272<;4=51=;kk014>7:2`b?8?7139im6360`80fd=:19h1?oo4=82`>6df343;h7=ma:?:4`<4jh165=h53cc89<772:hj707>1;1ae>;>9;08nl5290197gg<50;?6>ln;<;21?5ei272=;4=41=;kk014?7:2`b?8?6139im6361`80fd=:18h1?oo4=83`>6df343:h7=ma:?:5`<4jh165;>:;08nl5293197gg<508?6>ln;<;11?5ei272>;4=71=;kk014<7:2`b?8?5139im6362`80fd=:1;h1?oo4=80`>6df3439h7=ma:?:6`<4jh165?h53cc89<572:hj707<1;1ae>;>;;08nl5292197gg<509?6>ln;<;01?5ei272?;4=61=;kk014=7:2`b?8?4139im6363`80fd=:1:h1?oo4=81`>6df3438h7=ma:?:7`<4jh165>h53cc89<272:hj707;1;1ae>;><;08nl5295197gg<50>?6>ln;<;71?5ei2728;4=11=;kk014:7:2`b?8?3139im6364`80fd=:1=h1?oo4=86`>6df343?h7=ma:?:0`<4jh1659h53cc89<372:hj707:1;1ae>;>=;08nl5294197gg<50??6>ln;<;61?5ei2729;4=01=;kk014;7:2`b?8?2139im63672816<=:10=1>?74=`25>6df34k;47<=a:?b4g<4jh16m=m53cc89d752:hj70o>3;1ae>;f9=08nl52a0797f3<5h;o6>m:;e76=;kk01l<>:2`b?8g5:39im63n2280fd=:i;>1?oo4=`06>6df34k9:7=ma:?b70<4jh16m>853cc89d5e2;8j7p}>6c294?540rTiik523dd956><5:l;6<=7;<1e7?`3349m87h;;<1e1?`3349mh7?<8:?0b`<6;116?kh512:89167289370:?8;d7?82713l?70:?a;d7?827j3l?70:?c;d7?827l3l?70:?e;d7?827n3l?70:>0;d7?82693l?70:>2;d7?826;3l?70:>4;d7?826=3l?70:>6;d7?826?3l?70:>8;d7?82613l?70:>a;d7?826j3l?70:>c;d7?826l3;8463;818e0>;3080m863;928e0>;31=0m863;948e0>;31?0m863;968e0>;3110m863;988e0>;31h0m863;9c8e0>;31j0m863;9e8e0>;31l0m863;9g8e0>;3i90m863;a08e0>;3i;0m863;a28e0>;3i=0m863;a48e0>;3i?0m863;a68e0>;3i10m863;a88e0>;3ih0m863;bb8e0>;3jm0m863;bd8e0>;3k>0m863;c98e0>;3l90m863;d08e0>;3l;0m863;d98e0>;3m;0m863;f18e0>;3n80m863;f38e0>;3n:0:?5524g6956><5=l>6<=7;<6e2?74027?j:4>399>0c>=9:2019h6:01;?82ai3;8463;fc827==:64=5dg>45?34>mi7?<8:?7bc<=4i4:?644<94i4:?640<;4i4:?642<54i4:?64<==4i4:?654=?4i4:?656=94i4:?650=;4i4:?652=54i4:?65<<6;1169>m512:8903a289370;72;30<>;2i<0:?5525b:956><5399>202=9:201;98:01;?80>i3;84639be827==:>o<1=>64=60e>c2<5>9;6k:4=612>c2<5>996k:4=610>c2<5>9?6k:4=616>c2<5>9=6k:4=614>c2<5>936k:4=61:>c2<5>9j6k:4=61a>c2<5>9h6k:4=61g>c2<5>9n6k:4=61e>c2<5>>;6k:4=662>c2<5>>96k:4=660>c2<5>>?6k:4=666>c2<5>>=6k:4=664>c2<5>>36k:4=66:>c2<5>>j6k:4=66a>c2<5>>h6k:4=66g>c2<5>>n6k:4=66e>c2<5>?;6k:4=672>c2<5>?96k:4=670>c2<5>??6k:4=676>c2<5>?=6k:4=674>c2<5>?36k:4=67:>c2<5>?j6k:4=67a>c2<5>?h6k:4=67g>c2<5>?n6k:4=67e>c2<5><;6k:4=642>c2<5><96k:4=640>c2<5>c2<5><=6k:4=644>c2<5><36k:4=64:>c2<5>c2<5>c2<5>45?34=2:7?<8:?4e<<6;116;om512:892ea2893709j2;30<>;0n<0:?55281:956><51;i6<=7;<:1a?7402738<4>399><02=9:201588:01;?8>0i3l?7068b;d7?8>0k3l?7068d;d7?8>0m3l?7068f;d7?8>?83l?70671;d7?8>?:3l?70673;d7?8>?<3l?70675;d7?8>?>3l?70677;d7?8>?03l?70679;d7?8>?i3l?7067b;d7?8>?k3l?7067d;d7?8>?m3l?7067f;d7?8>>83l?70661;d7?8>>:3l?70663;d7?8>><3l?70665;d7?8>>>3l?70667;d7?8>>03l?70669;d7?8>>i3l?7066b;d7?8>>k3l?7066d;d7?8>>m3l?7066f;d7?8>f83l?706n1;d7?8>f:3l?706n3;d7?8>f<3l?706n5;d7?8>f>3l?706n7;d7?8>f03l?706n9;d7?8>fi3l?706nb;d7?8>fk3l?706nd;d7?8>fm3l?706nf;d7?8>e83l?706m1;d7?8>e:3l?706m3;d7?8>e<3l?706m5;d7?8>e>3l?706m7;d7?8>e03l?706m9;d7?8>ei3l?706mb;d7?8>ek3l?706md;d7?8>em3l?706mf;d7?8>d83l?706l1;d7?8>d:3l?706l3;d7?8>d<3l?706l5;d7?8>d>3l?706l7;d7?8>d03l?706l9;d7?8>di3;84637fc8e0>;?nj0m8637fe8e0>;?nl0m8637fg8e0>;>890m8636008e0>;>8;0m8636028e0>;>8=0m8636048e0>;>8?0m8636068e0>;>810m8636088e0>;>8h0m86360c8e0>;>8j0m86360e8e0>;>8l0m86360g8e0>;>990m8636108e0>;>9;0m8636128e0>;>9=0m8636148e0>;>9?0m8636168e0>;>910m8636188e0>;>9h0m86361c8e0>;>9j0m86361e8e0>;>9l0m86361g8e0>;>:90m8636208e0>;>:;0m8636228e0>;>:=0m8636248e0>;>:?0m8636268e0>;>:10m8636288e0>;>:h0m86362c8e0>;>:j0m86362e8e0>;>:l0m86362g8e0>;>;90m8636308e0>;>;;0m8636328e0>;>;=0m8636348e0>;>;?0m8636368e0>;>;10m8636388e0>;>;h0m86363c8e0>;>;j0m86363e8e0>;>;l0m86363g8e0>;><90m8636408e0>;><;0m8636428e0>;><=0m8636448e0>;>;><10m8636488e0>;>;>;>;>=90m8636508e0>;>=;0m8636528e0>;>==0m8636548e0>;>=?0m8636568e0>;>=10m8636588e0>;f8?0m863n068e0>;f800m863n0`8e0>;f8k0m863n0b8e0>;f8m0m863n0d8e0>;f8o0m863n118e0>;f980m863n138e0>;f9:0m863n158e0>;f9<0m863n178e0>;f9>0m863n198e0>;f900m863n1`8e0>;f9k0m863n1b8e0>;f9m0m863n1d8e0>;f9o0m863n218e0>;f:80m863n238e0>;f::0m863n258e0>;f:<0m863n278e0>;f;<0m863n378e0>;f;>0m863n398e0>;f64=`72>c2<5h?96<=7;87?<8:?b10399>e01=n=16m86512:89d3>2o>01l;n:01;?8g2j3l?70o:c;30<>;f=m0m863n5d827==:i<5h<:6k:4=`41>45?34k=?7h;;e3>=9:201l86:g689d0f289370o9b;d7?8g1k3;8463n6e8e0>;f>l0:?552a7d9b1=:i>:1=>64=`52>c2<5h=96<=7;399>e21=n=16m:6512:89d1>2o>01l9n:01;?8g0j3l?70o8c;30<>;f?m0m863n7d827==:i>l1j952a92956><5h2:6k:4=`:1>45?34k3?7h;;e=>=9:201l66:g689d>f289370o7b;d7?8g?k3;8463n8e8e0>;f0l0:?552a9d9b1=:i0:1=>64=`;2>c2<5h396<=7;399>e<1=n=16m46512:89d?>2o>01l7n:01;?8g>j3l?70o6c;30<>;f1m0m863n9d827==:i0l1j952a`2956><5hk:6k:4=`c1>45?34kj?7h;;ed>=9:201lo6:g689dgf289370onb;d7?8gfk3;8463nae8e0>;fil0:?552a`d9b1=:ik:1=>64=``2>c2<5hh96<=7;399>eg1=n=16mo6512:89dd>2o>01lln:01;?8gej3l?70omc;30<>;fjm0m863nbd827==:ikl1j952ab2956><5hi:6k:4=`a1>45?34kh?7h;;ef>=9:201lm6:g689def289370olb;d7?8gdk3;8463nce8e0>;fkl0:?552abd9b1=:im:1=>64=`f2>c2<5hn96<=7;399>ea1=n=16mi6512:89db>2o>01ljn:01;?8gcj3l?70okc;30<>;flm0m863ndd827==:iml1j952ad2956><5ho:6k:4=`g1>45?34kn?7h;;e`>=9:201lk6:g689dcf289370ojb;d7?8gbk3;8463nee8e0>;fml0:?552add9b1=:io:1=>64=`d2>c2<5hl96<=7;399>ec1=n=16mk6512:89d`>2o>01lhn:01;?8gaj3l?70oic;30<>;fnm0m863nfd827==:iol1j952b12956><5k::6k:4=c21>45?34h;?7h;;<`30?74027i<84i4:?a43<6;116n=95f59>f5>=9:201o>6:g689g6f289370l?b;d7?8d7k3;8463m0e8e0>;e8l0:?552b1d9b1=:j8:1=>64=c32>c2<5k;96<=7;<`27?`334h:87?<8:?a50399>f41=n=16n<6512:89g7>2o>01o?n:01;?8d6j3l?70l>c;30<>;e9m0m863m1d827==:j8l1j952b32956><5k8:6k:4=c01>45?34h9?7h;;<`10?74027i>84i4:?a63<6;116n?95f59>f7>=9:201o<6:g689g4f289370l=b;d7?8d5k3;8463m2e8e0>;e:l0:?552b3d9b1=:j::1=>64=c12>c2<5k996<=7;<`07?`334h887?<8:?a70399>f61=n=16n>6512:89g5>2o>01o=n:01;?8d4j3l?70l;e;m0m863m3d827==:j:l1j952b52956><5k>:6k:4=c61>45?34h??7h;;<`70?74027i884i4:?a03<6;116n995f59>f1>=9:201o:6:g689g2f289370l;b;d7?8d3k3;8463m4e8e0>;e64=c72>c2<5k?96<=7;<`67?`334h>87?<8:?a10399>f01=n=16n86512:89g3>2o>01o;n:01;?8d2j3l?70l:c;30<>;e=m0m863m5d827==:j<5k<:6k:4=c41>45?34h=?7h;;<`50?74027i:84i4:?a23<6;116n;95f59>f3>=9:201o86:g689g0f289370l9b;d7?8d1k3;8463m6e8e0>;e>l0:?552b7d9b1=:j>:1=>64=c52>c2<5k=96<=7;<`47?`334h<87?<8:?a30399>f21=n=16n:6512:89g1>2o>01o9n:01;?8d0j3l?70l8c;30<>;e?m0m863m7d827==:j>l1j952b92956><5k2:6k:4=c:1>45?34h3?7h;;<`;0?74027i484i4:?a<3<6;116n595f59>f=>=9:201o66:g689g>f289370l7b;d7?8d?k3;8463m8e8e0>;e0l0:?552b9d9b1=:j0:1=>64=c;2>c2<5k396<=7;<`:7?`334h287?<8:?a=0399>f<1=n=16n46512:89g?>2o>01o7n:01;?8d>j3l?70l6c;30<>;e1m0m863m9d827==:j0l1j952b`2956><5kk:6k:4=cc1>45?34hj?7h;;<`b0?74027im84i4:?ae3<6;116nl95f59>fd>=9:201oo6:g689ggf289370lnb;d7?8dfk3;8463mae8e0>;eil0:?552b`d9b1=:jk:1=>64=c`2>c2<5kh96<=7;<`a7?`334hi87?<8:?af0399>fg1=n=16no6512:89gd>2o>01oln:01;?8dej3l?70lmc;30<>;ejm0m863mbd827==:jkl1j952bb2956><5ki:6k:4=ca1>45?34hh?7h;;<``0?74027io84i4:?ag3<6;116nn95f59>ff>=9:201om6:g689gef289370llb;d7?8ddk3;8463mce8e0>;ekl0:?552bbd9b1=:jm:1=>64=cf2>c2<5kn96<=7;<`g7?`334ho87?<8:?a`0399>fa1=n=16ni6512:89gb>2o>01ojn:01;?8dcj3l?70lkc;30<>;elm0m863mdd827==:jml1j952bd2956><5ko:6k:4=cg1>45?34hn?7h;;<`f0?74027ii84i4:?aa3<6;116nh95f59>f`>=9:201ok6:g68yv71j80;6?ml{_`fe>;4mo0:?=523dd9567<5:om6<=;;<1fb?75n278ik4>329>7``=9;o01>ki:016?85bn3;9h63?4=2d3>453349m<7?=f:?0b5<6;:16?k>513g896`7289>70=i0;31`>;4nm0:?=523gf9567<5:lo6<=;;<1e`?75n278ji4>329>7cb=9;o01>hk:016?85al3;9h63?4=2df>453349mi7?=f:?0b`<6;:16?kk513g896`b289>70=ie;31`>;4no0:?=523gd9567<5:lm6<=;;<1eb?75n278jk4>329>7c`=9;o01>hi:016?85an3;9h63;018275=:<9:1=>?4=523>45334>;<7?=f:?745<6;:168=>513g89167289>70:?0;31`>;3810:>n5241;957e<5=:j6<2b9>05b=9;i019>j:00`?827n3;9o63;11826f=:<8;1=?m4=531>44d34>:?7?=c:?751<6:j168<;513a89171288h70:>7;31g>;3910:>n5240;957e<5=;j6<2b9>04b=9;i0196?:00`?82?93;9o63;92826f=:<0>1=?m4=5;6>44d34>2:7?=c:?7=2<6:j16846513a891?>288h70:6a;31g>;31k0:>n5248a957e<5=3o6<2b9>0d6=9;i019o>:00`?82f:3;9o63;a2826f=:1=?m4=5c6>44d34>j:7?=c:?7e2<6:j168l6513a891g>288h70:na;31g>;3jj0:>n524cf957e<5=hn6<2b9>0a6=9;i019j>:00`?82c:3;9o63;d9826f=:44d34>m=7?=c:?7b7<6:j168k=5122891`4289:70:i3;300>;3n:0:>k524g19565<5=l86<4>2e9>0c2=9::019h;:012?82a<3;8863;f5826c=:1=>=4=5d7>44b34>m87?<5:?7b1<6:m168k;5122891`2289:70:i5;300>;3n<0:>k524g79565<5=l>6<2e9>0c0=9::019h9:012?82a>3;8863;f7826c=:=4=5d5>44b34>m:7?<5:?7b3<6:m168k95122891`0289:70:i7;300>;3n>0:>k524g59565<5=l<6<2e9>0c>=9::019h7:012?82a03;8863;f9826c=:=4=5d;>44b34>m47?<5:?7b=<6:m168k75122891`>289:70:i9;300>;3n00:>k524g;9565<5=l26<2e9>0cg=9::019hn:012?82ai3;8863;f`826c=:=4=5db>44b34>mm7?<5:?7bd<6:m168kl5122891`e289:70:ib;300>;3nk0:>k524g`9565<5=li6<2e9>0ce=9::019hl:012?82ak3;8863;fb826c=:=4=5d`>44b34>mo7?<5:?7bf<6:m168kj5122891`c289:70:id;300>;3nm0:>k524gf9565<5=lo6<2e9>0cc=9::019hj:012?82am3;8863;fd826c=:=4=5df>44b34>mi7?<5:?7b`<6:m168kh513a89067288h70;?1;31g>;28;0:>n52511957e<5<:?6<<;4>2b9>151=9;i018>7:00`?83713;9o63:0`826f=:=9h1=?m4=42`>44d34?;h7?=c:?64`<6:j169=h513a89077288h70;>1;31g>;29;0:>n52501957e<5<;?6<=;4>2b9>141=9;i018?7:00`?83613;9o63:3b826f=:=44d34?j97?=c:?6g=<6:j169hl513a8936b288h708<1;31g>;1==0:>n52665957e<5?3j6<2b9>33`=9;i01:79:00`?81f13;9o638bb826f=:?jl1=?m4=6g1>44d34=m97?=c:?;4=<6:j164;?==0:>n52875957e<51ij6<2b9>an3;9o63601826f=:19;1=?m4=821>44d343;?7?=c:?:41<6:j165=;513a89<61288h707?7;31g>;>810:>n5291;957e<50:j6<2b9>=5b=9;i014>j:00`?8?7n3;9o63611826f=:18;1=?m4=831>44d343:?7?=c:?:51<6:j165<;513a89<71288h707>7;31g>;>910:>n5290;957e<50;j6<2b9>=4b=9;i014?j:00`?8?6n3;9o63621826f=:1;;1=?m4=801>44d3439?7?=c:?:61<6:j165?;513a89<41288h707=7;31g>;>:10:>n5293;957e<508j6<n4>2b9>=7b=9;i01444d3438?7?=c:?:71<6:j165>;513a89<51288h707<7;31g>;>;10:>n5292;957e<509j6<2b9>=6b=9;i014=j:00`?8?4n3;9o63641826f=:1=;1=?m4=861>44d343??7?=c:?:01<6:j1659;513a89<21288h707;7;31g>;><10:>n5295;957e<50>j6<2b9>=1b=9;i014:j:00`?8?3n3;9o63651826f=:1<;1=?m4=871>44d343>?7?=c:?:11<6:j1658;513a89<31288h707:7;31g>;>=10:>n5294;957e<50<>6?<6;<;5g?451272:i4=289>=25=:;k0147k:30:?8?>m38956369g816<=:1h:1>?74=`25>44d34k;57?=c:?b4d<6:j16m=l513a89d6d288h70o?d;31g>;f8l0:>n52a1d957e<5h;;6<2b9>e45=9;i01l?;:00`?8g6=3;9o63n1780fd=:i8=1=?m4=`3;>44d34k:57?=c:?b5d<6:j16md;31g>;f9l0:>n52a0d957e<5h8;6<?4>2b9>e75=9;i01l<;:00`?8g5=3;9o63n27826f=:i:31>?l4=`1b>74>34k8n7<=9:?b7f<5:h16m>j523c89d5b2;8j70o;e;31g>;fn52a42957e<5h?:6<4>2b9>e02=9;i01l;::00`?8g2>3;9o63n56826f=:i<21=?m4=`7:>44d34k>m7?=c:?b1g<6:j16m8m513a89d3c288h70o:e;31g>;f=o0:>n52a72957e<5h<:6<4>2b9>e32=9;i01l8::00`?8g1>3;9o63n66826f=:i?21=?m4=`4:>44d34k=m7?=c:?b2g<6:j16m;m513a89d0c288h70o9e;31g>;f>o0:>n52a62957e<5h=:6<4>2b9>e22=9;i01l9::00`?8g0>3;9o63n76826f=:i>21=?m4=`5:>44d34k;f?o0:>n52a92957e<5h2:6<4>2b9>e=2=9;i01l6::00`?8g?>3;9o63n86826f=:i121=?m4=`::>44d34k3m7?=c:?bc288h70o7e;31g>;f0o0:>n52a82957e<5h3:6<4>2b9>e<2=9;i01l7::00`?8g>>3;9o63n96826f=:i021=?m4=`;:>44d34k2m7?=c:?b=g<6:j16m4m513a89d?c288h70o6e;31g>;f1o0:>n52a`2957e<5hk:6<4>2b9>ed2=9;i01lo::00`?8gf>3;9o63na6826f=:ih21=?m4=`c:>44d34kjm7?=c:?beg<6:j16mlm513a89dgc288h70one;31g>;fio0:>n52ac2957e<5hh:6<4>2b9>eg2=9;i01ll::00`?8ge>3;9o63nb6826f=:ik21=?m4=``:>44d34kim7?=c:?bfg<6:j16mom513a89ddc288h70ome;31g>;fjo0:>n52ab2957e<5hi:6<4>2b9>ef2=9;i01lm::00`?8gd>3;9o63nc6826f=:ij21=?m4=`a:>44d34khm7?=c:?bgg<6:j16mnm513a89dec288h70ole;31g>;fko0:>n52ae2957e<5hn:6<4>2b9>ea2=9;i01lj::00`?8gc>3;9o63nd6826f=:im21=?m4=`f:>44d34kom7?=c:?b`g<6:j16mim513a89dbc288h70oke;31g>;flo0:>n52ad2957e<5ho:6<4>2b9>f12=9;i01o:::00`?8d3>3;9o63m46826f=:j=21=?m4=c6:>44d34h?m7?=c:?a0g<6:j16n9m513a89g2c288h70l;e;31g>;en52b42957e<5k?:6<4>2b9>f02=9;i01o;::00`?8d2>3;9o63m56826f=:j<21=?m4=c7:>44d34h>m7?=c:?a1g<6:j16n8m513a89g3c288h70l:e;31g>;e=o0:>n52b72957e<5k<:6<4>2b9>f32=9;i01o8::00`?8d1>3;9o63m66826f=:j?21=?m4=c4:>44d34h=m7?=c:?a2g<6:j16n;m513a89g0c288h70l9e;31g>;e>o0:>n52b62957e<5k=:6<4>2b9>f22=9;i01o9::00`?8d0>3;9o63m76826f=:j>21=?m4=c5:>44d34h;e?o0:>n52b92957e<5k2:6<4>2b9>f=2=9;i01o6::00`?8d?>3;9o63m86826f=:j121=?m4=c::>44d34h3m7?=c:?ac288h70l7e;31g>;e0o0:>n52b82957e<5k3:6<4>2b9>f<2=9;i01o7::00`?8d>>3;9o63m96826f=:j021=?m4=c;:>44d34h2m7?=c:?a=g<6:j16n4m513a89g?c288h70l6e;31g>;e1o0:>n52b`2957e<5kk:6<4>2b9>fd2=9;i01oo::00`?8df>3;9o63ma6826f=:jh21=?m4=cc:>44d34hjm7?=c:?aeg<6:j16nlm513a89ggc288h70lne;31g>;eio0:>n52bc2957e<5kh:6<4>2b9>fg2=9;i01ol::00`?8de>3;9o63mb6826f=:jk21=?m4=c`:>44d34him7?=c:?afg<6:j16nom513a89gdc288h70lme;31g>;ejo0:>n52bb2957e<5ki:6<4>2b9>ff2=9;i01om::00`?8dd>3;9o63mc6826f=:jj21=?m4=ca:>44d34hhm7?=c:?agg<6:j16nnm513a89gec288h70lle;31g>;eko0:>n52be2957e<5kn:6<4>2b9>fa2=9;i01oj::00`?8dc>3;9o63md6826f=:jm21=?m4=cf:>44d34hom7?=c:?a`g<6:j16nim513a89gbc288h70lke;31g>;elo0:>n52bd2957e<5ko:6<4>2b9>f`2=9;i01ok::00`?8db>3;9o63me6826f=:jl21=?m4=cg:>44d3ty::o<50;cxZ401?27?=i4=3d=:;k0149=:30b?8?0>389563693816<=:1091>?74=8;7>74>343297<=a:?b7<<5:j1v<8m3;2956}Yk8=01977:2`b?82>139im63;9`80fd=:<0h1?oo4=5cb>45c343<;7<=c:?:3=<5:m165:o523`89<1b2;8270770;01=>;f8008nl52a1c97gg<5h:o6>ln;e16=:;301l:>:30`?8g3:389n6s|17`7>594=5c:>45c343<;7<=b:?:3=<5:h165:7523c89<1b2;8j7078f;01=>;>0809>l52991967e<502?6?e16=:;h0q~?9b483>31asWhnn6P>1`c8Z47f12T:=l64^03b3>X69h?0R6P>1`38Z47f82T:=4h4^03:a>X690n0R1`d8Z47fm2T:=lj4^03bg>X69hh0R3918Z45?:2T:?5?4^01;4>X6;>l0R<=8e:\272b36c8Z45012T:?:64^0143>X6;><0R<=85:\27223608Z45082T:?;h4^015a>X6;?n0R<=9c:\273d37;8Z45102T:?;94^0151>X6;?>0R<=93:\27343728Z452n2T:?8k4^016`>X6;3978Z45?<2T:?:m4^0145>X6;?<0R<=:b:\270g6P>2638Z44082T:>;h4^005`>X6:?i0R<<9b:\263g27:8Z441?2T:>;84^0051>X6:?>0R<<89:\262>2648Z440=2T:>::4^0047>X6:?o0R<<93:\26345108Z43792T:9=>4^06eb>X6mm6P>4g;8Z42a02T:8k94^06e2>X6m>6P>4g28Z42bn2T:8hk4^06f`>X6n56P>4d:8Z42b?2T:8h;4^06f0>X6n<6P>4ed8Z42cm2T:8ij4^06gg>X6=920R<;?7:\21505168Z42ak2T:8k?4^06f2>X6;4mo08o?523dd97f7<5:om6>lk;<1fb?75>278ik47``=;j901>ki:25`?85bn39610349nj7=86:?0ac<4?<16?hh5366896ca2:=970=jf;145>;4mo08;=523dd973`<5:om6>8j;<1fb?51l278ik4<6b9>7``=;?h01>ki:24b?85bn39=563602349nj7=94:?0ac<4>:16?hh5370896ca2:<:70=jf;154>;4mo089k523dd970c<5:om6>;l;<1fb?52j278ik4<5`9>7``=;<301>ki:27;?85bn39>;63633349nj7=:3:?0ac<40;16?hh5393896ca2:2;70=jf;14b>;4mo08;h523dd972b<5:om6>9<;<1fb?510278ik4<5e9>7``=;<801>ki:23a?85bn39:5636db349m<7=mf:?0b5<4k916?k>53b0896`72:i:70=i0;1a`>;4n90:>;523g297ge<5:l;6>m<;<1e4?50k278j=4<7`9>7c6=;>301>h?:25;?85a839<;63613349m<7=82:?0b5<4?816?k>5362896`72:;4n908:i523g2973e<5:l;6>8m;<1e4?51i278j=4<689>7c6=;?=01>h?:245?85a839=963605349m<7=91:?0b5<4>916?k>534d896`72:?n70=i0;16g>;4n9089o523g2970g<5:l;6>;6;<1e4?520278j=4<569>7c6=;<<01>h?:276?85a839>8636>6349m<7=70:?0b5<4?o16?k>536g896`72:=o70=i0;147>;4n908:5523g2970b<5:l;6>;=;<1e4?56j278j=4<189>7c6=;8201>h?:234?85a93;8;63<>4=2da>757349mo7<>0:?0ba<4jl16?kj53cd896`c2:i;70=id;1`6>;4nm08o<523gf97gb<5:lo6<<9;<1e`?5ek278ji47cb=98l01>hk:03g?85al3;:o6347>349mh7?>8:?0ba<69>16?kj5107896`c28;?70=id;327>;4nm0:=?523gf9547<5:lo60d9>7cb=99n01>hk:02`?85al3;;m63460349mh7??6:?0ba<68<16?kj5116896`c28:870=id;336>;4nm0:<<523gf9bc=:;on1jh523gf9ba=:;on1jn523gf9bg=:;on1jl523gf9b<=:;on1j5523gf9b2=:;on1j;523gf9573<5:lo6<<;;<1e`?75;278ji4>239>7cb=9;;01>hk:003?85al3;::634=2dg>c3<5:lo6>?m;<1e`?561278ji4<199>7cb=;8=01>hk:235?85al3;?463423349mh7?;3:?0ba<6<;16?kj5153896`c28>;70=id;365>;4nm0:9=523gf951`<5:lo6<:j;<1e`?73l278ji4>4b9>7cb=9=h01>hk:06b?85al3;?5636da349mi7=l0:?0b`<4k;16?kk53b3896`b2:ho70=ie;312>;4nl08nn523gg97f5<5:ln6n<4=2df>f6<5:ln61b9>7cc=98h01>hj:03b?85am3;:563472349mi7?>4:?0b`<69:16?kk5100896`b28;:70=ie;324>;4nl0:k;<1ea?77k278jh4>0`9>7cc=99301>hj:02;?85am3;;;63463349mi7??3:?0b`<68;16?kk5113896`b2ol01>hj:gg896`b2on01>hj:ga896`b2oh01>hj:gc896`b2o301>hj:g:896`b2o=01>hj:g4896`b288>70=ie;310>;4nl0:>>523gg9574<5:ln6<<>;<1ea?758278jh4>179>7cc=99h01>hj:023?85am3l>70=ie;12f>;4nl08=4523gg974><5:ln6>?8;<1ea?56>278jh4>499>7cc=9=<01>hj:066?85am3;?863426349mi7?;0:?0b`<6=816?kk5142896`b28>m70=ie;37a>;4nl0:8i523gg951e<5:ln6<:m;<1ea?73i278jh4>489>7cc=9:l01>hi:2`f?85an39ij636e6349mj7=md:?0bc<6:?16?kh53ca896`a2:i870=if;32b>;4no0:=i523gd954e<5:lm6189>7c`=98201>hi:034?85an3;:963475349mj7?>1:?0bc<69916?kh511d896`a28:n70=if;33`>;4no0:6;<1eb?770278jk4>069>7c`=99<01>hi:026?85an3;;863466349mj7hi;<1eb?`b349mj7hk;<1eb?`d349mj7hm;<1eb?`f349mj7h6;<1eb?`?349mj7h8;<1eb?`1349mj7?=5:?0bc<6:=16?kh5131896`a288970=if;315>;4no0:>=523gd9540<5:lm6<>m;<1eb?778278jk4i5:?0bc<49k16?kh530;896`a2:;370=if;123>;4no08=;523gd951><5:lm6<:9;<1eb?73=278jk4>459>7c`=9=901>hi:061?85an3;?=63437349mj7?;f:?0bc<6h70=if;37f>;4no0:8l523gd951?<5:lm6<=i;<634?5em27?<=4056=;j:019>?:2a1?827839h=63;0180fa=:<9:1=?84=523>6dd34>;<7=l3:?745510f8916728;h70:?0;32f>;3890:=l52412954?<5=:;6149>056=98>019>?:030?82783;:>63;018254=:<9:1=<>4=523>46a34>;<7??e:?745<68m168=>511a8916728:j70:?0;33=>;3890:<5524129551<5=:;6<>9;<634?77=27?<=4>059>056=999019>?:021?82783;;=63;018eb>;3890mi63;018e`>;3890mo63;018ef>;3890mm63;018e=>;3890m463;018e3>;3890m:63;018260=:<9:1=?:4=523>44434>;<7?=2:?745<6:8168=>51328916728;=70:?0;33f>;3890:<=524129b0=:<9:1?67>34>;<7=>8:?745<49>168=>53048916728>370:?0;372>;3890:88524129512<5=:;6<:<;<634?73:27?<=4>409>056=9=:019>?:072?82783;><63;01820c=:<9:1=9k4=523>42c34>;<7?;c:?745<6515c8916728>270:?0;30b>;38809?=524109646<5=:86???;<630?46827?<84=119>050=:::0196?:2a6?82?939im63;878155=:<1=1>>>4=5:;>77734>3m7<>0:?7c2;;;70:7e;024>;30o09?=524829646<5=3:6???;<6:6?44827?5>40<2=;kk0197::2`b?82>>39im63;9680fd=:94=5cf>45034>i=7?<7:?7f7<6;>168o;5125891dd2:hj70:md;1ae>;3k>08nl524b:97f3<5=n;6>ln;<6g5?5d=27?h94=119>0a3=:::019j9:333?82c?38:<63;dc8272=:94=5g2>45034>n97?<7:?7a=<6;>168hl5125891`52:hj70:i3;1aa>;3n:08nk524g197f6<5=l86>m=;<6e7?5d927?j>40c5=9;<019h<:2``?82a;39h?63;f2803f=:61>34>m?7=88:?7b6<4?>168k=5364891`42:=>70:i3;140>;3n:08;?524g19727<5=l86>9?;<6e7?51n27?j>4<6d9>0c5=;?n019h<:24`?82a;39=n63;f2802d=:60034>m?7=96:?7b6<4><168k=5376891`42:<870:i3;156>;3n:08:<524g19736<5=l86>;i;<6e7?52m27?j>4<5b9>0c5=;563;f2801==:63134>m?7=:5:?7b6<4==168k=5341891`42:2970:i3;1;5>;3n:084=524g1972`<5=l86>9j;<6e7?50l27?j>4<729>0c5=;?2019h<:27g?82a;39>>63;f2805g=:67?34>m?7=>6:?7b6<49<168k=5306891`32:hn70:i4;1ab>;3n=08o=524g697f4<5=l?6>m>;<6e0?5el27?j94>279>0c2=;ki019h;:2a0?82a<391?:74=5d7>61?34>m87=87:?7b1<4??168k:5367891`32:=?70:i4;146>;3n=08;<524g69726<5=l?6>8i;<6e0?51m27?j94<6e9>0c2=;?i019h;:24a?82a<39=m63;f5802<=:1?;94=5d7>60134>m87=95:?7b1<4>=168k:5371891`32:<970:i4;155>;3n=08:=524g6970`<5=l?6>;j;<6e0?52k27?j94<5c9>0c2=;463;f58012=:1?884=5d7>63234>m87=:4:?7b1<4=:168k:5390891`32:2:70:i4;1;4>;3n=08;k524g6972c<5=l?6>9k;<6e0?50;27?j94<699>0c2=;1?<64=5d7>67134>m87=>5:?7b1<49=168k;53cg891`22:hm70:i5;1`4>;3n<08o?524g797f7<5=l>6>lk;<6e1?75>27?j840c3=;j9019h::b0891`22j:019h::25`?82a=3961034>m97=86:?7b0<4?<168k;5366891`22:=970:i5;145>;3n<08;=524g7973`<5=l>6>8j;<6e1?51l27?j84<6b9>0c3=;?h019h::24b?82a=39=563;f48022=:60234>m97=94:?7b0<4>:168k;5370891`22:<:70:i5;154>;3n<089k524g7970c<5=l>6>;l;<6e1?52j27?j84<5`9>0c3=;<3019h::27;?82a=39>;63;f48013=:63334>m97=:3:?7b0<40;168k;5393891`22:2;70:i5;14b>;3n<08;h524g7972b<5=l>6>9<;<6e1?51027?j84<5e9>0c3=;<8019h::23a?82a=39:563;f4805==:67234>m97=>4:?7b0<6<1168k;5154891`12:hn70:i6;1ab>;3n?08o=524g497f4<5=l=6>m>;<6e2?5el27?j;4>279>0c0=;ki019h9:2a0?82a>3i970:i6;a3?82a>3961?34>m:7=87:?7b3<4??168k85367891`12:=?70:i6;146>;3n?08;<524g49726<5=l=6>8i;<6e2?51m27?j;4<6e9>0c0=;?i019h9:24a?82a>39=m63;f7802<=:60134>m:7=95:?7b3<4>=168k85371891`12:<970:i6;155>;3n?08:=524g4970`<5=l=6>;j;<6e2?52k27?j;4<5c9>0c0=;39>463;f78012=:63234>m:7=:4:?7b3<4=:168k85390891`12:2:70:i6;1;4>;3n?08;k524g4972c<5=l=6>9k;<6e2?50;27?j;4<699>0c0=;39:n63;f7805<=:67134>m:7=>5:?7b3<49=168k8515:891`128>=70:i7;1aa>;3n>08nk524g597f6<5=l<6>m=;<6e3?5d927?j:40c1=9;<019h8:2``?82a?39h?63;f6805g=:67?34>m;7=>6:?7b2<49=168k653cg891`?2:hm70:i8;1`4>;3n108o?524g:97f7<5=l36>lk;<6e27?j540c>=;j9019h7:23a?82a039:563;f9805==:67334>m57=me:?7b<<4jo168k753b2891`>2:i970:i9;1`5>;3n008ni524g;9570<5=l26>ll;<6e=?5d;27?j44<1c9>0c?=;83019h6:23;?82a139::63;f88051=:6da34>mm7=l0:?7bd<4k;168ko53b3891`f2:ho70:ia;312>;3nh08nn524gc97f5<5=lj6>?m;<6ee?56127?jl4<199>0cg=;8<019hn:237?82aj39ii63;fc80fc=:4=5da>6e534>mn7=l1:?7bg<4jm168kl5134891`e2:hh70:ib;1`7>;3nk0h>63;fc8`4>;3nk08=o524g`974?<5=li6>?7;<6ef?56>27?jo4<159>0cd=9=2019hm:065?82ak39ii63;fb80fc=:4=5d`>6e534>mo7=l1:?7bf<4jm168km5134891`d2:hh70:ic;1`7>;3nj0h>63;fb8`4>;3nj08=o524ga974?<5=lh6>?7;<6eg?56>27?jn4<159>0ce=9=2019hl:065?82al39ii63;fe80fc=:4=5dg>6e534>mh7=l1:?7ba<4jm168kj5134891`c2:hh70:id;1`7>;3nm0h>63;fe8`4>;3nm08=o524gf974?<5=lo6>?7;<6e`?56>27?ji4<159>0cb=9=2019hk:065?82am39ii63;fd80fc=:4=5df>6e534>mi7=l1:?7b`<4jm168kk5134891`b2:hh70:ie;1`7>;3nl0h>63;fd8`4>;3nl08=o524gg974?<5=ln6>?7;<6ea?56>27?jh4<159>0cc=9=2019hj:065?82an39im63:0180fd=:=9;1?oo4=421>6df34?;?7=ma:?641<4jh169=;53cc890612:hj706i1;303>;?nh0:?:529769561<5h>n65h4=`6f><7<5h>n64=4=`6f><2<5h?;65h4=`73><7<5h?;64:4=`71><7<5h?964=4=`71><2<5h??65h4=`77><7<5h??64=4=`77><2<5h?=65h4=`75><7<5h?=64=4=`75><2<5h?365h4=`7;><7<5h?364=4=`7;><2<5h?j65h4=`7b><7<5h?j64=4=`7b><2<5h?h65h4=`7`><7<5h?h64=4=`7`><2<5h?n65h4=`7f><7<5h?n64=4=`7f><2<5h<;65h4=`43><7<5h<;64=4=`43><2<5h<965h4=`41><7<5h<964=4=`41><2<5h<7<5h<2<5h<=65h4=`45><7<5h<=64=4=`45><2<5h<365h4=`4;><7<5h<364=4=`4;><2<5h<7<5h<2<5h<7<5h<2<5h<7<5h<2<5h=;65h4=`53><7<5h=;64=4=`53><2<5h=965h4=`51><7<5h=964=4=`51><2<5h=?65h4=`57><5<5h=?64:4=`55>=`<5h==64?4=`55><5<5h==64:4=`5;>=`<5h=364?4=`5;><5<5h=364:4=`5b><7<5h=j64=4=`5b><2<5h=h65h4=`5`><5<5h=h64:4=`5f><5<5h=n64:4=`:3><7<5h2;64=4=`:3><2<5h2964?4=`:1><5<5h2964:4=`:7><7<5h2?64=4=`:7><2<5h2=64?4=`:5><5<5h2=64:4=`:;><7<5h2364=4=`:;><2<5h2j64?4=`:b><5<5h2j64:4=`:`><7<5h2h64=4=`:`><2<5h2n64?4=`:f><5<5h2n64:4=`;3><7<5h3;64=4=`;3><2<5h3964?4=`;1><5<5h3964:4=`;7><7<5h3?64=4=`;7><2<5h3=64?4=`;5><5<5h3=64:4=`;;><7<5h3364=4=`;;><2<5h3j64?4=`;b><5<5h3j64:4=`;`><7<5h3h64=4=`;`><2<5h3n64?4=`;f><5<5h3n64:4=`c3><7<5hk;64=4=`c3><2<5hk964?4=`c1><5<5hk964:4=`c7><7<5hk?64=4=`c7><2<5hk=64?4=`c5><5<5hk=64:4=`c;><7<5hk364=4=`c;><2<5hkj64?4=`cb><5<5hkj64:4=`c`><7<5hkh64=4=`c`><2<5hkn64?4=`cf><5<5hkn64:4=``3><7<5hh;64=4=``3><2<5hh964?4=``1><5<5hh964:4=``7><7<5hh?64=4=``7><2<5hh=64?4=``5><5<5hh=64:4=``;><7<5hh364=4=``;><2<5hhj64?4=``b><5<5hhj64:4=```><7<5hhh64=4=```><2<5hhn64?4=``f><5<5hhn64:4=`a3><7<5hi;64=4=`a3><2<5hi964?4=`a1><5<5hi964:4=`a7><7<5hi?64=4=`a7><2<5hi=64?4=`a5><5<5hi=64:4=`a;><7<5hi364=4=`a;><2<5hij64?4=`ab><5<5hij64:4=`a`><7<5hih64=4=`a`><2<5hin64?4=`af><5<5hin64:4=`f3><7<5hn;64=4=`f3><2<5hn964?4=`f1><5<5hn964:4=`f7><7<5hn?64=4=`f7><2<5hn=64?4=`f5><5<5hn=64:4=`f;><7<5hn364=4=`f;><2<5hnj64?4=`fb><5<5hnj64:4=`f`>=`<5hnh64?4=`f`><5<5hnh64:4=`ff><7<5hnn64=4=`ff><2<5ho;65h4=`g3><7<5ho;64=4=`g3><2<5ho965h4=`g1><5<5ho?65h4=`g7><5<5ho=65h4=`g5><5<5ho365h4=`g;><5<5hoj65h4=`gb><5<5hoh65h4=`g`><5<5hon65h4=`gf><5<5hl;65h4=`d3><5<5hl965h4=`d1><5<5hl?65h4=`d7><5<5hl=65h4=`d5><5<5hl365h4=`d;><5<5hlj65h4=`db><5<5hlh65h4=`d`><5<5hln65h4=`df><5<5k:;65h4=c23><5<5k:965h4=c21><5<5k:?65h4=c27><5<5k:=65h4=c25><5<5k:365h4=c2;><5<5k:j65h4=c2b><5<5k:h65h4=c2`><5<5k:n65h4=c2f><5<5k;;65h4=c33><5<5k;965h4=c31><5<5k;?65h4=c37><5<5k;=65h4=c35><5<5k;365h4=c3;><5<5k;j65h4=c3b><5<5k;h65h4=c3`><5<5k;n65h4=c3f><5<5k8;65h4=c03><5<5k8965h4=c01><5<5k8?65h4=c07><5<5k8=65h4=c05><5<5k8365h4=c0;><5<5k8j65h4=c0b><5<5k8h65h4=c0`><5<5k8n65h4=c0f><5<5k9;65h4=c13><5<5k9965h4=c11><5<5k9?65h4=c17><5<5k9=65h4=c15><5<5k9365h4=c1;><5<5k9j65h4=c1b><5<5k9h65h4=c1`><5<5k9n65h4=c1f><5<5k>;65h4=c63><5<5k>964?4=c61><5<5k>?65h4=c67><7<5k>?64=4=c67><2<5k>=65h4=c65><7<5k>=64=4=c65><2<5k>365h4=c6;><7<5k>364=4=c6;><2<5k>j65h4=c6b><7<5k>j64=4=c6b><2<5k>h65h4=c6`><7<5k>h64=4=c6`><2<5k>n65h4=c6f><7<5k>n64=4=c6f><2<5k?;65h4=c73><7<5k?;64=4=c73><2<5k?965h4=c71><7<5k?964=4=c71><2<5k??65h4=c77><7<5k??64=4=c77><2<5k?=65h4=c75><7<5k?=64=4=c75><2<5k?365h4=c7;><7<5k?364=4=c7;><2<5k?j65h4=c7b><7<5k?j64=4=c7b><2<5k?h65h4=c7`><7<5k?h64=4=c7`><2<5k?n65h4=c7f><7<5k?n64=4=c7f><2<5k<;65h4=c43><7<5k<;64=4=c43><2<5k<965h4=c41><7<5k<964=4=c41><2<5k<7<5k<2<5k<=65h4=c45><7<5k<=64=4=c45><2<5k<365h4=c4;><7<5k<364=4=c4;><2<5k<7<5k<2<5k<7<5k<2<5k<7<5k<2<5k=;65h4=c53><7<5k=;64=4=c53><2<5k=965h4=c51><7<5k=964=4=c51><2<5k=?65h4=c57><7<5k=?64=4=c57><2<5k==65h4=c55><7<5k==64=4=c55><2<5k=365h4=c5;><7<5k=364=4=c5;><2<5k=j65h4=c5b><7<5k=j64=4=c5b><2<5k=h65h4=c5`><7<5k=h64=4=c5`><2<5k=n65h4=c5f><7<5k=n64=4=c5f><2<5k2;65h4=c:3><7<5k2;64=4=c:3><2<5k2965h4=c:1><7<5k2964=4=c:1><2<5k2?65h4=c:7><7<5k2?64=4=c:7><2<5k2=65h4=c:5><7<5k2=64:4=c:;>=`<5k2364?4=c:;><2<5k2j65h4=c:b><7<5k2j64:4=c:`>=`<5k2h64?4=c:`><2<5k2n65h4=c:f><7<5k2n64:4=c;3>=`<5k3;64?4=c;3><2<5k3965h4=c;1><7<5k3964:4=c;7>=`<5k3?64?4=c;7><2<5k3=65h4=c;5><7<5k3=64:4=c;;>=`<5k3364?4=c;;><2<5k3j65h4=c;b><7<5k3j64:4=c;`>=`<5k3h64?4=c;`><2<5k3n65h4=c;f><7<5k3n64:4=cc3>=`<5kk;64?4=cc3><2<5kk965h4=cc1><7<5kk964:4=cc7>=`<5kk?64?4=cc7><2<5kk=65h4=cc5><7<5kk=64:4=cc;>=`<5kk364?4=cc;><2<5kkj65h4=ccb><7<5kkj64:4=cc`>=`<5kkh64?4=cc`><2<5kkn65h4=ccf><7<5kkn64:4=c`3>=`<5kh;64?4=c`3><2<5kh965h4=c`1><7<5kh964:4=c`7>=`<5kh?64?4=c`7><2<5kh=65h4=c`5><7<5kh=64:4=c`;>=`<5kh364?4=c`;><2<5khj65h4=c`b><7<5khj64:4=c``>=`<5khh64?4=c``><2<5khn65h4=c`f><7<5khn64:4=ca3>=`<5ki;64?4=ca3><2<5ki965h4=ca1><7<5ki964:4=ca7>=`<5ki?64?4=ca7><2<5ki=65h4=ca5><7<5ki=64:4=ca;>=`<5ki364?4=ca;><2<5kij65h4=cab><7<5kij64:4=ca`>=`<5kih64?4=ca`><2<5kin65h4=caf><7<5kin64:4=cf3>=`<5kn;64?4=cf3><2<5kn965h4=cf1><7<5kn964:4=cf7>=`<5kn?64?4=cf7><2<5kn=65h4=cf5><7<5kn=64:4=cf;>=`<5kn364?4=cf;><2<5knj65h4=cfb><7<5knj64:4=cf`>=`<5knh64?4=cf`><2<5knn65h4=cff><7<5knn64:4=cg3>=`<5ko;64?4=cg3><2<5ko965h4=cg1><7<5ko964:4=cg7>=`<5ko?64?4=cg7><2<5ko=65h4=cg5><7<5ko=64=4=cg;>=`<5ko364?4=cg;><2l7;44=a7=:;i0q~?9b983>6}Ym=h014<7:2`;?8?dn389o6s|17`:>5<4sWo?m6362680f==:1jn1>?m4}r35fd<72:qUi974=805>6d?343hi7<=c:p53de2908wSk;8:?:60<4j1165nm523a8yv71jj0;6>uQe5589<432:h3707la;01g>{t9?ho6=4<{_g72>;>::08n5529b`967el7;<;`=?45k2wx=;li:180[c3;272><4=f1=:;i0q~?9c183>6}Ym=80145<4sWo?=6361g80f==:1j<1>?m4}r35g7<72:qUi9>4=83f>6d?343h87<=c:p53e42908wSkuQe2g89<7d2:h3707l3;01g>{t9?i>6=4<{_g0`>;>9k08n5529b3967el7;<;`6?45k2wx=;m8:180[c4j272=44=f6=:;i0q~?9c983>6}Ym:3014?7:2`;?8?em389o6s|17a:>5<4sWo846361680f==:1kl1>?m4}r35gd<72:qUi>94=835>6d?343ih7<=c:p53ee2908wSk<6:?:50<4j1165ol523a8yv71kj0;6>uQe2789<732:h3707mc;01g>{t9?io6=4<{_g00>;>9:08n5529cc967el7;<;a=g?=:;i0q~?9d183>6}Ym:;014??:2`;?8?e?389o6s|17f2>5<4sWo8<6360g80f==:1k?1>?m4}r35`7<72:qUi?k4=82f>6d?343i:7<=c:p53b42908wSk=d:?:4a<4j1165o:523a8yv71l=0;6>uQe3a89<6d2:h3707m2;01g>{t9?n>6=4<{_g1f>;>8k08n5529c1967el7;<;a5?45k2wx=;j8:180[c51272<44=d`=:;i0q~?9d983>6}Ym;2014>7:2`;?8?e8389o6s|17f:>5<4sWo9;6360680f==:1ho1>?m4}r35`d<72:qUi?84=825>6d?343jo7<=c:p53be2908wSk=5:?:40<4j1165lj523a8yv71lj0;6>uQe4389<632:h3707nb;01g>{t9?no6=4<{_g64>;>8:08n5529`;967el7;<;be?45k2wx=;ji:180[c3m272<<4=d>=:;i0q~?9e183>6}Ym=n014>?:2`;?8?f>389o6s|17g2>5<4sWo?9637fg80f==:1h=1>?m4}r35a7<72:qUi>o4=9df>6d?343j97<=c:p53c42908wSk=f:?;ba<4j1165l=523a8yv71m=0;6>uQe3689=`d2:h3707n4;01g>{t9?o>6=4<{_g17>;?nk08n5529`0967el7;<;ea?45k2wx=;k8:180[`ai2729:4=c`=:;i0q~?9e983>6}Yno3014;9:2`;?8?al389o6s|17g:>5<4sWlm46365480f==:1oh1>?m4}r35ad<72:qUjk94=877>6d?343mo7<=c:p53ce2908wShi6:?:16<4j1165ko523a8yv71mj0;6>uQfg789<352:h3707i8;01g>{t9?oo6=4<{_de7>;>=808n5529g;967el7;<;e3?45k2wx=;ki:180[`a92728k4=c3=:;i0q~?9f183>6}Yno:014:j:2`;?8?a>389o6s|17d2>5<4sWlnj6364e80f==:1o>1>?m4}r35b7<72:qUjhk4=86`>6d?343m>7<=c:p53`42908wShjd:?:0g<4j1165k=523a8yv71n=0;6>uQfda89<2f2:h3707i1;01g>{t9?l>6=4<{_dff>;><008n5529dd967e36>l7;<;e4?45k2wx=;h8:180[`b02728:4=`c=:;i0q~?9f983>6}Ynl=014:9:2`;?8?bk389o6s|17d:>5<4sWln:6364480f==:1ln1>?m4}r35bd<72:qUjh;4=867>6d?343nn7<=c:p53`e2908wShj4:?:06<4j1165h7523a8yv71nj0;6>uQfd189<252:h3707ja;01g>{t9?lo6=4<{_df6>;><808n5529d:967e;6>l7;<;f2?45k2wx=;hi:180[`b8272?k4=`1=:;i0q~?80183>6}Ynml014=j:2`;?8?b=389o6s|1622>5<4sWloh6363e80f==:1l91>?m4}r3447<72:qUjim4=81`>6d?343n87<=c:p52642908wShkb:?:7g<4j1165h<523a8yv708=0;6>uQfec89<5f2:h3707j0;01g>{t9>:>6=4<{_dg=>;>;008n5529d3967e<50936>l7;<;gb?45k2wx=:>8:180[`c?272?:4=ab=:;i0q~?80983>6}Ynm<014=9:2`;?8?cm389o6s|162:>5<4sWlo96363480f==:1mi1>?m4}r344d<72:qUji:4=817>6d?343om7<=c:p526e2908wS??019>=65=;k2014jm:30`?xu6?9i1<7=t^gde?8?4:39i4636d8816f=z{8=;h7>53z\eb`=:1:;1?o64=8f4>74d3ty:;=k50;1xZc`c3438<7=m8:?:`=<5:j1v<9?f;297~Xanj165?h53c:8970294?5|Vol?707=e;1a<>;>l=09>n5rs0525?6=;rTmi45293f97g><50n>6?4=2b9~w416;3:1?vPid29>=7d=;k2014j=:30`?xu6?8>1<7=t^gf1?8?5i39i4636d1816f=z{8=:97>5az\223d<5=;?6>l7;<;5f?451272;?4=289>=20=:;h0147=:30b?8?>;389n63695816g=:10?1>?l4=`1:>74c3ty:;<850;0xZ401i27?=:4vPl189>04g=;k20q~?81983>46?s49ni7=>f:?0ac<49?16?hh5307896ca2:;?70=jf;127>;4n908=;523g29743<5:l;6>?;;<1e4?56;278j54=319>7cg=;j?01>hl:014?827:39h963;0480g0=:<9=1><>4=5:7>75734>3:7=l5:?7<=<6;>1685o53b7891>d289<70:7e;1`1>;31808o8524c79646<5=hm6?=?;<6`=?44827?h940a0=9:=019jm:333?82b=38:<63;f28052=:67034>m87=>3:?7b0<49>168k;5301891`12:;<70:i6;127>;3n>08=:524g59743<5=l<6>?<;<6e0c>=;89019h6:234?82a139:963;f88056=:67234>mm7=>3:?7bg<49>168kl5307891`e2:;870:ic;123>;3nj08=8524ga9745<5=lo6>?8;<6e`?56=27?ji4<129>0cc=;8=019hj:236?82am39:?636658155=:i<:15>52a409>15<52a6c9i15<52a6g9o15<52a92915<52ad69=1=:il<15<52ad49=1=:il215<52ad:9=1=:ilk15<52adc9=1=:ili15<52ada9=1=:ilo15<52adg9=1=:io:15<52ag29=1=:io815<52ag09=1=:io>15<52ag69=1=:io<15<52ag49=1=:io215<52ag:9=1=:iok15<52agc9=1=:ioi15<52aga9=1=:ioo15<52agg9=1=:j9:15<52b129=1=:j9815<52b109=1=:j9>15<52b169=1=:j9<15<52b149=1=:j9215<52b1:9=1=:j9k15<52b1c9=1=:j9i15<52b1a9=1=:j9o15<52b1g9=1=:j8:15<52b029=1=:j8815<52b009=1=:j8>15<52b069=1=:j8<15<52b049=1=:j8215<52b0:9=1=:j8k15<52b0c9=1=:j8i15<52b0a9=1=:j8o15<52b0g9=1=:j;:15<52b329=1=:j;815<52b309=1=:j;>15<52b369=1=:j;<15<52b349=1=:j;215<52b3:9=1=:j;k15<52b3c9=1=:j;i15<52b3a9=1=:j;o15<52b3g9=1=:j::15<52b229=1=:j:815<52b209=1=:j:>15<52b269=1=:j:<15<52b249=1=:j:215<52b2:9=1=:j:k15<52b2c9=1=:j:i15<52b2a9=1=:j:o15<52b2g9=1=:j=:15<52b529=1=:j=814k52b509=1=:j1<15>52b9:9=6=:j1k15>52b9a9=6=:j1o15>52b829=6=:j0815>52b869=6=:j0<15>52b8:9=6=:j0k15>52b8a9=6=:j0o15>52b`29=6=:jh815>52b`69=6=:jh<15>52b`:9=6=:jhk15>52b`a9=6=:jho15>52bc29=6=:jk815>52bc69=6=:jk<15>52bc:9=6=:jkk15>52bca9=6=:jko15>52bb29=6=:jj815>52bb69=6=:jj<15>52bb:9=6=:jjk15>52bba9=6=:jjo15>52be29=6=:jm815>52be69=6=:jm<15>52be:9=6=:jmk15>52bea9=6=:jmo15>52bd29=6=:jl815>52bd69=6=:jl<15952bd:9=6=z{8=:57>52z?0acv3;4nl08?45rs052f?6=:r78ik4mf:?0b`<4;11v<9>c;296~;4mo0ii6352z?0acv3;4nl08?95rs052b?6=:r78ik4mb:?0b`<4;:1v<9=0;296~;4mo0im6352z?0acv3;4nl08?=5rs0517?6=:r78ik4l9:?0b`<4:o1v<9=4;296~;4mo0h46352z?0ac3:1>v3;4nl08>n5rs0513?6=:r78ik4l5:?0b`<4:h1v<9=8;296~;4mo0h86352z?0acv3;4nl08>:5rs051f?6=9:q6?hh510d896ca28;o70=jf;32g>;4mo0:=o523dd954g<5:om6169>7``=98?01>ki:037?85bn3;:?63477349nj7??f:?0ac<68l16?hh511f896ca28:h70=id;103>{t9>8h6=4={<1fb?77i278ji4<349~w415l3:1>v3:4}r346`<72;q6?hh511:896`c2:987p}>73d94?4|5:om6<>8;<1e`?54:2wx=:=?:18185bn3;;:6352z?0ac<68<16?kj53228yv70;;0;6?u23dd9552<5:lo6>ki:020?85al399i6s|1617>5<5s49nj7??2:?0ba<4:m1v<9<5;296~;4mo0:<<523gf977e7``=no16?kj533c8yv70;>0;6?u23dd9b`=:;on1??74}r347=<72;q6?hh5fe9>7cb=;;20q~?83883>7}:;ll1jn523gf97717``=nk16?kj53348yv70;k0;6?u23dd9bd=:;on1??;4}r347f<72;q6?hh5f89>7cb=;;>0q~?83e83>7}:;ll1j5523gf97757``=n>16?kj53308yv70;o0;6?u23dd9b3=:;on1???4}r3405<72;q6?hh5137896`c2:?;7p}>75394?4|5:om6<<;;<1e`?53n2wx=::=:18185bn3;9?6352z?0ac<6:;16?kj535f8yv70<=0;6?u23dd9577<5:lo6>:l;|q2313=838p1>ki:003?85al39?n6s|1665>5<5s49nj7?>6:?0ba<4<81v<9;7;296~;4mo0:7``=99:01>hk:20a?xu6?=31<7c3<5:lo6>ki:214?8?am389n6s|166a>5<5s49nj7=<5:?:bc<5:k1v<9;c;296~;4mo08?9529gf967d7``=;:9014hm:30a?xu6?=o1<7655343mo7<=b:p522a2909w0=jf;105>;>nh09>o5rs0564?6=:r78ik4<319>=c>=:;h0q~?85083>7}:;ll1??h4=8d:>74e3ty:;8<50;0x96ca2:8n707i7;01f>{t9>?86=4={<1fb?55l272j84=2c9~w412<3:1>v3?l4}r3410<72;q6?hh533c89<`32;8i7p}>74494?4|5:om6><6;<;e6?45j2wx=:;8:18185bn3994636f2816g=z{8=>47>52z?0ac<4:>165k?523`8yv70=00;6?u23dd9770<50om6?ki:206?8?a8389n6s|167a>5<5s49nj7==4:?:a`<5:k1v<9:c;296~;4mo08>>529da967d7``=;;8014kk:30a?xu6?646343nn7<=b:p523a2909w0=jf;164>;>m009>o5rs0554?6=:r78ik4<4g9>=`g=:;h0q~?86083>7}:;ll1?9k4=8g;>74e3ty:;;<50;0x96ca2:>o707j6;01f>{t9><86=4={<1fb?53k272i:4=2c9~w411<3:1>v3?l4}r3420<72;q6?hh53538977494?4|5:om6>=9;<;f0?45j2wx=:88:18185bn399n636e3816g=z{8==47>52z?0ac<4:9165h>523`8yv70>00;6?>t=2ge>42?349nj7?;6:?0ac<6<<16?hh5156896ca28>870=jf;376>;4mo0:8<523dd9516<5:om6<;>;<1fb?728278ik4>4g9>7``=9=o01>ki:06g?85bn3;?o6342>349nj7?m278ik46c:?0ac<>j278ik46a:?0ac<>1278ik468:?0ac<>?278ik466:?0acv3;4nl08?k5rs055f?6=:r78ik4n1:?0b`<4;l1v<99c;296~;4mo0j<6352z?0ac<>n278jh4<3b9~w411m3:1>v3;4nl08?o5rs055b?6=:r78j=4l2:?745<4;h1v<980;296~;4n90h<63;01807<=z{8=<=7>52z?0b5v3;38908?:5rs0547?6=:r78j=4md:?745<4;<1v<984;296~;4n90io63;018071=z{8=<97>52z?0b53:1>v3;38908??5rs0543?6=:r78j=4lb:?745<4;81v<988;296~;4n90hm63;018075=z{8=<57>52z?0b5v3;38908>h5rs054f?6=:r78j=4l7:?745<4:m1v<98c;296~;4n90h:63;01806f=z{8=52z?0b5v3;38908>45rs054b?6=:r78j=4l3:?745<4:11v<970;296~;4n90i563;018062=z{8=3=7>512y>7c6=98l01>h?:03g?85a83;:o6347>349m<7?>8:?0b5<69>16?k>5107896`728;?70=i0;327>;4n90:=?523g29547<5:l;60d9>7c6=99n01>h?:02`?85an398;6s|16:1>5<5s49m<7??a:?0bc<4;<1v<973;296~;4n90:<4523gd97627c6=99201>hi:210?xu6?1?1<7460349mj7=<2:p52>12909w0=i0;332>;4no08?<5rs05;3?6=:r78j=4>049>7c`=;::0q~?88983>7}:;o:1==:4=2de>64a3ty:;5750;0x96`728:870=if;11a>{t9>2j6=4={<1e4?77:278jk4<2e9~w41?j3:1>v35fg9>7c`=;;k0q~?88e83>7}:;o:1jh523gd977?7c6=nm16?kh533:8yv700o0;6?u23g29bf=:;ol1??94}r34=5<72;q6?k>5fc9>7c`=;;<0q~?89083>7}:;o:1jl523gd97737c6=n016?kh53368yv701:0;6?u23g29b==:;ol1??=4}r34=1<72;q6?k>5f69>7c`=;;80q~?89483>7}:;o:1j;523gd97777c6=9;?01>hi:273?xu6?0=1<7443349mj7=;f:p52??2909w0=i0;317>;4no088h5rs05:=?6=:r78j=4>239>7c`=;=n0q~?89`83>7}:;o:1=??4=2de>62d3ty:;4l50;0x96`7288;70=if;17f>{t9>3h6=4={<1e4?76>278jk4<409~w41>l3:1>v384}r34=`<72;q6?k>5112896`a2:8i7p}>78d94?4|5:l;6k;4=2de>6473ty:;l>50;0x96`72:9<707k1;01f>{t9>k:6=4={<1e4?54=272ok4=2c9~w41f:3:1>v3?l4}r34e6<72;q6?k>5321897`694?4|5:l;6>==;<;`g?45j2wx=:o::18185a8398=636c`816g=z{8=j:7>52z?0b5<4;9165nl523`8yv70i>0;6?u23g2977`<50i26?=838p1>h?:20f?8?d?389n6s|16c:>5<5s49m<7==d:?:g=<5:k1v<9na;296~;4n908>n529b4967d7c6=;;k014m;:30a?xu6?hi1<764>343h97<=b:p52gc2909w0=i0;11<>;>k:09>o5rs05ba?6=:r78j=4<269>=f7=:;h0q~?8ag83>7}:;o:1??84=8a1>74e3ty:;o>50;0x96`72:8>707l0;01f>{t9>h:6=4={<1e4?55<272nh4=2c9~w41e:3:1>v3?l4}r34f6<72;q6?k>5330897c694?4|5:l;6><>;<;af?45j2wx=:l::18185a839><636bb816g=z{8=i:7>52z?0b5<40;6?u23g2971c<50h36?=838p1>h?:26g?8?e1389n6s|16`:>5<5s49m<7=;c:?:f2<5:k1v<9ma;296~;4n9088o529c7967d7c6=;=;014l9:30a?xu6?ki1<7651343i87<=b:p52dc2909w0=i0;11f>;>j;09>o5rs05aa?6=:r78j=4<219>=g5=:;h0q~?8bg83>76|5:l;6<:7;<1e4?73>278j=4>449>7c6=9=>01>h?:060?85a83;?>634=2d3>436349m<7?:0:?0b5<6515g896`728>o70=i0;37g>;4n90:8o523g2951g<5:l;6<:6;<1e4?74n278j=46e:?0b5<>k278j=46b:?0b5<>i278j=469:?0b5<>0278j=467:?0b5<>>278j=4n7:?0b5278j=4n5:?0b563;01807c=z{8=h=7>52z?0b5v3;38908?i5rs05`7?6=:r78j=46f:?745<4;j1v<9l4;296~;4n902963;01807g=z{8=h97>54z?0b4<599165;o523;89d612:h370o;4;01<>{t9>i=6=4<{<1e5?5d=278j?4=319>=3g=;8:0q~?8c683>7}:;o81?<>4=`25>45c3ty:;n650;0x96`4289o70795;124>{t9>i26=49{<1e7?5e0278ji4<149>7cc=;8?01>hi:236?827839:963664816f=z{8=hm7>52z?0b1<6;m165;m53028yv70kk0;6;u23g697g><5:lo6>?;;<1ea?56<278jk4<159>056=;8>0148l:30a?xu6?ji1<745c343=h7=>0:p52ec290=w0=i5;1a<>;4nm08=>523gg9745<5:lm6>?<;<634?56;272:i4=2c9~w41dm3:1>v34}r34gc<72=q6?k8520289d602:h370o?8;01=>;f<:09>55rs05g4?6=:r78j;4e15=;8:0q~?8d083>7}:;o<1?<>4=2d4>4503ty:;i<50;0x96`02;;;70o;5;124>{t9>n86=4={<1e3?5d=27j>:4<119~w41c<3:1?v394=52:>45c3ty:;i;50;0x96`?2:;;70:?8;30`>{t9>n=6=4={<1e=?74?278jn4<119~w41c?3:18v374>343<97<=9:p52b?2909w0=i9;1`1>;>?=08==5rs05g=?6=;r78j44<119>7cg=9:=01>hm:014?xu6?mk1<767734>;m7?;>?908==5rs05gg?6=kr78ji4l2:?0ba52z?0bav3;e0o08n55rs05f4?6=:r78ji4l5:?a=4<4j11v<9j1;296~;4nm0h863m8e80f==z{8=n>7>52z?0ba4v3;e1<08n55rs05f0?6=:r78ji4<7b9>0c>=;hn0q~?8e483>7}:;on1?:o4=5d;>6ge3ty:;h850;0x96`c2:=270:i8;1be>{t9>o<6=4={<1e`?50027?j54v37dc94?4|5:lo6>9:;<6e2wx=:km:18185al39<863;f980e0=z{8=no7>52z?0ba<4?;168k653`18yv70mm0;6?u23gf9727<5=l36>o=;|q23`c=838p1>hk:253?82a039j=6s|16ge>5<5s49mh7=9f:?7b=<4i91v<9i0;296~;4nm08:h524g:97<`7cb=;?n019h7:2;f?xu6?o81<760d34>m47=6d:p52`42909w0=id;15f>;3n1085n5rs05e0?6=:r78ji4<6`9>0c>=;0h0q~?8f483>7}:;on1?;74=5d;>6?f3ty:;k850;0x96`c2:<<70:i8;1:<>{t9>l<6=4={<1e`?51>27?j54<969~w41a03:1>v37p}>7gc94?4|5:lo6>8<;<6e<2wx=:hm:18185al39=>63;f980=6=z{8=mo7>52z?0ba<4>8168k653808yv70nm0;6?u23gf9736<5=l36>7>;|q23cc=838p1>hk:27e?82a0392<6s|16de>5<5s49mh7=:e:?7b=<40o1v<6?0;296~;4nm089n524g:97=b7cb=;63f34>m47=7b:p5=642909w0=id;16=>;3n1084l5rs0:30?6=:r78ji4<599>0c>=;130q~?70483>7}:;on1?894=5d;>6>?3ty:4=850;0x96`c2:?=70:i8;1;3>{t91:<6=4={<1e`?52=27?j54<879~w4>703:1>v381c94?4|5:lo6>6=;<6em:18185al393=63;f980f7=z{82;o7>52z?0ba<409168k653c38yv7?8m0;6?u23gf972`<5=l36>l?;|q2<5c=838p1>hk:25f?82a039jj6s|192e>5<5s49mh7=8d:?7b=<4il1v<6>0;296~;4nm08;>524g:97d27cb=;?2019h7:2;:?xu60881<763c34>m47=7e:p5=742909w0=id;166>;3n1084>5rs0:20?6==r78ji46e:?0b`<>m278jk46e:?745<>m273ol4>e49~w4>6=3:19v3;4nl02o63;38902o637c`82a6=z{82::7>55z?0ba<>j278jh46b:?0bc<>j27?<=46b:?;gd<6m;1v<6>7;291~;4nm02m63;4no02m63;018:e>;?kh0:i<5rs0:21278jk469:?745<>1273ol4>e19~w4>613:19v3;4nl02463;389024637c`82`c=z{82:m7>55z?0ba<>?278jh467:?0bc<>?27?<=467:?;gd<6ll1v<6>b;291~;4nm02:63;4no02:63;018:2>;?kh0:hi5rs0:2g?6==r78ji4n7:?0b`6l3:19v3;4nl0j:63;3890j:637c`814f=z{82:i7>55z?0baf;291~;4nm0j863;4no0j863;018b0>;?kh09593:19v3;4nl0j>63;3890j>637c`814==z{829>7>55z?0ba;4no0j<63;018b4>;?kh0:j>5rs0:10?6==r78ji46f:?0b`<>n278jk46f:?745<>n273ol4>e99~w4>5=3:19v3;4nl02963;389029637c`82`f=z{829:7>52z?0b`5?3:1>v3;e1008n55rs0:152z?0b`5j3:1>v3;ei:08n55rs0:1g?6=:r78jh4lb:?ae0<4j11v<6=d;296~;4nl0hm63ma080f==z{829i7>52z?0b`5n3:1>v3;eik08n55rs0:04?6=:r78jh4l7:?ae2<4j11v<6<1;296~;4nl0h:63mae80f==z{828>7>52z?0b`4;3:1>v3;ej808n55rs0:00?6=:r78jh4l3:?af6<4j11v<6<5;296~;4nl0i563mb680f==z{828:7>52z?0b`<4?j168km53`f8yv7?;>0;6?u23gg972g<5=lh6>om;|q2<6>=838p1>hj:25:?82ak39jm6s|191:>5<5s49mi7=88:?7bf<4i01v<67cc=;><019hl:2c4?xu60:i1<761234>mo7=n6:p5=5c2909w0=ie;140>;3nj08m85rs0:0a?6=:r78jh4<739>0ce=;h90q~?73g83>7}:;oo1?:?4=5d`>6g53ty:49>50;0x96`b2:=;70:ic;1b5>{t91>:6=4={<1ea?51n27?jn43:3:1>v385694?4|5:ln6>8l;<6eg?5>l2wx=5:::18185am39=n63;fb80=f=z{82?:7>52z?0b`<4>h168km538`8yv7?<>0;6?u23gg973?<5=lh6>7n;|q2<1>=838p1>hj:244?82ak39246s|196:>5<5s49mi7=96:?7bf<41>1v<6;a;296~;4nl08:8524ga97<07cc=;?>019hl:2;6?xu60=i1<760434>mo7=64:p5=2c2909w0=ie;156>;3nj085>5rs0:7a?6=:r78jh4<609>0ce=;080q~?74g83>7}:;oo1?;>4=5d`>6?63ty:48>50;0x96`b2:?m70:ic;1:4>{t91?:6=4={<1ea?52m27?jn4<8g9~w4>2:3:1>v384694?4|5:ln6>;n;<6eg?5?j2wx=5;::18185am39>563;fb80:7>52z?0b`<4=1168km539;8yv7?=>0;6?u23gg9701<5=lh6>67;|q2<0>=838p1>hj:275?82ak393;6s|197:>5<5s49mi7=:5:?7bf<40?1v<6:a;296~;4nl0899524ga97=37cc=;<9019hl:2:7?xu606>534>mo7=m3:p5=3c2909w0=ie;1;5>;3nj08n?5rs0:6a?6=:r78jh4<819>0ce=;k;0q~?75g83>7}:;oo1?:h4=5d`>6d73ty:4;>50;0x96`b2:=n70:ic;1bb>{t91<:6=4={<1ea?50l27?jn41:3:1>v387694?4|5:ln6>;k;<6eg?5?m2wx=58::18185am39>>63;fb80<6=z{82=:7>52z?0b`<4:?16n9:512f8yv7?>>0;6?u23gg9773<5k>36<=k;|q2<3>=838p1>hj:207?8d3i3;8h6s|194:>5<5s49mi7==3:?a03<6;m1v<69a;296~;4nl08>?52b5g956b7cc=;;;01o;?:01g?xu60?i1<763734h?o7?;e=;0:?i5rs0:5a?6=:r78jh4<4d9>f02=9:n0q~?76g83>7}:;oo1?9j4=c75>45c3ty:4:>50;0x96`b2:>h70l:8;30`>{t91=:6=4={<1ea?53j27i9n4>3e9~w4>0:3:1>v3j4}r3;36<72;q6?kk532489g3f289o7p}>86694?4|5:ln6>5cz?0bc0?3:1>v3;ej<08n55rs0:452z?0bc0j3:1>v3;ek:08n55rs0:4g?6=:r78jk4l3:?ag0<4j11v<68d;296~;4no0i563mc080f==z{8252z?0bc<4?j168k953`f8yv7??o0;6?u23gd972g<5=l<6>om;|q2<=6=838p1>hi:25:?82a?39jm6s|19:2>5<5s49mj7=88:?7b2<4i01v<672;296~;4no08;:524g597d>4?:3y>7c`=;><019h8:2c4?xu601>1<761234>m;7=n6:p5=>22909w0=if;140>;3n>08m85rs0:;2?6=:r78jk4<739>0c1=;h90q~?78683>7}:;ol1?:?4=5d4>6g53ty:45650;0x96`a2:=;70:i7;1b5>{t91226=4={<1eb?51n27?j:4?i3:1>v389a94?4|5:lm6>8l;<6e3?5>l2wx=56k:18185an39=n63;f680=f=z{823i7>52z?0bc<4>h168k9538`8yv7?0o0;6?u23gd973?<5=l<6>7n;|q2<<6=838p1>hi:244?82a?39246s|19;2>5<5s49mj7=96:?7b2<41>1v<662;296~;4no08:8524g597<04?:3y>7c`=;?>019h8:2;6?xu600>1<760434>m;7=64:p5=?22909w0=if;156>;3n>085>5rs0::2?6=:r78jk4<609>0c1=;080q~?79683>7}:;ol1?;>4=5d4>6?63ty:44650;0x96`a2:?m70:i7;1:4>{t91326=4={<1eb?52m27?j:4<8g9~w4>>i3:1>v388a94?4|5:lm6>;n;<6e3?5?j2wx=57k:18185an39>563;f68052z?0bc<4=1168k9539;8yv7?1o0;6?u23gd9701<5=l<6>67;|q2hi:275?82a?393;6s|19c2>5<5s49mj7=:5:?7b2<40?1v<6n2;296~;4no0899524g597=34?:3y>7c`=;<9019h8:2:7?xu60h>1<76>534>m;7=m3:p5=g22909w0=if;1;5>;3n>08n?5rs0:b2?6=:r78jk4<819>0c1=;k;0q~?7a683>7}:;ol1?:h4=5d4>6d73ty:4l650;0x96`a2:=n70:i7;1bb>{t91k26=4={<1eb?50l27?j:4fi3:1>v38`a94?4|5:lm6>;k;<6e3?5?m2wx=5ok:18185an39>>63;f680<6=z{82ji7>52z?745fn3:1>v3;018aa>;ek008n55rs0:a4?6=:r7?<=4md:?agg<4j11v<6m1;296~;3890io63mce80f==z{82i>7>52z?745e;3:1>v3;018ae>;el:08n55rs0:a0?6=:r7?<=4lb:?agc<4j11v<6m5;296~;3890hm63md680f==z{82i:7>52z?745e?3:1>v3;018`<>;el<08n55rs0:a52z?745ej3:1>v3;018`0>;em808n55rs0:ag?6=:r7?<=4l3:?aa6<4j11v<6md;296~;3890i563me480f==z{82ii7>52z?745<4?j168kl53`f8yv7?jo0;6?u2412972g<5=li6>om;|q2?:25:?82aj39jm6s|19a2>5<5s4>;<7=88:?7bg<4i01v<6l2;296~;38908;:524g`97d>4?:3y>056=;><019hm:2c4?xu60j>1<761234>mn7=n6:p5=e22909w0:?0;140>;3nk08m85rs0:`2?6=:r7?<=4<739>0cd=;h90q~?7c683>7}:<9:1?:?4=5da>6g53ty:4n650;0x91672:=;70:ib;1b5>{t91i26=4={<634?51n27?jo4di3:1>v3;01802`=:537f891`e2:3n7p}>8ba94?4|5=:;6>8l;<6ef?5>l2wx=5mk:181827839=n63;fc80=f=z{82hi7>52z?745<4>h168kl538`8yv7?ko0;6?u2412973?<5=li6>7n;|q2?:244?82aj39246s|19f2>5<5s4>;<7=96:?7bg<41>1v<6k2;296~;38908:8524g`97<04?:3y>056=;?>019hm:2;6?xu60m>1<760434>mn7=64:p5=b22909w0:?0;156>;3nk085>5rs0:g2?6=:r7?<=4<609>0cd=;080q~?7d683>7}:<9:1?;>4=5da>6?63ty:4i650;0x91672:?m70:ib;1:4>{t91n26=4={<634?52m27?jo4<8g9~w4>ci3:1>v3;01801f=:534`891`e2:2h7p}>8ea94?4|5=:;6>;n;<6ef?5?j2wx=5jk:181827839>563;fc8052z?745<4=1168kl539;8yv7?lo0;6?u24129701<5=li6>67;|q2<`6=838p19>?:275?82aj393;6s|19g2>5<5s4>;<7=:5:?7bg<40?1v<6j2;296~;3890899524g`97=34?:3y>056=;<9019hm:2:7?xu60l>1<76>534>mn7=m3:p5=c22909w0:?0;1;5>;3nk08n?5rs0:f2?6=:r7?<=4<819>0cd=;k;0q~?7e683>7}:<9:1?:h4=5da>6d73ty:4h650;0x91672:=n70:ib;1bb>{t91o26=4={<634?50l27?jo4bi3:1>v3;018036=:537:891`e2:327p}>8da94?4|5=:;6>;k;<6ef?5?m2wx=5kk:181827839>>63;fc80<6=z{82ni7>52z?745<4:?16n;>512f8yv7?mo0;6?u24129773<5k<36<=k;|q2?:207?8d1i3;8h6s|19d2>5<5s4>;<7==3:?a23<6;m1v<6i2;296~;38908>?52b7g956b4?:3y>056=;;;01o9?:01g?xu60o>1<763734h=o7?;e?=0:?i5rs0:e2?6=:r7?<=4<4d9>f20=9:n0q~?7f683>7}:<9:1?9j4=c51>45c3ty:4k650;0x91672:>h70l8a;30`>{t91l26=4={<634?53j27i;n4>3e9~w4>ai3:1>v3;018004=:j>21=>j4}r3;bg<72;q68=>532489g>7289o7p}>8ga94?4|5=:;6>53z?744<6;>168=<5125891642:;;7p}>8gd94?4|5=::6>??;<625?74l2wx=4>?:185827;3;8;63;1180f==:1?31>?74=84e>74f3433>7<=a:?a07<6;m1v<7?1;296~;38:08o8529639746052=9:=019?=:2`;?8?1i389m6366c816f=z{83;?7>52z?741<4k<16m9:53028yv7>8=0;6>u24169746<5=:>6<=8;<632?74?2wx=4>::181827=39:<63;068272=z{83;:7>52z?743<499168<=512f8yv7>8>0;6?u241597f3<50=96>??;|q2=5>=838p19>8:233?826<3;8h6s|182:>5<19r7?<54=d4=:;3014o<:30:?8?f<3895636a4816<=:1h<1>?74=8c4>74>343j47<=9:?:e<<5:0165lo523;89;>im09>4529`g967?<50km6?<6;<;a4?451272n<4=289>=g4=:;3014l<:30:?8?e<3895636b4816<=:1k<1>?74=8`4>74>343i47<=9:?:f<<5:0165oo523;89;>jm09>4529cg967?<50hm6?<6;<;`4?451272o<4=289>=f4=:;3014m<:30:?8?d<3895636c4816<=:1j<1>?74=8a4>74>343h47<=9:?:g<<5:0165no523;89;>km09>4529bg967?<50im6?<6;<;g4?451272h<4=289>=a4=:;3014j<:30:?8?c<3895636d4816<=:1m<1>?74=8f4>74>343o47<=9:?:`<<5:0165io523;89;>lm09>4529eg967?<50nm6?<6;<;f4?451272i<4=289>=`4=:;3014k<:30:?8?b<3895636e4816<=:1l<1>?74=8g4>74>343n47<=9:?:a<<5:0165ho523;89;>mm09>4529dg967?<50om6?<6;<;e4?451272j<4=289>=c4=:;3014h<:30:?8?a<3895636f4816<=:1o<1>?74=8d4>74>343m47<=9:?:b<<5:0165ko523;89<`e2;82707ic;01=>;>nm09>4529gg967?<50lm6?<6;1><>4=5a5>45034>h;7=m8:?7b7<6;m1v<7?b;290~;38k08n5524b79646<5h>j6?<7;l:180827k3;8h63;0e827a=:1?21?<>4}r3:4a<72;q68=m53c:89d13289o7p}>91g94?4|5=:n6<=k;<;53?5682wx=4>i:180827m39i463666816f=:1?31>?j4}r3:55<72;q68=h512f89<0>2:;;7p}>90394?0|5=:m6>l7;<;53?45i272:54=289>=3?=:;h01l=l:30a?8g0m3;8h6s|1831>5<5s4>:<7?3;29<~;39808n552975967d<50<36?=<0=:;k01l=l:30`?8g283;8h6s|1837>5<5s4>:>7?5;296~;39:08n55297`967d043=9:n01489:233?xu618=1<76d?34>:h7l;;|q2=4>=838p19?9:01g?8?1m39:<6s|183:>5<5s4>::7=m8:?75a0:?i52966967d<50=>6?4=2`9>=d2=:;k014o::30b?8?f>389m636a6816d=:1h21>?o4=8c:>74f343jm7<=a:?:eg<5:h165lm523c89;>io09>l529c2967g<50h:6?4=2`9>=g2=:;k014l::30b?8?e>389m636b6816d=:1k21>?o4=8`:>74f343im7<=a:?:fg<5:h165om523c89;>jo09>l529b2967g<50i:6?4=2`9>=f2=:;k014m::30b?8?d>389m636c6816d=:1j21>?o4=8a:>74f343hm7<=a:?:gg<5:h165nm523c89;>ko09>l529e2967g<50n:6?4=2`9>=a2=:;k014j::30b?8?c>389m636d6816d=:1m21>?o4=8f:>74f343om7<=a:?:`g<5:h165im523c89;>lo09>l529d2967g<50o:6?4=2`9>=`2=:;k014k::30b?8?b>389m636e6816d=:1l21>?o4=8g:>74f343nm7<=a:?:ag<5:h165hm523c89;>mo09>l529g2967g<50l:6?4=2`9>=c2=:;k014h::30b?8?a>389m636f6816d=:1o21>?o4=8d:>74f343mm7<=a:?:bg<5:h165km523c89<`c2;8j707ie;01e>;>no09>l52a12967?<5h::6?91?<>4}r3:5f<72=q68<6512f89;e0=0:?i5rs0;2`?6=:r7?=540d>=9:n0q~?61d83>6}:<831=>j4=53a>6d?34k>>7?9;1a<>;>>?09>o5297g967g=4?:3y>04g=9:n0149::233?xu61;;1<78t=53a>45c34>:o7=m8:?:22<5:0165;6523a89<0>2;8j7079f;01f>{t90896=4={<62g?74l272;;4<119~w4?5;3:19v3;1e8ba>;3lj09==52983967g<503?6?;>1809>o52981967e<5h;86>l7;|q2=73=839p19?k:324?8g0i3;8h63ne5827a=z{839:7>53z?75a<58<16m5>512f89dc1289o7p}>93594?5|5=;o6?>;;3e9~w4?503:1?v3;1e8146=:i1>1=>j4=`g;>45c3ty:5?750;1x917c2;:970o76;30`>;fmh0:?i5rs0;1e?6=;r7?=i4=009>e=g=9:n01lkl:01g?xu61;h1<7=t=53g>76734k3o7?83;8h63nf5827a=z{839i7>53z?75a<6nj16m4<512f89d`7289o7p}>93d94?5|5=;o63e9~w4?483:1?v3;1e82bd=:i0<1=>j4=`db>45c3ty:5>?50;1x917c28l270o68;30`>;fn?0:?i5rs0;06?6=;r7?=i4>f99>e<2=9:n01lhj:01g?xu61:91<7=t=53g>4`034k2m7?m3;8h63m05827a=z{838:7>53z?75a<6n=16ml>512f89g61289o7p}>92594?5|5=;o63e9~w4?403:1?v3;1e82b4=:ih<1=>j4=c2b>45c3ty:5>750;1x917c28l;70on2;30`>;e8j0:?i5rs0;0e?6=;r7?=i4>eg9>edg=9:n01o>7:01g?xu61:h1<7=t=53g>4cb34kjo7?53z?75a<6mk16mo<512f89g71289o7p}>92d94?5|5=;o63e9~w4?383:1?v3;1e82a<=:ik>1=>j4=c37>45c3ty:59?50;1x917c28o<70om6;30`>;e9h0:?i5rs0;76?6=;r7?=i4>e79>eg>=9:n01o?l:01g?xu61=91<7=t=53g>4c234kim7?52acg956b<5k8;6<=k;|q2=13=839p19?k:0g1?8gd83;8h63m25827a=z{83?:7>53z?75a<6m816mom512f89g41289o7p}>95594?5|5=;o6?4>3e9~w4?303:1?v3;1e82`c=:ij<1=>j4=c0b>45c3ty:59750;1x917c28nn70ol2;30`>;e:j0:?i5rs0;7e?6=;r7?=i4>de9>efg=9:n01o<7:01g?xu61=h1<7=t=53g>76c34kho7?53z?75a<58h16mi>512f89g53289o7p}>95d94?5|5=;o6?>6;3e9~w4?283:1?v3;1e814==:im>1=>j4=c1;>45c3ty:58?50;1x917c28ln70ok8;30`>;e;h0:?i5rs0;66?6=;r7?=i4>f29>eag=9:n01o=j:01g?xu61<91<7=t=53g>4c?34ko:7?5<4s4>3<7=m8:?7gd<59916m>k523a8yv7>=>0;6>u2493956b<5=286?=>;<6;0?74?2wx=4;7:18782?939i463;838272=:<0n1=>j4=`65>74?3ty:58750;7x91>52;;;70:67;30`>;3jm0:?i524bd9561<5=n;6>l7;|q2=0g=838p196=:2a6?8?0139:<6s|187a>5<5s4>3>7=>0:?7<6<6;>1v<7:c;290~;30:09==524b39646<5=i96???;<6g5?5e02wx=4;k:18182?;39h96367`8055=z{83>i7>52z?7<0<6;>1685653028yv7>=o0;6;u24979646<5=336>l7;<;44=2`9>==2=:;i0146::30:?xu61?:1<76e23430:p5<062908w0:75;124>;30?0:?:5249595610=1=;8:01977:01g?xu61?91<76e23430:p5<032909w0:79;303>;30j08==5rs0;51?6=?r7?444=119>01>?l4=8:6>74e3ty:5;850;1x91>>2:;;70:7a;303>;30k0:?:5rs0;53?6=:r7?4o4<119>07}:<1i1?n;4=85`>6773ty:5;750;0x91>c2:i>70o=8;124>{t90369>0=`=9:=0q~?66c83>7}:<1o1?<>4=5;3>4503ty:5;m50;0x91>a2:;;70:6a;30`>{t9094=5;1>4503ty:5;h50;0x91?52:;;70:6b;30`>{t90=;6=4={<6:7?74l27?m84v3;9280f==:0jk1?i;4}r3:37<72;q684:512f891g02:h37p}>96194?5|5=3?6>l7;<731?74l27=ni4v3;94827a=:1>=1?<>4}r3:30<720q684;53c:891?d289o70787;01=>;>?009>o5296c967g<5h9m6?<6;e14=:;k0q~?67783>7}:<0<1=>j4=85;>6773ty:5:950;5x91?12:h370787;01e>;>?109>45296;967?<50=n6?v3;9680f==:0jk1mn5rs0;4=?6=:r7?5n4==?=:;h0q~?67`83>7}:<0n1?o64=5a3>7773ty:5:l50;7x91?b289o70:n0;1a<>;>0h09>l5299d967g<5h9o6?55z?7=c<6;m168l:53c:89<>02;8270o;f<809>l5rs0;4a?6=:r7?5k4==>=:;30q~?67g83>g}:j4=5c;>6d?3433;7<=a:?:<=<5:h16557523c89<>f2;827077b;01=>;>0j09>l5299g967g<5h><6?<7;53z?7e4<4j11655m523;89<>c2;8j7p}>99094?4|5=k96<=k;<;;4?5682wx=46<:18;82f:39i463688816<=:11i1>?l4=8:g>74>3433i7<=9:?:523;89d2?2;837p}>99694?4|5=k86<=k;52z?7e1<6;m16m>h53028yv7>0>0;6?u24`7956b<5h>;6>??;|q2==>=838p19o9:01g?8g3:39:<6s|18::>5<3s4>j:7=m8:?:<2<5:k1655j523`89d262;827p}>99c94?4|5=k<6<=k;<;4a?5682wx=46m:18182f139i463;bb827a=z{833o7>58z?7ed<4j1165:o523;89<>72;8j70776;01=>;f;h09>l52a2d967e<5h>:6?>?4}r3:<`<72:q68ll5222891gd2:i>70o=9;124>{t902m6=4={<6bf?56827j<44>3e9~w4?>83:19v3;ab8155=:1>i1>?74=`2:>6d?34k947<=9:?b6<<5:01v<761;297~;3im09?=524`g97f3<502:6>??;|q2=<4=838p19ok:233?8g7i3;8h6s|18;0>5<2s4>ji7<>0:?:3f<5:h1655?523;89d6f2:h370o=8;01e>{t903?6=4<{<6bb?74?27?n=4>369>0g4=::;0q~?69483>6}:>>4=5`3>6e234k9m7=>0:p5;f8k0:?i5rs0;:3?6==27=:;301l>m:2`;?8g5i38956s|18;;>5<4s4>i=7<<0:?7f7<4k<1655<53028yv7>100;6?u24c39746<5h:h6<=k;|q2=p19l=:333?8?09389m63683816<=:i9i1?o64}r3:=g<72:q68o=5125891d22:;;70:m7;303>{t903h6=4<{<6a7?44827?n:4==5=;8:0q~?69e83>7}:4=`2f>45c3ty:54k50;1x91d3289<70:m6;303>;3j>09?<5rs0;:b?6=;r7?n94=319>0g0=;j?0146;:233?xu61h:1<767734k;h7?;>0<08==5rs0;b6?6==r7?n;4=119>=2d=:;k0149k:30:?8??<389563n0e80f==z{83j?7>55z?7f2<599165:l523;89<1c2;8j70773;01=>;f8l08n55rs0;b0?6=;r7?n54>369>0g?=9:=019ll:2`;?xu61h?1<7;t=5`;>77734>mj7?e7d=:;30q~?6a783>6}:75734k9n7=>0:p5;3jh0:?:524c`95610g?=;8:01l>i:01g?xu61h31<7;t=5`b>77734?;<7?e7e=:;30q~?6a`83>6}:75734k9o7=>0:p5;f990:?i5rs0;bg?6=;r7?ni4157=9:n01;lk:c28yv7>im0;6?u24cg956b<502=6>??;|q2=dc=839p19lj:2`;?8g7n39im63n1180fd=z{83jj7>53z?7fc<6;>168n?5223891e0289o7p}>9c294?4|5=hm6>??;<6`:18182d83;8;63;c38055=z{83i>7>52z?7g5<4k<1655653028yv7>j:0;6?u24b29746<5=i:6<=8;|q2=g2=838p19m>:2a6?8???39:<6s|18`6>5<5s4>h>7?<7:?7g6<4991v<7m6;296~;3k;08o85299;97460f5=9:=019m;:233?xu61k21<777734k?o7=>0:p52909w0:l3;1`1>;f<>08==5rs0;ae?6=:r7?o94>369>0f3=;8:0q~?6bc83>7}:1?n;4=8:b>6773ty:5om50;0x91e2289<70:l6;124>{t90ho6=4={<6`1?5d=2724o4<119~w4?em3:1>v3;c78155=:i=h1?<>4}r3:fc<72;q68n853b789d2c2:;;7p}>9b294?5|5=i36>l7;<6``?46827j?i4=2b9~w4?d93:1?v3;c88272=:>?4=5f3>45c3ty:5n<50;0x91e>2:;;70:k1;30`>{t90i86=4={<6`e?74?27?oo4<119~w4?d<3:1>v3;c`80g0=:11n1?<>4}r3:g0<72;q68nl5125891ed2:;;7p}>9b494?4|5=ii6>m:;<;;g?5682wx=4m8:18182dk3;8;63;ce8055=z{83h47>54z?7gf<59916m>653c:89d5b2;8270o;c;01<>{t90i26=4={<6`g?5d=2724h4<119~w4?di3:1>v3;ce8272=:4}r3:gg<72;q68nj53b789<>a2:;;7p}>9ba94?4|5=in6<=8;<6`b?5682wx=4mk:18182dm38:<63n4`8055=z{83hi7>52z?7g`<4k<1654>53028yv7>ko0;69u24bd9646<5h9<6>l7;v3;cg80g0=:i=21?<>4}r3:`4<72;q68i<512f891b22:;;7p}>9e094?2|5=n96>l7;<6g7?468272;;4=2`9>e6?=:;k0q~?6d283>7}:94=5f5>6773ty:5i:50;0x91b42:i>70762;124>{t90n>6=4<{<6g7?56827?h94>369>0a3=9:=0q~?6d783>7}:1?<>4=5f4>4503ty:5i950;0x91b12:i>70761;124>{t90n36=4={<6g3?5d=27j>i4<119~w4?c13:1>v3;d68174=:j4}r3:`d<72=q68i653c:89;>1<09>45rs0;gf?6=;r7?h44>369>0ad=;8:019jk:014?xu61mi1<7=t=5f:>75734>oh7=l5:?:=6<4991v<7kd;296~;3l008==52a01956b0ag=9:=019jl:014?82cl388=6s|18fe>5<4s4>om7<<0:?7`f<4k<1654:53028yv7>m90;6?u24ec9746<5h;96<=k;|q2=`7=838p19jm:2a6?8?>=39:<6s|18g1>5<4s4>oi7<<0:?7a4<4k<16m?k53028yv7>m:0;6?u24eg9746<5h;>6<=k;|q2=`2=839p19ji:014?82b83;8;63;e08174=z{83n97>53z?7`c<5;9168h>53b7899d494?4|5=nm6>??;?74=`37>6d?3ty:5h650;7x91c62;;;70796;01e>;>>l09>452a0797g><5h8n6?<6;|q2=`?=838p19k=:01g?8?>?39:<6s|18gb>5<5s4>n>7=m8:?b3f<6;m1v<7jb;297~;3m:0:?:524d79746<5=o<6<=8;|q2=`e=839p19k<:313?82b?39h9636998055=z{83nh7>52z?7a6<49916m<6512f8yv7>ml0;6>u24d69561<5=o=6<=8;<6f3?4492wx=4ki:18082b<388<63;e780g0=:1031?<>4}r3:b5<72;q68h:530289d70289o7p}>9g394?4|5=o>6>m:;<;:e?5682wx=4h=:18;82b>38:<63:11827a=:>:;1ml526659ed=:0;o1ml528469ed=:1031>?74=`34>6d?3ty:5k=50;:x91c02;;;70;>1;30`>;1;80j4639768b<>;?:l0j4637558b<>;>1109>452a0:97g>0`>=:::019km:2a6?8?>j39:<6s|18d6>5<5s4>n47=>0:?b5d<6;m1v<7i6;297~;3m00:?:524dc9561<5=oi6?=>;|q2=c1=839p19k6:313?82bi39h96369b8055=z{83m47>52z?7a<<49916m<7512f8yv7>n00;65u24dc9646<5<;<6<=k;<460?gf34<2m7on;<:75?gf342=;7on;<;:g?45127j=44j4=777>d><5?3j6l64=962>d><51<<6l64=8;a>74>34k:m7=m8:p5<`e2908w0:jc;303>;3mm0:?:524g297g>0`e=:8:018?9:01g?80el3h970o>b;1a<>;f:o09>45rs0;e`?6=;r7?in40`b=:::01l75634>ni7?<7:?7ac<6;>1v<7if;296~;3mm08==52a0`956b0`c=:8:018?::01g?80el3h?70o>c;1a<>;f;909>45rs0c35?6=;r7?ih40``=:::01l=?:233?xu6i981<767734k:o7?;>1109>o5298;967g<503j6?<6;<;:f?45i2725n4=2`9>e2`=;k20q~?n0583>3}:j4=`34>6df34k:47=ma:?b5<<4k<16ma1794?5|5=l:6>l7;3:1?v3;f380f==:=8>1=>j4=7`g>g00c5=k;168k=5c19>0c5=jo168k=5bd9>0c5=jm168k=5bb9>0c5=jk168k=5b`9>0c5=kk168k=5c`9>0c5=k01649?51678yv7f810;6?u24g19g==:0=;1=:=4}r3b4<<72;q68k=5c69><17=9>80q~?n0`83>7}:0c5=k<1649?51628yv7f8j0;6?u24g19g1=:0=;1=;h4}r3b4a<72;q68k=5c29><17=9?o0q~?n0d83>7}:0c5=9=2019h<:065?82a;3;?963;f28201=:42534>m?7?;1:?7b6<6<9168k=5143891`428?;70:i3;37b>;0m;0::>5283g95230c5=9=o01:k=:042?8>5m3;5<4s4>m?7?;d:?4a7<6>9164?k51608yv7f9;0;6>u24g1951e<5>o96<;i;<:1a?7092wx=l?<:18082a;3;?n638e3821`=:0;o1=:>4}r3b51<72:q68k=515c892c528?o706=e;35b>{t9h;>6=4<{<6e7?731275b9><7c=9?o0q~?n1783>6}:h4=6g1>4333429i7?96:p5d702909w0:i3;1b`>;3n008;n5rs0c240c?=;>k0q~?n1883>7}:61>3ty:m{t9h;i6=4={<6e7?5f027?j44<769~w4g6k3:1>v3;f280e2=:2:=>7p}>a0g94?4|5=l86>o:;<6e=?50<2wx=l?i:18182a;39j?63;f88037=z{8k9<7>52z?7b6<4i;168k753638yv7f:80;6?u24g197d7<5=l26>9?;|q2e74=838p19h<:2c3?82a139=j6s|1`00>5<5s4>m?7=6f:?7b<<4>l1v84?:3y>0c5=;0n019h6:24`?xu6i;<1<76?d34>m57=9b:p5d402909w0:i3;1:f>;3n008:l5rs0c14<9`9>0c?=;?30q~?n2883>7}:6003ty:m?o50;0x91`42:3<70:i9;152>{t9h8i6=4={<6e7?5>>27?j44<649~w4g5k3:1>v3;f280=0=:2:<87p}>a3g94?4|5=l86>7<;<6e=?51:2wx=l63;f88024=z{8k8<7>52z?7b6<418168k753728yv7f;80;6?u24g197<6<5=l26>;i;|q2e64=838p19h<:2:e?82a139>i6s|1`10>5<5s4>m?7=7d:?7b<<4=j1v0c5=;1h019h6:27b?xu6i:<1<76>f34>m57=:9:p5d502909w0:i3;1;=>;3n008955rs0c04<899>0c?=;<=0q~?n3883>7}:6313ty:m>o50;0x91`42:2=70:i9;161>{t9h9i6=4={<6e7?5?=27?j44<559~w4g4k3:1>v3;f280<1=:2:297p}>a2g94?4|5=l86>l=;<6e=?5?92wx=l=i:18182a;39i=63;f880<5=z{8k?<7>52z?7b6<4j9168k7536d8yv7f<80;6?u24g197d`<5=l26>9j;|q2e14=838p19h<:2cf?82a1395<5s4>m?7=n4:?7b<<4?:1v0c5=;1o019h6:27g?xu6i=<1<76>434>m57=:2:p5d20290>w0:i3;;f?82a<33n70:i5;;f?82a>33n708md;3f1>{t9h>36=4:{<6e7??d34>m877l;<6e1??d34>m:77l;<4a`?7b;2wx=l:6:18682a;33i70:i4;;a?82a=33i70:i6;;a?80el3;n>6s|1`6b>5<2s4>m?77n;<6e0??f34>m977n;<6e2??f34w0:i3;;:?82a<33270:i5;;:?82a>332708md;3f4>{t9h>h6=4:{<6e7???34>m8777;<6e1???34>m:777;<4a`?7cn2wx=l:k:18682a;33<70:i4;;4?82a=33<70:i6;;4?80el3;oi6s|1`6f>5<2s4>m?779;<6e0??134>m9779;<6e2??134w0:i3;c4?82a<3k<70:i5;c4?82a>3k<708md;03`>{t9h?;6=4:{<6e7?g134>m87o9;<6e1?g134>m:7o9;<4a`?47k2wx=l;>:18682a;3k>70:i4;c6?82a=3k>70:i6;c6?80el38;n6s|1`71>5<2s4>m?7o;;<6e0?g334>m97o;;<6e2?g334w0:i3;c0?82a<3k870:i5;c0?82a>3k8708md;03=>{t9h??6=4:{<6e7?g534>m87o=;<6e1?g534>m:7o=;<4a`?4702wx=l;::18682a;3k:70:i4;c2?82a=3k:70:i6;c2?80el3;mi6s|1`75>5<2s4>m?7o?;<6e0?g734>m97o?;<6e2?g734w0:i3;;e?82a<33m70:i5;;e?82a>33m708md;3f<>{t9h?36=4:{<6e7??234>m877:;<6e1??234>m:77:;<4a`?7ck2wx=l;6:18`82a<3i970:i4;a3?82a<3hm70:i4;`f?82a<3ho70:i4;``?82a<3hi70:i4;`b?82a<3ii70:i4;ab?82a<3i270697;341>{t9h?j6=4={<6e0?e?342=;7?83:p5d3e2909w0:i4;a4?8>1?3;<>6s|1`7`>5<5s4>m87m9;<:53?7092wx=l;k:18182a<3i>70697;344>{t9h?n6=4={<6e0?e3342=;7?9f:p5d3a2909w0:i4;a0?8>1?3;=i6s|1`43>5<5s4>m87l6;<:53?71>2wx=l8>:18g82a<3;?463;f58203=:1=9;4=5d7>42334>m87?;3:?7b1<6<;168k:5153891`328>;70:i4;365>;3n=0:9=524g6951`<51:36<8<;<:60?70=2wx=l8=:18082a<3;?i637098224=:0<>1=:=4}r3b26<72:q68k:515f89=6?28<;706:4;346>{t9h5g9><02=9>;0q~?n6483>6}:1=9l4=92;>43b342>87?80:p5d012908w0:i4;37e>;?810:9i52846953`0c2=9=3015>7:07`?8>2<3;=i6s|1`4;>5<4s4>m87?00;6?u24g697db<5=lj6>9l;|q2e3g=838p19h;:2ca?82ai395<5s4>m87=na:?7bd<4?01v0c2=;h2019hn:254?xu6i?o1<76g034>mm7=86:p5d0a2909w0:i4;1b2>;3nh08;85rs0c44?6=:r7?j940cg=;>>0q~?n7083>7}:1?l=4=5db>6153ty:m:<50;0x91`32:k970:ia;145>{t9h=86=4={<6e0?5f927?jl4<719~w4g0<3:1>v3;f580e5=:a6494?4|5=l?6>7j;<6ee?51l2wx=l98:18182a<392h63;f`802f=z{8k<47>52z?7b1<41j168ko537`8yv7f?00;6?u24g6978n;|q2e2g=838p19h;:2;b?82ai39=56s|1`5a>5<5s4>m87=68:?7bd<4>>1v0c2=;0<019hn:246?xu6i>o1<76?234>mm7=94:p5d1a2909w0:i4;1:0>;3nh08:>5rs0c;4?6=:r7?j94<929>0cg=;?80q~?n8083>7}:1?4<4=5db>6063ty:m5<50;0x91`32:3:70:ia;154>{t9h286=4={<6e0?5>827?jl4<5g9~w4g?<3:1>v3;f580a9494?4|5=l?6>6l;<6ee?52j2wx=l68:18182a<393n63;f`801d=z{8k347>52z?7b1<40h168ko534;8yv7f000;6?u24g697=?<5=lj6>;7;|q2e=g=838p19h;:2:;?82ai39>;6s|1`:a>5<5s4>m87=77:?7bd<4=?1v0c2=;1?019hn:277?xu6i1o1<76>334>mm7=:3:p5d>a2909w0:i4;1a7>;3nh084?5rs0c:4?6=:r7?j940cg=;1;0q~?n9083>7}:1?o?4=5db>6>73ty:m4<50;0x91`32:h;70:ia;14b>{t9h386=4={<6e0?5fn27?jl4<7d9~w4g><3:1>v3;f580e`=:a8494?4|5=l?6>76;<6ee?5102wx=l78:18182a<393i63;f`801a=z{8k247>52z?7b1<40:168ko53408yv7f100;6?u24g79fc=:><>1=;j4}r3b=d<72;q68k;5bd9>202=9?h0q~?n9c83>7}:0c3=jj16:8:517;8yv7f1m0;6?u24g79fg=:><>1=;64}r3b=`<72;q68k;5b`9>202=9?=0q~?n9g83>7}:0c3=kh16:8:51648yv7fi80;6?u24g79g<=:><>1=:;4}r3be7<72;q68k;5c99>202=9>90q~?na283>7}:0c3=k?16:8:51638yv7fi<0;6?u24g79g0=:><>1=:>4}r3be3<72;q68k;5c59>202=9?l0q~?na683>7}:52646953c0c3=j016:8:51748yv7fi00;6>u24g79513<56<;m;<405?71l2wx=lon:18082a=3;?863:a4821<=:>:;1=;l4}r3beg<72:q68k;5151890g228?3708<1;35e>{t9hkh6=4<{<6e1?73:27>m84>569>267=9?30q~?nae83>6}:43134<8=7?98:p5dgb2908w0:i5;374>;2i<0:985262395310c3=9<;018o::046?80493;<;6s|1``3>5<4s4>m97?:0:?6e0<6>=16:>?51648yv7fj80;6>u24g7951`<56<8<;<405?70=2wx=ll=:18082a=3;?i63:a48224=:>:;1=:=4}r3bf6<72:q68k;515f890g228<;708<1;346>{t9hh?6=4<{<6e1?73k27>m84>5g9>267=9>;0q~?nb483>6}:43b34<8=7?80:p5dd12908w0:i5;37e>;2i<0:9i52623953`0c3=9=3018o::07`?80493;=i6s|1``;>5<4s4>m97??51748yv7fj00;6?u24g797db<5=lo6>9l;|q2egg=838p19h::2ca?82al395<5s4>m97=na:?7ba<4?01v0c3=;h2019hk:254?xu6iko1<76g034>mh7=86:p5dda2909w0:i5;1b2>;3nm08;85rs0c`4?6=:r7?j840cb=;>>0q~?nc083>7}:6153ty:mn<50;0x91`22:k970:id;145>{t9hi86=4={<6e1?5f927?ji4<719~w4gd<3:1>v3;f480e5=:ab494?4|5=l>6>7j;<6e`?51l2wx=lm8:18182a=392h63;fe802f=z{8kh47>52z?7b0<41j168kj537`8yv7fk00;6?u24g7978n;|q2efg=838p19h::2;b?82al39=56s|1`aa>5<5s4>m97=68:?7ba<4>>1v0c3=;0<019hk:246?xu6ijo1<76?234>mh7=94:p5dea2909w0:i5;1:0>;3nm08:>5rs0cg4?6=:r7?j84<929>0cb=;?80q~?nd083>7}:6063ty:mi<50;0x91`22:3:70:id;154>{t9hn86=4={<6e1?5>827?ji4<5g9~w4gc<3:1>v3;f480ae494?4|5=l>6>6l;<6e`?52j2wx=lj8:18182a=393n63;fe801d=z{8ko47>52z?7b0<40h168kj534;8yv7fl00;6?u24g797=?<5=lo6>;7;|q2eag=838p19h::2:;?82al39>;6s|1`fa>5<5s4>m97=77:?7ba<4=?1v0c3=;1?019hk:277?xu6imo1<76>334>mh7=:3:p5dba2909w0:i5;1a7>;3nm084?5rs0cf4?6=:r7?j840cb=;1;0q~?ne083>7}:6>73ty:mh<50;0x91`22:h;70:id;14b>{t9ho86=4={<6e1?5fn27?ji4<7d9~w4gb<3:1>v3;f480e`=:ad494?4|5=l>6>76;<6e`?5102wx=lk8:18182a=393i63;fe801a=z{8kn47>52z?7b0<40:168kj53408yv7fm00;6?u24g49fc=:>0k1=;j4}r3bad<72;q68k85bd9>27}:0c0=jj16:4o517;8yv7fmm0;6?u24g49fg=:>0k1=;64}r3ba`<72;q68k85b`9>27}:0c0=kh16:4o51648yv7fn80;6?u24g49g<=:>0k1=:;4}r3bb7<72;q68k85c99>290q~?nf283>7}:0c0=k?16:4o51638yv7fn<0;6?u24g49g0=:>0k1=:>4}r3bb3<72;q68k85c59>27}:5268c953c0c0=j016:4o51748yv7fn00;6>u24g49513<53;?863:ec821<=:>>=1=;l4}r3bbg<72:q68k85151890ce28?370887;35e>{t9hlh6=4<{<6e2?73:27>io4>569>221=9?30q~?nfe83>6}:43134<<;7?98:p5d`b2908w0:i6;374>;2mk0:985266595310c0=9<;018km:046?800?3;<;6s|1c23>5<4s4>m:7?:0:?6ag<6>=16::951648yv7e880;6>u24g4951`<5=:18082a>3;?i63:ec8224=:>>=1=:=4}r3a46<72:q68k8515f890ce28<;70887;346>{t9k:?6=4<{<6e2?73k27>io4>5g9>221=9>;0q~?m0483>6}:43b34<<;7?80:p5g612908w0:i6;37e>;2mk0:9i52665953`0c0=9=3018km:07`?800?3;=i6s|1c2;>5<4s4>m:7?9l;|q2f5g=838p19h9:2ca?82am395<5s4>m:7=na:?7b`<4?01v0c0=;h2019hj:254?xu6j9o1<76g034>mi7=86:p5g6a2909w0:i6;1b2>;3nl08;85rs0`24?6=:r7?j;40cc=;>>0q~?m1083>7}:6153ty:n<<50;0x91`12:k970:ie;145>{t9k;86=4={<6e2?5f927?jh4<719~w4d6<3:1>v3;f780e5=:b0494?4|5=l=6>7j;<6ea?51l2wx=o?8:18182a>392h63;fd802f=z{8h:47>52z?7b3<41j168kk537`8yv7e900;6?u24g4978n;|q2f4g=838p19h9:2;b?82am39=56s|1c3a>5<5s4>m:7=68:?7b`<4>>1vc;296~;3n?085:524gg97300c0=;0<019hj:246?xu6j8o1<76?234>mi7=94:p5g7a2909w0:i6;1:0>;3nl08:>5rs0`14?6=:r7?j;4<929>0cc=;?80q~?m2083>7}:6063ty:n?<50;0x91`12:3:70:ie;154>{t9k886=4={<6e2?5>827?jh4<5g9~w4d5<3:1>v3;f780b3494?4|5=l=6>6l;<6ea?52j2wx=o<8:18182a>393n63;fd801d=z{8h947>52z?7b3<40h168kk534;8yv7e:00;6?u24g497=?<5=ln6>;7;|q2f7g=838p19h9:2:;?82am39>;6s|1c0a>5<5s4>m:7=77:?7b`<4=?1vi4?:3y>0c0=;1?019hj:277?xu6j;o1<76>334>mi7=:3:p5g4a2909w0:i6;1a7>;3nl084?5rs0`04?6=:r7?j;40cc=;1;0q~?m3083>7}:6>73ty:n><50;0x91`12:h;70:ie;14b>{t9k986=4={<6e2?5fn27?jh4<7d9~w4d4<3:1>v3;f780e`=:b2494?4|5=l=6>76;<6ea?5102wx=o=8:18182a>393i63;fd801a=z{8h847>52z?7b3<40:168kk53408yv7e;00;6iu24g59g7=:45c3ty:n>o50;1x91`02j201:o6:050?8>?j3;8h6s|1c1a>5<4s4>m;7m8;<5b=?70:2734n4>3e9~w4d4k3:1?v3;f68`2>;0i00:;<5289f956b0c1=k<16;l7516289=>b289o7p}>b2g94?5|5=l<6n:4=6c:>40a3423j7?53z?7b2679><<7=9:n0q~?m4083>7}:6gc3ty:n9<50;0x91`02:=j70:i9;1bf>{t9k>86=4={<6e3?50127?j44v3;f6803==:2:k37p}>b5494?4|5=l<6>99;<6e=?5f?2wx=o:8:18182a?39<963;f880e3=z{8h?47>52z?7b2<4?=168k753`78yv7e<00;6?u24g59724<5=l26>o<;|q2f1g=838p19h8:252?82a139j>6s|1c6a>5<5s4>m;7=80:?7b<<4i81v08:k524g;97d60c1=;?o019h6:2;e?xu6j=o1<760c34>m57=6e:p5g2a2909w0:i7;15g>;3n0085i5rs0`64?6=:r7?j:4<6c9>0c?=;0i0q~?m5083>7}:6?e3ty:n8<50;0x91`02:<270:i9;1:e>{t9k?86=4={<6e3?51?27?j44<999~w4d2<3:1>v3;f68023=:2:3=7p}>b4494?4|5=l<6>8;;<6e=?5>=2wx=o;8:18182a?39=?63;f880=1=z{8h>47>52z?7b2<4>;168k753818yv7e=00;6?u24g59737<5=l26>7=;|q2f0g=838p19h8:243?82a1392=6s|1c7a>5<5s4>m;7=:f:?7b<<4191v089h524g;97=`0c1=;63e34>m57=7c:p5g3a2909w0:i7;16e>;3n0084o5rs0`54?6=:r7?j:4<589>0c?=;1k0q~?m6083>7}:6>>3ty:n;<50;0x91`02:?<70:i9;1;<>{t9k<86=4={<6e3?52>27?j44<869~w4d1<3:1>v3;f68010=:2:2>7p}>b7494?4|5=l<6>;<;<6e=?5?<2wx=o88:18182a?393>63;f880f6=z{8h=47>52z?7b2<408168k753c08yv7e>00;6?u24g597=6<5=l26>l>;|q2f3g=838p19h8:25e?82a139i<6s|1c4a>5<5s4>m;7=8e:?7b<<4io1v08;i524g;97dc0c1=;>9019h6:2c7?xu6j?o1<760?34>m57=69:p5g0a2909w0:i7;16`>;3n0084h5rs0`44?6=:r7?j:4<539>0c?=;190q~?m7083>a}:42134>m;7?;5:?7b2<6<=168k95151891`028>970:i7;375>;3n>0:8=524g59507<5=l<6<;?;<6e3?73n27<5;4>749>6}:414342i?7?;01?0:;?528c6956b0c1=9=i01:79:052?8>e=3;8h6s|1c56>5<4s4>m;7?;b:?4=3<6?9164o8512f8yv7e??0;6>u24g5951g<5>3=6<8i;<:a3?74l2wx=o98:18082a?3;?563897822`=:0k21=>j4}r3a3=<72:q68k9512d892?128<=706m9;30`>{t9k=26=4:{<6e3??b34>m477j;<6ef??b34>mo77j;<55b?7b=2wx=o9n:18682a?33h70:i8;;`?82aj33h70:ic;;`?811n3;n?6s|1c5a>5<2s4>m;77m;<6emn77m;<6eg??e34==j7?j2:p5g1d290>w0:i7;;b?82a033j70:ib;;b?82ak33j7099f;3f5>{t9k=o6=4:{<6e3??>34>m4776;<6ef??>34>mo776;<55b?7b82wx=o9j:18682a?33370:i8;;;?82aj33370:ic;;;?811n3;oj6s|1c5e>5<2s4>m;778;<6emn778;<6eg??034==j7?ke:p5g>7290>w0:i7;;5?82a033=70:ib;;5?82ak33=7099f;3g`>{t9k2:6=4:{<6e3?g034>m47o8;<6ef?g034>mo7o8;<55b?47l2wx=o6=:18682a?3k=70:i8;c5?82aj3k=70:ic;c5?811n38;o6s|1c:0>5<2s4>m;7o:;<6emn7o:;<6eg?g234==j73290>w0:i7;c7?82a03k?70:ib;c7?82ak3k?7099f;03e>{t9k2>6=4:{<6e3?g434>m47o<;<6ef?g434>mo7o<;<55b?4712wx=o69:18682a?3k970:i8;c1?82aj3k970:ic;c1?811n38;46s|1c:4>5<2s4>m;7o>;<6emn7o>;<6eg?g634==j7?ie:p5g>?290>w0:i7;c3?82a03k;70:ib;c3?82ak3k;7099f;3e7>{t9k226=4:{<6e3??a34>m477i;<6ef??a34>mo77i;<55b?7b02wx=o6n:18682a?33>70:i8;;6?82aj33>70:ic;;6?811n3;oo6s|1c:a>5m47m=;<6em47li;<6em47lk;<6em47lm;<6em47mm;<6em47m6;<5`b?70=273;l4>3e9~w4d?k3:1?v3;f98`<>;0ko0:;>5286`956b0c>=k>16;nh516089=1d289o7p}>b9g94?5|5=l36n84=6ae>416342a2908w0:i8;a6?81dn3;<<6377d827a=z{8h2<7>53z?7b=6g9><2`=9:n0q~?m9083>6}:527bd953c<512;6<=k;|q2f<4=839p19h7:c;892ea28<=70671;30`>{t9k386=4={<6e<3:1>v3;f9803d=:b8494?4|5=l36>97;<6ee?5f12wx=o78:18182a039<;63;f`80e==z{8h247>52z?7b=<4??168ko53`58yv7e100;6?u24g:9723<5=lj6>o9;|q2f5<5s4>m47=82:?7bd<4i:1v0c>=;>:019hn:2c2?xu6j0o1<760a34>mm7=n0:p5g?a2909w0:i8;15a>;3nh085k5rs0`b4?6=:r7?j54<6e9>0cg=;0o0q~?ma083>7}:6?c3ty:nl<50;0x91`?2:{t9kk86=4={<6ev3;f9802<=:b`494?4|5=l36>89;<6ee?5>?2wx=oo8:18182a039=963;f`80=3=z{8hj47>52z?7b=<4>=168ko53878yv7ei00;6?u24g:9735<5=lj6>7;;|q2fdg=838p19h7:241?82ai392?6s|1cca>5<5s4>m47=91:?7bd<41;1v0c>=;63b34>mm7=7f:p5gga2909w0:i8;16g>;3nh084i5rs0`a4?6=:r7?j54<5c9>0cg=;1i0q~?mb083>7}:6>e3ty:no<50;0x91`?2:?270:ia;1;e>{t9kh86=4={<6ev3;f98012=:bc494?4|5=l36>;:;<6ee?5?>2wx=ol8:18182a039>863;f`80<0=z{8hi47>52z?7b=<4=:168ko53968yv7ej00;6?u24g:97=4<5=lj6>l<;|q2fgg=838p19h7:2:2?82ai39i>6s|1c`a>5<5s4>m47=70:?7bd<4j81v0c>=;>o019hn:2ce?xu6jko1<761c34>mm7=ne:p5gda2909w0:i8;147>;3nh08m95rs0``4?6=:r7?j54<699>0cg=;030q~?mc083>7}:6>b3ty:nn<50;0x91`?2:?970:ia;1;7>{t9ki86=4k{<6e479>0c>=9=?019h7:067?82a03;??63;f98207=:42734>m47?:1:?7b=<6=9168k6515d892dd28=>7066a;30`>{t9ki?6=4<{<6e729><6}:4153422o7?;0jj0:;<5288f956b0c>=9=h01:ll:053?8>>m3;8h6s|1ca;>5<4s4>m47?;a:?4ff<6>o1644h512f8yv7ek00;6>u24g:951?<5>hh6<8j;<:b4?74l2wx=omn:18082a03;8j638bb8223=:0h;1=>j4}r3agg<72mq68k75c39>0c?=k9168k75bg9>0c?=jl168k75be9>0c?=jj168k75bc9>0c?=jh168k75cc9>0c?=kh168k75c89>3c3=9>?0157=:01g?xu6jji1<7=t=5d:>f><5>l>6<9<;<::7?74l2wx=omk:18082a13i<709i5;346>;?1=0:?i5rs0``a?6=;r7?j44l6:?4b0<6?81644;512f8yv7eko0;6>u24g;9g0=:?o?1=:>4=9;5>45c3ty:ni>50;1x91`>2j>01:h::04e?8>>?3;8h6s|1cf2>5<4s4>m57m<;<5e1?71m273554>3e9~w4dc:3:1?v3;f88a=>;0n<0::;5288;956b4?:ey>0c?=9=2019h6:065?82a13;?963;f88201=:42534>m57?;1:?7b<<6<9168k75143891`>28?;70:i9;37b>;01?0::>527d095230c?=9=o01:79:042?81b:3;5<4s4>m57?;d:?4=3<6>916;h<51608yv7el?0;6>u24g;951e<5>3=6<;i;<5f6?7092wx=oj8:18082a13;?n63897821`=:?l81=:>4}r3a`=<72:q68k7515c892?128?o709j2;35b>{t9kn26=4<{<6e=?73127<5;4>5b9>3`4=9?o0q~?md`83>6}:h4=6;5>43334=n>7?96:p5gbe290>w0:i9;;f?82ai33n70:id;;f?82am33n708i6;3f1>{t9knh6=4:{<6e=??d34>mm77l;<6e`??d34>mi77l;<4e2?7b;2wx=ojk:18682a133i70:ia;;a?82al33i70:ie;;a?80a>3;n>6s|1cff>5<2s4>m577n;<6ee??f34>mh77n;<6ea??f34w0:i9;;:?82ai33270:id;;:?82am332708i6;3f4>{t9ko;6=4:{<6e=???34>mm777;<6e`???34>mi777;<4e2?7cn2wx=ok>:18682a133<70:ia;;4?82al33<70:ie;;4?80a>3;oi6s|1cg1>5<2s4>m5779;<6ee??134>mh779;<6ea??134w0:i9;c4?82ai3k<70:id;c4?82am3k<708i6;03`>{t9ko?6=4:{<6e=?g134>mm7o9;<6e`?g134>mi7o9;<4e2?47k2wx=ok::18682a13k>70:ia;c6?82al3k>70:ie;c6?80a>38;n6s|1cg5>5<2s4>m57o;;<6ee?g334>mh7o;;<6ea?g334w0:i9;c0?82ai3k870:id;c0?82am3k8708i6;03=>{t9ko36=4:{<6e=?g534>mm7o=;<6e`?g534>mi7o=;<4e2?4702wx=ok6:18682a13k:70:ia;c2?82al3k:70:ie;c2?80a>3;mi6s|1cgb>5<2s4>m57o?;<6ee?g734>mh7o?;<6ea?g734w0:i9;;e?82ai33m70:id;;e?82am33m708i6;3f<>{t9koh6=4:{<6e=??234>mm77:;<6e`??234>mi77:;<4e2?7ck2wx=okk:18g82ai3i970:ia;a3?82ai3hm70:ia;`f?82ai3ho70:ia;``?82ai3hi70:ia;`b?82ai3ii70:ia;ab?82ai3i2706>b;341>;?0;0:?i5rs0`fa?6=;r7?jl4l8:?;5g<6?:1645=512f8yv7emo0;6>u24gc9g2=:08h1=:<4=9:7>45c3ty:nk>50;1x91`f2j<015?m:052?8>?=3;8h6s|1cd2>5<4s4>mm7m:;<:2f?7082734;4>3e9~w4da:3:1?v3;f`8`0>;?9k0::k52895956b4?:2y>0cg=k:164?289o7p}>bg694?5|5=lj6o74=93a>401342357?;3nh0:8;524gc9513<5=lj6<:;;<6ee?73;27?jl4>439>0cg=9=;019hn:063?82ai3;>=63;f`8215=:404342;47?85:p5g`12908w0:ia;37a>;0jj0::<5281:95250cg=9=n01:ll:043?8>703;<>6s|1cd;>5<4s4>mm7?;c:?4ff<6=o164=651638yv7en00;6>u24gc951d<5>hh6<;j;<:3{t9klh6=4<{<6ee?74n27559><5>=9?<0q~?mfe83>6}:>m6<=k;|q2fcc=839p19hm:cg8905d28{t9klm6=4<{<6ef?dc34?8o7?9a:?414<6;m1vj4}r3`44<72:q68kl5bc9>16e=9?201:;<:01g?xu6k981<7=t=5da>gg<5<9h6<88;<560?74l2wx=n><:18082aj3ii70;;0=<0:?i5rs0a30?6=;r7?jo4la:?67f<6??16;88512f8yv7d8<0;6>u24g`9g<=:=:i1=:;4=674>45c3ty:o=850;1x91`e2j2018=l:050?81203;8h6s|1b24>5<4s4>mn7m8;<70g?70:27<944>3e9~w4e703:1?v3;fc8`2>;2;j0:;<5274c956b0cd=k<169>m51628923e289o7p}>c1c94?5|5=li6n:4=41`>40a34=>o7?53z?7bg?n4>679>30c=9:n0q~?l0e83>7}:6gc3ty:o=k50;0x91`e2:=j70:id;1bf>{t9j:m6=4={<6ef?50127?ji4v3;fc803==:c0094?4|5=li6>99;<6e`?5f?2wx=n?<:18182aj39<963;fe80e3=z{8i:87>52z?7bg<4?=168kj53`78yv7d9<0;6?u24g`9724<5=lo6>o<;|q2g40=838p19hm:252?82al39j>6s|1b34>5<5s4>mn7=80:?7ba<4i81v8;296~;3nk08:k524gf97d60cd=;?o019hk:2;e?xu6k8k1<760c34>mh7=6e:p5f7e2909w0:ib;15g>;3nm085i5rs0a2g?6=:r7?jo4<6c9>0cb=;0i0q~?l1e83>7}:6?e3ty:o{t9j;m6=4={<6ef?51?27?ji4<999~w4e583:1>v3;fc8023=:c3094?4|5=li6>8;;<6e`?5>=2wx=n<<:18182aj39=?63;fe80=1=z{8i987>52z?7bg<4>;168kj53818yv7d:<0;6?u24g`9737<5=lo6>7=;|q2g70=838p19hm:243?82al392=6s|1b04>5<5s4>mn7=:f:?7ba<4191v44?:3y>0cd=;63e34>mh7=7c:p5f4e2909w0:ib;16e>;3nm084o5rs0a1g?6=:r7?jo4<589>0cb=;1k0q~?l2e83>7}:6>>3ty:o?k50;0x91`e2:?<70:id;1;<>{t9j8m6=4={<6ef?52>27?ji4<869~w4e483:1>v3;fc8010=:7p}>c2094?4|5=li6>;<;<6e`?5?<2wx=n=<:18182aj393>63;fe80f6=z{8i887>52z?7bg<408168kj53c08yv7d;<0;6?u24g`97=6<5=lo6>l>;|q2g60=838p19hm:25e?82al39i<6s|1b14>5<5s4>mn7=8e:?7ba<4io1v0cd=;>9019hk:2c7?xu6k:k1<760?34>mh7=69:p5f5e2909w0:ib;16`>;3nm084h5rs0a0g?6=:r7?jo4<539>0cb=;190q~?l3e83>6}:40c342im7?;2900::o528c`956b0cd=9=9018?6:04b?8>ek3;8h6s|1b63>5<4s4>mn7?;2:?65<<6>0164oj512f8yv7d<80;6>u24g`9517<5<;26<87;<:aa?74l2wx=n:=:18082aj3;?<63:188222=:0kl1=>j4}r3`06<72:q68kl51438907>28=<706l0;30`>{t9j>?6=4<{<6ef?72827>=44>779>6}:412342h>7?;2900:;>528b1956b0cd=9=n018?6:051?8>d<3;8h6s|1b6;>5<4s4>mn7?;c:?65<<6?8164n;512f8yv7d<00;6>u24g`951d<5<;26<9?;<:`2?74l2wx=n:n:18082aj3;?m63:18822c=:0j=1=>j4}r3`0g<72:q68kl515;8907>28{t9j>h6=4<{<6ef?74n27>=44>679>6}:8m6<=k;|q2g1c=839p19hl:cg890>528{t9j>m6=4<{<6eg?dc34?3>7?9a:?474<6;m1vj4}r3`14<72:q68km5bc9>1=4=9?201:=<:01g?xu6k<81<7=t=5d`>gg<5<296<88;<500?74l2wx=n;<:18082ak3ii70;72;343>;0;<0:?i5rs0a60?6=;r7?jn4la:?6<7<6??16;>8512f8yv7d=<0;6>u24ga9g<=:=181=:;4=614>45c3ty:o8850;1x91`d2j20186=:050?81403;8h6s|1b74>5<4s4>mo7m8;<7;6?70:273e9~w4e203:1?v3;fb8`2>;20;0:;<5272c956b0ce=k<1695<51628925e289o7p}>c4c94?5|5=lh6n:4=4:1>40a34=8o7?o7>53z?7bf4?4>679>36c=9:n0q~?l5e83>7}:6gc3ty:o8k50;0x91`d2:=j70:ie;1bf>{t9j?m6=4={<6eg?50127?jh4v3;fb803==:c7094?4|5=lh6>99;<6ea?5f?2wx=n8<:18182ak39<963;fd80e3=z{8i=87>52z?7bf<4?=168kk53`78yv7d><0;6?u24ga9724<5=ln6>o<;|q2g30=838p19hl:252?82am39j>6s|1b44>5<5s4>mo7=80:?7b`<4i81v0ce=;?o019hj:2;e?xu6k?k1<760c34>mi7=6e:p5f0e2909w0:ic;15g>;3nl085i5rs0a5g?6=:r7?jn4<6c9>0cc=;0i0q~?l6e83>7}:6?e3ty:o;k50;0x91`d2:<270:ie;1:e>{t9jv3;fb8023=:c6094?4|5=lh6>8;;<6ea?5>=2wx=n9<:18182ak39=?63;fd80=1=z{8i<87>52z?7bf<4>;168kk53818yv7d?<0;6?u24ga9737<5=ln6>7=;|q2g20=838p19hl:243?82am392=6s|1b54>5<5s4>mo7=:f:?7b`<4191v0ce=;k1<763e34>mi7=7c:p5f1e2909w0:ic;16e>;3nl084o5rs0a4g?6=:r7?jn4<589>0cc=;1k0q~?l7e83>7}:6>>3ty:o:k50;0x91`d2:?<70:ie;1;<>{t9j=m6=4={<6eg?52>27?jh4<869~w4e?83:1>v3;fb8010=:7p}>c9094?4|5=lh6>;<;<6ea?5?<2wx=n6<:18182ak393>63;fd80f6=z{8i387>52z?7bf<408168kk53c08yv7d0<0;6?u24ga97=6<5=ln6>l>;|q2g=0=838p19hl:25e?82am39i<6s|1b:4>5<5s4>mo7=8e:?7b`<4io1v0ce=;>9019hj:2c7?xu6k1k1<760?34>mi7=69:p5f>e2909w0:ic;16`>;3nl084h5rs0a;g?6=:r7?jn4<539>0cc=;190q~?l8e83>6}:40c342j>7?b2908w0:ic;370>;2=o0::o528`1956b0ce=9=9018;i:04b?8>f<3;8h6s|1b;3>5<4s4>mo7?;2:?61c<6>0164l;512f8yv7d180;6>u24ga9517<5j4}r3`=6<72:q68km51438903a28=<706n8;30`>{t9j3?6=4<{<6eg?72827>9k4>779>6}:412342jm7?;2=o0:;>528``956b0ce=9=n018;i:051?8>fk3;8h6s|1b;;>5<4s4>mo7?;c:?61c<6?8164lj512f8yv7d100;6>u24ga951d<5j4}r3`=g<72:q68km515;8903a28{t9j3h6=4<{<6eg?74n27>9k4>679>6}:?m6<=k;|q2g{t9j3m6=4<{<6e`?dc34?h47?9a:?424<6;m1vj4}r3`e4<72:q68kj5bc9>1f>=9?201:8<:01g?xu6kh81<7=t=5dg>gg<5;0><0:?i5rs0ab0?6=;r7?ji4la:?6g=<6??16;;8512f8yv7di<0;6>u24gf9g<=:=j21=:;4=644>45c3ty:ol850;1x91`c2j2018m7:050?81103;8h6s|1bc4>5<4s4>mh7m8;<7`3e9~w4ef03:1?v3;fe8`2>;2k10:;<5277c956b0cb=k<169n651628920e289o7p}>c`c94?5|5=lo6n:4=4a;>40a34==o7?53z?7bao54>679>33c=9:n0q~?lae83>6}:43e34?j97?9d:p5fgb2908w0:id;370>;2900:94525`7953d0cb=9=9018?6:07;?83f=3;=m6s|1b`3>5<4s4>mh7?;2:?65<<6=>169l;517;8yv7dj80;6>u24gf9517<5<;26<;9;<7b1?7102wx=nl=:18082al3;?<63:188210=:=h?1=;94}r3`f6<72:q68kj51438907>28<>70;n5;343>{t9jh?6=4<{<6e`?72827>=44>659>1d3=9><0q~?lb483>6}:40434?j97?85:p5fd12908w0:id;37a>;2900::<525`795250cb=9=n018?6:043?83f=3;<>6s|1b`;>5<4s4>mh7?;c:?65<<6=o169l;51638yv7dj00;6>u24gf951d<5<;26<;j;<7b1?7082wx=nln:18082al3;?m63:18821a=:=h?1=;h4}r3`fg<72:q68kj515;8907>28?h70;n5;35a>{t9jhh6=4<{<6e`?74n27>=44>559>1d3=9?<0q~?lbe83>6}:9m6<=k;|q2ggc=839p19hj:cg8936b28{t9jhm6=4<{<6ea?dc34<;i7?9a:?404<6;m1vj4}r3`g4<72:q68kk5bc9>25c=9?201::<:01g?xu6kj81<7=t=5df>gg<5?:n6<88;<570?74l2wx=nm<:18082am3ii708?e;343>;0<<0:?i5rs0a`0?6=;r7?jh4la:?54`<6??16;98512f8yv7dk<0;6>u24gg9g<=:>9o1=:;4=664>45c3ty:on850;1x91`b2j201;>j:050?81303;8h6s|1ba4>5<4s4>mi7m8;<43a?70:27<844>3e9~w4ed03:1?v3;fd8`2>;18l0:;<5275c956b0cc=k<16:=k51628922e289o7p}>cbc94?5|5=ln6n:4=72f>40a34=?o7?53z?7b`679>31c=9:n0q~?lce83>6}:43e34?nn7?9d:p5feb2908w0:ie;370>;2=o0:94525d`953d0cc=9=9018;i:07;?83bj3;=m6s|1bf3>5<4s4>mi7?;2:?61c<6=>169hl517;8yv7dl80;6>u24gg9517<570;jb;343>{t9jn?6=4<{<6ea?72827>9k4>659>1`d=9><0q~?ld483>6}:40434?nn7?85:p5fb12908w0:ie;37a>;2=o0::<525d`95250cc=9=n018;i:043?83bj3;<>6s|1bf;>5<4s4>mi7?;c:?61c<6=o169hl51638yv7dl00;6>u24gg951d<5{t9jnh6=4<{<6ea?74n27>9k4>559>1`d=9?<0q~?lde83>6}:45c34?:2`;?837;3;8h639f78ba>{t9jnm6=4<{<735?5e027><94>3e9>2c0=j91v52z?646<4j116;;h5ad9~w4eb:3:1>v3:0580f==:??l1n=5rs0af7?6=;r7><84150=9:n01;h9:2f6?xu6kl>1<76d?34==j7=k5:p5fc2290=w0;?7;30`>;29;08n5525b:97a2<5?:n6>j;;<5e1?5c<273=o43:1:v3:0680f==:=:i1?i:4=4:1>6b334=j57=k4:?4gc<4l=1654h523c8yv7dm>0;6:u251:956b<5<;86>l7;<7b1?5c<27>io43`4=;m>015>7:2f7?8gb83;8h6s|1bg;>5<1s4?;47=m8:?65<<4l=1698h53e6892?12:n?709mc;1g0>;flj0:?i5rs0af=?6=;r7><44>3e9>142=;k201;h9:c48yv7dmh0;6?u251;97g><5>6d?34==j7l;;|q2g`b=839p18>m:01g?836>39i4639f78a6>{t9jon6=4={<73f?5e027<:k4m2:p5fca290=w0;?c;30`>;29>08n5525b:9ed=:>9o1ml527g79ed=:08h1ml5rs0ae4?6==r7>16e=ih1695<5a`9>3d?=ih16;nh5a`9~w4ea93:1:v3:0e827a=:=821?o64=4a;>d><5?:n6l64=6d6>d><51;i6l64}r3`b7<722h201:mi:`:8yv7dn:0;6;u251g956b<5<;;6>l7;<7b1?gf34?nn7on;<5f6?gf342;47on;|q2gc2=83?p18>j:2`;?83613kj70;:f;cb?81>>3kj709mc;cb?xu6ko?1<78t=42e>45c34?:=7=m8:?6e0io4n8:?4a7w0;?f;1a<>;2900j463:5g8b<>;01?0j4638bb8b<>{t9jl<6=48{<726?74l27=9942015:>:2f7?8>1?39o863n5080f==:jl<1=>j4}r3`b=<72>q69<=512f893562:n?70887;1g0>;?:l08h95284697a2<5k2>6>l7;<`fn637b`80f==z{8imm7>52z?67f<6=0164ol53c:8yv7dnk0;6?u252a950><51hh6>l7;|q2gce=838p18=l:074?8>el39i46s|1bdg>5<5s4?8o7?:6:?;f`<4j11v16e=9??015m?:2`;?xu6l9:1<7403342h=7=m8:p5a662909w0;;?k;08n55rs0f36?6=:r7>?n4>609>7}:=:i1=;>4=9a7>6d?3ty:h=:50;0x905d28?m706l5;1a<>{t9m:>6=4={<70g?72m273o;43:1>v3:3b821a=:0j=1?o64}r3g42<72;q69>m514a89=e?2:h37p}>d1:94?4|5<9h6<;;;<:`=?5e02wx=i>6:18183?:3;>n637a380f==z{8n;m7>52z?6<7<6=0164l=53c:8yv7c8k0;6?u2590950><51k?6>l7;|q2`5e=838p186=:074?8>f=39i46s|1e2g>5<5s4?3>7?:6:?;e3<4j11v1=4=9??015o7:2`;?xu6l8:1<7403342j57=m8:p5a762909w0;72;357>;?ih08n55rs0f26?6=:r7>4?4>609>7}:=181=;>4=9c`>6d?3ty:h<:50;0x90>528?m706nd;1a<>{t9m;>6=4={<7;6?72m273mh43:1>v3:83821a=:0hl1?o64}r3g52<72;q695<514a89=d72:h37p}>d0:94?4|5<296<;;;<:a5?5e02wx=i?6:18183d03;>n6384g80f==z{8n:m7>52z?6g=<6=016;8>53c:8yv7c9k0;6?u25b:950><5>?:6>l7;|q2`4e=838p18m7:074?812:39i46s|1e3g>5<5s4?h47?:6:?416<4j11ve;296~;2k10:985274697g>1f>=9??01:;::2`;?xu6l;:1<740334=>:7=m8:p5a462909w0;l8;357>;0=>08n55rs0f16?6=:r7>o54>609>30>=;k20q~?k2283>7}:=j21=;>4=67:>6d?3ty:h?:50;0x90e?28?m709:a;1a<>{t9m8>6=4={<7`3:1>v3:c9821a=:?d3:94?4|5n6382g80f==z{8n9m7>52z?54`<6=016;>>53c:8yv7c:k0;6?u261g950><5>9:6>l7;|q2`7e=838p1;>j:074?814:39i46s|1e0g>5<5s4<;i7?:6:?476<4j11vk4?:3y>25c=9??01:=::2`;?xu6l::1<740334=8:7=m8:p5a562909w08?e;357>;0;>08n55rs0f06?6=:r7=

609>36>=;k20q~?k3283>7}:>9o1=;>4=61:>6d?3ty:h>:50;0x936b28?m709{t9m9>6=4={<43a?72m273:1>v390d821a=:?:i1?o64}r3g72<72;q6:=k514a8925c2:h37p}>d2:94?4|5?:n6<;;;<50a?5e02wx=i=6:18180493;>n63nc080f==z{8n8m7>52z?574<6=016moj53c:8yv7c;k0;6?u2623950><5hi>6>l7;|q2`6e=838p1;=>:074?8gd?39i46s|1e1g>5<5s4<8=7?:6:?bg6<4j11v267=9??01lmk:2`;?xu6l=:1<740334kh57=m8:p5a262909w08<1;357>;fko08n55rs0f76?6=:r7=?<4>609>ea7=;k20q~?k4283>7}:>:;1=;>4=`f0>6d?3ty:h9:50;0x935628?m70ok5;1a<>{t9m>>6=4={<405?72m27jh443:1>v3930821a=:imh1?o64}r3g02<72;q6:>?514a89db02:h37p}>d5:94?4|5?9:6<;;;n6385g80f==z{8n?m7>52z?511<6=016;;>53c:8yv7c<5><:6>l7;|q2`1e=838p1;;;:074?811:39i46s|1e6g>5<5s4<>87?:6:?426<4j11v202=9??01:8::2`;?xu6l<:1<740334==:7=m8:p5a362909w08:4;357>;0>>08n55rs0f66?6=:r7=994>609>33>=;k20q~?k5283>7}:><>1=;>4=64:>6d?3ty:h8:50;0x933328?m7099a;1a<>{t9m?>6=4={<460?72m27<:o43:1>v3955821a=:??i1?o64}r3g12<72;q6:8:514a8920c2:h37p}>d4:94?4|5???6<;;;<55a?5e02wx=i;6:181800?3;>n63n9080f==z{8n>m7>52z?532<6=016m4=53c:8yv7c=k0;6?u2665950><5h2m6>l7;|q2`0e=838p1;98:074?8g>?39i46s|1e7g>5<5s4<<;7?:6:?b=<<4j11v0:9852a8797g>221=9??01l7m:2`;?xu6l?:1<740334k2h7=m8:p5a062909w0887;357>;f1o08n55rs0f56?6=:r7=;:4>609>ed7=;k20q~?k6283>7}:>>=1=;>4=`c6>6d?3ty:h;:50;0x931028?m70on7;1a<>{t9m<>6=4={<443?72m27jm>43:1>v3976821a=:ihh1?o64}r3g22<72;q6::9514a89dgc2:h37p}>d7:94?4|5?=<6<;;;i3;>n6383g80f==z{8n=m7>52z?5=d<6=016;9>53c:8yv7c>k0;6?u268c950><5>>:6>l7;|q2`3e=838p1;7n:074?813:39i46s|1e4g>5<5s4<2m7?:6:?406<4j11v2:1<740334=?:7=m8:p5a162909w086a;357>;0<>08n55rs0f46?6=:r7=5l4>609>31>=;k20q~?k7283>7}:>0k1=;>4=66:>6d?3ty:h::50;0x93?f28?m709;a;1a<>{t9m=>6=4={<4:e?72m27<8o43:1>v399`821a=:?=i1?o64}r3g32<72;q6:4o514a8922c2:h37p}>d6:94?4|5?3j6<;;;<57a?5e02wx=i96:18080el3;3=637c`82<4=:i<=1?o64}r3g3d<72:q6:oj516d89=ef28=m70o:9;1a<>{t9m=i6=4<{<4a`?70m273ol4>7d9>e03=;k20q~?k7b83>6}:>kn1=:j4=9ab>41c34k>h7=m8:p5a1c2908w08md;34g>;?kh0:;n52a4d97g>2gb=9>h015mn:05a?8g2j39i46s|1e5e>5<4s4u26cf952?<51ij6<96;:18080el3;j5637c`82e<=:i?;1?o64}r3g<7<72:q6:oj51`:89=ef28k370o97;1a<>{t9m286=4<{<4a`?7f?273ol4>a69>e3?=;k20q~?k8583>6}:>kn1=l84=9ab>4g134k=n7=m8:p5a>22908w08md;3b1>;?kh0:m852a7f97g>2gb=9h>015mn:0c7?8g0939i46s|1e:4>5<4s4u26cf95=`<51ij6<6i;=1?o64}r3g{t9m2i6=4={<4a`?7e;27=j;4>809~w4b?k3:1>v39be82f4=:>o<1=:h4}r3gd9g94?4|5?ho652z?5fa<6im16:k8516`8yv7c180;6?u26cf95de<5?l=6<9n;|q2`<4=838p1;lk:0ca?80a>3;<56s|1e;0>5<5s42gb=9m301;h9:0c4?xu6l0<1<74b?34;1n?0:m85rs0f:d79>2c0=9h>0q~?k9883>7}:>kn1=nm4=7d5>4?f3ty:h4o50;0x93dc28i:708i6;3;b>{t9m3i6=4={<4a`?7e>27=j;4>859~w4b>k3:1>v39be82ed=:>o<1=:64}r3g=a<72;q6:k851c18920a282:7p}>d8g94?4|5?l=6;<55b?70n2wx=i7i:18180a>3;i<6386g823`=z{8nj<7>52z?5b3<6io16;;h516f8yv7ci80;6?u26g495dc<5>5<5s42c0=9mh01:8i:0c:?xu6lh<1<74bf34==j7?n8:p5ag02909w08i6;3g=>;0>o0:m:5rs0fbd99>33`=9h<0q~?ka883>7}:>o<1=i94=64e>4g23ty:hlo50;0x93`128n=7099f;3b0>{t9mki6=4={<4e2?7dk27<:k4>9`9~w4bfk3:1>v39f782g4=:??l1=5h4}r3gea<72;q6:k851c48920a282?7p}>d`g94?4|5?l=6n52722957e<5>9:6<4>2b9>362=9;i01:=::00`?814>3;9o63836826f=:?:21=?m4=61:>44d34=8m7?=c:?47g<6:j16;>m513a8925c288h709;0n52742957e<5>?:6<4>2b9>302=9;i01:;::00`?812>3;9o63856826f=:?<21=?m4=67:>44d34=>m7?=c:?41g<6:j16;8m513a8923c288h709:e;31g>;??h0:>n5286`957e<51=h6<2b9><2`=9;i0156?:00`?8>?93;9o6378`826f=:01h1=?m4=9:`>44d3423h7?=c:?;<`<6:j1645h513a89=?7288h70661;31g>;>1m08==5rs0fa4?6=;8q6;>h513a89227288h709;1;31g>;0<;0:>n52751957e<5>>?6<2b9>311=9;i01::7:00`?81313;9o6384`826f=:?=h1=?m4=66`>44d34=?h7?=c:?40`<6:j16;8h513a89207288h70991;31g>;0>;0:>n52771957e<5>2b9>331=9;i01:87:00`?81113;9o6386`826f=:??h1=?m4=64`>44d34==h7?=c:?42`<6:j1645<513a89=>4288h70674;31g>;?0<0:>n52894957e<512<6<2b9><<4=9;i0157<:00`?8>><3;9o63794826f=:00<1=?m4=9;4>44d342247?=c:?;=<<6:j1654k53028yv7cj80;6?u27`;9535<51h96>l7;|q2`g4=838p1:o6:042?8>e;39i46s|1e`0>5<5s4=j57?90:?;f1<4j11v3d?=943c342i;7=m8:p5ad02909w09n9;36g>;?j108n55rs0fa559>7}:?jl1=;=4=9;b>6d?3ty:hoo50;0x92ea28<:7066b;1a<>{t9mhi6=4={<5`b?7182735n4v38cg821c=:00n1?o64}r3gfa<72;q6;nh514g89=?b2:h37p}>dcg94?4|5>im6<;k;<::b?5e02wx=ili:18181dn3;>o637a180f==z{8nh<7>52z?4gc<6==164l?53c:8yv7ck80;6?u27g79535<512j6>l7;|q2`f4=838p1:h::042?8>?j39i46s|1ea0>5<5s4=m97?90:?;3c3=943c3423j7=m8:p5ae02909w09i5;36g>;?1908n55rs0f`559><<7=;k20q~?kc883>7}:08h1=;=4=95b>6d?3ty:hno50;0x9=7e28<:7068b;1a<>{t9mii6=4={<:2f?718273;n4v371c821c=:0>n1?o64}r3gga<72;q64dbg94?4|51;i6<;k;<:4b?5e02wx=imi:1818>6j3;>o6378180f==z{8no<7>52z?;5g<6==1645?53c:8yv7cl80;6?u283g9535<5hh:6>l7;|q2`a4=838p155<5s429i7?90:?bec<4j11v<7c=943c34ki57=m8:p5ab02909w06=e;36g>;fjk08n55rs0fgh4>559>eg`=;k20q~?kd883>7}:0=;1=;=4=9;1>6d?3ty:hio50;0x9=2628<:70663;1a<>{t9mni6=4={<:75?718273594v3740821c=:00?1?o64}r3g`a<72;q649?514g89=?12:h37p}>deg94?4|51>:6<;k;<::3?5e02wx=iji:1818>393;>o6379980f==z{8nn<7>52z?;04<6==1644753c:8yv7cm80;6?u28469535<5h=i6>l7;|q2``4=838p15;;:042?8g?939i46s|1eg0>5<5s42>87?90:?b<6<4j11v<02=943c34k3n7=m8:p5ac02909w06:4;36g>;f0m08n55rs0ff559>e=?=;k20q~?ke883>7}:0?=1=;=4=9:1>6d?3ty:hho50;0x9=0028<:70673;1a<>{t9moi6=4={<:53?718273494v3766821c=:01?1?o64}r3gaa<72;q64;9514g89=>12:h37p}>ddg94?4|51<<6<;k;<:;3?5e02wx=iki:1818>1?3;>o6378980f==z{8nm<7>52z?;22<6==1645753c:8yv7cn80;6>?t=9;b>44d3422n7?=c:?;=f<6:j1644j513a89=?b288h7066f;31g>;?i90:>n528`3957e<51k96<2b9>f?3;9o637a9826f=:0h31=?m4=9cb>44d342jn7?=c:?;ef<6:j164lj513a89=gb288h706nf;31g>;?j90:>n528c3957e<51h96<2b9>e?3;9o637b9826f=:0k31=?m4=9`b>44d342in7?=c:?;ff<6:j164oj513a89=db288h706mf;31g>;?k90:>n528b3957e<51i96<2b9>d?3;9o637c9826f=:0j31=?m4=8;e>6773ty:hk<50;0x9=ef2k801l:i:2`;?xu6lo91<7=t=9d2>757342mm7=l5:?:e4<4991va?388=6s|1ed5>5<4s42m>7<<0:?;b3<4k<16m>?53028yv7cn>0;6?u28g09746<5h;o6<=k;|q2`c>=839p15h<:014?8>a?3;8;637f98174=z{8nm57>53z?;b6<5;9164k953b789d552:;;7p}>dgc94?4|51l86>??;a<3;8;637f98272=:0o31>>?4}r3gbf<72:q64k:522289=`?2:i>70o<3;124>{t9mlo6=4={<:e0?56827j=k4>3e9~w4bam3:1?v37f48272=:0o31=>94=9db>7563ty:hkh50;1x9=`22;9;706i9;1`1>;f;=08==5rs0g34?6=:r73j84<119>e76=9:n0q~?j0083>a}:0o<1><>4=850>74e343<87<=a:?:e4<5:h16m=>523`89d662;8j70o?2;01e>;f8:09>l52a16967g<5h:>6?e1?=:;20q~?j0383>6}:0o=1><>4=`3f>6d?34k8>7<=9:p5`642908w06i8;024>;f9o08n552a21967?5<4s42mm7<>0:?:e4<5:016m??53c:8yv7b8?0;6?u28g`956b<50k96>??;|q2a51=838p15hl:01g?8?f<39:<6s|1d2;>5<5s42mh7?45c343j:7=>0:p5`6d2909w07?1;30`>;>i108==5rs0g3`?6=:r723e9>=dg=;8:0q~?j0d83>7}:1991=>j4=8c:>6773ty:i=h50;0x9<63289o707nb;124>{t9l;;6=4={<;31?74l272mi4<119~w4c693:1>v3607827a=:1hi1?<>4}r3f57<72;q65=9512f89e0194?4|50:36<=k;<;a4?5682wx=h?;:1818?713;8h636ag8055=z{8o:97>52z?:4d<6;m165o?53028yv7b9?0;6?u291`956b<50h86>??;|q2a41=838p14>l:01g?8?e:39:<6s|1d3;>5<5s43;h7?9;296~;>8l0:?i529c49746=5`=9:n014l::233?xu6m8h1<745c343i;7=>0:p5`7d2909w07>1;30`>;>j008==5rs0g2`?6=:r72=?4>3e9>=g>=;8:0q~?j1d83>7}:1891=>j4=8`b>6773ty:i{t9l8;6=4={<;21?74l272no4<119~w4c593:1>v3617827a=:1kn1?<>4}r3f67<72;q65<9512f89e3194?4|50;36<=k;<;aa?5682wx=h<;:1818?613;8h636c18055=z{8o997>52z?:5d<6;m165n<53028yv7b:?0;6?u290`956b<50i:6>??;|q2a71=838p14?l:01g?8?d;39:<6s|1d0;>5<5s43:h7?9l0:?i529b69746l4?:3y>=4`=9:n014m9:233?xu6m;h1<745c343h47=>0:p5`4d2909w07=1;30`>;>k>08==5rs0g1`?6=:r72>?4>3e9>=f?=;8:0q~?j2d83>7}:1;91=>j4=8aa>6773ty:i?h50;0x9<43289o707la;124>{t9l9;6=4={<;11?74l272on4<119~w4c493:1>v3627827a=:1jo1?<>4}r3f77<72;q65?9512f89e2194?4|50836<=k;<;`b?5682wx=h=;:1818?513;8h636d08055=z{8o897>52z?:6d<6;m165i>53028yv7b;?0;6?u293`956b<50n96>??;|q2a61=838p145<5s439h7?:l0:?i529e69746=7`=9:n014j9:233?xu6m:h1<745c343o47=>0:p5`5d2909w07<1;30`>;>l>08==5rs0g0`?6=:r72??4>3e9>=a?=;8:0q~?j3d83>7}:1:91=>j4=8fa>6773ty:i>h50;0x9<53289o707ka;124>{t9l>;6=4={<;01?74l272hn4<119~w4c393:1>v3637827a=:1mo1?<>4}r3f07<72;q65>9512f89e5194?4|50936<=k;<;gb?5682wx=h:;:1818?413;8h636e08055=z{8o?97>52z?:7d<6;m165h>53028yv7b??;|q2a11=838p14=l:01g?8?b<39:<6s|1d6;>5<5s438h7?;l0:?i529d79746=6`=9:n014k8:233?xu6m=h1<745c343n:7=>0:p5`2d2909w07;1;30`>;>m108==5rs0g7`?6=:r728?4>3e9>=`g=;8:0q~?j4d83>7}:1=91=>j4=8g:>6773ty:i9h50;0x9<23289o707jb;124>{t9l?;6=4={<;71?74l272ii4<119~w4c293:1>v3647827a=:1li1?<>4}r3f17<72;q6599512f89e4194?4|50>36<=k;<;e4?5682wx=h;;:1818?313;8h636eg8055=z{8o>97>52z?:0d<6;m165k?53028yv7b=?0;6?u295`956b<50l86>??;|q2a01=838p14:l:01g?8?a:39:<6s|1d7;>5<5s43?h7?=1`=9:n014h::233?xu6m45c343m;7=>0:p5`3d2909w07:1;30`>;>n008==5rs0g6`?6=:r729?4>3e9>=c>=;8:0q~?j5d83>7}:1<91=>j4=8db>6773ty:i8h50;0x9<33289o707ic;124>{t9l<;6=4={<;61?74l272jo4<119~w4c193:1>v3657827a=:1on1?<>4}r3f27<72;q6589512f89<`a2:;;7p}>e7194?4|50?36<=k;<;ea?5682wx=h8;:1818?213;8h63n018055=z{8o=97>53z?:1d<6;>1658h512589<072;9:7p}>e7494?5|50?j6?=?;<;6b?5d=27j<84<119~w4c1?3:1>v365`8055=:i;81=>j4}r3f2=<72:q658l512589<07289<70791;005>{t9l<26=4<{<;6f?448272:=4e52=;8:0q~?j6`83>7}:14=`00>45c3ty:i;l50;1x9<3d289<70791;303>;>>;09?<5rs0g5g?6=;r729n4=319>=37=;j?01l><:233?xu6m?n1<767734k987?;>>;0:?:529719667=0b=:::0148=:2a6?8g7:39:<6s|1d53>5<5s43>h7=>0:?b60<6;m1v=l0:?:529719561<50??;|q2a24=839p14;j:313?8?1;39h963n008055=z{8o52z?:1`<49916m?8512f8yv7b?=0;69u294d9646<50=;6?<6;?4:1>?o4=`27>74>34k9?7=m8:p5`11290?w0791;024>;>?909>o52a11967?<5h8?6>l7;|q2a21=83>p148=:333?8?08389o63n03816<=:i;?1?o64}r3f3=<72=q65;=520289<172;8o70o?1;01=>;f:?08n55rs0g4=?6=:r72:94e1?=;8:0q~?j7`83>1}:1??1>?o4=84`>74f343=h7<=a:?aa<<4j11v><09>o52b5197g>=3>=:;k01l=::2`;?8g4k38956s|1d5g>5<4s433:7<=a:?b54<4j116m>o523`8yv7b?l0;69u2983967?<5h8o6?<6;74e3ty:i5>50;6x9;>1h09>l52a6797g>=74f34kn=7=m8:p5`>4290==v36a18055=:il81=?m4=`g0>44d34kn87?=c:?ba0<6:j16mh8513a89dc0288h70oj8;31g>;fm00:>n52adc957e<5hoi6<2b9>e`c=9;i01lki:00`?8ga83;9o63nf0826f=:io81=?m4=`d0>44d34km87?=c:?bb0<6:j16mk8513a89d`0288h70oi8;31g>;fn00:>n52agc957e<5hli6<2b9>ecc=9;i01lhi:00`?8d783;9o63m00826f=:j981=?m4=c20>44d34h;87?=c:?a40<6:j16n=8513a89g60288h70l?8;31g>;e800:>n52b1c957e<5k:i6<2b9>f5c=9;i01o>i:00`?8d683;9o63m10826f=:j881=?m4=c30>44d34h:87?=c:?a50<6:j16n<8513a89g70288h70l>8;31g>;e900:>n52b0c957e<5k;i6<2b9>f4c=9;i01o?i:00`?8d583;9o63m20826f=:j;81=?m4=c00>44d34h987?=c:?a60<6:j16n?8513a89g40288h70l=8;31g>;e:00:>n52b3c957e<5k8i6<i4>2b9>f7c=9;i01o44d34h887?=c:?a70<6:j16n>8513a89g50288h70l<8;31g>;e;00:>n52b2c957e<5k9i6<2b9>f6c=9;i01o=i:00`?8d383;9o63m40826f=z{8o387>52z?:e7<5:k16n:h53c:8yv7b0<0;6?u29`1967d<5k2:6>l7;|q2a=0=838p14o;:30a?8d?;39i46s|1d:4>5<5s43j97<=b:?a3<<4j11vi?09>o52b6`97g>=d1=:;h01o9k:2`;?xu6m1k1<774e34he2909w07n9;01f>;e?<08n55rs0g;g?6=:r72ml4=2c9>f21=;k20q~?j8e83>7}:1hh1>?l4=c4g>6d?3ty:i5k50;0x9{t9l2m6=4={<;b`?45j27i;<483:1>v36ad816g=:j?=1?o64}r3f=4<72;q65lh523`89g0>2:h37p}>e8094?4|50h;6?52z?:`5<5:k16n;;53c:8yv7b1<0;6?u29e0967d<5k<86>l7;|q2a<0=838p14j<:30a?8d2j39i46s|1d;4>5<5s43o87<=b:?a1a<4j11vl<09>o52b4d97g>=a0=:;h01o;6:2`;?xu6m0k1<774e34h>97=m8:p5`?e2909w07k8;01f>;e=>08n55rs0g:g?6=:r72h44=2c9>f05=;k20q~?j9e83>7}:1mk1>?l4=c72>6d?3ty:i4k50;0x9{t9l3m6=4={<;gg?45j27i8k4v36de816g=:j=h1?o64}r3fe4<72;q65ik523`89g202:h37p}>e`094?4|50nm6?52z?b42<6;m16m=653028yv7bi<0;6?u2a03956b<5h9j6>??;|q2ad0=838p1l?9:01g?8g4j39:<6s|1dc4>5<5s4k897?e61=9:n01l=k:233?xu6mhk1<745c34k8i7=>0:p5`ge2909w0o;e;1a<>;fe07=9:n0q~?jae83>7}:i<81?o64=`70>45c3ty:ilk50;0x9d332:h370o:5;30`>{t9lkm6=4={3e9~w4ce83:1>v3n5980f==:i<31=>j4}r3ff4<72;q6m8o53c:89d3e289o7p}>ec094?4|5h?h6>l7;52z?b25<4j116m;?512f8yv7bj<0;6?u2a7097g><5h<86<=k;|q2ag0=838p1l8;:2`;?8g1=3;8h6s|1d`4>5<5s4k=:7=m8:?b22<6;m1v108n552a7;956be3g=;k201l8m:01g?xu6mkk1<76d?34k=h7?;f>o0:?i5rs0gag?6=:r7j;=4e27=9:n0q~?jbe83>7}:i>81?o64=`50>45c3ty:iok50;0x9d132:h370o85;30`>{t9lhm6=4={3e9~w4cd83:1>v3n7980f==:i>31=>j4}r3fg4<72;q6m:o53c:89d1e289o7p}>eb094?4|5h=h6>l7;52z?b<5<4j116m5?512f8yv7bk<0;6?u2a9097g><5h286<=k;|q2af0=838p1l6;:2`;?8g?=3;8h6s|1da4>5<5s4k3:7=m8:?b<2<6;m1ve=g=;k201l6m:01g?xu6mjk1<76d?34k3h7?;f0o0:?i5rs0g`g?6=:r7j5=4e<7=9:n0q~?jce83>7}:i081?o64=`;0>45c3ty:ink50;0x9d?32:h370o65;30`>{t9lim6=4={3e9~w4cc83:1>v3n9980f==:i031=>j4}r3f`4<72;q6m4o53c:89d?e289o7p}>ee094?4|5h3h6>l7;m39i463n9g827a=z{8oo87>52z?be5<4j116ml?512f8yv7bl<0;6?u2a`097g><5hk86<=k;|q2aa0=838p1lo;:2`;?8gf=3;8h6s|1df4>5<5s4kj:7=m8:?be2<6;m1vedg=;k201lom:01g?xu6mmk1<76d?34kjh7?;fio0:?i5rs0ggg?6=:r7jn=4eg7=9:n0q~?jde83>7}:ik81?o64=``0>45c3ty:iik50;0x9dd32:h370om5;30`>{t9lnm6=4={3e9~w4cb83:1>v3nb980f==:ik31=>j4}r3fa4<72;q6moo53c:89dde289o7p}>ed094?4|5hhh6>l7;52z?bg5<4j116mn?512f8yv7bm<0;6?u2ab097g><5hi86<=k;|q2a`0=838p1lm;:2`;?8gd=3;8h6s|1dg4>5<5s4kh:7=m8:?bg2<6;m1vefg=;k201lmm:01g?xu6mlk1<76d?34khh7?;fko0:?i5rs0gfg?6=:r7jh=4ea7=9:n0q~?jee83>7}:im81?o64=`f0>45c3ty:ihk50;0x9db32:h370ok5;30`>{t9lom6=4={3e9~w4ca83:1>v3nd980f==:im31=>j4}r3fb4<72;q6mio53c:89dbe289o7p}>eg094?4|5hnh6>l7;52z?ba5<4j116mh?512f8yv7bn<0;6?u2ad097g><5ho86<=k;|q2ac0=838p1lk<:2`;?8d?>3;8h6s|1dd4>5<5s4kn87=m8:?ba0<6;m1ve`0=;k201lk8:01g?xu6mok1<76d?34h3m7?;fm00:?i5rs0geg?6=:r7ji44f=c=9:n0q~?jfe83>7}:ilk1?o64=`ga>45c3ty:ikk50;0x9dce2:h370l60;30`>{t9llm6=4={3e9~w4`783:1>v3nee80f==:j1i1=>j4}r3e44<72;q6mhk53c:89dca289o7p}>f1094?4|5hom6>l7;<`:6?74l2wx=k><:1818ga839i463nf0827a=z{8l;87>52z?bb4<4j116n46512f8yv7a8<0;6?u2ag097g><5hl86<=k;|q2b50=838p1lh<:2`;?8d><3;8h6s|1g24>5<5s4km87=m8:?bb0<6;m1vec0=;k201lh8:01g?xu6n9k1<76d?34h2m7?;fn00:?i5rs0d3g?6=:r7jj44f7}:iok1?o64=`da>45c3ty:j=k50;0x9d`e2:h370l6e;30`>{t9o:m6=4={3e9~w4`683:1>v3nfe80f==:jh:1=>j4}r3e54<72;q6mkk53c:89d`a289o7p}>f0094?4|5hlm6>l7;<`b6?74l2wx=k?<:1818d7839i463m00827a=z{8l:87>52z?a44<4j116nl:512f8yv7a9<0;6?u2b1097g><5k:86<=k;|q2b40=838p1o><:2`;?8df>3;8h6s|1g34>5<5s4h;87=m8:?a40<6;m1v8;296~;e8<08n552b`:956bf50=;k201o>8:01g?xu6n8k1<76d?34hjm7?;e800:?i5rs0d2g?6=:r7i<44fg6=9:n0q~?i1e83>7}:j9k1?o64=c2a>45c3ty:j{t9o;m6=4={<`3g?5e027i3e9~w4`583:1>v3m0e80f==:jho1=>j4}r3e64<72;q6n=k53c:89g6a289o7p}>f3094?4|5k:m6>l7;<`a52z?a54<4j116no<512f8yv7a:<0;6?u2b0097g><5k;86<=k;|q2b70=838p1o?<:2`;?8de>3;8h6s|1g04>5<5s4h:87=m8:?a50<6;m1v44?:3y>f40=;k201o?8:01g?xu6n;k1<76d?34hi87?8;1a<>;e900:?i5rs0d1g?6=:r7i=44fge=9:n0q~?i2e83>7}:j8k1?o64=c3a>45c3ty:j?k50;0x9g7e2:h370lma;30`>{t9o8m6=4={<`2g?5e027i=i4>3e9~w4`483:1>v3m1e80f==:jj81=>j4}r3e74<72;q6nf2094?4|5k;m6>l7;<``0?74l2wx=k=<:1818d5839i463m20827a=z{8l887>52z?a64<4j116nn>512f8yv7a;<0;6?u2b3097g><5k886<=k;|q2b60=838p1o<<:2`;?8ddi3;8h6s|1g14>5<5s4h987=m8:?a60<6;m1vf70=;k201o<8:01g?xu6n:k1<76d?34hh47?;e:00:?i5rs0d0g?6=:r7i>44fa4=9:n0q~?i3e83>7}:j;k1?o64=c0a>45c3ty:j>k50;0x9g4e2:h370llc;30`>{t9o9m6=4={<`1g?5e027i>i4>3e9~w4`383:1>v3m2e80f==:jm:1=>j4}r3e04<72;q6n?k53c:89g4a289o7p}>f5094?4|5k8m6>l7;<`g52z?a74<4j116nnk512f8yv7a<<0;6?u2b2097g><5k986<=k;|q2b10=838p1o=<:2`;?8dc>3;8h6s|1g64>5<5s4h887=m8:?a70<6;m1vf60=;k201o=8:01g?xu6n=k1<76d?34hoo7?;e;00:?i5rs0d7g?6=:r7i?44fac=9:n0q~?i4e83>7}:j:k1?o64=c1a>45c3ty:j9k50;0x9g5e2:h370lka;30`>{t9o>m6=4={<`0g?5e027i?i4>3e9~w4`283:1>v3m3e80f==:jl>1=>j4}r3e14<72;q6n>k53c:89g5a289o7p}>f4094?4|5k9m6>l7;<`f4?74l2wx=k;<:1818d3839i463m40827a=z{8l>87>52z?a04<4j116nh<512f8yv7a=<0;6?u2b5097g><5k>86<=k;|q2b00=838p1o:;:2`;?8d3=3;8h6s|1g74>5<5s4h?:7=m8:?a02<6;m1vf1g=;k201o:m:01g?xu6n6d?34h?h7?;ef07=9:n0q~?i5e83>7}:j<81?o64=c70>45c3ty:j8k50;0x9g332:h370l:5;30`>{t9o?m6=4={<`62?5e027i9:4>3e9~w4`183:1>v3m5980f==:j<31=>j4}r3e24<72;q6n8o53c:89g3e289o7p}>f7094?4|5k?h6>l7;<`6`?74l2wx=k8<:1818d2m39i463m5g827a=z{8l=87>52z?a25<4j116n;?512f8yv7a><0;6?u2b7097g><5k<86<=k;|q2b30=838p1o8;:2`;?8d1=3;8h6s|1g44>5<5s4h=:7=m8:?a22<6;m1v108n552b7;956bf3g=;k201o8m:01g?xu6n?k1<76d?34h=h7?;e>o0:?i5rs0d5g?6=:r7i;=4f27=9:n0q~?i6e83>7}:j>81?o64=c50>45c3ty:j;k50;0x9g132:h370l85;30`>{t9o3e9~w4`083:1>v3m7980f==:j>31=>j4}r3e34<72;q6n:o53c:89g1e289o7p}>f6094?4|5k=h6>l7;<`4`?74l2wx=k9<:1818d0m39i463m7g827a=z{8l<87>52z?a<5<4j116n5?512f8yv7a?<0;6?u2b9097g><5k286<=k;|q2b20=838p1o6;:2`;?8d?=3;8h6s|1g54>5<5s4h3:7=m8:?a<2<6;m1vf=g=;k201o6m:01g?xu6n>k1<76d?34h3h7?;e0o0:?i5rs0d4g?6=:r7i5=4f<7=9:n0q~?i7e83>7}:j081?o64=c;0>45c3ty:j:k50;0x9g?32:h370l65;30`>{t9o=m6=4={<`:2?5e027i5:4>3e9~w4`?83:1>v3m9980f==:j031=>j4}r3e<4<72;q6n4o53c:89g?e289o7p}>f9094?4|5k3h6>l7;<`:`?74l2wx=k6<:1818d>m39i463m9g827a=z{8l387>52z?ae5<4j116nl?512f8yv7a0<0;6?u2b`097g><5kk86<=k;|q2b=0=838p1oo;:2`;?8df=3;8h6s|1g:4>5<5s4hj:7=m8:?ae2<6;m1vfdg=;k201oom:01g?xu6n1k1<76d?34hjh7?e2909w0lne;1a<>;eio0:?i5rs0d;g?6=:r7in=4fg7=9:n0q~?i8e83>7}:jk81?o64=c`0>45c3ty:j5k50;0x9gd32:h370lm5;30`>{t9o2m6=4={<`a2?5e027in:4>3e9~w4`>83:1>v3mb980f==:jk31=>j4}r3e=4<72;q6noo53c:89gde289o7p}>f8094?4|5khh6>l7;<`a`?74l2wx=k7<:1818dem39i463mbg827a=z{8l287>52z?ag5<4j116nn?512f8yv7a1<0;6?u2bb097g><5ki86<=k;|q2b<0=838p1om;:2`;?8dd=3;8h6s|1g;4>5<5s4hh:7=m8:?ag2<6;m1vffg=;k201omm:01g?xu6n0k1<76d?34hhh7?;eko0:?i5rs0d:g?6=:r7ih=4fa7=9:n0q~?i9e83>7}:jm81?o64=cf0>45c3ty:j4k50;0x9gb32:h370lk5;30`>{t9o3m6=4={<`g2?5e027ih:4>3e9~w4`f83:1>v3md980f==:jm31=>j4}r3ee4<72;q6nio53c:89gbe289o7p}>f`094?4|5knh6>l7;<`g`?74l2wx=ko<:1818dcm39i463mdg827a=z{8lj87>52z?aa5<4j116nh?512f8yv7ai<0;6?u2bd097g><5ko86<=k;|q2bd0=838p1ok;:2`;?8db=3;8h6s|1gc4>5<5s4hn:7=m8:?aa2<6;m1v67a8 6ea2;2<7p}meg83>7}Yjll01>kl:cge?!5dn38j46s|bdc94?4|Vkoj70=jc;`fe>"4ko09ml5rs0453?6=:rT::;94=2g`>401?2.8ok4=ac9~wf702909wSm>7:?0af1/?nh52g08yve603:1>vPl199>7`e=k820(>mi:22a?xud8k0;6?uQbg;896cd2kl27)=lf;13g>{tk931<7;4mj0ij:5+3bd963352z\ab3=:;li1nk84$2ae>7013tyh<;4?:3y]fc3<5:oh6oh:;%1`b?41?2wxo=;50;0xZg`3349no7li4:&0gc<5>11vn>;:181[da;278in4mf29'7f`=:?30q~m?3;296~Xen;16?hm5bg08 6ea2;7}Yk9;01>kl:b22?!5dn38=n6s|c0194?4|Vj:;70=jc;a34>"4ko09;<5rsb31>5<5sWhmj63:m4}ra25?6=:rTijh523da9fcc<,:im6?67;|q`55<72;qUnkj4=2g`>g`c3-9hj7<63:pg5`=838pRohl;<1fg?dak2.8ok4=9d9~wf6b2909wSlib:?0afvPmf`9>7`e=jok0(>mi:3c0?xud8j0;6?uQbg3896cd2kl:7)=lf;0b0>{tk981<752z\223d<5:oh6<89b:&0gc<5i?1v<89a;296~X6>?k01>kl:045e>"4ko09m:5rsb3:>5<5sWi:563l74}rf3e?6=:rTh8>523da9g15<,:im6?8l;|qg4=<72;qUo9<4=2g`>f253-9hj7<9d:p`51=838pRn:>;<1fg?e392.8ok4=6d9~wa612909wSm;0:?0afvPl3d9>7`e=k:o0(>mi:353?xuc8:0;6?uQc2f896cd2j9o7)=lf;046>{tl981<7;4mj0h?o5+3bd962252z\`7d=:;li1o>o4$2ae>7123tyhjk4?:3y]g6?<5:oh6n=6;%1`b?40>2wxokk50;0xZf5?349no7m<8:&0gc<5?>1vnhk:181[e4?278in4l369'7f`=:>20q~mic;296~Xd;?16?hm5c248 6ea2;=27p}lfc83>7}Yk:?01>kl:b16?!5dn38j70=jc;a7e>"4ko09;o5rse32>5<5sWi?563:j4}rf24?6=:rTh85523da9g1><,:im6?9j;|qg4c<72;qUo994=2g`>f203-9hj7<8f:p`5c=838pRn:9;<1fg?e3>2.8ok4=819~wa6c2909wSm;5:?0afvPl459>7`e=k=>0(>mi:3:1?xuc8k0;6?uQc2d896cd2j9m7)=lf;0;7>{tl9?1<7;4mj0h?>5+3bd96=352z\g66=:;li1h?=4$2ae>7>13tyo?44?:3y]`74<5:oh6i<=;%1`b?4?12wxh>650;0xZa46349no7j=1:&0gc<50h1vi=8:181[b58278in4k219'7f`=:1h0q~j<5;296~Xc9l16?hm5d0g8 6ea2;2h7p}k3583>7}Yl8n01>kl:e3g?!5dn383h6s|d2194?4|Vm;h70=jc;f2g>"4ko094h5rse11>5<5sWn:n635h4}rf05?6=:rTo=l523da9`4g<,:im6?7?;|qg75<72;qUh<74=2g`>a7>3-9hj7<61:p`7`=838pRi?7;<1fg?b602.8ok4=939~wa4b2909wSj>7:?0af1/?nh52868yvb5l3:1>vPk179>7`e=l8<0(>mi:3;6?xuc:j0;6?uQd07896cd2m;>7)=lf;0:2>{tl=91<796=4={_f1=>;4mj0o>45+3bd96<>52z\g6==:;li1h?64$2ae>7?>3tyo8=4?:3y]`71<5:oh6i<8;%1`b?4>i2wxh>h50;0xZa41349no7j=6:&0gc<51k1vi=j:181[b5=278in4k249'7f`=:0i0q~j7}Yl8l01>kl:e3e?!5dn382j6s|d2494?4|Vm;?70=jc;f20>"4ko09m=5rse0a>5<5sWn:?63l?4}rd`f?6=:rTn8n523da9a1e<,:im6?ol;|qeg<<72;qUi9l4=2g`>`2e3-9hj7=838pRh:n;<1fg?c3i2.8ok4=ad9~wce02909wSk;9:?0af3:1>vPj499>7`e=m=20(>mi:3`3?xuak<0;6?uQe55896cd2l><7)=lf;0a5>{tnj>1<7;4mj0n895+3bd96g552z\f06=:;li1i9=4$2ae>7d33tymo=4?:3y]a14<5:oh6h:=;%1`b?4e=2wxjoh50;0xZ`26349no7k;1:&0gc<5j?1vklj:181[c38278in4j419'7f`=:k=0q~hmd;296~Xb;o16?hm5e2d8 6ea2;h37p}ibb83>7}Ym:o01>kl:d1f?!5dn38i56s|fc`94?4|Vl9o70=jc;g0`>"4ko09nl5rsg`b>5<5sWo8o63ol4}rda=?6=:rTn?o523da9a6d<,:im6?ll;|qef2<72;qUi>74=2g`>`5>3-9hj71/?nh52cd8yv`e<3:1>vPj379>7`e=m:<0(>mi:3a3?xuaj:0;6?uQe27896cd2l9>7)=lf;0`5>{tnk81<7;4mj0n?>5+3bd96f552z\f77=:;li1i><4$2ae>7e33tymmk4?:3y]a67<5:oh6h=>;%1`b?4d=2wxjlk50;0xZ`57349no7k<0:&0gc<5k?1vkol:181[c5m278in4j2d9'7f`=:j=0q~hnb;296~Xb:m16?hm5e3f8 6ea2;i37p}ia`83>7}Ym;i01>kl:d0`?!5dn38h56s|f`;94?4|Vl8i70=jc;g1f>"4ko09ol5rsgc;>5<5sWo9m63nl4}rdb3?6=:rTn>4523da9a7?<,:im6?ml;|qee3<72;qUi?64=2g`>`4?3-9hj7vPj249>7`e=m;?0(>mi:3f3?xual80;6?uQe43896cd2l?:7)=lf;0g5>{tnm:1<7<6*;4mj0n8k5+3bd96a552z\f0`=:;li1i9k4$2ae>7b33tymoi4?:3y]a1b<5:oh6h:k;%1`b?4c=2wxjnm50;0xZ`22349no7k;5:&0gc<5l?1vkm<:181[c4i278in4j3`9'7f`=:m=0q~hm8;296~Xb:o16?hm5e3d8 6ea2;n37p}iae83>7}Ym;>01>kl:d07?!5dn38o56s|f`094?4|Vl8870=jc;g17>"4ko09hl5rs021e?6=:rTmjo523da9bcd<,:im6?jm;|q247>=838pRkhn;<1fg?`ai2.8ok4=db9~w465?3:1>vPif89>7`e=no30(>mi:3fg?xu68;<1<752z\eb2=:;li1jk94$2ae>7ba3ty:=3;296~Xan<16?hm5fg78 6ea2;o:7p}>03394?4|Vol870=jc;de7>"4ko09i?5rs0214?6=:rTmj?523da9bc4<,:im6?k<;|q244`=838pRkh>;<1fg?`a92.8ok4=e59~w466m3:1>vPif19>7`e=no:0(>mi:3g6?xu688n1<752z\ea`=:;li1jhk4$2ae>7c03ty:<>a;296~Xamj16?hm5fda8 6ea2;o27p}>00;94?4|Vooi70=jc;dff>"4ko09il5rs022vPie69>7`e=nl=0(>mi:3gg?xu688>1<752z\ea0=:;li1jh;4$2ae>7ca3ty:<<<50;0xZcc3349no7hj4:&0gc<5n91v<>>1;296~Xam:16?hm5fd18 6ea2;l:7p}>00294?4|Voo970=jc;df6>"4ko09j>5rs023b?6=:rTmi<523da9b`7<,:im6?h;;|q245c=838pRkk?;<1fg?`b82.8ok4=f49~w467l3:1>vPidg9>7`e=nml0(>mi:3d5?xu689h1<752z\e`f=:;li1jim4$2ae>7`?3ty:<=750;0xZcbe349no7hkb:&0gc<5n01v<>?8;296~Xalh16?hm5fec8 6ea2;lj7p}>01594?4|Von270=jc;dg=>"4ko09jo5rs0232?6=:rTmh5523da9ba><,:im6?hl;|q2453=838pRkj8;<1fg?`c?2.8ok4=fe9~w467<3:1>vPid79>7`e=nm<0(>mi:3df?xu68991<77>52z\e`1=:;li1ji:4$2ae>6673ty:<>>50;0xZ4678278in4>0128 6ea2:::7p}>03d94?4|Volm70=jc;deb>"4ko08><;|q247b=838pRkhk;<1fg?`al2.8ok4<059~w465k3:1>vPifb9>7`e=noi0(>mi:226?xu68;h1<77>52z\ea<=:;li1jh74$2ae>6603ty:<<950;0xZcbb349no7hke:&0gc<4811v<>?c;296~Xal:16?hm5fe18 6ea2::27p}>01394?4|Von970=jc;dg6>"4ko084}o4`6g<728lpD>j?;|l5g7e=83;mwE=k0:m2f4c2908wE=k0:m2f4b2909wE=k0:m2f4a2909wE=k0:m2f572909wE=k0:m2f562909wE=k0:m2f552909wE=k0:m2f542909wE=k0:m2f532909wE=k0:m2f522909wE=k0:m2f512909wE=k0:m2f502909wE=k0:m2f5?2909wE=k0:m2f5>2909wE=k0:m2f5f2909wE=k0:m2f5e2909wE=k0:m2f5d2909wE=k0:m2f5c2909wE=k0:m2f5b2909wE=k0:m2f5a2909wE=k0:m2f272909wE=k0:m2f262909wE=k0:m2f252909wE=k0:m2f242909wE=k0:m2f232909wE=k0:m2f222908wE=k0:m2f212908wE=k0:m2f202908wE=k0:m2f2?2908wE=k0:m2f2>2908wE=k0:m2f2f2908wE=k0:m2f2e2908wE=k0:m2f2d2908wE=k0:m2f2c2908wE=k0:m2f2b2908wE=k0:m2f2a2908wE=k0:m2f372908wE=k0:m2f362908wE=k0:m2f352908wE=k0:m2f342908wE=k0:m2f332908wE=k0:m2f322908wE=k0:m2f312908wE=k0:m2f302908wE=k0:m2f3?2908wE=k0:m2f3>2908wE=k0:m2f3f2908wE=k0:m2f3e2908wE=k0:m2f3d2908wE=k0:m2f3c2908wE=k0:m2f3b2908wE=k0:m2f3a2908wE=k0:m2f072908wE=k0:m2f062908wE=k0:m2f052908wE=k0:m2f042908wE=k0:m2f032908wE=k0:m2f022908wE=k0:m2f012908wE=k0:m2f002908wE=k0:m2f0?2908wE=k0:m2f0>2908wE=k0:m2f0f2908wE=k0:m2f0e2908wE=k0:m2f0d2908wE=k0:m2f0c2908wE=k0:m2f0b2908wE=k0:m2f0a2908wE=k0:m2f172908wE=k0:m2f162908wE=k0:m2f152908wE=k0:m2f142908wE=k0:m2f132908wE=k0:m2f122909wE=k0:m2f112909wE=k0:m2f102909wE=k0:m2f1?2909wE=k0:m2f1>2909wE=k0:m2f1f2909wE=k0:m2f1e2909wE=k0:m2f1d2909wE=k0:m2f1c2909wE=k0:m2f1b2909wE=k0:m2f1a2909wE=k0:m2f>72909wE=k0:m2f>62909wE=k0:m2f>52909wE=k0:m2f>42909wE=k0:m2f>32909wE=k0:m2f>22909wE=k0:m2f>12909wE=k0:m2f>02909wE=k0:m2f>?2909wE=k0:m2f>>2909wE=k0:m2f>f2909wE=k0:m2f>e2909wE=k0:m2f>d2909wE=k0:m2f>c2909wE=k0:m2f>b2909wE=k0:m2f>a2909wE=k0:m2f?72909wE=k0:m2f?62909wE=k0:m2f?52909wE=k0:m2f?42909wE=k0:m2f?32909wE=k0:m2f?22909wE=k0:m2f?12909wE=k0:m2f?02909wE=k0:m2f??2909wE=k0:m2f?>2909wE=k0:m2f?f2909wE=k0:m2f?e2909wE=k0:m2f?d2909wE=k0:m2f?c2909wE=k0:m2f?b2909wE=k0:m2f?a2909wE=k0:m2fg72909wE=k0:m2fg62909wE=k0:m2fg52909wE=k0:m2fg42909wE=k0:m2fg32909wE=k0:m2fg22909wE=k0:m2fg12909wE=k0:m2fg02909wE=k0:m2fg?2909wE=k0:m2fg>2909wE=k0:m2fgf2909wE=k0:m2fge2909wE=k0:m2fgd2909wE=k0:m2fgc2909wE=k0:m2fgb2909wE=k0:m2fga2909wE=k0:m2fd72909wE=k0:m2fd62909wE=k0:m2fd52909wE=k0:m2fd42909wE=k0:m2fd32909wE=k0:m2fd22909wE=k0:m2fd12909wE=k0:m2fd02909wE=k0:m2fd?2909wE=k0:m2fd>2909wE=k0:m2fdf2909wE=k0:m2fde2909wE=k0:m2fdd2909wE=k0:m2fdc2909wE=k0:m2fdb2909wE=k0:m2fda2909wE=k0:m2fe72909wE=k0:m2fe62909wE=k0:m2fe52909wE=k0:m2fe42909wE=k0:m2fe32909wE=k0:m2fe22909wE=k0:m2fe12909wE=k0:m2fe02909wE=k0:m2fe?2909wE=k0:m2fe>2909wE=k0:m2fef2909wE=k0:m2fee2909wE=k0:m2fed2909wE=k0:m2fec2909wE=k0:m2feb2909wE=k0:m2fea2909wE=k0:m2fb72909wE=k0:m2fb62909wE=k0:m2fb52909wE=k0:m2651290:wE=k0:m2650290:wE=k0:m26?>290:wE=k0:m26?f290:wE=k0:m26?e290:wE=k0:m26?d290:wE=k0:m26?c290:wE=k0:m26?b290:wE=k0:m26?a290:wE=k0:m26g7290:wE=k0:m26g6290:wE=k0:m26g5290:wE=k0:m26g4290:wE=k0:m26g3290:wE=k0:m26g2290:wE=k0:m26g1290:wE=k0:m26g0290:wE=k0:m26g?290:wE=k0:m26g>290:wE=k0:m26gf290:wE=k0:m26ge290:wE=k0:m26gd290:wE=k0:m26gc290:wE=k0:m26gb290:wE=k0:m26ga290:wE=k0:m26d7290:wE=k0:m26d6290:wE=k0:m26d5290:wE=k0:m26d4290:wE=k0:m26d3290:wE=k0:m26d2290:wE=k0:m26d1290:wE=k0:m26d0290:wE=k0:m26d?290:wE=k0:m26d>290:wE=k0:m26df290:wE=k0:m26de290:wE=k0:m26dd290:wE=k0:m26dc290:wE=k0:m26db290:wE=k0:m26da290:wE=k0:m26e7290:wE=k0:m26e6290:wE=k0:m26e5290:wE=k0:m26e4290:wE=k0:m26e3290:wE=k0:m26e2290:wE=k0:m26e1290:wE=k0:m26e0290:wE=k0:m26e?290:wE=k0:m26e>290:wE=k0:m26ef290:wE=k0:m26ee290:wE=k0:m26ed290:wE=k0:m26ec290:wE=k0:m26eb290:wE=k0:m26ea290:wE=k0:m26b7290:wE=k0:m26b6290:wE=k0:m26b5290:wE=k0:m26b4290:wE=k0:m26b3290:wE=k0:m26b2290:wE=k0:m26b1290:wE=k0:m26b0290:wE=k0:m26b?290:wE=k0:m26b>290:wE=k0:m26bf290:wE=k0:m26be290:wE=k0:m26bd290:wE=k0:m26bc290:wE=k0:m26bb290:wE=k0:m26ba290:wE=k0:m26c7290:wE=k0:m26c6290:wE=k0:m26c5290:wE=k0:m26c4290:wE=k0:m26c3290:wE=k0:m26c2290:wE=k0:m26c1290:wE=k0:m26c0290:wE=k0:m26c?290:wE=k0:m26c>290:wE=k0:m26cf290:wE=k0:m26ce290:wE=k0:m26cd290:wE=k0:m26cc290:wE=k0:m26cb290:wE=k0:m26ca290:wE=k0:m26`7290:wE=k0:m26`6290:wE=k0:m26`5290:wE=k0:m26`4290:wE=k0:m26`3290:wE=k0:m26`2290:wE=k0:m26`1290:wE=k0:m26`0290:wE=k0:m26`?290:wE=k0:m26`>290:wE=k0:m26`f290:wE=k0:m26`e290:wE=k0:m26`d290:wE=k0:m26`c290:wE=k0:m26`b290:wE=k0:m26`a290:wE=k0:m2167290:wE=k0:m2166290:wE=k0:m2165290:wE=k0:m2164290:wE=k0:m2163290:wE=k0:m2162290:wE=k0:m2161290:wE=k0:m2160290:wE=k0:m216?290:wE=k0:m216>290:wE=k0:m216f290:wE=k0:m216e290:wE=k0:m216d290:wE=k0:m216c290:wE=k0:m216b290:wE=k0:m216a290:wE=k0:m2177290:wE=k0:m2176290:wE=k0:m2175290:wE=k0:m2174290:wE=k0:m2173290:wE=k0:m2172290:wE=k0:m2171290:wE=k0:m2170290:wE=k0:m217?290:wE=k0:m217>290:wE=k0:m217f290:wE=k0:m217e290:wE=k0:m217d290:wE=k0:m217c290:wE=k0:m217b290:wE=k0:m217a290:wE=k0:m2147290:wE=k0:m2146290:wE=k0:m2145290:wE=k0:m2144290:wE=k0:m2143290:wE=k0:m2142290:wE=k0:m2141290:wE=k0:m2140290:wE=k0:m214?290:wE=k0:m214>290:wE=k0:m214f290:wE=k0:m214e290:wE=k0:m214d290:wE=k0:m214c290:wE=k0:m214b290:wE=k0:m214a290:wE=k0:m2157290:wE=k0:m2156290:wE=k0:m2155290:wE=k0:m2154290:wE=k0:m2153290:wE=k0:m2152290:wE=k0:m2151290:wE=k0:m2150290:wE=k0:m215?290:wE=k0:m215>290:wE=k0:m215f290:wE=k0:m215e290:wE=k0:m215d290:wE=k0:m215c290:wE=k0:m215b290:wE=k0:m215a290:wE=k0:m2127290:wE=k0:m2126290:wE=k0:m2125290:wE=k0:m2124290:wE=k0:m2123290:wE=k0:m2122290:wE=k0:m2121290:wE=k0:m2120290:wE=k0:m212?290:wE=k0:m212>290:wE=k0:m212f290:wE=k0:m212e290:wE=k0:m212d290:wE=k0:m212c290:wE=k0:m212b290:wE=k0:m212a290:wE=k0:m2137290:wE=k0:m2136290:wE=k0:m2135290:wE=k0:m2134290:wE=k0:m2133290:wE=k0:m2132290:wE=k0:m2131290:wE=k0:m2130290:wE=k0:m213?290:wE=k0:m213>290:wE=k0:m213f290:wE=k0:m213e290:wE=k0:m213d290:wE=k0:m213c290:wE=k0:m213b290:wE=k0:m213a290:wE=k0:m2107290:wE=k0:m2106290:wE=k0:m2105290:wE=k0:m2104290:wE=k0:m2103290:wE=k0:m2102290:wE=k0:m2101290:wE=k0:m2100290:wE=k0:m210?290:wE=k0:m210>290:wE=k0:m210f290:wE=k0:m210e290:wE=k0:m210d290:wE=k0:m210c290:wE=k0:m210b290:wE=k0:m210a290:wE=k0:m2117290:wE=k0:m2116290:wE=k0:m2115290:wE=k0:m2114290:wE=k0:m2113290:wE=k0:m2112290:wE=k0:m2111290:wE=k0:m2110290:wE=k0:m211?290:wE=k0:m211>290:wE=k0:m211f290:wE=k0:m211e290:wE=k0:m211d290:wE=k0:m211c290:wE=k0:m211b290:wE=k0:m211a290:wE=k0:m21>7290:wE=k0:m21>6290:wE=k0:m21>5290:wE=k0:m21>4290:wE=k0:m21>3290:wE=k0:m21>2290:wE=k0:m21>1290:wE=k0:m21>0290:wE=k0:m21>?290:wE=k0:m21>>290:wE=k0:m21>f290:wE=k0:m21>e290:wE=k0:m21>d290:wE=k0:m21>c290:wE=k0:m21>b290:wE=k0:m21>a290:wE=k0:m21?7290:wE=k0:m21?6290:wE=k0:m21?5290:wE=k0:m21?4290:wE=k0:m21?3290:wE=k0:m21?22909wE=k0:m21?12909wE=k0:m21?02909wE=k0:m21??2909wE=k0:m21?>2909wE=k0:m21?f2909wE=k0:m21?e2909wE=k0:m21?d2909wE=k0:m21?c2909wE=k0:m21?b2909wE=k0:m21?a2909wE=k0:m21g72909wE=k0:m21g62909wE=k0:m21g52909wE=k0:m21g42909wE=k0:m21g32909wE=k0:m21g22909wE=k0:m21g12909wE=k0:m21g0290:wE=k0:m21g?290:wE=k0:m21g>290:wE=k0:m21gf290:wE=k0:m21ge290:wE=k0:m21gd290:wE=k0:m21gc290:wE=k0:m21gb290:wE=k0:m21ga290:wE=k0:m21d7290:wE=k0:m21d6290:wE=k0:m21d5290:wE=k0:m21d4290:wE=k0:m21d3290:wE=k0:m21d2290:wE=k0:m21d1290:wE=k0:m21d0290:wE=k0:m21d?290:wE=k0:m21d>290:wE=k0:m21df290:wE=k0:m21de290:wE=k0:m21dd290:wE=k0:m21dc290:wE=k0:m21db290:wE=k0:m21da290:wE=k0:m21e7290:wE=k0:m21e6290:wE=k0:m21e5290:wE=k0:m21e4290:wE=k0:m21e3290:wE=k0:m21e2290:wE=k0:m21e1290:wE=k0:m21e0290:wE=k0:m21e?290:wE=k0:m21e>290:wE=k0:m21ef290:wE=k0:m21ee290:wE=k0:m21ed290:wE=k0:m21ec290:wE=k0:m21eb290:wE=k0:m21ea290:wE=k0:m21b7290:wE=k0:m21b6290:wE=k0:m21b5290:wE=k0:m21b4290:wE=k0:m21b3290:wE=k0:m21b2290:wE=k0:m21b1290:wE=k0:m21b0290:wE=k0:m21b?290:wE=k0:m21b>290:wE=k0:m21bf290:wE=k0:m21be290:wE=k0:m21bd290:wE=k0:m21bc290:wE=k0:m21bb290:wE=k0:m21ba290:wE=k0:m21c7290:wE=k0:m21c6290:wE=k0:m21c5290:wE=k0:m21c4290:wE=k0:m21c3290:wE=k0:m21c2290:wE=k0:m21c1290:wE=k0:m21c0290:wE=k0:m21c?290:wE=k0:m21c>290:wE=k0:m21cf290:wE=k0:m21ce290:wE=k0:m21cd290:wE=k0:m21cc290:wE=k0:m21cb290:wE=k0:m21ca290:wE=k0:m21`7290:wE=k0:m21`6290:wE=k0:m21`5290:wE=k0:m21`4290:wE=k0:m21`3290:wE=k0:m21`2290:wE=k0:m21`1290:wE=k0:m21`0290:wE=k0:m21`?290:wE=k0:m21`>290:wE=k0:m21`f290:wE=k0:m21`e290:wE=k0:m21`d290:wE=k0:m21`c290:wE=k0:m21`b290:wE=k0:m21`a290:wE=k0:m2067290:wE=k0:m2066290:wE=k0:m2065290:wE=k0:m2064290:wE=k0:m2063290:wE=k0:m2062290:wE=k0:m2061290:wE=k0:m2060290:wE=k0:m206?290:wE=k0:m206>290:wE=k0:m206f290:wE=k0:m206e290:wE=k0:m206d290:wE=k0:m206c290:wE=k0:m206b290:wE=k0:m206a290:wE=k0:m2077290:wE=k0:m2076290:wE=k0:m2075290:wE=k0:m2074290:wE=k0:m2073290:wE=k0:m2072290:wE=k0:m2071290:wE=k0:m2070290:wE=k0:m207?290:wE=k0:m207>290:wE=k0:m207f290:wE=k0:m207e290:wE=k0:m207d290:wE=k0:m207c290:wE=k0:m207b290:wE=k0:m207a290:wE=k0:m2047290:wE=k0:m2046290:wE=k0:m2045290:wE=k0:m2044290:wE=k0:m2043290:wE=k0:m2042290:wE=k0:m2041290:wE=k0:m2040290:wE=k0:m204?290:wE=k0:m204>290:wE=k0:m204f290:wE=k0:m204e290:wE=k0:m204d290:wE=k0:m204c290:wE=k0:m204b290:wE=k0:m204a290:wE=k0:m2057290:wE=k0:m2056290:wE=k0:m2055290:wE=k0:m2054290:wE=k0:m2053290:wE=k0:m2052290:wE=k0:m2051290:wE=k0:m2050290:wE=k0:m205?290:wE=k0:m205>290:wE=k0:m205f290:wE=k0:m205e290:wE=k0:m205d290:wE=k0:m205c290:wE=k0:m205b290:wE=k0:m205a290:wE=k0:m2027290:wE=k0:m2026290:wE=k0:m2025290:wE=k0:m2024290:wE=k0:m2023290:wE=k0:m2022290:wE=k0:m2021290:wE=k0:m2020290:wE=k0:m202?290:wE=k0:m202>290:wE=k0:m202f290:wE=k0:m202e290:wE=k0:m202d290:wE=k0:m202c290:wE=k0:m202b290:wE=k0:m202a290:wE=k0:m2037290:wE=k0:m2036290:wE=k0:m2035290:wE=k0:m2034290:wE=k0:m2033290:wE=k0:m2032290:wE=k0:m2031290:wE=k0:m2030290:wE=k0:m203?290:wE=k0:m203>290:wE=k0:m203f290:wE=k0:m203e290:wE=k0:m203d290:wE=k0:m203c290:wE=k0:m203b290:wE=k0:m203a290:wE=k0:m2007290:wE=k0:m2006290:wE=k0:m2005290:wE=k0:m2004290:wE=k0:m2003290:wE=k0:m2002290:wE=k0:m2001290:wE=k0:m2000290:wE=k0:m200?290:wE=k0:m200>290:wE=k0:m200f290:wE=k0:m200e290:wE=k0:m200d290:wE=k0:m200c290:wE=k0:m200b290:wE=k0:m200a290:wE=k0:m2017290:wE=k0:m2016290:wE=k0:m2015290:wE=k0:m2014290:wE=k0:m2013290:wE=k0:m2012290:wE=k0:m2011290:wE=k0:m2010290:wE=k0:m201?290:wE=k0:m201>290:wE=k0:m201f290:wE=k0:m201e290:wE=k0:m201d290:wE=k0:m201c290:wE=k0:m201b290:wE=k0:m201a290:wE=k0:m20>7290:wE=k0:m20>6290:wE=k0:m20>5290:wE=k0:m20>4290:wE=k0:m20>3290:wE=k0:m20>2290:wE=k0:m20>1290:wE=k0:m20>0290:wE=k0:m20>?290:wE=k0:m20>>290:wE=k0:m20>f290:wE=k0:m20>e290:wE=k0:m20>d290:wE=k0:m20>c290:wE=k0:m20>b290:wE=k0:m20>a290:wE=k0:m20?7290:wE=k0:m20?6290:wE=k0:m20?5290:wE=k0:m20?4290:wE=k0:m20?3290:wE=k0:m20?2290:wE=k0:m20?1290:wE=k0:m20?0290:wE=k0:m20??2909wE=k0:m20?>290:wE=k0:m20?f2909wE=k0:m20?e290:wE=k0:m20?d290:wE=k0:m20?c290:wE=k0:m20?b290:wE=k0:m20?a290:wE=k0:m20g7290:wE=k0:m20g6290:wE=k0:m20g5290:wE=k0:m20g4290:wE=k0:m20g32909wE=k0:m20g22909wE=k0:m20g12909wE=k0:m20g02909wE=k0:m20g?2909wE=k0:m20g>2909wE=k0:m20gf2909wE=k0:m20ge2909wE=k0:m20gd2909wE=k0:m20gc2909wE=k0:m20gb2909wE=k0:m20ga2909wE=k0:m20d72909wE=k0:m20d62909wE=k0:m20d52909wE=k0:m20d42909wE=k0:m20d32909wE=k0:m20d22909wE=k0:m20d12909wE=k0:m20d02909wE=k0:m20d?2909wE=k0:m20d>2909wE=k0:m20df2909wE=k0:m20de2909wE=k0:m20dd2909wE=k0:m20dc2909wE=k0:m20db2909wE=k0:m20da2909wE=k0:m20e72909wE=k0:m20e62909wE=k0:m20e52909wE=k0:m20e42909wE=k0:m20e32909wE=k0:m20e22909wE=k0:m20e12909wE=k0:m20e02909wE=k0:m20e?2909wE=k0:m20e>2909wE=k0:m20ef2909wE=k0:m20ee2909wE=k0:m20ed2909wE=k0:m20ec2909wE=k0:m20eb2909wE=k0:m20ea2909wE=k0:m20b72909wE=k0:m20b62909wE=k0:m20b52909wE=k0:m20b42909wE=k0:m20b32909wE=k0:m20b22909wE=k0:m20b1290:wE=k0:m20b0290:wE=k0:m20b?290:wE=k0:m20b>290:wE=k0:m20bf290:wE=k0:m20be290:wE=k0:m20bd290:wE=k0:m20bc290:wE=k0:m20bb290:wE=k0:m20ba290:wE=k0:m20c7290:wE=k0:m20c6290:wE=k0:m20c5290:wE=k0:m20c4290:wE=k0:m20c3290:wE=k0:m20c2290:wE=k0:m20c1290:wE=k0:m20c0290:wE=k0:m20c?290:wE=k0:m20c>290:wE=k0:m20cf290:wE=k0:m20ce290:wE=k0:m20cd290:wE=k0:m20cc290:wE=k0:m20cb290:wE=k0:m20ca290:wE=k0:m20`7290:wE=k0:m20`6290:wE=?f:J0`5=zf??m>7>52zJ0`5=zf??m?7>51zJ04c=O;m:0qc8:f583>7}O;m:0qc8:f483>4}O;m:0qc8:f783>4}O;m:0qc8:f683>4}O;m:0qc8:f983>4}O;m:0qc8:f883>7}O;m:0qc8:f`83>4}O;m:0qc8:fc83>4}O;m:0qc8:fb83>4}O;m:0qc8:fe83>4}O;m:0qc8:fd83>4}O;m:0qc8:fg83>4}O;m:0qc890183>4}O;m:0qc890083>4}O;m:0qc890383>4}O;m:0qc890283>4}O;m:0qc890583>4}O;m:0qc890483>4}O;m:0qc890783>4}O;m:0qc890683>4}O;m:0qc890983>4}O;m:0qc890883>4}O;m:0qc890`83>4}O;m:0qc890c83>4}O;m:0qc890b83>4}O;m:0qc890e83>4}O;m:0qc890d83>4}O;m:0qc890g83>4}O;m:0qc891183>4}O;m:0qc891083>4}O;m:0qc891383>4}O;m:0qc891283>4}O;m:0qc891583>4}O;m:0qc891483>4}O;m:0qc891783>4}O;m:0qc891683>4}O;m:0qc891983>4}O;m:0qc891883>4}O;m:0qc891`83>4}O;m:0qc891c83>4}O;m:0qc891b83>4}O;m:0qc891e83>4}O;m:0qc891d83>4}O;m:0qc891g83>4}O;m:0qc892183>4}O;m:0qc892083>4}O;m:0qc892383>4}O;m:0qc892283>4}O;m:0qc892583>4}O;m:0qc892483>4}O;m:0qc892783>7}O;9l0D>j?;|l5271=83;pD>j?;|l527>=83;pD>j?;|l527?=83;pD>j?;|l527g=838pD>>i;I1g4>{i>?8i6=4>{I1g4>{i>?8h6=4>{I1g4>{i>?8o6=4={I13b>N4l91vb;8=e;295~N4l91vb;8=f;295~N4l91vb;8<0;295~N4l91vb;8<1;295~N4l91vb;8<2;295~N4l91vb;8<3;295~N4l91vb;8<4;295~N4l91vb;8<5;295~N4l91vb;8<6;295~N4l91vb;8<7;295~N4l91vb;8<8;295~N4l91vb;8<9;295~N4l91vb;84}o453`<728qC?i>4}o453c<728qC?i>4}o45<5<728qC?i>4}o45<4<72;qC?=h4H2f3?xh1>181<7?tH2f3?xh1>191<7?tH2f3?xh1>1>1<7?tH2f3?xh1>1?1<7?tH2f3?xh1>1<1<7?tH2f3?xh1>1=1<7:180M5c82we:;7=:180M5c82we:;7<:180M5c82we:;7;:180M5c82we:;7::180M5c82we:;79:180M5c82we:;78:180M5c82we:;77:182M5c82we:;76:182M5c82we:;7n:182M5c82we:;7m:182M5c82we:;7l:182M5c82we:;7k:182M5c82we:;7j:182M5c82we:;7i:182M5c82we:;o?:182M5c82we:;o>:182M5c82we:;o=:182M5c82we:;o<:182M5c82we:;o;:182M5c82we:;o::182M5c82we:;o9:182M5c82we:;o8:182M5c82we:;o7:182M5c82we:;o6:182M5c82we:;on:182M5c82we:;om:182M5c82we:;ol:182M5c82we:;ok:182M5c82we:;oj:182M5c82we:;oi:182M5c82we:;l?:182M5c82we:;l>:182M5c82we:;l=:182M5c82we:;l<:182M5c82we:;l;:182M5c82we:;l::182M5c82we:;l9:182M5c82we:;l8:182M5c82we:;l7:182M5c82we:;l6:182M5c82we:;ln:182M5c82we:;lm:182M5c82we:;ll:182M5c82we:;lk:182M5c82we:;lj:182M5c82we:;li:182M5c82we:;m?:182M5c82we:;m>:182M5c82we:;m=:182M5c82we:;m<:182M5c82we:;m;:182M5c82we:;m::182M5c82we:;m9:182M5c82we:;m8:182M5c82we:;m7:181M5c82we:;m6:181M5c82we:;mn:181M5c82we:;mm:181M5c82we:;ml:181M5c82we:;mk:181M5c82we:;mj:181M5c82we:;mi:181M5c82we:;j?:181M5c82we:;j>:181M5c82we:;j=:181M5c82we:;j<:181M5c82we:;j;:181M5c82we:;j::181M5c82we:;j9:181M5c82we:;j8:181M5c82we:;j7:181M5c82we:;j6:181M5c82we:;jn:181M5c82we:;jm:181M5c82we:;jl:181M5c82we:;jk:181M5c82we:;jj:181M5c82we:;ji:181M5c82we:;k?:181M5c82we:;k>:181M5c82we:;k=:180M5c82we:;k<:180M5c82we:;k;:180M5c82we:;k::180M5c82we:;k9:180M5c82we:;k8:180M5c82we:;k7:180M5c82we:;k6:180M5c82we:;kn:182M5c82we:;km:182M5c82we:;kl:182M5c82we:;kk:182M5c82we:;kj:182M5c82we:;ki:182M5c82we:;h?:182M5c82we:;h>:182M5c82we:;h=:182M5c82we:;h<:182M5c82we:;h;:182M5c82we:;h::182M5c82we:;h9:182M5c82we:;h8:182M5c82we:;h7:182M5c82we:;h6:182M5c82we:;hn:182M5c82we:;hm:182M5c82we:;hl:182M5c82we:;hk:182M5c82we:;hj:182M5c82we:;hi:182M5c82we::>?:182M5c82we::>>:182M5c82we::>=:182M5c82we::><:182M5c82we::>;:182M5c82we::>::182M5c82we::>9:182M5c82we::>8:182M5c82we::>7:182M5c82we::>6:182M5c82we::>n:182M5c82we::>m:182M5c82we::>l:182M5c82we::>k:182M5c82we::>j:182M5c82we::>i:182M5c82we::??:182M5c82we::?>:182M5c82we::?=:182M5c82we::?<:182M5c82we::?;:182M5c82we::?::182M5c82we::?9:182M5c82we::?8:182M5c82we::?7:182M5c82we::?6:182M5c82we::?n:181M5c82we::?m:181M5c82we::?l:181M5c82we::?k:181M5c82we::?j:181M5c82we::?i:181M5c82we:::181M5c82we::<=:181M5c82we::<<:181M5c82we::<;:181M5c82we::<::181M5c82we::<9:181M5c82we::<8:181M5c82we::<7:181M5c82we::<6:181M5c82we:::180M5c82we::==:180M5c82we::=<:180M5c82we::=;:180M5c82we::=::180M5c82we::=9:180M5c82we::=8:180M5c82we::=7:180M5c82we::=6:180M5c82we::=n:182M5c82we::=m:182M5c82we::=l:182M5c82we::=k:182M5c82we::=j:182M5c82we::=i:182M5c82we:::?:182M5c82we:::>:182M5c82we:::=:182M5c82we:::<:182M5c82we:::;:182M5c82we:::::182M5c82we:::9:182M5c82we:::8:182M5c82we:::7:182M5c82we:::6:182M5c82we:::n:182M5c82we:::m:182M5c82we:::l:182M5c82we:::k:182M5c82we:::j:182M5c82we:::i:182M5c82we::;?:182M5c82we::;>:182M5c82we::;=:182M5c82we::;<:182M5c82we::;;:182M5c82we::;::182M5c82we::;9:182M5c82we::;8:182M5c82we::;7:182M5c82we::;6:182M5c82we::;n:182M5c82we::;m:182M5c82we::;l:182M5c82we::;k:182M5c82we::;j:182M5c82we::;i:182M5c82we::8?:182M5c82we::8>:182M5c82we::8=:182M5c82we::8<:182M5c82we::8;:182M5c82we::8::182M5c82we::89:182M5c82we::88:182M5c82we::87:182M5c82we::86:182M5c82we::8n:181M5c82we::8m:181M5c82we::8l:181M5c82we::8k:181M5c82we::8j:181M5c82we::8i:181M5c82we::9?:181M5c82we::9>:181M5c82we::9=:181M5c82we::9<:181M5c82we::9;:181M5c82we::9::181M5c82we::99:181M5c82we::98:181M5c82we::97:181M5c82we::96:181M5c82we::9n:180M5c82we::9m:180M5c82we::9l:180M5c82we::9k:180M5c82we::9j:180M5c82we::9i:180M5c82we::6?:180M5c82we::6>:180M5c82we::6=:180M5c82we::6<:180M5c82we::6;:180M5c82we::6::180M5c82we::69:180M5c82we::68:180M5c82we::67:180M5c82we::66:180M5c82we::6n:182M5c82we::6m:182M5c82we::6l:182M5c82we::6k:182M5c82we::6j:182M5c82we::6i:182M5c82we::7?:182M5c82we::7>:182M5c82we::7=:182M5c82we::7<:182M5c82we::7;:182M5c82we::7::182M5c82we::79:182M5c82we::78:182M5c82we::77:182M5c82we::76:182M5c82we::7n:182M5c82we::7m:182M5c82we::7l:182M5c82we::7k:182M5c82we::7j:182M5c82we::7i:182M5c82we::o?:182M5c82we::o>:182M5c82we::o=:182M5c82we::o<:182M5c82we::o;:182M5c82we::o::182M5c82we::o9:182M5c82we::o8:182M5c82we::o7:182M5c82we::o6:182M5c82we::on:182M5c82we::om:182M5c82we::ol:182M5c82we::ok:182M5c82we::oj:182M5c82we::oi:182M5c82we::l?:182M5c82we::l>:182M5c82we::l=:182M5c82we::l<:182M5c82we::l;:182M5c82we::l::182M5c82we::l9:182M5c82we::l8:182M5c82we::l7:182M5c82we::l6:182M5c82we::ln:181M5c82we::lm:181M5c82we::ll:181M5c82we::lk:181M5c82we::lj:181M5c82we::li:181M5c82we::m?:181M5c82we::m>:181M5c82we::m=:182M5c82we::m<:182M5c82we::m;:182M5c82we::m::182M5c82we::m9:182M5c82we::m8:182M5c82we::m7:182M5c82we::m6:182M5c82we::mn:182M5c82we::mm:182M5c82we::ml:182M5c82we::mk:182M5c82we::mj:182M5c82we::mi:182M5c82we::j?:182M5c82we::j>:182M5c82we::j=:182M5c82we::j<:182M5c82we::j;:182M5c82we::j::182M5c82we::j9:182M5c82we::j8:182M5c82we::j7:182M5c82we::j6:182M5c82we::jn:182M5c82we::jm:182M5c82we::jl:182M5c82we::jk:182M5c82we::jj:182M5c82we::ji:182M5c82we::k?:182M5c82we::k>:182M5c82we::k=:182M5c82we::k<:182M5c82we::k;:182M5c82we::k::182M5c82we::k9:182M5c82we::k8:182M5c82we::k7:182M5c82we::k6:182M5c82we::kn:182M5c82we::km:182M5c82we::kl:182M5c82we::kk:182M5c82we::kj:182M5c82we::ki:182M5c82we::h?:182M5c82we::h>:182M5c82we::h=:181M5c82we::h<:181M5c82we::h;:181M5c82we::h::181M5c82we::h9:181M5c82we::h8:181M5c82we::h7:181M5c82we::h6:181M5c82we::hn:181M5c82we::hm:181M5c82we::hl:181M5c82we::hk:181M5c82we::hj:181M5c82we::hi:181M5c82we:5>?:181M5c82we:5>>:181M5c82we:5>=:181M5c82we:5><:181M5c82we:5>;:181M5c82we:5>::181M5c82we:5>9:181M5c82we:5>8:181M5c82we:5>7:181M5c82we:5>6:181M5c82we:5>n:181M5c82we:5>m:181M5c82we:5>l:181M5c82we:5>k:181M5c82we:5>j:181M5c82we:5>i:181M5c82we:5??:181M5c82we:5?>:181M5c82we:5?=:181M5c82we:5?<:181M5c82we:5?;:182M5c82we:5?::182M5c82we:5?9:182M5c82we:5?8:182M5c82we:5?7:182M5c82we:5?6:182M5c82we:5?n:182M5c82we:5?m:182M5c82we:5?l:182M5c82we:5?k:182M5c82we:5?j:182M5c82we:5?i:182M5c82we:5:182M5c82we:5<=:182M5c82we:5<<:182M5c82we:5<;:182M5c82we:5<::182M5c82we:5<9:182M5c82we:5<8:182M5c82we:5<7:182M5c82we:5<6:182M5c82we:5:182M5c82we:5==:182M5c82we:5=<:182M5c82we:5=;:182M5c82we:5=::182M5c82we:5=9:182M5c82we:5=8:182M5c82we:5=7:182M5c82we:5=6:182M5c82we:5=n:182M5c82we:5=m:182M5c82we:5=l:182M5c82we:5=k:182M5c82we:5=j:182M5c82we:5=i:182M5c82we:5:?:182M5c82we:5:>:182M5c82we:5:=:182M5c82we:5:<:182M5c82we:5:;:181M5c82we:5:::181M5c82we:5:9:181M5c82we:5:8:181M5c82we:5:7:181M5c82we:5:6:181M5c82we:5:n:181M5c82we:5:m:181M5c82we:5:l:181M5c82we:5:k:181M5c82we:5:j:181M5c82we:5:i:181M5c82we:5;?:181M5c82we:5;>:181M5c82we:5;=:181M5c82we:5;<:181M5c82we:5;;:180M5c82we:5;::180M5c82we:5;9:180M5c82we:5;8:180M5c82we:5;7:180M5c82we:5;6:180M5c82we:5;n:180M5c82we:5;m:180M5c82we:5;l:181M5c82we:5;k:181M5c82we:5;j:181M5c82we:5;i:181M5c82we:58?:181M5c82we:58>:181M5c82we:58=:181M5c82we:58<:181M5c82we:58;:181M5c82we:58::181M5c82we:589:181M5c82we:588:181M5c82we:587:181M5c82we:586:181M5c82we:58n:181M5c82we:58m:181M5c82we:58l:181M5c82we:58k:181M5c82we:58j:181M5c82we:58i:181M5c82we:59?:181M5c82we:59>:181M5c82we:59=:181M5c82we:59<:181M5c82we:59;:181M5c82we:59::181M5c82we:599:180M5c82we:598:180M5c82we:597:180M5c82we:596:180M5c82we:59n:180M5c82we:59m:180M5c82we:59l:180M5c82we:59k:180M5c82we:59j:181M5c82we:59i:181M5c82we:56?:181M5c82we:56>:181M5c82we:56=:181M5c82we:56<:181M5c82we:56;:181M5c82we:56::181M5c82we:569:181M5c82we:568:181M5c82we:567:181M5c82we:566:181M5c82we:56n:181M5c82we:56m:181M5c82we:56l:181M5c82we:56k:181M5c82we:56j:182M5c82we:56i:182M5c82we:57?:182M5c82we:57>:182M5c82we:57=:182M5c82we:57<:182M5c82we:57;:182M5c82we:57::182M5c82we:579:182M5c82we:578:182M5c82we:577:182M5c82we:576:182M5c82we:57n:182M5c82we:57m:182M5c82we:57l:182M5c82we:57k:182M5c82we:57j:182M5c82we:57i:182M5c82we:5o?:182M5c82we:5o>:182M5c82we:5o=:182M5c82we:5o<:182M5c82we:5o;:182M5c82we:5o::182M5c82we:5o9:182M5c82we:5o8:182M5c82we:5o7:182M5c82we:5o6:182M5c82we:5on:182M5c82we:5om:182M5c82we:5ol:182M5c82we:5ok:182M5c82we:5oj:182M5c82we:5oi:182M5c82we:5l?:182M5c82we:5l>:182M5c82we:5l=:182M5c82we:5l<:182M5c82we:5l;:182M5c82we:5l::182M5c82we:5l9:182M5c82we:5l8:182M5c82we:5l7:182M5c82we:5l6:182M5c82we:5ln:182M5c82we:5lm:182M5c82we:5ll:182M5c82we:5lk:182M5c82we:5lj:181M5c82we:5li:181M5c82we:5m?:181M5c82we:5m>:181M5c82we:5m=:181M5c82we:5m<:181M5c82we:5m;:181M5c82we:5m::181M5c82we:5m9:181M5c82we:5m8:181M5c82we:5m7:181M5c82we:5m6:181M5c82we:5mn:181M5c82we:5mm:181M5c82we:5ml:181M5c82we:5mk:181M5c82we:5mj:181M5c82we:5mi:181M5c82we:5j?:181M5c82we:5j>:181M5c82we:5j=:181M5c82we:5j<:181M5c82we:5j;:181M5c82we:5j::181M5c82we:5j9:181M5c82we:5j8:181M5c82we:5j7:181M5c82we:5j6:181M5c82we:5jn:181M5c82we:5jm:181M5c82we:5jl:181M5c82we:5jk:181M5c82we:5jj:182M5c82we:5ji:182M5c82we:5k?:182M5c82we:5k>:182M5c82we:5k=:182M5c82we:5k<:182M5c82we:5k;:182M5c82we:5k::182M5c82we:5k9:182M5c82we:5k8:182M5c82we:5k7:182M5c82we:5k6:182M5c82we:5kn:182M5c82we:5km:182M5c82we:5kl:182M5c82we:5kk:182M5c82we:5kj:182M5c82we:5ki:182M5c82we:5h?:182M5c82we:5h>:182M5c82we:5h=:182M5c82we:5h<:182M5c82we:5h;:182M5c82we:5h::182M5c82we:5h9:182M5c82we:5h8:182M5c82we:5h7:182M5c82we:5h6:182M5c82we:5hn:182M5c82we:5hm:182M5c82we:5hl:182M5c82we:5hk:182M5c82we:5hj:182M5c82we:5hi:182M5c82we:4>?:182M5c82we:4>>:182M5c82we:4>=:182M5c82we:4><:182M5c82we:4>;:182M5c82we:4>::182M5c82we:4>9:182M5c82we:4>8:182M5c82we:4>7:182M5c82we:4>6:182M5c82we:4>n:182M5c82we:4>m:182M5c82we:4>l:182M5c82we:4>k:182M5c82we:4>j:181M5c82we:4>i:181M5c82we:4??:181M5c82we:4?>:181M5c82we:4?=:181M5c82we:4?<:181M5c82we:4?;:181M5c82we:4?::181M5c82we:4?9:181M5c82we:4?8:181M5c82we:4?7:181M5c82we:4?6:181M5c82we:4?n:181M5c82we:4?m:181M5c82we:4?l:181M5c82we:4?k:181M5c82we:4?j:181M5c82we:4?i:181M5c82we:4:181M5c82we:4<=:181M5c82we:4<<:181M5c82we:4<;:181M5c82we:4<::181M5c82we:4<9:181M5c82we:4<8:181M5c82we:4<7:181M5c82we:4<6:181M5c82we:4:180M5c82we:4==:180M5c82we:4=<:180M5c82we:4=;:180M5c82we:4=::180M5c82we:4=9:180M5c82we:4=8:180M5c82we:4=7:180M5c82we:4=6:180M5c82we:4=n:180M5c82we:4=m:180M5c82we:4=l:180M5c82we:4=k:180M5c82we:4=j:181M5c82we:4=i:181M5c82we:4:?:181M5c82we:4:>:181M5c82we:4:=:181M5c82we:4:<:181M5c82we:4:;:181M5c82we:4:::181M5c82we:4:9:181M5c82we:4:8:181M5c82we:4:7:181M5c82we:4:6:181M5c82we:4:n:181M5c82we:4:m:181M5c82we:4:l:181M5c82we:4:k:181M5c82we:4:j:180M5c82we:4:i:180M5c82we:4;?:180M5c82we:4;>:180M5c82we:4;=:180M5c82we:4;<:180M5c82we:4;;:180M5c82we:4;::180M5c82we:4;9:180M5c82we:4;8:180M5c82we:4;7:180M5c82we:4;6:180M5c82we:4;n:180M5c82we:4;m:180M5c82we:4;l:180M5c82we:4;k:180M5c82we:4;j:181M5c82we:4;i:181M5c82we:48?:181M5c82we:48>:181M5c82we:48=:181M5c82we:48<:181M5c82we:48;:181M5c82we:48::181M5c82we:489:186M5c82we:488:186M5c82we:487:186M5c82we:486:186M5c82we:48n:181M5c82we:48m:181M5c82we:48l:181M5c82we:48k:181M5c82we:48j:181M5c82we:48i:181M5c82we:49?:186M5c82we:49>:186M5c82we:49=:186M5c82we:49<:186M5c82we:49;:186M5c82we:49::186M5c82we:499:186M5c82we:498:186M5c82we:497:186M5c82we:496:186M5c82we:49n:181M5c82we:49m:181M5c82we:49l:181M5c82we:49k:181M5c82we:49j:181M5c82we:49i:181M5c82we:46?:181M5c82we:46>:181M5c82we:46=:181M5c82we:46<:181M5c82we:46;:181M5c82we:46::181M5c82we:469:181M5c82we:468:181M5c82we:467:181M5c82we:466:181M5c82we:46n:181M5c82we:46m:181M5c82we:46l:181M5c82we:46k:181M5c82we:46j:181M5c82we:46i:181M5c82we:47?:181M5c82we:47>:181M5c82we:47=:181M5c82we:47<:181M5c82we:47;:181M5c82we:47::181M5c82we:479:181M5c82we:478:181M5c82we:477:181M5c82we:476:181M5c82we:47n:181M5c82we:47m:181M5c82we:47l:181M5c82we:47k:181M5c82we:47j:181M5c82we:47i:181M5c82we:4o?:181M5c82we:4o>:181M5c82we:4o=:181M5c82we:4o<:181M5c82we:4o;:181M5c82we:4o::181M5c82we:4o9:181M5c82we:4o8:181M5c82we:4o7:181M5c82we:4o6:181M5c82we:4on:181M5c82we:4om:181M5c82we:4ol:181M5c82we:4ok:181M5c82we:4oj:181M5c82we:4oi:181M5c82we:4l?:181M5c82we:4l>:181M5c82we:4l=:181M5c82we:4l<:181M5c82we:4l;:181M5c82we:4l::181M5c82we:4l9:181M5c82we:4l8:181M5c82we:4l7:181M5c82we:4l6:181M5c82we:4ln:181M5c82we:4lm:181M5c82we:4ll:181M5c82we:4lk:181M5c82we:4lj:181M5c82we:4li:181M5c82we:4m?:181M5c82we:4m>:181M5c82we:4m=:181M5c82we:4m<:181M5c82we:4m;:181M5c82we:4m::181M5c82we:4m9:181M5c82we:4m8:181M5c82we:4m7:181M5c82we:4m6:181M5c82we:4mn:181M5c82we:4mm:181M5c82we:4ml:181M5c82we:4mk:181M5c82we:4mj:181M5c82we:4mi:181M5c82we:4j?:181M5c82we:4j>:181M5c82we:4j=:181M5c82we:4j<:181M5c82we:4j;:181M5c82we:4j::181M5c82we:4j9:181M5c82we:4j8:181M5c82we:4j7:181M5c82we:4j6:181M5c82we:4jn:181M5c82we:4jm:181M5c82we:4jl:181M5c82we:4jk:181M5c82we:4jj:181M5c82we:4ji:181M5c82we:4k?:181M5c82we:4k>:181M5c82we:4k=:181M5c82we:4k<:181M5c82we:4k;:181M5c82we:4k::181M5c82we:4k9:181M5c82we:4k8:181M5c82we:4k7:181M5c82we:4k6:181M5c82we:4kn:181M5c82we:4km:181M5c82we:4kl:181M5c82we:4kk:181M5c82we:4kj:181M5c82we:4ki:181M5c82we:4h?:181M5c82we:4h>:181M5c82we:4h=:181M5c82we:4h<:181M5c82we:4h;:181M5c82we:4h::181M5c82we:4h9:181M5c82we:4h8:181M5c82we:4h7:181M5c82we:4h6:181M5c82we:4hn:180M5c82we:4hm:180M5c82we:4hl:180M5c82we:4hk:180M5c82we:4hj:180M5c82we:4hi:180M5c82we:l>?:180M5c82we:l>>:180M5c82we:l>=:180M5c82we:l><:180M5c82we:l>;:180M5c82we:l>::180M5c82we:l>9:180M5c82we:l>8:180M5c82we:l>7:180M5c82we:l>6:180M5c82we:l>n:180M5c82we:l>m:180M5c82we:l>l:180M5c82we:l>k:180M5c82we:l>j:180M5c82we:l>i:180M5c82we:l??:180M5c82we:l?>:180M5c82we:l?=:180M5c82we:l?<:180M5c82we:l?;:180M5c82we:l?::180M5c82we:l?9:180M5c82we:l?8:180M5c82we:l?7:180M5c82we:l?6:180M5c82we:l?n:180M5c82we:l?m:180M5c82we:l?l:180M5c82we:l?k:180M5c82we:l?j:180M5c82we:l?i:180M5c82we:l:180M5c82we:l<=:180M5c82we:l<<:180M5c82we:l<;:180M5c82we:l<::180M5c82we:l<9:180M5c82we:l<8:180M5c82we:l<7:180M5c82we:l<6:180M5c82we:l:182M5c82we:l==:181M5c82we:l=<:181M5c82we:l=;:181M5c82we:l=::181M5c82we:l=9:181M5c82we:l=8:181M5c82we:l=7:181M5c82we:l=6:181M5c82we:l=n:181M5c82we:l=m:181M5c82we:l=l:181M5c82we:l=k:181M5c82we:l=j:181M5c82we:l=i:181M5c82we:l:?:181M5c82we:l:>:181M5c82we:l:=:181M5c82we:l:<:181M5c82we:l:;:181M5c82we:l:::181M5c82we:l:9:181M5c82we:l:8:181M5c82we:l:7:181M5c82we:l:6:181M5c82we:l:n:181M5c82we:l:m:181M5c82we:l:l:181M5c82we:l:k:181M5c82we:l:j:181M5c82we:l:i:181M5c82we:l;?:181M5c82we:l;>:181M5c82we:l;=:181M5c82we:l;<:181M5c82we:l;;:181M5c82we:l;::181M5c82we:l;9:181M5c82we:l;8:181M5c82we:l;7:181M5c82we:l;6:181M5c82we:l;n:181M5c82we:l;m:181M5c82we:l;l:181M5c82we:l;k:181M5c82we:l;j:181M5c82we:l;i:181M5c82we:l8?:181M5c82we:l8>:181M5c82we:l8=:181M5c82we:l8<:181M5c82we:l8;:181M5c82we:l8::181M5c82we:l89:181M5c82we:l88:181M5c82we:l87:181M5c82we:l86:181M5c82we:l8n:181M5c82we:l8m:181M5c82we:l8l:181M5c82we:l8k:181M5c82we:l8j:181M5c82we:l8i:181M5c82we:l9?:181M5c82we:l9>:181M5c82we:l9=:182M5c82we:l9<:181M5c82we:l9;:182M5c82we:l9::182M5c82we:l99:182M5c82we:l98:182M5c82we:l97:182M5c82we:l96:182M5c82we:l9n:182M5c82we:l9m:182M5c82we:l9l:182M5c82we:l9k:182M5c82we:l9j:182M5c82we:l9i:182M5c82we:l6?:182M5c82we:l6>:182M5c82we:l6=:182M5c82we:l6<:182M5c82we:l6;:182M5c82we:l6::182M5c82we:l69:182M5c82we:l68:182M5c82we:l67:182M5c82we:l66:182M5c82we:l6n:182M5c82we:l6m:182M5c82we:l6l:182M5c82we:l6k:182M5c82we:l6j:182M5c82we:l6i:182M5c82we:l7?:182M5c82we:l7>:182M5c82we:l7=:182M5c82we:l7<:182M5c82we:l7;:182M5c82we:l7::182M5c82we:l79:182M5c82we:l78:182M5c82we:l77:182M5c82we:l76:182M5c82we:l7n:182M5c82we:l7m:182M5c82we:l7l:182M5c82we:l7k:182M5c82we:l7j:182M5c82we:l7i:182M5c82we:lo?:182M5c82we:lo>:182M5c82we:lo=:182M5c82we:lo<:182M5c82we:lo;:182M5c82we:lo::182M5c82we:lo9:182M5c82we:lo8:182M5c82we:lo7:182M5c82we:lo6:182M5c82we:lon:182M5c82we:lom:182M5c82we:lol:182M5c82we:lok:182M5c82we:loj:182M5c82we:loi:182M5c82we:ll?:182M5c82we:ll>:182M5c82we:ll=:182M5c82we:ll<:182M5c82we:ll;:182M5c82we:ll::182M5c82we:ll9:182M5c82we:ll8:182M5c82we:ll7:182M5c82we:ll6:182M5c82we:lln:182M5c82we:llm:182M5c82we:lll:182M5c82we:llk:182M5c82we:llj:182M5c82we:lli:182M5c82we:lm?:182M5c82we:lm>:182M5c82we:lm=:182M5c82we:lm<:182M5c82we:lm;:182M5c82we:lm::182M5c82we:lm9:182M5c82we:lm8:182M5c82we:lm7:182M5c82we:lm6:182M5c82we:lmn:182M5c82we:lmm:182M5c82we:lml:182M5c82we:lmk:182M5c82we:lmj:182M5c82we:lmi:182M5c82we:lj?:182M5c82we:lj>:182M5c82we:lj=:182M5c82we:lj<:182M5c82we:lj;:182M5c82we:lj::182M5c82we:lj9:182M5c82we:lj8:182M5c82we:lj7:182M5c82we:lj6:182M5c82we:ljn:182M5c82we:ljm:182M5c82we:ljl:182M5c82we:ljk:182M5c82we:ljj:182M5c82we:lji:182M5c82we:lk?:182M5c82we:lk>:182M5c82we:lk=:182M5c82we:lk<:182M5c82we:lk;:182M5c82we:lk::182M5c82we:lk9:182M5c82we:lk8:182M5c82we:lk7:182M5c82we:lk6:182M5c82we:lkn:182M5c82we:lkm:182M5c82we:lkl:182M5c82we:lkk:182M5c82we:lkj:182M5c82we:lki:182M5c82we:lh?:182M5c82we:lh>:182M5c82we:lh=:182M5c82we:lh<:182M5c82we:lh;:182M5c82we:lh::182M5c82we:lh9:182M5c82we:lh8:182M5c82we:lh7:182M5c82we:lh6:182M5c82we:lhn:182M5c82we:lhm:182M5c82we:lhl:182M5c82we:lhk:182M5c82we:lhj:182M5c82we:lhi:182M5c82we:o>?:182M5c82we:o>>:182M5c82we:o>=:182M5c82we:o><:182M5c82we:o>;:182M5c82we:o>::182M5c82we:o>9:182M5c82we:o>8:182M5c82we:o>7:182M5c82we:o>6:182M5c82we:o>n:182M5c82we:o>m:182M5c82we:o>l:182M5c82we:o>k:182M5c82we:o>j:182M5c82we:o>i:182M5c82we:o??:182M5c82we:o?>:182M5c82we:o?=:182M5c82we:o?<:182M5c82we:o?;:182M5c82we:o?::182M5c82we:o?9:182M5c82we:o?8:182M5c82we:o?7:182M5c82we:o?6:182M5c82we:o?n:182M5c82we:o?m:182M5c82we:o?l:182M5c82we:o?k:182M5c82we:o?j:182M5c82we:o?i:182M5c82we:o:182M5c82we:o<=:182M5c82we:o<<:182M5c82we:o<;:182M5c82we:o<::182M5c82we:o<9:182M5c82we:o<8:182M5c82we:o<7:182M5c82we:o<6:182M5c82we:o:182M5c82we:o==:182M5c82we:o=<:182M5c82we:o=;:182M5c82we:o=::182M5c82we:o=9:182M5c82we:o=8:182M5c82we:o=7:182M5c82we:o=6:182M5c82we:o=n:182M5c82we:o=m:182M5c82we:o=l:182M5c82we:o=k:182M5c82we:o=j:182M5c82we:o=i:182M5c82we:o:?:182M5c82we:o:>:182M5c82we:o:=:182M5c82we:o:<:182M5c82we:o:;:182M5c82we:o:::182M5c82we:o:9:182M5c82we:o:8:182M5c82we:o:7:182M5c82we:o:6:182M5c82we:o:n:182M5c82we:o:m:182M5c82we:o:l:182M5c82we:o:k:182M5c82we:o:j:182M5c82we:o:i:182M5c82we:o;?:182M5c82we:o;>:182M5c82we:o;=:182M5c82we:o;<:182M5c82we:o;;:182M5c82we:o;::182M5c82we:o;9:182M5c82we:o;8:182M5c82we:o;7:182M5c82we:o;6:182M5c82we:o;n:182M5c82we:o;m:182M5c82we:o;l:182M5c82we:o;k:182M5c82we:o;j:182M5c82we:o;i:182M5c82we:o8?:182M5c82we:o8>:182M5c82we:o8=:182M5c82we:o8<:182M5c82we:o8;:182M5c82we:o8::182M5c82we:o89:182M5c82we:o88:182M5c82we:o87:182M5c82we:o86:182M5c82we:o8n:182M5c82we:o8m:182M5c82we:o8l:182M5c82we:o8k:182M5c82we:o8j:182M5c82we:o8i:182M5c82we:o9?:182M5c82we:o9>:182M5c82we:o9=:182M5c82we:o9<:182M5c82we:o9;:182M5c82we:o9::182M5c82we:o99:182M5c82we:o98:182M5c82we:o97:182M5c82we:o96:182M5c82we:o9n:182M5c82we:o9m:182M5c82we:o9l:182M5c82we:o9k:182M5c82we:o9j:182M5c82we:o9i:182M5c82we:o6?:182M5c82we:o6>:182M5c82we:o6=:182M5c82we:o6<:182M5c82we:o6;:182M5c82we:o6::182M5c82we:o69:182M5c82we:o68:182M5c82we:o67:182M5c82we:o66:182M5c82we:o6n:182M5c82we:o6m:182M5c82we:o6l:182M5c82we:o6k:182M5c82we:o6j:182M5c82we:o6i:182M5c82we:o7?:182M5c82we:o7>:182M5c82we:o7=:182M5c82we:o7<:182M5c82we:o7;:182M5c82we:o7::182M5c82we:o79:182M5c82we:o78:182M5c82we:o77:182M5c82we:o76:182M5c82we:o7n:182M5c82we:o7m:182M5c82we:o7l:182M5c82we:o7k:182M5c82we:o7j:182M5c82we:o7i:182M5c82we:oo?:182M5c82we:oo>:182M5c82we:oo=:182M5c82we:oo<:182M5c82we:oo;:182M5c82we:oo::182M5c82we:oo9:182M5c82we:oo8:182M5c82we:oo7:182M5c82we:oo6:182M5c82we:oon:182M5c82we:oom:182M5c82we:ool:182M5c82we:ook:182M5c82we:ooj:182M5c82we:ooi:182M5c82we:ol?:182M5c82we:ol>:182M5c82we:ol=:182M5c82we:ol<:182M5c82we:ol;:182M5c82we:ol::182M5c82we:ol9:182M5c82we:ol8:182M5c82we:ol7:182M5c82we:ol6:182M5c82we:oln:182M5c82we:olm:182M5c82we:oll:182M5c82we:olk:182M5c82we:olj:182M5c82we:oli:182M5c82we:om?:182M5c82we:om>:182M5c82we:om=:182M5c82we:om<:182M5c82we:om;:182M5c82we:om::182M5c82we:om9:182M5c82we:om8:182M5c82we:om7:182M5c82we:om6:182M5c82we:omn:182M5c82we:omm:182M5c82we:oml:182M5c82we:omk:182M5c82we:omj:182M5c82we:omi:182M5c82we:oj?:182M5c82we:oj>:182M5c82we:oj=:182M5c82we:oj<:182M5c82we:oj;:182M5c82we:oj::182M5c82we:oj9:182M5c82we:oj8:182M5c82we:oj7:182M5c82we:oj6:182M5c82we:ojn:182M5c82we:ojm:182M5c82we:ojl:182M5c82we:ojk:182M5c82we:ojj:182M5c82we:oji:182M5c82we:ok?:182M5c82we:ok>:182M5c82we:ok=:182M5c82we:ok<:182M5c82we:ok;:182M5c82we:ok::182M5c82we:ok9:182M5c82we:ok8:182M5c82we:ok7:182M5c82we:ok6:182M5c82we:okn:182M5c82we:okm:182M5c82we:okl:182M5c82we:okk:182M5c82we:okj:182M5c82we:oki:182M5c82we:oh?:182M5c82we:oh>:182M5c82we:oh=:182M5c82we:oh<:182M5c82we:oh;:182M5c82we:oh::182M5c82we:oh9:182M5c82we:oh8:182M5c82we:oh7:182M5c82we:oh6:182M5c82we:ohn:182M5c82we:ohm:182M5c82we:ohl:182M5c82we:ohk:182M5c82we:ohj:182M5c82we:ohi:182M5c82we:n>?:182M5c82we:n>>:182M5c82we:n>=:182M5c82we:n><:182M5c82we:n>;:182M5c82we:n>::182M5c82we:n>9:182M5c82we:n>8:182M5c82we:n>7:182M5c82we:n>6:182M5c82we:n>n:182M5c82we:n>m:182M5c82we:n>l:182M5c82we:n>k:182M5c82we:n>j:182M5c82we:n>i:182M5c82we:n??:182M5c82we:n?>:182M5c82we:n?=:182M5c82we:n?<:182M5c82we:n?;:182M5c82we:n?::182M5c82we:n?9:182M5c82we:n?8:182M5c82we:n?7:182M5c82we:n?6:182M5c82we:n?n:182M5c82we:n?m:182M5c82we:n?l:182M5c82we:n?k:182M5c82we:n?j:182M5c82we:n?i:182M5c82we:n:182M5c82we:n<=:182M5c82we:n<<:182M5c82we:n<;:182M5c82we:n<::182M5c82we:n<9:182M5c82we:n<8:182M5c82we:n<7:182M5c82we:n<6:182M5c82we=:ji:182M5c82we=:k?:182M5c82we=:k>:182M5c82we=:k=:182M5c82we=:k<:182M5c82we=:k;:182M5c82we=:k::182M5c82we=:k9:182M5c82we=:k8:182M5c82we=:k7:182M5c82we=:k6:182M5c82we=:kn:182M5c82we=:km:182M5c82we=:kl:182M5c82we=:kk:182M5c82we=:kj:182M5c82we=:ki:182M5c82we=:h?:182M5c82we=:h>:182M5c82we=:h=:182M5c82we=:h<:182M5c82we=:h;:182M5c82we=:h::182M5c82we=:h9:182M5c82we=:h8:182M5c82we=:h7:182M5c82we=:h6:182M5c82we=:hn:182M5c82we=:hm:182M5c82we=:hl:182M5c82we=:hk:182M5c82we=:hj:182M5c82we=:hi:182M5c82we=5>?:182M5c82we=5>>:182M5c82we=5>=:182M5c82we=5><:182M5c82we=5>;:182M5c82we=5>::182M5c82we=5>9:182M5c82we=5>8:182M5c82we=5>7:182M5c82we=5>6:182M5c82we=5>n:182M5c82we=5>m:182M5c82we=5>l:182M5c82we=5>k:182M5c82we=5>j:182M5c82we=5>i:182M5c82we=5??:182M5c82we>n6k:182M5c82we>n6j:182M5c82we>n6i:182M5c82we>n7?:182M5c82we>n7>:182M5c82we>n7=:182M5c82we>n7<:182M5c82we>n7;:182M5c82we>n7::182M5c82we>n79:182M5c82we>n78:182M5c82we>n77:182M5c82we>n76:182M5c82we>n7n:182M5c82we>n7m:182M5c82we>n7l:182M5c82we>n7k:182M5c82we>n7j:182M5c82we>ik>:182M5c82we>ik=:182M5c82we>ik<:182M5c82we>ik;:182M5c82we>ik::182M5c82we>ik9:182M5c82we>ik8:182M5c82we>ik7:182M5c82we>ik6:182M5c82we>ikn:182M5c82we>ikm:182M5c82we>ikl:182M5c82we>ikk:182M5c82we>ikj:182M5c82we>iki:182M5c82we>ih?:182M5c82we>ih>:182M5c82we>ih=:182M5c82we>k=::182M5c82we>k=9:182M5c82we>k=8:182M5c82we>k=7:182M5c82we>k=6:182M5c82we>k=n:182M5c82we>k=m:182M5c82we>k=l:182M5c82we>k=k:182M5c82we>k=j:182M5c82we>k=i:182M5c82we>k:?:182M5c82we>k:>:182M5c82we>k:=:182M5c82we>k:<:182M5c82we>k:;:182M5c82we>k:::182M5c82we>k:9:182M5c82we?=66:182M5c82we?=6n:182M5c82we?=6m:182M5c82we?=6l:182M5c82we?=6k:182M5c82we?=6j:182M5c82we?=6i:182M5c82we?=7?:182M5c82we?=7>:182M5c82we?=7=:182M5c82we?=7<:182M5c82we?=7;:182M5c82we?=7::182M5c82we?=79:182M5c82we?=78:182M5c82we?=77:182M5c82we?=76:182M5c82we?=7n:182M5c82we?:182M5c82we?=>:182M5c82we?>==:182M5c82we?>=<:182M5c82we?>=;:182M5c82we?>=::182M5c82we?>=9:182M5c82we?>=8:182M5c82we?>=7:182M5c82we?>=6:182M5c82we?>=n:182M5c82we?>=m:182M5c82we?>=l:182M5c82we?>=k:182M5c82we?>=j:182M5c82we?>=i:182M5c82we?>:?:182M5c82we?>:>:182M5c82we?>:=:182M5c82we?96::182M5c82we?969:182M5c82we?968:182M5c82we?967:182M5c82we?966:182M5c82we?96n:182M5c82we?96m:182M5c82we?96l:182M5c82we?96k:182M5c82we?96j:182M5c82we?96i:182M5c82we?97?:182M5c82we?97>:182M5c82we?97=:182M5c82we?97<:182M5c82we?97;:182M5c82we?97::182M5c82we?979:182M5c82we?8j6:182M5c82we?8jn:182M5c82we?8jm:182M5c82we?8jl:182M5c82we?8jk:182M5c82we?8jj:182M5c82we?8ji:182M5c82we?8k?:182M5c82we?8k>:182M5c82we?8k=:182M5c82we?8k<:182M5c82we?8k;:182M5c82we?8k::182M5c82we?8k9:182M5c82we?8k8:182M5c82we?8k7:182M5c82we?8k6:182M5c82we?8kn:182M5c82we?::182M5c82we?:==:182M5c82we?:=<:182M5c82we?:=;:182M5c82we?:=::182M5c82we?:=9:182M5c82we?:=8:182M5c82we?:=7:182M5c82we?:=6:182M5c82we?:=n:182M5c82we?:=m:182M5c82we?:=l:182M5c82we?:=k:182M5c82we?:=j:182M5c82we?56>:182M5c82we?56=:182M5c82we?56<:182M5c82we?56;:182M5c82we?56::182M5c82we?569:182M5c82we?568:182M5c82we?567:182M5c82we?566:182M5c82we?56n:182M5c82we?56m:182M5c82we?56l:182M5c82we?56k:182M5c82we?56j:182M5c82we?56i:182M5c82we?57?:182M5c82we?57>:182M5c82we?57=:182M5c82we?4j::182M5c82we?4j9:182M5c82we?4j8:182M5c82we?4j7:182M5c82we?4j6:182M5c82we?4jn:182M5c82we?4jm:182M5c82we?4jl:182M5c82we?4jk:182M5c82we?4jj:182M5c82we?4ji:182M5c82we?4k?:182M5c82we?4k>:182M5c82we?4k=:182M5c82we?4k<:182M5c82we?4k;:182M5c82we?4k::182M5c82we?4k9:182M5c82we?o<6:182M5c82we?o:182M5c82we?o==:182M5c82we?o=<:182M5c82we?o=;:182M5c82we?o=::182M5c82we?o=9:182M5c82we?o=8:182M5c82we?o=7:182M5c82we?o=6:182M5c82we?o=n:182M5c82we?i9>:182M5c82we?i9=:182M5c82we?i9<:182M5c82we?i9;:182M5c82we?i9::182M5c82we?i99:182M5c82we?i98:182M5c82we?i97:182M5c82we?i96:182M5c82we?i9n:182M5c82we?i9m:182M5c82we?i9l:182M5c82we?i9k:182M5c82we?i9j:182M5c82we?i9i:182M5c82we?i6?:182M5c82we?i6>:182M5c82we?i6=:182M5c82we?i6<:182M5c82we?i6;:182M5c82we?i6::182M5c82we?i69:182M5c82we?i68:182M5c82we?i67:182M5c82we?i66:182M5c82we?i6n:182M5c82we?i6m:182M5c82we?i6l:182M5c82we?i6k:182M5c82we?i6j:182M5c82we?i6i:182M5c82we?i7?:182M5c82we?i7>:182M5c82we?i7=:182M5c82we?i7<:182M5c82we?i7;:182M5c82we?i7::182M5c82we?i79:182M5c82we?kmk:182M5c82we?kmj:182M5c82we?kmi:182M5c82we?kj?:182M5c82we?kj>:182M5c82we?kj=:182M5c82we?kj<:182M5c82we?kj;:182M5c82we?kj::182M5c82we?kj9:182M5c82we?kj8:182M5c82we?kj7:182M5c82we?kj6:182M5c82we?kjn:182M5c82we?kjm:182M5c82we?kjl:182M5c82we?kjk:182M5c82we?kjj:182M5c82we?kji:182M5c82we?kk?:182M5c82we?kk>:182M5c82we?kk=:182M5c82we?kk<:182M5c82we?kk;:182M5c82we?kk::182M5c82we?kk9:182M5c82we?kk8:182M5c82we?kk7:182M5c82we?kk6:182M5c82we?kkn:182M5c82we?kkm:182M5c82we?kkl:182M5c82we?kkk:182M5c82we?kkj:182M5c82we?kki:182M5c82we?kh?:182M5c82we?kh>:182M5c82we?kh=:182M5c82we8>=k:182M5c82we8>=j:182M5c82we8>=i:182M5c82we8>:?:182M5c82we8>:>:182M5c82we8>:=:182M5c82we8>:<:182M5c82we8>:;:182M5c82we8>:::182M5c82we8>:9:182M5c82we8>:8:182M5c82we8>:7:182M5c82we8>:6:182M5c82we8>:n:182M5c82we8>:m:182M5c82we8>:l:182M5c82we8>:k:182M5c82we8>:j:182M5c82we8>:i:182M5c82we8>;?:182M5c82we8>hm:182M5c82we8>hl:182M5c82we8>hk:182M5c82we8>hj:182M5c82we8>hi:182M5c82we89>?:182M5c82we89>>:182M5c82we89>=:182M5c82we89><:182M5c82we89>;:182M5c82we89oi:182M5c82we89l?:182M5c82we89l>:182M5c82we89l=:182M5c82we89l<:182M5c82we89l;:182M5c82we89l::182M5c82we89l9:182M5c82we89l8:182M5c82we89l7:182M5c82we888<:182M5c82we888;:182M5c82we888::182M5c82we8889:182M5c82we8888:182M5c82we8887:182M5c82we8886:182M5c82we888n:182M5c82we888m:182M5c82we888l:182M5c82we8;?8:182M5c82we8;?7:182M5c82we8;?6:182M5c82we8;?n:182M5c82we8;?m:182M5c82we8;?l:182M5c82we8;?k:182M5c82we8;?j:182M5c82we8;?i:182M5c82we8;:182M5c82we8;j=:182M5c82we8;j<:182M5c82we8;j;:182M5c82we8:9i:182M5c82we8:6?:182M5c82we8:6>:182M5c82we8:6=:182M5c82we8:6<:182M5c82we8:6;:182M5c82we8:6::182M5c82we8:69:182M5c82we8:68:182M5c82we8:67:182M5c82we85=<:182M5c82we85=;:182M5c82we85=::182M5c82we85=9:182M5c82we85=8:182M5c82we85=7:182M5c82we85=6:182M5c82we85=n:182M5c82we85=m:182M5c82we85=l:182M5c82we85k8:182M5c82we85k7:182M5c82we85k6:182M5c82we85kn:182M5c82we85km:182M5c82we85kl:182M5c82we85kk:182M5c82we85kj:182M5c82we85ki:182M5c82we85h?:182M5c82we847m:182M5c82we847l:182M5c82we847k:182M5c82we847j:182M5c82we847i:182M5c82we84o?:182M5c82we84o>:182M5c82we84o=:182M5c82we84o<:182M5c82we84o;:182M5c82we8l:i:182M5c82we8l;?:182M5c82we8l;>:182M5c82we8l;=:182M5c82we8l;<:182M5c82we8l;;:182M5c82we8l;::182M5c82we8l;9:182M5c82we8l;8:182M5c82we8l;7:182M5c82we8o><:182M5c82we8o>;:182M5c82we8o>::182M5c82we8o>9:182M5c82we8o>8:182M5c82we8o>7:182M5c82we8o>6:182M5c82we8o>n:182M5c82we8o>m:182M5c82we8o>l:182M5c82we8ol8:182M5c82we8ol7:182M5c82we8ol6:182M5c82we8oln:182M5c82we8olm:182M5c82we8oll:182M5c82we8olk:182M5c82we8olj:182M5c82we8oli:182M5c82we8om?:182M5c82we8k8<:182M5c82we8k8;:182M5c82we8k8::182M5c82we8k89:182M5c82we8k88:182M5c82we8k87:182M5c82we8k86:182M5c82we8k8n:182M5c82we8k8m:182M5c82we8k8l:182M5c82we8k8k:182M5c82we8k8j:182M5c82we8k8i:182M5c82we8k9?:182M5c82we8k9>:182M5c82we8k9=:182M5c82we8k9<:182M5c82we8k9;:182M5c82we8k9::182M5c82we8k99:182M5c82wvqpNOCz5;40_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f3_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f3_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e6_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e5_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e4_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e3_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e2_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e1_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000dd_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000dc_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000db_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000da_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d9_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d8_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d7_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d1_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d1_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cd_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cd_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c7_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c7_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c3_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c3_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b2_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b1_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a8_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a7_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a4_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a3_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a1_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a0_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009f_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009d_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009c_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009a_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000099_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000024_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk00000020_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001a_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000019_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000013_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000d_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000008_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000008_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000095_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000094_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000093_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000092_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000091_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000090_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000008f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000008e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000008d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000008c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000008b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000008a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000089_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000088_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000087_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000086_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000085_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000084_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000083_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000082_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000081_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000080_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000007f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000007e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000007d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000007c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000007b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000007a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000079_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000078_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000077_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000076_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000075_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000074_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000073_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000072_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000071_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000070_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000006f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000006e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000006d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000006c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000006b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000006a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000069_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000068_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000067_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000066_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000151_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000150_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk0000014f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk0000014e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk0000014d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk0000014c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk0000014b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk0000014a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000149_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000148_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000147_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000146_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000145_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000144_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000143_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000142_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000174_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000173_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000172_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000171_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000170_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000016f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000016e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000016d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000016c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000016b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000016a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000169_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000168_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000167_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000166_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000165_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000197_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000196_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000195_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000194_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000193_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000192_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000191_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000190_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk0000018f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk0000018e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk0000018d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk0000018c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk0000018b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk0000018a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000189_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000188_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001ba_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001ce_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk00000200_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001ff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001fe_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001fd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001fc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001fb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001fa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001f9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001f8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001f7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001f6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001f5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001f4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001f3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001f2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001f1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000223_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000222_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000221_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000220_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk0000021f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk0000021e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk0000021d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk0000021c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk0000021b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk0000021a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000219_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000218_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000217_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000216_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000215_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000214_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000246_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000245_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000244_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000243_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000242_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000241_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000240_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk0000023f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk0000023e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk0000023d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk0000023c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk0000023b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk0000023a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000239_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000238_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000237_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000269_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000268_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000267_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000266_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000265_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000264_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000263_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000262_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000261_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000260_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk0000025f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk0000025e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk0000025d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk0000025c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk0000025b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk0000025a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk0000028c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk0000028b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk0000028a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000289_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000288_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000287_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000286_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000285_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000284_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000283_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000282_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000281_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000280_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk0000027f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk0000027e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk0000027d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002ce_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002cd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002cc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002cb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002ca_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002c9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002c8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002c7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002c6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002c5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002c4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002c3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003ab_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003aa_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a9_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a8_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a7_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a6_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a5_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a4_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a3_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a2_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a1_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a0_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk0000039f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk0000039e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk0000039d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk0000039c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk0000039b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk0000039a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ad/blk000003be_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ad/blk000003bd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ad/blk000003bc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ad/blk000003bb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ad/blk000003ba_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ad/blk000003b9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ad/blk000003b8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ad/blk000003b7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003c0/blk000003d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003c0/blk000003d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003c0/blk000003cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003c0/blk000003ce_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003c0/blk000003cd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003c0/blk000003cc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003c0/blk000003cb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003c0/blk000003ca_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d3/blk000003e4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d3/blk000003e3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d3/blk000003e2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d3/blk000003e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d3/blk000003e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d3/blk000003df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d3/blk000003de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d3/blk000003dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003e6/blk000003f7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003e6/blk000003f6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003e6/blk000003f5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003e6/blk000003f4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003e6/blk000003f3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003e6/blk000003f2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003e6/blk000003f1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003e6/blk000003f0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk0000040a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000409_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000408_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000407_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000406_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000405_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000404_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000403_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000040c/blk0000041d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000040c/blk0000041c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000040c/blk0000041b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000040c/blk0000041a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000040c/blk00000419_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000040c/blk00000418_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000040c/blk00000417_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000040c/blk00000416_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041f/blk00000430_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041f/blk0000042f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041f/blk0000042e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041f/blk0000042d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041f/blk0000042c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041f/blk0000042b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041f/blk0000042a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041f/blk00000429_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000432/blk00000443_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000432/blk00000442_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000432/blk00000441_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000432/blk00000440_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000432/blk0000043f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000432/blk0000043e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000432/blk0000043d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000432/blk0000043c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000445/blk00000456_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000445/blk00000455_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000445/blk00000454_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000445/blk00000453_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000445/blk00000452_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000445/blk00000451_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000445/blk00000450_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000445/blk0000044f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000458/blk00000469_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000458/blk00000468_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000458/blk00000467_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000458/blk00000466_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000458/blk00000465_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000458/blk00000464_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000458/blk00000463_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000458/blk00000462_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000046b/blk0000047c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000046b/blk0000047b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000046b/blk0000047a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000046b/blk00000479_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000046b/blk00000478_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000046b/blk00000477_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000046b/blk00000476_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000046b/blk00000475_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000047e/blk0000048f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000047e/blk0000048e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000047e/blk0000048d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000047e/blk0000048c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000047e/blk0000048b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000047e/blk0000048a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000047e/blk00000489_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000047e/blk00000488_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk00000506_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk00000505_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk00000504_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk00000503_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk00000502_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk00000501_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk00000500_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004ff_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004fe_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004fd_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004fc_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004fb_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004fa_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004f9_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004f8_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004f7_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004f6_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004f5_SPO_UNCONNECTED ; + wire [17 : 0] coef_din_0; + wire [23 : 0] din_1_1; + wire [23 : 0] din_2_2; + wire [46 : 0] NlwRenamedSig_OI_dout_1; + wire [46 : 0] NlwRenamedSig_OI_dout_2; + assign + rfd = NlwRenamedSig_OI_rfd, + dout_1[46] = NlwRenamedSig_OI_dout_1[46], + dout_1[45] = NlwRenamedSig_OI_dout_1[45], + dout_1[44] = NlwRenamedSig_OI_dout_1[44], + dout_1[43] = NlwRenamedSig_OI_dout_1[43], + dout_1[42] = NlwRenamedSig_OI_dout_1[42], + dout_1[41] = NlwRenamedSig_OI_dout_1[41], + dout_1[40] = NlwRenamedSig_OI_dout_1[40], + dout_1[39] = NlwRenamedSig_OI_dout_1[39], + dout_1[38] = NlwRenamedSig_OI_dout_1[38], + dout_1[37] = NlwRenamedSig_OI_dout_1[37], + dout_1[36] = NlwRenamedSig_OI_dout_1[36], + dout_1[35] = NlwRenamedSig_OI_dout_1[35], + dout_1[34] = NlwRenamedSig_OI_dout_1[34], + dout_1[33] = NlwRenamedSig_OI_dout_1[33], + dout_1[32] = NlwRenamedSig_OI_dout_1[32], + dout_1[31] = NlwRenamedSig_OI_dout_1[31], + dout_1[30] = NlwRenamedSig_OI_dout_1[30], + dout_1[29] = NlwRenamedSig_OI_dout_1[29], + dout_1[28] = NlwRenamedSig_OI_dout_1[28], + dout_1[27] = NlwRenamedSig_OI_dout_1[27], + dout_1[26] = NlwRenamedSig_OI_dout_1[26], + dout_1[25] = NlwRenamedSig_OI_dout_1[25], + dout_1[24] = NlwRenamedSig_OI_dout_1[24], + dout_1[23] = NlwRenamedSig_OI_dout_1[23], + dout_1[22] = NlwRenamedSig_OI_dout_1[22], + dout_1[21] = NlwRenamedSig_OI_dout_1[21], + dout_1[20] = NlwRenamedSig_OI_dout_1[20], + dout_1[19] = NlwRenamedSig_OI_dout_1[19], + dout_1[18] = NlwRenamedSig_OI_dout_1[18], + dout_1[17] = NlwRenamedSig_OI_dout_1[17], + dout_1[16] = NlwRenamedSig_OI_dout_1[16], + dout_1[15] = NlwRenamedSig_OI_dout_1[15], + dout_1[14] = NlwRenamedSig_OI_dout_1[14], + dout_1[13] = NlwRenamedSig_OI_dout_1[13], + dout_1[12] = NlwRenamedSig_OI_dout_1[12], + dout_1[11] = NlwRenamedSig_OI_dout_1[11], + dout_1[10] = NlwRenamedSig_OI_dout_1[10], + dout_1[9] = NlwRenamedSig_OI_dout_1[9], + dout_1[8] = NlwRenamedSig_OI_dout_1[8], + dout_1[7] = NlwRenamedSig_OI_dout_1[7], + dout_1[6] = NlwRenamedSig_OI_dout_1[6], + dout_1[5] = NlwRenamedSig_OI_dout_1[5], + dout_1[4] = NlwRenamedSig_OI_dout_1[4], + dout_1[3] = NlwRenamedSig_OI_dout_1[3], + dout_1[2] = NlwRenamedSig_OI_dout_1[2], + dout_1[1] = NlwRenamedSig_OI_dout_1[1], + dout_1[0] = NlwRenamedSig_OI_dout_1[0], + dout_2[46] = NlwRenamedSig_OI_dout_2[46], + dout_2[45] = NlwRenamedSig_OI_dout_2[45], + dout_2[44] = NlwRenamedSig_OI_dout_2[44], + dout_2[43] = NlwRenamedSig_OI_dout_2[43], + dout_2[42] = NlwRenamedSig_OI_dout_2[42], + dout_2[41] = NlwRenamedSig_OI_dout_2[41], + dout_2[40] = NlwRenamedSig_OI_dout_2[40], + dout_2[39] = NlwRenamedSig_OI_dout_2[39], + dout_2[38] = NlwRenamedSig_OI_dout_2[38], + dout_2[37] = NlwRenamedSig_OI_dout_2[37], + dout_2[36] = NlwRenamedSig_OI_dout_2[36], + dout_2[35] = NlwRenamedSig_OI_dout_2[35], + dout_2[34] = NlwRenamedSig_OI_dout_2[34], + dout_2[33] = NlwRenamedSig_OI_dout_2[33], + dout_2[32] = NlwRenamedSig_OI_dout_2[32], + dout_2[31] = NlwRenamedSig_OI_dout_2[31], + dout_2[30] = NlwRenamedSig_OI_dout_2[30], + dout_2[29] = NlwRenamedSig_OI_dout_2[29], + dout_2[28] = NlwRenamedSig_OI_dout_2[28], + dout_2[27] = NlwRenamedSig_OI_dout_2[27], + dout_2[26] = NlwRenamedSig_OI_dout_2[26], + dout_2[25] = NlwRenamedSig_OI_dout_2[25], + dout_2[24] = NlwRenamedSig_OI_dout_2[24], + dout_2[23] = NlwRenamedSig_OI_dout_2[23], + dout_2[22] = NlwRenamedSig_OI_dout_2[22], + dout_2[21] = NlwRenamedSig_OI_dout_2[21], + dout_2[20] = NlwRenamedSig_OI_dout_2[20], + dout_2[19] = NlwRenamedSig_OI_dout_2[19], + dout_2[18] = NlwRenamedSig_OI_dout_2[18], + dout_2[17] = NlwRenamedSig_OI_dout_2[17], + dout_2[16] = NlwRenamedSig_OI_dout_2[16], + dout_2[15] = NlwRenamedSig_OI_dout_2[15], + dout_2[14] = NlwRenamedSig_OI_dout_2[14], + dout_2[13] = NlwRenamedSig_OI_dout_2[13], + dout_2[12] = NlwRenamedSig_OI_dout_2[12], + dout_2[11] = NlwRenamedSig_OI_dout_2[11], + dout_2[10] = NlwRenamedSig_OI_dout_2[10], + dout_2[9] = NlwRenamedSig_OI_dout_2[9], + dout_2[8] = NlwRenamedSig_OI_dout_2[8], + dout_2[7] = NlwRenamedSig_OI_dout_2[7], + dout_2[6] = NlwRenamedSig_OI_dout_2[6], + dout_2[5] = NlwRenamedSig_OI_dout_2[5], + dout_2[4] = NlwRenamedSig_OI_dout_2[4], + dout_2[3] = NlwRenamedSig_OI_dout_2[3], + dout_2[2] = NlwRenamedSig_OI_dout_2[2], + dout_2[1] = NlwRenamedSig_OI_dout_2[1], + dout_2[0] = NlwRenamedSig_OI_dout_2[0], + din_1_1[23] = din_1[23], + din_1_1[22] = din_1[22], + din_1_1[21] = din_1[21], + din_1_1[20] = din_1[20], + din_1_1[19] = din_1[19], + din_1_1[18] = din_1[18], + din_1_1[17] = din_1[17], + din_1_1[16] = din_1[16], + din_1_1[15] = din_1[15], + din_1_1[14] = din_1[14], + din_1_1[13] = din_1[13], + din_1_1[12] = din_1[12], + din_1_1[11] = din_1[11], + din_1_1[10] = din_1[10], + din_1_1[9] = din_1[9], + din_1_1[8] = din_1[8], + din_1_1[7] = din_1[7], + din_1_1[6] = din_1[6], + din_1_1[5] = din_1[5], + din_1_1[4] = din_1[4], + din_1_1[3] = din_1[3], + din_1_1[2] = din_1[2], + din_1_1[1] = din_1[1], + din_1_1[0] = din_1[0], + din_2_2[23] = din_2[23], + din_2_2[22] = din_2[22], + din_2_2[21] = din_2[21], + din_2_2[20] = din_2[20], + din_2_2[19] = din_2[19], + din_2_2[18] = din_2[18], + din_2_2[17] = din_2[17], + din_2_2[16] = din_2[16], + din_2_2[15] = din_2[15], + din_2_2[14] = din_2[14], + din_2_2[13] = din_2[13], + din_2_2[12] = din_2[12], + din_2_2[11] = din_2[11], + din_2_2[10] = din_2[10], + din_2_2[9] = din_2[9], + din_2_2[8] = din_2[8], + din_2_2[7] = din_2[7], + din_2_2[6] = din_2[6], + din_2_2[5] = din_2[5], + din_2_2[4] = din_2[4], + din_2_2[3] = din_2[3], + din_2_2[2] = din_2[2], + din_2_2[1] = din_2[1], + din_2_2[0] = din_2[0], + coef_din_0[17] = coef_din[17], + coef_din_0[16] = coef_din[16], + coef_din_0[15] = coef_din[15], + coef_din_0[14] = coef_din[14], + coef_din_0[13] = coef_din[13], + coef_din_0[12] = coef_din[12], + coef_din_0[11] = coef_din[11], + coef_din_0[10] = coef_din[10], + coef_din_0[9] = coef_din[9], + coef_din_0[8] = coef_din[8], + coef_din_0[7] = coef_din[7], + coef_din_0[6] = coef_din[6], + coef_din_0[5] = coef_din[5], + coef_din_0[4] = coef_din[4], + coef_din_0[3] = coef_din[3], + coef_din_0[2] = coef_din[2], + coef_din_0[1] = coef_din[1], + coef_din_0[0] = coef_din[0]; + VCC blk00000001 ( + .P(NLW_blk00000001_P_UNCONNECTED) + ); + GND blk00000002 ( + .G(NLW_blk00000002_G_UNCONNECTED) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000800 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000093c ), + .Q(\blk00000003/sig0000080e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007ff ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000068c ), + .Q(\blk00000003/sig0000093c ), + .Q15(\NLW_blk00000003/blk000007ff_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000093b ), + .Q(\blk00000003/sig000002ae ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007fd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000068b ), + .Q(\blk00000003/sig0000093b ), + .Q15(\NLW_blk00000003/blk000007fd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000093a ), + .Q(\blk00000003/sig00000251 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007fb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008e4 ), + .Q(\blk00000003/sig0000093a ), + .Q15(\NLW_blk00000003/blk000007fb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000939 ), + .Q(\blk00000003/sig00000250 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007f9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008e8 ), + .Q(\blk00000003/sig00000939 ), + .Q15(\NLW_blk00000003/blk000007f9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000938 ), + .Q(\blk00000003/sig0000024f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007f7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008e6 ), + .Q(\blk00000003/sig00000938 ), + .Q15(\NLW_blk00000003/blk000007f7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000937 ), + .Q(\blk00000003/sig0000024d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007f5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008e0 ), + .Q(\blk00000003/sig00000937 ), + .Q15(\NLW_blk00000003/blk000007f5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000936 ), + .Q(\blk00000003/sig0000024c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007f3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008de ), + .Q(\blk00000003/sig00000936 ), + .Q15(\NLW_blk00000003/blk000007f3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000935 ), + .Q(\blk00000003/sig0000024e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007f1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008e2 ), + .Q(\blk00000003/sig00000935 ), + .Q15(\NLW_blk00000003/blk000007f1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000934 ), + .Q(\blk00000003/sig0000024a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007ef ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008d6 ), + .Q(\blk00000003/sig00000934 ), + .Q15(\NLW_blk00000003/blk000007ef_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000933 ), + .Q(\blk00000003/sig00000249 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007ed ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008da ), + .Q(\blk00000003/sig00000933 ), + .Q15(\NLW_blk00000003/blk000007ed_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000932 ), + .Q(\blk00000003/sig0000024b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007eb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008dc ), + .Q(\blk00000003/sig00000932 ), + .Q15(\NLW_blk00000003/blk000007eb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000931 ), + .Q(\blk00000003/sig00000247 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007e9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008d0 ), + .Q(\blk00000003/sig00000931 ), + .Q15(\NLW_blk00000003/blk000007e9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000930 ), + .Q(\blk00000003/sig00000246 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007e7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008d4 ), + .Q(\blk00000003/sig00000930 ), + .Q15(\NLW_blk00000003/blk000007e7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000092f ), + .Q(\blk00000003/sig00000248 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007e5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008d8 ), + .Q(\blk00000003/sig0000092f ), + .Q15(\NLW_blk00000003/blk000007e5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000092e ), + .Q(\blk00000003/sig00000245 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007e3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008d2 ), + .Q(\blk00000003/sig0000092e ), + .Q15(\NLW_blk00000003/blk000007e3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000092d ), + .Q(\blk00000003/sig00000244 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007e1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008ca ), + .Q(\blk00000003/sig0000092d ), + .Q15(\NLW_blk00000003/blk000007e1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000092c ), + .Q(\blk00000003/sig00000243 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007df ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008ce ), + .Q(\blk00000003/sig0000092c ), + .Q15(\NLW_blk00000003/blk000007df_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007de ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000092b ), + .Q(\blk00000003/sig00000242 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007dd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008cc ), + .Q(\blk00000003/sig0000092b ), + .Q15(\NLW_blk00000003/blk000007dd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000092a ), + .Q(\blk00000003/sig00000210 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007db ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008c6 ), + .Q(\blk00000003/sig0000092a ), + .Q15(\NLW_blk00000003/blk000007db_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007da ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000929 ), + .Q(\blk00000003/sig0000020f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007d9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008c4 ), + .Q(\blk00000003/sig00000929 ), + .Q15(\NLW_blk00000003/blk000007d9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000928 ), + .Q(\blk00000003/sig00000211 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007d7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008c8 ), + .Q(\blk00000003/sig00000928 ), + .Q15(\NLW_blk00000003/blk000007d7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000927 ), + .Q(\blk00000003/sig0000020d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007d5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008bc ), + .Q(\blk00000003/sig00000927 ), + .Q15(\NLW_blk00000003/blk000007d5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000926 ), + .Q(\blk00000003/sig0000020c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007d3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008c0 ), + .Q(\blk00000003/sig00000926 ), + .Q15(\NLW_blk00000003/blk000007d3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000925 ), + .Q(\blk00000003/sig0000020e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007d1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008c2 ), + .Q(\blk00000003/sig00000925 ), + .Q15(\NLW_blk00000003/blk000007d1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000924 ), + .Q(\blk00000003/sig0000020a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007cf ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008b6 ), + .Q(\blk00000003/sig00000924 ), + .Q15(\NLW_blk00000003/blk000007cf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000923 ), + .Q(\blk00000003/sig000001c9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007cd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008ba ), + .Q(\blk00000003/sig00000923 ), + .Q15(\NLW_blk00000003/blk000007cd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000922 ), + .Q(\blk00000003/sig0000020b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007cb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008be ), + .Q(\blk00000003/sig00000922 ), + .Q15(\NLW_blk00000003/blk000007cb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000921 ), + .Q(\blk00000003/sig000001c8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007c9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008b8 ), + .Q(\blk00000003/sig00000921 ), + .Q15(\NLW_blk00000003/blk000007c9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000920 ), + .Q(\blk00000003/sig000001c7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007c7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008b0 ), + .Q(\blk00000003/sig00000920 ), + .Q15(\NLW_blk00000003/blk000007c7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000091f ), + .Q(\blk00000003/sig000001c6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007c5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008b4 ), + .Q(\blk00000003/sig0000091f ), + .Q15(\NLW_blk00000003/blk000007c5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000091e ), + .Q(\blk00000003/sig000001c5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007c3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008b2 ), + .Q(\blk00000003/sig0000091e ), + .Q15(\NLW_blk00000003/blk000007c3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000091d ), + .Q(\blk00000003/sig000001c3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007c1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008ae ), + .Q(\blk00000003/sig0000091d ), + .Q15(\NLW_blk00000003/blk000007c1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000091c ), + .Q(\blk00000003/sig000001c2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007bf ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008ac ), + .Q(\blk00000003/sig0000091c ), + .Q15(\NLW_blk00000003/blk000007bf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007be ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000091b ), + .Q(\blk00000003/sig000001c4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007bd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008aa ), + .Q(\blk00000003/sig0000091b ), + .Q15(\NLW_blk00000003/blk000007bd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000091a ), + .Q(\blk00000003/sig000001c0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007bb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008a8 ), + .Q(\blk00000003/sig0000091a ), + .Q15(\NLW_blk00000003/blk000007bb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000919 ), + .Q(\blk00000003/sig000001bf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007b9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008a6 ), + .Q(\blk00000003/sig00000919 ), + .Q15(\NLW_blk00000003/blk000007b9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000918 ), + .Q(\blk00000003/sig000001c1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007b7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008a4 ), + .Q(\blk00000003/sig00000918 ), + .Q15(\NLW_blk00000003/blk000007b7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000917 ), + .Q(\blk00000003/sig000001bd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007b5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008a2 ), + .Q(\blk00000003/sig00000917 ), + .Q15(\NLW_blk00000003/blk000007b5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000916 ), + .Q(\blk00000003/sig000001bc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007b3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008a0 ), + .Q(\blk00000003/sig00000916 ), + .Q15(\NLW_blk00000003/blk000007b3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000915 ), + .Q(\blk00000003/sig000001be ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007b1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000089e ), + .Q(\blk00000003/sig00000915 ), + .Q15(\NLW_blk00000003/blk000007b1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000914 ), + .Q(\blk00000003/sig000001bb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007af ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000898 ), + .Q(\blk00000003/sig00000914 ), + .Q15(\NLW_blk00000003/blk000007af_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000913 ), + .Q(\blk00000003/sig000001ba ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007ad ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000089c ), + .Q(\blk00000003/sig00000913 ), + .Q15(\NLW_blk00000003/blk000007ad_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000912 ), + .Q(\blk00000003/sig00000177 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007ab ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000089a ), + .Q(\blk00000003/sig00000912 ), + .Q15(\NLW_blk00000003/blk000007ab_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000911 ), + .Q(\blk00000003/sig00000176 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007a9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000896 ), + .Q(\blk00000003/sig00000911 ), + .Q15(\NLW_blk00000003/blk000007a9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000910 ), + .Q(\blk00000003/sig00000174 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007a7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000892 ), + .Q(\blk00000003/sig00000910 ), + .Q15(\NLW_blk00000003/blk000007a7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000090f ), + .Q(\blk00000003/sig00000173 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007a5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000890 ), + .Q(\blk00000003/sig0000090f ), + .Q15(\NLW_blk00000003/blk000007a5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000090e ), + .Q(\blk00000003/sig00000175 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007a3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000894 ), + .Q(\blk00000003/sig0000090e ), + .Q15(\NLW_blk00000003/blk000007a3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000090d ), + .Q(\blk00000003/sig00000171 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007a1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000088e ), + .Q(\blk00000003/sig0000090d ), + .Q15(\NLW_blk00000003/blk000007a1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000090c ), + .Q(\blk00000003/sig00000170 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000079f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000088c ), + .Q(\blk00000003/sig0000090c ), + .Q15(\NLW_blk00000003/blk0000079f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000090b ), + .Q(\blk00000003/sig00000172 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000079d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000088a ), + .Q(\blk00000003/sig0000090b ), + .Q15(\NLW_blk00000003/blk0000079d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000090a ), + .Q(\blk00000003/sig0000068c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000079b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002b0 ), + .Q(\blk00000003/sig0000090a ), + .Q15(\NLW_blk00000003/blk0000079b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000909 ), + .Q(\blk00000003/sig0000081a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000799 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000290 ), + .Q(\blk00000003/sig00000909 ), + .Q15(\NLW_blk00000003/blk00000799_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000798 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000908 ), + .Q(\blk00000003/sig00000819 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000797 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028f ), + .Q(\blk00000003/sig00000908 ), + .Q15(\NLW_blk00000003/blk00000797_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000796 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000907 ), + .Q(\blk00000003/sig00000818 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000795 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000291 ), + .Q(\blk00000003/sig00000907 ), + .Q15(\NLW_blk00000003/blk00000795_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000794 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000906 ), + .Q(\blk00000003/sig0000081d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000793 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028d ), + .Q(\blk00000003/sig00000906 ), + .Q15(\NLW_blk00000003/blk00000793_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000792 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000905 ), + .Q(\blk00000003/sig0000081c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000791 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028c ), + .Q(\blk00000003/sig00000905 ), + .Q15(\NLW_blk00000003/blk00000791_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000790 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000904 ), + .Q(\blk00000003/sig0000081b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000078f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028e ), + .Q(\blk00000003/sig00000904 ), + .Q15(\NLW_blk00000003/blk0000078f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000078e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000903 ), + .Q(\blk00000003/sig00000820 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000078d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028a ), + .Q(\blk00000003/sig00000903 ), + .Q15(\NLW_blk00000003/blk0000078d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000078c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000902 ), + .Q(\blk00000003/sig0000081f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000078b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000289 ), + .Q(\blk00000003/sig00000902 ), + .Q15(\NLW_blk00000003/blk0000078b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000078a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000901 ), + .Q(\blk00000003/sig0000081e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000789 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028b ), + .Q(\blk00000003/sig00000901 ), + .Q15(\NLW_blk00000003/blk00000789_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000788 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000900 ), + .Q(\blk00000003/sig00000823 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000787 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000287 ), + .Q(\blk00000003/sig00000900 ), + .Q15(\NLW_blk00000003/blk00000787_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000786 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008ff ), + .Q(\blk00000003/sig00000822 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000785 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000286 ), + .Q(\blk00000003/sig000008ff ), + .Q15(\NLW_blk00000003/blk00000785_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000784 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008fe ), + .Q(\blk00000003/sig00000821 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000783 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000288 ), + .Q(\blk00000003/sig000008fe ), + .Q15(\NLW_blk00000003/blk00000783_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000782 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008fd ), + .Q(\blk00000003/sig00000826 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000781 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000284 ), + .Q(\blk00000003/sig000008fd ), + .Q15(\NLW_blk00000003/blk00000781_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000780 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008fc ), + .Q(\blk00000003/sig00000825 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000283 ), + .Q(\blk00000003/sig000008fc ), + .Q15(\NLW_blk00000003/blk0000077f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008fb ), + .Q(\blk00000003/sig00000824 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000285 ), + .Q(\blk00000003/sig000008fb ), + .Q15(\NLW_blk00000003/blk0000077d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008fa ), + .Q(\blk00000003/sig00000828 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000209 ), + .Q(\blk00000003/sig000008fa ), + .Q15(\NLW_blk00000003/blk0000077b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f9 ), + .Q(\blk00000003/sig00000829 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000779 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000208 ), + .Q(\blk00000003/sig000008f9 ), + .Q15(\NLW_blk00000003/blk00000779_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000778 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f8 ), + .Q(\blk00000003/sig00000827 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000777 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000282 ), + .Q(\blk00000003/sig000008f8 ), + .Q15(\NLW_blk00000003/blk00000777_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000776 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f7 ), + .Q(\blk00000003/sig0000082c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000775 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000206 ), + .Q(\blk00000003/sig000008f7 ), + .Q15(\NLW_blk00000003/blk00000775_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000774 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f6 ), + .Q(\blk00000003/sig0000082b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000773 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000205 ), + .Q(\blk00000003/sig000008f6 ), + .Q15(\NLW_blk00000003/blk00000773_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000772 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f5 ), + .Q(\blk00000003/sig0000082a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000771 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000207 ), + .Q(\blk00000003/sig000008f5 ), + .Q15(\NLW_blk00000003/blk00000771_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000770 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f4 ), + .Q(\blk00000003/sig0000082d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000204 ), + .Q(\blk00000003/sig000008f4 ), + .Q15(\NLW_blk00000003/blk0000076f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f3 ), + .Q(\blk00000003/sig0000082f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000203 ), + .Q(\blk00000003/sig000008f3 ), + .Q15(\NLW_blk00000003/blk0000076d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f2 ), + .Q(\blk00000003/sig0000082e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000202 ), + .Q(\blk00000003/sig000008f2 ), + .Q15(\NLW_blk00000003/blk0000076b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f1 ), + .Q(\blk00000003/sig00000830 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000769 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000201 ), + .Q(\blk00000003/sig000008f1 ), + .Q15(\NLW_blk00000003/blk00000769_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000768 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f0 ), + .Q(\blk00000003/sig00000831 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000767 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ff ), + .Q(\blk00000003/sig000008f0 ), + .Q15(\NLW_blk00000003/blk00000767_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000766 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008ef ), + .Q(\blk00000003/sig00000833 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000765 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fe ), + .Q(\blk00000003/sig000008ef ), + .Q15(\NLW_blk00000003/blk00000765_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000764 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008ee ), + .Q(\blk00000003/sig00000832 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000763 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000200 ), + .Q(\blk00000003/sig000008ee ), + .Q15(\NLW_blk00000003/blk00000763_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000762 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008ed ), + .Q(\blk00000003/sig00000834 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000761 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fc ), + .Q(\blk00000003/sig000008ed ), + .Q15(\NLW_blk00000003/blk00000761_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000760 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008ec ), + .Q(\blk00000003/sig00000836 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000075f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fb ), + .Q(\blk00000003/sig000008ec ), + .Q15(\NLW_blk00000003/blk0000075f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008eb ), + .Q(\blk00000003/sig00000835 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000075d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fd ), + .Q(\blk00000003/sig000008eb ), + .Q15(\NLW_blk00000003/blk0000075d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008ea ), + .Q(\blk00000003/sig00000837 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000075b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fa ), + .Q(\blk00000003/sig000008ea ), + .Q15(\NLW_blk00000003/blk0000075b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008e9 ), + .Q(\blk00000003/sig0000080f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000759 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000294 ), + .Q(\blk00000003/sig000008e9 ), + .Q15(\NLW_blk00000003/blk00000759_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008e7 ), + .Q(\blk00000003/sig000008e8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000757 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002e7 ), + .Q(\blk00000003/sig000008e7 ), + .Q15(\NLW_blk00000003/blk00000757_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000756 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008e5 ), + .Q(\blk00000003/sig000008e6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000755 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002e6 ), + .Q(\blk00000003/sig000008e5 ), + .Q15(\NLW_blk00000003/blk00000755_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000754 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008e3 ), + .Q(\blk00000003/sig000008e4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000753 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002e8 ), + .Q(\blk00000003/sig000008e3 ), + .Q15(\NLW_blk00000003/blk00000753_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000752 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008e1 ), + .Q(\blk00000003/sig000008e2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000751 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002e5 ), + .Q(\blk00000003/sig000008e1 ), + .Q15(\NLW_blk00000003/blk00000751_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000750 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008df ), + .Q(\blk00000003/sig000008e0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000074f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002e4 ), + .Q(\blk00000003/sig000008df ), + .Q15(\NLW_blk00000003/blk0000074f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000074e ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008dd ), + .Q(\blk00000003/sig000008de ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000074d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002e3 ), + .Q(\blk00000003/sig000008dd ), + .Q15(\NLW_blk00000003/blk0000074d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000074c ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008db ), + .Q(\blk00000003/sig000008dc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000074b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002e2 ), + .Q(\blk00000003/sig000008db ), + .Q15(\NLW_blk00000003/blk0000074b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000074a ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008d9 ), + .Q(\blk00000003/sig000008da ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000749 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002e0 ), + .Q(\blk00000003/sig000008d9 ), + .Q15(\NLW_blk00000003/blk00000749_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000748 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008d7 ), + .Q(\blk00000003/sig000008d8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000747 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002df ), + .Q(\blk00000003/sig000008d7 ), + .Q15(\NLW_blk00000003/blk00000747_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000746 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008d5 ), + .Q(\blk00000003/sig000008d6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000745 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002e1 ), + .Q(\blk00000003/sig000008d5 ), + .Q15(\NLW_blk00000003/blk00000745_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000744 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008d3 ), + .Q(\blk00000003/sig000008d4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000743 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002dd ), + .Q(\blk00000003/sig000008d3 ), + .Q15(\NLW_blk00000003/blk00000743_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000742 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008d1 ), + .Q(\blk00000003/sig000008d2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000741 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002dc ), + .Q(\blk00000003/sig000008d1 ), + .Q15(\NLW_blk00000003/blk00000741_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000740 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008cf ), + .Q(\blk00000003/sig000008d0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000073f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002de ), + .Q(\blk00000003/sig000008cf ), + .Q15(\NLW_blk00000003/blk0000073f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000073e ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008cd ), + .Q(\blk00000003/sig000008ce ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000073d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002da ), + .Q(\blk00000003/sig000008cd ), + .Q15(\NLW_blk00000003/blk0000073d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000073c ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008cb ), + .Q(\blk00000003/sig000008cc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000073b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d9 ), + .Q(\blk00000003/sig000008cb ), + .Q15(\NLW_blk00000003/blk0000073b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000073a ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008c9 ), + .Q(\blk00000003/sig000008ca ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000739 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002db ), + .Q(\blk00000003/sig000008c9 ), + .Q15(\NLW_blk00000003/blk00000739_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000738 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008c7 ), + .Q(\blk00000003/sig000008c8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000737 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d8 ), + .Q(\blk00000003/sig000008c7 ), + .Q15(\NLW_blk00000003/blk00000737_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000736 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008c5 ), + .Q(\blk00000003/sig000008c6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000735 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d7 ), + .Q(\blk00000003/sig000008c5 ), + .Q15(\NLW_blk00000003/blk00000735_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000734 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008c3 ), + .Q(\blk00000003/sig000008c4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000733 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d6 ), + .Q(\blk00000003/sig000008c3 ), + .Q15(\NLW_blk00000003/blk00000733_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008c1 ), + .Q(\blk00000003/sig000008c2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000731 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d5 ), + .Q(\blk00000003/sig000008c1 ), + .Q15(\NLW_blk00000003/blk00000731_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000730 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008bf ), + .Q(\blk00000003/sig000008c0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000072f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d3 ), + .Q(\blk00000003/sig000008bf ), + .Q15(\NLW_blk00000003/blk0000072f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000072e ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008bd ), + .Q(\blk00000003/sig000008be ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000072d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d2 ), + .Q(\blk00000003/sig000008bd ), + .Q15(\NLW_blk00000003/blk0000072d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000072c ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008bb ), + .Q(\blk00000003/sig000008bc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000072b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d4 ), + .Q(\blk00000003/sig000008bb ), + .Q15(\NLW_blk00000003/blk0000072b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000072a ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008b9 ), + .Q(\blk00000003/sig000008ba ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000729 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d0 ), + .Q(\blk00000003/sig000008b9 ), + .Q15(\NLW_blk00000003/blk00000729_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000728 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008b7 ), + .Q(\blk00000003/sig000008b8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000727 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002cf ), + .Q(\blk00000003/sig000008b7 ), + .Q15(\NLW_blk00000003/blk00000727_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000726 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008b5 ), + .Q(\blk00000003/sig000008b6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000725 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d1 ), + .Q(\blk00000003/sig000008b5 ), + .Q15(\NLW_blk00000003/blk00000725_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000724 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008b3 ), + .Q(\blk00000003/sig000008b4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000723 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002cd ), + .Q(\blk00000003/sig000008b3 ), + .Q15(\NLW_blk00000003/blk00000723_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000722 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008b1 ), + .Q(\blk00000003/sig000008b2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000721 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002cc ), + .Q(\blk00000003/sig000008b1 ), + .Q15(\NLW_blk00000003/blk00000721_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000720 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008af ), + .Q(\blk00000003/sig000008b0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002ce ), + .Q(\blk00000003/sig000008af ), + .Q15(\NLW_blk00000003/blk0000071f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071e ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008ad ), + .Q(\blk00000003/sig000008ae ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002ca ), + .Q(\blk00000003/sig000008ad ), + .Q15(\NLW_blk00000003/blk0000071d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071c ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008ab ), + .Q(\blk00000003/sig000008ac ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c9 ), + .Q(\blk00000003/sig000008ab ), + .Q15(\NLW_blk00000003/blk0000071b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071a ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008a9 ), + .Q(\blk00000003/sig000008aa ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000719 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002cb ), + .Q(\blk00000003/sig000008a9 ), + .Q15(\NLW_blk00000003/blk00000719_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000718 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008a7 ), + .Q(\blk00000003/sig000008a8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000717 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c7 ), + .Q(\blk00000003/sig000008a7 ), + .Q15(\NLW_blk00000003/blk00000717_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000716 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008a5 ), + .Q(\blk00000003/sig000008a6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000715 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c6 ), + .Q(\blk00000003/sig000008a5 ), + .Q15(\NLW_blk00000003/blk00000715_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000714 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008a3 ), + .Q(\blk00000003/sig000008a4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000713 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c8 ), + .Q(\blk00000003/sig000008a3 ), + .Q15(\NLW_blk00000003/blk00000713_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000712 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008a1 ), + .Q(\blk00000003/sig000008a2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000711 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c4 ), + .Q(\blk00000003/sig000008a1 ), + .Q15(\NLW_blk00000003/blk00000711_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000710 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig0000089f ), + .Q(\blk00000003/sig000008a0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c3 ), + .Q(\blk00000003/sig0000089f ), + .Q15(\NLW_blk00000003/blk0000070f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070e ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig0000089d ), + .Q(\blk00000003/sig0000089e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c5 ), + .Q(\blk00000003/sig0000089d ), + .Q15(\NLW_blk00000003/blk0000070d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070c ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig0000089b ), + .Q(\blk00000003/sig0000089c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c1 ), + .Q(\blk00000003/sig0000089b ), + .Q15(\NLW_blk00000003/blk0000070b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070a ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig00000899 ), + .Q(\blk00000003/sig0000089a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000709 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c0 ), + .Q(\blk00000003/sig00000899 ), + .Q15(\NLW_blk00000003/blk00000709_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000708 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig00000897 ), + .Q(\blk00000003/sig00000898 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000707 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c2 ), + .Q(\blk00000003/sig00000897 ), + .Q15(\NLW_blk00000003/blk00000707_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000706 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig00000895 ), + .Q(\blk00000003/sig00000896 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000705 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002bf ), + .Q(\blk00000003/sig00000895 ), + .Q15(\NLW_blk00000003/blk00000705_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000704 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig00000893 ), + .Q(\blk00000003/sig00000894 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000703 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002be ), + .Q(\blk00000003/sig00000893 ), + .Q15(\NLW_blk00000003/blk00000703_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000702 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig00000891 ), + .Q(\blk00000003/sig00000892 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000701 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002bd ), + .Q(\blk00000003/sig00000891 ), + .Q15(\NLW_blk00000003/blk00000701_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000700 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig0000088f ), + .Q(\blk00000003/sig00000890 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ff ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002bc ), + .Q(\blk00000003/sig0000088f ), + .Q15(\NLW_blk00000003/blk000006ff_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006fe ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig0000088d ), + .Q(\blk00000003/sig0000088e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006fd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002ba ), + .Q(\blk00000003/sig0000088d ), + .Q15(\NLW_blk00000003/blk000006fd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006fc ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig0000088b ), + .Q(\blk00000003/sig0000088c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006fb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002b9 ), + .Q(\blk00000003/sig0000088b ), + .Q15(\NLW_blk00000003/blk000006fb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006fa ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig00000889 ), + .Q(\blk00000003/sig0000088a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002bb ), + .Q(\blk00000003/sig00000889 ), + .Q15(\NLW_blk00000003/blk000006f9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000888 ), + .Q(\blk00000003/sig00000816 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000067b ), + .Q(\blk00000003/sig00000888 ), + .Q15(\NLW_blk00000003/blk000006f7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000887 ), + .Q(\blk00000003/sig000006dc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f5 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e8 ), + .Q(\blk00000003/sig00000887 ), + .Q15(\NLW_blk00000003/blk000006f5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000886 ), + .Q(\blk00000003/sig00000815 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000067c ), + .Q(\blk00000003/sig00000886 ), + .Q15(\NLW_blk00000003/blk000006f3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000885 ), + .Q(\blk00000003/sig000006da ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f1 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e6 ), + .Q(\blk00000003/sig00000885 ), + .Q15(\NLW_blk00000003/blk000006f1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000884 ), + .Q(\blk00000003/sig000006d9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ef ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e5 ), + .Q(\blk00000003/sig00000884 ), + .Q15(\NLW_blk00000003/blk000006ef_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000883 ), + .Q(\blk00000003/sig000006db ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ed ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e7 ), + .Q(\blk00000003/sig00000883 ), + .Q15(\NLW_blk00000003/blk000006ed_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000882 ), + .Q(\blk00000003/sig000006d8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006eb ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e4 ), + .Q(\blk00000003/sig00000882 ), + .Q15(\NLW_blk00000003/blk000006eb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000881 ), + .Q(\blk00000003/sig000006d7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e9 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e3 ), + .Q(\blk00000003/sig00000881 ), + .Q15(\NLW_blk00000003/blk000006e9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000880 ), + .Q(\blk00000003/sig000006d6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e7 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e2 ), + .Q(\blk00000003/sig00000880 ), + .Q15(\NLW_blk00000003/blk000006e7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000087f ), + .Q(\blk00000003/sig000006d5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e5 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e1 ), + .Q(\blk00000003/sig0000087f ), + .Q15(\NLW_blk00000003/blk000006e5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000087e ), + .Q(\blk00000003/sig000006d3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e3 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002df ), + .Q(\blk00000003/sig0000087e ), + .Q15(\NLW_blk00000003/blk000006e3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000087d ), + .Q(\blk00000003/sig000006d2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e1 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002de ), + .Q(\blk00000003/sig0000087d ), + .Q15(\NLW_blk00000003/blk000006e1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000087c ), + .Q(\blk00000003/sig000006d4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006df ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e0 ), + .Q(\blk00000003/sig0000087c ), + .Q15(\NLW_blk00000003/blk000006df_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006de ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000087b ), + .Q(\blk00000003/sig000006d0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006dd ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002dc ), + .Q(\blk00000003/sig0000087b ), + .Q15(\NLW_blk00000003/blk000006dd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000087a ), + .Q(\blk00000003/sig000006cf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006db ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002db ), + .Q(\blk00000003/sig0000087a ), + .Q15(\NLW_blk00000003/blk000006db_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006da ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000879 ), + .Q(\blk00000003/sig000006d1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d9 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002dd ), + .Q(\blk00000003/sig00000879 ), + .Q15(\NLW_blk00000003/blk000006d9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000878 ), + .Q(\blk00000003/sig000006cd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d7 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d9 ), + .Q(\blk00000003/sig00000878 ), + .Q15(\NLW_blk00000003/blk000006d7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000877 ), + .Q(\blk00000003/sig0000076c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d5 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d8 ), + .Q(\blk00000003/sig00000877 ), + .Q15(\NLW_blk00000003/blk000006d5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000876 ), + .Q(\blk00000003/sig000006ce ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d3 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002da ), + .Q(\blk00000003/sig00000876 ), + .Q15(\NLW_blk00000003/blk000006d3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000875 ), + .Q(\blk00000003/sig0000076b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d1 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d7 ), + .Q(\blk00000003/sig00000875 ), + .Q15(\NLW_blk00000003/blk000006d1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000874 ), + .Q(\blk00000003/sig0000076a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006cf ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d6 ), + .Q(\blk00000003/sig00000874 ), + .Q15(\NLW_blk00000003/blk000006cf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000873 ), + .Q(\blk00000003/sig00000769 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006cd ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d5 ), + .Q(\blk00000003/sig00000873 ), + .Q15(\NLW_blk00000003/blk000006cd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000872 ), + .Q(\blk00000003/sig00000768 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006cb ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d4 ), + .Q(\blk00000003/sig00000872 ), + .Q15(\NLW_blk00000003/blk000006cb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000871 ), + .Q(\blk00000003/sig00000766 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c9 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d2 ), + .Q(\blk00000003/sig00000871 ), + .Q15(\NLW_blk00000003/blk000006c9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000870 ), + .Q(\blk00000003/sig00000765 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c7 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d1 ), + .Q(\blk00000003/sig00000870 ), + .Q15(\NLW_blk00000003/blk000006c7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000086f ), + .Q(\blk00000003/sig00000767 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c5 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d3 ), + .Q(\blk00000003/sig0000086f ), + .Q15(\NLW_blk00000003/blk000006c5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000086e ), + .Q(\blk00000003/sig000006fb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c3 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002cf ), + .Q(\blk00000003/sig0000086e ), + .Q15(\NLW_blk00000003/blk000006c3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000086d ), + .Q(\blk00000003/sig000006fa ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c1 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002ce ), + .Q(\blk00000003/sig0000086d ), + .Q15(\NLW_blk00000003/blk000006c1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000086c ), + .Q(\blk00000003/sig000006fc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006bf ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d0 ), + .Q(\blk00000003/sig0000086c ), + .Q15(\NLW_blk00000003/blk000006bf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006be ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000086b ), + .Q(\blk00000003/sig000006f8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006bd ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002cc ), + .Q(\blk00000003/sig0000086b ), + .Q15(\NLW_blk00000003/blk000006bd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000086a ), + .Q(\blk00000003/sig000006f7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006bb ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002cb ), + .Q(\blk00000003/sig0000086a ), + .Q15(\NLW_blk00000003/blk000006bb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000869 ), + .Q(\blk00000003/sig000006f9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006b9 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002cd ), + .Q(\blk00000003/sig00000869 ), + .Q15(\NLW_blk00000003/blk000006b9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000868 ), + .Q(\blk00000003/sig000006f6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006b7 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002ca ), + .Q(\blk00000003/sig00000868 ), + .Q15(\NLW_blk00000003/blk000006b7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000867 ), + .Q(\blk00000003/sig000006f5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006b5 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c9 ), + .Q(\blk00000003/sig00000867 ), + .Q15(\NLW_blk00000003/blk000006b5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000866 ), + .Q(\blk00000003/sig000006f4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006b3 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c8 ), + .Q(\blk00000003/sig00000866 ), + .Q15(\NLW_blk00000003/blk000006b3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000865 ), + .Q(\blk00000003/sig000006f3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006b1 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c7 ), + .Q(\blk00000003/sig00000865 ), + .Q15(\NLW_blk00000003/blk000006b1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000864 ), + .Q(\blk00000003/sig000006f1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006af ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c5 ), + .Q(\blk00000003/sig00000864 ), + .Q15(\NLW_blk00000003/blk000006af_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000863 ), + .Q(\blk00000003/sig000006f0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ad ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c4 ), + .Q(\blk00000003/sig00000863 ), + .Q15(\NLW_blk00000003/blk000006ad_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000862 ), + .Q(\blk00000003/sig000006f2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ab ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c6 ), + .Q(\blk00000003/sig00000862 ), + .Q15(\NLW_blk00000003/blk000006ab_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000861 ), + .Q(\blk00000003/sig000006ee ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006a9 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c2 ), + .Q(\blk00000003/sig00000861 ), + .Q15(\NLW_blk00000003/blk000006a9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000860 ), + .Q(\blk00000003/sig000006ed ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006a7 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c1 ), + .Q(\blk00000003/sig00000860 ), + .Q15(\NLW_blk00000003/blk000006a7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000085f ), + .Q(\blk00000003/sig000006ef ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006a5 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c3 ), + .Q(\blk00000003/sig0000085f ), + .Q15(\NLW_blk00000003/blk000006a5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000085e ), + .Q(\blk00000003/sig0000077b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006a3 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002bf ), + .Q(\blk00000003/sig0000085e ), + .Q15(\NLW_blk00000003/blk000006a3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000085d ), + .Q(\blk00000003/sig0000077a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006a1 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002be ), + .Q(\blk00000003/sig0000085d ), + .Q15(\NLW_blk00000003/blk000006a1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000085c ), + .Q(\blk00000003/sig0000077c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069f ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c0 ), + .Q(\blk00000003/sig0000085c ), + .Q15(\NLW_blk00000003/blk0000069f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000085b ), + .Q(\blk00000003/sig00000779 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069d ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002bd ), + .Q(\blk00000003/sig0000085b ), + .Q15(\NLW_blk00000003/blk0000069d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000085a ), + .Q(\blk00000003/sig00000778 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069b ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002bc ), + .Q(\blk00000003/sig0000085a ), + .Q15(\NLW_blk00000003/blk0000069b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000859 ), + .Q(\blk00000003/sig00000777 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000699 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002bb ), + .Q(\blk00000003/sig00000859 ), + .Q15(\NLW_blk00000003/blk00000699_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000698 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000858 ), + .Q(\blk00000003/sig00000776 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000697 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002ba ), + .Q(\blk00000003/sig00000858 ), + .Q15(\NLW_blk00000003/blk00000697_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000696 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000857 ), + .Q(\blk00000003/sig00000397 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000695 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002a5 ), + .Q(\blk00000003/sig00000857 ), + .Q15(\NLW_blk00000003/blk00000695_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000694 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000856 ), + .Q(\blk00000003/sig00000398 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000693 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000037e ), + .Q(\blk00000003/sig00000856 ), + .Q15(\NLW_blk00000003/blk00000693_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000692 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000855 ), + .Q(\blk00000003/sig00000775 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000691 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002b9 ), + .Q(\blk00000003/sig00000855 ), + .Q15(\NLW_blk00000003/blk00000691_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000690 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000854 ), + .Q(\blk00000003/sig0000071e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000068f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[0]), + .Q(\blk00000003/sig00000854 ), + .Q15(\NLW_blk00000003/blk0000068f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000068e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000853 ), + .Q(\blk00000003/sig0000071d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000068d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[1]), + .Q(\blk00000003/sig00000853 ), + .Q15(\NLW_blk00000003/blk0000068d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000068c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000852 ), + .Q(\blk00000003/sig00000814 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000068b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002a1 ), + .Q(\blk00000003/sig00000852 ), + .Q15(\NLW_blk00000003/blk0000068b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000068a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000851 ), + .Q(\blk00000003/sig0000071b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000689 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[3]), + .Q(\blk00000003/sig00000851 ), + .Q15(\NLW_blk00000003/blk00000689_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000688 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000850 ), + .Q(\blk00000003/sig0000071a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000687 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[4]), + .Q(\blk00000003/sig00000850 ), + .Q15(\NLW_blk00000003/blk00000687_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000686 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000084f ), + .Q(\blk00000003/sig0000071c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000685 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[2]), + .Q(\blk00000003/sig0000084f ), + .Q15(\NLW_blk00000003/blk00000685_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000684 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000084e ), + .Q(\blk00000003/sig00000719 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000683 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[5]), + .Q(\blk00000003/sig0000084e ), + .Q15(\NLW_blk00000003/blk00000683_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000682 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000084d ), + .Q(\blk00000003/sig00000718 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000681 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[6]), + .Q(\blk00000003/sig0000084d ), + .Q15(\NLW_blk00000003/blk00000681_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000680 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000084c ), + .Q(\blk00000003/sig00000717 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000067f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[7]), + .Q(\blk00000003/sig0000084c ), + .Q15(\NLW_blk00000003/blk0000067f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000067e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000084b ), + .Q(\blk00000003/sig00000716 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000067d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[8]), + .Q(\blk00000003/sig0000084b ), + .Q15(\NLW_blk00000003/blk0000067d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000067c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000084a ), + .Q(\blk00000003/sig00000714 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000067b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[10]), + .Q(\blk00000003/sig0000084a ), + .Q15(\NLW_blk00000003/blk0000067b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000067a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000849 ), + .Q(\blk00000003/sig00000713 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000679 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[11]), + .Q(\blk00000003/sig00000849 ), + .Q15(\NLW_blk00000003/blk00000679_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000678 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000848 ), + .Q(\blk00000003/sig00000715 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000677 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[9]), + .Q(\blk00000003/sig00000848 ), + .Q15(\NLW_blk00000003/blk00000677_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000676 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000847 ), + .Q(\blk00000003/sig00000711 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000675 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[13]), + .Q(\blk00000003/sig00000847 ), + .Q15(\NLW_blk00000003/blk00000675_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000674 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000846 ), + .Q(\blk00000003/sig00000710 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000673 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[14]), + .Q(\blk00000003/sig00000846 ), + .Q15(\NLW_blk00000003/blk00000673_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000672 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000845 ), + .Q(\blk00000003/sig00000712 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000671 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[12]), + .Q(\blk00000003/sig00000845 ), + .Q15(\NLW_blk00000003/blk00000671_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000670 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000844 ), + .Q(\blk00000003/sig0000070e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000066f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[16]), + .Q(\blk00000003/sig00000844 ), + .Q15(\NLW_blk00000003/blk0000066f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000066e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000843 ), + .Q(\blk00000003/sig0000070d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000066d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[17]), + .Q(\blk00000003/sig00000843 ), + .Q15(\NLW_blk00000003/blk0000066d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000066c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000842 ), + .Q(\blk00000003/sig0000070f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000066b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[15]), + .Q(\blk00000003/sig00000842 ), + .Q15(\NLW_blk00000003/blk0000066b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000066a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000841 ), + .Q(\blk00000003/sig000002b0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000669 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002b2 ), + .Q(\blk00000003/sig00000841 ), + .Q15(\NLW_blk00000003/blk00000669_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000668 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000840 ), + .Q(\blk00000003/sig0000068b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000667 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002a7 ), + .Q(\blk00000003/sig00000840 ), + .Q15(\NLW_blk00000003/blk00000667_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000666 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000083f ), + .Q(\blk00000003/sig00000786 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000665 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000029f ), + .Q(\blk00000003/sig0000083f ), + .Q15(\NLW_blk00000003/blk00000665_Q15_UNCONNECTED ) + ); + INV \blk00000003/blk00000664 ( + .I(\blk00000003/sig00000313 ), + .O(\blk00000003/sig00000353 ) + ); + INV \blk00000003/blk00000663 ( + .I(\blk00000003/sig0000035c ), + .O(\blk00000003/sig0000034c ) + ); + INV \blk00000003/blk00000662 ( + .I(\blk00000003/sig00000363 ), + .O(\blk00000003/sig00000352 ) + ); + INV \blk00000003/blk00000661 ( + .I(\blk00000003/sig0000029f ), + .O(\blk00000003/sig00000361 ) + ); + INV \blk00000003/blk00000660 ( + .I(\blk00000003/sig00000795 ), + .O(\blk00000003/sig0000080d ) + ); + INV \blk00000003/blk0000065f ( + .I(\blk00000003/sig00000317 ), + .O(\blk00000003/sig00000364 ) + ); + INV \blk00000003/blk0000065e ( + .I(\blk00000003/sig00000313 ), + .O(\blk00000003/sig0000034d ) + ); + INV \blk00000003/blk0000065d ( + .I(\blk00000003/sig000002ec ), + .O(\blk00000003/sig00000318 ) + ); + INV \blk00000003/blk0000065c ( + .I(\blk00000003/sig0000029d ), + .O(\blk00000003/sig00000166 ) + ); + INV \blk00000003/blk0000065b ( + .I(\blk00000003/sig00000159 ), + .O(\blk00000003/sig00000297 ) + ); + INV \blk00000003/blk0000065a ( + .I(\blk00000003/sig00000163 ), + .O(\blk00000003/sig00000164 ) + ); + LUT3 #( + .INIT ( 8'h40 )) + \blk00000003/blk00000659 ( + .I0(\blk00000003/sig00000320 ), + .I1(\blk00000003/sig00000309 ), + .I2(coef_ld), + .O(\blk00000003/sig0000031c ) + ); + LUT5 #( + .INIT ( 32'h4F444444 )) + \blk00000003/blk00000658 ( + .I0(\blk00000003/sig0000031d ), + .I1(\blk00000003/sig00000311 ), + .I2(\blk00000003/sig00000320 ), + .I3(coef_ld), + .I4(\blk00000003/sig00000309 ), + .O(\blk00000003/sig00000314 ) + ); + LUT4 #( + .INIT ( 16'h1000 )) + \blk00000003/blk00000657 ( + .I0(coef_ld), + .I1(\blk00000003/sig0000030b ), + .I2(coef_we), + .I3(\blk00000003/sig00000309 ), + .O(\blk00000003/sig0000031b ) + ); + LUT5 #( + .INIT ( 32'h20AA2020 )) + \blk00000003/blk00000656 ( + .I0(\blk00000003/sig00000309 ), + .I1(\blk00000003/sig0000030b ), + .I2(coef_we), + .I3(\blk00000003/sig00000320 ), + .I4(coef_ld), + .O(\blk00000003/sig0000031a ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk00000655 ( + .I0(\blk00000003/sig0000035c ), + .I1(ce), + .I2(\blk00000003/sig00000311 ), + .I3(\blk00000003/sig000002ea ), + .O(\blk00000003/sig0000083e ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk00000654 ( + .I0(\blk00000003/sig00000363 ), + .I1(ce), + .I2(\blk00000003/sig0000030f ), + .I3(\blk00000003/sig00000354 ), + .O(\blk00000003/sig0000083d ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk00000653 ( + .I0(\blk00000003/sig00000810 ), + .I1(ce), + .I2(\blk00000003/sig000002a5 ), + .I3(\blk00000003/sig000002a7 ), + .O(\blk00000003/sig0000083b ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk00000652 ( + .I0(ce), + .I1(sclr), + .I2(\blk00000003/sig00000813 ), + .O(\blk00000003/sig0000083a ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk00000651 ( + .I0(ce), + .I1(\blk00000003/sig00000320 ), + .I2(\blk00000003/sig00000811 ), + .O(\blk00000003/sig00000839 ) + ); + LUT5 #( + .INIT ( 32'h6AAAAAAA )) + \blk00000003/blk00000650 ( + .I0(\blk00000003/sig00000812 ), + .I1(\blk00000003/sig00000366 ), + .I2(ce), + .I3(nd), + .I4(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig0000083c ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk0000064f ( + .C(clk), + .D(\blk00000003/sig0000083e ), + .Q(\blk00000003/sig0000035c ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk0000064e ( + .C(clk), + .D(\blk00000003/sig0000083d ), + .Q(\blk00000003/sig00000363 ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064d ( + .C(clk), + .D(\blk00000003/sig0000083c ), + .R(sclr), + .Q(\blk00000003/sig00000812 ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064c ( + .C(clk), + .D(\blk00000003/sig0000083b ), + .R(sclr), + .Q(\blk00000003/sig00000810 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000064b ( + .I0(\blk00000003/sig00000798 ), + .O(\blk00000003/sig00000793 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000064a ( + .I0(\blk00000003/sig00000797 ), + .O(\blk00000003/sig00000790 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000649 ( + .I0(\blk00000003/sig00000796 ), + .O(\blk00000003/sig0000078d ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000648 ( + .I0(\blk00000003/sig00000795 ), + .O(\blk00000003/sig0000078a ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000647 ( + .I0(\blk00000003/sig00000394 ), + .O(\blk00000003/sig00000395 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000646 ( + .I0(\blk00000003/sig00000390 ), + .O(\blk00000003/sig00000391 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000645 ( + .I0(\blk00000003/sig0000037c ), + .O(\blk00000003/sig00000376 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000644 ( + .I0(\blk00000003/sig00000812 ), + .O(\blk00000003/sig0000036c ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000643 ( + .I0(\blk00000003/sig0000033d ), + .O(\blk00000003/sig0000033e ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000642 ( + .I0(\blk00000003/sig00000339 ), + .O(\blk00000003/sig0000033a ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000641 ( + .I0(\blk00000003/sig0000032b ), + .O(\blk00000003/sig00000329 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000640 ( + .I0(\blk00000003/sig00000324 ), + .O(\blk00000003/sig00000322 ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk0000063f ( + .I0(\blk00000003/sig00000324 ), + .I1(\blk00000003/sig00000327 ), + .O(\blk00000003/sig000002fd ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000063e ( + .I0(\blk00000003/sig0000029d ), + .O(\blk00000003/sig00000167 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000807 ), + .R(sclr), + .Q(\blk00000003/sig0000080c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000804 ), + .R(sclr), + .Q(\blk00000003/sig0000080b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000801 ), + .R(sclr), + .Q(\blk00000003/sig0000080a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007fe ), + .R(sclr), + .Q(\blk00000003/sig00000809 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000639 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007fb ), + .R(sclr), + .Q(\blk00000003/sig00000808 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000638 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000788 ), + .R(sclr), + .Q(\blk00000003/sig00000799 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000637 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000794 ), + .R(sclr), + .Q(\blk00000003/sig00000798 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000636 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000791 ), + .R(sclr), + .Q(\blk00000003/sig00000797 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000635 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000078e ), + .R(sclr), + .Q(\blk00000003/sig00000796 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000634 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000078b ), + .S(sclr), + .Q(\blk00000003/sig00000795 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000633 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000396 ), + .R(\blk00000003/sig00000399 ), + .Q(\blk00000003/sig00000394 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000632 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000393 ), + .R(\blk00000003/sig00000399 ), + .Q(\blk00000003/sig00000390 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000631 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000389 ), + .S(\blk00000003/sig00000398 ), + .Q(\blk00000003/sig0000038e ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000630 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000038c ), + .S(\blk00000003/sig00000398 ), + .Q(\blk00000003/sig0000038d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000062f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000381 ), + .R(\blk00000003/sig00000398 ), + .Q(\blk00000003/sig00000387 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000062e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000384 ), + .R(\blk00000003/sig00000398 ), + .Q(\blk00000003/sig00000386 ) + ); + FDR \blk00000003/blk0000062d ( + .C(clk), + .D(\blk00000003/sig0000083a ), + .R(ce), + .Q(\blk00000003/sig00000813 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk0000062c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000377 ), + .S(sclr), + .Q(\blk00000003/sig0000037c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000062b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000037a ), + .R(sclr), + .Q(\blk00000003/sig0000037b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000062a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000371 ), + .R(sclr), + .Q(\blk00000003/sig000002b8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000629 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000374 ), + .R(sclr), + .Q(\blk00000003/sig000002b7 ) + ); + FDR \blk00000003/blk00000628 ( + .C(clk), + .D(\blk00000003/sig00000839 ), + .R(ce), + .Q(\blk00000003/sig00000811 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000627 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000033f ), + .R(\blk00000003/sig00000342 ), + .Q(\blk00000003/sig0000033d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000626 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000033c ), + .R(\blk00000003/sig00000342 ), + .Q(\blk00000003/sig00000339 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000625 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000331 ), + .R(coef_ld), + .Q(\blk00000003/sig00000337 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000624 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000334 ), + .R(coef_ld), + .Q(\blk00000003/sig00000336 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000623 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000032d ), + .R(sclr), + .Q(\blk00000003/sig0000032e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000622 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000032a ), + .R(sclr), + .Q(\blk00000003/sig0000032b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000326 ), + .R(coef_ld), + .Q(\blk00000003/sig00000327 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000620 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000323 ), + .R(coef_ld), + .Q(\blk00000003/sig00000324 ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk0000061f ( + .I0(\blk00000003/sig00000163 ), + .I1(sclr), + .O(\blk00000003/sig00000838 ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk0000061e ( + .C(clk), + .D(\blk00000003/sig00000838 ), + .Q(\blk00000003/sig00000163 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000061d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000015b ), + .R(sclr), + .Q(\blk00000003/sig00000159 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk0000061c ( + .I0(\blk00000003/sig00000808 ), + .I1(\blk00000003/sig00000795 ), + .O(\blk00000003/sig000007fa ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk0000061b ( + .I0(\blk00000003/sig00000809 ), + .I1(\blk00000003/sig00000795 ), + .O(\blk00000003/sig000007fd ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk0000061a ( + .I0(\blk00000003/sig0000080a ), + .I1(\blk00000003/sig00000795 ), + .O(\blk00000003/sig00000800 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk00000619 ( + .I0(\blk00000003/sig0000080b ), + .I1(\blk00000003/sig00000795 ), + .O(\blk00000003/sig00000803 ) + ); + LUT3 #( + .INIT ( 8'hDE )) + \blk00000003/blk00000618 ( + .I0(\blk00000003/sig0000080c ), + .I1(\blk00000003/sig00000795 ), + .I2(\blk00000003/sig000002ae ), + .O(\blk00000003/sig00000806 ) + ); + LUT3 #( + .INIT ( 8'h04 )) + \blk00000003/blk00000617 ( + .I0(\blk00000003/sig000002ae ), + .I1(\blk00000003/sig0000004a ), + .I2(\blk00000003/sig00000795 ), + .O(\blk00000003/sig000007f8 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000616 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000df ), + .I3(NlwRenamedSig_OI_dout_2[45]), + .O(\blk00000003/sig000007f6 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000615 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000de ), + .I3(NlwRenamedSig_OI_dout_2[46]), + .O(\blk00000003/sig000007f7 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000614 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e0 ), + .I3(NlwRenamedSig_OI_dout_2[44]), + .O(\blk00000003/sig000007f5 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000613 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e2 ), + .I3(NlwRenamedSig_OI_dout_2[42]), + .O(\blk00000003/sig000007f3 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000612 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e1 ), + .I3(NlwRenamedSig_OI_dout_2[43]), + .O(\blk00000003/sig000007f4 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000611 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e3 ), + .I3(NlwRenamedSig_OI_dout_2[41]), + .O(\blk00000003/sig000007f2 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000610 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e5 ), + .I3(NlwRenamedSig_OI_dout_2[39]), + .O(\blk00000003/sig000007f0 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000060f ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e4 ), + .I3(NlwRenamedSig_OI_dout_2[40]), + .O(\blk00000003/sig000007f1 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000060e ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e6 ), + .I3(NlwRenamedSig_OI_dout_2[38]), + .O(\blk00000003/sig000007ef ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000060d ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e8 ), + .I3(NlwRenamedSig_OI_dout_2[36]), + .O(\blk00000003/sig000007ed ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000060c ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e7 ), + .I3(NlwRenamedSig_OI_dout_2[37]), + .O(\blk00000003/sig000007ee ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000060b ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e9 ), + .I3(NlwRenamedSig_OI_dout_2[35]), + .O(\blk00000003/sig000007ec ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000060a ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000eb ), + .I3(NlwRenamedSig_OI_dout_2[33]), + .O(\blk00000003/sig000007ea ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000609 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000ea ), + .I3(NlwRenamedSig_OI_dout_2[34]), + .O(\blk00000003/sig000007eb ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000608 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000ec ), + .I3(NlwRenamedSig_OI_dout_2[32]), + .O(\blk00000003/sig000007e9 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000607 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000ee ), + .I3(NlwRenamedSig_OI_dout_2[30]), + .O(\blk00000003/sig000007e7 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000606 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000ed ), + .I3(NlwRenamedSig_OI_dout_2[31]), + .O(\blk00000003/sig000007e8 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000605 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000ef ), + .I3(NlwRenamedSig_OI_dout_2[29]), + .O(\blk00000003/sig000007e6 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000604 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f1 ), + .I3(NlwRenamedSig_OI_dout_2[27]), + .O(\blk00000003/sig000007e4 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000603 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f0 ), + .I3(NlwRenamedSig_OI_dout_2[28]), + .O(\blk00000003/sig000007e5 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000602 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f2 ), + .I3(NlwRenamedSig_OI_dout_2[26]), + .O(\blk00000003/sig000007e3 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000601 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f4 ), + .I3(NlwRenamedSig_OI_dout_2[24]), + .O(\blk00000003/sig000007e1 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000600 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f3 ), + .I3(NlwRenamedSig_OI_dout_2[25]), + .O(\blk00000003/sig000007e2 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ff ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f5 ), + .I3(NlwRenamedSig_OI_dout_2[23]), + .O(\blk00000003/sig000007e0 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005fe ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f7 ), + .I3(NlwRenamedSig_OI_dout_2[21]), + .O(\blk00000003/sig000007de ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005fd ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f6 ), + .I3(NlwRenamedSig_OI_dout_2[22]), + .O(\blk00000003/sig000007df ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005fc ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f8 ), + .I3(NlwRenamedSig_OI_dout_2[20]), + .O(\blk00000003/sig000007dd ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005fb ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000fa ), + .I3(NlwRenamedSig_OI_dout_2[18]), + .O(\blk00000003/sig000007db ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005fa ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f9 ), + .I3(NlwRenamedSig_OI_dout_2[19]), + .O(\blk00000003/sig000007dc ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f9 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000fb ), + .I3(NlwRenamedSig_OI_dout_2[17]), + .O(\blk00000003/sig000007da ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f8 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000837 ), + .I3(NlwRenamedSig_OI_dout_2[15]), + .O(\blk00000003/sig000007d8 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f7 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000fc ), + .I3(NlwRenamedSig_OI_dout_2[16]), + .O(\blk00000003/sig000007d9 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f6 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000836 ), + .I3(NlwRenamedSig_OI_dout_2[14]), + .O(\blk00000003/sig000007d7 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f5 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000835 ), + .I3(NlwRenamedSig_OI_dout_2[12]), + .O(\blk00000003/sig000007d5 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f4 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000834 ), + .I3(NlwRenamedSig_OI_dout_2[13]), + .O(\blk00000003/sig000007d6 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f3 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000833 ), + .I3(NlwRenamedSig_OI_dout_2[11]), + .O(\blk00000003/sig000007d4 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f2 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000832 ), + .I3(NlwRenamedSig_OI_dout_2[9]), + .O(\blk00000003/sig000007d2 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f1 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000831 ), + .I3(NlwRenamedSig_OI_dout_2[10]), + .O(\blk00000003/sig000007d3 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f0 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000830 ), + .I3(NlwRenamedSig_OI_dout_2[8]), + .O(\blk00000003/sig000007d1 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ef ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000082f ), + .I3(NlwRenamedSig_OI_dout_2[6]), + .O(\blk00000003/sig000007cf ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ee ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000082e ), + .I3(NlwRenamedSig_OI_dout_2[7]), + .O(\blk00000003/sig000007d0 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ed ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000082d ), + .I3(NlwRenamedSig_OI_dout_2[5]), + .O(\blk00000003/sig000007ce ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ec ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000082c ), + .I3(NlwRenamedSig_OI_dout_2[3]), + .O(\blk00000003/sig000007cc ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005eb ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000082b ), + .I3(NlwRenamedSig_OI_dout_2[4]), + .O(\blk00000003/sig000007cd ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ea ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000082a ), + .I3(NlwRenamedSig_OI_dout_2[2]), + .O(\blk00000003/sig000007cb ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e9 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000829 ), + .I3(NlwRenamedSig_OI_dout_2[1]), + .O(\blk00000003/sig000007ca ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e8 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000134 ), + .I3(NlwRenamedSig_OI_dout_1[46]), + .O(\blk00000003/sig000007c8 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e7 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000828 ), + .I3(NlwRenamedSig_OI_dout_2[0]), + .O(\blk00000003/sig000007c9 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e6 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000135 ), + .I3(NlwRenamedSig_OI_dout_1[45]), + .O(\blk00000003/sig000007c7 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e5 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000137 ), + .I3(NlwRenamedSig_OI_dout_1[43]), + .O(\blk00000003/sig000007c5 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e4 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000136 ), + .I3(NlwRenamedSig_OI_dout_1[44]), + .O(\blk00000003/sig000007c6 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e3 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000138 ), + .I3(NlwRenamedSig_OI_dout_1[42]), + .O(\blk00000003/sig000007c4 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e2 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000013a ), + .I3(NlwRenamedSig_OI_dout_1[40]), + .O(\blk00000003/sig000007c2 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e1 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000139 ), + .I3(NlwRenamedSig_OI_dout_1[41]), + .O(\blk00000003/sig000007c3 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e0 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000013b ), + .I3(NlwRenamedSig_OI_dout_1[39]), + .O(\blk00000003/sig000007c1 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005df ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000013d ), + .I3(NlwRenamedSig_OI_dout_1[37]), + .O(\blk00000003/sig000007bf ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005de ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000013c ), + .I3(NlwRenamedSig_OI_dout_1[38]), + .O(\blk00000003/sig000007c0 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005dd ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000013e ), + .I3(NlwRenamedSig_OI_dout_1[36]), + .O(\blk00000003/sig000007be ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005dc ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000140 ), + .I3(NlwRenamedSig_OI_dout_1[34]), + .O(\blk00000003/sig000007bc ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005db ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000013f ), + .I3(NlwRenamedSig_OI_dout_1[35]), + .O(\blk00000003/sig000007bd ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005da ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000141 ), + .I3(NlwRenamedSig_OI_dout_1[33]), + .O(\blk00000003/sig000007bb ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d9 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000143 ), + .I3(NlwRenamedSig_OI_dout_1[31]), + .O(\blk00000003/sig000007b9 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d8 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000142 ), + .I3(NlwRenamedSig_OI_dout_1[32]), + .O(\blk00000003/sig000007ba ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d7 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000144 ), + .I3(NlwRenamedSig_OI_dout_1[30]), + .O(\blk00000003/sig000007b8 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d6 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000146 ), + .I3(NlwRenamedSig_OI_dout_1[28]), + .O(\blk00000003/sig000007b6 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d5 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000145 ), + .I3(NlwRenamedSig_OI_dout_1[29]), + .O(\blk00000003/sig000007b7 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d4 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000147 ), + .I3(NlwRenamedSig_OI_dout_1[27]), + .O(\blk00000003/sig000007b5 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d3 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000149 ), + .I3(NlwRenamedSig_OI_dout_1[25]), + .O(\blk00000003/sig000007b3 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d2 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000148 ), + .I3(NlwRenamedSig_OI_dout_1[26]), + .O(\blk00000003/sig000007b4 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d1 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000014a ), + .I3(NlwRenamedSig_OI_dout_1[24]), + .O(\blk00000003/sig000007b2 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d0 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000014c ), + .I3(NlwRenamedSig_OI_dout_1[22]), + .O(\blk00000003/sig000007b0 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005cf ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000014b ), + .I3(NlwRenamedSig_OI_dout_1[23]), + .O(\blk00000003/sig000007b1 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ce ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000014d ), + .I3(NlwRenamedSig_OI_dout_1[21]), + .O(\blk00000003/sig000007af ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005cd ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000014f ), + .I3(NlwRenamedSig_OI_dout_1[19]), + .O(\blk00000003/sig000007ad ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005cc ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000014e ), + .I3(NlwRenamedSig_OI_dout_1[20]), + .O(\blk00000003/sig000007ae ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005cb ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000150 ), + .I3(NlwRenamedSig_OI_dout_1[18]), + .O(\blk00000003/sig000007ac ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ca ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000152 ), + .I3(NlwRenamedSig_OI_dout_1[16]), + .O(\blk00000003/sig000007aa ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c9 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000151 ), + .I3(NlwRenamedSig_OI_dout_1[17]), + .O(\blk00000003/sig000007ab ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c8 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000827 ), + .I3(NlwRenamedSig_OI_dout_1[15]), + .O(\blk00000003/sig000007a9 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c7 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000826 ), + .I3(NlwRenamedSig_OI_dout_1[13]), + .O(\blk00000003/sig000007a7 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c6 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000825 ), + .I3(NlwRenamedSig_OI_dout_1[14]), + .O(\blk00000003/sig000007a8 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c5 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000824 ), + .I3(NlwRenamedSig_OI_dout_1[12]), + .O(\blk00000003/sig000007a6 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c4 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000823 ), + .I3(NlwRenamedSig_OI_dout_1[10]), + .O(\blk00000003/sig000007a4 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c3 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000822 ), + .I3(NlwRenamedSig_OI_dout_1[11]), + .O(\blk00000003/sig000007a5 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c2 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000821 ), + .I3(NlwRenamedSig_OI_dout_1[9]), + .O(\blk00000003/sig000007a3 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c1 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000820 ), + .I3(NlwRenamedSig_OI_dout_1[7]), + .O(\blk00000003/sig000007a1 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c0 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000081f ), + .I3(NlwRenamedSig_OI_dout_1[8]), + .O(\blk00000003/sig000007a2 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005bf ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000081e ), + .I3(NlwRenamedSig_OI_dout_1[6]), + .O(\blk00000003/sig000007a0 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005be ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000081d ), + .I3(NlwRenamedSig_OI_dout_1[4]), + .O(\blk00000003/sig0000079e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005bd ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000081c ), + .I3(NlwRenamedSig_OI_dout_1[5]), + .O(\blk00000003/sig0000079f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005bc ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000081b ), + .I3(NlwRenamedSig_OI_dout_1[3]), + .O(\blk00000003/sig0000079d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005bb ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000081a ), + .I3(NlwRenamedSig_OI_dout_1[1]), + .O(\blk00000003/sig0000079b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ba ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000819 ), + .I3(NlwRenamedSig_OI_dout_1[2]), + .O(\blk00000003/sig0000079c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005b9 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000818 ), + .I3(NlwRenamedSig_OI_dout_1[0]), + .O(\blk00000003/sig0000079a ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk000005b8 ( + .I0(\blk00000003/sig00000799 ), + .I1(\blk00000003/sig00000795 ), + .O(\blk00000003/sig00000787 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005b7 ( + .I0(ce), + .I1(\blk00000003/sig000002b0 ), + .O(\blk00000003/sig00000817 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005b6 ( + .I0(ce), + .I1(\blk00000003/sig0000067a ), + .O(\blk00000003/sig00000785 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005b5 ( + .I0(ce), + .I1(\blk00000003/sig00000816 ), + .O(\blk00000003/sig00000744 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005b4 ( + .I0(ce), + .I1(\blk00000003/sig00000815 ), + .O(\blk00000003/sig00000743 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk000005b3 ( + .I0(\blk00000003/sig0000038d ), + .I1(\blk00000003/sig00000397 ), + .O(\blk00000003/sig0000038b ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk000005b2 ( + .I0(\blk00000003/sig0000038e ), + .I1(\blk00000003/sig00000397 ), + .O(\blk00000003/sig00000388 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk000005b1 ( + .I0(\blk00000003/sig00000397 ), + .I1(\blk00000003/sig00000814 ), + .O(\blk00000003/sig00000385 ) + ); + LUT3 #( + .INIT ( 8'hEA )) + \blk00000003/blk000005b0 ( + .I0(\blk00000003/sig00000386 ), + .I1(\blk00000003/sig00000397 ), + .I2(\blk00000003/sig00000814 ), + .O(\blk00000003/sig00000383 ) + ); + LUT3 #( + .INIT ( 8'hDA )) + \blk00000003/blk000005af ( + .I0(\blk00000003/sig00000387 ), + .I1(\blk00000003/sig00000814 ), + .I2(\blk00000003/sig00000397 ), + .O(\blk00000003/sig00000380 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000005ae ( + .I0(sclr), + .I1(\blk00000003/sig00000813 ), + .O(\blk00000003/sig0000037d ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk000005ad ( + .I0(\blk00000003/sig0000037b ), + .I1(\blk00000003/sig000002a7 ), + .O(\blk00000003/sig00000379 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk000005ac ( + .I0(\blk00000003/sig0000036e ), + .I1(nd), + .I2(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig00000375 ) + ); + LUT4 #( + .INIT ( 16'hFF80 )) + \blk00000003/blk000005ab ( + .I0(nd), + .I1(\blk00000003/sig0000036e ), + .I2(NlwRenamedSig_OI_rfd), + .I3(\blk00000003/sig000002b7 ), + .O(\blk00000003/sig00000373 ) + ); + LUT4 #( + .INIT ( 16'hDFA0 )) + \blk00000003/blk000005aa ( + .I0(nd), + .I1(\blk00000003/sig0000036e ), + .I2(NlwRenamedSig_OI_rfd), + .I3(\blk00000003/sig000002b8 ), + .O(\blk00000003/sig00000370 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005a9 ( + .I0(nd), + .I1(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig00000368 ) + ); + LUT3 #( + .INIT ( 8'h09 )) + \blk00000003/blk000005a8 ( + .I0(\blk00000003/sig00000812 ), + .I1(\blk00000003/sig000002b7 ), + .I2(\blk00000003/sig000002b8 ), + .O(\blk00000003/sig0000036b ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk000005a7 ( + .I0(\blk00000003/sig00000317 ), + .I1(\blk00000003/sig00000310 ), + .O(\blk00000003/sig00000362 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk000005a6 ( + .I0(\blk00000003/sig00000317 ), + .I1(\blk00000003/sig0000030f ), + .O(\blk00000003/sig0000035f ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk000005a5 ( + .I0(\blk00000003/sig00000317 ), + .I1(\blk00000003/sig00000313 ), + .O(\blk00000003/sig0000035d ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk000005a4 ( + .I0(\blk00000003/sig00000317 ), + .I1(\blk00000003/sig00000315 ), + .I2(\blk00000003/sig0000031d ), + .O(\blk00000003/sig00000358 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk000005a3 ( + .I0(\blk00000003/sig00000315 ), + .I1(\blk00000003/sig00000313 ), + .I2(\blk00000003/sig00000317 ), + .O(\blk00000003/sig0000035a ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk000005a2 ( + .I0(\blk00000003/sig00000313 ), + .I1(\blk00000003/sig00000310 ), + .O(\blk00000003/sig00000351 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk000005a1 ( + .I0(\blk00000003/sig00000313 ), + .I1(\blk00000003/sig0000030f ), + .O(\blk00000003/sig0000034f ) + ); + LUT3 #( + .INIT ( 8'hBF )) + \blk00000003/blk000005a0 ( + .I0(\blk00000003/sig00000317 ), + .I1(\blk00000003/sig00000313 ), + .I2(\blk00000003/sig0000030d ), + .O(\blk00000003/sig0000034a ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000059f ( + .I0(\blk00000003/sig00000312 ), + .I1(\blk00000003/sig00000313 ), + .O(\blk00000003/sig00000346 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk0000059e ( + .I0(\blk00000003/sig00000311 ), + .I1(\blk00000003/sig00000313 ), + .I2(\blk00000003/sig0000031d ), + .O(\blk00000003/sig00000348 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk0000059d ( + .I0(\blk00000003/sig00000320 ), + .I1(\blk00000003/sig00000811 ), + .O(\blk00000003/sig00000341 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk0000059c ( + .I0(\blk00000003/sig000002f3 ), + .I1(coef_we), + .I2(\blk00000003/sig000002f9 ), + .O(\blk00000003/sig00000335 ) + ); + LUT4 #( + .INIT ( 16'hEAAA )) + \blk00000003/blk0000059b ( + .I0(\blk00000003/sig00000336 ), + .I1(coef_we), + .I2(\blk00000003/sig000002f9 ), + .I3(\blk00000003/sig000002f3 ), + .O(\blk00000003/sig00000333 ) + ); + LUT4 #( + .INIT ( 16'hDAAA )) + \blk00000003/blk0000059a ( + .I0(\blk00000003/sig00000337 ), + .I1(\blk00000003/sig000002f3 ), + .I2(\blk00000003/sig000002f9 ), + .I3(coef_we), + .O(\blk00000003/sig00000330 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000599 ( + .I0(\blk00000003/sig0000032e ), + .I1(\blk00000003/sig00000294 ), + .O(\blk00000003/sig0000032c ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000598 ( + .I0(\blk00000003/sig00000327 ), + .I1(coef_we), + .O(\blk00000003/sig00000325 ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000597 ( + .I0(coef_ld), + .I1(\blk00000003/sig00000320 ), + .O(\blk00000003/sig00000316 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000596 ( + .I0(coef_we), + .I1(\blk00000003/sig000002f9 ), + .O(\blk00000003/sig000002f4 ) + ); + LUT3 #( + .INIT ( 8'h40 )) + \blk00000003/blk00000595 ( + .I0(coef_ld), + .I1(coef_we), + .I2(\blk00000003/sig0000030b ), + .O(\blk00000003/sig0000031e ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000594 ( + .I0(\blk00000003/sig00000336 ), + .I1(\blk00000003/sig00000337 ), + .O(\blk00000003/sig00000301 ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000593 ( + .I0(\blk00000003/sig00000324 ), + .I1(\blk00000003/sig00000327 ), + .O(\blk00000003/sig000002fc ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000592 ( + .I0(\blk00000003/sig00000337 ), + .I1(\blk00000003/sig00000336 ), + .O(\blk00000003/sig000002f7 ) + ); + LUT3 #( + .INIT ( 8'hBF )) + \blk00000003/blk00000591 ( + .I0(\blk00000003/sig00000320 ), + .I1(\blk00000003/sig00000309 ), + .I2(coef_ld), + .O(\blk00000003/sig000002f1 ) + ); + LUT3 #( + .INIT ( 8'hBF )) + \blk00000003/blk00000590 ( + .I0(\blk00000003/sig0000030b ), + .I1(coef_we), + .I2(\blk00000003/sig00000309 ), + .O(\blk00000003/sig000002ee ) + ); + LUT5 #( + .INIT ( 32'hFFFF2AAA )) + \blk00000003/blk0000058f ( + .I0(\blk00000003/sig0000030b ), + .I1(coef_we), + .I2(\blk00000003/sig000002f9 ), + .I3(\blk00000003/sig000002f3 ), + .I4(coef_ld), + .O(\blk00000003/sig0000030a ) + ); + LUT4 #( + .INIT ( 16'hFF8A )) + \blk00000003/blk0000058e ( + .I0(\blk00000003/sig00000309 ), + .I1(\blk00000003/sig0000030b ), + .I2(coef_we), + .I3(coef_ld), + .O(\blk00000003/sig00000308 ) + ); + LUT3 #( + .INIT ( 8'h80 )) + \blk00000003/blk0000058d ( + .I0(nd), + .I1(\blk00000003/sig00000366 ), + .I2(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig000002b6 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000058c ( + .I0(\blk00000003/sig0000016b ), + .I1(\blk00000003/sig000002ae ), + .O(\blk00000003/sig000002b4 ) + ); + LUT3 #( + .INIT ( 8'h10 )) + \blk00000003/blk0000058b ( + .I0(\blk00000003/sig0000016b ), + .I1(\blk00000003/sig00000795 ), + .I2(\blk00000003/sig000002ae ), + .O(\blk00000003/sig0000016c ) + ); + LUT3 #( + .INIT ( 8'hEA )) + \blk00000003/blk0000058a ( + .I0(sclr), + .I1(ce), + .I2(\blk00000003/sig00000795 ), + .O(\blk00000003/sig000002af ) + ); + LUT2 #( + .INIT ( 4'hD )) + \blk00000003/blk00000589 ( + .I0(NlwRenamedSig_OI_rfd), + .I1(nd), + .O(\blk00000003/sig0000029b ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000588 ( + .I0(\blk00000003/sig0000032b ), + .I1(\blk00000003/sig0000032e ), + .O(\blk00000003/sig00000295 ) + ); + LUT5 #( + .INIT ( 32'h00002000 )) + \blk00000003/blk00000587 ( + .I0(\blk00000003/sig00000808 ), + .I1(\blk00000003/sig00000809 ), + .I2(\blk00000003/sig0000080a ), + .I3(\blk00000003/sig0000080b ), + .I4(\blk00000003/sig0000080c ), + .O(\blk00000003/sig0000016f ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk00000586 ( + .I0(\blk00000003/sig000002a7 ), + .I1(\blk00000003/sig00000294 ), + .I2(\blk00000003/sig000002b5 ), + .O(\blk00000003/sig000002a6 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000585 ( + .I0(\blk00000003/sig0000037c ), + .I1(\blk00000003/sig000002b3 ), + .O(\blk00000003/sig000002ac ) + ); + LUT3 #( + .INIT ( 8'hD8 )) + \blk00000003/blk00000584 ( + .I0(ce), + .I1(\blk00000003/sig0000080e ), + .I2(\blk00000003/sig00000161 ), + .O(\blk00000003/sig00000160 ) + ); + LUT3 #( + .INIT ( 8'h72 )) + \blk00000003/blk00000583 ( + .I0(ce), + .I1(\blk00000003/sig0000080e ), + .I2(\blk00000003/sig0000015f ), + .O(\blk00000003/sig0000015e ) + ); + LUT4 #( + .INIT ( 16'h8F88 )) + \blk00000003/blk00000582 ( + .I0(NlwRenamedSig_OI_rfd), + .I1(nd), + .I2(\blk00000003/sig000002a9 ), + .I3(\blk00000003/sig00000296 ), + .O(\blk00000003/sig000002a8 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000581 ( + .I0(\blk00000003/sig00000159 ), + .I1(\blk00000003/sig00000296 ), + .O(\blk00000003/sig0000015a ) + ); + LUT5 #( + .INIT ( 32'hCEEE8AAA )) + \blk00000003/blk00000580 ( + .I0(\blk00000003/sig00000294 ), + .I1(\blk00000003/sig000002b5 ), + .I2(\blk00000003/sig000002a5 ), + .I3(\blk00000003/sig000002a7 ), + .I4(\blk00000003/sig000002a3 ), + .O(\blk00000003/sig000002a4 ) + ); + LUT4 #( + .INIT ( 16'h8808 )) + \blk00000003/blk0000057f ( + .I0(\blk00000003/sig000002a5 ), + .I1(\blk00000003/sig00000810 ), + .I2(\blk00000003/sig000002a7 ), + .I3(\blk00000003/sig000002b5 ), + .O(\blk00000003/sig000002a0 ) + ); + LUT4 #( + .INIT ( 16'h5540 )) + \blk00000003/blk0000057e ( + .I0(\blk00000003/sig000002b5 ), + .I1(\blk00000003/sig000002a5 ), + .I2(\blk00000003/sig000002a7 ), + .I3(\blk00000003/sig000002a3 ), + .O(\blk00000003/sig000002a2 ) + ); + LUT3 #( + .INIT ( 8'h9A )) + \blk00000003/blk0000057d ( + .I0(\blk00000003/sig0000037b ), + .I1(\blk00000003/sig0000037c ), + .I2(\blk00000003/sig000002b3 ), + .O(\blk00000003/sig000002aa ) + ); + LUT4 #( + .INIT ( 16'hFDA8 )) + \blk00000003/blk0000057c ( + .I0(ce), + .I1(\blk00000003/sig0000080e ), + .I2(\blk00000003/sig0000080f ), + .I3(\blk00000003/sig0000015d ), + .O(\blk00000003/sig0000015c ) + ); + MUXCY \blk00000003/blk0000057b ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ac ), + .S(\blk00000003/sig0000080d ), + .O(\blk00000003/sig00000805 ) + ); + MUXCY_L \blk00000003/blk0000057a ( + .CI(\blk00000003/sig00000805 ), + .DI(\blk00000003/sig0000080c ), + .S(\blk00000003/sig00000806 ), + .LO(\blk00000003/sig00000802 ) + ); + MUXCY_L \blk00000003/blk00000579 ( + .CI(\blk00000003/sig00000802 ), + .DI(\blk00000003/sig0000080b ), + .S(\blk00000003/sig00000803 ), + .LO(\blk00000003/sig000007ff ) + ); + MUXCY_L \blk00000003/blk00000578 ( + .CI(\blk00000003/sig000007ff ), + .DI(\blk00000003/sig0000080a ), + .S(\blk00000003/sig00000800 ), + .LO(\blk00000003/sig000007fc ) + ); + MUXCY_L \blk00000003/blk00000577 ( + .CI(\blk00000003/sig000007fc ), + .DI(\blk00000003/sig00000809 ), + .S(\blk00000003/sig000007fd ), + .LO(\blk00000003/sig000007f9 ) + ); + MUXCY_D \blk00000003/blk00000576 ( + .CI(\blk00000003/sig000007f9 ), + .DI(\blk00000003/sig00000808 ), + .S(\blk00000003/sig000007fa ), + .O(\NLW_blk00000003/blk00000576_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk00000576_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000575 ( + .CI(\blk00000003/sig00000805 ), + .LI(\blk00000003/sig00000806 ), + .O(\blk00000003/sig00000807 ) + ); + XORCY \blk00000003/blk00000574 ( + .CI(\blk00000003/sig00000802 ), + .LI(\blk00000003/sig00000803 ), + .O(\blk00000003/sig00000804 ) + ); + XORCY \blk00000003/blk00000573 ( + .CI(\blk00000003/sig000007ff ), + .LI(\blk00000003/sig00000800 ), + .O(\blk00000003/sig00000801 ) + ); + XORCY \blk00000003/blk00000572 ( + .CI(\blk00000003/sig000007fc ), + .LI(\blk00000003/sig000007fd ), + .O(\blk00000003/sig000007fe ) + ); + XORCY \blk00000003/blk00000571 ( + .CI(\blk00000003/sig000007f9 ), + .LI(\blk00000003/sig000007fa ), + .O(\blk00000003/sig000007fb ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000570 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007f8 ), + .R(sclr), + .Q(\blk00000003/sig0000004a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000056f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007f7 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[46]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000056e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007f6 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[45]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000056d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007f5 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[44]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000056c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007f4 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[43]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000056b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007f3 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[42]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000056a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007f2 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[41]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007f1 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[40]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000568 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007f0 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[39]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000567 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ef ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[38]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000566 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ee ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[37]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000565 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ed ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[36]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000564 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ec ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[35]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000563 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007eb ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[34]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000562 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ea ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[33]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000561 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e9 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[32]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000560 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e8 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[31]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000055f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e7 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[30]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000055e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e6 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[29]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000055d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e5 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[28]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000055c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e4 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[27]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000055b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e3 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[26]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000055a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e2 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[25]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000559 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e1 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[24]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000558 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e0 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[23]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000557 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007df ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[22]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000556 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007de ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[21]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000555 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007dd ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[20]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000554 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007dc ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[19]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000553 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007db ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[18]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000552 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007da ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[17]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000551 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d9 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[16]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000550 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d8 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[15]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d7 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[14]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d6 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[13]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d5 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[12]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d4 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[11]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d3 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[10]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d2 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[9]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000549 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d1 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[8]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000548 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d0 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[7]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000547 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007cf ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[6]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000546 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ce ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[5]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000545 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007cd ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[4]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000544 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007cc ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[3]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000543 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007cb ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[2]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000542 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ca ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[1]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000541 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c9 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[0]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000540 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c8 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[46]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000053f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c7 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[45]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000053e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c6 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[44]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000053d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c5 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[43]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000053c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c4 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[42]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000053b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c3 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[41]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000053a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c2 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[40]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000539 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c1 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[39]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000538 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c0 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[38]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000537 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007bf ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[37]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000536 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007be ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[36]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000535 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007bd ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[35]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000534 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007bc ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[34]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000533 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007bb ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[33]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000532 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ba ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[32]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000531 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b9 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[31]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b8 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[30]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b7 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[29]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b6 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[28]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b5 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[27]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b4 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[26]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b3 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[25]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b2 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[24]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000529 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b1 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[23]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000528 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b0 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[22]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000527 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007af ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[21]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000526 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ae ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[20]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000525 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ad ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[19]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000524 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ac ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[18]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000523 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ab ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[17]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000522 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007aa ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[16]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000521 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a9 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[15]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000520 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a8 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[14]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a7 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[13]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a6 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[12]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a5 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[11]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a4 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[10]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a3 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[9]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a2 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[8]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000519 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a1 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[7]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000518 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a0 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[6]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000517 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000079f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[5]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000516 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000079e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[4]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000515 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000079d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[3]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000514 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000079c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[2]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000513 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000079b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[1]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000512 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000079a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[0]) + ); + MUXCY_L \blk00000003/blk00000511 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000799 ), + .S(\blk00000003/sig00000787 ), + .LO(\blk00000003/sig00000792 ) + ); + MUXCY_L \blk00000003/blk00000510 ( + .CI(\blk00000003/sig00000792 ), + .DI(\blk00000003/sig00000798 ), + .S(\blk00000003/sig00000793 ), + .LO(\blk00000003/sig0000078f ) + ); + MUXCY_L \blk00000003/blk0000050f ( + .CI(\blk00000003/sig0000078f ), + .DI(\blk00000003/sig00000797 ), + .S(\blk00000003/sig00000790 ), + .LO(\blk00000003/sig0000078c ) + ); + MUXCY_L \blk00000003/blk0000050e ( + .CI(\blk00000003/sig0000078c ), + .DI(\blk00000003/sig00000796 ), + .S(\blk00000003/sig0000078d ), + .LO(\blk00000003/sig00000789 ) + ); + MUXCY_D \blk00000003/blk0000050d ( + .CI(\blk00000003/sig00000789 ), + .DI(\blk00000003/sig00000795 ), + .S(\blk00000003/sig0000078a ), + .O(\NLW_blk00000003/blk0000050d_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk0000050d_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk0000050c ( + .CI(\blk00000003/sig00000792 ), + .LI(\blk00000003/sig00000793 ), + .O(\blk00000003/sig00000794 ) + ); + XORCY \blk00000003/blk0000050b ( + .CI(\blk00000003/sig0000078f ), + .LI(\blk00000003/sig00000790 ), + .O(\blk00000003/sig00000791 ) + ); + XORCY \blk00000003/blk0000050a ( + .CI(\blk00000003/sig0000078c ), + .LI(\blk00000003/sig0000078d ), + .O(\blk00000003/sig0000078e ) + ); + XORCY \blk00000003/blk00000509 ( + .CI(\blk00000003/sig00000789 ), + .LI(\blk00000003/sig0000078a ), + .O(\blk00000003/sig0000078b ) + ); + XORCY \blk00000003/blk00000508 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000787 ), + .O(\blk00000003/sig00000788 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e0 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005e0 ), + .R(sclr), + .Q(\blk00000003/sig0000069c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004df ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005df ), + .R(sclr), + .Q(\blk00000003/sig0000069b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004de ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005de ), + .R(sclr), + .Q(\blk00000003/sig0000069a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004dd ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005dd ), + .R(sclr), + .Q(\blk00000003/sig00000699 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004dc ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005dc ), + .R(sclr), + .Q(\blk00000003/sig00000698 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004db ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005db ), + .R(sclr), + .Q(\blk00000003/sig00000697 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004da ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005da ), + .R(sclr), + .Q(\blk00000003/sig00000696 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d9 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005d9 ), + .R(sclr), + .Q(\blk00000003/sig00000695 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d8 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005d8 ), + .R(sclr), + .Q(\blk00000003/sig00000694 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d7 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005d7 ), + .R(sclr), + .Q(\blk00000003/sig00000693 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d6 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005d6 ), + .R(sclr), + .Q(\blk00000003/sig00000692 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d5 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005d5 ), + .R(sclr), + .Q(\blk00000003/sig00000691 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d4 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005d4 ), + .R(sclr), + .Q(\blk00000003/sig00000690 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d3 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005d3 ), + .R(sclr), + .Q(\blk00000003/sig0000068f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d2 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005d2 ), + .R(sclr), + .Q(\blk00000003/sig0000068e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d1 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005d1 ), + .R(sclr), + .Q(\blk00000003/sig0000068d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d0 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000050c ), + .R(sclr), + .Q(\blk00000003/sig0000074c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004cf ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000050b ), + .R(sclr), + .Q(\blk00000003/sig0000074b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ce ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000050a ), + .R(sclr), + .Q(\blk00000003/sig0000074a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004cd ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000509 ), + .R(sclr), + .Q(\blk00000003/sig00000749 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004cc ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000508 ), + .R(sclr), + .Q(\blk00000003/sig00000748 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004cb ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000507 ), + .R(sclr), + .Q(\blk00000003/sig00000747 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ca ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000506 ), + .R(sclr), + .Q(\blk00000003/sig00000746 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c9 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000505 ), + .R(sclr), + .Q(\blk00000003/sig00000745 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c8 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000630 ), + .R(sclr), + .Q(\blk00000003/sig000006ac ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c7 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000062f ), + .R(sclr), + .Q(\blk00000003/sig000006ab ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c6 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000062e ), + .R(sclr), + .Q(\blk00000003/sig000006aa ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c5 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000062d ), + .R(sclr), + .Q(\blk00000003/sig000006a9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c4 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000062c ), + .R(sclr), + .Q(\blk00000003/sig000006a8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c3 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000062b ), + .R(sclr), + .Q(\blk00000003/sig000006a7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c2 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000062a ), + .R(sclr), + .Q(\blk00000003/sig000006a6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c1 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000629 ), + .R(sclr), + .Q(\blk00000003/sig000006a5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c0 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000628 ), + .R(sclr), + .Q(\blk00000003/sig000006a4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004bf ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000627 ), + .R(sclr), + .Q(\blk00000003/sig000006a3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000626 ), + .R(sclr), + .Q(\blk00000003/sig000006a2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004bd ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000625 ), + .R(sclr), + .Q(\blk00000003/sig000006a1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004bc ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000624 ), + .R(sclr), + .Q(\blk00000003/sig000006a0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004bb ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000623 ), + .R(sclr), + .Q(\blk00000003/sig0000069f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ba ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000622 ), + .R(sclr), + .Q(\blk00000003/sig0000069e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b9 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000621 ), + .R(sclr), + .Q(\blk00000003/sig0000069d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b8 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000055e ), + .R(sclr), + .Q(\blk00000003/sig00000754 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b7 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000055d ), + .R(sclr), + .Q(\blk00000003/sig00000753 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000055c ), + .R(sclr), + .Q(\blk00000003/sig00000752 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b5 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000055b ), + .R(sclr), + .Q(\blk00000003/sig00000751 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b4 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000055a ), + .R(sclr), + .Q(\blk00000003/sig00000750 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b3 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000559 ), + .R(sclr), + .Q(\blk00000003/sig0000074f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b2 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000558 ), + .R(sclr), + .Q(\blk00000003/sig0000074e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b1 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000557 ), + .R(sclr), + .Q(\blk00000003/sig0000074d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b0 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000566 ), + .R(sclr), + .Q(\blk00000003/sig00000774 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004af ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000565 ), + .R(sclr), + .Q(\blk00000003/sig00000773 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ae ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000564 ), + .R(sclr), + .Q(\blk00000003/sig00000772 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ad ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000563 ), + .R(sclr), + .Q(\blk00000003/sig00000771 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ac ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000562 ), + .R(sclr), + .Q(\blk00000003/sig00000770 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ab ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000561 ), + .R(sclr), + .Q(\blk00000003/sig0000076f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004aa ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000560 ), + .R(sclr), + .Q(\blk00000003/sig0000076e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a9 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000055f ), + .R(sclr), + .Q(\blk00000003/sig0000076d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a8 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000004d4 ), + .R(sclr), + .Q(\blk00000003/sig0000075c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a7 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000004d3 ), + .R(sclr), + .Q(\blk00000003/sig0000075b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a6 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000004d2 ), + .R(sclr), + .Q(\blk00000003/sig0000075a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a5 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000004d1 ), + .R(sclr), + .Q(\blk00000003/sig00000759 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000004d0 ), + .R(sclr), + .Q(\blk00000003/sig00000758 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a3 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000004cf ), + .R(sclr), + .Q(\blk00000003/sig00000757 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a2 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000004ce ), + .R(sclr), + .Q(\blk00000003/sig00000756 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a1 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000004cd ), + .R(sclr), + .Q(\blk00000003/sig00000755 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a0 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000588 ), + .R(sclr), + .Q(\blk00000003/sig00000784 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049f ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000587 ), + .R(sclr), + .Q(\blk00000003/sig00000783 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049e ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000586 ), + .R(sclr), + .Q(\blk00000003/sig00000782 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049d ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000585 ), + .R(sclr), + .Q(\blk00000003/sig00000781 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049c ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000584 ), + .R(sclr), + .Q(\blk00000003/sig00000780 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049b ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000583 ), + .R(sclr), + .Q(\blk00000003/sig0000077f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049a ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000582 ), + .R(sclr), + .Q(\blk00000003/sig0000077e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000499 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000581 ), + .R(sclr), + .Q(\blk00000003/sig0000077d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000498 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000526 ), + .R(sclr), + .Q(\blk00000003/sig00000764 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000497 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000525 ), + .R(sclr), + .Q(\blk00000003/sig00000763 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000496 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000524 ), + .R(sclr), + .Q(\blk00000003/sig00000762 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000495 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000523 ), + .R(sclr), + .Q(\blk00000003/sig00000761 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000494 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000522 ), + .R(sclr), + .Q(\blk00000003/sig00000760 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000493 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000521 ), + .R(sclr), + .Q(\blk00000003/sig0000075f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000492 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000520 ), + .R(sclr), + .Q(\blk00000003/sig0000075e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000491 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig0000051f ), + .R(sclr), + .Q(\blk00000003/sig0000075d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000385 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000640 ), + .R(sclr), + .Q(\blk00000003/sig000006ec ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000063f ), + .R(sclr), + .Q(\blk00000003/sig000006eb ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000383 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000063e ), + .R(sclr), + .Q(\blk00000003/sig000006ea ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000382 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000063d ), + .R(sclr), + .Q(\blk00000003/sig000006e9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000381 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000063c ), + .R(sclr), + .Q(\blk00000003/sig000006e8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000380 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000063b ), + .R(sclr), + .Q(\blk00000003/sig000006e7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037f ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000063a ), + .R(sclr), + .Q(\blk00000003/sig000006e6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037e ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000639 ), + .R(sclr), + .Q(\blk00000003/sig000006e5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037d ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000638 ), + .R(sclr), + .Q(\blk00000003/sig000006e4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037c ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000637 ), + .R(sclr), + .Q(\blk00000003/sig000006e3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000636 ), + .R(sclr), + .Q(\blk00000003/sig000006e2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037a ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000635 ), + .R(sclr), + .Q(\blk00000003/sig000006e1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000379 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000634 ), + .R(sclr), + .Q(\blk00000003/sig000006e0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000378 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000633 ), + .R(sclr), + .Q(\blk00000003/sig000006df ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000377 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000632 ), + .R(sclr), + .Q(\blk00000003/sig000006de ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000376 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000631 ), + .R(sclr), + .Q(\blk00000003/sig000006dd ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000375 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005a0 ), + .R(sclr), + .Q(\blk00000003/sig000006bc ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000374 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig0000059f ), + .R(sclr), + .Q(\blk00000003/sig000006bb ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000373 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig0000059e ), + .R(sclr), + .Q(\blk00000003/sig000006ba ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000372 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig0000059d ), + .R(sclr), + .Q(\blk00000003/sig000006b9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig0000059c ), + .R(sclr), + .Q(\blk00000003/sig000006b8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000370 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig0000059b ), + .R(sclr), + .Q(\blk00000003/sig000006b7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036f ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig0000059a ), + .R(sclr), + .Q(\blk00000003/sig000006b6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036e ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000599 ), + .R(sclr), + .Q(\blk00000003/sig000006b5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000598 ), + .R(sclr), + .Q(\blk00000003/sig000006b4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036c ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000597 ), + .R(sclr), + .Q(\blk00000003/sig000006b3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036b ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000596 ), + .R(sclr), + .Q(\blk00000003/sig000006b2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036a ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000595 ), + .R(sclr), + .Q(\blk00000003/sig000006b1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000369 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000594 ), + .R(sclr), + .Q(\blk00000003/sig000006b0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000368 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000593 ), + .R(sclr), + .Q(\blk00000003/sig000006af ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000367 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000592 ), + .R(sclr), + .Q(\blk00000003/sig000006ae ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000366 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000591 ), + .R(sclr), + .Q(\blk00000003/sig000006ad ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000365 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000660 ), + .R(sclr), + .Q(\blk00000003/sig0000070c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000364 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000065f ), + .R(sclr), + .Q(\blk00000003/sig0000070b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000363 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000065e ), + .R(sclr), + .Q(\blk00000003/sig0000070a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000362 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000065d ), + .R(sclr), + .Q(\blk00000003/sig00000709 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000361 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000065c ), + .R(sclr), + .Q(\blk00000003/sig00000708 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000360 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000065b ), + .R(sclr), + .Q(\blk00000003/sig00000707 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035f ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000065a ), + .R(sclr), + .Q(\blk00000003/sig00000706 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035e ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000659 ), + .R(sclr), + .Q(\blk00000003/sig00000705 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035d ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000658 ), + .R(sclr), + .Q(\blk00000003/sig00000704 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035c ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000657 ), + .R(sclr), + .Q(\blk00000003/sig00000703 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035b ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000656 ), + .R(sclr), + .Q(\blk00000003/sig00000702 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035a ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000655 ), + .R(sclr), + .Q(\blk00000003/sig00000701 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000359 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000654 ), + .R(sclr), + .Q(\blk00000003/sig00000700 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000358 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000653 ), + .R(sclr), + .Q(\blk00000003/sig000006ff ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000357 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000652 ), + .R(sclr), + .Q(\blk00000003/sig000006fe ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000356 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000651 ), + .R(sclr), + .Q(\blk00000003/sig000006fd ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000355 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005f0 ), + .R(sclr), + .Q(\blk00000003/sig000006cc ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000354 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005ef ), + .R(sclr), + .Q(\blk00000003/sig000006cb ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000353 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005ee ), + .R(sclr), + .Q(\blk00000003/sig000006ca ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000352 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005ed ), + .R(sclr), + .Q(\blk00000003/sig000006c9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005ec ), + .R(sclr), + .Q(\blk00000003/sig000006c8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000350 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005eb ), + .R(sclr), + .Q(\blk00000003/sig000006c7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034f ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005ea ), + .R(sclr), + .Q(\blk00000003/sig000006c6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034e ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005e9 ), + .R(sclr), + .Q(\blk00000003/sig000006c5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034d ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005e8 ), + .R(sclr), + .Q(\blk00000003/sig000006c4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034c ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005e7 ), + .R(sclr), + .Q(\blk00000003/sig000006c3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034b ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005e6 ), + .R(sclr), + .Q(\blk00000003/sig000006c2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005e5 ), + .R(sclr), + .Q(\blk00000003/sig000006c1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000349 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005e4 ), + .R(sclr), + .Q(\blk00000003/sig000006c0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005e3 ), + .R(sclr), + .Q(\blk00000003/sig000006bf ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000347 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005e2 ), + .R(sclr), + .Q(\blk00000003/sig000006be ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000346 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005e1 ), + .R(sclr), + .Q(\blk00000003/sig000006bd ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000038e ), + .R(sclr), + .Q(\blk00000003/sig00000685 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000038d ), + .R(sclr), + .Q(\blk00000003/sig00000683 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000390 ), + .R(sclr), + .Q(\blk00000003/sig00000681 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000394 ), + .R(sclr), + .Q(\blk00000003/sig0000067f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000039a ), + .R(sclr), + .Q(\blk00000003/sig0000067d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000068c ), + .R(sclr), + .Q(\blk00000003/sig0000067b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000129 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000068b ), + .R(sclr), + .Q(\blk00000003/sig00000679 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000128 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000387 ), + .R(sclr), + .Q(\blk00000003/sig00000689 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000127 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000386 ), + .R(sclr), + .Q(\blk00000003/sig00000687 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000126 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000689 ), + .R(sclr), + .Q(\blk00000003/sig0000068a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000125 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000687 ), + .R(sclr), + .Q(\blk00000003/sig00000688 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000124 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000685 ), + .R(sclr), + .Q(\blk00000003/sig00000686 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000123 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000683 ), + .R(sclr), + .Q(\blk00000003/sig00000684 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000122 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000681 ), + .R(sclr), + .Q(\blk00000003/sig00000682 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000121 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000067f ), + .R(sclr), + .Q(\blk00000003/sig00000680 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000067d ), + .R(sclr), + .Q(\blk00000003/sig0000067e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000067b ), + .R(sclr), + .Q(\blk00000003/sig0000067c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000679 ), + .R(sclr), + .Q(\blk00000003/sig0000067a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000677 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000678 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000307 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000677 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000673 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000676 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000672 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000675 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000671 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000674 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000118 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000340 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000673 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000033d ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000672 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000116 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000339 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000671 ) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000115 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000115_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000115_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000651 , \blk00000003/sig00000652 , \blk00000003/sig00000653 , +\blk00000003/sig00000654 , \blk00000003/sig00000655 , \blk00000003/sig00000656 , \blk00000003/sig00000657 , \blk00000003/sig00000658 , +\blk00000003/sig00000659 , \blk00000003/sig0000065a , \blk00000003/sig0000065b , \blk00000003/sig0000065c , \blk00000003/sig0000065d , +\blk00000003/sig0000065e , \blk00000003/sig0000065f , \blk00000003/sig00000660 }), + .BCOUT({\NLW_blk00000003/blk00000115_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000115_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000115_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000115_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000115_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000115_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000115_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000115_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000115_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000115_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000115_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000115_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000115_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000115_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000115_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000115_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000115_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000115_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig0000049d , \blk00000003/sig0000049e , \blk00000003/sig0000049f , \blk00000003/sig000004a0 , \blk00000003/sig000004a1 , +\blk00000003/sig000004a2 , \blk00000003/sig000004a3 , \blk00000003/sig000004a4 , \blk00000003/sig000004a5 , \blk00000003/sig000004a6 , +\blk00000003/sig000004a7 , \blk00000003/sig000004a8 , \blk00000003/sig000004a9 , \blk00000003/sig000004aa , \blk00000003/sig000004ab , +\blk00000003/sig000004ac , \blk00000003/sig000004ad , \blk00000003/sig000004ae , \blk00000003/sig000004af , \blk00000003/sig000004b0 , +\blk00000003/sig000004b1 , \blk00000003/sig000004b2 , \blk00000003/sig000004b3 , \blk00000003/sig000004b4 , \blk00000003/sig000004b5 , +\blk00000003/sig000004b6 , \blk00000003/sig000004b7 , \blk00000003/sig000004b8 , \blk00000003/sig000004b9 , \blk00000003/sig000004ba , +\blk00000003/sig000004bb , \blk00000003/sig000004bc , \blk00000003/sig000004bd , \blk00000003/sig000004be , \blk00000003/sig000004bf , +\blk00000003/sig000004c0 , \blk00000003/sig000004c1 , \blk00000003/sig000004c2 , \blk00000003/sig000004c3 , \blk00000003/sig000004c4 , +\blk00000003/sig000004c5 , \blk00000003/sig000004c6 , \blk00000003/sig000004c7 , \blk00000003/sig000004c8 , \blk00000003/sig000004c9 , +\blk00000003/sig000004ca , \blk00000003/sig000004cb , \blk00000003/sig000004cc }), + .C({\NLW_blk00000003/blk00000115_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000115_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000661 , \blk00000003/sig00000662 , \blk00000003/sig00000663 , +\blk00000003/sig00000664 , \blk00000003/sig00000665 , \blk00000003/sig00000666 , \blk00000003/sig00000667 , \blk00000003/sig00000668 , +\blk00000003/sig00000669 , \blk00000003/sig0000066a , \blk00000003/sig0000066b , \blk00000003/sig0000066c , \blk00000003/sig0000066d , +\blk00000003/sig0000066e , \blk00000003/sig0000066f , \blk00000003/sig00000670 }), + .PCOUT({\blk00000003/sig000005f1 , \blk00000003/sig000005f2 , \blk00000003/sig000005f3 , \blk00000003/sig000005f4 , \blk00000003/sig000005f5 , +\blk00000003/sig000005f6 , \blk00000003/sig000005f7 , \blk00000003/sig000005f8 , \blk00000003/sig000005f9 , \blk00000003/sig000005fa , +\blk00000003/sig000005fb , \blk00000003/sig000005fc , \blk00000003/sig000005fd , \blk00000003/sig000005fe , \blk00000003/sig000005ff , +\blk00000003/sig00000600 , \blk00000003/sig00000601 , \blk00000003/sig00000602 , \blk00000003/sig00000603 , \blk00000003/sig00000604 , +\blk00000003/sig00000605 , \blk00000003/sig00000606 , \blk00000003/sig00000607 , \blk00000003/sig00000608 , \blk00000003/sig00000609 , +\blk00000003/sig0000060a , \blk00000003/sig0000060b , \blk00000003/sig0000060c , \blk00000003/sig0000060d , \blk00000003/sig0000060e , +\blk00000003/sig0000060f , \blk00000003/sig00000610 , \blk00000003/sig00000611 , \blk00000003/sig00000612 , \blk00000003/sig00000613 , +\blk00000003/sig00000614 , \blk00000003/sig00000615 , \blk00000003/sig00000616 , \blk00000003/sig00000617 , \blk00000003/sig00000618 , +\blk00000003/sig00000619 , \blk00000003/sig0000061a , \blk00000003/sig0000061b , \blk00000003/sig0000061c , \blk00000003/sig0000061d , +\blk00000003/sig0000061e , \blk00000003/sig0000061f , \blk00000003/sig00000620 }), + .A({\blk00000003/sig0000056f , \blk00000003/sig00000570 , \blk00000003/sig00000571 , \blk00000003/sig00000572 , \blk00000003/sig00000573 , +\blk00000003/sig00000574 , \blk00000003/sig00000575 , \blk00000003/sig00000576 , \blk00000003/sig00000577 , \blk00000003/sig00000578 , +\blk00000003/sig00000579 , \blk00000003/sig0000057a , \blk00000003/sig0000057b , \blk00000003/sig0000057c , \blk00000003/sig0000057d , +\blk00000003/sig0000057e , \blk00000003/sig0000057f , \blk00000003/sig00000580 }), + .M({\NLW_blk00000003/blk00000115_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000114 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000114_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000114_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000631 , \blk00000003/sig00000632 , \blk00000003/sig00000633 , +\blk00000003/sig00000634 , \blk00000003/sig00000635 , \blk00000003/sig00000636 , \blk00000003/sig00000637 , \blk00000003/sig00000638 , +\blk00000003/sig00000639 , \blk00000003/sig0000063a , \blk00000003/sig0000063b , \blk00000003/sig0000063c , \blk00000003/sig0000063d , +\blk00000003/sig0000063e , \blk00000003/sig0000063f , \blk00000003/sig00000640 }), + .BCOUT({\NLW_blk00000003/blk00000114_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000114_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000114_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000114_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000114_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000114_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000114_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000114_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000114_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000114_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000114_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000114_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000114_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000114_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000114_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000114_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000114_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000114_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig0000044d , \blk00000003/sig0000044e , \blk00000003/sig0000044f , \blk00000003/sig00000450 , \blk00000003/sig00000451 , +\blk00000003/sig00000452 , \blk00000003/sig00000453 , \blk00000003/sig00000454 , \blk00000003/sig00000455 , \blk00000003/sig00000456 , +\blk00000003/sig00000457 , \blk00000003/sig00000458 , \blk00000003/sig00000459 , \blk00000003/sig0000045a , \blk00000003/sig0000045b , +\blk00000003/sig0000045c , \blk00000003/sig0000045d , \blk00000003/sig0000045e , \blk00000003/sig0000045f , \blk00000003/sig00000460 , +\blk00000003/sig00000461 , \blk00000003/sig00000462 , \blk00000003/sig00000463 , \blk00000003/sig00000464 , \blk00000003/sig00000465 , +\blk00000003/sig00000466 , \blk00000003/sig00000467 , \blk00000003/sig00000468 , \blk00000003/sig00000469 , \blk00000003/sig0000046a , +\blk00000003/sig0000046b , \blk00000003/sig0000046c , \blk00000003/sig0000046d , \blk00000003/sig0000046e , \blk00000003/sig0000046f , +\blk00000003/sig00000470 , \blk00000003/sig00000471 , \blk00000003/sig00000472 , \blk00000003/sig00000473 , \blk00000003/sig00000474 , +\blk00000003/sig00000475 , \blk00000003/sig00000476 , \blk00000003/sig00000477 , \blk00000003/sig00000478 , \blk00000003/sig00000479 , +\blk00000003/sig0000047a , \blk00000003/sig0000047b , \blk00000003/sig0000047c }), + .C({\NLW_blk00000003/blk00000114_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000114_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000641 , \blk00000003/sig00000642 , \blk00000003/sig00000643 , +\blk00000003/sig00000644 , \blk00000003/sig00000645 , \blk00000003/sig00000646 , \blk00000003/sig00000647 , \blk00000003/sig00000648 , +\blk00000003/sig00000649 , \blk00000003/sig0000064a , \blk00000003/sig0000064b , \blk00000003/sig0000064c , \blk00000003/sig0000064d , +\blk00000003/sig0000064e , \blk00000003/sig0000064f , \blk00000003/sig00000650 }), + .PCOUT({\blk00000003/sig000005a1 , \blk00000003/sig000005a2 , \blk00000003/sig000005a3 , \blk00000003/sig000005a4 , \blk00000003/sig000005a5 , +\blk00000003/sig000005a6 , \blk00000003/sig000005a7 , \blk00000003/sig000005a8 , \blk00000003/sig000005a9 , \blk00000003/sig000005aa , +\blk00000003/sig000005ab , \blk00000003/sig000005ac , \blk00000003/sig000005ad , \blk00000003/sig000005ae , \blk00000003/sig000005af , +\blk00000003/sig000005b0 , \blk00000003/sig000005b1 , \blk00000003/sig000005b2 , \blk00000003/sig000005b3 , \blk00000003/sig000005b4 , +\blk00000003/sig000005b5 , \blk00000003/sig000005b6 , \blk00000003/sig000005b7 , \blk00000003/sig000005b8 , \blk00000003/sig000005b9 , +\blk00000003/sig000005ba , \blk00000003/sig000005bb , \blk00000003/sig000005bc , \blk00000003/sig000005bd , \blk00000003/sig000005be , +\blk00000003/sig000005bf , \blk00000003/sig000005c0 , \blk00000003/sig000005c1 , \blk00000003/sig000005c2 , \blk00000003/sig000005c3 , +\blk00000003/sig000005c4 , \blk00000003/sig000005c5 , \blk00000003/sig000005c6 , \blk00000003/sig000005c7 , \blk00000003/sig000005c8 , +\blk00000003/sig000005c9 , \blk00000003/sig000005ca , \blk00000003/sig000005cb , \blk00000003/sig000005cc , \blk00000003/sig000005cd , +\blk00000003/sig000005ce , \blk00000003/sig000005cf , \blk00000003/sig000005d0 }), + .A({\blk00000003/sig0000056f , \blk00000003/sig00000570 , \blk00000003/sig00000571 , \blk00000003/sig00000572 , \blk00000003/sig00000573 , +\blk00000003/sig00000574 , \blk00000003/sig00000575 , \blk00000003/sig00000576 , \blk00000003/sig00000577 , \blk00000003/sig00000578 , +\blk00000003/sig00000579 , \blk00000003/sig0000057a , \blk00000003/sig0000057b , \blk00000003/sig0000057c , \blk00000003/sig0000057d , +\blk00000003/sig0000057e , \blk00000003/sig0000057f , \blk00000003/sig00000580 }), + .M({\NLW_blk00000003/blk00000114_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000113 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000113_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000113_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000005e1 , \blk00000003/sig000005e2 , \blk00000003/sig000005e3 , +\blk00000003/sig000005e4 , \blk00000003/sig000005e5 , \blk00000003/sig000005e6 , \blk00000003/sig000005e7 , \blk00000003/sig000005e8 , +\blk00000003/sig000005e9 , \blk00000003/sig000005ea , \blk00000003/sig000005eb , \blk00000003/sig000005ec , \blk00000003/sig000005ed , +\blk00000003/sig000005ee , \blk00000003/sig000005ef , \blk00000003/sig000005f0 }), + .BCOUT({\NLW_blk00000003/blk00000113_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000113_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000113_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000113_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000113_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000113_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000113_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000113_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000113_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000113_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000113_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000113_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000113_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000113_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000113_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000113_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000113_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000113_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000005f1 , \blk00000003/sig000005f2 , \blk00000003/sig000005f3 , \blk00000003/sig000005f4 , \blk00000003/sig000005f5 , +\blk00000003/sig000005f6 , \blk00000003/sig000005f7 , \blk00000003/sig000005f8 , \blk00000003/sig000005f9 , \blk00000003/sig000005fa , +\blk00000003/sig000005fb , \blk00000003/sig000005fc , \blk00000003/sig000005fd , \blk00000003/sig000005fe , \blk00000003/sig000005ff , +\blk00000003/sig00000600 , \blk00000003/sig00000601 , \blk00000003/sig00000602 , \blk00000003/sig00000603 , \blk00000003/sig00000604 , +\blk00000003/sig00000605 , \blk00000003/sig00000606 , \blk00000003/sig00000607 , \blk00000003/sig00000608 , \blk00000003/sig00000609 , +\blk00000003/sig0000060a , \blk00000003/sig0000060b , \blk00000003/sig0000060c , \blk00000003/sig0000060d , \blk00000003/sig0000060e , +\blk00000003/sig0000060f , \blk00000003/sig00000610 , \blk00000003/sig00000611 , \blk00000003/sig00000612 , \blk00000003/sig00000613 , +\blk00000003/sig00000614 , \blk00000003/sig00000615 , \blk00000003/sig00000616 , \blk00000003/sig00000617 , \blk00000003/sig00000618 , +\blk00000003/sig00000619 , \blk00000003/sig0000061a , \blk00000003/sig0000061b , \blk00000003/sig0000061c , \blk00000003/sig0000061d , +\blk00000003/sig0000061e , \blk00000003/sig0000061f , \blk00000003/sig00000620 }), + .C({\NLW_blk00000003/blk00000113_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000113_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000621 , \blk00000003/sig00000622 , \blk00000003/sig00000623 , +\blk00000003/sig00000624 , \blk00000003/sig00000625 , \blk00000003/sig00000626 , \blk00000003/sig00000627 , \blk00000003/sig00000628 , +\blk00000003/sig00000629 , \blk00000003/sig0000062a , \blk00000003/sig0000062b , \blk00000003/sig0000062c , \blk00000003/sig0000062d , +\blk00000003/sig0000062e , \blk00000003/sig0000062f , \blk00000003/sig00000630 }), + .PCOUT({\blk00000003/sig000001ca , \blk00000003/sig000001cb , \blk00000003/sig000001cc , \blk00000003/sig000001cd , \blk00000003/sig000001ce , +\blk00000003/sig000001cf , \blk00000003/sig000001d0 , \blk00000003/sig000001d1 , \blk00000003/sig000001d2 , \blk00000003/sig000001d3 , +\blk00000003/sig000001d4 , \blk00000003/sig000001d5 , \blk00000003/sig000001d6 , \blk00000003/sig000001d7 , \blk00000003/sig000001d8 , +\blk00000003/sig000001d9 , \blk00000003/sig000001da , \blk00000003/sig000001db , \blk00000003/sig000001dc , \blk00000003/sig000001dd , +\blk00000003/sig000001de , \blk00000003/sig000001df , \blk00000003/sig000001e0 , \blk00000003/sig000001e1 , \blk00000003/sig000001e2 , +\blk00000003/sig000001e3 , \blk00000003/sig000001e4 , \blk00000003/sig000001e5 , \blk00000003/sig000001e6 , \blk00000003/sig000001e7 , +\blk00000003/sig000001e8 , \blk00000003/sig000001e9 , \blk00000003/sig000001ea , \blk00000003/sig000001eb , \blk00000003/sig000001ec , +\blk00000003/sig000001ed , \blk00000003/sig000001ee , \blk00000003/sig000001ef , \blk00000003/sig000001f0 , \blk00000003/sig000001f1 , +\blk00000003/sig000001f2 , \blk00000003/sig000001f3 , \blk00000003/sig000001f4 , \blk00000003/sig000001f5 , \blk00000003/sig000001f6 , +\blk00000003/sig000001f7 , \blk00000003/sig000001f8 , \blk00000003/sig000001f9 }), + .A({\blk00000003/sig0000050d , \blk00000003/sig0000050e , \blk00000003/sig0000050f , \blk00000003/sig00000510 , \blk00000003/sig00000511 , +\blk00000003/sig00000512 , \blk00000003/sig00000513 , \blk00000003/sig00000514 , \blk00000003/sig00000515 , \blk00000003/sig00000516 , +\blk00000003/sig00000517 , \blk00000003/sig00000518 , \blk00000003/sig00000519 , \blk00000003/sig0000051a , \blk00000003/sig0000051b , +\blk00000003/sig0000051c , \blk00000003/sig0000051d , \blk00000003/sig0000051e }), + .M({\NLW_blk00000003/blk00000113_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000112 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000112_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000112_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000591 , \blk00000003/sig00000592 , \blk00000003/sig00000593 , +\blk00000003/sig00000594 , \blk00000003/sig00000595 , \blk00000003/sig00000596 , \blk00000003/sig00000597 , \blk00000003/sig00000598 , +\blk00000003/sig00000599 , \blk00000003/sig0000059a , \blk00000003/sig0000059b , \blk00000003/sig0000059c , \blk00000003/sig0000059d , +\blk00000003/sig0000059e , \blk00000003/sig0000059f , \blk00000003/sig000005a0 }), + .BCOUT({\NLW_blk00000003/blk00000112_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000112_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000112_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000112_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000112_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000112_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000112_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000112_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000112_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000112_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000112_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000112_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000112_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000112_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000112_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000112_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000112_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000112_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000005a1 , \blk00000003/sig000005a2 , \blk00000003/sig000005a3 , \blk00000003/sig000005a4 , \blk00000003/sig000005a5 , +\blk00000003/sig000005a6 , \blk00000003/sig000005a7 , \blk00000003/sig000005a8 , \blk00000003/sig000005a9 , \blk00000003/sig000005aa , +\blk00000003/sig000005ab , \blk00000003/sig000005ac , \blk00000003/sig000005ad , \blk00000003/sig000005ae , \blk00000003/sig000005af , +\blk00000003/sig000005b0 , \blk00000003/sig000005b1 , \blk00000003/sig000005b2 , \blk00000003/sig000005b3 , \blk00000003/sig000005b4 , +\blk00000003/sig000005b5 , \blk00000003/sig000005b6 , \blk00000003/sig000005b7 , \blk00000003/sig000005b8 , \blk00000003/sig000005b9 , +\blk00000003/sig000005ba , \blk00000003/sig000005bb , \blk00000003/sig000005bc , \blk00000003/sig000005bd , \blk00000003/sig000005be , +\blk00000003/sig000005bf , \blk00000003/sig000005c0 , \blk00000003/sig000005c1 , \blk00000003/sig000005c2 , \blk00000003/sig000005c3 , +\blk00000003/sig000005c4 , \blk00000003/sig000005c5 , \blk00000003/sig000005c6 , \blk00000003/sig000005c7 , \blk00000003/sig000005c8 , +\blk00000003/sig000005c9 , \blk00000003/sig000005ca , \blk00000003/sig000005cb , \blk00000003/sig000005cc , \blk00000003/sig000005cd , +\blk00000003/sig000005ce , \blk00000003/sig000005cf , \blk00000003/sig000005d0 }), + .C({\NLW_blk00000003/blk00000112_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000112_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000005d1 , \blk00000003/sig000005d2 , \blk00000003/sig000005d3 , +\blk00000003/sig000005d4 , \blk00000003/sig000005d5 , \blk00000003/sig000005d6 , \blk00000003/sig000005d7 , \blk00000003/sig000005d8 , +\blk00000003/sig000005d9 , \blk00000003/sig000005da , \blk00000003/sig000005db , \blk00000003/sig000005dc , \blk00000003/sig000005dd , +\blk00000003/sig000005de , \blk00000003/sig000005df , \blk00000003/sig000005e0 }), + .PCOUT({\blk00000003/sig00000252 , \blk00000003/sig00000253 , \blk00000003/sig00000254 , \blk00000003/sig00000255 , \blk00000003/sig00000256 , +\blk00000003/sig00000257 , \blk00000003/sig00000258 , \blk00000003/sig00000259 , \blk00000003/sig0000025a , \blk00000003/sig0000025b , +\blk00000003/sig0000025c , \blk00000003/sig0000025d , \blk00000003/sig0000025e , \blk00000003/sig0000025f , \blk00000003/sig00000260 , +\blk00000003/sig00000261 , \blk00000003/sig00000262 , \blk00000003/sig00000263 , \blk00000003/sig00000264 , \blk00000003/sig00000265 , +\blk00000003/sig00000266 , \blk00000003/sig00000267 , \blk00000003/sig00000268 , \blk00000003/sig00000269 , \blk00000003/sig0000026a , +\blk00000003/sig0000026b , \blk00000003/sig0000026c , \blk00000003/sig0000026d , \blk00000003/sig0000026e , \blk00000003/sig0000026f , +\blk00000003/sig00000270 , \blk00000003/sig00000271 , \blk00000003/sig00000272 , \blk00000003/sig00000273 , \blk00000003/sig00000274 , +\blk00000003/sig00000275 , \blk00000003/sig00000276 , \blk00000003/sig00000277 , \blk00000003/sig00000278 , \blk00000003/sig00000279 , +\blk00000003/sig0000027a , \blk00000003/sig0000027b , \blk00000003/sig0000027c , \blk00000003/sig0000027d , \blk00000003/sig0000027e , +\blk00000003/sig0000027f , \blk00000003/sig00000280 , \blk00000003/sig00000281 }), + .A({\blk00000003/sig0000050d , \blk00000003/sig0000050e , \blk00000003/sig0000050f , \blk00000003/sig00000510 , \blk00000003/sig00000511 , +\blk00000003/sig00000512 , \blk00000003/sig00000513 , \blk00000003/sig00000514 , \blk00000003/sig00000515 , \blk00000003/sig00000516 , +\blk00000003/sig00000517 , \blk00000003/sig00000518 , \blk00000003/sig00000519 , \blk00000003/sig0000051a , \blk00000003/sig0000051b , +\blk00000003/sig0000051c , \blk00000003/sig0000051d , \blk00000003/sig0000051e }), + .M({\NLW_blk00000003/blk00000112_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000111 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000111_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000111_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000581 , \blk00000003/sig00000581 , \blk00000003/sig00000581 , \blk00000003/sig00000581 , \blk00000003/sig00000581 , +\blk00000003/sig00000581 , \blk00000003/sig00000581 , \blk00000003/sig00000581 , \blk00000003/sig00000581 , \blk00000003/sig00000581 , +\blk00000003/sig00000581 , \blk00000003/sig00000582 , \blk00000003/sig00000583 , \blk00000003/sig00000584 , \blk00000003/sig00000585 , +\blk00000003/sig00000586 , \blk00000003/sig00000587 , \blk00000003/sig00000588 }), + .BCOUT({\NLW_blk00000003/blk00000111_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000111_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000111_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000111_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000111_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000111_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000111_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000111_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000111_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000111_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000111_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000111_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000111_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000111_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000111_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000111_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000111_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000111_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000003fd , \blk00000003/sig000003fe , \blk00000003/sig000003ff , \blk00000003/sig00000400 , \blk00000003/sig00000401 , +\blk00000003/sig00000402 , \blk00000003/sig00000403 , \blk00000003/sig00000404 , \blk00000003/sig00000405 , \blk00000003/sig00000406 , +\blk00000003/sig00000407 , \blk00000003/sig00000408 , \blk00000003/sig00000409 , \blk00000003/sig0000040a , \blk00000003/sig0000040b , +\blk00000003/sig0000040c , \blk00000003/sig0000040d , \blk00000003/sig0000040e , \blk00000003/sig0000040f , \blk00000003/sig00000410 , +\blk00000003/sig00000411 , \blk00000003/sig00000412 , \blk00000003/sig00000413 , \blk00000003/sig00000414 , \blk00000003/sig00000415 , +\blk00000003/sig00000416 , \blk00000003/sig00000417 , \blk00000003/sig00000418 , \blk00000003/sig00000419 , \blk00000003/sig0000041a , +\blk00000003/sig0000041b , \blk00000003/sig0000041c , \blk00000003/sig0000041d , \blk00000003/sig0000041e , \blk00000003/sig0000041f , +\blk00000003/sig00000420 , \blk00000003/sig00000421 , \blk00000003/sig00000422 , \blk00000003/sig00000423 , \blk00000003/sig00000424 , +\blk00000003/sig00000425 , \blk00000003/sig00000426 , \blk00000003/sig00000427 , \blk00000003/sig00000428 , \blk00000003/sig00000429 , +\blk00000003/sig0000042a , \blk00000003/sig0000042b , \blk00000003/sig0000042c }), + .C({\NLW_blk00000003/blk00000111_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000111_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000589 , \blk00000003/sig00000589 , \blk00000003/sig00000589 , \blk00000003/sig00000589 , \blk00000003/sig00000589 , +\blk00000003/sig00000589 , \blk00000003/sig00000589 , \blk00000003/sig00000589 , \blk00000003/sig00000589 , \blk00000003/sig00000589 , +\blk00000003/sig00000589 , \blk00000003/sig0000058a , \blk00000003/sig0000058b , \blk00000003/sig0000058c , \blk00000003/sig0000058d , +\blk00000003/sig0000058e , \blk00000003/sig0000058f , \blk00000003/sig00000590 }), + .PCOUT({\blk00000003/sig00000527 , \blk00000003/sig00000528 , \blk00000003/sig00000529 , \blk00000003/sig0000052a , \blk00000003/sig0000052b , +\blk00000003/sig0000052c , \blk00000003/sig0000052d , \blk00000003/sig0000052e , \blk00000003/sig0000052f , \blk00000003/sig00000530 , +\blk00000003/sig00000531 , \blk00000003/sig00000532 , \blk00000003/sig00000533 , \blk00000003/sig00000534 , \blk00000003/sig00000535 , +\blk00000003/sig00000536 , \blk00000003/sig00000537 , \blk00000003/sig00000538 , \blk00000003/sig00000539 , \blk00000003/sig0000053a , +\blk00000003/sig0000053b , \blk00000003/sig0000053c , \blk00000003/sig0000053d , \blk00000003/sig0000053e , \blk00000003/sig0000053f , +\blk00000003/sig00000540 , \blk00000003/sig00000541 , \blk00000003/sig00000542 , \blk00000003/sig00000543 , \blk00000003/sig00000544 , +\blk00000003/sig00000545 , \blk00000003/sig00000546 , \blk00000003/sig00000547 , \blk00000003/sig00000548 , \blk00000003/sig00000549 , +\blk00000003/sig0000054a , \blk00000003/sig0000054b , \blk00000003/sig0000054c , \blk00000003/sig0000054d , \blk00000003/sig0000054e , +\blk00000003/sig0000054f , \blk00000003/sig00000550 , \blk00000003/sig00000551 , \blk00000003/sig00000552 , \blk00000003/sig00000553 , +\blk00000003/sig00000554 , \blk00000003/sig00000555 , \blk00000003/sig00000556 }), + .A({\blk00000003/sig0000056f , \blk00000003/sig00000570 , \blk00000003/sig00000571 , \blk00000003/sig00000572 , \blk00000003/sig00000573 , +\blk00000003/sig00000574 , \blk00000003/sig00000575 , \blk00000003/sig00000576 , \blk00000003/sig00000577 , \blk00000003/sig00000578 , +\blk00000003/sig00000579 , \blk00000003/sig0000057a , \blk00000003/sig0000057b , \blk00000003/sig0000057c , \blk00000003/sig0000057d , +\blk00000003/sig0000057e , \blk00000003/sig0000057f , \blk00000003/sig00000580 }), + .M({\NLW_blk00000003/blk00000111_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000110 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000110_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000110_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig0000055f , \blk00000003/sig0000055f , \blk00000003/sig0000055f , \blk00000003/sig0000055f , \blk00000003/sig0000055f , +\blk00000003/sig0000055f , \blk00000003/sig0000055f , \blk00000003/sig0000055f , \blk00000003/sig0000055f , \blk00000003/sig0000055f , +\blk00000003/sig0000055f , \blk00000003/sig00000560 , \blk00000003/sig00000561 , \blk00000003/sig00000562 , \blk00000003/sig00000563 , +\blk00000003/sig00000564 , \blk00000003/sig00000565 , \blk00000003/sig00000566 }), + .BCOUT({\NLW_blk00000003/blk00000110_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000110_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000110_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000110_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000110_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000110_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000110_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000110_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000110_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000110_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000110_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000110_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000110_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000110_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000110_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000110_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000110_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000110_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000003ab , \blk00000003/sig000003ac , \blk00000003/sig000003ad , \blk00000003/sig000003ae , \blk00000003/sig000003af , +\blk00000003/sig000003b0 , \blk00000003/sig000003b1 , \blk00000003/sig000003b2 , \blk00000003/sig000003b3 , \blk00000003/sig000003b4 , +\blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 , \blk00000003/sig000003b8 , \blk00000003/sig000003b9 , +\blk00000003/sig000003ba , \blk00000003/sig000003bb , \blk00000003/sig000003bc , \blk00000003/sig000003bd , \blk00000003/sig000003be , +\blk00000003/sig000003bf , \blk00000003/sig000003c0 , \blk00000003/sig000003c1 , \blk00000003/sig000003c2 , \blk00000003/sig000003c3 , +\blk00000003/sig000003c4 , \blk00000003/sig000003c5 , \blk00000003/sig000003c6 , \blk00000003/sig000003c7 , \blk00000003/sig000003c8 , +\blk00000003/sig000003c9 , \blk00000003/sig000003ca , \blk00000003/sig000003cb , \blk00000003/sig000003cc , \blk00000003/sig000003cd , +\blk00000003/sig000003ce , \blk00000003/sig000003cf , \blk00000003/sig000003d0 , \blk00000003/sig000003d1 , \blk00000003/sig000003d2 , +\blk00000003/sig000003d3 , \blk00000003/sig000003d4 , \blk00000003/sig000003d5 , \blk00000003/sig000003d6 , \blk00000003/sig000003d7 , +\blk00000003/sig000003d8 , \blk00000003/sig000003d9 , \blk00000003/sig000003da }), + .C({\NLW_blk00000003/blk00000110_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000110_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000567 , \blk00000003/sig00000567 , \blk00000003/sig00000567 , \blk00000003/sig00000567 , \blk00000003/sig00000567 , +\blk00000003/sig00000567 , \blk00000003/sig00000567 , \blk00000003/sig00000567 , \blk00000003/sig00000567 , \blk00000003/sig00000567 , +\blk00000003/sig00000567 , \blk00000003/sig00000568 , \blk00000003/sig00000569 , \blk00000003/sig0000056a , \blk00000003/sig0000056b , +\blk00000003/sig0000056c , \blk00000003/sig0000056d , \blk00000003/sig0000056e }), + .PCOUT({\blk00000003/sig000004d5 , \blk00000003/sig000004d6 , \blk00000003/sig000004d7 , \blk00000003/sig000004d8 , \blk00000003/sig000004d9 , +\blk00000003/sig000004da , \blk00000003/sig000004db , \blk00000003/sig000004dc , \blk00000003/sig000004dd , \blk00000003/sig000004de , +\blk00000003/sig000004df , \blk00000003/sig000004e0 , \blk00000003/sig000004e1 , \blk00000003/sig000004e2 , \blk00000003/sig000004e3 , +\blk00000003/sig000004e4 , \blk00000003/sig000004e5 , \blk00000003/sig000004e6 , \blk00000003/sig000004e7 , \blk00000003/sig000004e8 , +\blk00000003/sig000004e9 , \blk00000003/sig000004ea , \blk00000003/sig000004eb , \blk00000003/sig000004ec , \blk00000003/sig000004ed , +\blk00000003/sig000004ee , \blk00000003/sig000004ef , \blk00000003/sig000004f0 , \blk00000003/sig000004f1 , \blk00000003/sig000004f2 , +\blk00000003/sig000004f3 , \blk00000003/sig000004f4 , \blk00000003/sig000004f5 , \blk00000003/sig000004f6 , \blk00000003/sig000004f7 , +\blk00000003/sig000004f8 , \blk00000003/sig000004f9 , \blk00000003/sig000004fa , \blk00000003/sig000004fb , \blk00000003/sig000004fc , +\blk00000003/sig000004fd , \blk00000003/sig000004fe , \blk00000003/sig000004ff , \blk00000003/sig00000500 , \blk00000003/sig00000501 , +\blk00000003/sig00000502 , \blk00000003/sig00000503 , \blk00000003/sig00000504 }), + .A({\blk00000003/sig0000056f , \blk00000003/sig00000570 , \blk00000003/sig00000571 , \blk00000003/sig00000572 , \blk00000003/sig00000573 , +\blk00000003/sig00000574 , \blk00000003/sig00000575 , \blk00000003/sig00000576 , \blk00000003/sig00000577 , \blk00000003/sig00000578 , +\blk00000003/sig00000579 , \blk00000003/sig0000057a , \blk00000003/sig0000057b , \blk00000003/sig0000057c , \blk00000003/sig0000057d , +\blk00000003/sig0000057e , \blk00000003/sig0000057f , \blk00000003/sig00000580 }), + .M({\NLW_blk00000003/blk00000110_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010f ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010f_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010f_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig0000051f , \blk00000003/sig0000051f , \blk00000003/sig0000051f , \blk00000003/sig0000051f , \blk00000003/sig0000051f , +\blk00000003/sig0000051f , \blk00000003/sig0000051f , \blk00000003/sig0000051f , \blk00000003/sig0000051f , \blk00000003/sig0000051f , +\blk00000003/sig0000051f , \blk00000003/sig00000520 , \blk00000003/sig00000521 , \blk00000003/sig00000522 , \blk00000003/sig00000523 , +\blk00000003/sig00000524 , \blk00000003/sig00000525 , \blk00000003/sig00000526 }), + .BCOUT({\NLW_blk00000003/blk0000010f_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000527 , \blk00000003/sig00000528 , \blk00000003/sig00000529 , \blk00000003/sig0000052a , \blk00000003/sig0000052b , +\blk00000003/sig0000052c , \blk00000003/sig0000052d , \blk00000003/sig0000052e , \blk00000003/sig0000052f , \blk00000003/sig00000530 , +\blk00000003/sig00000531 , \blk00000003/sig00000532 , \blk00000003/sig00000533 , \blk00000003/sig00000534 , \blk00000003/sig00000535 , +\blk00000003/sig00000536 , \blk00000003/sig00000537 , \blk00000003/sig00000538 , \blk00000003/sig00000539 , \blk00000003/sig0000053a , +\blk00000003/sig0000053b , \blk00000003/sig0000053c , \blk00000003/sig0000053d , \blk00000003/sig0000053e , \blk00000003/sig0000053f , +\blk00000003/sig00000540 , \blk00000003/sig00000541 , \blk00000003/sig00000542 , \blk00000003/sig00000543 , \blk00000003/sig00000544 , +\blk00000003/sig00000545 , \blk00000003/sig00000546 , \blk00000003/sig00000547 , \blk00000003/sig00000548 , \blk00000003/sig00000549 , +\blk00000003/sig0000054a , \blk00000003/sig0000054b , \blk00000003/sig0000054c , \blk00000003/sig0000054d , \blk00000003/sig0000054e , +\blk00000003/sig0000054f , \blk00000003/sig00000550 , \blk00000003/sig00000551 , \blk00000003/sig00000552 , \blk00000003/sig00000553 , +\blk00000003/sig00000554 , \blk00000003/sig00000555 , \blk00000003/sig00000556 }), + .C({\NLW_blk00000003/blk0000010f_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010f_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000557 , \blk00000003/sig00000557 , \blk00000003/sig00000557 , \blk00000003/sig00000557 , \blk00000003/sig00000557 , +\blk00000003/sig00000557 , \blk00000003/sig00000557 , \blk00000003/sig00000557 , \blk00000003/sig00000557 , \blk00000003/sig00000557 , +\blk00000003/sig00000557 , \blk00000003/sig00000558 , \blk00000003/sig00000559 , \blk00000003/sig0000055a , \blk00000003/sig0000055b , +\blk00000003/sig0000055c , \blk00000003/sig0000055d , \blk00000003/sig0000055e }), + .PCOUT({\blk00000003/sig00000178 , \blk00000003/sig00000179 , \blk00000003/sig0000017a , \blk00000003/sig0000017b , \blk00000003/sig0000017c , +\blk00000003/sig0000017d , \blk00000003/sig0000017e , \blk00000003/sig0000017f , \blk00000003/sig00000180 , \blk00000003/sig00000181 , +\blk00000003/sig00000182 , \blk00000003/sig00000183 , \blk00000003/sig00000184 , \blk00000003/sig00000185 , \blk00000003/sig00000186 , +\blk00000003/sig00000187 , \blk00000003/sig00000188 , \blk00000003/sig00000189 , \blk00000003/sig0000018a , \blk00000003/sig0000018b , +\blk00000003/sig0000018c , \blk00000003/sig0000018d , \blk00000003/sig0000018e , \blk00000003/sig0000018f , \blk00000003/sig00000190 , +\blk00000003/sig00000191 , \blk00000003/sig00000192 , \blk00000003/sig00000193 , \blk00000003/sig00000194 , \blk00000003/sig00000195 , +\blk00000003/sig00000196 , \blk00000003/sig00000197 , \blk00000003/sig00000198 , \blk00000003/sig00000199 , \blk00000003/sig0000019a , +\blk00000003/sig0000019b , \blk00000003/sig0000019c , \blk00000003/sig0000019d , \blk00000003/sig0000019e , \blk00000003/sig0000019f , +\blk00000003/sig000001a0 , \blk00000003/sig000001a1 , \blk00000003/sig000001a2 , \blk00000003/sig000001a3 , \blk00000003/sig000001a4 , +\blk00000003/sig000001a5 , \blk00000003/sig000001a6 , \blk00000003/sig000001a7 }), + .A({\blk00000003/sig0000050d , \blk00000003/sig0000050e , \blk00000003/sig0000050f , \blk00000003/sig00000510 , \blk00000003/sig00000511 , +\blk00000003/sig00000512 , \blk00000003/sig00000513 , \blk00000003/sig00000514 , \blk00000003/sig00000515 , \blk00000003/sig00000516 , +\blk00000003/sig00000517 , \blk00000003/sig00000518 , \blk00000003/sig00000519 , \blk00000003/sig0000051a , \blk00000003/sig0000051b , +\blk00000003/sig0000051c , \blk00000003/sig0000051d , \blk00000003/sig0000051e }), + .M({\NLW_blk00000003/blk0000010f_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010e ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010e_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010e_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig000004cd , \blk00000003/sig000004cd , \blk00000003/sig000004cd , \blk00000003/sig000004cd , \blk00000003/sig000004cd , +\blk00000003/sig000004cd , \blk00000003/sig000004cd , \blk00000003/sig000004cd , \blk00000003/sig000004cd , \blk00000003/sig000004cd , +\blk00000003/sig000004cd , \blk00000003/sig000004ce , \blk00000003/sig000004cf , \blk00000003/sig000004d0 , \blk00000003/sig000004d1 , +\blk00000003/sig000004d2 , \blk00000003/sig000004d3 , \blk00000003/sig000004d4 }), + .BCOUT({\NLW_blk00000003/blk0000010e_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000004d5 , \blk00000003/sig000004d6 , \blk00000003/sig000004d7 , \blk00000003/sig000004d8 , \blk00000003/sig000004d9 , +\blk00000003/sig000004da , \blk00000003/sig000004db , \blk00000003/sig000004dc , \blk00000003/sig000004dd , \blk00000003/sig000004de , +\blk00000003/sig000004df , \blk00000003/sig000004e0 , \blk00000003/sig000004e1 , \blk00000003/sig000004e2 , \blk00000003/sig000004e3 , +\blk00000003/sig000004e4 , \blk00000003/sig000004e5 , \blk00000003/sig000004e6 , \blk00000003/sig000004e7 , \blk00000003/sig000004e8 , +\blk00000003/sig000004e9 , \blk00000003/sig000004ea , \blk00000003/sig000004eb , \blk00000003/sig000004ec , \blk00000003/sig000004ed , +\blk00000003/sig000004ee , \blk00000003/sig000004ef , \blk00000003/sig000004f0 , \blk00000003/sig000004f1 , \blk00000003/sig000004f2 , +\blk00000003/sig000004f3 , \blk00000003/sig000004f4 , \blk00000003/sig000004f5 , \blk00000003/sig000004f6 , \blk00000003/sig000004f7 , +\blk00000003/sig000004f8 , \blk00000003/sig000004f9 , \blk00000003/sig000004fa , \blk00000003/sig000004fb , \blk00000003/sig000004fc , +\blk00000003/sig000004fd , \blk00000003/sig000004fe , \blk00000003/sig000004ff , \blk00000003/sig00000500 , \blk00000003/sig00000501 , +\blk00000003/sig00000502 , \blk00000003/sig00000503 , \blk00000003/sig00000504 }), + .C({\NLW_blk00000003/blk0000010e_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010e_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000505 , \blk00000003/sig00000505 , \blk00000003/sig00000505 , \blk00000003/sig00000505 , \blk00000003/sig00000505 , +\blk00000003/sig00000505 , \blk00000003/sig00000505 , \blk00000003/sig00000505 , \blk00000003/sig00000505 , \blk00000003/sig00000505 , +\blk00000003/sig00000505 , \blk00000003/sig00000506 , \blk00000003/sig00000507 , \blk00000003/sig00000508 , \blk00000003/sig00000509 , +\blk00000003/sig0000050a , \blk00000003/sig0000050b , \blk00000003/sig0000050c }), + .PCOUT({\blk00000003/sig00000212 , \blk00000003/sig00000213 , \blk00000003/sig00000214 , \blk00000003/sig00000215 , \blk00000003/sig00000216 , +\blk00000003/sig00000217 , \blk00000003/sig00000218 , \blk00000003/sig00000219 , \blk00000003/sig0000021a , \blk00000003/sig0000021b , +\blk00000003/sig0000021c , \blk00000003/sig0000021d , \blk00000003/sig0000021e , \blk00000003/sig0000021f , \blk00000003/sig00000220 , +\blk00000003/sig00000221 , \blk00000003/sig00000222 , \blk00000003/sig00000223 , \blk00000003/sig00000224 , \blk00000003/sig00000225 , +\blk00000003/sig00000226 , \blk00000003/sig00000227 , \blk00000003/sig00000228 , \blk00000003/sig00000229 , \blk00000003/sig0000022a , +\blk00000003/sig0000022b , \blk00000003/sig0000022c , \blk00000003/sig0000022d , \blk00000003/sig0000022e , \blk00000003/sig0000022f , +\blk00000003/sig00000230 , \blk00000003/sig00000231 , \blk00000003/sig00000232 , \blk00000003/sig00000233 , \blk00000003/sig00000234 , +\blk00000003/sig00000235 , \blk00000003/sig00000236 , \blk00000003/sig00000237 , \blk00000003/sig00000238 , \blk00000003/sig00000239 , +\blk00000003/sig0000023a , \blk00000003/sig0000023b , \blk00000003/sig0000023c , \blk00000003/sig0000023d , \blk00000003/sig0000023e , +\blk00000003/sig0000023f , \blk00000003/sig00000240 , \blk00000003/sig00000241 }), + .A({\blk00000003/sig0000050d , \blk00000003/sig0000050e , \blk00000003/sig0000050f , \blk00000003/sig00000510 , \blk00000003/sig00000511 , +\blk00000003/sig00000512 , \blk00000003/sig00000513 , \blk00000003/sig00000514 , \blk00000003/sig00000515 , \blk00000003/sig00000516 , +\blk00000003/sig00000517 , \blk00000003/sig00000518 , \blk00000003/sig00000519 , \blk00000003/sig0000051a , \blk00000003/sig0000051b , +\blk00000003/sig0000051c , \blk00000003/sig0000051d , \blk00000003/sig0000051e }), + .M({\NLW_blk00000003/blk0000010e_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010d ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010d_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010d_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig0000047d , \blk00000003/sig0000047e , \blk00000003/sig0000047f , +\blk00000003/sig00000480 , \blk00000003/sig00000481 , \blk00000003/sig00000482 , \blk00000003/sig00000483 , \blk00000003/sig00000484 , +\blk00000003/sig00000485 , \blk00000003/sig00000486 , \blk00000003/sig00000487 , \blk00000003/sig00000488 , \blk00000003/sig00000489 , +\blk00000003/sig0000048a , \blk00000003/sig0000048b , \blk00000003/sig0000048c }), + .BCOUT({\NLW_blk00000003/blk0000010d_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\NLW_blk00000003/blk0000010d_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010d_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig0000048d , \blk00000003/sig0000048e , \blk00000003/sig0000048f , +\blk00000003/sig00000490 , \blk00000003/sig00000491 , \blk00000003/sig00000492 , \blk00000003/sig00000493 , \blk00000003/sig00000494 , +\blk00000003/sig00000495 , \blk00000003/sig00000496 , \blk00000003/sig00000497 , \blk00000003/sig00000498 , \blk00000003/sig00000499 , +\blk00000003/sig0000049a , \blk00000003/sig0000049b , \blk00000003/sig0000049c }), + .PCOUT({\blk00000003/sig0000049d , \blk00000003/sig0000049e , \blk00000003/sig0000049f , \blk00000003/sig000004a0 , \blk00000003/sig000004a1 , +\blk00000003/sig000004a2 , \blk00000003/sig000004a3 , \blk00000003/sig000004a4 , \blk00000003/sig000004a5 , \blk00000003/sig000004a6 , +\blk00000003/sig000004a7 , \blk00000003/sig000004a8 , \blk00000003/sig000004a9 , \blk00000003/sig000004aa , \blk00000003/sig000004ab , +\blk00000003/sig000004ac , \blk00000003/sig000004ad , \blk00000003/sig000004ae , \blk00000003/sig000004af , \blk00000003/sig000004b0 , +\blk00000003/sig000004b1 , \blk00000003/sig000004b2 , \blk00000003/sig000004b3 , \blk00000003/sig000004b4 , \blk00000003/sig000004b5 , +\blk00000003/sig000004b6 , \blk00000003/sig000004b7 , \blk00000003/sig000004b8 , \blk00000003/sig000004b9 , \blk00000003/sig000004ba , +\blk00000003/sig000004bb , \blk00000003/sig000004bc , \blk00000003/sig000004bd , \blk00000003/sig000004be , \blk00000003/sig000004bf , +\blk00000003/sig000004c0 , \blk00000003/sig000004c1 , \blk00000003/sig000004c2 , \blk00000003/sig000004c3 , \blk00000003/sig000004c4 , +\blk00000003/sig000004c5 , \blk00000003/sig000004c6 , \blk00000003/sig000004c7 , \blk00000003/sig000004c8 , \blk00000003/sig000004c9 , +\blk00000003/sig000004ca , \blk00000003/sig000004cb , \blk00000003/sig000004cc }), + .A({\blk00000003/sig000003db , \blk00000003/sig000003dc , \blk00000003/sig000003dd , \blk00000003/sig000003de , \blk00000003/sig000003df , +\blk00000003/sig000003e0 , \blk00000003/sig000003e1 , \blk00000003/sig000003e2 , \blk00000003/sig000003e3 , \blk00000003/sig000003e4 , +\blk00000003/sig000003e5 , \blk00000003/sig000003e6 , \blk00000003/sig000003e7 , \blk00000003/sig000003e8 , \blk00000003/sig000003e9 , +\blk00000003/sig000003ea , \blk00000003/sig000003eb , \blk00000003/sig000003ec }), + .M({\NLW_blk00000003/blk0000010d_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010c ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010c_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010c_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig0000042d , \blk00000003/sig0000042e , \blk00000003/sig0000042f , +\blk00000003/sig00000430 , \blk00000003/sig00000431 , \blk00000003/sig00000432 , \blk00000003/sig00000433 , \blk00000003/sig00000434 , +\blk00000003/sig00000435 , \blk00000003/sig00000436 , \blk00000003/sig00000437 , \blk00000003/sig00000438 , \blk00000003/sig00000439 , +\blk00000003/sig0000043a , \blk00000003/sig0000043b , \blk00000003/sig0000043c }), + .BCOUT({\NLW_blk00000003/blk0000010c_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\NLW_blk00000003/blk0000010c_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010c_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig0000043d , \blk00000003/sig0000043e , \blk00000003/sig0000043f , +\blk00000003/sig00000440 , \blk00000003/sig00000441 , \blk00000003/sig00000442 , \blk00000003/sig00000443 , \blk00000003/sig00000444 , +\blk00000003/sig00000445 , \blk00000003/sig00000446 , \blk00000003/sig00000447 , \blk00000003/sig00000448 , \blk00000003/sig00000449 , +\blk00000003/sig0000044a , \blk00000003/sig0000044b , \blk00000003/sig0000044c }), + .PCOUT({\blk00000003/sig0000044d , \blk00000003/sig0000044e , \blk00000003/sig0000044f , \blk00000003/sig00000450 , \blk00000003/sig00000451 , +\blk00000003/sig00000452 , \blk00000003/sig00000453 , \blk00000003/sig00000454 , \blk00000003/sig00000455 , \blk00000003/sig00000456 , +\blk00000003/sig00000457 , \blk00000003/sig00000458 , \blk00000003/sig00000459 , \blk00000003/sig0000045a , \blk00000003/sig0000045b , +\blk00000003/sig0000045c , \blk00000003/sig0000045d , \blk00000003/sig0000045e , \blk00000003/sig0000045f , \blk00000003/sig00000460 , +\blk00000003/sig00000461 , \blk00000003/sig00000462 , \blk00000003/sig00000463 , \blk00000003/sig00000464 , \blk00000003/sig00000465 , +\blk00000003/sig00000466 , \blk00000003/sig00000467 , \blk00000003/sig00000468 , \blk00000003/sig00000469 , \blk00000003/sig0000046a , +\blk00000003/sig0000046b , \blk00000003/sig0000046c , \blk00000003/sig0000046d , \blk00000003/sig0000046e , \blk00000003/sig0000046f , +\blk00000003/sig00000470 , \blk00000003/sig00000471 , \blk00000003/sig00000472 , \blk00000003/sig00000473 , \blk00000003/sig00000474 , +\blk00000003/sig00000475 , \blk00000003/sig00000476 , \blk00000003/sig00000477 , \blk00000003/sig00000478 , \blk00000003/sig00000479 , +\blk00000003/sig0000047a , \blk00000003/sig0000047b , \blk00000003/sig0000047c }), + .A({\blk00000003/sig000003db , \blk00000003/sig000003dc , \blk00000003/sig000003dd , \blk00000003/sig000003de , \blk00000003/sig000003df , +\blk00000003/sig000003e0 , \blk00000003/sig000003e1 , \blk00000003/sig000003e2 , \blk00000003/sig000003e3 , \blk00000003/sig000003e4 , +\blk00000003/sig000003e5 , \blk00000003/sig000003e6 , \blk00000003/sig000003e7 , \blk00000003/sig000003e8 , \blk00000003/sig000003e9 , +\blk00000003/sig000003ea , \blk00000003/sig000003eb , \blk00000003/sig000003ec }), + .M({\NLW_blk00000003/blk0000010c_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010b ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010b_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010b_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig000003ed , \blk00000003/sig000003ed , \blk00000003/sig000003ed , \blk00000003/sig000003ed , \blk00000003/sig000003ed , +\blk00000003/sig000003ed , \blk00000003/sig000003ed , \blk00000003/sig000003ed , \blk00000003/sig000003ed , \blk00000003/sig000003ed , +\blk00000003/sig000003ed , \blk00000003/sig000003ee , \blk00000003/sig000003ef , \blk00000003/sig000003f0 , \blk00000003/sig000003f1 , +\blk00000003/sig000003f2 , \blk00000003/sig000003f3 , \blk00000003/sig000003f4 }), + .BCOUT({\NLW_blk00000003/blk0000010b_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\NLW_blk00000003/blk0000010b_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010b_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig000003f5 , \blk00000003/sig000003f5 , \blk00000003/sig000003f5 , \blk00000003/sig000003f5 , \blk00000003/sig000003f5 , +\blk00000003/sig000003f5 , \blk00000003/sig000003f5 , \blk00000003/sig000003f5 , \blk00000003/sig000003f5 , \blk00000003/sig000003f5 , +\blk00000003/sig000003f5 , \blk00000003/sig000003f6 , \blk00000003/sig000003f7 , \blk00000003/sig000003f8 , \blk00000003/sig000003f9 , +\blk00000003/sig000003fa , \blk00000003/sig000003fb , \blk00000003/sig000003fc }), + .PCOUT({\blk00000003/sig000003fd , \blk00000003/sig000003fe , \blk00000003/sig000003ff , \blk00000003/sig00000400 , \blk00000003/sig00000401 , +\blk00000003/sig00000402 , \blk00000003/sig00000403 , \blk00000003/sig00000404 , \blk00000003/sig00000405 , \blk00000003/sig00000406 , +\blk00000003/sig00000407 , \blk00000003/sig00000408 , \blk00000003/sig00000409 , \blk00000003/sig0000040a , \blk00000003/sig0000040b , +\blk00000003/sig0000040c , \blk00000003/sig0000040d , \blk00000003/sig0000040e , \blk00000003/sig0000040f , \blk00000003/sig00000410 , +\blk00000003/sig00000411 , \blk00000003/sig00000412 , \blk00000003/sig00000413 , \blk00000003/sig00000414 , \blk00000003/sig00000415 , +\blk00000003/sig00000416 , \blk00000003/sig00000417 , \blk00000003/sig00000418 , \blk00000003/sig00000419 , \blk00000003/sig0000041a , +\blk00000003/sig0000041b , \blk00000003/sig0000041c , \blk00000003/sig0000041d , \blk00000003/sig0000041e , \blk00000003/sig0000041f , +\blk00000003/sig00000420 , \blk00000003/sig00000421 , \blk00000003/sig00000422 , \blk00000003/sig00000423 , \blk00000003/sig00000424 , +\blk00000003/sig00000425 , \blk00000003/sig00000426 , \blk00000003/sig00000427 , \blk00000003/sig00000428 , \blk00000003/sig00000429 , +\blk00000003/sig0000042a , \blk00000003/sig0000042b , \blk00000003/sig0000042c }), + .A({\blk00000003/sig000003db , \blk00000003/sig000003dc , \blk00000003/sig000003dd , \blk00000003/sig000003de , \blk00000003/sig000003df , +\blk00000003/sig000003e0 , \blk00000003/sig000003e1 , \blk00000003/sig000003e2 , \blk00000003/sig000003e3 , \blk00000003/sig000003e4 , +\blk00000003/sig000003e5 , \blk00000003/sig000003e6 , \blk00000003/sig000003e7 , \blk00000003/sig000003e8 , \blk00000003/sig000003e9 , +\blk00000003/sig000003ea , \blk00000003/sig000003eb , \blk00000003/sig000003ec }), + .M({\NLW_blk00000003/blk0000010b_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010a ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010a_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010a_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig0000039b , \blk00000003/sig0000039b , \blk00000003/sig0000039b , \blk00000003/sig0000039b , \blk00000003/sig0000039b , +\blk00000003/sig0000039b , \blk00000003/sig0000039b , \blk00000003/sig0000039b , \blk00000003/sig0000039b , \blk00000003/sig0000039b , +\blk00000003/sig0000039b , \blk00000003/sig0000039c , \blk00000003/sig0000039d , \blk00000003/sig0000039e , \blk00000003/sig0000039f , +\blk00000003/sig000003a0 , \blk00000003/sig000003a1 , \blk00000003/sig000003a2 }), + .BCOUT({\NLW_blk00000003/blk0000010a_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\NLW_blk00000003/blk0000010a_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010a_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig000003a3 , \blk00000003/sig000003a3 , \blk00000003/sig000003a3 , \blk00000003/sig000003a3 , \blk00000003/sig000003a3 , +\blk00000003/sig000003a3 , \blk00000003/sig000003a3 , \blk00000003/sig000003a3 , \blk00000003/sig000003a3 , \blk00000003/sig000003a3 , +\blk00000003/sig000003a3 , \blk00000003/sig000003a4 , \blk00000003/sig000003a5 , \blk00000003/sig000003a6 , \blk00000003/sig000003a7 , +\blk00000003/sig000003a8 , \blk00000003/sig000003a9 , \blk00000003/sig000003aa }), + .PCOUT({\blk00000003/sig000003ab , \blk00000003/sig000003ac , \blk00000003/sig000003ad , \blk00000003/sig000003ae , \blk00000003/sig000003af , +\blk00000003/sig000003b0 , \blk00000003/sig000003b1 , \blk00000003/sig000003b2 , \blk00000003/sig000003b3 , \blk00000003/sig000003b4 , +\blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 , \blk00000003/sig000003b8 , \blk00000003/sig000003b9 , +\blk00000003/sig000003ba , \blk00000003/sig000003bb , \blk00000003/sig000003bc , \blk00000003/sig000003bd , \blk00000003/sig000003be , +\blk00000003/sig000003bf , \blk00000003/sig000003c0 , \blk00000003/sig000003c1 , \blk00000003/sig000003c2 , \blk00000003/sig000003c3 , +\blk00000003/sig000003c4 , \blk00000003/sig000003c5 , \blk00000003/sig000003c6 , \blk00000003/sig000003c7 , \blk00000003/sig000003c8 , +\blk00000003/sig000003c9 , \blk00000003/sig000003ca , \blk00000003/sig000003cb , \blk00000003/sig000003cc , \blk00000003/sig000003cd , +\blk00000003/sig000003ce , \blk00000003/sig000003cf , \blk00000003/sig000003d0 , \blk00000003/sig000003d1 , \blk00000003/sig000003d2 , +\blk00000003/sig000003d3 , \blk00000003/sig000003d4 , \blk00000003/sig000003d5 , \blk00000003/sig000003d6 , \blk00000003/sig000003d7 , +\blk00000003/sig000003d8 , \blk00000003/sig000003d9 , \blk00000003/sig000003da }), + .A({\blk00000003/sig000003db , \blk00000003/sig000003dc , \blk00000003/sig000003dd , \blk00000003/sig000003de , \blk00000003/sig000003df , +\blk00000003/sig000003e0 , \blk00000003/sig000003e1 , \blk00000003/sig000003e2 , \blk00000003/sig000003e3 , \blk00000003/sig000003e4 , +\blk00000003/sig000003e5 , \blk00000003/sig000003e6 , \blk00000003/sig000003e7 , \blk00000003/sig000003e8 , \blk00000003/sig000003e9 , +\blk00000003/sig000003ea , \blk00000003/sig000003eb , \blk00000003/sig000003ec }), + .M({\NLW_blk00000003/blk0000010a_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<0>_UNCONNECTED }) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000109 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029e ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000039a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000108 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000398 ), + .Q(\blk00000003/sig00000399 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000107 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000397 ), + .Q(\blk00000003/sig0000038f ) + ); + XORCY \blk00000003/blk00000106 ( + .CI(\blk00000003/sig00000392 ), + .LI(\blk00000003/sig00000395 ), + .O(\blk00000003/sig00000396 ) + ); + MUXCY_D \blk00000003/blk00000105 ( + .CI(\blk00000003/sig00000392 ), + .DI(\blk00000003/sig00000394 ), + .S(\blk00000003/sig00000395 ), + .O(\NLW_blk00000003/blk00000105_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk00000105_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000104 ( + .CI(\blk00000003/sig0000038f ), + .LI(\blk00000003/sig00000391 ), + .O(\blk00000003/sig00000393 ) + ); + MUXCY_L \blk00000003/blk00000103 ( + .CI(\blk00000003/sig0000038f ), + .DI(\blk00000003/sig00000390 ), + .S(\blk00000003/sig00000391 ), + .LO(\blk00000003/sig00000392 ) + ); + MUXCY_L \blk00000003/blk00000102 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig0000038e ), + .S(\blk00000003/sig00000388 ), + .LO(\blk00000003/sig0000038a ) + ); + MUXCY_D \blk00000003/blk00000101 ( + .CI(\blk00000003/sig0000038a ), + .DI(\blk00000003/sig0000038d ), + .S(\blk00000003/sig0000038b ), + .O(\NLW_blk00000003/blk00000101_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk00000101_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000100 ( + .CI(\blk00000003/sig0000038a ), + .LI(\blk00000003/sig0000038b ), + .O(\blk00000003/sig0000038c ) + ); + XORCY \blk00000003/blk000000ff ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000388 ), + .O(\blk00000003/sig00000389 ) + ); + MUXCY_L \blk00000003/blk000000fe ( + .CI(\blk00000003/sig0000037f ), + .DI(\blk00000003/sig00000387 ), + .S(\blk00000003/sig00000380 ), + .LO(\blk00000003/sig00000382 ) + ); + MUXCY_D \blk00000003/blk000000fd ( + .CI(\blk00000003/sig00000382 ), + .DI(\blk00000003/sig00000386 ), + .S(\blk00000003/sig00000383 ), + .O(\NLW_blk00000003/blk000000fd_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000fd_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000fc ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ac ), + .S(\blk00000003/sig00000385 ), + .O(\blk00000003/sig0000037f ) + ); + XORCY \blk00000003/blk000000fb ( + .CI(\blk00000003/sig00000382 ), + .LI(\blk00000003/sig00000383 ), + .O(\blk00000003/sig00000384 ) + ); + XORCY \blk00000003/blk000000fa ( + .CI(\blk00000003/sig0000037f ), + .LI(\blk00000003/sig00000380 ), + .O(\blk00000003/sig00000381 ) + ); + FDE \blk00000003/blk000000f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000037d ), + .Q(\blk00000003/sig0000037e ) + ); + MUXCY_L \blk00000003/blk000000f8 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig0000037c ), + .S(\blk00000003/sig00000376 ), + .LO(\blk00000003/sig00000378 ) + ); + MUXCY_D \blk00000003/blk000000f7 ( + .CI(\blk00000003/sig00000378 ), + .DI(\blk00000003/sig0000037b ), + .S(\blk00000003/sig00000379 ), + .O(\NLW_blk00000003/blk000000f7_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000f7_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000f6 ( + .CI(\blk00000003/sig00000378 ), + .LI(\blk00000003/sig00000379 ), + .O(\blk00000003/sig0000037a ) + ); + XORCY \blk00000003/blk000000f5 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000376 ), + .O(\blk00000003/sig00000377 ) + ); + MUXCY_L \blk00000003/blk000000f4 ( + .CI(\blk00000003/sig0000036f ), + .DI(\blk00000003/sig000002b8 ), + .S(\blk00000003/sig00000370 ), + .LO(\blk00000003/sig00000372 ) + ); + MUXCY_D \blk00000003/blk000000f3 ( + .CI(\blk00000003/sig00000372 ), + .DI(\blk00000003/sig000002b7 ), + .S(\blk00000003/sig00000373 ), + .O(\NLW_blk00000003/blk000000f3_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000f3_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000f2 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ac ), + .S(\blk00000003/sig00000375 ), + .O(\blk00000003/sig0000036f ) + ); + XORCY \blk00000003/blk000000f1 ( + .CI(\blk00000003/sig00000372 ), + .LI(\blk00000003/sig00000373 ), + .O(\blk00000003/sig00000374 ) + ); + XORCY \blk00000003/blk000000f0 ( + .CI(\blk00000003/sig0000036f ), + .LI(\blk00000003/sig00000370 ), + .O(\blk00000003/sig00000371 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000036d ), + .R(sclr), + .Q(\blk00000003/sig0000036e ) + ); + MUXCY_D \blk00000003/blk000000ee ( + .CI(\blk00000003/sig0000036a ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig0000036c ), + .O(\NLW_blk00000003/blk000000ee_O_UNCONNECTED ), + .LO(\blk00000003/sig0000036d ) + ); + MUXCY_D \blk00000003/blk000000ed ( + .CI(\blk00000003/sig000000ac ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig0000036b ), + .O(\blk00000003/sig00000367 ), + .LO(\NLW_blk00000003/blk000000ed_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000ec ( + .CI(\blk00000003/sig00000369 ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig00000365 ) + ); + MUXCY \blk00000003/blk000000eb ( + .CI(\blk00000003/sig00000369 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000ac ), + .O(\blk00000003/sig0000036a ) + ); + MUXCY_D \blk00000003/blk000000ea ( + .CI(\blk00000003/sig00000367 ), + .DI(\blk00000003/sig00000366 ), + .S(\blk00000003/sig00000368 ), + .O(\blk00000003/sig00000369 ), + .LO(\NLW_blk00000003/blk000000ea_LO_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000365 ), + .R(sclr), + .Q(\blk00000003/sig00000366 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000000e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000356 ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002f0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000355 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002ed ) + ); + MUXCY_D \blk00000003/blk000000e6 ( + .CI(\blk00000003/sig000002ed ), + .DI(\blk00000003/sig00000363 ), + .S(\blk00000003/sig00000364 ), + .O(\blk00000003/sig00000360 ), + .LO(\NLW_blk00000003/blk000000e6_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000e5 ( + .CI(\blk00000003/sig00000360 ), + .DI(\blk00000003/sig00000361 ), + .S(\blk00000003/sig00000362 ), + .O(\blk00000003/sig0000035e ), + .LO(\NLW_blk00000003/blk000000e5_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000e4 ( + .CI(\blk00000003/sig0000035e ), + .DI(\blk00000003/sig00000354 ), + .S(\blk00000003/sig0000035f ), + .O(\blk00000003/sig0000035b ), + .LO(\NLW_blk00000003/blk000000e4_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000e3 ( + .CI(\blk00000003/sig0000035b ), + .DI(\blk00000003/sig0000035c ), + .S(\blk00000003/sig0000035d ), + .O(\blk00000003/sig00000359 ), + .LO(\NLW_blk00000003/blk000000e3_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000e2 ( + .CI(\blk00000003/sig00000359 ), + .DI(\blk00000003/sig00000319 ), + .S(\blk00000003/sig0000035a ), + .O(\blk00000003/sig00000357 ), + .LO(\NLW_blk00000003/blk000000e2_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000e1 ( + .CI(\blk00000003/sig00000357 ), + .DI(\blk00000003/sig000002ea ), + .S(\blk00000003/sig00000358 ), + .O(\NLW_blk00000003/blk000000e1_O_UNCONNECTED ), + .LO(\blk00000003/sig00000355 ) + ); + XORCY \blk00000003/blk000000e0 ( + .CI(\blk00000003/sig00000355 ), + .LI(\blk00000003/sig000000ac ), + .O(\blk00000003/sig00000356 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000000df ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000344 ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000354 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000de ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000343 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000029e ) + ); + MUXCY_D \blk00000003/blk000000dd ( + .CI(\blk00000003/sig0000029e ), + .DI(\blk00000003/sig00000352 ), + .S(\blk00000003/sig00000353 ), + .O(\blk00000003/sig00000350 ), + .LO(\NLW_blk00000003/blk000000dd_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000dc ( + .CI(\blk00000003/sig00000350 ), + .DI(\blk00000003/sig0000029f ), + .S(\blk00000003/sig00000351 ), + .O(\blk00000003/sig0000034e ), + .LO(\NLW_blk00000003/blk000000dc_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000db ( + .CI(\blk00000003/sig0000034e ), + .DI(\blk00000003/sig0000029e ), + .S(\blk00000003/sig0000034f ), + .O(\blk00000003/sig0000034b ), + .LO(\NLW_blk00000003/blk000000db_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000da ( + .CI(\blk00000003/sig0000034b ), + .DI(\blk00000003/sig0000034c ), + .S(\blk00000003/sig0000034d ), + .O(\blk00000003/sig00000349 ), + .LO(\NLW_blk00000003/blk000000da_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d9 ( + .CI(\blk00000003/sig00000349 ), + .DI(\blk00000003/sig000002f0 ), + .S(\blk00000003/sig0000034a ), + .O(\blk00000003/sig00000345 ), + .LO(\NLW_blk00000003/blk000000d9_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d8 ( + .CI(\blk00000003/sig00000347 ), + .DI(\blk00000003/sig000002f0 ), + .S(\blk00000003/sig00000348 ), + .O(\NLW_blk00000003/blk000000d8_O_UNCONNECTED ), + .LO(\blk00000003/sig00000343 ) + ); + MUXCY_D \blk00000003/blk000000d7 ( + .CI(\blk00000003/sig00000345 ), + .DI(\blk00000003/sig0000030e ), + .S(\blk00000003/sig00000346 ), + .O(\blk00000003/sig00000347 ), + .LO(\NLW_blk00000003/blk000000d7_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000d6 ( + .CI(\blk00000003/sig00000343 ), + .LI(\blk00000003/sig000000ac ), + .O(\blk00000003/sig00000344 ) + ); + FDE \blk00000003/blk000000d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000341 ), + .Q(\blk00000003/sig00000342 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ed ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000340 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000d3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000031f ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000338 ) + ); + XORCY \blk00000003/blk000000d2 ( + .CI(\blk00000003/sig0000033b ), + .LI(\blk00000003/sig0000033e ), + .O(\blk00000003/sig0000033f ) + ); + MUXCY_D \blk00000003/blk000000d1 ( + .CI(\blk00000003/sig0000033b ), + .DI(\blk00000003/sig0000033d ), + .S(\blk00000003/sig0000033e ), + .O(\NLW_blk00000003/blk000000d1_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000d1_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000d0 ( + .CI(\blk00000003/sig00000338 ), + .LI(\blk00000003/sig0000033a ), + .O(\blk00000003/sig0000033c ) + ); + MUXCY_L \blk00000003/blk000000cf ( + .CI(\blk00000003/sig00000338 ), + .DI(\blk00000003/sig00000339 ), + .S(\blk00000003/sig0000033a ), + .LO(\blk00000003/sig0000033b ) + ); + MUXCY_L \blk00000003/blk000000ce ( + .CI(\blk00000003/sig0000032f ), + .DI(\blk00000003/sig00000337 ), + .S(\blk00000003/sig00000330 ), + .LO(\blk00000003/sig00000332 ) + ); + MUXCY_D \blk00000003/blk000000cd ( + .CI(\blk00000003/sig00000332 ), + .DI(\blk00000003/sig00000336 ), + .S(\blk00000003/sig00000333 ), + .O(\NLW_blk00000003/blk000000cd_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000cd_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000cc ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ac ), + .S(\blk00000003/sig00000335 ), + .O(\blk00000003/sig0000032f ) + ); + XORCY \blk00000003/blk000000cb ( + .CI(\blk00000003/sig00000332 ), + .LI(\blk00000003/sig00000333 ), + .O(\blk00000003/sig00000334 ) + ); + XORCY \blk00000003/blk000000ca ( + .CI(\blk00000003/sig0000032f ), + .LI(\blk00000003/sig00000330 ), + .O(\blk00000003/sig00000331 ) + ); + MUXCY_L \blk00000003/blk000000c9 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig0000032e ), + .S(\blk00000003/sig0000032c ), + .LO(\blk00000003/sig00000328 ) + ); + XORCY \blk00000003/blk000000c8 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig0000032c ), + .O(\blk00000003/sig0000032d ) + ); + MUXCY_D \blk00000003/blk000000c7 ( + .CI(\blk00000003/sig00000328 ), + .DI(\blk00000003/sig0000032b ), + .S(\blk00000003/sig00000329 ), + .O(\NLW_blk00000003/blk000000c7_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000c7_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000c6 ( + .CI(\blk00000003/sig00000328 ), + .LI(\blk00000003/sig00000329 ), + .O(\blk00000003/sig0000032a ) + ); + MUXCY_L \blk00000003/blk000000c5 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000327 ), + .S(\blk00000003/sig00000325 ), + .LO(\blk00000003/sig00000321 ) + ); + XORCY \blk00000003/blk000000c4 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000325 ), + .O(\blk00000003/sig00000326 ) + ); + MUXCY_D \blk00000003/blk000000c3 ( + .CI(\blk00000003/sig00000321 ), + .DI(\blk00000003/sig00000324 ), + .S(\blk00000003/sig00000322 ), + .O(\NLW_blk00000003/blk000000c3_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000c3_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000c2 ( + .CI(\blk00000003/sig00000321 ), + .LI(\blk00000003/sig00000322 ), + .O(\blk00000003/sig00000323 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c1 ( + .C(clk), + .CE(ce), + .D(coef_ld), + .Q(\blk00000003/sig00000320 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c0 ( + .C(clk), + .CE(ce), + .D(coef_we), + .Q(\blk00000003/sig0000031f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b1 ), + .Q(\blk00000003/sig00000313 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000be ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000031e ), + .Q(\blk00000003/sig00000306 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000031c ), + .Q(\blk00000003/sig0000031d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000031b ), + .Q(\blk00000003/sig00000304 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000031a ), + .Q(\blk00000003/sig00000311 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000318 ), + .Q(\blk00000003/sig00000319 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000316 ), + .Q(\blk00000003/sig00000317 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000314 ), + .Q(\blk00000003/sig00000315 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000313 ), + .Q(\blk00000003/sig0000030f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000311 ), + .Q(\blk00000003/sig00000312 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000030f ), + .Q(\blk00000003/sig00000310 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ec ), + .Q(\blk00000003/sig0000030e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000309 ), + .Q(\blk00000003/sig0000030d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000303 ), + .R(coef_ld), + .Q(\NLW_blk00000003/blk000000b2_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000300 ), + .R(coef_ld), + .Q(\NLW_blk00000003/blk000000b1_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002fb ), + .R(coef_ld), + .Q(\blk00000003/sig000002f9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000af ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002f6 ), + .R(coef_ld), + .Q(\blk00000003/sig000002f3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ed ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000030c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000030a ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000030b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000308 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000309 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000306 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000307 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000304 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000305 ) + ); + XORCY \blk00000003/blk000000a9 ( + .CI(\blk00000003/sig00000302 ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig00000303 ) + ); + MUXCY \blk00000003/blk000000a8 ( + .CI(\blk00000003/sig00000302 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000ac ), + .O(\NLW_blk00000003/blk000000a8_O_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000a7 ( + .CI(\blk00000003/sig000002ff ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000301 ), + .O(\blk00000003/sig00000302 ), + .LO(\NLW_blk00000003/blk000000a7_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000a6 ( + .CI(\blk00000003/sig000002fe ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig00000300 ) + ); + MUXCY \blk00000003/blk000000a5 ( + .CI(\blk00000003/sig000002fe ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000ac ), + .O(\blk00000003/sig000002ff ) + ); + MUXCY_D \blk00000003/blk000000a4 ( + .CI(coef_we), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000002fd ), + .O(\blk00000003/sig000002fe ), + .LO(\NLW_blk00000003/blk000000a4_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000a3 ( + .CI(\blk00000003/sig000000ac ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000002fc ), + .O(\blk00000003/sig000002f8 ), + .LO(\NLW_blk00000003/blk000000a3_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000a2 ( + .CI(\blk00000003/sig000002fa ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig000002fb ) + ); + MUXCY \blk00000003/blk000000a1 ( + .CI(\blk00000003/sig000002fa ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000ac ), + .O(\NLW_blk00000003/blk000000a1_O_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000a0 ( + .CI(\blk00000003/sig000002f8 ), + .DI(\blk00000003/sig000002f9 ), + .S(coef_we), + .O(\blk00000003/sig000002fa ), + .LO(\NLW_blk00000003/blk000000a0_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk0000009f ( + .CI(\blk00000003/sig000000ac ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000002f7 ), + .O(\blk00000003/sig000002f2 ), + .LO(\NLW_blk00000003/blk0000009f_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk0000009e ( + .CI(\blk00000003/sig000002f5 ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig000002f6 ) + ); + MUXCY \blk00000003/blk0000009d ( + .CI(\blk00000003/sig000002f5 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000ac ), + .O(\NLW_blk00000003/blk0000009d_O_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk0000009c ( + .CI(\blk00000003/sig000002f2 ), + .DI(\blk00000003/sig000002f3 ), + .S(\blk00000003/sig000002f4 ), + .O(\blk00000003/sig000002f5 ), + .LO(\NLW_blk00000003/blk0000009c_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk0000009b ( + .CI(\blk00000003/sig000002eb ), + .LI(\blk00000003/sig000000ac ), + .O(\blk00000003/sig000002e9 ) + ); + MUXCY_D \blk00000003/blk0000009a ( + .CI(\blk00000003/sig000002ef ), + .DI(\blk00000003/sig000002f0 ), + .S(\blk00000003/sig000002f1 ), + .O(\NLW_blk00000003/blk0000009a_O_UNCONNECTED ), + .LO(\blk00000003/sig000002eb ) + ); + MUXCY_D \blk00000003/blk00000099 ( + .CI(\blk00000003/sig000002ec ), + .DI(\blk00000003/sig000002ed ), + .S(\blk00000003/sig000002ee ), + .O(\blk00000003/sig000002ef ), + .LO(\NLW_blk00000003/blk00000099_LO_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000098 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002eb ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002ec ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000097 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002e9 ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002ea ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000033 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b6 ), + .R(sclr), + .Q(\blk00000003/sig000002b5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000032 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b5 ), + .R(sclr), + .Q(\blk00000003/sig000002b2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000031 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b4 ), + .R(\blk00000003/sig000002af ), + .Q(data_valid) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000030 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b2 ), + .R(sclr), + .Q(\blk00000003/sig000002b3 ) + ); + FDRE \blk00000003/blk0000002f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b0 ), + .R(sclr), + .Q(\blk00000003/sig000002b1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ae ), + .R(\blk00000003/sig000002af ), + .Q(rdy) + ); + FDSE \blk00000003/blk0000002d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ac ), + .S(sclr), + .Q(\blk00000003/sig000002ad ) + ); + FDRE \blk00000003/blk0000002c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002aa ), + .R(sclr), + .Q(\blk00000003/sig000002ab ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk0000002b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029c ), + .S(sclr), + .Q(NlwRenamedSig_OI_rfd) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029a ), + .R(sclr), + .Q(\blk00000003/sig000002a9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000029 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a8 ), + .R(sclr), + .Q(\blk00000003/sig00000296 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000028 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000293 ), + .R(sclr), + .Q(\blk00000003/sig000002a7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000027 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a6 ), + .R(sclr), + .Q(\blk00000003/sig00000294 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000026 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a4 ), + .R(sclr), + .Q(\blk00000003/sig000002a5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000025 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a2 ), + .R(sclr), + .Q(\blk00000003/sig000002a3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000024 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a0 ), + .R(sclr), + .Q(\NLW_blk00000003/blk00000024_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000023 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a0 ), + .R(sclr), + .Q(\blk00000003/sig000002a1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000022 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029e ), + .Q(\blk00000003/sig0000029f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000021 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000016e ), + .R(sclr), + .Q(\blk00000003/sig0000016b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000020 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000168 ), + .R(sclr), + .Q(\NLW_blk00000003/blk00000020_Q_UNCONNECTED ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk0000001f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000169 ), + .S(sclr), + .Q(\blk00000003/sig0000029d ) + ); + MUXCY \blk00000003/blk0000001e ( + .CI(\blk00000003/sig00000299 ), + .DI(\blk00000003/sig000000ac ), + .S(\blk00000003/sig0000029b ), + .O(\blk00000003/sig0000029c ) + ); + XORCY \blk00000003/blk0000001d ( + .CI(\blk00000003/sig00000298 ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig0000029a ) + ); + MUXCY \blk00000003/blk0000001c ( + .CI(\blk00000003/sig00000298 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000ac ), + .O(\blk00000003/sig00000299 ) + ); + MUXCY_D \blk00000003/blk0000001b ( + .CI(\blk00000003/sig00000296 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000297 ), + .O(\blk00000003/sig00000298 ), + .LO(\NLW_blk00000003/blk0000001b_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk0000001a ( + .CI(\blk00000003/sig00000294 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000295 ), + .O(\blk00000003/sig00000292 ), + .LO(\NLW_blk00000003/blk0000001a_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk00000019 ( + .CI(\blk00000003/sig00000292 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000ac ), + .O(\NLW_blk00000003/blk00000019_O_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000018 ( + .CI(\blk00000003/sig00000292 ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig00000293 ) + ); + DSP48A1 #( + .A0REG ( 1 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000017 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000017_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000017_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000242 , \blk00000003/sig00000243 , \blk00000003/sig00000244 , +\blk00000003/sig00000245 , \blk00000003/sig00000246 , \blk00000003/sig00000247 , \blk00000003/sig00000248 , \blk00000003/sig00000249 , +\blk00000003/sig0000024a , \blk00000003/sig0000024b , \blk00000003/sig0000024c , \blk00000003/sig0000024d , \blk00000003/sig0000024e , +\blk00000003/sig0000024f , \blk00000003/sig00000250 , \blk00000003/sig00000251 }), + .BCOUT({\NLW_blk00000003/blk00000017_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000017_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000017_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000017_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000017_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000017_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000017_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000017_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000017_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000017_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000017_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000017_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000017_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000017_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000017_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000017_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000017_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000017_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000252 , \blk00000003/sig00000253 , \blk00000003/sig00000254 , \blk00000003/sig00000255 , \blk00000003/sig00000256 , +\blk00000003/sig00000257 , \blk00000003/sig00000258 , \blk00000003/sig00000259 , \blk00000003/sig0000025a , \blk00000003/sig0000025b , +\blk00000003/sig0000025c , \blk00000003/sig0000025d , \blk00000003/sig0000025e , \blk00000003/sig0000025f , \blk00000003/sig00000260 , +\blk00000003/sig00000261 , \blk00000003/sig00000262 , \blk00000003/sig00000263 , \blk00000003/sig00000264 , \blk00000003/sig00000265 , +\blk00000003/sig00000266 , \blk00000003/sig00000267 , \blk00000003/sig00000268 , \blk00000003/sig00000269 , \blk00000003/sig0000026a , +\blk00000003/sig0000026b , \blk00000003/sig0000026c , \blk00000003/sig0000026d , \blk00000003/sig0000026e , \blk00000003/sig0000026f , +\blk00000003/sig00000270 , \blk00000003/sig00000271 , \blk00000003/sig00000272 , \blk00000003/sig00000273 , \blk00000003/sig00000274 , +\blk00000003/sig00000275 , \blk00000003/sig00000276 , \blk00000003/sig00000277 , \blk00000003/sig00000278 , \blk00000003/sig00000279 , +\blk00000003/sig0000027a , \blk00000003/sig0000027b , \blk00000003/sig0000027c , \blk00000003/sig0000027d , \blk00000003/sig0000027e , +\blk00000003/sig0000027f , \blk00000003/sig00000280 , \blk00000003/sig00000281 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk00000017_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000017_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000017_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000017_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000017_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000017_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000017_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000017_P<40>_UNCONNECTED , +\blk00000003/sig00000153 , \blk00000003/sig00000154 , \blk00000003/sig00000155 , \blk00000003/sig00000156 , \blk00000003/sig00000157 , +\blk00000003/sig00000158 , \blk00000003/sig00000103 , \blk00000003/sig00000104 , \blk00000003/sig00000105 , \blk00000003/sig00000106 , +\blk00000003/sig00000107 , \blk00000003/sig00000108 , \blk00000003/sig00000109 , \blk00000003/sig0000010a , \blk00000003/sig0000010b , +\blk00000003/sig0000010c , \blk00000003/sig0000010d , \blk00000003/sig0000010e , \blk00000003/sig0000010f , \blk00000003/sig00000110 , +\blk00000003/sig00000111 , \blk00000003/sig00000112 , \blk00000003/sig00000113 , \blk00000003/sig00000114 , \blk00000003/sig00000282 , +\blk00000003/sig00000283 , \blk00000003/sig00000284 , \blk00000003/sig00000285 , \blk00000003/sig00000286 , \blk00000003/sig00000287 , +\blk00000003/sig00000288 , \blk00000003/sig00000289 , \blk00000003/sig0000028a , \blk00000003/sig0000028b , \blk00000003/sig0000028c , +\blk00000003/sig0000028d , \blk00000003/sig0000028e , \blk00000003/sig0000028f , \blk00000003/sig00000290 , \blk00000003/sig00000291 }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig0000015d , \blk00000003/sig0000015f , \blk00000003/sig00000161 }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .PCOUT({\NLW_blk00000003/blk00000017_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000001a8 , \blk00000003/sig000001a9 , \blk00000003/sig000001aa , \blk00000003/sig000001ab , \blk00000003/sig000001ac , +\blk00000003/sig000001ad , \blk00000003/sig000001ae , \blk00000003/sig000001af , \blk00000003/sig000001b0 , \blk00000003/sig000001b1 , +\blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , \blk00000003/sig000001b5 , \blk00000003/sig000001b6 , +\blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 }), + .M({\NLW_blk00000003/blk00000017_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 1 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000016 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000016_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000016_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig0000020a , \blk00000003/sig0000020a , \blk00000003/sig0000020a , \blk00000003/sig0000020a , \blk00000003/sig0000020a , +\blk00000003/sig0000020a , \blk00000003/sig0000020a , \blk00000003/sig0000020a , \blk00000003/sig0000020a , \blk00000003/sig0000020a , +\blk00000003/sig0000020a , \blk00000003/sig0000020b , \blk00000003/sig0000020c , \blk00000003/sig0000020d , \blk00000003/sig0000020e , +\blk00000003/sig0000020f , \blk00000003/sig00000210 , \blk00000003/sig00000211 }), + .BCOUT({\NLW_blk00000003/blk00000016_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000212 , \blk00000003/sig00000213 , \blk00000003/sig00000214 , \blk00000003/sig00000215 , \blk00000003/sig00000216 , +\blk00000003/sig00000217 , \blk00000003/sig00000218 , \blk00000003/sig00000219 , \blk00000003/sig0000021a , \blk00000003/sig0000021b , +\blk00000003/sig0000021c , \blk00000003/sig0000021d , \blk00000003/sig0000021e , \blk00000003/sig0000021f , \blk00000003/sig00000220 , +\blk00000003/sig00000221 , \blk00000003/sig00000222 , \blk00000003/sig00000223 , \blk00000003/sig00000224 , \blk00000003/sig00000225 , +\blk00000003/sig00000226 , \blk00000003/sig00000227 , \blk00000003/sig00000228 , \blk00000003/sig00000229 , \blk00000003/sig0000022a , +\blk00000003/sig0000022b , \blk00000003/sig0000022c , \blk00000003/sig0000022d , \blk00000003/sig0000022e , \blk00000003/sig0000022f , +\blk00000003/sig00000230 , \blk00000003/sig00000231 , \blk00000003/sig00000232 , \blk00000003/sig00000233 , \blk00000003/sig00000234 , +\blk00000003/sig00000235 , \blk00000003/sig00000236 , \blk00000003/sig00000237 , \blk00000003/sig00000238 , \blk00000003/sig00000239 , +\blk00000003/sig0000023a , \blk00000003/sig0000023b , \blk00000003/sig0000023c , \blk00000003/sig0000023d , \blk00000003/sig0000023e , +\blk00000003/sig0000023f , \blk00000003/sig00000240 , \blk00000003/sig00000241 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk00000016_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000016_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000016_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000016_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000016_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000016_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<31>_UNCONNECTED , +\blk00000003/sig00000115 , \blk00000003/sig00000116 , \blk00000003/sig00000117 , \blk00000003/sig00000118 , \blk00000003/sig00000119 , +\blk00000003/sig0000011a , \blk00000003/sig0000011b , \blk00000003/sig0000011c , \blk00000003/sig0000011d , \blk00000003/sig0000011e , +\blk00000003/sig0000011f , \blk00000003/sig00000120 , \blk00000003/sig00000121 , \blk00000003/sig00000122 , \blk00000003/sig00000123 , +\blk00000003/sig00000124 , \blk00000003/sig00000125 , \blk00000003/sig00000126 , \blk00000003/sig00000127 , \blk00000003/sig00000128 , +\blk00000003/sig00000129 , \blk00000003/sig0000012a , \blk00000003/sig0000012b , \blk00000003/sig0000012c , \blk00000003/sig0000012d , +\blk00000003/sig0000012e , \blk00000003/sig0000012f , \blk00000003/sig00000130 , \blk00000003/sig00000131 , \blk00000003/sig00000132 , +\blk00000003/sig00000133 }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig0000015d , \blk00000003/sig0000015f , \blk00000003/sig00000161 }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .PCOUT({\NLW_blk00000003/blk00000016_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000001a8 , \blk00000003/sig000001a9 , \blk00000003/sig000001aa , \blk00000003/sig000001ab , \blk00000003/sig000001ac , +\blk00000003/sig000001ad , \blk00000003/sig000001ae , \blk00000003/sig000001af , \blk00000003/sig000001b0 , \blk00000003/sig000001b1 , +\blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , \blk00000003/sig000001b5 , \blk00000003/sig000001b6 , +\blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 }), + .M({\NLW_blk00000003/blk00000016_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 1 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000015 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000015_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000015_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000001ba , \blk00000003/sig000001bb , \blk00000003/sig000001bc , +\blk00000003/sig000001bd , \blk00000003/sig000001be , \blk00000003/sig000001bf , \blk00000003/sig000001c0 , \blk00000003/sig000001c1 , +\blk00000003/sig000001c2 , \blk00000003/sig000001c3 , \blk00000003/sig000001c4 , \blk00000003/sig000001c5 , \blk00000003/sig000001c6 , +\blk00000003/sig000001c7 , \blk00000003/sig000001c8 , \blk00000003/sig000001c9 }), + .BCOUT({\NLW_blk00000003/blk00000015_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000001ca , \blk00000003/sig000001cb , \blk00000003/sig000001cc , \blk00000003/sig000001cd , \blk00000003/sig000001ce , +\blk00000003/sig000001cf , \blk00000003/sig000001d0 , \blk00000003/sig000001d1 , \blk00000003/sig000001d2 , \blk00000003/sig000001d3 , +\blk00000003/sig000001d4 , \blk00000003/sig000001d5 , \blk00000003/sig000001d6 , \blk00000003/sig000001d7 , \blk00000003/sig000001d8 , +\blk00000003/sig000001d9 , \blk00000003/sig000001da , \blk00000003/sig000001db , \blk00000003/sig000001dc , \blk00000003/sig000001dd , +\blk00000003/sig000001de , \blk00000003/sig000001df , \blk00000003/sig000001e0 , \blk00000003/sig000001e1 , \blk00000003/sig000001e2 , +\blk00000003/sig000001e3 , \blk00000003/sig000001e4 , \blk00000003/sig000001e5 , \blk00000003/sig000001e6 , \blk00000003/sig000001e7 , +\blk00000003/sig000001e8 , \blk00000003/sig000001e9 , \blk00000003/sig000001ea , \blk00000003/sig000001eb , \blk00000003/sig000001ec , +\blk00000003/sig000001ed , \blk00000003/sig000001ee , \blk00000003/sig000001ef , \blk00000003/sig000001f0 , \blk00000003/sig000001f1 , +\blk00000003/sig000001f2 , \blk00000003/sig000001f3 , \blk00000003/sig000001f4 , \blk00000003/sig000001f5 , \blk00000003/sig000001f6 , +\blk00000003/sig000001f7 , \blk00000003/sig000001f8 , \blk00000003/sig000001f9 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk00000015_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000015_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000015_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000015_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000015_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000015_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000015_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000015_P<40>_UNCONNECTED , +\blk00000003/sig000000fd , \blk00000003/sig000000fe , \blk00000003/sig000000ff , \blk00000003/sig00000100 , \blk00000003/sig00000101 , +\blk00000003/sig00000102 , \blk00000003/sig000000ad , \blk00000003/sig000000ae , \blk00000003/sig000000af , \blk00000003/sig000000b0 , +\blk00000003/sig000000b1 , \blk00000003/sig000000b2 , \blk00000003/sig000000b3 , \blk00000003/sig000000b4 , \blk00000003/sig000000b5 , +\blk00000003/sig000000b6 , \blk00000003/sig000000b7 , \blk00000003/sig000000b8 , \blk00000003/sig000000b9 , \blk00000003/sig000000ba , +\blk00000003/sig000000bb , \blk00000003/sig000000bc , \blk00000003/sig000000bd , \blk00000003/sig000000be , \blk00000003/sig000001fa , +\blk00000003/sig000001fb , \blk00000003/sig000001fc , \blk00000003/sig000001fd , \blk00000003/sig000001fe , \blk00000003/sig000001ff , +\blk00000003/sig00000200 , \blk00000003/sig00000201 , \blk00000003/sig00000202 , \blk00000003/sig00000203 , \blk00000003/sig00000204 , +\blk00000003/sig00000205 , \blk00000003/sig00000206 , \blk00000003/sig00000207 , \blk00000003/sig00000208 , \blk00000003/sig00000209 }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig0000015d , \blk00000003/sig0000015f , \blk00000003/sig00000161 }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .PCOUT({\NLW_blk00000003/blk00000015_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000001a8 , \blk00000003/sig000001a9 , \blk00000003/sig000001aa , \blk00000003/sig000001ab , \blk00000003/sig000001ac , +\blk00000003/sig000001ad , \blk00000003/sig000001ae , \blk00000003/sig000001af , \blk00000003/sig000001b0 , \blk00000003/sig000001b1 , +\blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , \blk00000003/sig000001b5 , \blk00000003/sig000001b6 , +\blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 }), + .M({\NLW_blk00000003/blk00000015_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 1 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000014 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000014_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000014_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000170 , \blk00000003/sig00000170 , \blk00000003/sig00000170 , \blk00000003/sig00000170 , \blk00000003/sig00000170 , +\blk00000003/sig00000170 , \blk00000003/sig00000170 , \blk00000003/sig00000170 , \blk00000003/sig00000170 , \blk00000003/sig00000170 , +\blk00000003/sig00000170 , \blk00000003/sig00000171 , \blk00000003/sig00000172 , \blk00000003/sig00000173 , \blk00000003/sig00000174 , +\blk00000003/sig00000175 , \blk00000003/sig00000176 , \blk00000003/sig00000177 }), + .BCOUT({\NLW_blk00000003/blk00000014_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000014_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000014_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000014_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000014_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000014_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000014_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000014_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000014_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000014_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000014_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000014_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000014_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000014_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000014_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000014_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000014_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000014_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000178 , \blk00000003/sig00000179 , \blk00000003/sig0000017a , \blk00000003/sig0000017b , \blk00000003/sig0000017c , +\blk00000003/sig0000017d , \blk00000003/sig0000017e , \blk00000003/sig0000017f , \blk00000003/sig00000180 , \blk00000003/sig00000181 , +\blk00000003/sig00000182 , \blk00000003/sig00000183 , \blk00000003/sig00000184 , \blk00000003/sig00000185 , \blk00000003/sig00000186 , +\blk00000003/sig00000187 , \blk00000003/sig00000188 , \blk00000003/sig00000189 , \blk00000003/sig0000018a , \blk00000003/sig0000018b , +\blk00000003/sig0000018c , \blk00000003/sig0000018d , \blk00000003/sig0000018e , \blk00000003/sig0000018f , \blk00000003/sig00000190 , +\blk00000003/sig00000191 , \blk00000003/sig00000192 , \blk00000003/sig00000193 , \blk00000003/sig00000194 , \blk00000003/sig00000195 , +\blk00000003/sig00000196 , \blk00000003/sig00000197 , \blk00000003/sig00000198 , \blk00000003/sig00000199 , \blk00000003/sig0000019a , +\blk00000003/sig0000019b , \blk00000003/sig0000019c , \blk00000003/sig0000019d , \blk00000003/sig0000019e , \blk00000003/sig0000019f , +\blk00000003/sig000001a0 , \blk00000003/sig000001a1 , \blk00000003/sig000001a2 , \blk00000003/sig000001a3 , \blk00000003/sig000001a4 , +\blk00000003/sig000001a5 , \blk00000003/sig000001a6 , \blk00000003/sig000001a7 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk00000014_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000014_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000014_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000014_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000014_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000014_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<31>_UNCONNECTED , +\blk00000003/sig000000bf , \blk00000003/sig000000c0 , \blk00000003/sig000000c1 , \blk00000003/sig000000c2 , \blk00000003/sig000000c3 , +\blk00000003/sig000000c4 , \blk00000003/sig000000c5 , \blk00000003/sig000000c6 , \blk00000003/sig000000c7 , \blk00000003/sig000000c8 , +\blk00000003/sig000000c9 , \blk00000003/sig000000ca , \blk00000003/sig000000cb , \blk00000003/sig000000cc , \blk00000003/sig000000cd , +\blk00000003/sig000000ce , \blk00000003/sig000000cf , \blk00000003/sig000000d0 , \blk00000003/sig000000d1 , \blk00000003/sig000000d2 , +\blk00000003/sig000000d3 , \blk00000003/sig000000d4 , \blk00000003/sig000000d5 , \blk00000003/sig000000d6 , \blk00000003/sig000000d7 , +\blk00000003/sig000000d8 , \blk00000003/sig000000d9 , \blk00000003/sig000000da , \blk00000003/sig000000db , \blk00000003/sig000000dc , +\blk00000003/sig000000dd }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig0000015d , \blk00000003/sig0000015f , \blk00000003/sig00000161 }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .PCOUT({\NLW_blk00000003/blk00000014_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000001a8 , \blk00000003/sig000001a9 , \blk00000003/sig000001aa , \blk00000003/sig000001ab , \blk00000003/sig000001ac , +\blk00000003/sig000001ad , \blk00000003/sig000001ae , \blk00000003/sig000001af , \blk00000003/sig000001b0 , \blk00000003/sig000001b1 , +\blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , \blk00000003/sig000001b5 , \blk00000003/sig000001b6 , +\blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 }), + .M({\NLW_blk00000003/blk00000014_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<0>_UNCONNECTED }) + ); + MUXCY_D \blk00000003/blk00000013 ( + .CI(\blk00000003/sig000000ac ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig0000016f ), + .O(\blk00000003/sig0000016a ), + .LO(\NLW_blk00000003/blk00000013_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000012 ( + .CI(\blk00000003/sig0000016d ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig0000016e ) + ); + MUXCY \blk00000003/blk00000011 ( + .CI(\blk00000003/sig0000016d ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000ac ), + .O(\blk00000003/sig00000162 ) + ); + MUXCY_D \blk00000003/blk00000010 ( + .CI(\blk00000003/sig0000016a ), + .DI(\blk00000003/sig0000016b ), + .S(\blk00000003/sig0000016c ), + .O(\blk00000003/sig0000016d ), + .LO(\NLW_blk00000003/blk00000010_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk0000000f ( + .CI(\blk00000003/sig00000168 ), + .LI(\blk00000003/sig000000ac ), + .O(\blk00000003/sig00000169 ) + ); + MUXCY_D \blk00000003/blk0000000e ( + .CI(\blk00000003/sig00000165 ), + .DI(\blk00000003/sig00000166 ), + .S(\blk00000003/sig00000167 ), + .O(\NLW_blk00000003/blk0000000e_O_UNCONNECTED ), + .LO(\blk00000003/sig00000168 ) + ); + MUXCY_D \blk00000003/blk0000000d ( + .CI(\blk00000003/sig00000162 ), + .DI(\blk00000003/sig00000163 ), + .S(\blk00000003/sig00000164 ), + .O(\blk00000003/sig00000165 ), + .LO(\NLW_blk00000003/blk0000000d_LO_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk0000000c ( + .C(clk), + .D(\blk00000003/sig00000160 ), + .Q(\blk00000003/sig00000161 ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk0000000b ( + .C(clk), + .D(\blk00000003/sig0000015e ), + .Q(\blk00000003/sig0000015f ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk0000000a ( + .C(clk), + .D(\blk00000003/sig0000015c ), + .Q(\blk00000003/sig0000015d ) + ); + XORCY \blk00000003/blk00000009 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig0000015a ), + .O(\blk00000003/sig0000015b ) + ); + MUXCY_D \blk00000003/blk00000008 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000159 ), + .S(\blk00000003/sig0000015a ), + .O(\NLW_blk00000003/blk00000008_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk00000008_LO_UNCONNECTED ) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 0 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000007 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000007_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000007_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000103 , \blk00000003/sig00000104 , \blk00000003/sig00000105 , \blk00000003/sig00000106 , \blk00000003/sig00000107 , +\blk00000003/sig00000108 , \blk00000003/sig00000109 , \blk00000003/sig0000010a , \blk00000003/sig0000010b , \blk00000003/sig0000010c , +\blk00000003/sig0000010d , \blk00000003/sig0000010e , \blk00000003/sig0000010f , \blk00000003/sig00000110 , \blk00000003/sig00000111 , +\blk00000003/sig00000112 , \blk00000003/sig00000113 , \blk00000003/sig00000114 }), + .BCOUT({\NLW_blk00000003/blk00000007_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , +\blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , +\blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , +\blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000116 , \blk00000003/sig00000117 , +\blk00000003/sig00000118 , \blk00000003/sig00000119 , \blk00000003/sig0000011a , \blk00000003/sig0000011b , \blk00000003/sig0000011c , +\blk00000003/sig0000011d , \blk00000003/sig0000011e , \blk00000003/sig0000011f , \blk00000003/sig00000120 , \blk00000003/sig00000121 , +\blk00000003/sig00000122 , \blk00000003/sig00000123 , \blk00000003/sig00000124 , \blk00000003/sig00000125 , \blk00000003/sig00000126 , +\blk00000003/sig00000127 , \blk00000003/sig00000128 , \blk00000003/sig00000129 , \blk00000003/sig0000012a , \blk00000003/sig0000012b , +\blk00000003/sig0000012c , \blk00000003/sig0000012d , \blk00000003/sig0000012e , \blk00000003/sig0000012f , \blk00000003/sig00000130 , +\blk00000003/sig00000131 , \blk00000003/sig00000132 , \blk00000003/sig00000133 }), + .P({\NLW_blk00000003/blk00000007_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<31>_UNCONNECTED , +\blk00000003/sig00000134 , \blk00000003/sig00000135 , \blk00000003/sig00000136 , \blk00000003/sig00000137 , \blk00000003/sig00000138 , +\blk00000003/sig00000139 , \blk00000003/sig0000013a , \blk00000003/sig0000013b , \blk00000003/sig0000013c , \blk00000003/sig0000013d , +\blk00000003/sig0000013e , \blk00000003/sig0000013f , \blk00000003/sig00000140 , \blk00000003/sig00000141 , \blk00000003/sig00000142 , +\blk00000003/sig00000143 , \blk00000003/sig00000144 , \blk00000003/sig00000145 , \blk00000003/sig00000146 , \blk00000003/sig00000147 , +\blk00000003/sig00000148 , \blk00000003/sig00000149 , \blk00000003/sig0000014a , \blk00000003/sig0000014b , \blk00000003/sig0000014c , +\blk00000003/sig0000014d , \blk00000003/sig0000014e , \blk00000003/sig0000014f , \blk00000003/sig00000150 , \blk00000003/sig00000151 , +\blk00000003/sig00000152 }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , +\blk00000003/sig000000ac , \blk00000003/sig000000ac , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , +\blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , +\blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , +\blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 }), + .PCOUT({\NLW_blk00000003/blk00000007_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , +\blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , +\blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000154 , \blk00000003/sig00000155 , +\blk00000003/sig00000156 , \blk00000003/sig00000157 , \blk00000003/sig00000158 }), + .M({\NLW_blk00000003/blk00000007_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 0 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000006 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000006_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000006_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig000000ad , \blk00000003/sig000000ae , \blk00000003/sig000000af , \blk00000003/sig000000b0 , \blk00000003/sig000000b1 , +\blk00000003/sig000000b2 , \blk00000003/sig000000b3 , \blk00000003/sig000000b4 , \blk00000003/sig000000b5 , \blk00000003/sig000000b6 , +\blk00000003/sig000000b7 , \blk00000003/sig000000b8 , \blk00000003/sig000000b9 , \blk00000003/sig000000ba , \blk00000003/sig000000bb , +\blk00000003/sig000000bc , \blk00000003/sig000000bd , \blk00000003/sig000000be }), + .BCOUT({\NLW_blk00000003/blk00000006_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , +\blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , +\blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , +\blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000c0 , \blk00000003/sig000000c1 , +\blk00000003/sig000000c2 , \blk00000003/sig000000c3 , \blk00000003/sig000000c4 , \blk00000003/sig000000c5 , \blk00000003/sig000000c6 , +\blk00000003/sig000000c7 , \blk00000003/sig000000c8 , \blk00000003/sig000000c9 , \blk00000003/sig000000ca , \blk00000003/sig000000cb , +\blk00000003/sig000000cc , \blk00000003/sig000000cd , \blk00000003/sig000000ce , \blk00000003/sig000000cf , \blk00000003/sig000000d0 , +\blk00000003/sig000000d1 , \blk00000003/sig000000d2 , \blk00000003/sig000000d3 , \blk00000003/sig000000d4 , \blk00000003/sig000000d5 , +\blk00000003/sig000000d6 , \blk00000003/sig000000d7 , \blk00000003/sig000000d8 , \blk00000003/sig000000d9 , \blk00000003/sig000000da , +\blk00000003/sig000000db , \blk00000003/sig000000dc , \blk00000003/sig000000dd }), + .P({\NLW_blk00000003/blk00000006_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<31>_UNCONNECTED , +\blk00000003/sig000000de , \blk00000003/sig000000df , \blk00000003/sig000000e0 , \blk00000003/sig000000e1 , \blk00000003/sig000000e2 , +\blk00000003/sig000000e3 , \blk00000003/sig000000e4 , \blk00000003/sig000000e5 , \blk00000003/sig000000e6 , \blk00000003/sig000000e7 , +\blk00000003/sig000000e8 , \blk00000003/sig000000e9 , \blk00000003/sig000000ea , \blk00000003/sig000000eb , \blk00000003/sig000000ec , +\blk00000003/sig000000ed , \blk00000003/sig000000ee , \blk00000003/sig000000ef , \blk00000003/sig000000f0 , \blk00000003/sig000000f1 , +\blk00000003/sig000000f2 , \blk00000003/sig000000f3 , \blk00000003/sig000000f4 , \blk00000003/sig000000f5 , \blk00000003/sig000000f6 , +\blk00000003/sig000000f7 , \blk00000003/sig000000f8 , \blk00000003/sig000000f9 , \blk00000003/sig000000fa , \blk00000003/sig000000fb , +\blk00000003/sig000000fc }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , +\blk00000003/sig000000ac , \blk00000003/sig000000ac , \blk00000003/sig000000ac }), + .D({\blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , +\blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , +\blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , +\blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd }), + .PCOUT({\NLW_blk00000003/blk00000006_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , +\blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , +\blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fe , \blk00000003/sig000000ff , +\blk00000003/sig00000100 , \blk00000003/sig00000101 , \blk00000003/sig00000102 }), + .M({\NLW_blk00000003/blk00000006_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<0>_UNCONNECTED }) + ); + VCC \blk00000003/blk00000005 ( + .P(\blk00000003/sig000000ac ) + ); + GND \blk00000003/blk00000004 ( + .G(\blk00000003/sig00000049 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000034/blk00000096 ( + .I0(nd), + .I1(ce), + .O(\blk00000003/blk00000034/sig000009d5 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000095 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[22]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000095_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009d3 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000094 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[21]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000094_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009d2 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000093 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[23]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000093_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009d4 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000092 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[19]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000092_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009d0 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000091 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[18]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000091_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009cf ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000090 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[20]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000090_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009d1 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000008f ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[16]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000008f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009cd ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000008e ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[15]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000008e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009cc ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000008d ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[17]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000008d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009ce ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000008c ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[13]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000008c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009ca ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000008b ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[12]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000008b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c9 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000008a ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[14]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000008a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009cb ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000089 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[10]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000089_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c7 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000088 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[9]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000088_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c6 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000087 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[11]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000087_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c8 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000086 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[7]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000086_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c4 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000085 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[6]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000085_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c3 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000084 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[8]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000084_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c5 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000083 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[4]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000083_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c1 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000082 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[3]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000082_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c0 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000081 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[5]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000081_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c2 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000080 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[1]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000080_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009be ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000007f ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[0]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000007f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009bd ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000007e ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[2]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000007e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009bf ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000007d ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[22]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000007d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009bb ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000007c ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[21]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000007c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009ba ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000007b ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[23]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000007b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009bc ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000007a ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[19]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000007a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b8 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000079 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[18]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000079_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b7 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000078 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[20]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000078_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b9 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000077 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[16]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000077_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b5 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000076 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[15]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000076_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b4 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000075 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[17]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000075_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b6 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000074 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[13]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000074_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b2 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000073 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[12]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000073_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b1 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000072 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[14]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000072_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b3 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000071 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[10]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000071_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009af ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000070 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[9]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000070_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009ae ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000006f ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[11]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000006f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b0 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000006e ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[7]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000006e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009ac ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000006d ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[6]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000006d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009ab ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000006c ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[8]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000006c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009ad ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000006b ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[4]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000006b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009a9 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000006a ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[3]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000006a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009a8 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000069 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[5]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000069_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009aa ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000068 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[1]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000068_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009a6 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000067 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[0]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000067_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009a5 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000066 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[2]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000066_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009a7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000065 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009d4 ), + .Q(\blk00000003/sig000002b9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000064 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009d3 ), + .Q(\blk00000003/sig000002ba ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000063 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009d2 ), + .Q(\blk00000003/sig000002bb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000062 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009d1 ), + .Q(\blk00000003/sig000002bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000061 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009d0 ), + .Q(\blk00000003/sig000002bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000060 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009cf ), + .Q(\blk00000003/sig000002be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000005f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009ce ), + .Q(\blk00000003/sig000002bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000005e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009cd ), + .Q(\blk00000003/sig000002c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000005d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009cc ), + .Q(\blk00000003/sig000002c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000005c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009cb ), + .Q(\blk00000003/sig000002c2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000005b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009ca ), + .Q(\blk00000003/sig000002c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000005a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c9 ), + .Q(\blk00000003/sig000002c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000059 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c8 ), + .Q(\blk00000003/sig000002c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000058 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c7 ), + .Q(\blk00000003/sig000002c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000057 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c6 ), + .Q(\blk00000003/sig000002c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000056 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c5 ), + .Q(\blk00000003/sig000002c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000055 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c4 ), + .Q(\blk00000003/sig000002c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000054 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c3 ), + .Q(\blk00000003/sig000002ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000053 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c2 ), + .Q(\blk00000003/sig000002cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000052 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c1 ), + .Q(\blk00000003/sig000002cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000051 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c0 ), + .Q(\blk00000003/sig000002cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000050 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009bf ), + .Q(\blk00000003/sig000002ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000004f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009be ), + .Q(\blk00000003/sig000002cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000004e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009bd ), + .Q(\blk00000003/sig000002d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000004d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009bc ), + .Q(\blk00000003/sig000002d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000004c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009bb ), + .Q(\blk00000003/sig000002d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000004b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009ba ), + .Q(\blk00000003/sig000002d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000004a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b9 ), + .Q(\blk00000003/sig000002d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000049 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b8 ), + .Q(\blk00000003/sig000002d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000048 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b7 ), + .Q(\blk00000003/sig000002d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000047 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b6 ), + .Q(\blk00000003/sig000002d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000046 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b5 ), + .Q(\blk00000003/sig000002d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000045 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b4 ), + .Q(\blk00000003/sig000002d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000044 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b3 ), + .Q(\blk00000003/sig000002da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000043 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b2 ), + .Q(\blk00000003/sig000002db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000042 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b1 ), + .Q(\blk00000003/sig000002dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000041 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b0 ), + .Q(\blk00000003/sig000002dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000040 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009af ), + .Q(\blk00000003/sig000002de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000003f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009ae ), + .Q(\blk00000003/sig000002df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000003e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009ad ), + .Q(\blk00000003/sig000002e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000003d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009ac ), + .Q(\blk00000003/sig000002e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000003c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009ab ), + .Q(\blk00000003/sig000002e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000003b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009aa ), + .Q(\blk00000003/sig000002e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000003a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009a9 ), + .Q(\blk00000003/sig000002e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000039 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009a8 ), + .Q(\blk00000003/sig000002e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000038 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009a7 ), + .Q(\blk00000003/sig000002e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000037 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009a6 ), + .Q(\blk00000003/sig000002e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000036 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009a5 ), + .Q(\blk00000003/sig000002e8 ) + ); + GND \blk00000003/blk00000034/blk00000035 ( + .G(\blk00000003/blk00000034/sig000009a4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000130/blk00000152 ( + .I0(\blk00000003/sig0000067c ), + .I1(ce), + .O(\blk00000003/blk00000130/sig00000a0c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000151 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000641 ), + .Q(\blk00000003/blk00000130/sig00000a0b ), + .Q15(\NLW_blk00000003/blk00000130/blk00000151_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000150 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000642 ), + .Q(\blk00000003/blk00000130/sig00000a0a ), + .Q15(\NLW_blk00000003/blk00000130/blk00000150_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk0000014f ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000643 ), + .Q(\blk00000003/blk00000130/sig00000a09 ), + .Q15(\NLW_blk00000003/blk00000130/blk0000014f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk0000014e ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000644 ), + .Q(\blk00000003/blk00000130/sig00000a08 ), + .Q15(\NLW_blk00000003/blk00000130/blk0000014e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk0000014d ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000645 ), + .Q(\blk00000003/blk00000130/sig00000a07 ), + .Q15(\NLW_blk00000003/blk00000130/blk0000014d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk0000014c ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000646 ), + .Q(\blk00000003/blk00000130/sig00000a06 ), + .Q15(\NLW_blk00000003/blk00000130/blk0000014c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk0000014b ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000647 ), + .Q(\blk00000003/blk00000130/sig00000a05 ), + .Q15(\NLW_blk00000003/blk00000130/blk0000014b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk0000014a ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000648 ), + .Q(\blk00000003/blk00000130/sig00000a04 ), + .Q15(\NLW_blk00000003/blk00000130/blk0000014a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000149 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000649 ), + .Q(\blk00000003/blk00000130/sig00000a03 ), + .Q15(\NLW_blk00000003/blk00000130/blk00000149_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000148 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig0000064a ), + .Q(\blk00000003/blk00000130/sig00000a02 ), + .Q15(\NLW_blk00000003/blk00000130/blk00000148_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000147 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig0000064b ), + .Q(\blk00000003/blk00000130/sig00000a01 ), + .Q15(\NLW_blk00000003/blk00000130/blk00000147_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000146 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig0000064c ), + .Q(\blk00000003/blk00000130/sig00000a00 ), + .Q15(\NLW_blk00000003/blk00000130/blk00000146_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000145 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig0000064d ), + .Q(\blk00000003/blk00000130/sig000009ff ), + .Q15(\NLW_blk00000003/blk00000130/blk00000145_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000144 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig0000064e ), + .Q(\blk00000003/blk00000130/sig000009fe ), + .Q15(\NLW_blk00000003/blk00000130/blk00000144_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000143 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig0000064f ), + .Q(\blk00000003/blk00000130/sig000009fd ), + .Q15(\NLW_blk00000003/blk00000130/blk00000143_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000142 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000650 ), + .Q(\blk00000003/blk00000130/sig000009fc ), + .Q15(\NLW_blk00000003/blk00000130/blk00000142_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000141 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a0b ), + .Q(\blk00000003/sig000005d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000140 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a0a ), + .Q(\blk00000003/sig000005d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk0000013f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a09 ), + .Q(\blk00000003/sig000005d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk0000013e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a08 ), + .Q(\blk00000003/sig000005d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk0000013d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a07 ), + .Q(\blk00000003/sig000005d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk0000013c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a06 ), + .Q(\blk00000003/sig000005d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk0000013b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a05 ), + .Q(\blk00000003/sig000005d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk0000013a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a04 ), + .Q(\blk00000003/sig000005d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000139 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a03 ), + .Q(\blk00000003/sig000005d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000138 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a02 ), + .Q(\blk00000003/sig000005da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000137 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a01 ), + .Q(\blk00000003/sig000005db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000136 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a00 ), + .Q(\blk00000003/sig000005dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000135 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig000009ff ), + .Q(\blk00000003/sig000005dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000134 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig000009fe ), + .Q(\blk00000003/sig000005de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000133 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig000009fd ), + .Q(\blk00000003/sig000005df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000132 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig000009fc ), + .Q(\blk00000003/sig000005e0 ) + ); + GND \blk00000003/blk00000130/blk00000131 ( + .G(\blk00000003/blk00000130/sig000009fb ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000153/blk00000175 ( + .I0(\blk00000003/sig0000067a ), + .I1(ce), + .O(\blk00000003/blk00000153/sig00000a43 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000174 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig0000068d ), + .Q(\blk00000003/blk00000153/sig00000a42 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000174_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000173 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig0000068e ), + .Q(\blk00000003/blk00000153/sig00000a41 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000173_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000172 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig0000068f ), + .Q(\blk00000003/blk00000153/sig00000a40 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000172_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000171 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000690 ), + .Q(\blk00000003/blk00000153/sig00000a3f ), + .Q15(\NLW_blk00000003/blk00000153/blk00000171_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000170 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000691 ), + .Q(\blk00000003/blk00000153/sig00000a3e ), + .Q15(\NLW_blk00000003/blk00000153/blk00000170_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000016f ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000692 ), + .Q(\blk00000003/blk00000153/sig00000a3d ), + .Q15(\NLW_blk00000003/blk00000153/blk0000016f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000016e ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000693 ), + .Q(\blk00000003/blk00000153/sig00000a3c ), + .Q15(\NLW_blk00000003/blk00000153/blk0000016e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000016d ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000694 ), + .Q(\blk00000003/blk00000153/sig00000a3b ), + .Q15(\NLW_blk00000003/blk00000153/blk0000016d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000016c ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000695 ), + .Q(\blk00000003/blk00000153/sig00000a3a ), + .Q15(\NLW_blk00000003/blk00000153/blk0000016c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000016b ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000696 ), + .Q(\blk00000003/blk00000153/sig00000a39 ), + .Q15(\NLW_blk00000003/blk00000153/blk0000016b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000016a ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000697 ), + .Q(\blk00000003/blk00000153/sig00000a38 ), + .Q15(\NLW_blk00000003/blk00000153/blk0000016a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000169 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000698 ), + .Q(\blk00000003/blk00000153/sig00000a37 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000169_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000168 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000699 ), + .Q(\blk00000003/blk00000153/sig00000a36 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000168_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000167 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig0000069a ), + .Q(\blk00000003/blk00000153/sig00000a35 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000167_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000166 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig0000069b ), + .Q(\blk00000003/blk00000153/sig00000a34 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000166_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000165 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig0000069c ), + .Q(\blk00000003/blk00000153/sig00000a33 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000165_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000164 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a42 ), + .Q(\blk00000003/sig00000591 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000163 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a41 ), + .Q(\blk00000003/sig00000592 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000162 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a40 ), + .Q(\blk00000003/sig00000593 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000161 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a3f ), + .Q(\blk00000003/sig00000594 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000160 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a3e ), + .Q(\blk00000003/sig00000595 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a3d ), + .Q(\blk00000003/sig00000596 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a3c ), + .Q(\blk00000003/sig00000597 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a3b ), + .Q(\blk00000003/sig00000598 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a3a ), + .Q(\blk00000003/sig00000599 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a39 ), + .Q(\blk00000003/sig0000059a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a38 ), + .Q(\blk00000003/sig0000059b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000159 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a37 ), + .Q(\blk00000003/sig0000059c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000158 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a36 ), + .Q(\blk00000003/sig0000059d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000157 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a35 ), + .Q(\blk00000003/sig0000059e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000156 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a34 ), + .Q(\blk00000003/sig0000059f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000155 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a33 ), + .Q(\blk00000003/sig000005a0 ) + ); + GND \blk00000003/blk00000153/blk00000154 ( + .G(\blk00000003/blk00000153/sig00000a32 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000176/blk00000198 ( + .I0(\blk00000003/sig0000067c ), + .I1(ce), + .O(\blk00000003/blk00000176/sig00000a7a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000197 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000661 ), + .Q(\blk00000003/blk00000176/sig00000a79 ), + .Q15(\NLW_blk00000003/blk00000176/blk00000197_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000196 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000662 ), + .Q(\blk00000003/blk00000176/sig00000a78 ), + .Q15(\NLW_blk00000003/blk00000176/blk00000196_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000195 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000663 ), + .Q(\blk00000003/blk00000176/sig00000a77 ), + .Q15(\NLW_blk00000003/blk00000176/blk00000195_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000194 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000664 ), + .Q(\blk00000003/blk00000176/sig00000a76 ), + .Q15(\NLW_blk00000003/blk00000176/blk00000194_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000193 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000665 ), + .Q(\blk00000003/blk00000176/sig00000a75 ), + .Q15(\NLW_blk00000003/blk00000176/blk00000193_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000192 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000666 ), + .Q(\blk00000003/blk00000176/sig00000a74 ), + .Q15(\NLW_blk00000003/blk00000176/blk00000192_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000191 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000667 ), + .Q(\blk00000003/blk00000176/sig00000a73 ), + .Q15(\NLW_blk00000003/blk00000176/blk00000191_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000190 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000668 ), + .Q(\blk00000003/blk00000176/sig00000a72 ), + .Q15(\NLW_blk00000003/blk00000176/blk00000190_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk0000018f ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000669 ), + .Q(\blk00000003/blk00000176/sig00000a71 ), + .Q15(\NLW_blk00000003/blk00000176/blk0000018f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk0000018e ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig0000066a ), + .Q(\blk00000003/blk00000176/sig00000a70 ), + .Q15(\NLW_blk00000003/blk00000176/blk0000018e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk0000018d ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig0000066b ), + .Q(\blk00000003/blk00000176/sig00000a6f ), + .Q15(\NLW_blk00000003/blk00000176/blk0000018d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk0000018c ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig0000066c ), + .Q(\blk00000003/blk00000176/sig00000a6e ), + .Q15(\NLW_blk00000003/blk00000176/blk0000018c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk0000018b ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig0000066d ), + .Q(\blk00000003/blk00000176/sig00000a6d ), + .Q15(\NLW_blk00000003/blk00000176/blk0000018b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk0000018a ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig0000066e ), + .Q(\blk00000003/blk00000176/sig00000a6c ), + .Q15(\NLW_blk00000003/blk00000176/blk0000018a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000189 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig0000066f ), + .Q(\blk00000003/blk00000176/sig00000a6b ), + .Q15(\NLW_blk00000003/blk00000176/blk00000189_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000188 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000670 ), + .Q(\blk00000003/blk00000176/sig00000a6a ), + .Q15(\NLW_blk00000003/blk00000176/blk00000188_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000187 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a79 ), + .Q(\blk00000003/sig00000621 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000186 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a78 ), + .Q(\blk00000003/sig00000622 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000185 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a77 ), + .Q(\blk00000003/sig00000623 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000184 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a76 ), + .Q(\blk00000003/sig00000624 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000183 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a75 ), + .Q(\blk00000003/sig00000625 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000182 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a74 ), + .Q(\blk00000003/sig00000626 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000181 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a73 ), + .Q(\blk00000003/sig00000627 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000180 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a72 ), + .Q(\blk00000003/sig00000628 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk0000017f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a71 ), + .Q(\blk00000003/sig00000629 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk0000017e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a70 ), + .Q(\blk00000003/sig0000062a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk0000017d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a6f ), + .Q(\blk00000003/sig0000062b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk0000017c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a6e ), + .Q(\blk00000003/sig0000062c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk0000017b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a6d ), + .Q(\blk00000003/sig0000062d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk0000017a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a6c ), + .Q(\blk00000003/sig0000062e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000179 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a6b ), + .Q(\blk00000003/sig0000062f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000178 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a6a ), + .Q(\blk00000003/sig00000630 ) + ); + GND \blk00000003/blk00000176/blk00000177 ( + .G(\blk00000003/blk00000176/sig00000a69 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000199/blk000001bb ( + .I0(\blk00000003/sig0000067a ), + .I1(ce), + .O(\blk00000003/blk00000199/sig00000ab1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001ba ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig0000069d ), + .Q(\blk00000003/blk00000199/sig00000ab0 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001ba_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b9 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig0000069e ), + .Q(\blk00000003/blk00000199/sig00000aaf ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b8 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig0000069f ), + .Q(\blk00000003/blk00000199/sig00000aae ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b7 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a0 ), + .Q(\blk00000003/blk00000199/sig00000aad ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b6 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a1 ), + .Q(\blk00000003/blk00000199/sig00000aac ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b5 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a2 ), + .Q(\blk00000003/blk00000199/sig00000aab ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b4 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a3 ), + .Q(\blk00000003/blk00000199/sig00000aaa ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b3 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a4 ), + .Q(\blk00000003/blk00000199/sig00000aa9 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b2 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a5 ), + .Q(\blk00000003/blk00000199/sig00000aa8 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b1 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a6 ), + .Q(\blk00000003/blk00000199/sig00000aa7 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b0 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a7 ), + .Q(\blk00000003/blk00000199/sig00000aa6 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001af ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a8 ), + .Q(\blk00000003/blk00000199/sig00000aa5 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001af_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001ae ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a9 ), + .Q(\blk00000003/blk00000199/sig00000aa4 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001ae_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001ad ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006aa ), + .Q(\blk00000003/blk00000199/sig00000aa3 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001ad_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001ac ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006ab ), + .Q(\blk00000003/blk00000199/sig00000aa2 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001ac_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001ab ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006ac ), + .Q(\blk00000003/blk00000199/sig00000aa1 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001ab_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000ab0 ), + .Q(\blk00000003/sig000005e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aaf ), + .Q(\blk00000003/sig000005e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aae ), + .Q(\blk00000003/sig000005e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aad ), + .Q(\blk00000003/sig000005e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aac ), + .Q(\blk00000003/sig000005e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aab ), + .Q(\blk00000003/sig000005e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aaa ), + .Q(\blk00000003/sig000005e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aa9 ), + .Q(\blk00000003/sig000005e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aa8 ), + .Q(\blk00000003/sig000005e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aa7 ), + .Q(\blk00000003/sig000005ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aa6 ), + .Q(\blk00000003/sig000005eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk0000019f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aa5 ), + .Q(\blk00000003/sig000005ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk0000019e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aa4 ), + .Q(\blk00000003/sig000005ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk0000019d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aa3 ), + .Q(\blk00000003/sig000005ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk0000019c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aa2 ), + .Q(\blk00000003/sig000005ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk0000019b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aa1 ), + .Q(\blk00000003/sig000005f0 ) + ); + GND \blk00000003/blk00000199/blk0000019a ( + .G(\blk00000003/blk00000199/sig00000aa0 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000001bc/blk000001de ( + .I0(\blk00000003/sig0000067b ), + .I1(ce), + .O(\blk00000003/blk000001bc/sig00000ae8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001dd ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig0000043d ), + .Q(\blk00000003/blk000001bc/sig00000ae7 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001dd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001dc ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig0000043e ), + .Q(\blk00000003/blk000001bc/sig00000ae6 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001dc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001db ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig0000043f ), + .Q(\blk00000003/blk000001bc/sig00000ae5 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001db_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001da ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000440 ), + .Q(\blk00000003/blk000001bc/sig00000ae4 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001da_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d9 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000441 ), + .Q(\blk00000003/blk000001bc/sig00000ae3 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d8 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000442 ), + .Q(\blk00000003/blk000001bc/sig00000ae2 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d7 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000443 ), + .Q(\blk00000003/blk000001bc/sig00000ae1 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d6 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000444 ), + .Q(\blk00000003/blk000001bc/sig00000ae0 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d5 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000445 ), + .Q(\blk00000003/blk000001bc/sig00000adf ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d4 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000446 ), + .Q(\blk00000003/blk000001bc/sig00000ade ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d3 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000447 ), + .Q(\blk00000003/blk000001bc/sig00000add ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d2 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000448 ), + .Q(\blk00000003/blk000001bc/sig00000adc ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d1 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000449 ), + .Q(\blk00000003/blk000001bc/sig00000adb ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d0 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig0000044a ), + .Q(\blk00000003/blk000001bc/sig00000ada ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001cf ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig0000044b ), + .Q(\blk00000003/blk000001bc/sig00000ad9 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001cf_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001ce ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig0000044c ), + .Q(\blk00000003/blk000001bc/sig00000ad8 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001ce_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ae7 ), + .Q(\blk00000003/sig00000641 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ae6 ), + .Q(\blk00000003/sig00000642 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ae5 ), + .Q(\blk00000003/sig00000643 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ae4 ), + .Q(\blk00000003/sig00000644 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ae3 ), + .Q(\blk00000003/sig00000645 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ae2 ), + .Q(\blk00000003/sig00000646 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ae1 ), + .Q(\blk00000003/sig00000647 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ae0 ), + .Q(\blk00000003/sig00000648 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000adf ), + .Q(\blk00000003/sig00000649 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ade ), + .Q(\blk00000003/sig0000064a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000add ), + .Q(\blk00000003/sig0000064b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000adc ), + .Q(\blk00000003/sig0000064c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000adb ), + .Q(\blk00000003/sig0000064d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ada ), + .Q(\blk00000003/sig0000064e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ad9 ), + .Q(\blk00000003/sig0000064f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ad8 ), + .Q(\blk00000003/sig00000650 ) + ); + GND \blk00000003/blk000001bc/blk000001bd ( + .G(\blk00000003/blk000001bc/sig00000ad7 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000001df/blk00000201 ( + .I0(\blk00000003/sig00000679 ), + .I1(ce), + .O(\blk00000003/blk000001df/sig00000b1f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk00000200 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006ad ), + .Q(\blk00000003/blk000001df/sig00000b1e ), + .Q15(\NLW_blk00000003/blk000001df/blk00000200_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001ff ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006ae ), + .Q(\blk00000003/blk000001df/sig00000b1d ), + .Q15(\NLW_blk00000003/blk000001df/blk000001ff_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001fe ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006af ), + .Q(\blk00000003/blk000001df/sig00000b1c ), + .Q15(\NLW_blk00000003/blk000001df/blk000001fe_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001fd ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b0 ), + .Q(\blk00000003/blk000001df/sig00000b1b ), + .Q15(\NLW_blk00000003/blk000001df/blk000001fd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001fc ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b1 ), + .Q(\blk00000003/blk000001df/sig00000b1a ), + .Q15(\NLW_blk00000003/blk000001df/blk000001fc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001fb ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b2 ), + .Q(\blk00000003/blk000001df/sig00000b19 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001fb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001fa ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b3 ), + .Q(\blk00000003/blk000001df/sig00000b18 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001fa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001f9 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b4 ), + .Q(\blk00000003/blk000001df/sig00000b17 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001f9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001f8 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b5 ), + .Q(\blk00000003/blk000001df/sig00000b16 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001f8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001f7 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b6 ), + .Q(\blk00000003/blk000001df/sig00000b15 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001f7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001f6 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b7 ), + .Q(\blk00000003/blk000001df/sig00000b14 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001f6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001f5 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b8 ), + .Q(\blk00000003/blk000001df/sig00000b13 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001f5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001f4 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b9 ), + .Q(\blk00000003/blk000001df/sig00000b12 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001f4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001f3 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006ba ), + .Q(\blk00000003/blk000001df/sig00000b11 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001f3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001f2 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006bb ), + .Q(\blk00000003/blk000001df/sig00000b10 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001f2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001f1 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006bc ), + .Q(\blk00000003/blk000001df/sig00000b0f ), + .Q15(\NLW_blk00000003/blk000001df/blk000001f1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b1e ), + .Q(\blk00000003/sig00000631 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b1d ), + .Q(\blk00000003/sig00000632 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b1c ), + .Q(\blk00000003/sig00000633 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b1b ), + .Q(\blk00000003/sig00000634 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b1a ), + .Q(\blk00000003/sig00000635 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b19 ), + .Q(\blk00000003/sig00000636 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b18 ), + .Q(\blk00000003/sig00000637 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b17 ), + .Q(\blk00000003/sig00000638 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b16 ), + .Q(\blk00000003/sig00000639 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b15 ), + .Q(\blk00000003/sig0000063a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b14 ), + .Q(\blk00000003/sig0000063b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b13 ), + .Q(\blk00000003/sig0000063c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b12 ), + .Q(\blk00000003/sig0000063d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b11 ), + .Q(\blk00000003/sig0000063e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b10 ), + .Q(\blk00000003/sig0000063f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b0f ), + .Q(\blk00000003/sig00000640 ) + ); + GND \blk00000003/blk000001df/blk000001e0 ( + .G(\blk00000003/blk000001df/sig00000b0e ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000202/blk00000224 ( + .I0(\blk00000003/sig0000067b ), + .I1(ce), + .O(\blk00000003/blk00000202/sig00000b56 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000223 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig0000048d ), + .Q(\blk00000003/blk00000202/sig00000b55 ), + .Q15(\NLW_blk00000003/blk00000202/blk00000223_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000222 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig0000048e ), + .Q(\blk00000003/blk00000202/sig00000b54 ), + .Q15(\NLW_blk00000003/blk00000202/blk00000222_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000221 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig0000048f ), + .Q(\blk00000003/blk00000202/sig00000b53 ), + .Q15(\NLW_blk00000003/blk00000202/blk00000221_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000220 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000490 ), + .Q(\blk00000003/blk00000202/sig00000b52 ), + .Q15(\NLW_blk00000003/blk00000202/blk00000220_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk0000021f ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000491 ), + .Q(\blk00000003/blk00000202/sig00000b51 ), + .Q15(\NLW_blk00000003/blk00000202/blk0000021f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk0000021e ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000492 ), + .Q(\blk00000003/blk00000202/sig00000b50 ), + .Q15(\NLW_blk00000003/blk00000202/blk0000021e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk0000021d ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000493 ), + .Q(\blk00000003/blk00000202/sig00000b4f ), + .Q15(\NLW_blk00000003/blk00000202/blk0000021d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk0000021c ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000494 ), + .Q(\blk00000003/blk00000202/sig00000b4e ), + .Q15(\NLW_blk00000003/blk00000202/blk0000021c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk0000021b ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000495 ), + .Q(\blk00000003/blk00000202/sig00000b4d ), + .Q15(\NLW_blk00000003/blk00000202/blk0000021b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk0000021a ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000496 ), + .Q(\blk00000003/blk00000202/sig00000b4c ), + .Q15(\NLW_blk00000003/blk00000202/blk0000021a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000219 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000497 ), + .Q(\blk00000003/blk00000202/sig00000b4b ), + .Q15(\NLW_blk00000003/blk00000202/blk00000219_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000218 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000498 ), + .Q(\blk00000003/blk00000202/sig00000b4a ), + .Q15(\NLW_blk00000003/blk00000202/blk00000218_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000217 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000499 ), + .Q(\blk00000003/blk00000202/sig00000b49 ), + .Q15(\NLW_blk00000003/blk00000202/blk00000217_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000216 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig0000049a ), + .Q(\blk00000003/blk00000202/sig00000b48 ), + .Q15(\NLW_blk00000003/blk00000202/blk00000216_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000215 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig0000049b ), + .Q(\blk00000003/blk00000202/sig00000b47 ), + .Q15(\NLW_blk00000003/blk00000202/blk00000215_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000214 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig0000049c ), + .Q(\blk00000003/blk00000202/sig00000b46 ), + .Q15(\NLW_blk00000003/blk00000202/blk00000214_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000213 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b55 ), + .Q(\blk00000003/sig00000661 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000212 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b54 ), + .Q(\blk00000003/sig00000662 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000211 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b53 ), + .Q(\blk00000003/sig00000663 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000210 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b52 ), + .Q(\blk00000003/sig00000664 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk0000020f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b51 ), + .Q(\blk00000003/sig00000665 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk0000020e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b50 ), + .Q(\blk00000003/sig00000666 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk0000020d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b4f ), + .Q(\blk00000003/sig00000667 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk0000020c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b4e ), + .Q(\blk00000003/sig00000668 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk0000020b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b4d ), + .Q(\blk00000003/sig00000669 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk0000020a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b4c ), + .Q(\blk00000003/sig0000066a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000209 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b4b ), + .Q(\blk00000003/sig0000066b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000208 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b4a ), + .Q(\blk00000003/sig0000066c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000207 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b49 ), + .Q(\blk00000003/sig0000066d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000206 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b48 ), + .Q(\blk00000003/sig0000066e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000205 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b47 ), + .Q(\blk00000003/sig0000066f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000204 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b46 ), + .Q(\blk00000003/sig00000670 ) + ); + GND \blk00000003/blk00000202/blk00000203 ( + .G(\blk00000003/blk00000202/sig00000b45 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000225/blk00000247 ( + .I0(\blk00000003/sig00000679 ), + .I1(ce), + .O(\blk00000003/blk00000225/sig00000b8d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000246 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006bd ), + .Q(\blk00000003/blk00000225/sig00000b8c ), + .Q15(\NLW_blk00000003/blk00000225/blk00000246_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000245 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006be ), + .Q(\blk00000003/blk00000225/sig00000b8b ), + .Q15(\NLW_blk00000003/blk00000225/blk00000245_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000244 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006bf ), + .Q(\blk00000003/blk00000225/sig00000b8a ), + .Q15(\NLW_blk00000003/blk00000225/blk00000244_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000243 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c0 ), + .Q(\blk00000003/blk00000225/sig00000b89 ), + .Q15(\NLW_blk00000003/blk00000225/blk00000243_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000242 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c1 ), + .Q(\blk00000003/blk00000225/sig00000b88 ), + .Q15(\NLW_blk00000003/blk00000225/blk00000242_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000241 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c2 ), + .Q(\blk00000003/blk00000225/sig00000b87 ), + .Q15(\NLW_blk00000003/blk00000225/blk00000241_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000240 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c3 ), + .Q(\blk00000003/blk00000225/sig00000b86 ), + .Q15(\NLW_blk00000003/blk00000225/blk00000240_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk0000023f ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c4 ), + .Q(\blk00000003/blk00000225/sig00000b85 ), + .Q15(\NLW_blk00000003/blk00000225/blk0000023f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk0000023e ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c5 ), + .Q(\blk00000003/blk00000225/sig00000b84 ), + .Q15(\NLW_blk00000003/blk00000225/blk0000023e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk0000023d ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c6 ), + .Q(\blk00000003/blk00000225/sig00000b83 ), + .Q15(\NLW_blk00000003/blk00000225/blk0000023d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk0000023c ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c7 ), + .Q(\blk00000003/blk00000225/sig00000b82 ), + .Q15(\NLW_blk00000003/blk00000225/blk0000023c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk0000023b ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c8 ), + .Q(\blk00000003/blk00000225/sig00000b81 ), + .Q15(\NLW_blk00000003/blk00000225/blk0000023b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk0000023a ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c9 ), + .Q(\blk00000003/blk00000225/sig00000b80 ), + .Q15(\NLW_blk00000003/blk00000225/blk0000023a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000239 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006ca ), + .Q(\blk00000003/blk00000225/sig00000b7f ), + .Q15(\NLW_blk00000003/blk00000225/blk00000239_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000238 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006cb ), + .Q(\blk00000003/blk00000225/sig00000b7e ), + .Q15(\NLW_blk00000003/blk00000225/blk00000238_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000237 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006cc ), + .Q(\blk00000003/blk00000225/sig00000b7d ), + .Q15(\NLW_blk00000003/blk00000225/blk00000237_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000236 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b8c ), + .Q(\blk00000003/sig00000651 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000235 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b8b ), + .Q(\blk00000003/sig00000652 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000234 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b8a ), + .Q(\blk00000003/sig00000653 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000233 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b89 ), + .Q(\blk00000003/sig00000654 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000232 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b88 ), + .Q(\blk00000003/sig00000655 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000231 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b87 ), + .Q(\blk00000003/sig00000656 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000230 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b86 ), + .Q(\blk00000003/sig00000657 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk0000022f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b85 ), + .Q(\blk00000003/sig00000658 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk0000022e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b84 ), + .Q(\blk00000003/sig00000659 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk0000022d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b83 ), + .Q(\blk00000003/sig0000065a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk0000022c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b82 ), + .Q(\blk00000003/sig0000065b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk0000022b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b81 ), + .Q(\blk00000003/sig0000065c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk0000022a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b80 ), + .Q(\blk00000003/sig0000065d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000229 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b7f ), + .Q(\blk00000003/sig0000065e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000228 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b7e ), + .Q(\blk00000003/sig0000065f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000227 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b7d ), + .Q(\blk00000003/sig00000660 ) + ); + GND \blk00000003/blk00000225/blk00000226 ( + .G(\blk00000003/blk00000225/sig00000b7c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000248/blk0000026a ( + .I0(\blk00000003/sig0000068c ), + .I1(ce), + .O(\blk00000003/blk00000248/sig00000bc4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000269 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006cd ), + .Q(\blk00000003/blk00000248/sig00000bc3 ), + .Q15(\NLW_blk00000003/blk00000248/blk00000269_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000268 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006ce ), + .Q(\blk00000003/blk00000248/sig00000bc2 ), + .Q15(\NLW_blk00000003/blk00000248/blk00000268_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000267 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006cf ), + .Q(\blk00000003/blk00000248/sig00000bc1 ), + .Q15(\NLW_blk00000003/blk00000248/blk00000267_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000266 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d0 ), + .Q(\blk00000003/blk00000248/sig00000bc0 ), + .Q15(\NLW_blk00000003/blk00000248/blk00000266_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000265 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d1 ), + .Q(\blk00000003/blk00000248/sig00000bbf ), + .Q15(\NLW_blk00000003/blk00000248/blk00000265_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000264 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d2 ), + .Q(\blk00000003/blk00000248/sig00000bbe ), + .Q15(\NLW_blk00000003/blk00000248/blk00000264_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000263 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d3 ), + .Q(\blk00000003/blk00000248/sig00000bbd ), + .Q15(\NLW_blk00000003/blk00000248/blk00000263_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000262 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d4 ), + .Q(\blk00000003/blk00000248/sig00000bbc ), + .Q15(\NLW_blk00000003/blk00000248/blk00000262_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000261 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d5 ), + .Q(\blk00000003/blk00000248/sig00000bbb ), + .Q15(\NLW_blk00000003/blk00000248/blk00000261_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000260 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d6 ), + .Q(\blk00000003/blk00000248/sig00000bba ), + .Q15(\NLW_blk00000003/blk00000248/blk00000260_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk0000025f ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d7 ), + .Q(\blk00000003/blk00000248/sig00000bb9 ), + .Q15(\NLW_blk00000003/blk00000248/blk0000025f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk0000025e ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d8 ), + .Q(\blk00000003/blk00000248/sig00000bb8 ), + .Q15(\NLW_blk00000003/blk00000248/blk0000025e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk0000025d ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d9 ), + .Q(\blk00000003/blk00000248/sig00000bb7 ), + .Q15(\NLW_blk00000003/blk00000248/blk0000025d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk0000025c ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006da ), + .Q(\blk00000003/blk00000248/sig00000bb6 ), + .Q15(\NLW_blk00000003/blk00000248/blk0000025c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk0000025b ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006db ), + .Q(\blk00000003/blk00000248/sig00000bb5 ), + .Q15(\NLW_blk00000003/blk00000248/blk0000025b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk0000025a ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006dc ), + .Q(\blk00000003/blk00000248/sig00000bb4 ), + .Q15(\NLW_blk00000003/blk00000248/blk0000025a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000259 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bc3 ), + .Q(\blk00000003/sig0000043d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000258 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bc2 ), + .Q(\blk00000003/sig0000043e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000257 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bc1 ), + .Q(\blk00000003/sig0000043f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000256 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bc0 ), + .Q(\blk00000003/sig00000440 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000255 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bbf ), + .Q(\blk00000003/sig00000441 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000254 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bbe ), + .Q(\blk00000003/sig00000442 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000253 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bbd ), + .Q(\blk00000003/sig00000443 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000252 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bbc ), + .Q(\blk00000003/sig00000444 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000251 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bbb ), + .Q(\blk00000003/sig00000445 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000250 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bba ), + .Q(\blk00000003/sig00000446 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk0000024f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bb9 ), + .Q(\blk00000003/sig00000447 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk0000024e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bb8 ), + .Q(\blk00000003/sig00000448 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk0000024d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bb7 ), + .Q(\blk00000003/sig00000449 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk0000024c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bb6 ), + .Q(\blk00000003/sig0000044a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk0000024b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bb5 ), + .Q(\blk00000003/sig0000044b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk0000024a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bb4 ), + .Q(\blk00000003/sig0000044c ) + ); + GND \blk00000003/blk00000248/blk00000249 ( + .G(\blk00000003/blk00000248/sig00000bb3 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000026b/blk0000028d ( + .I0(\blk00000003/sig0000068b ), + .I1(ce), + .O(\blk00000003/blk0000026b/sig00000bfb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk0000028c ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006dd ), + .Q(\blk00000003/blk0000026b/sig00000bfa ), + .Q15(\NLW_blk00000003/blk0000026b/blk0000028c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk0000028b ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006de ), + .Q(\blk00000003/blk0000026b/sig00000bf9 ), + .Q15(\NLW_blk00000003/blk0000026b/blk0000028b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk0000028a ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006df ), + .Q(\blk00000003/blk0000026b/sig00000bf8 ), + .Q15(\NLW_blk00000003/blk0000026b/blk0000028a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000289 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e0 ), + .Q(\blk00000003/blk0000026b/sig00000bf7 ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000289_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000288 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e1 ), + .Q(\blk00000003/blk0000026b/sig00000bf6 ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000288_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000287 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e2 ), + .Q(\blk00000003/blk0000026b/sig00000bf5 ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000287_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000286 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e3 ), + .Q(\blk00000003/blk0000026b/sig00000bf4 ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000286_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000285 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e4 ), + .Q(\blk00000003/blk0000026b/sig00000bf3 ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000285_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000284 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e5 ), + .Q(\blk00000003/blk0000026b/sig00000bf2 ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000284_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000283 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e6 ), + .Q(\blk00000003/blk0000026b/sig00000bf1 ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000283_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000282 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e7 ), + .Q(\blk00000003/blk0000026b/sig00000bf0 ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000282_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000281 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e8 ), + .Q(\blk00000003/blk0000026b/sig00000bef ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000281_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000280 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e9 ), + .Q(\blk00000003/blk0000026b/sig00000bee ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000280_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk0000027f ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006ea ), + .Q(\blk00000003/blk0000026b/sig00000bed ), + .Q15(\NLW_blk00000003/blk0000026b/blk0000027f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk0000027e ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006eb ), + .Q(\blk00000003/blk0000026b/sig00000bec ), + .Q15(\NLW_blk00000003/blk0000026b/blk0000027e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk0000027d ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006ec ), + .Q(\blk00000003/blk0000026b/sig00000beb ), + .Q15(\NLW_blk00000003/blk0000026b/blk0000027d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk0000027c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bfa ), + .Q(\blk00000003/sig0000042d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk0000027b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf9 ), + .Q(\blk00000003/sig0000042e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk0000027a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf8 ), + .Q(\blk00000003/sig0000042f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000279 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf7 ), + .Q(\blk00000003/sig00000430 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000278 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf6 ), + .Q(\blk00000003/sig00000431 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000277 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf5 ), + .Q(\blk00000003/sig00000432 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000276 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf4 ), + .Q(\blk00000003/sig00000433 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000275 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf3 ), + .Q(\blk00000003/sig00000434 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000274 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf2 ), + .Q(\blk00000003/sig00000435 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000273 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf1 ), + .Q(\blk00000003/sig00000436 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000272 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf0 ), + .Q(\blk00000003/sig00000437 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000271 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bef ), + .Q(\blk00000003/sig00000438 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000270 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bee ), + .Q(\blk00000003/sig00000439 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk0000026f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bed ), + .Q(\blk00000003/sig0000043a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk0000026e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bec ), + .Q(\blk00000003/sig0000043b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk0000026d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000beb ), + .Q(\blk00000003/sig0000043c ) + ); + GND \blk00000003/blk0000026b/blk0000026c ( + .G(\blk00000003/blk0000026b/sig00000bea ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000028e/blk000002b0 ( + .I0(\blk00000003/sig0000068c ), + .I1(ce), + .O(\blk00000003/blk0000028e/sig00000c32 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002af ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006ed ), + .Q(\blk00000003/blk0000028e/sig00000c31 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002af_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002ae ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006ee ), + .Q(\blk00000003/blk0000028e/sig00000c30 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002ae_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002ad ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006ef ), + .Q(\blk00000003/blk0000028e/sig00000c2f ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002ad_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002ac ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f0 ), + .Q(\blk00000003/blk0000028e/sig00000c2e ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002ac_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002ab ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f1 ), + .Q(\blk00000003/blk0000028e/sig00000c2d ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002ab_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002aa ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f2 ), + .Q(\blk00000003/blk0000028e/sig00000c2c ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002aa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a9 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f3 ), + .Q(\blk00000003/blk0000028e/sig00000c2b ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a8 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f4 ), + .Q(\blk00000003/blk0000028e/sig00000c2a ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a7 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f5 ), + .Q(\blk00000003/blk0000028e/sig00000c29 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a6 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f6 ), + .Q(\blk00000003/blk0000028e/sig00000c28 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a5 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f7 ), + .Q(\blk00000003/blk0000028e/sig00000c27 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a4 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f8 ), + .Q(\blk00000003/blk0000028e/sig00000c26 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a3 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f9 ), + .Q(\blk00000003/blk0000028e/sig00000c25 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a2 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006fa ), + .Q(\blk00000003/blk0000028e/sig00000c24 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a1 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006fb ), + .Q(\blk00000003/blk0000028e/sig00000c23 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a0 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006fc ), + .Q(\blk00000003/blk0000028e/sig00000c22 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk0000029f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c31 ), + .Q(\blk00000003/sig0000048d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk0000029e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c30 ), + .Q(\blk00000003/sig0000048e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk0000029d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c2f ), + .Q(\blk00000003/sig0000048f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk0000029c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c2e ), + .Q(\blk00000003/sig00000490 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk0000029b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c2d ), + .Q(\blk00000003/sig00000491 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk0000029a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c2c ), + .Q(\blk00000003/sig00000492 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000299 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c2b ), + .Q(\blk00000003/sig00000493 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000298 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c2a ), + .Q(\blk00000003/sig00000494 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000297 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c29 ), + .Q(\blk00000003/sig00000495 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000296 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c28 ), + .Q(\blk00000003/sig00000496 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000295 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c27 ), + .Q(\blk00000003/sig00000497 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000294 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c26 ), + .Q(\blk00000003/sig00000498 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000293 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c25 ), + .Q(\blk00000003/sig00000499 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000292 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c24 ), + .Q(\blk00000003/sig0000049a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000291 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c23 ), + .Q(\blk00000003/sig0000049b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000290 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c22 ), + .Q(\blk00000003/sig0000049c ) + ); + GND \blk00000003/blk0000028e/blk0000028f ( + .G(\blk00000003/blk0000028e/sig00000c21 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000002b1/blk000002d3 ( + .I0(\blk00000003/sig0000068b ), + .I1(ce), + .O(\blk00000003/blk000002b1/sig00000c69 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002d2 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig000006fd ), + .Q(\blk00000003/blk000002b1/sig00000c68 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002d2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002d1 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig000006fe ), + .Q(\blk00000003/blk000002b1/sig00000c67 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002d1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002d0 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig000006ff ), + .Q(\blk00000003/blk000002b1/sig00000c66 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002d0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002cf ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000700 ), + .Q(\blk00000003/blk000002b1/sig00000c65 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002cf_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002ce ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000701 ), + .Q(\blk00000003/blk000002b1/sig00000c64 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002ce_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002cd ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000702 ), + .Q(\blk00000003/blk000002b1/sig00000c63 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002cd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002cc ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000703 ), + .Q(\blk00000003/blk000002b1/sig00000c62 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002cc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002cb ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000704 ), + .Q(\blk00000003/blk000002b1/sig00000c61 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002cb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002ca ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000705 ), + .Q(\blk00000003/blk000002b1/sig00000c60 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002ca_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002c9 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000706 ), + .Q(\blk00000003/blk000002b1/sig00000c5f ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002c9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002c8 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000707 ), + .Q(\blk00000003/blk000002b1/sig00000c5e ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002c8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002c7 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000708 ), + .Q(\blk00000003/blk000002b1/sig00000c5d ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002c7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002c6 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000709 ), + .Q(\blk00000003/blk000002b1/sig00000c5c ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002c6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002c5 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig0000070a ), + .Q(\blk00000003/blk000002b1/sig00000c5b ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002c5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002c4 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig0000070b ), + .Q(\blk00000003/blk000002b1/sig00000c5a ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002c4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002c3 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig0000070c ), + .Q(\blk00000003/blk000002b1/sig00000c59 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002c3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c68 ), + .Q(\blk00000003/sig0000047d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c67 ), + .Q(\blk00000003/sig0000047e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c66 ), + .Q(\blk00000003/sig0000047f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c65 ), + .Q(\blk00000003/sig00000480 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c64 ), + .Q(\blk00000003/sig00000481 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c63 ), + .Q(\blk00000003/sig00000482 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c62 ), + .Q(\blk00000003/sig00000483 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c61 ), + .Q(\blk00000003/sig00000484 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c60 ), + .Q(\blk00000003/sig00000485 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c5f ), + .Q(\blk00000003/sig00000486 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c5e ), + .Q(\blk00000003/sig00000487 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c5d ), + .Q(\blk00000003/sig00000488 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c5c ), + .Q(\blk00000003/sig00000489 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c5b ), + .Q(\blk00000003/sig0000048a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c5a ), + .Q(\blk00000003/sig0000048b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c59 ), + .Q(\blk00000003/sig0000048c ) + ); + GND \blk00000003/blk000002b1/blk000002b2 ( + .G(\blk00000003/blk000002b1/sig00000c58 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000002d4/blk0000030c ( + .I0(\blk00000003/sig00000307 ), + .I1(ce), + .O(\blk00000003/blk000002d4/sig00000cce ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk000002d4/blk0000030b ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig0000070d ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cbb ), + .DPO(\blk00000003/blk000002d4/sig00000ccd ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk000002d4/blk0000030a ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig0000070e ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cba ), + .DPO(\blk00000003/blk000002d4/sig00000ccc ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk000002d4/blk00000309 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig0000070f ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb9 ), + .DPO(\blk00000003/blk000002d4/sig00000ccb ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk000002d4/blk00000308 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000710 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb8 ), + .DPO(\blk00000003/blk000002d4/sig00000cca ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk000002d4/blk00000307 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000711 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb7 ), + .DPO(\blk00000003/blk000002d4/sig00000cc9 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk000002d4/blk00000306 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000712 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb6 ), + .DPO(\blk00000003/blk000002d4/sig00000cc8 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk000002d4/blk00000305 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000714 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb4 ), + .DPO(\blk00000003/blk000002d4/sig00000cc6 ) + ); + RAM16X1D #( + .INIT ( 16'h000D )) + \blk00000003/blk000002d4/blk00000304 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000715 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb3 ), + .DPO(\blk00000003/blk000002d4/sig00000cc5 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk000002d4/blk00000303 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000713 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb5 ), + .DPO(\blk00000003/blk000002d4/sig00000cc7 ) + ); + RAM16X1D #( + .INIT ( 16'h0009 )) + \blk00000003/blk000002d4/blk00000302 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000716 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb2 ), + .DPO(\blk00000003/blk000002d4/sig00000cc4 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk000002d4/blk00000301 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000717 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb1 ), + .DPO(\blk00000003/blk000002d4/sig00000cc3 ) + ); + RAM16X1D #( + .INIT ( 16'h000F )) + \blk00000003/blk000002d4/blk00000300 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000718 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb0 ), + .DPO(\blk00000003/blk000002d4/sig00000cc2 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002d4/blk000002ff ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000719 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000caf ), + .DPO(\blk00000003/blk000002d4/sig00000cc1 ) + ); + RAM16X1D #( + .INIT ( 16'h0008 )) + \blk00000003/blk000002d4/blk000002fe ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig0000071a ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cae ), + .DPO(\blk00000003/blk000002d4/sig00000cc0 ) + ); + RAM16X1D #( + .INIT ( 16'h0004 )) + \blk00000003/blk000002d4/blk000002fd ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig0000071b ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cad ), + .DPO(\blk00000003/blk000002d4/sig00000cbf ) + ); + RAM16X1D #( + .INIT ( 16'h000B )) + \blk00000003/blk000002d4/blk000002fc ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig0000071d ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cab ), + .DPO(\blk00000003/blk000002d4/sig00000cbd ) + ); + RAM16X1D #( + .INIT ( 16'h0008 )) + \blk00000003/blk000002d4/blk000002fb ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig0000071e ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000caa ), + .DPO(\blk00000003/blk000002d4/sig00000cbc ) + ); + RAM16X1D #( + .INIT ( 16'h0008 )) + \blk00000003/blk000002d4/blk000002fa ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig0000071c ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cac ), + .DPO(\blk00000003/blk000002d4/sig00000cbe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000ccd ), + .Q(\blk00000003/sig000003db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000ccc ), + .Q(\blk00000003/sig000003dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000ccb ), + .Q(\blk00000003/sig000003dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cca ), + .Q(\blk00000003/sig000003de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc9 ), + .Q(\blk00000003/sig000003df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc8 ), + .Q(\blk00000003/sig000003e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc7 ), + .Q(\blk00000003/sig000003e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc6 ), + .Q(\blk00000003/sig000003e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc5 ), + .Q(\blk00000003/sig000003e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc4 ), + .Q(\blk00000003/sig000003e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc3 ), + .Q(\blk00000003/sig000003e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc2 ), + .Q(\blk00000003/sig000003e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc1 ), + .Q(\blk00000003/sig000003e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc0 ), + .Q(\blk00000003/sig000003e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cbf ), + .Q(\blk00000003/sig000003e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cbe ), + .Q(\blk00000003/sig000003ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cbd ), + .Q(\blk00000003/sig000003eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cbc ), + .Q(\blk00000003/sig000003ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cbb ), + .Q(\blk00000003/sig0000071f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cba ), + .Q(\blk00000003/sig00000720 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb9 ), + .Q(\blk00000003/sig00000721 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb8 ), + .Q(\blk00000003/sig00000722 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb7 ), + .Q(\blk00000003/sig00000723 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb6 ), + .Q(\blk00000003/sig00000724 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb5 ), + .Q(\blk00000003/sig00000725 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb4 ), + .Q(\blk00000003/sig00000726 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002df ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb3 ), + .Q(\blk00000003/sig00000727 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002de ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb2 ), + .Q(\blk00000003/sig00000728 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002dd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb1 ), + .Q(\blk00000003/sig00000729 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb0 ), + .Q(\blk00000003/sig0000072a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002db ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000caf ), + .Q(\blk00000003/sig0000072b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002da ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cae ), + .Q(\blk00000003/sig0000072c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cad ), + .Q(\blk00000003/sig0000072d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cac ), + .Q(\blk00000003/sig0000072e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002d7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cab ), + .Q(\blk00000003/sig0000072f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000caa ), + .Q(\blk00000003/sig00000730 ) + ); + GND \blk00000003/blk000002d4/blk000002d5 ( + .G(\blk00000003/blk000002d4/sig00000ca9 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000030d/blk00000345 ( + .I0(\blk00000003/sig00000677 ), + .I1(ce), + .O(\blk00000003/blk0000030d/sig00000d33 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk0000030d/blk00000344 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig0000071f ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d20 ), + .DPO(\blk00000003/blk0000030d/sig00000d32 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk0000030d/blk00000343 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000720 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d1f ), + .DPO(\blk00000003/blk0000030d/sig00000d31 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk0000030d/blk00000342 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000721 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d1e ), + .DPO(\blk00000003/blk0000030d/sig00000d30 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk0000030d/blk00000341 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000722 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d1d ), + .DPO(\blk00000003/blk0000030d/sig00000d2f ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk0000030d/blk00000340 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000723 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d1c ), + .DPO(\blk00000003/blk0000030d/sig00000d2e ) + ); + RAM16X1D #( + .INIT ( 16'h000D )) + \blk00000003/blk0000030d/blk0000033f ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000724 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d1b ), + .DPO(\blk00000003/blk0000030d/sig00000d2d ) + ); + RAM16X1D #( + .INIT ( 16'h0008 )) + \blk00000003/blk0000030d/blk0000033e ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000726 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d19 ), + .DPO(\blk00000003/blk0000030d/sig00000d2b ) + ); + RAM16X1D #( + .INIT ( 16'h0009 )) + \blk00000003/blk0000030d/blk0000033d ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000727 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d18 ), + .DPO(\blk00000003/blk0000030d/sig00000d2a ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk0000030d/blk0000033c ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000725 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d1a ), + .DPO(\blk00000003/blk0000030d/sig00000d2c ) + ); + RAM16X1D #( + .INIT ( 16'h000A )) + \blk00000003/blk0000030d/blk0000033b ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000728 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d17 ), + .DPO(\blk00000003/blk0000030d/sig00000d29 ) + ); + RAM16X1D #( + .INIT ( 16'h0006 )) + \blk00000003/blk0000030d/blk0000033a ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000729 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d16 ), + .DPO(\blk00000003/blk0000030d/sig00000d28 ) + ); + RAM16X1D #( + .INIT ( 16'h000C )) + \blk00000003/blk0000030d/blk00000339 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig0000072a ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d15 ), + .DPO(\blk00000003/blk0000030d/sig00000d27 ) + ); + RAM16X1D #( + .INIT ( 16'h000A )) + \blk00000003/blk0000030d/blk00000338 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig0000072b ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d14 ), + .DPO(\blk00000003/blk0000030d/sig00000d26 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk0000030d/blk00000337 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig0000072c ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d13 ), + .DPO(\blk00000003/blk0000030d/sig00000d25 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk0000030d/blk00000336 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig0000072d ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d12 ), + .DPO(\blk00000003/blk0000030d/sig00000d24 ) + ); + RAM16X1D #( + .INIT ( 16'h000B )) + \blk00000003/blk0000030d/blk00000335 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig0000072f ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d10 ), + .DPO(\blk00000003/blk0000030d/sig00000d22 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk0000030d/blk00000334 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000730 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d0f ), + .DPO(\blk00000003/blk0000030d/sig00000d21 ) + ); + RAM16X1D #( + .INIT ( 16'h000F )) + \blk00000003/blk0000030d/blk00000333 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig0000072e ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d11 ), + .DPO(\blk00000003/blk0000030d/sig00000d23 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000332 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d32 ), + .Q(\blk00000003/sig0000056f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000331 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d31 ), + .Q(\blk00000003/sig00000570 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000330 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d30 ), + .Q(\blk00000003/sig00000571 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000032f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d2f ), + .Q(\blk00000003/sig00000572 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000032e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d2e ), + .Q(\blk00000003/sig00000573 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000032d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d2d ), + .Q(\blk00000003/sig00000574 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000032c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d2c ), + .Q(\blk00000003/sig00000575 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000032b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d2b ), + .Q(\blk00000003/sig00000576 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000032a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d2a ), + .Q(\blk00000003/sig00000577 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000329 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d29 ), + .Q(\blk00000003/sig00000578 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000328 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d28 ), + .Q(\blk00000003/sig00000579 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000327 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d27 ), + .Q(\blk00000003/sig0000057a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000326 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d26 ), + .Q(\blk00000003/sig0000057b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000325 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d25 ), + .Q(\blk00000003/sig0000057c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000324 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d24 ), + .Q(\blk00000003/sig0000057d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000323 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d23 ), + .Q(\blk00000003/sig0000057e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000322 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d22 ), + .Q(\blk00000003/sig0000057f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000321 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d21 ), + .Q(\blk00000003/sig00000580 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000320 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d20 ), + .Q(\blk00000003/sig00000731 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000031f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d1f ), + .Q(\blk00000003/sig00000732 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000031e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d1e ), + .Q(\blk00000003/sig00000733 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000031d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d1d ), + .Q(\blk00000003/sig00000734 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000031c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d1c ), + .Q(\blk00000003/sig00000735 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000031b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d1b ), + .Q(\blk00000003/sig00000736 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000031a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d1a ), + .Q(\blk00000003/sig00000737 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000319 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d19 ), + .Q(\blk00000003/sig00000738 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000318 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d18 ), + .Q(\blk00000003/sig00000739 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000317 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d17 ), + .Q(\blk00000003/sig0000073a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000316 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d16 ), + .Q(\blk00000003/sig0000073b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000315 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d15 ), + .Q(\blk00000003/sig0000073c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000314 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d14 ), + .Q(\blk00000003/sig0000073d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000313 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d13 ), + .Q(\blk00000003/sig0000073e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000312 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d12 ), + .Q(\blk00000003/sig0000073f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000311 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d11 ), + .Q(\blk00000003/sig00000740 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000310 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d10 ), + .Q(\blk00000003/sig00000741 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000030f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d0f ), + .Q(\blk00000003/sig00000742 ) + ); + GND \blk00000003/blk0000030d/blk0000030e ( + .G(\blk00000003/blk0000030d/sig00000d0e ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000386/blk000003ac ( + .I0(\blk00000003/sig00000678 ), + .I1(ce), + .O(\blk00000003/blk00000386/sig00000d74 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk00000386/blk000003ab ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000731 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003ab_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d73 ) + ); + RAM16X1D #( + .INIT ( 16'h000D )) + \blk00000003/blk00000386/blk000003aa ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000732 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003aa_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d72 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk00000386/blk000003a9 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000733 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a9_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d71 ) + ); + RAM16X1D #( + .INIT ( 16'h0009 )) + \blk00000003/blk00000386/blk000003a8 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000734 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a8_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d70 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000386/blk000003a7 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000735 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a7_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d6f ) + ); + RAM16X1D #( + .INIT ( 16'h0007 )) + \blk00000003/blk00000386/blk000003a6 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000736 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a6_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d6e ) + ); + RAM16X1D #( + .INIT ( 16'h0009 )) + \blk00000003/blk00000386/blk000003a5 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000738 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a5_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d6c ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000386/blk000003a4 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000739 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a4_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d6b ) + ); + RAM16X1D #( + .INIT ( 16'h0007 )) + \blk00000003/blk00000386/blk000003a3 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000737 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a3_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d6d ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000386/blk000003a2 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig0000073a ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a2_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d6a ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000386/blk000003a1 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig0000073b ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a1_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d69 ) + ); + RAM16X1D #( + .INIT ( 16'h000C )) + \blk00000003/blk00000386/blk000003a0 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig0000073c ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a0_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d68 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000386/blk0000039f ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig0000073d ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk0000039f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d67 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk00000386/blk0000039e ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig0000073e ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk0000039e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d66 ) + ); + RAM16X1D #( + .INIT ( 16'h0007 )) + \blk00000003/blk00000386/blk0000039d ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig0000073f ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk0000039d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d65 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000386/blk0000039c ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000741 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk0000039c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d63 ) + ); + RAM16X1D #( + .INIT ( 16'h0009 )) + \blk00000003/blk00000386/blk0000039b ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000742 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk0000039b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d62 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000386/blk0000039a ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000740 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk0000039a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d64 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000399 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d73 ), + .Q(\blk00000003/sig0000050d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000398 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d72 ), + .Q(\blk00000003/sig0000050e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000397 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d71 ), + .Q(\blk00000003/sig0000050f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000396 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d70 ), + .Q(\blk00000003/sig00000510 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000395 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d6f ), + .Q(\blk00000003/sig00000511 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000394 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d6e ), + .Q(\blk00000003/sig00000512 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000393 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d6d ), + .Q(\blk00000003/sig00000513 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000392 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d6c ), + .Q(\blk00000003/sig00000514 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000391 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d6b ), + .Q(\blk00000003/sig00000515 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000390 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d6a ), + .Q(\blk00000003/sig00000516 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk0000038f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d69 ), + .Q(\blk00000003/sig00000517 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk0000038e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d68 ), + .Q(\blk00000003/sig00000518 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk0000038d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d67 ), + .Q(\blk00000003/sig00000519 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk0000038c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d66 ), + .Q(\blk00000003/sig0000051a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk0000038b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d65 ), + .Q(\blk00000003/sig0000051b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk0000038a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d64 ), + .Q(\blk00000003/sig0000051c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000389 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d63 ), + .Q(\blk00000003/sig0000051d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000388 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d62 ), + .Q(\blk00000003/sig0000051e ) + ); + GND \blk00000003/blk00000386/blk00000387 ( + .G(\blk00000003/blk00000386/sig00000d61 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003ad/blk000003bf ( + .I0(\blk00000003/sig0000067c ), + .I1(ce), + .O(\blk00000003/blk000003ad/sig00000d93 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ad/blk000003be ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003ad/sig00000d8a ), + .A3(\blk00000003/blk000003ad/sig00000d8a ), + .CE(\blk00000003/blk000003ad/sig00000d93 ), + .CLK(clk), + .D(\blk00000003/sig00000567 ), + .Q(\blk00000003/blk000003ad/sig00000d92 ), + .Q15(\NLW_blk00000003/blk000003ad/blk000003be_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ad/blk000003bd ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003ad/sig00000d8a ), + .A3(\blk00000003/blk000003ad/sig00000d8a ), + .CE(\blk00000003/blk000003ad/sig00000d93 ), + .CLK(clk), + .D(\blk00000003/sig00000568 ), + .Q(\blk00000003/blk000003ad/sig00000d91 ), + .Q15(\NLW_blk00000003/blk000003ad/blk000003bd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ad/blk000003bc ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003ad/sig00000d8a ), + .A3(\blk00000003/blk000003ad/sig00000d8a ), + .CE(\blk00000003/blk000003ad/sig00000d93 ), + .CLK(clk), + .D(\blk00000003/sig00000569 ), + .Q(\blk00000003/blk000003ad/sig00000d90 ), + .Q15(\NLW_blk00000003/blk000003ad/blk000003bc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ad/blk000003bb ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003ad/sig00000d8a ), + .A3(\blk00000003/blk000003ad/sig00000d8a ), + .CE(\blk00000003/blk000003ad/sig00000d93 ), + .CLK(clk), + .D(\blk00000003/sig0000056a ), + .Q(\blk00000003/blk000003ad/sig00000d8f ), + .Q15(\NLW_blk00000003/blk000003ad/blk000003bb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ad/blk000003ba ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003ad/sig00000d8a ), + .A3(\blk00000003/blk000003ad/sig00000d8a ), + .CE(\blk00000003/blk000003ad/sig00000d93 ), + .CLK(clk), + .D(\blk00000003/sig0000056b ), + .Q(\blk00000003/blk000003ad/sig00000d8e ), + .Q15(\NLW_blk00000003/blk000003ad/blk000003ba_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ad/blk000003b9 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003ad/sig00000d8a ), + .A3(\blk00000003/blk000003ad/sig00000d8a ), + .CE(\blk00000003/blk000003ad/sig00000d93 ), + .CLK(clk), + .D(\blk00000003/sig0000056c ), + .Q(\blk00000003/blk000003ad/sig00000d8d ), + .Q15(\NLW_blk00000003/blk000003ad/blk000003b9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ad/blk000003b8 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003ad/sig00000d8a ), + .A3(\blk00000003/blk000003ad/sig00000d8a ), + .CE(\blk00000003/blk000003ad/sig00000d93 ), + .CLK(clk), + .D(\blk00000003/sig0000056d ), + .Q(\blk00000003/blk000003ad/sig00000d8c ), + .Q15(\NLW_blk00000003/blk000003ad/blk000003b8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ad/blk000003b7 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003ad/sig00000d8a ), + .A3(\blk00000003/blk000003ad/sig00000d8a ), + .CE(\blk00000003/blk000003ad/sig00000d93 ), + .CLK(clk), + .D(\blk00000003/sig0000056e ), + .Q(\blk00000003/blk000003ad/sig00000d8b ), + .Q15(\NLW_blk00000003/blk000003ad/blk000003b7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ad/blk000003b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ad/sig00000d92 ), + .Q(\blk00000003/sig00000505 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ad/blk000003b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ad/sig00000d91 ), + .Q(\blk00000003/sig00000506 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ad/blk000003b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ad/sig00000d90 ), + .Q(\blk00000003/sig00000507 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ad/blk000003b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ad/sig00000d8f ), + .Q(\blk00000003/sig00000508 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ad/blk000003b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ad/sig00000d8e ), + .Q(\blk00000003/sig00000509 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ad/blk000003b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ad/sig00000d8d ), + .Q(\blk00000003/sig0000050a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ad/blk000003b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ad/sig00000d8c ), + .Q(\blk00000003/sig0000050b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ad/blk000003af ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ad/sig00000d8b ), + .Q(\blk00000003/sig0000050c ) + ); + GND \blk00000003/blk000003ad/blk000003ae ( + .G(\blk00000003/blk000003ad/sig00000d8a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003c0/blk000003d2 ( + .I0(\blk00000003/sig0000067a ), + .I1(ce), + .O(\blk00000003/blk000003c0/sig00000db2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003c0/blk000003d1 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003c0/sig00000da9 ), + .A3(\blk00000003/blk000003c0/sig00000da9 ), + .CE(\blk00000003/blk000003c0/sig00000db2 ), + .CLK(clk), + .D(\blk00000003/sig00000745 ), + .Q(\blk00000003/blk000003c0/sig00000db1 ), + .Q15(\NLW_blk00000003/blk000003c0/blk000003d1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003c0/blk000003d0 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003c0/sig00000da9 ), + .A3(\blk00000003/blk000003c0/sig00000da9 ), + .CE(\blk00000003/blk000003c0/sig00000db2 ), + .CLK(clk), + .D(\blk00000003/sig00000746 ), + .Q(\blk00000003/blk000003c0/sig00000db0 ), + .Q15(\NLW_blk00000003/blk000003c0/blk000003d0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003c0/blk000003cf ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003c0/sig00000da9 ), + .A3(\blk00000003/blk000003c0/sig00000da9 ), + .CE(\blk00000003/blk000003c0/sig00000db2 ), + .CLK(clk), + .D(\blk00000003/sig00000747 ), + .Q(\blk00000003/blk000003c0/sig00000daf ), + .Q15(\NLW_blk00000003/blk000003c0/blk000003cf_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003c0/blk000003ce ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003c0/sig00000da9 ), + .A3(\blk00000003/blk000003c0/sig00000da9 ), + .CE(\blk00000003/blk000003c0/sig00000db2 ), + .CLK(clk), + .D(\blk00000003/sig00000748 ), + .Q(\blk00000003/blk000003c0/sig00000dae ), + .Q15(\NLW_blk00000003/blk000003c0/blk000003ce_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003c0/blk000003cd ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003c0/sig00000da9 ), + .A3(\blk00000003/blk000003c0/sig00000da9 ), + .CE(\blk00000003/blk000003c0/sig00000db2 ), + .CLK(clk), + .D(\blk00000003/sig00000749 ), + .Q(\blk00000003/blk000003c0/sig00000dad ), + .Q15(\NLW_blk00000003/blk000003c0/blk000003cd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003c0/blk000003cc ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003c0/sig00000da9 ), + .A3(\blk00000003/blk000003c0/sig00000da9 ), + .CE(\blk00000003/blk000003c0/sig00000db2 ), + .CLK(clk), + .D(\blk00000003/sig0000074a ), + .Q(\blk00000003/blk000003c0/sig00000dac ), + .Q15(\NLW_blk00000003/blk000003c0/blk000003cc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003c0/blk000003cb ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003c0/sig00000da9 ), + .A3(\blk00000003/blk000003c0/sig00000da9 ), + .CE(\blk00000003/blk000003c0/sig00000db2 ), + .CLK(clk), + .D(\blk00000003/sig0000074b ), + .Q(\blk00000003/blk000003c0/sig00000dab ), + .Q15(\NLW_blk00000003/blk000003c0/blk000003cb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003c0/blk000003ca ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003c0/sig00000da9 ), + .A3(\blk00000003/blk000003c0/sig00000da9 ), + .CE(\blk00000003/blk000003c0/sig00000db2 ), + .CLK(clk), + .D(\blk00000003/sig0000074c ), + .Q(\blk00000003/blk000003c0/sig00000daa ), + .Q15(\NLW_blk00000003/blk000003c0/blk000003ca_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c0/blk000003c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003c0/sig00000db1 ), + .Q(\blk00000003/sig000004cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c0/blk000003c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003c0/sig00000db0 ), + .Q(\blk00000003/sig000004ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c0/blk000003c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003c0/sig00000daf ), + .Q(\blk00000003/sig000004cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c0/blk000003c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003c0/sig00000dae ), + .Q(\blk00000003/sig000004d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c0/blk000003c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003c0/sig00000dad ), + .Q(\blk00000003/sig000004d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c0/blk000003c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003c0/sig00000dac ), + .Q(\blk00000003/sig000004d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c0/blk000003c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003c0/sig00000dab ), + .Q(\blk00000003/sig000004d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c0/blk000003c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003c0/sig00000daa ), + .Q(\blk00000003/sig000004d4 ) + ); + GND \blk00000003/blk000003c0/blk000003c1 ( + .G(\blk00000003/blk000003c0/sig00000da9 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003d3/blk000003e5 ( + .I0(\blk00000003/sig0000067c ), + .I1(ce), + .O(\blk00000003/blk000003d3/sig00000dd1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d3/blk000003e4 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003d3/sig00000dc8 ), + .A3(\blk00000003/blk000003d3/sig00000dc8 ), + .CE(\blk00000003/blk000003d3/sig00000dd1 ), + .CLK(clk), + .D(\blk00000003/sig00000589 ), + .Q(\blk00000003/blk000003d3/sig00000dd0 ), + .Q15(\NLW_blk00000003/blk000003d3/blk000003e4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d3/blk000003e3 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003d3/sig00000dc8 ), + .A3(\blk00000003/blk000003d3/sig00000dc8 ), + .CE(\blk00000003/blk000003d3/sig00000dd1 ), + .CLK(clk), + .D(\blk00000003/sig0000058a ), + .Q(\blk00000003/blk000003d3/sig00000dcf ), + .Q15(\NLW_blk00000003/blk000003d3/blk000003e3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d3/blk000003e2 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003d3/sig00000dc8 ), + .A3(\blk00000003/blk000003d3/sig00000dc8 ), + .CE(\blk00000003/blk000003d3/sig00000dd1 ), + .CLK(clk), + .D(\blk00000003/sig0000058b ), + .Q(\blk00000003/blk000003d3/sig00000dce ), + .Q15(\NLW_blk00000003/blk000003d3/blk000003e2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d3/blk000003e1 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003d3/sig00000dc8 ), + .A3(\blk00000003/blk000003d3/sig00000dc8 ), + .CE(\blk00000003/blk000003d3/sig00000dd1 ), + .CLK(clk), + .D(\blk00000003/sig0000058c ), + .Q(\blk00000003/blk000003d3/sig00000dcd ), + .Q15(\NLW_blk00000003/blk000003d3/blk000003e1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d3/blk000003e0 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003d3/sig00000dc8 ), + .A3(\blk00000003/blk000003d3/sig00000dc8 ), + .CE(\blk00000003/blk000003d3/sig00000dd1 ), + .CLK(clk), + .D(\blk00000003/sig0000058d ), + .Q(\blk00000003/blk000003d3/sig00000dcc ), + .Q15(\NLW_blk00000003/blk000003d3/blk000003e0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d3/blk000003df ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003d3/sig00000dc8 ), + .A3(\blk00000003/blk000003d3/sig00000dc8 ), + .CE(\blk00000003/blk000003d3/sig00000dd1 ), + .CLK(clk), + .D(\blk00000003/sig0000058e ), + .Q(\blk00000003/blk000003d3/sig00000dcb ), + .Q15(\NLW_blk00000003/blk000003d3/blk000003df_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d3/blk000003de ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003d3/sig00000dc8 ), + .A3(\blk00000003/blk000003d3/sig00000dc8 ), + .CE(\blk00000003/blk000003d3/sig00000dd1 ), + .CLK(clk), + .D(\blk00000003/sig0000058f ), + .Q(\blk00000003/blk000003d3/sig00000dca ), + .Q15(\NLW_blk00000003/blk000003d3/blk000003de_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d3/blk000003dd ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003d3/sig00000dc8 ), + .A3(\blk00000003/blk000003d3/sig00000dc8 ), + .CE(\blk00000003/blk000003d3/sig00000dd1 ), + .CLK(clk), + .D(\blk00000003/sig00000590 ), + .Q(\blk00000003/blk000003d3/sig00000dc9 ), + .Q15(\NLW_blk00000003/blk000003d3/blk000003dd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d3/blk000003dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d3/sig00000dd0 ), + .Q(\blk00000003/sig00000557 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d3/blk000003db ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d3/sig00000dcf ), + .Q(\blk00000003/sig00000558 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d3/blk000003da ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d3/sig00000dce ), + .Q(\blk00000003/sig00000559 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d3/blk000003d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d3/sig00000dcd ), + .Q(\blk00000003/sig0000055a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d3/blk000003d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d3/sig00000dcc ), + .Q(\blk00000003/sig0000055b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d3/blk000003d7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d3/sig00000dcb ), + .Q(\blk00000003/sig0000055c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d3/blk000003d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d3/sig00000dca ), + .Q(\blk00000003/sig0000055d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d3/blk000003d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d3/sig00000dc9 ), + .Q(\blk00000003/sig0000055e ) + ); + GND \blk00000003/blk000003d3/blk000003d4 ( + .G(\blk00000003/blk000003d3/sig00000dc8 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003e6/blk000003f8 ( + .I0(\blk00000003/sig0000067a ), + .I1(ce), + .O(\blk00000003/blk000003e6/sig00000df0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003e6/blk000003f7 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003e6/sig00000de7 ), + .A3(\blk00000003/blk000003e6/sig00000de7 ), + .CE(\blk00000003/blk000003e6/sig00000df0 ), + .CLK(clk), + .D(\blk00000003/sig0000074d ), + .Q(\blk00000003/blk000003e6/sig00000def ), + .Q15(\NLW_blk00000003/blk000003e6/blk000003f7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003e6/blk000003f6 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003e6/sig00000de7 ), + .A3(\blk00000003/blk000003e6/sig00000de7 ), + .CE(\blk00000003/blk000003e6/sig00000df0 ), + .CLK(clk), + .D(\blk00000003/sig0000074e ), + .Q(\blk00000003/blk000003e6/sig00000dee ), + .Q15(\NLW_blk00000003/blk000003e6/blk000003f6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003e6/blk000003f5 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003e6/sig00000de7 ), + .A3(\blk00000003/blk000003e6/sig00000de7 ), + .CE(\blk00000003/blk000003e6/sig00000df0 ), + .CLK(clk), + .D(\blk00000003/sig0000074f ), + .Q(\blk00000003/blk000003e6/sig00000ded ), + .Q15(\NLW_blk00000003/blk000003e6/blk000003f5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003e6/blk000003f4 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003e6/sig00000de7 ), + .A3(\blk00000003/blk000003e6/sig00000de7 ), + .CE(\blk00000003/blk000003e6/sig00000df0 ), + .CLK(clk), + .D(\blk00000003/sig00000750 ), + .Q(\blk00000003/blk000003e6/sig00000dec ), + .Q15(\NLW_blk00000003/blk000003e6/blk000003f4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003e6/blk000003f3 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003e6/sig00000de7 ), + .A3(\blk00000003/blk000003e6/sig00000de7 ), + .CE(\blk00000003/blk000003e6/sig00000df0 ), + .CLK(clk), + .D(\blk00000003/sig00000751 ), + .Q(\blk00000003/blk000003e6/sig00000deb ), + .Q15(\NLW_blk00000003/blk000003e6/blk000003f3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003e6/blk000003f2 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003e6/sig00000de7 ), + .A3(\blk00000003/blk000003e6/sig00000de7 ), + .CE(\blk00000003/blk000003e6/sig00000df0 ), + .CLK(clk), + .D(\blk00000003/sig00000752 ), + .Q(\blk00000003/blk000003e6/sig00000dea ), + .Q15(\NLW_blk00000003/blk000003e6/blk000003f2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003e6/blk000003f1 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003e6/sig00000de7 ), + .A3(\blk00000003/blk000003e6/sig00000de7 ), + .CE(\blk00000003/blk000003e6/sig00000df0 ), + .CLK(clk), + .D(\blk00000003/sig00000753 ), + .Q(\blk00000003/blk000003e6/sig00000de9 ), + .Q15(\NLW_blk00000003/blk000003e6/blk000003f1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003e6/blk000003f0 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003e6/sig00000de7 ), + .A3(\blk00000003/blk000003e6/sig00000de7 ), + .CE(\blk00000003/blk000003e6/sig00000df0 ), + .CLK(clk), + .D(\blk00000003/sig00000754 ), + .Q(\blk00000003/blk000003e6/sig00000de8 ), + .Q15(\NLW_blk00000003/blk000003e6/blk000003f0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e6/blk000003ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003e6/sig00000def ), + .Q(\blk00000003/sig0000051f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e6/blk000003ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003e6/sig00000dee ), + .Q(\blk00000003/sig00000520 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e6/blk000003ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003e6/sig00000ded ), + .Q(\blk00000003/sig00000521 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e6/blk000003ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003e6/sig00000dec ), + .Q(\blk00000003/sig00000522 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e6/blk000003eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003e6/sig00000deb ), + .Q(\blk00000003/sig00000523 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e6/blk000003ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003e6/sig00000dea ), + .Q(\blk00000003/sig00000524 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e6/blk000003e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003e6/sig00000de9 ), + .Q(\blk00000003/sig00000525 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e6/blk000003e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003e6/sig00000de8 ), + .Q(\blk00000003/sig00000526 ) + ); + GND \blk00000003/blk000003e6/blk000003e7 ( + .G(\blk00000003/blk000003e6/sig00000de7 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003f9/blk0000040b ( + .I0(\blk00000003/sig0000067b ), + .I1(ce), + .O(\blk00000003/blk000003f9/sig00000e0f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk0000040a ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000003f9/sig00000e06 ), + .A3(\blk00000003/blk000003f9/sig00000e06 ), + .CE(\blk00000003/blk000003f9/sig00000e0f ), + .CLK(clk), + .D(\blk00000003/sig000003a3 ), + .Q(\blk00000003/blk000003f9/sig00000e0e ), + .Q15(\NLW_blk00000003/blk000003f9/blk0000040a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000409 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000003f9/sig00000e06 ), + .A3(\blk00000003/blk000003f9/sig00000e06 ), + .CE(\blk00000003/blk000003f9/sig00000e0f ), + .CLK(clk), + .D(\blk00000003/sig000003a4 ), + .Q(\blk00000003/blk000003f9/sig00000e0d ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000409_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000408 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000003f9/sig00000e06 ), + .A3(\blk00000003/blk000003f9/sig00000e06 ), + .CE(\blk00000003/blk000003f9/sig00000e0f ), + .CLK(clk), + .D(\blk00000003/sig000003a5 ), + .Q(\blk00000003/blk000003f9/sig00000e0c ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000408_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000407 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000003f9/sig00000e06 ), + .A3(\blk00000003/blk000003f9/sig00000e06 ), + .CE(\blk00000003/blk000003f9/sig00000e0f ), + .CLK(clk), + .D(\blk00000003/sig000003a6 ), + .Q(\blk00000003/blk000003f9/sig00000e0b ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000407_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000406 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000003f9/sig00000e06 ), + .A3(\blk00000003/blk000003f9/sig00000e06 ), + .CE(\blk00000003/blk000003f9/sig00000e0f ), + .CLK(clk), + .D(\blk00000003/sig000003a7 ), + .Q(\blk00000003/blk000003f9/sig00000e0a ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000406_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000405 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000003f9/sig00000e06 ), + .A3(\blk00000003/blk000003f9/sig00000e06 ), + .CE(\blk00000003/blk000003f9/sig00000e0f ), + .CLK(clk), + .D(\blk00000003/sig000003a8 ), + .Q(\blk00000003/blk000003f9/sig00000e09 ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000405_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000404 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000003f9/sig00000e06 ), + .A3(\blk00000003/blk000003f9/sig00000e06 ), + .CE(\blk00000003/blk000003f9/sig00000e0f ), + .CLK(clk), + .D(\blk00000003/sig000003a9 ), + .Q(\blk00000003/blk000003f9/sig00000e08 ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000404_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000403 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000003f9/sig00000e06 ), + .A3(\blk00000003/blk000003f9/sig00000e06 ), + .CE(\blk00000003/blk000003f9/sig00000e0f ), + .CLK(clk), + .D(\blk00000003/sig000003aa ), + .Q(\blk00000003/blk000003f9/sig00000e07 ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000403_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000402 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00000e0e ), + .Q(\blk00000003/sig00000567 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000401 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00000e0d ), + .Q(\blk00000003/sig00000568 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000400 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00000e0c ), + .Q(\blk00000003/sig00000569 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00000e0b ), + .Q(\blk00000003/sig0000056a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00000e0a ), + .Q(\blk00000003/sig0000056b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00000e09 ), + .Q(\blk00000003/sig0000056c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00000e08 ), + .Q(\blk00000003/sig0000056d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00000e07 ), + .Q(\blk00000003/sig0000056e ) + ); + GND \blk00000003/blk000003f9/blk000003fa ( + .G(\blk00000003/blk000003f9/sig00000e06 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000040c/blk0000041e ( + .I0(\blk00000003/sig00000679 ), + .I1(ce), + .O(\blk00000003/blk0000040c/sig00000e2e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000040c/blk0000041d ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk0000040c/sig00000e25 ), + .A3(\blk00000003/blk0000040c/sig00000e25 ), + .CE(\blk00000003/blk0000040c/sig00000e2e ), + .CLK(clk), + .D(\blk00000003/sig00000755 ), + .Q(\blk00000003/blk0000040c/sig00000e2d ), + .Q15(\NLW_blk00000003/blk0000040c/blk0000041d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000040c/blk0000041c ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk0000040c/sig00000e25 ), + .A3(\blk00000003/blk0000040c/sig00000e25 ), + .CE(\blk00000003/blk0000040c/sig00000e2e ), + .CLK(clk), + .D(\blk00000003/sig00000756 ), + .Q(\blk00000003/blk0000040c/sig00000e2c ), + .Q15(\NLW_blk00000003/blk0000040c/blk0000041c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000040c/blk0000041b ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk0000040c/sig00000e25 ), + .A3(\blk00000003/blk0000040c/sig00000e25 ), + .CE(\blk00000003/blk0000040c/sig00000e2e ), + .CLK(clk), + .D(\blk00000003/sig00000757 ), + .Q(\blk00000003/blk0000040c/sig00000e2b ), + .Q15(\NLW_blk00000003/blk0000040c/blk0000041b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000040c/blk0000041a ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk0000040c/sig00000e25 ), + .A3(\blk00000003/blk0000040c/sig00000e25 ), + .CE(\blk00000003/blk0000040c/sig00000e2e ), + .CLK(clk), + .D(\blk00000003/sig00000758 ), + .Q(\blk00000003/blk0000040c/sig00000e2a ), + .Q15(\NLW_blk00000003/blk0000040c/blk0000041a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000040c/blk00000419 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk0000040c/sig00000e25 ), + .A3(\blk00000003/blk0000040c/sig00000e25 ), + .CE(\blk00000003/blk0000040c/sig00000e2e ), + .CLK(clk), + .D(\blk00000003/sig00000759 ), + .Q(\blk00000003/blk0000040c/sig00000e29 ), + .Q15(\NLW_blk00000003/blk0000040c/blk00000419_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000040c/blk00000418 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk0000040c/sig00000e25 ), + .A3(\blk00000003/blk0000040c/sig00000e25 ), + .CE(\blk00000003/blk0000040c/sig00000e2e ), + .CLK(clk), + .D(\blk00000003/sig0000075a ), + .Q(\blk00000003/blk0000040c/sig00000e28 ), + .Q15(\NLW_blk00000003/blk0000040c/blk00000418_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000040c/blk00000417 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk0000040c/sig00000e25 ), + .A3(\blk00000003/blk0000040c/sig00000e25 ), + .CE(\blk00000003/blk0000040c/sig00000e2e ), + .CLK(clk), + .D(\blk00000003/sig0000075b ), + .Q(\blk00000003/blk0000040c/sig00000e27 ), + .Q15(\NLW_blk00000003/blk0000040c/blk00000417_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000040c/blk00000416 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk0000040c/sig00000e25 ), + .A3(\blk00000003/blk0000040c/sig00000e25 ), + .CE(\blk00000003/blk0000040c/sig00000e2e ), + .CLK(clk), + .D(\blk00000003/sig0000075c ), + .Q(\blk00000003/blk0000040c/sig00000e26 ), + .Q15(\NLW_blk00000003/blk0000040c/blk00000416_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040c/blk00000415 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000040c/sig00000e2d ), + .Q(\blk00000003/sig0000055f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040c/blk00000414 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000040c/sig00000e2c ), + .Q(\blk00000003/sig00000560 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040c/blk00000413 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000040c/sig00000e2b ), + .Q(\blk00000003/sig00000561 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040c/blk00000412 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000040c/sig00000e2a ), + .Q(\blk00000003/sig00000562 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040c/blk00000411 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000040c/sig00000e29 ), + .Q(\blk00000003/sig00000563 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040c/blk00000410 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000040c/sig00000e28 ), + .Q(\blk00000003/sig00000564 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040c/blk0000040f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000040c/sig00000e27 ), + .Q(\blk00000003/sig00000565 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040c/blk0000040e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000040c/sig00000e26 ), + .Q(\blk00000003/sig00000566 ) + ); + GND \blk00000003/blk0000040c/blk0000040d ( + .G(\blk00000003/blk0000040c/sig00000e25 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000041f/blk00000431 ( + .I0(\blk00000003/sig0000067b ), + .I1(ce), + .O(\blk00000003/blk0000041f/sig00000e4d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041f/blk00000430 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk0000041f/sig00000e44 ), + .A3(\blk00000003/blk0000041f/sig00000e44 ), + .CE(\blk00000003/blk0000041f/sig00000e4d ), + .CLK(clk), + .D(\blk00000003/sig000003f5 ), + .Q(\blk00000003/blk0000041f/sig00000e4c ), + .Q15(\NLW_blk00000003/blk0000041f/blk00000430_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041f/blk0000042f ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk0000041f/sig00000e44 ), + .A3(\blk00000003/blk0000041f/sig00000e44 ), + .CE(\blk00000003/blk0000041f/sig00000e4d ), + .CLK(clk), + .D(\blk00000003/sig000003f6 ), + .Q(\blk00000003/blk0000041f/sig00000e4b ), + .Q15(\NLW_blk00000003/blk0000041f/blk0000042f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041f/blk0000042e ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk0000041f/sig00000e44 ), + .A3(\blk00000003/blk0000041f/sig00000e44 ), + .CE(\blk00000003/blk0000041f/sig00000e4d ), + .CLK(clk), + .D(\blk00000003/sig000003f7 ), + .Q(\blk00000003/blk0000041f/sig00000e4a ), + .Q15(\NLW_blk00000003/blk0000041f/blk0000042e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041f/blk0000042d ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk0000041f/sig00000e44 ), + .A3(\blk00000003/blk0000041f/sig00000e44 ), + .CE(\blk00000003/blk0000041f/sig00000e4d ), + .CLK(clk), + .D(\blk00000003/sig000003f8 ), + .Q(\blk00000003/blk0000041f/sig00000e49 ), + .Q15(\NLW_blk00000003/blk0000041f/blk0000042d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041f/blk0000042c ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk0000041f/sig00000e44 ), + .A3(\blk00000003/blk0000041f/sig00000e44 ), + .CE(\blk00000003/blk0000041f/sig00000e4d ), + .CLK(clk), + .D(\blk00000003/sig000003f9 ), + .Q(\blk00000003/blk0000041f/sig00000e48 ), + .Q15(\NLW_blk00000003/blk0000041f/blk0000042c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041f/blk0000042b ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk0000041f/sig00000e44 ), + .A3(\blk00000003/blk0000041f/sig00000e44 ), + .CE(\blk00000003/blk0000041f/sig00000e4d ), + .CLK(clk), + .D(\blk00000003/sig000003fa ), + .Q(\blk00000003/blk0000041f/sig00000e47 ), + .Q15(\NLW_blk00000003/blk0000041f/blk0000042b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041f/blk0000042a ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk0000041f/sig00000e44 ), + .A3(\blk00000003/blk0000041f/sig00000e44 ), + .CE(\blk00000003/blk0000041f/sig00000e4d ), + .CLK(clk), + .D(\blk00000003/sig000003fb ), + .Q(\blk00000003/blk0000041f/sig00000e46 ), + .Q15(\NLW_blk00000003/blk0000041f/blk0000042a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041f/blk00000429 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk0000041f/sig00000e44 ), + .A3(\blk00000003/blk0000041f/sig00000e44 ), + .CE(\blk00000003/blk0000041f/sig00000e4d ), + .CLK(clk), + .D(\blk00000003/sig000003fc ), + .Q(\blk00000003/blk0000041f/sig00000e45 ), + .Q15(\NLW_blk00000003/blk0000041f/blk00000429_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041f/blk00000428 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041f/sig00000e4c ), + .Q(\blk00000003/sig00000589 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041f/blk00000427 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041f/sig00000e4b ), + .Q(\blk00000003/sig0000058a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041f/blk00000426 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041f/sig00000e4a ), + .Q(\blk00000003/sig0000058b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041f/blk00000425 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041f/sig00000e49 ), + .Q(\blk00000003/sig0000058c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041f/blk00000424 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041f/sig00000e48 ), + .Q(\blk00000003/sig0000058d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041f/blk00000423 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041f/sig00000e47 ), + .Q(\blk00000003/sig0000058e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041f/blk00000422 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041f/sig00000e46 ), + .Q(\blk00000003/sig0000058f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041f/blk00000421 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041f/sig00000e45 ), + .Q(\blk00000003/sig00000590 ) + ); + GND \blk00000003/blk0000041f/blk00000420 ( + .G(\blk00000003/blk0000041f/sig00000e44 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000432/blk00000444 ( + .I0(\blk00000003/sig00000679 ), + .I1(ce), + .O(\blk00000003/blk00000432/sig00000e6c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000432/blk00000443 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000432/sig00000e63 ), + .A3(\blk00000003/blk00000432/sig00000e63 ), + .CE(\blk00000003/blk00000432/sig00000e6c ), + .CLK(clk), + .D(\blk00000003/sig0000075d ), + .Q(\blk00000003/blk00000432/sig00000e6b ), + .Q15(\NLW_blk00000003/blk00000432/blk00000443_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000432/blk00000442 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000432/sig00000e63 ), + .A3(\blk00000003/blk00000432/sig00000e63 ), + .CE(\blk00000003/blk00000432/sig00000e6c ), + .CLK(clk), + .D(\blk00000003/sig0000075e ), + .Q(\blk00000003/blk00000432/sig00000e6a ), + .Q15(\NLW_blk00000003/blk00000432/blk00000442_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000432/blk00000441 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000432/sig00000e63 ), + .A3(\blk00000003/blk00000432/sig00000e63 ), + .CE(\blk00000003/blk00000432/sig00000e6c ), + .CLK(clk), + .D(\blk00000003/sig0000075f ), + .Q(\blk00000003/blk00000432/sig00000e69 ), + .Q15(\NLW_blk00000003/blk00000432/blk00000441_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000432/blk00000440 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000432/sig00000e63 ), + .A3(\blk00000003/blk00000432/sig00000e63 ), + .CE(\blk00000003/blk00000432/sig00000e6c ), + .CLK(clk), + .D(\blk00000003/sig00000760 ), + .Q(\blk00000003/blk00000432/sig00000e68 ), + .Q15(\NLW_blk00000003/blk00000432/blk00000440_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000432/blk0000043f ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000432/sig00000e63 ), + .A3(\blk00000003/blk00000432/sig00000e63 ), + .CE(\blk00000003/blk00000432/sig00000e6c ), + .CLK(clk), + .D(\blk00000003/sig00000761 ), + .Q(\blk00000003/blk00000432/sig00000e67 ), + .Q15(\NLW_blk00000003/blk00000432/blk0000043f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000432/blk0000043e ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000432/sig00000e63 ), + .A3(\blk00000003/blk00000432/sig00000e63 ), + .CE(\blk00000003/blk00000432/sig00000e6c ), + .CLK(clk), + .D(\blk00000003/sig00000762 ), + .Q(\blk00000003/blk00000432/sig00000e66 ), + .Q15(\NLW_blk00000003/blk00000432/blk0000043e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000432/blk0000043d ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000432/sig00000e63 ), + .A3(\blk00000003/blk00000432/sig00000e63 ), + .CE(\blk00000003/blk00000432/sig00000e6c ), + .CLK(clk), + .D(\blk00000003/sig00000763 ), + .Q(\blk00000003/blk00000432/sig00000e65 ), + .Q15(\NLW_blk00000003/blk00000432/blk0000043d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000432/blk0000043c ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000432/sig00000e63 ), + .A3(\blk00000003/blk00000432/sig00000e63 ), + .CE(\blk00000003/blk00000432/sig00000e6c ), + .CLK(clk), + .D(\blk00000003/sig00000764 ), + .Q(\blk00000003/blk00000432/sig00000e64 ), + .Q15(\NLW_blk00000003/blk00000432/blk0000043c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000432/blk0000043b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000432/sig00000e6b ), + .Q(\blk00000003/sig00000581 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000432/blk0000043a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000432/sig00000e6a ), + .Q(\blk00000003/sig00000582 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000432/blk00000439 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000432/sig00000e69 ), + .Q(\blk00000003/sig00000583 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000432/blk00000438 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000432/sig00000e68 ), + .Q(\blk00000003/sig00000584 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000432/blk00000437 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000432/sig00000e67 ), + .Q(\blk00000003/sig00000585 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000432/blk00000436 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000432/sig00000e66 ), + .Q(\blk00000003/sig00000586 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000432/blk00000435 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000432/sig00000e65 ), + .Q(\blk00000003/sig00000587 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000432/blk00000434 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000432/sig00000e64 ), + .Q(\blk00000003/sig00000588 ) + ); + GND \blk00000003/blk00000432/blk00000433 ( + .G(\blk00000003/blk00000432/sig00000e63 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000445/blk00000457 ( + .I0(\blk00000003/sig0000068c ), + .I1(ce), + .O(\blk00000003/blk00000445/sig00000e8b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000445/blk00000456 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000445/sig00000e82 ), + .A3(\blk00000003/blk00000445/sig00000e82 ), + .CE(\blk00000003/blk00000445/sig00000e8b ), + .CLK(clk), + .D(\blk00000003/sig00000765 ), + .Q(\blk00000003/blk00000445/sig00000e8a ), + .Q15(\NLW_blk00000003/blk00000445/blk00000456_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000445/blk00000455 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000445/sig00000e82 ), + .A3(\blk00000003/blk00000445/sig00000e82 ), + .CE(\blk00000003/blk00000445/sig00000e8b ), + .CLK(clk), + .D(\blk00000003/sig00000766 ), + .Q(\blk00000003/blk00000445/sig00000e89 ), + .Q15(\NLW_blk00000003/blk00000445/blk00000455_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000445/blk00000454 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000445/sig00000e82 ), + .A3(\blk00000003/blk00000445/sig00000e82 ), + .CE(\blk00000003/blk00000445/sig00000e8b ), + .CLK(clk), + .D(\blk00000003/sig00000767 ), + .Q(\blk00000003/blk00000445/sig00000e88 ), + .Q15(\NLW_blk00000003/blk00000445/blk00000454_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000445/blk00000453 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000445/sig00000e82 ), + .A3(\blk00000003/blk00000445/sig00000e82 ), + .CE(\blk00000003/blk00000445/sig00000e8b ), + .CLK(clk), + .D(\blk00000003/sig00000768 ), + .Q(\blk00000003/blk00000445/sig00000e87 ), + .Q15(\NLW_blk00000003/blk00000445/blk00000453_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000445/blk00000452 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000445/sig00000e82 ), + .A3(\blk00000003/blk00000445/sig00000e82 ), + .CE(\blk00000003/blk00000445/sig00000e8b ), + .CLK(clk), + .D(\blk00000003/sig00000769 ), + .Q(\blk00000003/blk00000445/sig00000e86 ), + .Q15(\NLW_blk00000003/blk00000445/blk00000452_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000445/blk00000451 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000445/sig00000e82 ), + .A3(\blk00000003/blk00000445/sig00000e82 ), + .CE(\blk00000003/blk00000445/sig00000e8b ), + .CLK(clk), + .D(\blk00000003/sig0000076a ), + .Q(\blk00000003/blk00000445/sig00000e85 ), + .Q15(\NLW_blk00000003/blk00000445/blk00000451_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000445/blk00000450 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000445/sig00000e82 ), + .A3(\blk00000003/blk00000445/sig00000e82 ), + .CE(\blk00000003/blk00000445/sig00000e8b ), + .CLK(clk), + .D(\blk00000003/sig0000076b ), + .Q(\blk00000003/blk00000445/sig00000e84 ), + .Q15(\NLW_blk00000003/blk00000445/blk00000450_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000445/blk0000044f ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000445/sig00000e82 ), + .A3(\blk00000003/blk00000445/sig00000e82 ), + .CE(\blk00000003/blk00000445/sig00000e8b ), + .CLK(clk), + .D(\blk00000003/sig0000076c ), + .Q(\blk00000003/blk00000445/sig00000e83 ), + .Q15(\NLW_blk00000003/blk00000445/blk0000044f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000445/blk0000044e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000445/sig00000e8a ), + .Q(\blk00000003/sig000003a3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000445/blk0000044d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000445/sig00000e89 ), + .Q(\blk00000003/sig000003a4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000445/blk0000044c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000445/sig00000e88 ), + .Q(\blk00000003/sig000003a5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000445/blk0000044b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000445/sig00000e87 ), + .Q(\blk00000003/sig000003a6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000445/blk0000044a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000445/sig00000e86 ), + .Q(\blk00000003/sig000003a7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000445/blk00000449 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000445/sig00000e85 ), + .Q(\blk00000003/sig000003a8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000445/blk00000448 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000445/sig00000e84 ), + .Q(\blk00000003/sig000003a9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000445/blk00000447 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000445/sig00000e83 ), + .Q(\blk00000003/sig000003aa ) + ); + GND \blk00000003/blk00000445/blk00000446 ( + .G(\blk00000003/blk00000445/sig00000e82 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000458/blk0000046a ( + .I0(\blk00000003/sig0000068b ), + .I1(ce), + .O(\blk00000003/blk00000458/sig00000eaa ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000458/blk00000469 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk00000458/sig00000ea1 ), + .A3(\blk00000003/blk00000458/sig00000ea1 ), + .CE(\blk00000003/blk00000458/sig00000eaa ), + .CLK(clk), + .D(\blk00000003/sig0000076d ), + .Q(\blk00000003/blk00000458/sig00000ea9 ), + .Q15(\NLW_blk00000003/blk00000458/blk00000469_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000458/blk00000468 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk00000458/sig00000ea1 ), + .A3(\blk00000003/blk00000458/sig00000ea1 ), + .CE(\blk00000003/blk00000458/sig00000eaa ), + .CLK(clk), + .D(\blk00000003/sig0000076e ), + .Q(\blk00000003/blk00000458/sig00000ea8 ), + .Q15(\NLW_blk00000003/blk00000458/blk00000468_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000458/blk00000467 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk00000458/sig00000ea1 ), + .A3(\blk00000003/blk00000458/sig00000ea1 ), + .CE(\blk00000003/blk00000458/sig00000eaa ), + .CLK(clk), + .D(\blk00000003/sig0000076f ), + .Q(\blk00000003/blk00000458/sig00000ea7 ), + .Q15(\NLW_blk00000003/blk00000458/blk00000467_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000458/blk00000466 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk00000458/sig00000ea1 ), + .A3(\blk00000003/blk00000458/sig00000ea1 ), + .CE(\blk00000003/blk00000458/sig00000eaa ), + .CLK(clk), + .D(\blk00000003/sig00000770 ), + .Q(\blk00000003/blk00000458/sig00000ea6 ), + .Q15(\NLW_blk00000003/blk00000458/blk00000466_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000458/blk00000465 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk00000458/sig00000ea1 ), + .A3(\blk00000003/blk00000458/sig00000ea1 ), + .CE(\blk00000003/blk00000458/sig00000eaa ), + .CLK(clk), + .D(\blk00000003/sig00000771 ), + .Q(\blk00000003/blk00000458/sig00000ea5 ), + .Q15(\NLW_blk00000003/blk00000458/blk00000465_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000458/blk00000464 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk00000458/sig00000ea1 ), + .A3(\blk00000003/blk00000458/sig00000ea1 ), + .CE(\blk00000003/blk00000458/sig00000eaa ), + .CLK(clk), + .D(\blk00000003/sig00000772 ), + .Q(\blk00000003/blk00000458/sig00000ea4 ), + .Q15(\NLW_blk00000003/blk00000458/blk00000464_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000458/blk00000463 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk00000458/sig00000ea1 ), + .A3(\blk00000003/blk00000458/sig00000ea1 ), + .CE(\blk00000003/blk00000458/sig00000eaa ), + .CLK(clk), + .D(\blk00000003/sig00000773 ), + .Q(\blk00000003/blk00000458/sig00000ea3 ), + .Q15(\NLW_blk00000003/blk00000458/blk00000463_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000458/blk00000462 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk00000458/sig00000ea1 ), + .A3(\blk00000003/blk00000458/sig00000ea1 ), + .CE(\blk00000003/blk00000458/sig00000eaa ), + .CLK(clk), + .D(\blk00000003/sig00000774 ), + .Q(\blk00000003/blk00000458/sig00000ea2 ), + .Q15(\NLW_blk00000003/blk00000458/blk00000462_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000458/blk00000461 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000458/sig00000ea9 ), + .Q(\blk00000003/sig0000039b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000458/blk00000460 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000458/sig00000ea8 ), + .Q(\blk00000003/sig0000039c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000458/blk0000045f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000458/sig00000ea7 ), + .Q(\blk00000003/sig0000039d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000458/blk0000045e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000458/sig00000ea6 ), + .Q(\blk00000003/sig0000039e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000458/blk0000045d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000458/sig00000ea5 ), + .Q(\blk00000003/sig0000039f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000458/blk0000045c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000458/sig00000ea4 ), + .Q(\blk00000003/sig000003a0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000458/blk0000045b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000458/sig00000ea3 ), + .Q(\blk00000003/sig000003a1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000458/blk0000045a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000458/sig00000ea2 ), + .Q(\blk00000003/sig000003a2 ) + ); + GND \blk00000003/blk00000458/blk00000459 ( + .G(\blk00000003/blk00000458/sig00000ea1 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000046b/blk0000047d ( + .I0(\blk00000003/sig0000068c ), + .I1(ce), + .O(\blk00000003/blk0000046b/sig00000ec9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000046b/blk0000047c ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000046b/sig00000ec0 ), + .A3(\blk00000003/blk0000046b/sig00000ec0 ), + .CE(\blk00000003/blk0000046b/sig00000ec9 ), + .CLK(clk), + .D(\blk00000003/sig00000775 ), + .Q(\blk00000003/blk0000046b/sig00000ec8 ), + .Q15(\NLW_blk00000003/blk0000046b/blk0000047c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000046b/blk0000047b ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000046b/sig00000ec0 ), + .A3(\blk00000003/blk0000046b/sig00000ec0 ), + .CE(\blk00000003/blk0000046b/sig00000ec9 ), + .CLK(clk), + .D(\blk00000003/sig00000776 ), + .Q(\blk00000003/blk0000046b/sig00000ec7 ), + .Q15(\NLW_blk00000003/blk0000046b/blk0000047b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000046b/blk0000047a ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000046b/sig00000ec0 ), + .A3(\blk00000003/blk0000046b/sig00000ec0 ), + .CE(\blk00000003/blk0000046b/sig00000ec9 ), + .CLK(clk), + .D(\blk00000003/sig00000777 ), + .Q(\blk00000003/blk0000046b/sig00000ec6 ), + .Q15(\NLW_blk00000003/blk0000046b/blk0000047a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000046b/blk00000479 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000046b/sig00000ec0 ), + .A3(\blk00000003/blk0000046b/sig00000ec0 ), + .CE(\blk00000003/blk0000046b/sig00000ec9 ), + .CLK(clk), + .D(\blk00000003/sig00000778 ), + .Q(\blk00000003/blk0000046b/sig00000ec5 ), + .Q15(\NLW_blk00000003/blk0000046b/blk00000479_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000046b/blk00000478 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000046b/sig00000ec0 ), + .A3(\blk00000003/blk0000046b/sig00000ec0 ), + .CE(\blk00000003/blk0000046b/sig00000ec9 ), + .CLK(clk), + .D(\blk00000003/sig00000779 ), + .Q(\blk00000003/blk0000046b/sig00000ec4 ), + .Q15(\NLW_blk00000003/blk0000046b/blk00000478_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000046b/blk00000477 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000046b/sig00000ec0 ), + .A3(\blk00000003/blk0000046b/sig00000ec0 ), + .CE(\blk00000003/blk0000046b/sig00000ec9 ), + .CLK(clk), + .D(\blk00000003/sig0000077a ), + .Q(\blk00000003/blk0000046b/sig00000ec3 ), + .Q15(\NLW_blk00000003/blk0000046b/blk00000477_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000046b/blk00000476 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000046b/sig00000ec0 ), + .A3(\blk00000003/blk0000046b/sig00000ec0 ), + .CE(\blk00000003/blk0000046b/sig00000ec9 ), + .CLK(clk), + .D(\blk00000003/sig0000077b ), + .Q(\blk00000003/blk0000046b/sig00000ec2 ), + .Q15(\NLW_blk00000003/blk0000046b/blk00000476_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000046b/blk00000475 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000046b/sig00000ec0 ), + .A3(\blk00000003/blk0000046b/sig00000ec0 ), + .CE(\blk00000003/blk0000046b/sig00000ec9 ), + .CLK(clk), + .D(\blk00000003/sig0000077c ), + .Q(\blk00000003/blk0000046b/sig00000ec1 ), + .Q15(\NLW_blk00000003/blk0000046b/blk00000475_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000046b/blk00000474 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000046b/sig00000ec8 ), + .Q(\blk00000003/sig000003f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000046b/blk00000473 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000046b/sig00000ec7 ), + .Q(\blk00000003/sig000003f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000046b/blk00000472 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000046b/sig00000ec6 ), + .Q(\blk00000003/sig000003f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000046b/blk00000471 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000046b/sig00000ec5 ), + .Q(\blk00000003/sig000003f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000046b/blk00000470 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000046b/sig00000ec4 ), + .Q(\blk00000003/sig000003f9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000046b/blk0000046f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000046b/sig00000ec3 ), + .Q(\blk00000003/sig000003fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000046b/blk0000046e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000046b/sig00000ec2 ), + .Q(\blk00000003/sig000003fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000046b/blk0000046d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000046b/sig00000ec1 ), + .Q(\blk00000003/sig000003fc ) + ); + GND \blk00000003/blk0000046b/blk0000046c ( + .G(\blk00000003/blk0000046b/sig00000ec0 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000047e/blk00000490 ( + .I0(\blk00000003/sig0000068b ), + .I1(ce), + .O(\blk00000003/blk0000047e/sig00000ee8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000047e/blk0000048f ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000047e/sig00000edf ), + .A3(\blk00000003/blk0000047e/sig00000edf ), + .CE(\blk00000003/blk0000047e/sig00000ee8 ), + .CLK(clk), + .D(\blk00000003/sig0000077d ), + .Q(\blk00000003/blk0000047e/sig00000ee7 ), + .Q15(\NLW_blk00000003/blk0000047e/blk0000048f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000047e/blk0000048e ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000047e/sig00000edf ), + .A3(\blk00000003/blk0000047e/sig00000edf ), + .CE(\blk00000003/blk0000047e/sig00000ee8 ), + .CLK(clk), + .D(\blk00000003/sig0000077e ), + .Q(\blk00000003/blk0000047e/sig00000ee6 ), + .Q15(\NLW_blk00000003/blk0000047e/blk0000048e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000047e/blk0000048d ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000047e/sig00000edf ), + .A3(\blk00000003/blk0000047e/sig00000edf ), + .CE(\blk00000003/blk0000047e/sig00000ee8 ), + .CLK(clk), + .D(\blk00000003/sig0000077f ), + .Q(\blk00000003/blk0000047e/sig00000ee5 ), + .Q15(\NLW_blk00000003/blk0000047e/blk0000048d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000047e/blk0000048c ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000047e/sig00000edf ), + .A3(\blk00000003/blk0000047e/sig00000edf ), + .CE(\blk00000003/blk0000047e/sig00000ee8 ), + .CLK(clk), + .D(\blk00000003/sig00000780 ), + .Q(\blk00000003/blk0000047e/sig00000ee4 ), + .Q15(\NLW_blk00000003/blk0000047e/blk0000048c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000047e/blk0000048b ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000047e/sig00000edf ), + .A3(\blk00000003/blk0000047e/sig00000edf ), + .CE(\blk00000003/blk0000047e/sig00000ee8 ), + .CLK(clk), + .D(\blk00000003/sig00000781 ), + .Q(\blk00000003/blk0000047e/sig00000ee3 ), + .Q15(\NLW_blk00000003/blk0000047e/blk0000048b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000047e/blk0000048a ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000047e/sig00000edf ), + .A3(\blk00000003/blk0000047e/sig00000edf ), + .CE(\blk00000003/blk0000047e/sig00000ee8 ), + .CLK(clk), + .D(\blk00000003/sig00000782 ), + .Q(\blk00000003/blk0000047e/sig00000ee2 ), + .Q15(\NLW_blk00000003/blk0000047e/blk0000048a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000047e/blk00000489 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000047e/sig00000edf ), + .A3(\blk00000003/blk0000047e/sig00000edf ), + .CE(\blk00000003/blk0000047e/sig00000ee8 ), + .CLK(clk), + .D(\blk00000003/sig00000783 ), + .Q(\blk00000003/blk0000047e/sig00000ee1 ), + .Q15(\NLW_blk00000003/blk0000047e/blk00000489_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000047e/blk00000488 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000047e/sig00000edf ), + .A3(\blk00000003/blk0000047e/sig00000edf ), + .CE(\blk00000003/blk0000047e/sig00000ee8 ), + .CLK(clk), + .D(\blk00000003/sig00000784 ), + .Q(\blk00000003/blk0000047e/sig00000ee0 ), + .Q15(\NLW_blk00000003/blk0000047e/blk00000488_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047e/blk00000487 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000047e/sig00000ee7 ), + .Q(\blk00000003/sig000003ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047e/blk00000486 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000047e/sig00000ee6 ), + .Q(\blk00000003/sig000003ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047e/blk00000485 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000047e/sig00000ee5 ), + .Q(\blk00000003/sig000003ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047e/blk00000484 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000047e/sig00000ee4 ), + .Q(\blk00000003/sig000003f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047e/blk00000483 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000047e/sig00000ee3 ), + .Q(\blk00000003/sig000003f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047e/blk00000482 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000047e/sig00000ee2 ), + .Q(\blk00000003/sig000003f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047e/blk00000481 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000047e/sig00000ee1 ), + .Q(\blk00000003/sig000003f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047e/blk00000480 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000047e/sig00000ee0 ), + .Q(\blk00000003/sig000003f4 ) + ); + GND \blk00000003/blk0000047e/blk0000047f ( + .G(\blk00000003/blk0000047e/sig00000edf ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000004e1/blk00000507 ( + .I0(\blk00000003/sig00000305 ), + .I1(ce), + .O(\blk00000003/blk000004e1/sig00000f25 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e1/blk00000506 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig0000070d ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk00000506_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f24 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk00000505 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig0000070e ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk00000505_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f23 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk00000504 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig0000070f ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk00000504_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f22 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk00000503 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000710 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk00000503_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f21 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk00000502 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000711 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk00000502_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f20 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk00000501 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000712 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk00000501_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f1f ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk00000500 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000714 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk00000500_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f1d ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004ff ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000715 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004ff_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f1c ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004fe ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000713 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004fe_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f1e ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004fd ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000716 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004fd_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f1b ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004fc ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000717 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004fc_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f1a ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004fb ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000718 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004fb_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f19 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004fa ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000719 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004fa_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f18 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004f9 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig0000071a ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004f9_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f17 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004f8 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig0000071b ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004f8_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f16 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004f7 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig0000071d ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004f7_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f14 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004f6 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig0000071e ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004f6_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f13 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004f5 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig0000071c ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004f5_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f15 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f24 ), + .Q(\blk00000003/sig000001a8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f23 ), + .Q(\blk00000003/sig000001a9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f22 ), + .Q(\blk00000003/sig000001aa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f21 ), + .Q(\blk00000003/sig000001ab ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f20 ), + .Q(\blk00000003/sig000001ac ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f1f ), + .Q(\blk00000003/sig000001ad ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f1e ), + .Q(\blk00000003/sig000001ae ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f1d ), + .Q(\blk00000003/sig000001af ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f1c ), + .Q(\blk00000003/sig000001b0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f1b ), + .Q(\blk00000003/sig000001b1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f1a ), + .Q(\blk00000003/sig000001b2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f19 ), + .Q(\blk00000003/sig000001b3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f18 ), + .Q(\blk00000003/sig000001b4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f17 ), + .Q(\blk00000003/sig000001b5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f16 ), + .Q(\blk00000003/sig000001b6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f15 ), + .Q(\blk00000003/sig000001b7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f14 ), + .Q(\blk00000003/sig000001b8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f13 ), + .Q(\blk00000003/sig000001b9 ) + ); + GND \blk00000003/blk000004e1/blk000004e2 ( + .G(\blk00000003/blk000004e1/sig00000f12 ) + ); + +// synthesis translate_on + +endmodule + +// synthesis translate_off + +`ifndef GLBL +`define GLBL + +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (weak1, weak0) GSR = GSR_int; + assign (weak1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + +endmodule + +`endif + +// synthesis translate_on diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec2.veo b/fpga/usrp3/top/b200/coregen_dsp/hbdec2.veo new file mode 100644 index 000000000..ce561daa2 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec2.veo @@ -0,0 +1,79 @@ +/******************************************************************************* +* (c) Copyright 1995 - 2010 Xilinx, Inc. All rights reserved. * +* * +* This file contains confidential and proprietary information * +* of Xilinx, Inc. and is protected under U.S. and * +* international copyright and other intellectual property * +* laws. * +* * +* DISCLAIMER * +* This disclaimer is not a license and does not grant any * +* rights to the materials distributed herewith. Except as * +* otherwise provided in a valid license issued to you by * +* Xilinx, and to the maximum extent permitted by applicable * +* law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND * +* WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES * +* AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING * +* BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- * +* INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and * +* (2) Xilinx shall not be liable (whether in contract or tort, * +* including negligence, or under any other theory of * +* liability) for any loss or damage of any kind or nature * +* related to, arising under or in connection with these * +* materials, including for any direct, or any indirect, * +* special, incidental, or consequential loss or damage * +* (including loss of data, profits, goodwill, or any type of * +* loss or damage suffered as a result of any action brought * +* by a third party) even if such damage or loss was * +* reasonably foreseeable or Xilinx had been advised of the * +* possibility of the same. * +* * +* CRITICAL APPLICATIONS * +* Xilinx products are not designed or intended to be fail- * +* safe, or for use in any application requiring fail-safe * +* performance, such as life-support or safety devices or * +* systems, Class III medical devices, nuclear facilities, * +* applications related to the deployment of airbags, or any * +* other applications that could lead to death, personal * +* injury, or severe property or environmental damage * +* (individually and collectively, "Critical * +* Applications"). Customer assumes the sole risk and * +* liability of any use of Xilinx products in Critical * +* Applications, subject only to applicable laws and * +* regulations governing limitations on product liability. * +* * +* THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS * +* PART OF THIS FILE AT ALL TIMES. * +*******************************************************************************/ + +// Generated from component ID: xilinx.com:ip:fir_compiler:5.0 + + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +hbdec2 YourInstanceName ( + .sclr(sclr), // input sclr + .clk(clk), // input clk + .ce(ce), // input ce + .nd(nd), // input nd + .coef_ld(coef_ld), // input coef_ld + .coef_we(coef_we), // input coef_we + .coef_din(coef_din), // input [17 : 0] coef_din + .rfd(rfd), // output rfd + .rdy(rdy), // output rdy + .data_valid(data_valid), // output data_valid + .din_1(din_1), // input [23 : 0] din_1 + .din_2(din_2), // input [23 : 0] din_2 + .dout_1(dout_1), // output [46 : 0] dout_1 + .dout_2(dout_2)); // output [46 : 0] dout_2 + +// INST_TAG_END ------ End INSTANTIATION Template --------- + +// You must compile the wrapper file hbdec2.v when simulating +// the core, hbdec2. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec2.xco b/fpga/usrp3/top/b200/coregen_dsp/hbdec2.xco new file mode 100644 index 000000000..1a4ba91f8 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec2.xco @@ -0,0 +1,102 @@ +############################################################## +# +# Xilinx Core Generator version 14.4 +# Date: Fri Jan 9 20:45:11 2015 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:fir_compiler:5.0 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx150t +SET devicefamily = spartan6 +SET flowvendor = Other +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = fgg484 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT FIR_Compiler family Xilinx,_Inc. 5.0 +# END Select +# BEGIN Parameters +CSET allow_rounding_approximation=false +CSET bestprecision=false +CSET chan_in_adv=0 +CSET clock_frequency=64 +CSET coefficient_buffer_type=Automatic +CSET coefficient_file=./hb47.coe +CSET coefficient_fractional_bits=0 +CSET coefficient_reload=true +CSET coefficient_sets=1 +CSET coefficient_sign=Signed +CSET coefficient_structure=Half_Band +CSET coefficient_width=18 +CSET coefficientsource=COE_File +CSET coefficientvector=6,0,-4,-3,5,6,-6,-13,7,44,64,44,7,-13,-6,6,5,-3,-4,0,6 +CSET columnconfig=4 +CSET component_name=hbdec2 +CSET data_buffer_type=Automatic +CSET data_fractional_bits=0 +CSET data_sign=Signed +CSET data_width=24 +CSET decimation_rate=2 +CSET displayreloadorder=false +CSET filter_architecture=Systolic_Multiply_Accumulate +CSET filter_selection=1 +CSET filter_type=Decimation +CSET gui_behaviour=Coregen +CSET hardwareoversamplingrate=1 +CSET has_ce=true +CSET has_data_valid=true +CSET has_nd=true +CSET has_sclr=true +CSET input_buffer_type=Automatic +CSET inter_column_pipe_length=4 +CSET interpolation_rate=1 +CSET multi_column_support=Disabled +CSET number_channels=1 +CSET number_paths=2 +CSET optimization_goal=Area +CSET output_buffer_type=Automatic +CSET output_rounding_mode=Full_Precision +CSET output_width=47 +CSET passband_max=0.5 +CSET passband_min=0.0 +CSET preference_for_other_storage=Automatic +CSET quantization=Integer_Coefficients +CSET rate_change_type=Integer +CSET ratespecification=Frequency_Specification +CSET registered_output=true +CSET sample_frequency=32 +CSET sampleperiod=1 +CSET sclr_deterministic=true +CSET stopband_max=1.0 +CSET stopband_min=0.5 +CSET usechan_in_adv=false +CSET zero_pack_factor=1 +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2012-12-18T05:23:34Z +# END Extra information +GENERATE +# CRC: 80021ddf diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec2COEFF_auto0_0.mif b/fpga/usrp3/top/b200/coregen_dsp/hbdec2COEFF_auto0_0.mif new file mode 100644 index 000000000..079623297 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec2COEFF_auto0_0.mif @@ -0,0 +1,9 @@ +111111111111000010 +000000000011000010 +111111111001001000 +000000001101010111 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec2COEFF_auto0_1.mif b/fpga/usrp3/top/b200/coregen_dsp/hbdec2COEFF_auto0_1.mif new file mode 100644 index 000000000..d4a0b357e --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec2COEFF_auto0_1.mif @@ -0,0 +1,9 @@ +111111101000011111 +000000100110101110 +111111000011000100 +000001011101100110 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec2COEFF_auto0_2.mif b/fpga/usrp3/top/b200/coregen_dsp/hbdec2COEFF_auto0_2.mif new file mode 100644 index 000000000..6d9d6044f --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec2COEFF_auto0_2.mif @@ -0,0 +1,9 @@ +111101110000011101 +000011100100101000 +111001100001011000 +010100010001000001 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec2COEFF_auto_HALFBAND_CENTRE0.mif b/fpga/usrp3/top/b200/coregen_dsp/hbdec2COEFF_auto_HALFBAND_CENTRE0.mif new file mode 100644 index 000000000..ca072e0fc --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec2COEFF_auto_HALFBAND_CENTRE0.mif @@ -0,0 +1,3 @@ +011111111111111111 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec2_flist.txt b/fpga/usrp3/top/b200/coregen_dsp/hbdec2_flist.txt new file mode 100644 index 000000000..d0a6a5443 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec2_flist.txt @@ -0,0 +1,19 @@ +# Output products list for +hbdec2.asy +hbdec2.gise +hbdec2.mif +hbdec2.ngc +hbdec2.v +hbdec2.veo +hbdec2.xco +hbdec2.xise +hbdec2COEFF_auto0_0.mif +hbdec2COEFF_auto0_1.mif +hbdec2COEFF_auto0_2.mif +hbdec2COEFF_auto_HALFBAND_CENTRE0.mif +hbdec2_flist.txt +hbdec2_readme.txt +hbdec2_reload_addrfilt_decode_rom.mif +hbdec2_reload_order.txt +hbdec2_xmdf.tcl +hbdec2filt_decode_rom.mif diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec2_readme.txt b/fpga/usrp3/top/b200/coregen_dsp/hbdec2_readme.txt new file mode 100644 index 000000000..bcda9c01c --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec2_readme.txt @@ -0,0 +1,75 @@ +The following files were generated for 'hbdec2' in directory +/disk2/ianb/ettus/fpgadev2/fpgadev/usrp3/top/b200/coregen_dsp/ + +Model Parameter Resolution: + Resolves generated model parameter values on the component instance. + + * hbdec2.mif + * hbdec2_reload_order.txt + +ISE file generator: + Add description here... + + * hbdec2_flist.txt + +Opens the IP Customization GUI: + Allows the user to customize or recustomize the IP instance. + + * hbdec2.mif + * hbdec2_reload_order.txt + +XCO file generator: + Generate an XCO file for compatibility with legacy flows. + + * hbdec2.xco + +Creates an implementation netlist: + Creates an implementation netlist for the IP. + + * hbdec2.ngc + * hbdec2.v + * hbdec2.veo + * hbdec2COEFF_auto0_0.mif + * hbdec2COEFF_auto0_1.mif + * hbdec2COEFF_auto0_2.mif + * hbdec2COEFF_auto_HALFBAND_CENTRE0.mif + * hbdec2_reload_addrfilt_decode_rom.mif + * hbdec2filt_decode_rom.mif + +Creates an HDL instantiation template: + Creates an HDL instantiation template for the IP. + + * hbdec2.veo + +IP Symbol Generator: + Generate an IP symbol based on the current project options'. + + * hbdec2.asy + * hbdec2.mif + * hbdec2_reload_order.txt + +Generate ISE metadata: + Create a metadata file for use when including this core in ISE designs + + * hbdec2_xmdf.tcl + +Generate ISE subproject: + Create an ISE subproject for use when including this core in ISE designs + + * hbdec2.gise + * hbdec2.xise + +Deliver Readme: + Readme file for the IP. + + * hbdec2_readme.txt + +Generate FLIST file: + Text file listing all of the output files produced when a customized core was + generated in the CORE Generator. + + * hbdec2_flist.txt + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec2_reload_addrfilt_decode_rom.mif b/fpga/usrp3/top/b200/coregen_dsp/hbdec2_reload_addrfilt_decode_rom.mif new file mode 100644 index 000000000..92e61d005 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec2_reload_addrfilt_decode_rom.mif @@ -0,0 +1,17 @@ +000 +100 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec2_reload_order.txt b/fpga/usrp3/top/b200/coregen_dsp/hbdec2_reload_order.txt new file mode 100644 index 000000000..071b84e5b --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec2_reload_order.txt @@ -0,0 +1,25 @@ +Reload index 0 = Index 16 +Reload index 1 = Index 18 +Reload index 2 = Index 20 +Reload index 3 = Index 22 +Reload index 4 = Index 8 +Reload index 5 = Index 10 +Reload index 6 = Index 12 +Reload index 7 = Index 14 +Reload index 8 = Index 0 +Reload index 9 = Index 2 +Reload index 10 = Index 4 +Reload index 11 = Index 6 +Reload index 12 = Index 23 +Reload index 13 = Index 0 +Reload index 14 = Index 0 +Reload index 15 = Index 0 +Reload index 16 = Index 0 +Reload index 17 = Index 0 +Reload index 18 = Index 0 +Reload index 19 = Index 0 +Reload index 20 = Index 0 +Reload index 21 = Index 0 +Reload index 22 = Index 0 +Reload index 23 = Index 0 + diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec2_xmdf.tcl b/fpga/usrp3/top/b200/coregen_dsp/hbdec2_xmdf.tcl new file mode 100644 index 000000000..efebbe070 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec2_xmdf.tcl @@ -0,0 +1,99 @@ +# The package naming convention is _xmdf +package provide hbdec2_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::hbdec2_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::hbdec2_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name hbdec2 +} +# ::hbdec2_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::hbdec2_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2COEFF_auto0_0.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2COEFF_auto0_1.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2COEFF_auto0_2.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2COEFF_auto_HALFBAND_CENTRE0.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2_reload_addrfilt_decode_rom.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2_reload_order.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type text +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2filt_decode_rom.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module hbdec2 +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp3/top/b200/coregen_dsp/hbdec2filt_decode_rom.mif b/fpga/usrp3/top/b200/coregen_dsp/hbdec2filt_decode_rom.mif new file mode 100644 index 000000000..92e61d005 --- /dev/null +++ b/fpga/usrp3/top/b200/coregen_dsp/hbdec2filt_decode_rom.mif @@ -0,0 +1,17 @@ +000 +100 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 diff --git a/fpga/usrp3/top/b200/gpio.ucf b/fpga/usrp3/top/b200/gpio.ucf new file mode 100644 index 000000000..1bccafe0d --- /dev/null +++ b/fpga/usrp3/top/b200/gpio.ucf @@ -0,0 +1,12 @@ + + +## GPIO bus - These pins are N/C on the LX75 +NET "fp_gpio<0>" LOC = "F8" | IOSTANDARD = LVCMOS33 | PULLUP ; +NET "fp_gpio<1>" LOC = "E8" | IOSTANDARD = LVCMOS33 | PULLUP ; +NET "fp_gpio<2>" LOC = "F9" | IOSTANDARD = LVCMOS33 | PULLUP ; +NET "fp_gpio<3>" LOC = "G8" | IOSTANDARD = LVCMOS33 | PULLUP ; +NET "fp_gpio<4>" LOC = "H10" | IOSTANDARD = LVCMOS33 | PULLUP ; +NET "fp_gpio<5>" LOC = "G9" | IOSTANDARD = LVCMOS33 | PULLUP ; +NET "fp_gpio<6>" LOC = "F10" | IOSTANDARD = LVCMOS33 | PULLUP ; +NET "fp_gpio<7>" LOC = "E10" | IOSTANDARD = LVCMOS33 | PULLUP ; + diff --git a/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif b/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif new file mode 100644 index 000000000..897eebbf3 --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif @@ -0,0 +1,59025 @@ +(edif b200 + (edifVersion 2 0 0) + (edifLevel 0) + (keywordMap (keywordLevel 0)) + (status + (written + (timestamp 2013 1 29 17 25 52) + (program "Xilinx ngc2edif" (version "P.49d")) + (author "Xilinx. Inc ") + (comment "This EDIF netlist is to be used within supported synthesis tools") + (comment "for determining resource/timing estimates of the design component") + (comment "represented by this netlist.") + (comment "Command line: -mdp2sp -w -secure b200.ngc b200.edif "))) + (external UNISIMS + (edifLevel 0) + (technology (numberDefinition)) + (cell GND + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port G + (direction OUTPUT) + ) + ) + ) + ) + (cell VCC + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port P + (direction OUTPUT) + ) + ) + ) + ) + (cell FDP + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port C + (direction INPUT) + ) + (port D + (direction INPUT) + ) + (port PRE + (direction INPUT) + ) + (port Q + (direction OUTPUT) + ) + ) + ) + ) + (cell IBUFG + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port I + (direction INPUT) + ) + (port O + (direction OUTPUT) + ) + ) + ) + ) + (cell ODDR2 + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port D0 + (direction INPUT) + ) + (port D1 + (direction INPUT) + ) + (port C0 + (direction INPUT) + ) + (port C1 + (direction INPUT) + ) + (port CE + (direction INPUT) + ) + (port R + (direction INPUT) + ) + (port S + (direction INPUT) + ) + (port Q + (direction OUTPUT) + ) + ) + ) + ) + (cell BUFG + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port O + (direction OUTPUT) + ) + (port I + (direction INPUT) + ) + ) + ) + ) + (cell DCM_SP + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port CLK2X180 + (direction OUTPUT) + ) + (port PSCLK + (direction INPUT) + ) + (port CLK2X + (direction OUTPUT) + ) + (port CLKFX + (direction OUTPUT) + ) + (port CLK180 + (direction OUTPUT) + ) + (port CLK270 + (direction OUTPUT) + ) + (port RST + (direction INPUT) + ) + (port PSINCDEC + (direction INPUT) + ) + (port CLKIN + (direction INPUT) + ) + (port CLKFB + (direction INPUT) + ) + (port PSEN + (direction INPUT) + ) + (port CLK0 + (direction OUTPUT) + ) + (port CLKFX180 + (direction OUTPUT) + ) + (port CLKDV + (direction OUTPUT) + ) + (port PSDONE + (direction OUTPUT) + ) + (port CLK90 + (direction OUTPUT) + ) + (port LOCKED + (direction OUTPUT) + ) + (port DSSEN + (direction INPUT) + ) + (port (rename STATUS_7_ "STATUS<7>") + (direction OUTPUT) + (property PIN_BUSNAME (string "STATUS<7:0>") (owner "Xilinx")) + (property PIN_BUSIDX (integer 0) (owner "Xilinx")) + ) + (port (rename STATUS_6_ "STATUS<6>") + (direction OUTPUT) + (property PIN_BUSNAME (string "STATUS<7:0>") (owner "Xilinx")) + (property PIN_BUSIDX (integer 1) (owner "Xilinx")) + ) + (port (rename STATUS_5_ "STATUS<5>") + (direction OUTPUT) + (property PIN_BUSNAME (string "STATUS<7:0>") (owner "Xilinx")) + (property PIN_BUSIDX (integer 2) (owner "Xilinx")) + ) + (port (rename STATUS_4_ "STATUS<4>") + (direction OUTPUT) + (property PIN_BUSNAME (string "STATUS<7:0>") (owner "Xilinx")) + (property PIN_BUSIDX (integer 3) (owner "Xilinx")) + ) + (port (rename STATUS_3_ "STATUS<3>") + (direction OUTPUT) + (property PIN_BUSNAME (string "STATUS<7:0>") (owner "Xilinx")) + (property PIN_BUSIDX (integer 4) (owner "Xilinx")) + ) + (port (rename STATUS_2_ "STATUS<2>") + (direction OUTPUT) + (property PIN_BUSNAME (string "STATUS<7:0>") (owner "Xilinx")) + (property PIN_BUSIDX (integer 5) (owner "Xilinx")) + ) + (port (rename STATUS_1_ "STATUS<1>") + (direction OUTPUT) + (property PIN_BUSNAME (string "STATUS<7:0>") (owner "Xilinx")) + (property PIN_BUSIDX (integer 6) (owner "Xilinx")) + ) + (port (rename STATUS_0_ "STATUS<0>") + (direction OUTPUT) + (property PIN_BUSNAME (string "STATUS<7:0>") (owner "Xilinx")) + (property PIN_BUSIDX (integer 7) (owner "Xilinx")) + ) + ) + ) + ) + (cell IBUFGDS + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port I + (direction INPUT) + ) + (port IB + (direction INPUT) + ) + (port O + (direction OUTPUT) + ) + ) + ) + ) + (cell FDRE + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port C + (direction INPUT) + ) + (port CE + (direction INPUT) + ) + (port D + (direction INPUT) + ) + (port R + (direction INPUT) + ) + (port Q + (direction OUTPUT) + ) + ) + ) + ) + (cell FDR + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port C + (direction INPUT) + ) + (port D + (direction INPUT) + ) + (port R + (direction INPUT) + ) + (port Q + (direction OUTPUT) + ) + ) + ) + ) + (cell FD + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port C + (direction INPUT) + ) + (port D + (direction INPUT) + ) + (port Q + (direction OUTPUT) + ) + ) + ) + ) + (cell FDSE + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port C + (direction INPUT) + ) + (port CE + (direction INPUT) + ) + (port D + (direction INPUT) + ) + (port S + (direction INPUT) + ) + (port Q + (direction OUTPUT) + ) + ) + ) + ) + (cell SRLC32E + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port CLK + (direction INPUT) + ) + (port D + (direction INPUT) + ) + (port CE + (direction INPUT) + ) + (port Q + (direction OUTPUT) + ) + (port Q31 + (direction OUTPUT) + ) + (port (array (rename A "A<4:0>") 5) + (direction INPUT)) + ) + ) + ) + (cell MUXCY + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port CI + (direction INPUT) + ) + (port DI + (direction INPUT) + ) + (port S + (direction INPUT) + ) + (port O + (direction OUTPUT) + ) + ) + ) + ) + (cell LUT2 + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port I0 + (direction INPUT) + ) + (port I1 + (direction INPUT) + ) + (port O + (direction OUTPUT) + ) + ) + ) + ) + (cell LUT6 + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port I0 + (direction INPUT) + ) + (port I1 + (direction INPUT) + ) + (port I2 + (direction INPUT) + ) + (port I3 + (direction INPUT) + ) + (port I4 + (direction INPUT) + ) + (port I5 + (direction INPUT) + ) + (port O + (direction OUTPUT) + ) + ) + ) + ) + (cell XORCY + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port CI + (direction INPUT) + ) + (port LI + (direction INPUT) + ) + (port O + (direction OUTPUT) + ) + ) + ) + ) + (cell FDE + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port C + (direction INPUT) + ) + (port CE + (direction INPUT) + ) + (port D + (direction INPUT) + ) + (port Q + (direction OUTPUT) + ) + ) + ) + ) + (cell LUT3 + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port I0 + (direction INPUT) + ) + (port I1 + (direction INPUT) + ) + (port I2 + (direction INPUT) + ) + (port O + (direction OUTPUT) + ) + ) + ) + ) + (cell LUT4 + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port I0 + (direction INPUT) + ) + (port I1 + (direction INPUT) + ) + (port I2 + (direction INPUT) + ) + (port I3 + (direction INPUT) + ) + (port O + (direction OUTPUT) + ) + ) + ) + ) + (cell LUT5 + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port I0 + (direction INPUT) + ) + (port I1 + (direction INPUT) + ) + (port I2 + (direction INPUT) + ) + (port I3 + (direction INPUT) + ) + (port I4 + (direction INPUT) + ) + (port O + (direction OUTPUT) + ) + ) + ) + ) + (cell IBUF + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port I + (direction INPUT) + ) + (port O + (direction OUTPUT) + ) + ) + ) + ) + (cell OBUF + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port I + (direction INPUT) + ) + (port O + (direction OUTPUT) + ) + ) + ) + ) + (cell FDS + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port C + (direction INPUT) + ) + (port D + (direction INPUT) + ) + (port S + (direction INPUT) + ) + (port Q + (direction OUTPUT) + ) + ) + ) + ) + (cell LUT1 + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port I0 + (direction INPUT) + ) + (port O + (direction OUTPUT) + ) + ) + ) + ) + (cell MUXF7 + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port I0 + (direction INPUT) + ) + (port I1 + (direction INPUT) + ) + (port S + (direction INPUT) + ) + (port O + (direction OUTPUT) + ) + ) + ) + ) + (cell INV + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port I + (direction INPUT) + ) + (port O + (direction OUTPUT) + ) + ) + ) + ) + (cell IOBUF + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port I + (direction INPUT) + ) + (port T + (direction INPUT) + ) + (port O + (direction OUTPUT) + ) + (port IO + (direction OUTPUT) + ) + ) + ) + ) + (cell RAMB8BWER + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port RSTBRST + (direction INPUT) + ) + (port ENBRDEN + (direction INPUT) + ) + (port REGCEA + (direction INPUT) + ) + (port ENAWREN + (direction INPUT) + ) + (port CLKAWRCLK + (direction INPUT) + ) + (port CLKBRDCLK + (direction INPUT) + ) + (port REGCEBREGCE + (direction INPUT) + ) + (port RSTA + (direction INPUT) + ) + (port (array (rename WEAWEL "WEAWEL<1:0>") 2) + (direction INPUT)) + (port (array (rename DOADO "DOADO<15:0>") 16) + (direction OUTPUT)) + (port (array (rename DOPADOP "DOPADOP<1:0>") 2) + (direction OUTPUT)) + (port (array (rename DOPBDOP "DOPBDOP<1:0>") 2) + (direction OUTPUT)) + (port (array (rename WEBWEU "WEBWEU<1:0>") 2) + (direction INPUT)) + (port (array (rename ADDRAWRADDR "ADDRAWRADDR<12:0>") 13) + (direction INPUT)) + (port (array (rename DIPBDIP "DIPBDIP<1:0>") 2) + (direction INPUT)) + (port (array (rename DIBDI "DIBDI<15:0>") 16) + (direction INPUT)) + (port (array (rename DIADI "DIADI<15:0>") 16) + (direction INPUT)) + (port (array (rename ADDRBRDADDR "ADDRBRDADDR<12:0>") 13) + (direction INPUT)) + (port (array (rename DOBDO "DOBDO<15:0>") 16) + (direction OUTPUT)) + (port (array (rename DIPADIP "DIPADIP<1:0>") 2) + (direction INPUT)) + ) + ) + ) + (cell RAMB16BWER + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port REGCEA + (direction INPUT) + ) + (port CLKA + (direction INPUT) + ) + (port ENB + (direction INPUT) + ) + (port RSTB + (direction INPUT) + ) + (port CLKB + (direction INPUT) + ) + (port REGCEB + (direction INPUT) + ) + (port RSTA + (direction INPUT) + ) + (port ENA + (direction INPUT) + ) + (port (array (rename DIPA "DIPA<3:0>") 4) + (direction INPUT)) + (port (array (rename WEA "WEA<3:0>") 4) + (direction INPUT)) + (port (array (rename DOA "DOA<31:0>") 32) + (direction OUTPUT)) + (port (array (rename ADDRA "ADDRA<13:0>") 14) + (direction INPUT)) + (port (array (rename ADDRB "ADDRB<13:0>") 14) + (direction INPUT)) + (port (array (rename DIB "DIB<31:0>") 32) + (direction INPUT)) + (port (array (rename DOPA "DOPA<3:0>") 4) + (direction OUTPUT)) + (port (array (rename DIPB "DIPB<3:0>") 4) + (direction INPUT)) + (port (array (rename DOPB "DOPB<3:0>") 4) + (direction OUTPUT)) + (port (array (rename DOB "DOB<31:0>") 32) + (direction OUTPUT)) + (port (array (rename WEB "WEB<3:0>") 4) + (direction INPUT)) + (port (array (rename DIA "DIA<31:0>") 32) + (direction INPUT)) + ) + ) + ) + ) + + (library b200_lib + (edifLevel 0) + (technology (numberDefinition)) + (cell fifo_4k_2clk + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port rst + (direction INPUT) + ) + (port wr_clk + (direction INPUT) + ) + (port rd_clk + (direction INPUT) + ) + (port wr_en + (direction INPUT) + ) + (port rd_en + (direction INPUT) + ) + (port full + (direction OUTPUT) + ) + (port empty + (direction OUTPUT) + ) + (port (array (rename din "din<71:0>") 72) + (direction INPUT)) + (port (array (rename dout "dout<71:0>") 72) + (direction OUTPUT)) + (port (array (rename rd_data_count "rd_data_count<9:0>") 10) + (direction OUTPUT)) + (port (array (rename wr_data_count "wr_data_count<9:0>") 10) + (direction OUTPUT)) + ) + ) + ) + (cell b200 + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port cat_miso + (direction INPUT) + ) + (port fx3_ce + (direction INPUT) + ) + (port fx3_mosi + (direction INPUT) + ) + (port fx3_sclk + (direction INPUT) + ) + (port FPGA_RXD0 + (direction INPUT) + ) + (port FPGA_TXD0 + (direction INPUT) + ) + (port SCL_FPGA + (direction INPUT) + ) + (port SDA_FPGA + (direction INPUT) + ) + (port codec_data_clk_p + (direction INPUT) + ) + (port rx_frame_p + (direction INPUT) + ) + (port cat_clkout_fpga + (direction INPUT) + ) + (port codec_main_clk_p + (direction INPUT) + ) + (port codec_main_clk_n + (direction INPUT) + ) + (port GPIF_CTL4 + (direction INPUT) + ) + (port GPIF_CTL5 + (direction INPUT) + ) + (port GPIF_CTL6 + (direction INPUT) + ) + (port GPIF_CTL8 + (direction INPUT) + ) + (port GPIF_CTL9 + (direction INPUT) + ) + (port gps_lock + (direction INPUT) + ) + (port gps_rxd + (direction INPUT) + ) + (port gps_txd + (direction INPUT) + ) + (port gps_txd_nmea + (direction INPUT) + ) + (port pll_lock + (direction INPUT) + ) + (port FPGA_CFG_CS + (direction INPUT) + ) + (port AUX_PWR_ON + (direction INPUT) + ) + (port PPS_IN_EXT + (direction INPUT) + ) + (port PPS_IN_INT + (direction INPUT) + ) + (port pps_out + (direction INPUT) + ) + (port cat_ce + (direction OUTPUT) + ) + (port cat_mosi + (direction OUTPUT) + ) + (port cat_sclk + (direction OUTPUT) + ) + (port fx3_miso + (direction OUTPUT) + ) + (port pll_ce + (direction OUTPUT) + ) + (port pll_mosi + (direction OUTPUT) + ) + (port pll_sclk + (direction OUTPUT) + ) + (port codec_enable + (direction OUTPUT) + ) + (port codec_en_agc + (direction OUTPUT) + ) + (port codec_reset + (direction OUTPUT) + ) + (port codec_sync + (direction OUTPUT) + ) + (port codec_txrx + (direction OUTPUT) + ) + (port codec_fb_clk_p + (direction OUTPUT) + ) + (port tx_frame_p + (direction OUTPUT) + ) + (port IFCLK + (direction OUTPUT) + ) + (port FX3_EXTINT + (direction OUTPUT) + ) + (port GPIF_CTL0 + (direction OUTPUT) + ) + (port GPIF_CTL1 + (direction OUTPUT) + ) + (port GPIF_CTL2 + (direction OUTPUT) + ) + (port GPIF_CTL3 + (direction OUTPUT) + ) + (port GPIF_CTL7 + (direction OUTPUT) + ) + (port GPIF_CTL11 + (direction OUTPUT) + ) + (port GPIF_CTL12 + (direction OUTPUT) + ) + (port gps_out_enable + (direction OUTPUT) + ) + (port gps_ref_enable + (direction OUTPUT) + ) + (port LED_RX1 + (direction OUTPUT) + ) + (port LED_RX2 + (direction OUTPUT) + ) + (port LED_TXRX1_RX + (direction OUTPUT) + ) + (port LED_TXRX1_TX + (direction OUTPUT) + ) + (port LED_TXRX2_RX + (direction OUTPUT) + ) + (port LED_TXRX2_TX + (direction OUTPUT) + ) + (port ext_ref_enable + (direction OUTPUT) + ) + (port pps_fpga_out_enable + (direction OUTPUT) + ) + (port SFDX1_RX + (direction OUTPUT) + ) + (port SFDX1_TX + (direction OUTPUT) + ) + (port SFDX2_RX + (direction OUTPUT) + ) + (port SFDX2_TX + (direction OUTPUT) + ) + (port SRX1_RX + (direction OUTPUT) + ) + (port SRX1_TX + (direction OUTPUT) + ) + (port SRX2_RX + (direction OUTPUT) + ) + (port SRX2_TX + (direction OUTPUT) + ) + (port tx_bandsel_a + (direction OUTPUT) + ) + (port tx_bandsel_b + (direction OUTPUT) + ) + (port tx_enable1 + (direction OUTPUT) + ) + (port tx_enable2 + (direction OUTPUT) + ) + (port rx_bandsel_a + (direction OUTPUT) + ) + (port rx_bandsel_b + (direction OUTPUT) + ) + (port rx_bandsel_c + (direction OUTPUT) + ) + (port (array (rename codec_ctrl_out "codec_ctrl_out<7:0>") 8) + (direction INPUT)) + (port (array (rename rx_codec_d "rx_codec_d<11:0>") 12) + (direction INPUT)) + (port (array (rename codec_ctrl_in "codec_ctrl_in<3:0>") 4) + (direction OUTPUT)) + (port (array (rename tx_codec_d "tx_codec_d<11:0>") 12) + (direction OUTPUT)) + (port (array (rename debug "debug<31:0>") 32) + (direction OUTPUT)) + (port (array (rename debug_clk "debug_clk<1:0>") 2) + (direction OUTPUT)) + (port (array (rename GPIF_D "GPIF_D<31:0>") 32) + (direction INOUT)) + (designator "xc6slx75-3-fgg484") + (property TYPE (string "b200") (owner "Xilinx")) + (property BUS_INFO (string "8:INPUT:codec_ctrl_out<7:0>") (owner "Xilinx")) + (property BUS_INFO (string "12:INPUT:rx_codec_d<11:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:codec_ctrl_in<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "12:OUTPUT:tx_codec_d<11:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:debug<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "2:OUTPUT:debug_clk<1:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INOUT:GPIF_D<31:0>") (owner "Xilinx")) + (property SHREG_MIN_SIZE (string "2") (owner "Xilinx")) + (property X_CORE_INFO (string "fifo_generator_v9_3, Xilinx CORE Generator 14.4") (owner "Xilinx")) + (property CORE_GENERATION_INFO (string "b200_clk_gen,clk_wiz_v3_6,{component_name=b200_clk_gen,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=3,clkin1_period=25.0,clkin2_period=25.0,use_power_down=false,use_reset=true,use_locked=true,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}") (owner "Xilinx")) + (property SHREG_EXTRACT_NGC (string "YES") (owner "Xilinx")) + (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx")) + (property NLW_MACRO_TAG (integer 0) (owner "Xilinx")) + (property NLW_MACRO_ALIAS (string "b200_b200") (owner "Xilinx")) + ) + (contents + (instance XST_GND + (viewRef view_1 (cellRef GND (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance XST_VCC + (viewRef view_1 (cellRef VCC (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename bus_sync_reset_out_renamed_0 "bus_sync/reset_out") + (viewRef view_1 (cellRef FDP (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename bus_sync_reset_int_renamed_1 "bus_sync/reset_int") + (viewRef view_1 (cellRef FDP (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename gpif_sync_reset_out_renamed_2 "gpif_sync/reset_out") + (viewRef view_1 (cellRef FDP (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename gpif_sync_reset_int_renamed_3 "gpif_sync/reset_int") + (viewRef view_1 (cellRef FDP (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance codec_data_clk_bufg + (viewRef view_1 (cellRef IBUFG (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) + (property IBUF_DELAY_VALUE (string "0") (owner "Xilinx")) + (property IBUF_LOW_PWR (string "TRUE") (owner "Xilinx")) + (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) + ) + (instance ODDR2_ifclk + (viewRef view_1 (cellRef ODDR2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property DDR_ALIGNMENT (string "NONE") (owner "Xilinx")) + (property SRTYPE (string "ASYNC") (owner "Xilinx")) + (property INIT (string "0") (owner "Xilinx")) + ) + (instance ODDR2_ifclk_dbg + (viewRef view_1 (cellRef ODDR2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property DDR_ALIGNMENT (string "NONE") (owner "Xilinx")) + (property SRTYPE (string "ASYNC") (owner "Xilinx")) + (property INIT (string "0") (owner "Xilinx")) + ) + (instance (rename gen_clks_clkout3_buf "gen_clks/clkout3_buf") + (viewRef view_1 (cellRef BUFG (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename gen_clks_clkout2_buf "gen_clks/clkout2_buf") + (viewRef view_1 (cellRef BUFG (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename gen_clks_clkout1_buf "gen_clks/clkout1_buf") + (viewRef view_1 (cellRef BUFG (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename gen_clks_dcm_sp_inst "gen_clks/dcm_sp_inst") + (viewRef view_1 (cellRef DCM_SP (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "8:OUTPUT:STATUS<7:0>") (owner "Xilinx")) + (property CLKIN_DIVIDE_BY_2 (string "FALSE") (owner "Xilinx")) + (property CLKOUT_PHASE_SHIFT (string "NONE") (owner "Xilinx")) + (property CLK_FEEDBACK (string "1X") (owner "Xilinx")) + (property DESKEW_ADJUST (string "SYSTEM_SYNCHRONOUS") (owner "Xilinx")) + (property DFS_FREQUENCY_MODE (string "LOW") (owner "Xilinx")) + (property DLL_FREQUENCY_MODE (string "LOW") (owner "Xilinx")) + (property DSS_MODE (string "NONE") (owner "Xilinx")) + (property DUTY_CYCLE_CORRECTION (string "TRUE") (owner "Xilinx")) + (property FACTORY_JF (string "16'B1100000010000000") (owner "Xilinx")) + (property STARTUP_WAIT (string "FALSE") (owner "Xilinx")) + (property CLKFX_DIVIDE (integer 2) (owner "Xilinx")) + (property CLKFX_MULTIPLY (integer 5) (owner "Xilinx")) + (property PHASE_SHIFT (integer 0) (owner "Xilinx")) + (property CLKDV_DIVIDE (number (e 2 0)) (owner "Xilinx")) + (property CLKIN_PERIOD (string "25.000000") (owner "Xilinx")) + (property VERY_HIGH_FREQUENCY (string "FALSE") (owner "Xilinx")) + ) + (instance (rename gen_clks_clkin1_buf "gen_clks/clkin1_buf") + (viewRef view_1 (cellRef IBUFGDS (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) + (property DIFF_TERM (string "FALSE") (owner "Xilinx")) + (property IBUF_DELAY_VALUE (string "0") (owner "Xilinx")) + (property IBUF_LOW_PWR (string "TRUE") (owner "Xilinx")) + (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_idle_cycles_2 "slave_fifo32/idle_cycles_2") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_idle_cycles_1 "slave_fifo32/idle_cycles_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_idle_cycles_0 "slave_fifo32/idle_cycles_0") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifoadr_1 "slave_fifo32/fifoadr_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifoadr_0 "slave_fifo32/fifoadr_0") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_state_FSM_FFd1_renamed_4 "slave_fifo32/state_FSM_FFd1") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_state_FSM_FFd2_renamed_5 "slave_fifo32/state_FSM_FFd2") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug2_31 "slave_fifo32/debug2_31") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug2_29 "slave_fifo32/debug2_29") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug2_28 "slave_fifo32/debug2_28") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug2_27 "slave_fifo32/debug2_27") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug2_26 "slave_fifo32/debug2_26") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug2_23 "slave_fifo32/debug2_23") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug2_22 "slave_fifo32/debug2_22") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug2_21 "slave_fifo32/debug2_21") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug2_19 "slave_fifo32/debug2_19") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug2_18 "slave_fifo32/debug2_18") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug2_17 "slave_fifo32/debug2_17") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug2_16 "slave_fifo32/debug2_16") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug2_15 "slave_fifo32/debug2_15") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug2_14 "slave_fifo32/debug2_14") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug2_13 "slave_fifo32/debug2_13") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug2_12 "slave_fifo32/debug2_12") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug2_11 "slave_fifo32/debug2_11") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug2_10 "slave_fifo32/debug2_10") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug2_9 "slave_fifo32/debug2_9") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug2_8 "slave_fifo32/debug2_8") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug2_7 "slave_fifo32/debug2_7") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug2_6 "slave_fifo32/debug2_6") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug2_5 "slave_fifo32/debug2_5") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug2_4 "slave_fifo32/debug2_4") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug2_3 "slave_fifo32/debug2_3") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug2_2 "slave_fifo32/debug2_2") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug2_1 "slave_fifo32/debug2_1") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug2_0 "slave_fifo32/debug2_0") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug1_31 "slave_fifo32/debug1_31") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug1_29 "slave_fifo32/debug1_29") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug1_28 "slave_fifo32/debug1_28") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug1_27 "slave_fifo32/debug1_27") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug1_26 "slave_fifo32/debug1_26") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug1_23 "slave_fifo32/debug1_23") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug1_22 "slave_fifo32/debug1_22") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug1_21 "slave_fifo32/debug1_21") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug1_19 "slave_fifo32/debug1_19") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug1_18 "slave_fifo32/debug1_18") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug1_15 "slave_fifo32/debug1_15") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug1_14 "slave_fifo32/debug1_14") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug1_13 "slave_fifo32/debug1_13") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug1_12 "slave_fifo32/debug1_12") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug1_11 "slave_fifo32/debug1_11") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug1_10 "slave_fifo32/debug1_10") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug1_9 "slave_fifo32/debug1_9") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug1_8 "slave_fifo32/debug1_8") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug1_7 "slave_fifo32/debug1_7") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug1_6 "slave_fifo32/debug1_6") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug1_5 "slave_fifo32/debug1_5") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug1_4 "slave_fifo32/debug1_4") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug1_3 "slave_fifo32/debug1_3") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug1_2 "slave_fifo32/debug1_2") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug1_1 "slave_fifo32/debug1_1") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug1_0 "slave_fifo32/debug1_0") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_31 "slave_fifo32/gpif_data_in_31") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_30 "slave_fifo32/gpif_data_in_30") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_29 "slave_fifo32/gpif_data_in_29") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_28 "slave_fifo32/gpif_data_in_28") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_27 "slave_fifo32/gpif_data_in_27") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_26 "slave_fifo32/gpif_data_in_26") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_25 "slave_fifo32/gpif_data_in_25") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_24 "slave_fifo32/gpif_data_in_24") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_23 "slave_fifo32/gpif_data_in_23") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_22 "slave_fifo32/gpif_data_in_22") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_21 "slave_fifo32/gpif_data_in_21") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_20 "slave_fifo32/gpif_data_in_20") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_19 "slave_fifo32/gpif_data_in_19") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_18 "slave_fifo32/gpif_data_in_18") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_17 "slave_fifo32/gpif_data_in_17") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_16 "slave_fifo32/gpif_data_in_16") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_15 "slave_fifo32/gpif_data_in_15") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_14 "slave_fifo32/gpif_data_in_14") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_13 "slave_fifo32/gpif_data_in_13") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_12 "slave_fifo32/gpif_data_in_12") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_11 "slave_fifo32/gpif_data_in_11") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_10 "slave_fifo32/gpif_data_in_10") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_9 "slave_fifo32/gpif_data_in_9") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_8 "slave_fifo32/gpif_data_in_8") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_7 "slave_fifo32/gpif_data_in_7") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_6 "slave_fifo32/gpif_data_in_6") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_5 "slave_fifo32/gpif_data_in_5") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_4 "slave_fifo32/gpif_data_in_4") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_3 "slave_fifo32/gpif_data_in_3") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_2 "slave_fifo32/gpif_data_in_2") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_1 "slave_fifo32/gpif_data_in_1") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_in_0 "slave_fifo32/gpif_data_in_0") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_pktend_renamed_6 "slave_fifo32/pktend") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_slwr_renamed_7 "slave_fifo32/slwr") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_slrd3_renamed_8 "slave_fifo32/slrd3") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_slrd2_renamed_9 "slave_fifo32/slrd2") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_slrd1_renamed_10 "slave_fifo32/slrd1") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_EP_WMARK1_renamed_11 "slave_fifo32/EP_WMARK1") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_EP_READY1_renamed_12 "slave_fifo32/EP_READY1") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_EP_READY_renamed_13 "slave_fifo32/EP_READY") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_write_ready_go_renamed_14 "slave_fifo32/write_ready_go") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_read_ready_go_renamed_15 "slave_fifo32/read_ready_go") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_EP_WMARK_renamed_16 "slave_fifo32/EP_WMARK") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename catgen_oddr2_clk "catgen/oddr2_clk") + (viewRef view_1 (cellRef ODDR2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property DDR_ALIGNMENT (string "C0") (owner "Xilinx")) + (property SRTYPE (string "ASYNC") (owner "Xilinx")) + (property INIT (string "0") (owner "Xilinx")) + ) + (instance (rename catgen_oddr2_frame "catgen/oddr2_frame") + (viewRef view_1 (cellRef ODDR2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property DDR_ALIGNMENT (string "C0") (owner "Xilinx")) + (property SRTYPE (string "ASYNC") (owner "Xilinx")) + (property INIT (string "0") (owner "Xilinx")) + ) + (instance (rename catgen_gen_pins_11__oddr2 "catgen/gen_pins[11].oddr2") + (viewRef view_1 (cellRef ODDR2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property DDR_ALIGNMENT (string "C0") (owner "Xilinx")) + (property SRTYPE (string "ASYNC") (owner "Xilinx")) + (property INIT (string "0") (owner "Xilinx")) + ) + (instance (rename catgen_gen_pins_10__oddr2 "catgen/gen_pins[10].oddr2") + (viewRef view_1 (cellRef ODDR2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property DDR_ALIGNMENT (string "C0") (owner "Xilinx")) + (property SRTYPE (string "ASYNC") (owner "Xilinx")) + (property INIT (string "0") (owner "Xilinx")) + ) + (instance (rename catgen_gen_pins_9__oddr2 "catgen/gen_pins[9].oddr2") + (viewRef view_1 (cellRef ODDR2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property DDR_ALIGNMENT (string "C0") (owner "Xilinx")) + (property SRTYPE (string "ASYNC") (owner "Xilinx")) + (property INIT (string "0") (owner "Xilinx")) + ) + (instance (rename catgen_gen_pins_8__oddr2 "catgen/gen_pins[8].oddr2") + (viewRef view_1 (cellRef ODDR2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property DDR_ALIGNMENT (string "C0") (owner "Xilinx")) + (property SRTYPE (string "ASYNC") (owner "Xilinx")) + (property INIT (string "0") (owner "Xilinx")) + ) + (instance (rename catgen_gen_pins_7__oddr2 "catgen/gen_pins[7].oddr2") + (viewRef view_1 (cellRef ODDR2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property DDR_ALIGNMENT (string "C0") (owner "Xilinx")) + (property SRTYPE (string "ASYNC") (owner "Xilinx")) + (property INIT (string "0") (owner "Xilinx")) + ) + (instance (rename catgen_gen_pins_6__oddr2 "catgen/gen_pins[6].oddr2") + (viewRef view_1 (cellRef ODDR2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property DDR_ALIGNMENT (string "C0") (owner "Xilinx")) + (property SRTYPE (string "ASYNC") (owner "Xilinx")) + (property INIT (string "0") (owner "Xilinx")) + ) + (instance (rename catgen_gen_pins_5__oddr2 "catgen/gen_pins[5].oddr2") + (viewRef view_1 (cellRef ODDR2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property DDR_ALIGNMENT (string "C0") (owner "Xilinx")) + (property SRTYPE (string "ASYNC") (owner "Xilinx")) + (property INIT (string "0") (owner "Xilinx")) + ) + (instance (rename catgen_gen_pins_4__oddr2 "catgen/gen_pins[4].oddr2") + (viewRef view_1 (cellRef ODDR2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property DDR_ALIGNMENT (string "C0") (owner "Xilinx")) + (property SRTYPE (string "ASYNC") (owner "Xilinx")) + (property INIT (string "0") (owner "Xilinx")) + ) + (instance (rename catgen_gen_pins_3__oddr2 "catgen/gen_pins[3].oddr2") + (viewRef view_1 (cellRef ODDR2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property DDR_ALIGNMENT (string "C0") (owner "Xilinx")) + (property SRTYPE (string "ASYNC") (owner "Xilinx")) + (property INIT (string "0") (owner "Xilinx")) + ) + (instance (rename catgen_gen_pins_2__oddr2 "catgen/gen_pins[2].oddr2") + (viewRef view_1 (cellRef ODDR2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property DDR_ALIGNMENT (string "C0") (owner "Xilinx")) + (property SRTYPE (string "ASYNC") (owner "Xilinx")) + (property INIT (string "0") (owner "Xilinx")) + ) + (instance (rename catgen_gen_pins_1__oddr2 "catgen/gen_pins[1].oddr2") + (viewRef view_1 (cellRef ODDR2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property DDR_ALIGNMENT (string "C0") (owner "Xilinx")) + (property SRTYPE (string "ASYNC") (owner "Xilinx")) + (property INIT (string "0") (owner "Xilinx")) + ) + (instance (rename catgen_gen_pins_0__oddr2 "catgen/gen_pins[0].oddr2") + (viewRef view_1 (cellRef ODDR2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property DDR_ALIGNMENT (string "C0") (owner "Xilinx")) + (property SRTYPE (string "ASYNC") (owner "Xilinx")) + (property INIT (string "0") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_64__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[64].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_63__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[63].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_62__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[62].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_61__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[61].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_60__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[60].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_59__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[59].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_58__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[58].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_57__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[57].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_56__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[56].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_55__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[55].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_54__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[54].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_53__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[53].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_52__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[52].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_51__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[51].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_50__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[50].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_49__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[49].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_48__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[48].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_47__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[47].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_46__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[46].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_45__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[45].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_44__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[44].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_43__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[43].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_42__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[42].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_41__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[41].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_40__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[40].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_39__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[39].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_38__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[38].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_37__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[37].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_36__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[36].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_35__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[35].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_34__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[34].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_33__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[33].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_32__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[32].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_31__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[31].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_30__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[30].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_29__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[29].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_28__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[28].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_27__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[27].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_26__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[26].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_25__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[25].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_24__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[24].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_23__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[23].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_22__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[22].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_21__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[21].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_20__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[20].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_19__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[19].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_18__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[18].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_17__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[17].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_16__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[16].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_15__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[15].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_14__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[14].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_13__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[13].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_12__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[12].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_11__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[11].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_10__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[10].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_9__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[9].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_8__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[8].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_7__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[7].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_6__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[6].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_5__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[5].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_4__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[4].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_3__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[3].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_2__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[2].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_1__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[1].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_0__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[0].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_4 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/a_4") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_3 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/a_3") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_2 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/a_2") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_1 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/a_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_0 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/a_0") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_64__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[64].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_63__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[63].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_62__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[62].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_61__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[61].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_60__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[60].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_59__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[59].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_58__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[58].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_57__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[57].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_56__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[56].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_55__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[55].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_54__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[54].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_53__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[53].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_52__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[52].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_51__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[51].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_50__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[50].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_49__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[49].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_48__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[48].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_47__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[47].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_46__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[46].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_45__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[45].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_44__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[44].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_43__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[43].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_42__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[42].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_41__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[41].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_40__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[40].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_39__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[39].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_38__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[38].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_37__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[37].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_36__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[36].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_35__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[35].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_34__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[34].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_33__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[33].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_32__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[32].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_31__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[31].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_30__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[30].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_29__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[29].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_28__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[28].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_27__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[27].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_26__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[26].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_25__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[25].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_24__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[24].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_23__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[23].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_22__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[22].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_21__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[21].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_20__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[20].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_19__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[19].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_18__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[18].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_17__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[17].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_16__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[16].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_15__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[15].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_14__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[14].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_13__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[13].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_12__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[12].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_11__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[11].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_10__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[10].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_9__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[9].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_8__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[8].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_7__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[7].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_6__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[6].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_5__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[5].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_4__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[4].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_3__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[3].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_2__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[2].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_1__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[1].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_0__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[0].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_4 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/a_4") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_3 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/a_3") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_2 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/a_2") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_1 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/a_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_0 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/a_0") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets_7") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_6 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets_6") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_5 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets_5") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_4 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets_4") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_3 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets_3") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_2 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets_2") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_1 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets_0") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_4__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_4__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_lut<4>") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_3__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_3__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_lut<3>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_2__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_2__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_lut<2>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_1__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_1__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_lut<1>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_0__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_0__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_lut<0>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<4>") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<3>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<2>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<1>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<0>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_12__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_xor<12>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_11__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_xor<11>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_11__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<11>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_10__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_xor<10>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_10__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<10>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_9__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_xor<9>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_9__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<9>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_8__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_xor<8>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_8__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<8>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_7__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_xor<7>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_7__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<7>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_6__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_xor<6>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_6__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<6>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_5__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_xor<5>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_5__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<5>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_4__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_xor<4>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_4__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_3__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_xor<3>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_3__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_2__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_xor<2>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_2__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_1__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_xor<1>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_1__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_0__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_xor<0>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_0__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_renamed_17 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd2") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_12 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr_12") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_11 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr_11") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_10 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr_10") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_9 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr_9") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_8 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr_8") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_7 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr_7") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_6 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr_6") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_5 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr_5") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_4 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr_4") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_3 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr_3") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_2 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr_2") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_1 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr_0") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_renamed_18 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd1") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_12 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr_12") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_11 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr_11") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_10 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr_10") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_9 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr_9") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_8 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr_8") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_7 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr_7") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_6 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr_6") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_5 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr_5") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_4 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr_4") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_3 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr_3") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_2 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr_2") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_1 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr_0") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_12__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<12>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_11__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<11>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_11__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<11>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_10__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<10>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_10__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<10>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_9__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<9>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_9__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<9>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_8__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<8>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<8>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_7__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<7>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<7>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_6__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<6>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<6>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_5__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<5>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<5>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_4__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<4>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_3__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<3>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_2__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<2>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_1__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<1>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_0__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<0>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_12__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<12>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_11__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<11>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_11__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<11>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_10__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<10>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_10__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<10>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_9__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<9>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_9__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<9>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_8__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<8>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<8>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_7__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<7>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<7>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_6__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<6>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<6>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_5__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<5>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<5>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_4__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<4>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_3__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<3>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_2__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<2>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_1__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<1>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_0__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<0>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0__ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets_7") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_6 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets_6") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_5 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets_5") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_4 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets_4") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_3 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets_3") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_2 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets_2") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_0 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets_0") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_renamed_19 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd2") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_9 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr_9") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_8 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr_8") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_7 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr_7") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_6 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr_6") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_5 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr_5") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_4 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr_4") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_3 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr_3") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_2 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr_2") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_0 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr_0") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_renamed_20 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd1") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr_9") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_8 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr_8") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_7 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr_7") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_6 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr_6") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_5 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr_5") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_4 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr_4") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_3 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr_3") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_2 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr_2") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_0 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr_0") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_9__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<9>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_8__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<8>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<8>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_7__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<7>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<7>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_6__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<6>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<6>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_5__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<5>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<5>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_4__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<4>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_3__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<3>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_2__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<2>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_1__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<1>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_0__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<0>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_9__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<9>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_8__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<8>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<8>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_7__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<7>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<7>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_6__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<6>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<6>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_5__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<5>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<5>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_4__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<4>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_3__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<3>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_2__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<2>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_1__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<1>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_0__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<0>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0__ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_0 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/a_0") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_1 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/a_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_2 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/a_2") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_3 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/a_3") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_4 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/a_4") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_0__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[0].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_1__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[1].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_2__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[2].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_3__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[3].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_4__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[4].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_5__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[5].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_6__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[6].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_7__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[7].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_8__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[8].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_9__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[9].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_10__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[10].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_11__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[11].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_12__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[12].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_13__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[13].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_14__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[14].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_15__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[15].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_16__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[16].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_17__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[17].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_18__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[18].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_19__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[19].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_20__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[20].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_21__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[21].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_22__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[22].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_23__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[23].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_24__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[24].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_25__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[25].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_26__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[26].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_27__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[27].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_28__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[28].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_29__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[29].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_30__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[30].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_31__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[31].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_32__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[32].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_33__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[33].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_34__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[34].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_35__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[35].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_36__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[36].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_37__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[37].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_38__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[38].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_39__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[39].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_40__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[40].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_41__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[41].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_42__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[42].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_43__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[43].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_44__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[44].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_45__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[45].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_46__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[46].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_47__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[47].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_48__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[48].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_49__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[49].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_50__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[50].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_51__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[51].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_52__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[52].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_53__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[53].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_54__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[54].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_55__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[55].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_56__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[56].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_57__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[57].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_58__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[58].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_59__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[59].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_60__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[60].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_61__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[61].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_62__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[62].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_63__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[63].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_64__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[64].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_15__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<15>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_14__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<14>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_14__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<14>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_13__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<13>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_13__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<13>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_12__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<12>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_12__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<12>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_11__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<11>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_11__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<11>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_10__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<10>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_10__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<10>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_9__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<9>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_9__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<9>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_8__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<8>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_8__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<8>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_7__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<7>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_7__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<7>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_6__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<6>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_6__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<6>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_5__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<5>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_5__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<5>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_4__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<4>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_4__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_3__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<3>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_3__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_2__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<2>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_2__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_1__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<1>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_1__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_0__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<0>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_0__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd1_renamed_21 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/read_state_FSM_FFd1") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr_8") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_7 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr_7") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_6 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr_6") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_5 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr_5") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_4 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr_4") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_3 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr_3") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_2 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr_2") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_0 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr_0") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_8 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr_8") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_7 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr_7") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_6 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr_6") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_5 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr_5") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_4 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr_4") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_3 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr_3") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_2 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr_2") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_0 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr_0") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_8__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_xor<8>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_7__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_xor<7>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_7__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<7>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_6__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_xor<6>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_6__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<6>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_5__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_xor<5>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_5__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<5>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_4__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_xor<4>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_4__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_3__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_xor<3>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_3__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_2__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_xor<2>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_2__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_1__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_xor<1>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_1__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_0__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_xor<0>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_0__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_8__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_xor<8>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_7__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_xor<7>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_7__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<7>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_6__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_xor<6>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_6__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<6>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_5__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_xor<5>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_5__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<5>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_4__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_xor<4>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_4__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_3__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_xor<3>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_3__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_2__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_xor<2>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_2__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_1__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_xor<1>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_1__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_0__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_xor<0>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_0__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_0 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_0") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_1 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_1") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_2 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_2") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_3 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_3") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_4 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_4") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_5 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_5") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_6 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_6") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_7 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_7") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_8 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_8") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_9 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_9") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_10 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_10") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_11 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_11") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_12 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_12") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_13 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_13") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_14 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_14") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_15 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_15") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_16 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_16") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_17 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_17") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_18 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_18") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_19 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_19") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_20 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_20") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_21 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_21") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_22 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_22") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_23 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_23") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_24 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_24") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_25 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_25") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_26 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_26") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_27 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_27") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_28 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_28") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_29 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_29") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_30 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_30") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_31 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_31") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_0 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_0") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_1") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_2 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_2") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_3 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_3") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_4 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_4") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_5 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_5") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_6 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_6") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_7 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_7") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_8 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_8") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_i_tready_renamed_22 "slave_fifo32/fifo64_to_gpmc32_tx/i_tready") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_0__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<0>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_0__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_0__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<0>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_1__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<1>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_1__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_1__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<1>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_2__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<2>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_2__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_2__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<2>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_3__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<3>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_3__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_3__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<3>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_4__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<4>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_4__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_4__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<4>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_5__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<5>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_5__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<5>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_5__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<5>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_6__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<6>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_6__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<6>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_6__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<6>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_7__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<7>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_7__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<7>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_7__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<7>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_8__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<8>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_8__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<8>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_8__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<8>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_9__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<9>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_9__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<9>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_9__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<9>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_10__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<10>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_10__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<10>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_10__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<10>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_11__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<11>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_11__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<11>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_11__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<11>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_12__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<12>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_12__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<12>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_0__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<0>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_0__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_0__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<0>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_1__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<1>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_1__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_1__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<1>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_2__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<2>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_2__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_2__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<2>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_3__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<3>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_3__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_3__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<3>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_4__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<4>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_4__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_4__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<4>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_5__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<5>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_5__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<5>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_5__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<5>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_6__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<6>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_6__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<6>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_6__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<6>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_7__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<7>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_7__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<7>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_7__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<7>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_8__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<8>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_8__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<8>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_8__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<8>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_9__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<9>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_9__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<9>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_9__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<9>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_10__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<10>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_10__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<10>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_10__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<10>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_11__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<11>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_11__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<11>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_11__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<11>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_12__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<12>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_12__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<12>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr_0") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_2 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr_2") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_3 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr_3") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_4 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr_4") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_5 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr_5") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_6 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr_6") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_7 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr_7") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_8 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr_8") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_9 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr_9") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_10 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr_10") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_11 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr_11") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_12 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr_12") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr_0") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_2 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr_2") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_3 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr_3") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_4 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr_4") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_5 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr_5") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_6 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr_6") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_7 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr_7") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_8 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr_8") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_9 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr_9") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_10 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr_10") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_11 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr_11") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_12 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr_12") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_0__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_0__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_xor<0>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_1__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_1__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_xor<1>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_2__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_2__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_xor<2>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_3__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_3__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_xor<3>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_4__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_4__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_xor<4>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_5__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<5>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_5__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_xor<5>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_6__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<6>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_6__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_xor<6>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_7__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<7>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_7__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_xor<7>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_8__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<8>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_8__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_xor<8>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_9__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<9>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_9__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_xor<9>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_10__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<10>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_10__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_xor<10>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_11__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<11>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_11__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_xor<11>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_12__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_xor<12>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<0>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<1>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<2>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<3>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<4>") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_0__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_lut<0>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_0__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_1__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_lut<1>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_1__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_2__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_lut<2>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_2__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_3__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_lut<3>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_3__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_4__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_lut<4>") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_4__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_2 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets_2") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_3 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets_3") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_4 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets_4") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_5 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets_5") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_6 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets_6") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_7 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets_7") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_renamed_23 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_renamed_24 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd2") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_15 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_15") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_14 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_14") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_13 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_13") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_12 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_12") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_11 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_11") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_10 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_10") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_9 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_9") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_8 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_8") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_7 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_7") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_6 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_6") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_5 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_5") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_4 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_4") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_3 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_3") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_2 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_2") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_0") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_15__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<15>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_14__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<14>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_14__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<14>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_13__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<13>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_13__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<13>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_12__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<12>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_12__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<12>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_11__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<11>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_11__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<11>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_10__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<10>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_10__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<10>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_9__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<9>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_9__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<9>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_8__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<8>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_8__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<8>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_7__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<7>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_7__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<7>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_6__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<6>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_6__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<6>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_5__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<5>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_5__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<5>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_4__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<4>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_4__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_3__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<3>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_3__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_2__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<2>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_2__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_1__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<1>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_1__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_0__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<0>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_0__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_0 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/a_0") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_1 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/a_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_2 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/a_2") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_3 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/a_3") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_4 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/a_4") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_0__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[0].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_1__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[1].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_2__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[2].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_3__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[3].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_4__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[4].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_5__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[5].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_6__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[6].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_7__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[7].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_8__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[8].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_9__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[9].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_10__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[10].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_11__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[11].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_12__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[12].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_13__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[13].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_14__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[14].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_15__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[15].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_16__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[16].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_17__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[17].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_18__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[18].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_19__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[19].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_20__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[20].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_21__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[21].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_22__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[22].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_23__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[23].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_24__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[24].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_25__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[25].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_26__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[26].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_27__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[27].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_28__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[28].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_29__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[29].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_30__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[30].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_31__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[31].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_32__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[32].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_33__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[33].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_34__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[34].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_35__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[35].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_36__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[36].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_37__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[37].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_38__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[38].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_39__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[39].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_40__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[40].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_41__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[41].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_42__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[42].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_43__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[43].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_44__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[44].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_45__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[45].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_46__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[46].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_47__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[47].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_48__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[48].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_49__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[49].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_50__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[50].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_51__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[51].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_52__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[52].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_53__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[53].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_54__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[54].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_55__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[55].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_56__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[56].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_57__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[57].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_58__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[58].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_59__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[59].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_60__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[60].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_61__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[61].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_62__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[62].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_63__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[63].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_64__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[64].srlc32e") + (viewRef view_1 (cellRef SRLC32E (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "5:INPUT:A<4:0>") (owner "Xilinx")) + (property INIT (string "00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_15__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<15>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_14__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<14>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_14__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<14>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_13__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<13>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_13__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<13>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_12__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<12>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_12__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<12>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_11__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<11>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_11__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<11>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_10__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<10>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_10__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<10>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_9__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<9>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_9__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<9>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_8__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<8>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_8__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<8>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_7__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<7>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_7__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<7>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_6__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<6>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_6__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<6>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_5__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<5>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_5__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<5>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_4__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<4>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_4__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_3__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<3>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_3__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_2__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<2>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_2__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_1__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<1>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_1__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_0__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<0>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_0__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd1_renamed_25 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/read_state_FSM_FFd1") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr_8") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_7 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr_7") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_6 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr_6") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_5 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr_5") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_4 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr_4") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_3 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr_3") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_2 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr_2") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_0 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr_0") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_8 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr_8") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_7 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr_7") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_6 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr_6") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_5 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr_5") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_4 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr_4") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_3 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr_3") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_2 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr_2") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_0 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr_0") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_8__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_xor<8>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_7__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_xor<7>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_7__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<7>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_6__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_xor<6>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_6__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<6>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_5__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_xor<5>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_5__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<5>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_4__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_xor<4>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_4__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_3__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_xor<3>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_3__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_2__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_xor<2>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_2__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_1__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_xor<1>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_1__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_0__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_xor<0>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_0__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_8__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_xor<8>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_7__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_xor<7>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_7__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<7>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_6__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_xor<6>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_6__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<6>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_5__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_xor<5>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_5__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<5>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_4__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_xor<4>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_4__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_3__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_xor<3>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_3__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_2__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_xor<2>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_2__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_1__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_xor<1>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_1__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_0__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_xor<0>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_0__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_0 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_0") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_1 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_1") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_2 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_2") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_3 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_3") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_4 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_4") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_5 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_5") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_6 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_6") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_7 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_7") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_8 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_8") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_9 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_9") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_10 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_10") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_11 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_11") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_12 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_12") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_13 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_13") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_14 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_14") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_15 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_15") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_16 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_16") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_17 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_17") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_18 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_18") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_19 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_19") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_20 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_20") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_21 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_21") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_22 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_22") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_23 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_23") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_24 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_24") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_25 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_25") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_26 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_26") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_27 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_27") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_28 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_28") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_29 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_29") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_30 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_30") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_31 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_31") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_0 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_0") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_1") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_2 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_2") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_3 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_3") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_4 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_4") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_5 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_5") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_6 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_6") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_7 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_7") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_8 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_8") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_i_tready_renamed_26 "slave_fifo32/fifo64_to_gpmc32_ctrl/i_tready") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_0__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<0>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "AC") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_0__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_0__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<0>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_1__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<1>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "AC") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_1__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_1__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<1>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_2__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<2>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_2__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_2__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<2>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_3__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<3>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_3__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_3__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<3>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_4__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<4>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_4__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_4__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<4>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_5__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<5>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_5__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<5>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_5__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<5>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_6__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<6>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_6__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<6>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_6__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<6>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_7__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<7>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_7__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<7>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_7__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<7>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_8__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<8>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_8__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<8>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_8__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<8>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_9__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<9>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_9__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<9>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_0__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<0>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_0__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_0__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<0>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_1__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<1>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_1__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_1__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<1>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_2__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<2>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_2__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_2__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<2>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_3__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<3>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_3__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_3__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<3>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_4__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<4>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_4__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_4__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<4>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_5__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<5>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_5__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<5>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_5__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<5>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_6__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<6>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_6__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<6>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_6__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<6>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_7__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<7>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_7__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<7>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_7__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<7>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_8__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<8>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_8__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<8>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_8__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<8>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_9__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<9>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_9__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<9>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr_0") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr_2") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_3 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr_3") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_4 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr_4") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_5 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr_5") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_6 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr_6") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_7 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr_7") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_8 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr_8") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr_9") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr_0") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr_2") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_3 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr_3") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_4 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr_4") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_5 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr_5") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_6 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr_6") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_7 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr_7") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_8 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr_8") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_9 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr_9") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets_0") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets_2") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_3 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets_3") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_4 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets_4") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_5 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets_5") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_6 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets_6") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets_7") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_renamed_27 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_renamed_28 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd2") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_15 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_15") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_14 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_14") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_13 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_13") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_12 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_12") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_11 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_11") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_10 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_10") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_9 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_9") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_8 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_8") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_7 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_7") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_6 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_6") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_5 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_5") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_4 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_4") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_3 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_3") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_2") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_0") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_15__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<15>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_14__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<14>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_14__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<14>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_13__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<13>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_13__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<13>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_12__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<12>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_12__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<12>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_11__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<11>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_11__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<11>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_10__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<10>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_10__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<10>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_9__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<9>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_9__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<9>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_8__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<8>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_8__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<8>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_7__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<7>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_7__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<7>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_6__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<6>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_6__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<6>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_5__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<5>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_5__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<5>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_4__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<4>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_4__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_3__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<3>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_3__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_2__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<2>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_2__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_1__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<1>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_1__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_0__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<0>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_0__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcompar_becoming_full_cy_4__ "f1/Mcompar_becoming_full_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcompar_becoming_full_lut_4__ "f1/Mcompar_becoming_full_lut<4>") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9") (owner "Xilinx")) + ) + (instance (rename f1_Mcompar_becoming_full_cy_3__ "f1/Mcompar_becoming_full_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcompar_becoming_full_lut_3__ "f1/Mcompar_becoming_full_lut<3>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename f1_Mcompar_becoming_full_cy_2__ "f1/Mcompar_becoming_full_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcompar_becoming_full_lut_2__ "f1/Mcompar_becoming_full_lut<2>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename f1_Mcompar_becoming_full_cy_1__ "f1/Mcompar_becoming_full_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcompar_becoming_full_lut_1__ "f1/Mcompar_becoming_full_lut<1>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename f1_Mcompar_becoming_full_cy_0__ "f1/Mcompar_becoming_full_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcompar_becoming_full_lut_0__ "f1/Mcompar_becoming_full_lut<0>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_xor_12__ "f1/Mcount_rd_addr_xor<12>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_xor_11__ "f1/Mcount_rd_addr_xor<11>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_cy_11__ "f1/Mcount_rd_addr_cy<11>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_xor_10__ "f1/Mcount_rd_addr_xor<10>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_cy_10__ "f1/Mcount_rd_addr_cy<10>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_xor_9__ "f1/Mcount_rd_addr_xor<9>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_cy_9__ "f1/Mcount_rd_addr_cy<9>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_xor_8__ "f1/Mcount_rd_addr_xor<8>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_cy_8__ "f1/Mcount_rd_addr_cy<8>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_xor_7__ "f1/Mcount_rd_addr_xor<7>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_cy_7__ "f1/Mcount_rd_addr_cy<7>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_xor_6__ "f1/Mcount_rd_addr_xor<6>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_cy_6__ "f1/Mcount_rd_addr_cy<6>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_xor_5__ "f1/Mcount_rd_addr_xor<5>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_cy_5__ "f1/Mcount_rd_addr_cy<5>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_xor_4__ "f1/Mcount_rd_addr_xor<4>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_cy_4__ "f1/Mcount_rd_addr_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_xor_3__ "f1/Mcount_rd_addr_xor<3>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_cy_3__ "f1/Mcount_rd_addr_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_xor_2__ "f1/Mcount_rd_addr_xor<2>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_cy_2__ "f1/Mcount_rd_addr_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_xor_1__ "f1/Mcount_rd_addr_xor<1>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_cy_1__ "f1/Mcount_rd_addr_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_xor_0__ "f1/Mcount_rd_addr_xor<0>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_cy_0__ "f1/Mcount_rd_addr_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_xor_12__ "f1/Mcount_wr_addr_xor<12>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_xor_11__ "f1/Mcount_wr_addr_xor<11>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_cy_11__ "f1/Mcount_wr_addr_cy<11>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_xor_10__ "f1/Mcount_wr_addr_xor<10>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_cy_10__ "f1/Mcount_wr_addr_cy<10>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_xor_9__ "f1/Mcount_wr_addr_xor<9>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_cy_9__ "f1/Mcount_wr_addr_cy<9>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_xor_8__ "f1/Mcount_wr_addr_xor<8>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_cy_8__ "f1/Mcount_wr_addr_cy<8>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_xor_7__ "f1/Mcount_wr_addr_xor<7>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_cy_7__ "f1/Mcount_wr_addr_cy<7>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_xor_6__ "f1/Mcount_wr_addr_xor<6>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_cy_6__ "f1/Mcount_wr_addr_cy<6>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_xor_5__ "f1/Mcount_wr_addr_xor<5>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_cy_5__ "f1/Mcount_wr_addr_cy<5>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_xor_4__ "f1/Mcount_wr_addr_xor<4>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_cy_4__ "f1/Mcount_wr_addr_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_xor_3__ "f1/Mcount_wr_addr_xor<3>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_cy_3__ "f1/Mcount_wr_addr_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_xor_2__ "f1/Mcount_wr_addr_xor<2>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_cy_2__ "f1/Mcount_wr_addr_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_xor_1__ "f1/Mcount_wr_addr_xor<1>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_cy_1__ "f1/Mcount_wr_addr_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_xor_0__ "f1/Mcount_wr_addr_xor<0>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_cy_0__ "f1/Mcount_wr_addr_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4__ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4__ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<4>") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9") (owner "Xilinx")) + ) + (instance (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3__ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<3>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2__ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<2>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1__ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<1>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0__ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<0>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_xor_12__ "f1/Msub_dont_write_past_me_xor<12>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_xor_11__ "f1/Msub_dont_write_past_me_xor<11>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_cy_11__ "f1/Msub_dont_write_past_me_cy<11>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_xor_10__ "f1/Msub_dont_write_past_me_xor<10>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_cy_10__ "f1/Msub_dont_write_past_me_cy<10>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_xor_9__ "f1/Msub_dont_write_past_me_xor<9>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_cy_9__ "f1/Msub_dont_write_past_me_cy<9>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_xor_8__ "f1/Msub_dont_write_past_me_xor<8>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_cy_8__ "f1/Msub_dont_write_past_me_cy<8>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_xor_7__ "f1/Msub_dont_write_past_me_xor<7>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_cy_7__ "f1/Msub_dont_write_past_me_cy<7>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_xor_6__ "f1/Msub_dont_write_past_me_xor<6>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_cy_6__ "f1/Msub_dont_write_past_me_cy<6>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_xor_5__ "f1/Msub_dont_write_past_me_xor<5>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_cy_5__ "f1/Msub_dont_write_past_me_cy<5>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_xor_4__ "f1/Msub_dont_write_past_me_xor<4>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_cy_4__ "f1/Msub_dont_write_past_me_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_xor_3__ "f1/Msub_dont_write_past_me_xor<3>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_cy_3__ "f1/Msub_dont_write_past_me_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_xor_2__ "f1/Msub_dont_write_past_me_xor<2>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_cy_2__ "f1/Msub_dont_write_past_me_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_xor_1__ "f1/Msub_dont_write_past_me_xor<1>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_cy_1__ "f1/Msub_dont_write_past_me_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_xor_0__ "f1/Msub_dont_write_past_me_xor<0>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_cy_0__ "f1/Msub_dont_write_past_me_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_wr_addr_0 "f1/wr_addr_0") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_rd_addr_0 "f1/rd_addr_0") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_read_state_FSM_FFd1_renamed_29 "f1/read_state_FSM_FFd1") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_read_state_FSM_FFd2_renamed_30 "f1/read_state_FSM_FFd2") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_wr_addr_12 "f1/wr_addr_12") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_wr_addr_11 "f1/wr_addr_11") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_wr_addr_10 "f1/wr_addr_10") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_wr_addr_9 "f1/wr_addr_9") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_wr_addr_8 "f1/wr_addr_8") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_wr_addr_7 "f1/wr_addr_7") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_wr_addr_6 "f1/wr_addr_6") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_wr_addr_5 "f1/wr_addr_5") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_wr_addr_4 "f1/wr_addr_4") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_wr_addr_3 "f1/wr_addr_3") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_wr_addr_2 "f1/wr_addr_2") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_wr_addr_1 "f1/wr_addr_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_rd_addr_12 "f1/rd_addr_12") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_rd_addr_11 "f1/rd_addr_11") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_rd_addr_10 "f1/rd_addr_10") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_rd_addr_9 "f1/rd_addr_9") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_rd_addr_8 "f1/rd_addr_8") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_rd_addr_7 "f1/rd_addr_7") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_rd_addr_6 "f1/rd_addr_6") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_rd_addr_5 "f1/rd_addr_5") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_rd_addr_4 "f1/rd_addr_4") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_rd_addr_3 "f1/rd_addr_3") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_rd_addr_2 "f1/rd_addr_2") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_rd_addr_1 "f1/rd_addr_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcompar_becoming_full_cy_4__ "f0/Mcompar_becoming_full_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcompar_becoming_full_lut_4__ "f0/Mcompar_becoming_full_lut<4>") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9") (owner "Xilinx")) + ) + (instance (rename f0_Mcompar_becoming_full_cy_3__ "f0/Mcompar_becoming_full_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcompar_becoming_full_lut_3__ "f0/Mcompar_becoming_full_lut<3>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename f0_Mcompar_becoming_full_cy_2__ "f0/Mcompar_becoming_full_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcompar_becoming_full_lut_2__ "f0/Mcompar_becoming_full_lut<2>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename f0_Mcompar_becoming_full_cy_1__ "f0/Mcompar_becoming_full_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcompar_becoming_full_lut_1__ "f0/Mcompar_becoming_full_lut<1>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename f0_Mcompar_becoming_full_cy_0__ "f0/Mcompar_becoming_full_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcompar_becoming_full_lut_0__ "f0/Mcompar_becoming_full_lut<0>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_xor_12__ "f0/Mcount_rd_addr_xor<12>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_xor_11__ "f0/Mcount_rd_addr_xor<11>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_cy_11__ "f0/Mcount_rd_addr_cy<11>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_xor_10__ "f0/Mcount_rd_addr_xor<10>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_cy_10__ "f0/Mcount_rd_addr_cy<10>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_xor_9__ "f0/Mcount_rd_addr_xor<9>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_cy_9__ "f0/Mcount_rd_addr_cy<9>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_xor_8__ "f0/Mcount_rd_addr_xor<8>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_cy_8__ "f0/Mcount_rd_addr_cy<8>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_xor_7__ "f0/Mcount_rd_addr_xor<7>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_cy_7__ "f0/Mcount_rd_addr_cy<7>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_xor_6__ "f0/Mcount_rd_addr_xor<6>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_cy_6__ "f0/Mcount_rd_addr_cy<6>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_xor_5__ "f0/Mcount_rd_addr_xor<5>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_cy_5__ "f0/Mcount_rd_addr_cy<5>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_xor_4__ "f0/Mcount_rd_addr_xor<4>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_cy_4__ "f0/Mcount_rd_addr_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_xor_3__ "f0/Mcount_rd_addr_xor<3>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_cy_3__ "f0/Mcount_rd_addr_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_xor_2__ "f0/Mcount_rd_addr_xor<2>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_cy_2__ "f0/Mcount_rd_addr_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_xor_1__ "f0/Mcount_rd_addr_xor<1>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_cy_1__ "f0/Mcount_rd_addr_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_xor_0__ "f0/Mcount_rd_addr_xor<0>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_cy_0__ "f0/Mcount_rd_addr_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_xor_12__ "f0/Mcount_wr_addr_xor<12>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_xor_11__ "f0/Mcount_wr_addr_xor<11>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_cy_11__ "f0/Mcount_wr_addr_cy<11>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_xor_10__ "f0/Mcount_wr_addr_xor<10>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_cy_10__ "f0/Mcount_wr_addr_cy<10>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_xor_9__ "f0/Mcount_wr_addr_xor<9>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_cy_9__ "f0/Mcount_wr_addr_cy<9>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_xor_8__ "f0/Mcount_wr_addr_xor<8>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_cy_8__ "f0/Mcount_wr_addr_cy<8>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_xor_7__ "f0/Mcount_wr_addr_xor<7>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_cy_7__ "f0/Mcount_wr_addr_cy<7>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_xor_6__ "f0/Mcount_wr_addr_xor<6>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_cy_6__ "f0/Mcount_wr_addr_cy<6>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_xor_5__ "f0/Mcount_wr_addr_xor<5>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_cy_5__ "f0/Mcount_wr_addr_cy<5>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_xor_4__ "f0/Mcount_wr_addr_xor<4>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_cy_4__ "f0/Mcount_wr_addr_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_xor_3__ "f0/Mcount_wr_addr_xor<3>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_cy_3__ "f0/Mcount_wr_addr_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_xor_2__ "f0/Mcount_wr_addr_xor<2>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_cy_2__ "f0/Mcount_wr_addr_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_xor_1__ "f0/Mcount_wr_addr_xor<1>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_cy_1__ "f0/Mcount_wr_addr_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_xor_0__ "f0/Mcount_wr_addr_xor<0>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_cy_0__ "f0/Mcount_wr_addr_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4__ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4__ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<4>") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9") (owner "Xilinx")) + ) + (instance (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3__ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<3>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2__ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<2>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1__ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<1>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0__ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<0>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_xor_12__ "f0/Msub_dont_write_past_me_xor<12>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_xor_11__ "f0/Msub_dont_write_past_me_xor<11>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_cy_11__ "f0/Msub_dont_write_past_me_cy<11>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_xor_10__ "f0/Msub_dont_write_past_me_xor<10>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_cy_10__ "f0/Msub_dont_write_past_me_cy<10>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_xor_9__ "f0/Msub_dont_write_past_me_xor<9>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_cy_9__ "f0/Msub_dont_write_past_me_cy<9>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_xor_8__ "f0/Msub_dont_write_past_me_xor<8>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_cy_8__ "f0/Msub_dont_write_past_me_cy<8>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_xor_7__ "f0/Msub_dont_write_past_me_xor<7>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_cy_7__ "f0/Msub_dont_write_past_me_cy<7>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_xor_6__ "f0/Msub_dont_write_past_me_xor<6>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_cy_6__ "f0/Msub_dont_write_past_me_cy<6>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_xor_5__ "f0/Msub_dont_write_past_me_xor<5>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_cy_5__ "f0/Msub_dont_write_past_me_cy<5>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_xor_4__ "f0/Msub_dont_write_past_me_xor<4>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_cy_4__ "f0/Msub_dont_write_past_me_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_xor_3__ "f0/Msub_dont_write_past_me_xor<3>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_cy_3__ "f0/Msub_dont_write_past_me_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_xor_2__ "f0/Msub_dont_write_past_me_xor<2>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_cy_2__ "f0/Msub_dont_write_past_me_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_xor_1__ "f0/Msub_dont_write_past_me_xor<1>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_cy_1__ "f0/Msub_dont_write_past_me_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_xor_0__ "f0/Msub_dont_write_past_me_xor<0>") + (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_cy_0__ "f0/Msub_dont_write_past_me_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_wr_addr_0 "f0/wr_addr_0") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_rd_addr_0 "f0/rd_addr_0") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_read_state_FSM_FFd1_renamed_31 "f0/read_state_FSM_FFd1") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_read_state_FSM_FFd2_renamed_32 "f0/read_state_FSM_FFd2") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_wr_addr_12 "f0/wr_addr_12") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_wr_addr_11 "f0/wr_addr_11") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_wr_addr_10 "f0/wr_addr_10") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_wr_addr_9 "f0/wr_addr_9") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_wr_addr_8 "f0/wr_addr_8") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_wr_addr_7 "f0/wr_addr_7") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_wr_addr_6 "f0/wr_addr_6") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_wr_addr_5 "f0/wr_addr_5") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_wr_addr_4 "f0/wr_addr_4") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_wr_addr_3 "f0/wr_addr_3") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_wr_addr_2 "f0/wr_addr_2") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_wr_addr_1 "f0/wr_addr_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_rd_addr_12 "f0/rd_addr_12") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_rd_addr_11 "f0/rd_addr_11") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_rd_addr_10 "f0/rd_addr_10") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_rd_addr_9 "f0/rd_addr_9") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_rd_addr_8 "f0/rd_addr_8") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_rd_addr_7 "f0/rd_addr_7") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_rd_addr_6 "f0/rd_addr_6") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_rd_addr_5 "f0/rd_addr_5") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_rd_addr_4 "f0/rd_addr_4") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_rd_addr_3 "f0/rd_addr_3") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_rd_addr_2 "f0/rd_addr_2") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_rd_addr_1 "f0/rd_addr_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance fx3_miso1 + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___180___slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/write1") (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance cat_mosi1 + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___178___cat_mosi1") (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance cat_sclk1 + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___178___cat_mosi1") (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance reset_global_locked_OR_1_o1 + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___179___slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/write1") (owner "Xilinx")) + (property INIT (string "D") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata110 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata110") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___151___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata110") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata210 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata210") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___151___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata110") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata33 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata33") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___150___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata33") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata41 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata41") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___150___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata33") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata51 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata51") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___149___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata51") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata61 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata61") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___149___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata51") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata71 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata71") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___148___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata71") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata81 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata81") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___148___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata71") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata91 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata91") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___147___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata91") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata101 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata101") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___146___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata101") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata111 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata111") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___146___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata101") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata121 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata121") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___145___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata121") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata131 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata131") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___145___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata121") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata141 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata141") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___144___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata141") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata151 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata151") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___144___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata141") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata161 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata161") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___143___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata161") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata171 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata171") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___143___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata161") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata181 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata181") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___142___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata181") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata191 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata191") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___142___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata181") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata201 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata201") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___141___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata201") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata211 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata211") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___141___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata201") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata221 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata221") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___140___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata221") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata231 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata231") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___140___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata221") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata241 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata241") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___139___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata241") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata251 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata251") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___139___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata241") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata261 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata261") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___138___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata261") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata271 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata271") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___138___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata261") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata281 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata281") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___137___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata281") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata291 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata291") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___137___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata281") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata301 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata301") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___147___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata91") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata311 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata311") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___136___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata311") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata321 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata321") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___136___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata311") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata110 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata110") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___167___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata110") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata210 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata210") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___167___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata110") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata33 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata33") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___166___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata33") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata41 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata41") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___166___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata33") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata51 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata51") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___165___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata51") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata61 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata61") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___165___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata51") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata71 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata71") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___164___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata71") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata81 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata81") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___164___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata71") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata91 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata91") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___163___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata91") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata101 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata101") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___162___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata101") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata111 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata111") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___162___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata101") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata121 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata121") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___161___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata121") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata131 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata131") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___161___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata121") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata141 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata141") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___160___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata141") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata151 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata151") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___160___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata141") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata161 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata161") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___159___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata161") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata171 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata171") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___159___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata161") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata181 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata181") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___158___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata181") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata191 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata191") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___158___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata181") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata201 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata201") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___157___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata201") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata211 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata211") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___157___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata201") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata221 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata221") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___156___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata221") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata231 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata231") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___156___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata221") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata241 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata241") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___155___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata241") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata251 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata251") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___155___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata241") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata261 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata261") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___154___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata261") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata271 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata271") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___154___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata261") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata281 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata281") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___153___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata281") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata291 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata291") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___153___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata281") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata301 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata301") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___163___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata91") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata311 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata311") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___152___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata311") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata321 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata321") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___152___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata311") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mcount_fifoadr_xor_1_11 "slave_fifo32/Mcount_fifoadr_xor<1>11") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___42___slave_fifo32/Mcount_fifoadr_xor<1>11") (owner "Xilinx")) + (property INIT (string "6") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo_rst_gpif_rst_OR_155_o1 "slave_fifo32/fifo64_to_gpmc32_resp/fifo_rst_gpif_rst_OR_155_o1") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___175___slave_fifo32/fifo64_to_gpmc32_resp/fifo_rst_gpif_rst_OR_155_o1") (owner "Xilinx")) + (property INIT (string "E") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_i_tready1 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/i_tready1") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___170___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/i_tready1") (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_o_tlast1 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/o_tlast1") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___170___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/i_tready1") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_write1 "slave_fifo32/fifo64_to_gpmc32_resp/cross_clock_fifo/write1") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_write1 "slave_fifo32/fifo64_to_gpmc32_rx/cross_clock_fifo/write1") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_o_tlast1 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/o_tlast1") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___172___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/o_tlast1") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_i_tready1 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/i_tready1") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___172___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/o_tlast1") (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mcount_idle_cycles_xor_0_11 "slave_fifo32/Mcount_idle_cycles_xor<0>11") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___177___slave_fifo32/Mcount_idle_cycles_xor<0>11") (owner "Xilinx")) + (property INIT (string "1") (owner "Xilinx")) + ) + (instance (rename slave_fifo32__n0230_inv1 "slave_fifo32/_n0230_inv1") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___48___slave_fifo32/_n0230_inv1") (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mcount_idle_cycles_xor_2_11 "slave_fifo32/Mcount_idle_cycles_xor<2>11") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___23___slave_fifo32/Mcount_idle_cycles_xor<2>11") (owner "Xilinx")) + (property INIT (string "1444") (owner "Xilinx")) + ) + (instance (rename slave_fifo32__n0223_inv1 "slave_fifo32/_n0223_inv1") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___21___slave_fifo32/_n0223_inv1") (owner "Xilinx")) + (property INIT (string "82") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_ctrl_tx_tready_data_tx_tready_OR_55_o1 "slave_fifo32/ctrl_tx_tready_data_tx_tready_OR_55_o1") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "5410") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mcount_idle_cycles_xor_1_11 "slave_fifo32/Mcount_idle_cycles_xor<1>11") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___23___slave_fifo32/Mcount_idle_cycles_xor<2>11") (owner "Xilinx")) + (property INIT (string "14") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT110 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT110") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT101 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT101") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT111 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT111") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT121 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT121") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT131 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT131") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT141 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT141") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT151 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT151") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT161 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT161") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT171 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT171") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT181 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT181") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT191 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT191") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT210 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT210") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT201 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT201") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT211 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT211") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT221 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT221") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT231 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT231") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT241 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT241") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT251 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT251") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT261 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT261") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT271 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT271") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT281 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT281") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT291 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT291") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT33 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT33") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT301 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT301") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT311 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT311") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT321 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT321") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT41 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT41") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT51 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT51") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT61 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT61") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT71 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT71") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT81 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT81") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT91 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT91") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___42___slave_fifo32/Mcount_fifoadr_xor<1>11") (owner "Xilinx")) + (property INIT (string "A8880888") (owner "Xilinx")) + ) + (instance (rename slave_fifo32__n0237_inv1 "slave_fifo32/_n0237_inv1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0000000100000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32__n0290_inv1 "slave_fifo32/_n0290_inv1") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___21___slave_fifo32/_n0223_inv1") (owner "Xilinx")) + (property INIT (string "20002222") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_ctrl_tx_tvalid1 "slave_fifo32/ctrl_tx_tvalid1") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "01000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_data_tx_tvalid1 "slave_fifo32/data_tx_tvalid1") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "00010000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_2_11 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_a_xor<2>11") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___20___slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_a_xor<2>11") (owner "Xilinx")) + (property INIT (string "6AA9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_1_11 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_a_xor<1>11") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "69") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_3_11 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_a_xor<3>11") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___20___slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_a_xor<2>11") (owner "Xilinx")) + (property INIT (string "6AAAAAA9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_4_11 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_a_xor<4>11") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "6AAAAAAAAAAAAAA9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_2_11 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_a_xor<2>11") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___18___slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_a_xor<2>11") (owner "Xilinx")) + (property INIT (string "6AA9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_1_11 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_a_xor<1>11") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "69") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_3_11 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_a_xor<3>11") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___18___slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_a_xor<2>11") (owner "Xilinx")) + (property INIT (string "6AAAAAA9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_4_11 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_a_xor<4>11") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "6AAAAAAAAAAAAAA9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT511 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT511") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___22___slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT511") (owner "Xilinx")) + (property INIT (string "BF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT411 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT411") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Msub_num_packets[7]_GND_55_o_sub_15_OUT_cy<6>11") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT61") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "99AA99A6AAAAAAA6") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT3111") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___36___slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT3111") (owner "Xilinx")) + (property INIT (string "7") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_write1 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/write1") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___45___slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/write1") (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT511 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT511") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___25___slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT511") (owner "Xilinx")) + (property INIT (string "BF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT411 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT411") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Msub_num_packets[7]_GND_65_o_sub_15_OUT_cy<6>11") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT61") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "99AA99A6AAAAAAA6") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT3111") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___34___slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT3111") (owner "Xilinx")) + (property INIT (string "7") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_write1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/write1") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full1021 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/becoming_full1021") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___168___slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/becoming_full1021") (owner "Xilinx")) + (property INIT (string "9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full1011 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/becoming_full1011") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___49___slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/becoming_full1011") (owner "Xilinx")) + (property INIT (string "9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o81 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o81") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o61 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o61") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o71 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o71") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o41 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o41") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_4_11 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_a_xor<4>11") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "6AAAAAAAAAAAAAA9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_3_11 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_a_xor<3>11") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___11___slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_a_xor<3>11") (owner "Xilinx")) + (property INIT (string "6AAAAAA9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_1_11 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_a_xor<1>11") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___117___slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_a_xor<1>11") (owner "Xilinx")) + (property INIT (string "69") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_2_11 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_a_xor<2>11") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___11___slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_a_xor<3>11") (owner "Xilinx")) + (property INIT (string "6AA9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o41 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o41") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0121111 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n0121111") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___174___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n0121111") (owner "Xilinx")) + (property INIT (string "E") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full421 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full421") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___19___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full421") (owner "Xilinx")) + (property INIT (string "0111") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full411 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full411") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___19___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full421") (owner "Xilinx")) + (property INIT (string "FEEE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o71 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o71") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full921 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full921") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___116___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full921") (owner "Xilinx")) + (property INIT (string "9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o61 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o61") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___174___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n0121111") (owner "Xilinx")) + (property INIT (string "9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tvalid11 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tvalid11") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___127___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tvalid11") (owner "Xilinx")) + (property INIT (string "E0") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT17 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT17") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT21 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT21") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT31 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT31") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT41 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT41") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT51 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT51") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT61 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT61") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT81 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT81") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___135___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT81") (owner "Xilinx")) + (property INIT (string "E") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT91 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT91") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___135___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT81") (owner "Xilinx")) + (property INIT (string "E") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT101 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT101") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___134___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT101") (owner "Xilinx")) + (property INIT (string "E") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT111 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT111") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___134___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT101") (owner "Xilinx")) + (property INIT (string "E") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT121 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT121") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___133___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT121") (owner "Xilinx")) + (property INIT (string "E") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT131 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT131") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___133___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT121") (owner "Xilinx")) + (property INIT (string "E") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT141 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT141") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___132___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT141") (owner "Xilinx")) + (property INIT (string "E") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT151 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT151") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___132___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT141") (owner "Xilinx")) + (property INIT (string "E") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT161 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT161") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata110 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata110") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___113___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata110") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata210 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata210") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___110___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata210") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata310 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata310") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___82___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata310") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata410 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata410") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___109___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata410") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata510 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata510") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___108___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata510") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata65 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata65") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___107___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata65") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata71 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata71") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___106___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata71") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata81 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata81") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___105___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata81") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata91 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata91") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___104___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata91") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata101 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata101") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___102___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata101") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata111 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata111") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___101___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata111") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata121 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata121") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___112___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata121") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata131 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata131") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___100___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata131") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata141 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata141") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___99___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata141") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata151 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata151") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___98___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata151") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata161 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata161") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___97___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata161") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata171 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata171") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___96___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata171") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata181 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata181") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___95___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata181") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata191 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata191") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___94___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata191") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata201 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata201") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___93___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata201") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata211 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata211") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___91___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata211") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata221 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata221") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___90___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata221") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata231 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata231") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___83___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata231") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata241 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata241") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___89___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata241") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata251 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata251") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___88___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata251") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata261 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata261") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___113___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata110") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata271 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata271") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___112___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata121") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata281 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata281") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___83___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata231") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata291 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata291") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___111___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata291") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata301 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata301") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___103___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata301") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata311 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata311") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___92___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata311") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata321 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata321") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___87___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata321") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata331 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata331") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___86___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata331") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata341 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata341") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___111___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata291") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata351 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata351") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___85___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata351") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata361 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata361") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___84___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata361") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata371 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata371") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___110___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata210") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata381 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata381") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___82___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata310") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata391 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata391") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___109___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata410") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata401 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata401") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___108___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata510") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata411 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata411") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___107___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata65") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata421 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata421") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___106___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata71") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata431 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata431") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___105___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata81") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata441 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata441") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___104___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata91") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata451 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata451") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___103___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata301") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata461 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata461") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___102___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata101") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata471 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata471") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___101___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata111") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata481 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata481") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___100___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata131") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata491 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata491") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___99___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata141") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata501 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata501") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___98___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata151") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata511 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata511") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___97___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata161") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata521 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata521") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___96___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata171") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata531 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata531") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___95___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata181") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata541 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata541") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___94___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata191") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata551 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata551") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___93___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata201") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata561 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata561") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___92___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata311") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata571 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata571") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___91___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata211") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata581 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata581") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___90___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata221") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata591 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata591") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___89___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata241") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata601 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata601") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___88___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata251") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata611 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata611") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___87___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata321") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata621 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata621") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___86___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata331") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata631 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata631") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___85___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata351") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata641 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata641") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___84___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata361") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_i_tvalid_o_tready_AND_73_o1 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/i_tvalid_o_tready_AND_73_o1") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___127___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tvalid11") (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_read1 "slave_fifo32/fifo64_to_gpmc32_tx/cross_clock_fifo/read1") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo__n0154_inv1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/_n0154_inv1") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "DC") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_write1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/write1") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___40___slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/write1") (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT31") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A9A9A9A9FF0000FF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT52") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "C9C9C9C900FFFF00") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_o_tready_int11 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_o_tready_int11") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___33___slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_o_tready_int11") (owner "Xilinx")) + (property INIT (string "54") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Msub_num_packets[7]_GND_55_o_sub_15_OUT_cy<6>11") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___41___slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Msub_num_packets[7]_GND_55_o_sub_15_OUT_cy<6>11") (owner "Xilinx")) + (property INIT (string "FFFFFFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT411 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT411") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT511") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___40___slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/write1") (owner "Xilinx")) + (property INIT (string "EFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker__n0131_inv1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/_n0131_inv1") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___46___slave_fifo32/fifo64_to_gpmc32_tx/checker/_n0131_inv1") (owner "Xilinx")) + (property INIT (string "0455") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_4_11 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_a_xor<4>11") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "6AAAAAAAAAAAAAA9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_3_11 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_a_xor<3>11") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___9___slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_a_xor<3>11") (owner "Xilinx")) + (property INIT (string "6AAAAAA9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_1_11 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_a_xor<1>11") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___115___slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_a_xor<1>11") (owner "Xilinx")) + (property INIT (string "69") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_2_11 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_a_xor<2>11") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___9___slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_a_xor<3>11") (owner "Xilinx")) + (property INIT (string "6AA9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o41 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o41") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0121111 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n0121111") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___173___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n0121111") (owner "Xilinx")) + (property INIT (string "E") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full421 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full421") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___17___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full421") (owner "Xilinx")) + (property INIT (string "0111") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full411 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full411") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___17___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full421") (owner "Xilinx")) + (property INIT (string "FEEE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o71 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o71") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full921 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full921") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___114___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full921") (owner "Xilinx")) + (property INIT (string "9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o61 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o61") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___173___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n0121111") (owner "Xilinx")) + (property INIT (string "9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tvalid11 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tvalid11") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___125___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tvalid11") (owner "Xilinx")) + (property INIT (string "E0") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT17 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT17") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___175___slave_fifo32/fifo64_to_gpmc32_resp/fifo_rst_gpif_rst_OR_155_o1") (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT21 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT21") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT31 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT31") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT41 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT41") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT51 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT51") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT61 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT61") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT81 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT81") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___131___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT81") (owner "Xilinx")) + (property INIT (string "E") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT91 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT91") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___131___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT81") (owner "Xilinx")) + (property INIT (string "E") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT101 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT101") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___130___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT101") (owner "Xilinx")) + (property INIT (string "E") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT111 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT111") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___130___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT101") (owner "Xilinx")) + (property INIT (string "E") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT121 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT121") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___129___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT121") (owner "Xilinx")) + (property INIT (string "E") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT131 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT131") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___129___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT121") (owner "Xilinx")) + (property INIT (string "E") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT141 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT141") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___128___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT141") (owner "Xilinx")) + (property INIT (string "E") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT151 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT151") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___128___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT141") (owner "Xilinx")) + (property INIT (string "E") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT161 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT161") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata110 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata110") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___81___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata110") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata210 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata210") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___78___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata210") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata310 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata310") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___50___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata310") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata410 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata410") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___77___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata410") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata510 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata510") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___76___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata510") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata65 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata65") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___75___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata65") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata71 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata71") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___74___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata71") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata81 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata81") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___73___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata81") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata91 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata91") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___72___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata91") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata101 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata101") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___70___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata101") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata111 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata111") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___69___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata111") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata121 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata121") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___80___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata121") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata131 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata131") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___68___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata131") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata141 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata141") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___67___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata141") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata151 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata151") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___66___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata151") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata161 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata161") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___65___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata161") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata171 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata171") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___64___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata171") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata181 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata181") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___63___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata181") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata191 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata191") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___62___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata191") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata201 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata201") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___61___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata201") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata211 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata211") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___59___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata211") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata221 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata221") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___58___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata221") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata231 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata231") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___51___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata231") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata241 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata241") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___57___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata241") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata251 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata251") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___56___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata251") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata261 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata261") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___81___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata110") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata271 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata271") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___80___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata121") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata281 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata281") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___51___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata231") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata291 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata291") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___79___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata291") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata301 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata301") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___71___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata301") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata311 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata311") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___60___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata311") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata321 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata321") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___55___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata321") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata331 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata331") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___54___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata331") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata341 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata341") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___79___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata291") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata351 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata351") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___53___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata351") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata361 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata361") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___52___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata361") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata371 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata371") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___78___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata210") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata381 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata381") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___50___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata310") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata391 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata391") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___77___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata410") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata401 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata401") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___76___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata510") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata411 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata411") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___75___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata65") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata421 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata421") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___74___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata71") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata431 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata431") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___73___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata81") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata441 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata441") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___72___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata91") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata451 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata451") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___71___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata301") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata461 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata461") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___70___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata101") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata471 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata471") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___69___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata111") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata481 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata481") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___68___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata131") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata491 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata491") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___67___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata141") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata501 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata501") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___66___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata151") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata511 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata511") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___65___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata161") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata521 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata521") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___64___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata171") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata531 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata531") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___63___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata181") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata541 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata541") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___62___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata191") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata551 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata551") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___61___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata201") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata561 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata561") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___60___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata311") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata571 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata571") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___59___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata211") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata581 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata581") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___58___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata221") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata591 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata591") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___57___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata241") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata601 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata601") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___56___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata251") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata611 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata611") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___55___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata321") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata621 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata621") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___54___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata331") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata631 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata631") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___53___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata351") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata641 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata641") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___52___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata361") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_i_tvalid_o_tready_AND_73_o1 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/i_tvalid_o_tready_AND_73_o1") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___125___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tvalid11") (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_read1 "slave_fifo32/fifo64_to_gpmc32_ctrl/cross_clock_fifo/read1") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___47___slave_fifo32/fifo64_to_gpmc32_ctrl/cross_clock_fifo/read1") (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0154_inv1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n0154_inv1") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___37___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n0154_inv1") (owner "Xilinx")) + (property INIT (string "DC") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o71 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o71") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o61 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o61") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o81 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o81") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full1021 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/becoming_full1021") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___43___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/becoming_full1021") (owner "Xilinx")) + (property INIT (string "9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_write1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/write1") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___37___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n0154_inv1") (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_2_1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut<2>1") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___38___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut<2>1") (owner "Xilinx")) + (property INIT (string "6") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_3_1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut<3>1") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "6") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Msub_num_packets[7]_GND_65_o_sub_15_OUT_cy<6>11") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___39___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Msub_num_packets[7]_GND_65_o_sub_15_OUT_cy<6>11") (owner "Xilinx")) + (property INIT (string "FFFFFFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT411 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT411") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_6_1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut<6>1") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "6") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid31 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_tvalid31") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFFFFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker__n0227_inv1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/_n0227_inv1") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___4___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/_n0227_inv1") (owner "Xilinx")) + (property INIT (string "0455") (owner "Xilinx")) + ) + (instance (rename f1_write11 "f1/write11") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___123___f1/write11") (owner "Xilinx")) + (property INIT (string "1") (owner "Xilinx")) + ) + (instance (rename f0_write11 "f0/write11") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___122___f0/write11") (owner "Xilinx")) + (property INIT (string "1") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read_SW0 "slave_fifo32/fifo64_to_gpmc32_resp/cross_clock_fifo/read_SW0") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "80000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read_renamed_33 "slave_fifo32/fifo64_to_gpmc32_resp/cross_clock_fifo/read") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0111111111111111") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read_SW0 "slave_fifo32/fifo64_to_gpmc32_rx/cross_clock_fifo/read_SW0") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "80000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read_renamed_34 "slave_fifo32/fifo64_to_gpmc32_rx/cross_clock_fifo/read") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0111111111111111") (owner "Xilinx")) + ) + (instance (rename slave_fifo32__n0258_inv_SW0 "slave_fifo32/_n0258_inv_SW0") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___121___slave_fifo32/_n0258_inv_SW0") (owner "Xilinx")) + (property INIT (string "BF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_xfer_Mux_21_o1_SW0 "slave_fifo32/Mmux_state[1]_wr_fifo_xfer_Mux_21_o1_SW0") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___24___slave_fifo32/Mmux_state[1]_wr_fifo_xfer_Mux_21_o1_SW0") (owner "Xilinx")) + (property INIT (string "D0") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_xfer_Mux_21_o1 "slave_fifo32/Mmux_state[1]_wr_fifo_xfer_Mux_21_o1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "777FF7FFFFFFFFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1_SW0 "slave_fifo32/Mmux_state[1]_wr_fifo_eof_Mux_22_o1_SW0") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___24___slave_fifo32/Mmux_state[1]_wr_fifo_xfer_Mux_21_o1_SW0") (owner "Xilinx")) + (property INIT (string "80008080") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1 "slave_fifo32/Mmux_state[1]_wr_fifo_eof_Mux_22_o1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2A7F7F7FFFFFFFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32__n0279_inv_SW0 "slave_fifo32/_n0279_inv_SW0") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___121___slave_fifo32/_n0258_inv_SW0") (owner "Xilinx")) + (property INIT (string "E") (owner "Xilinx")) + ) + (instance (rename slave_fifo32__n0279_inv_renamed_35 "slave_fifo32/_n0279_inv") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0020202008282828") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_state_FSM_FFd1_In4 "slave_fifo32/state_FSM_FFd1-In4") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___176___slave_fifo32/state_FSM_FFd1-In4") (owner "Xilinx")) + (property INIT (string "E") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_state_FSM_FFd1_In2_renamed_36 "slave_fifo32/state_FSM_FFd1-In2") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2700050022000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_state_FSM_FFd2_In1_renamed_37 "slave_fifo32/state_FSM_FFd2-In1") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___177___slave_fifo32/Mcount_idle_cycles_xor<0>11") (owner "Xilinx")) + (property INIT (string "8000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_state_FSM_FFd2_In2_renamed_38 "slave_fifo32/state_FSM_FFd2-In2") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1054101010101010") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_state_FSM_FFd2_In3 "slave_fifo32/state_FSM_FFd2-In3") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___176___slave_fifo32/state_FSM_FFd1-In4") (owner "Xilinx")) + (property INIT (string "FFF4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv_SW0 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/_n0123_inv_SW0") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___7___slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/_n0123_inv_SW0") (owner "Xilinx")) + (property INIT (string "FFFFFFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv_SW0 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/_n0123_inv_SW0") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___5___slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/_n0123_inv_SW0") (owner "Xilinx")) + (property INIT (string "FFFFFFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT7") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9CCC9CC6CCCCCCC6") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tready_int1_SW0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_o_tready_int1_SW0") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2F") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tready_int1 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_o_tready_int1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "00000C0000000800") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_i_tvalid_int1_SW0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_i_tvalid_int1_SW0") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "8000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_i_tvalid_int1 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_i_tvalid_int1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1555555555555555") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT7") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9CCC9CC6CCCCCCC6") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_o_tready_int1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_o_tready_int1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "C000000080000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_i_tvalid_int1_SW0 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_i_tvalid_int1_SW0") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "8000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_i_tvalid_int1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_i_tvalid_int1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1555555555555555") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o10") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "8000000000000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0102_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/_n0102_SW0") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___117___slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_a_xor<1>11") (owner "Xilinx")) + (property INIT (string "FF57") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/_n0123_inv_SW0") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___27___slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/_n0123_inv_SW0") (owner "Xilinx")) + (property INIT (string "FFFFFFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv_renamed_39 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/_n0123_inv") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "04040000FF04FF00") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212_renamed_40 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01212") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0010001000000010") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01214_renamed_41 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01214") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "99900000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01216_renamed_42 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01216") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01219 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01219") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FAF8AA0000000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012113_renamed_43 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n012113") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012114_renamed_44 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n012114") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "BB33A820A820A820") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Msub_dont_write_past_me_xor<8>1_SW0") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Msub_dont_write_past_me_xor<8>1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8A8A8A8A8A8B9A8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tready1_SW0") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "80000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tready1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0111111111111111") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_clear_dump_OR_131_o_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/clear_dump_OR_131_o_SW0") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___126___slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/clear_dump_OR_131_o_SW0") (owner "Xilinx")) + (property INIT (string "D") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_clear_dump_OR_131_o_renamed_45 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/clear_dump_OR_131_o") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0000000000000001") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0076_inv_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/_n0076_inv_SW0") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___41___slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Msub_num_packets[7]_GND_55_o_sub_15_OUT_cy<6>11") (owner "Xilinx")) + (property INIT (string "E") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0076_inv_renamed_46 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/_n0076_inv") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "00000001FFFFFFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT6") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "CCCCCCCC0F5AF05A") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT4") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "CCCCCCCCF05A0F5A") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int11_renamed_47 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tvalid_int11") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "F2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int12 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tvalid_int12") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0000000000010005") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int14_renamed_48 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tvalid_int14") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "010F") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int15 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tvalid_int15") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "7FFFFFFFFFFFFFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv4_renamed_49 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/_n0074_inv4") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___33___slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_o_tready_int11") (owner "Xilinx")) + (property INIT (string "A8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_terror5_SW0") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFFFFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_terror5") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFFFFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In31_renamed_50 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In31") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In32_renamed_51 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In32") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFFFFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In33 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In33") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FDFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In34 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In34") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFFFFFB") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In11 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd2-In11") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFFFFF9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In12_renamed_52 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In12") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFFAAB9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0102_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/_n0102_SW0") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___115___slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_a_xor<1>11") (owner "Xilinx")) + (property INIT (string "FF57") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/_n0123_inv_SW0") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___26___slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/_n0123_inv_SW0") (owner "Xilinx")) + (property INIT (string "FFFFFFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv_renamed_53 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/_n0123_inv") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "04040000FF04FF00") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212_renamed_54 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01212") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0010001000000010") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01214_renamed_55 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01214") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "99900000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01216_renamed_56 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01216") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01219 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01219") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FAF8AA0000000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012113_renamed_57 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n012113") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012114_renamed_58 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n012114") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "BB33A820A820A820") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Msub_dont_write_past_me_xor<8>1_SW0") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Msub_dont_write_past_me_xor<8>1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8A8A8A8A8A8B9A8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01219_renamed_59 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01219") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "AA08880800008008") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2-In1_SW0") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___44___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2-In1_SW0") (owner "Xilinx")) + (property INIT (string "BF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_i_tready1_SW0") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "80000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_i_tready1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0111111111111111") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_clear_dump_OR_154_o_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/clear_dump_OR_154_o_SW0") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "D") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_clear_dump_OR_154_o_renamed_60 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/clear_dump_OR_154_o") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0000000000000001") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0076_inv_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/_n0076_inv_SW0") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___39___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Msub_num_packets[7]_GND_65_o_sub_15_OUT_cy<6>11") (owner "Xilinx")) + (property INIT (string "E") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0076_inv_renamed_61 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/_n0076_inv") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "00000001FFFFFFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT4") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "CCCCCCCCF0550FAA") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int11_renamed_62 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_i_tvalid_int11") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0307") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int12_renamed_63 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_i_tvalid_int12") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "7FFFFFFFFFFFFFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int13 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_i_tvalid_int13") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "F700") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv5_renamed_64 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/_n0074_inv5") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FB") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror7_SW0") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In31_renamed_65 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In31") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In32_renamed_66 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In32") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFFFFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In33 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In33") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FDFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In34 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In34") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFFFFFB") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror1_SW0") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In11_renamed_67 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In11") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___4___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/_n0227_inv1") (owner "Xilinx")) + (property INIT (string "DFDDFFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In12_renamed_68 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In12") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFBEEEA55514440") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In14 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In14") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "AAAAAAAA2A080808") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In11 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd2-In11") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___118___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd2-In11") (owner "Xilinx")) + (property INIT (string "FFF9") (owner "Xilinx")) + ) + (instance (rename cat_miso_IBUF_renamed_69 "cat_miso_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename fx3_ce_IBUF_renamed_70 "fx3_ce_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename fx3_mosi_IBUF_renamed_71 "fx3_mosi_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename fx3_sclk_IBUF_renamed_72 "fx3_sclk_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename GPIF_CTL4_IBUF_renamed_73 "GPIF_CTL4_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename GPIF_CTL5_IBUF_renamed_74 "GPIF_CTL5_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename GPIF_CTL9_IBUF_renamed_75 "GPIF_CTL9_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance codec_ctrl_in_3_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance codec_ctrl_in_2_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance codec_ctrl_in_1_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance codec_ctrl_in_0_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename tx_codec_d_11_OBUF_renamed_76 "tx_codec_d_11_OBUF") + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename tx_codec_d_10_OBUF_renamed_77 "tx_codec_d_10_OBUF") + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename tx_codec_d_9_OBUF_renamed_78 "tx_codec_d_9_OBUF") + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename tx_codec_d_8_OBUF_renamed_79 "tx_codec_d_8_OBUF") + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename tx_codec_d_7_OBUF_renamed_80 "tx_codec_d_7_OBUF") + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename tx_codec_d_6_OBUF_renamed_81 "tx_codec_d_6_OBUF") + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename tx_codec_d_5_OBUF_renamed_82 "tx_codec_d_5_OBUF") + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename tx_codec_d_4_OBUF_renamed_83 "tx_codec_d_4_OBUF") + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename tx_codec_d_3_OBUF_renamed_84 "tx_codec_d_3_OBUF") + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename tx_codec_d_2_OBUF_renamed_85 "tx_codec_d_2_OBUF") + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename tx_codec_d_1_OBUF_renamed_86 "tx_codec_d_1_OBUF") + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename tx_codec_d_0_OBUF_renamed_87 "tx_codec_d_0_OBUF") + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_31_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_30_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_29_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_28_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_27_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_26_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_25_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_24_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_23_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_22_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_21_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_20_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_19_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_18_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_17_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_16_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_15_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_14_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_13_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_12_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_11_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_10_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_9_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_8_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_7_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_6_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_5_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_4_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_3_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_2_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_1_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_0_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename debug_clk_1_OBUF_renamed_88 "debug_clk_1_OBUF") + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance debug_clk_0_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance cat_ce_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename cat_mosi_OBUF_renamed_89 "cat_mosi_OBUF") + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename cat_sclk_OBUF_renamed_90 "cat_sclk_OBUF") + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename fx3_miso_OBUF_renamed_91 "fx3_miso_OBUF") + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance pll_ce_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance pll_mosi_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance pll_sclk_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance codec_enable_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance codec_en_agc_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance codec_reset_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance codec_sync_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance codec_txrx_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename codec_fb_clk_p_OBUF_renamed_92 "codec_fb_clk_p_OBUF") + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename tx_frame_p_OBUF_renamed_93 "tx_frame_p_OBUF") + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename IFCLK_OBUF_renamed_94 "IFCLK_OBUF") + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance FX3_EXTINT_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance GPIF_CTL0_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance GPIF_CTL1_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance GPIF_CTL2_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance GPIF_CTL3_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance GPIF_CTL7_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance GPIF_CTL11_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance GPIF_CTL12_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance gps_out_enable_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance gps_ref_enable_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance LED_RX1_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance LED_RX2_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance LED_TXRX1_RX_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance LED_TXRX1_TX_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance LED_TXRX2_RX_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance LED_TXRX2_TX_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance ext_ref_enable_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance pps_fpga_out_enable_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance SFDX1_RX_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance SFDX1_TX_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance SFDX2_RX_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance SFDX2_TX_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance SRX1_RX_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance SRX1_TX_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance SRX2_RX_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance SRX2_TX_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance tx_bandsel_a_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance tx_bandsel_b_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance tx_enable1_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance tx_enable2_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance rx_bandsel_a_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance rx_bandsel_b_OBUF + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename rx_bandsel_c_OBUF_renamed_95 "rx_bandsel_c_OBUF") + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_state_renamed_96 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/state") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_state_renamed_97 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/state") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_renamed_98 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/full") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_renamed_99 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/empty") + (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_renamed_100 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/full") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_renamed_101 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/empty") + (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_full_reg_renamed_102 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/full_reg") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg_renamed_103 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/full_reg") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_renamed_104 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/empty") + (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full_renamed_105 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/full") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_state_renamed_106 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/state") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_full_reg_renamed_107 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/full_reg") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_renamed_108 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/full_reg") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump_renamed_109 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/dump") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_renamed_110 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/empty") + (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full_renamed_111 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/full") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_state_renamed_112 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/state") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_full_reg_renamed_113 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/full_reg") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg_renamed_114 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/full_reg") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump_renamed_115 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/dump") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_full_reg_renamed_116 "f1/full_reg") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_full_reg_renamed_117 "f0/full_reg") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_1__rt_renamed_118 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<1>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_0__rt_renamed_119 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<0>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_11__rt_renamed_120 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<11>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_10__rt_renamed_121 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<10>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_9__rt_renamed_122 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<9>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8__rt_renamed_123 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<8>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7__rt_renamed_124 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<7>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6__rt_renamed_125 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<6>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5__rt_renamed_126 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<5>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4__rt_renamed_127 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<4>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3__rt_renamed_128 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<3>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2__rt_renamed_129 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<2>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1__rt_renamed_130 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<1>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0__rt_renamed_131 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<0>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_11__rt_renamed_132 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<11>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_10__rt_renamed_133 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<10>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_9__rt_renamed_134 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<9>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8__rt_renamed_135 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<8>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7__rt_renamed_136 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<7>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6__rt_renamed_137 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<6>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5__rt_renamed_138 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<5>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4__rt_renamed_139 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<4>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3__rt_renamed_140 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<3>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2__rt_renamed_141 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<2>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1__rt_renamed_142 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<1>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0__rt_renamed_143 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<0>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8__rt_renamed_144 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<8>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7__rt_renamed_145 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<7>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6__rt_renamed_146 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<6>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5__rt_renamed_147 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<5>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4__rt_renamed_148 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<4>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3__rt_renamed_149 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<3>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2__rt_renamed_150 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<2>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1__rt_renamed_151 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<1>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0__rt_renamed_152 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<0>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8__rt_renamed_153 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<8>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7__rt_renamed_154 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<7>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6__rt_renamed_155 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<6>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5__rt_renamed_156 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<5>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4__rt_renamed_157 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<4>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3__rt_renamed_158 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<3>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2__rt_renamed_159 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<2>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1__rt_renamed_160 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<1>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0__rt_renamed_161 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<0>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_7__rt_renamed_162 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<7>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_6__rt_renamed_163 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<6>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_5__rt_renamed_164 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<5>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_4__rt_renamed_165 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<4>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_3__rt_renamed_166 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<3>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_2__rt_renamed_167 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<2>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_1__rt_renamed_168 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<1>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_0__rt_renamed_169 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<0>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_7__rt_renamed_170 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<7>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_6__rt_renamed_171 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<6>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_5__rt_renamed_172 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<5>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_4__rt_renamed_173 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<4>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_3__rt_renamed_174 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<3>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_2__rt_renamed_175 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<2>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_1__rt_renamed_176 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<1>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_0__rt_renamed_177 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<0>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_0__rt_renamed_178 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<0>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_1__rt_renamed_179 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<1>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_7__rt_renamed_180 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<7>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_6__rt_renamed_181 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<6>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_5__rt_renamed_182 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<5>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_4__rt_renamed_183 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<4>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_3__rt_renamed_184 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<3>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_2__rt_renamed_185 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<2>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_1__rt_renamed_186 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<1>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_0__rt_renamed_187 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<0>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_7__rt_renamed_188 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<7>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_6__rt_renamed_189 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<6>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_5__rt_renamed_190 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<5>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_4__rt_renamed_191 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<4>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_3__rt_renamed_192 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<3>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_2__rt_renamed_193 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<2>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_1__rt_renamed_194 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<1>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_0__rt_renamed_195 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<0>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_cy_11__rt_renamed_196 "f1/Mcount_rd_addr_cy<11>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_cy_10__rt_renamed_197 "f1/Mcount_rd_addr_cy<10>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_cy_9__rt_renamed_198 "f1/Mcount_rd_addr_cy<9>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_cy_8__rt_renamed_199 "f1/Mcount_rd_addr_cy<8>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_cy_7__rt_renamed_200 "f1/Mcount_rd_addr_cy<7>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_cy_6__rt_renamed_201 "f1/Mcount_rd_addr_cy<6>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_cy_5__rt_renamed_202 "f1/Mcount_rd_addr_cy<5>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_cy_4__rt_renamed_203 "f1/Mcount_rd_addr_cy<4>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_cy_3__rt_renamed_204 "f1/Mcount_rd_addr_cy<3>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_cy_2__rt_renamed_205 "f1/Mcount_rd_addr_cy<2>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_cy_1__rt_renamed_206 "f1/Mcount_rd_addr_cy<1>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_cy_11__rt_renamed_207 "f1/Mcount_wr_addr_cy<11>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_cy_10__rt_renamed_208 "f1/Mcount_wr_addr_cy<10>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_cy_9__rt_renamed_209 "f1/Mcount_wr_addr_cy<9>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_cy_8__rt_renamed_210 "f1/Mcount_wr_addr_cy<8>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_cy_7__rt_renamed_211 "f1/Mcount_wr_addr_cy<7>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_cy_6__rt_renamed_212 "f1/Mcount_wr_addr_cy<6>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_cy_5__rt_renamed_213 "f1/Mcount_wr_addr_cy<5>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_cy_4__rt_renamed_214 "f1/Mcount_wr_addr_cy<4>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_cy_3__rt_renamed_215 "f1/Mcount_wr_addr_cy<3>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_cy_2__rt_renamed_216 "f1/Mcount_wr_addr_cy<2>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_cy_1__rt_renamed_217 "f1/Mcount_wr_addr_cy<1>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_cy_1__rt_renamed_218 "f1/Msub_dont_write_past_me_cy<1>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_cy_0__rt_renamed_219 "f1/Msub_dont_write_past_me_cy<0>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_cy_11__rt_renamed_220 "f0/Mcount_rd_addr_cy<11>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_cy_10__rt_renamed_221 "f0/Mcount_rd_addr_cy<10>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_cy_9__rt_renamed_222 "f0/Mcount_rd_addr_cy<9>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_cy_8__rt_renamed_223 "f0/Mcount_rd_addr_cy<8>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_cy_7__rt_renamed_224 "f0/Mcount_rd_addr_cy<7>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_cy_6__rt_renamed_225 "f0/Mcount_rd_addr_cy<6>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_cy_5__rt_renamed_226 "f0/Mcount_rd_addr_cy<5>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_cy_4__rt_renamed_227 "f0/Mcount_rd_addr_cy<4>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_cy_3__rt_renamed_228 "f0/Mcount_rd_addr_cy<3>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_cy_2__rt_renamed_229 "f0/Mcount_rd_addr_cy<2>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_cy_1__rt_renamed_230 "f0/Mcount_rd_addr_cy<1>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_cy_11__rt_renamed_231 "f0/Mcount_wr_addr_cy<11>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_cy_10__rt_renamed_232 "f0/Mcount_wr_addr_cy<10>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_cy_9__rt_renamed_233 "f0/Mcount_wr_addr_cy<9>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_cy_8__rt_renamed_234 "f0/Mcount_wr_addr_cy<8>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_cy_7__rt_renamed_235 "f0/Mcount_wr_addr_cy<7>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_cy_6__rt_renamed_236 "f0/Mcount_wr_addr_cy<6>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_cy_5__rt_renamed_237 "f0/Mcount_wr_addr_cy<5>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_cy_4__rt_renamed_238 "f0/Mcount_wr_addr_cy<4>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_cy_3__rt_renamed_239 "f0/Mcount_wr_addr_cy<3>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_cy_2__rt_renamed_240 "f0/Mcount_wr_addr_cy<2>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_cy_1__rt_renamed_241 "f0/Mcount_wr_addr_cy<1>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_cy_1__rt_renamed_242 "f0/Msub_dont_write_past_me_cy<1>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_cy_0__rt_renamed_243 "f0/Msub_dont_write_past_me_cy<0>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_12__rt_renamed_244 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<12>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_12__rt_renamed_245 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<12>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_9__rt_renamed_246 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<9>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_9__rt_renamed_247 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<9>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_8__rt_renamed_248 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_xor<8>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_8__rt_renamed_249 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_xor<8>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_8__rt_renamed_250 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_xor<8>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_8__rt_renamed_251 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_xor<8>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_xor_12__rt_renamed_252 "f1/Mcount_rd_addr_xor<12>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_xor_12__rt_renamed_253 "f1/Mcount_wr_addr_xor<12>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_xor_12__rt_renamed_254 "f0/Mcount_rd_addr_xor<12>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_xor_12__rt_renamed_255 "f0/Mcount_wr_addr_xor<12>_rt") + (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_wr_one_renamed_256 "slave_fifo32/wr_one") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_slrd_renamed_257 "slave_fifo32/slrd") + (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_rd_one_rstpot "slave_fifo32/rd_one_rstpot") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_empty_reg_renamed_258 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/empty_reg") + (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_1_renamed_259 "slave_fifo32/sloe_1") + (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_FRB_renamed_260 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr1_FRB_renamed_261 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr2_FRB_renamed_262 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr3_FRB_renamed_263 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr3_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr4_FRB_renamed_264 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr4_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr5_FRB_renamed_265 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr5_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr6_FRB_renamed_266 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr6_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr7_FRB_renamed_267 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr7_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr8_FRB_renamed_268 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr8_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr9_FRB_renamed_269 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr9_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr10_FRB_renamed_270 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr10_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr11_FRB_renamed_271 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr11_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr12_FRB_renamed_272 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr12_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_FRB_renamed_273 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_1__FRB_renamed_274 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<1>_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_2__FRB_renamed_275 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<2>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_3__FRB_renamed_276 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<3>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_4__FRB_renamed_277 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<4>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_5__FRB_renamed_278 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<5>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_6__FRB_renamed_279 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<6>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_7__FRB_renamed_280 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<7>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_8__FRB_renamed_281 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<8>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_9__FRB_renamed_282 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<9>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_10__FRB_renamed_283 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<10>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_11__FRB_renamed_284 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<11>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_12__FRB_renamed_285 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<12>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_0__FRB_renamed_286 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<0>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr1_FRB_renamed_287 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr2_FRB_renamed_288 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr3_FRB_renamed_289 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr3_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr4_FRB_renamed_290 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr4_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr5_FRB_renamed_291 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr5_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr6_FRB_renamed_292 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr6_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr7_FRB_renamed_293 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr7_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr8_FRB_renamed_294 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr8_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr9_FRB_renamed_295 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr9_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr10_FRB_renamed_296 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr10_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr11_FRB_renamed_297 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr11_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr12_FRB_renamed_298 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr12_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_FRB_renamed_299 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr1_FRB_renamed_300 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr2_FRB_renamed_301 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr3_FRB_renamed_302 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr3_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr4_FRB_renamed_303 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr4_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr5_FRB_renamed_304 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr5_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr6_FRB_renamed_305 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr6_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr7_FRB_renamed_306 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr7_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr8_FRB_renamed_307 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr8_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr9_FRB_renamed_308 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr9_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_FRB_renamed_309 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr1_FRB_renamed_310 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr2_FRB_renamed_311 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr3_FRB_renamed_312 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr3_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr4_FRB_renamed_313 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr4_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr5_FRB_renamed_314 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr5_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr6_FRB_renamed_315 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr6_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr7_FRB_renamed_316 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr7_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr8_FRB_renamed_317 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr8_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr9_FRB_renamed_318 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr9_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_FRB_renamed_319 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr1_FRB_renamed_320 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr2_FRB_renamed_321 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr3_FRB_renamed_322 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr3_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr4_FRB_renamed_323 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr4_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr5_FRB_renamed_324 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr5_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr6_FRB_renamed_325 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr6_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr7_FRB_renamed_326 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr7_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr8_FRB_renamed_327 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr8_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_FRB_renamed_328 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr1_FRB_renamed_329 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr2_FRB_renamed_330 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr3_FRB_renamed_331 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr3_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr4_FRB_renamed_332 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr4_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr5_FRB_renamed_333 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr5_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr6_FRB_renamed_334 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr6_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr7_FRB_renamed_335 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr7_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr8_FRB_renamed_336 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr8_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Result_0_2_FRB_renamed_337 "f1/Result<0>2_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Result_1_2_FRB_renamed_338 "f1/Result<1>2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Result_2_2_FRB_renamed_339 "f1/Result<2>2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Result_3_2_FRB_renamed_340 "f1/Result<3>2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Result_4_2_FRB_renamed_341 "f1/Result<4>2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Result_5_2_FRB_renamed_342 "f1/Result<5>2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Result_6_2_FRB_renamed_343 "f1/Result<6>2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Result_7_2_FRB_renamed_344 "f1/Result<7>2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Result_8_2_FRB_renamed_345 "f1/Result<8>2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Result_9_2_FRB_renamed_346 "f1/Result<9>2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Result_10_2_FRB_renamed_347 "f1/Result<10>2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Result_11_2_FRB_renamed_348 "f1/Result<11>2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Result_12_2_FRB_renamed_349 "f1/Result<12>2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Result_0_1_FRB_renamed_350 "f1/Result<0>1_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Result_1_1_FRB_renamed_351 "f1/Result<1>1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Result_2_1_FRB_renamed_352 "f1/Result<2>1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Result_3_1_FRB_renamed_353 "f1/Result<3>1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Result_4_1_FRB_renamed_354 "f1/Result<4>1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Result_5_1_FRB_renamed_355 "f1/Result<5>1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Result_6_1_FRB_renamed_356 "f1/Result<6>1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Result_7_1_FRB_renamed_357 "f1/Result<7>1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Result_8_1_FRB_renamed_358 "f1/Result<8>1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Result_9_1_FRB_renamed_359 "f1/Result<9>1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Result_10_1_FRB_renamed_360 "f1/Result<10>1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Result_11_1_FRB_renamed_361 "f1/Result<11>1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Result_12_1_FRB_renamed_362 "f1/Result<12>1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_dont_write_past_me_0__FRB_renamed_363 "f1/dont_write_past_me<0>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_dont_write_past_me_1__FRB_renamed_364 "f1/dont_write_past_me<1>_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_dont_write_past_me_2__FRB_renamed_365 "f1/dont_write_past_me<2>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_dont_write_past_me_3__FRB_renamed_366 "f1/dont_write_past_me<3>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_dont_write_past_me_4__FRB_renamed_367 "f1/dont_write_past_me<4>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_dont_write_past_me_5__FRB_renamed_368 "f1/dont_write_past_me<5>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_dont_write_past_me_6__FRB_renamed_369 "f1/dont_write_past_me<6>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_dont_write_past_me_7__FRB_renamed_370 "f1/dont_write_past_me<7>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_dont_write_past_me_8__FRB_renamed_371 "f1/dont_write_past_me<8>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_dont_write_past_me_9__FRB_renamed_372 "f1/dont_write_past_me<9>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_dont_write_past_me_10__FRB_renamed_373 "f1/dont_write_past_me<10>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_dont_write_past_me_11__FRB_renamed_374 "f1/dont_write_past_me<11>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_dont_write_past_me_12__FRB_renamed_375 "f1/dont_write_past_me<12>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Result_0_2_FRB_renamed_376 "f0/Result<0>2_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Result_1_2_FRB_renamed_377 "f0/Result<1>2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Result_2_2_FRB_renamed_378 "f0/Result<2>2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Result_3_2_FRB_renamed_379 "f0/Result<3>2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Result_4_2_FRB_renamed_380 "f0/Result<4>2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Result_5_2_FRB_renamed_381 "f0/Result<5>2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Result_6_2_FRB_renamed_382 "f0/Result<6>2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Result_7_2_FRB_renamed_383 "f0/Result<7>2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Result_8_2_FRB_renamed_384 "f0/Result<8>2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Result_9_2_FRB_renamed_385 "f0/Result<9>2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Result_10_2_FRB_renamed_386 "f0/Result<10>2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Result_11_2_FRB_renamed_387 "f0/Result<11>2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Result_12_2_FRB_renamed_388 "f0/Result<12>2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Result_0_1_FRB_renamed_389 "f0/Result<0>1_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Result_1_1_FRB_renamed_390 "f0/Result<1>1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Result_2_1_FRB_renamed_391 "f0/Result<2>1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Result_3_1_FRB_renamed_392 "f0/Result<3>1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Result_4_1_FRB_renamed_393 "f0/Result<4>1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Result_5_1_FRB_renamed_394 "f0/Result<5>1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Result_6_1_FRB_renamed_395 "f0/Result<6>1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Result_7_1_FRB_renamed_396 "f0/Result<7>1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Result_8_1_FRB_renamed_397 "f0/Result<8>1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Result_9_1_FRB_renamed_398 "f0/Result<9>1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Result_10_1_FRB_renamed_399 "f0/Result<10>1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Result_11_1_FRB_renamed_400 "f0/Result<11>1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Result_12_1_FRB_renamed_401 "f0/Result<12>1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_dont_write_past_me_0__FRB_renamed_402 "f0/dont_write_past_me<0>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_dont_write_past_me_1__FRB_renamed_403 "f0/dont_write_past_me<1>_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_dont_write_past_me_2__FRB_renamed_404 "f0/dont_write_past_me<2>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_dont_write_past_me_3__FRB_renamed_405 "f0/dont_write_past_me<3>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_dont_write_past_me_4__FRB_renamed_406 "f0/dont_write_past_me<4>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_dont_write_past_me_5__FRB_renamed_407 "f0/dont_write_past_me<5>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_dont_write_past_me_6__FRB_renamed_408 "f0/dont_write_past_me<6>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_dont_write_past_me_7__FRB_renamed_409 "f0/dont_write_past_me<7>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_dont_write_past_me_8__FRB_renamed_410 "f0/dont_write_past_me<8>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_dont_write_past_me_9__FRB_renamed_411 "f0/dont_write_past_me<9>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_dont_write_past_me_10__FRB_renamed_412 "f0/dont_write_past_me<10>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_dont_write_past_me_11__FRB_renamed_413 "f0/dont_write_past_me<11>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_dont_write_past_me_12__FRB_renamed_414 "f0/dont_write_past_me<12>_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT3111") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "55555504FFFFFF5D") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT3111_SW0") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___32___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT3111_SW0") (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111_SW1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT3111_SW1") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___32___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT3111_SW0") (owner "Xilinx")) + (property INIT (string "F110") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT3111") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0303CFCF0203DFCF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_2_1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut<2>1") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A9AAA9A9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_3_1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut<3>1") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A9AAA9A9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT81_SW0") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "56555656") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8212_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT8212_SW0") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___124___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT8212_SW0") (owner "Xilinx")) + (property INIT (string "6") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT81") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "CCCCCCCCF50A05FA") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_terror5_SW1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFEFFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_terror21") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFFFFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror7_SW1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFFFFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror51") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFFFFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_space_xor<3>111") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "EFEFEFEEEEEEEEEE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_space_xor<3>111") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "EFEFEFEEEEEEEEEE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT71_SW0") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0000000000000001") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT71") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "54A855AA55AA55AA") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Msub_num_packets[7]_GND_55_o_sub_15_OUT_cy<6>11_SW0") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_o_tvalid11 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_o_tvalid11") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0000FFFF0000FEFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Msub_num_packets[7]_GND_65_o_sub_15_OUT_cy<6>11_SW0") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tvalid11 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_o_tvalid11") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "5555555555545555") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW3 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror7_SW3") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid61 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_tvalid61") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFF0001FFFE0000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int16 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_i_tvalid_int16") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "F0E4D8CC00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT531") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___38___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut<2>1") (owner "Xilinx")) + (property INIT (string "A8EA") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv1_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/_n0074_inv1_SW0") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv2_renamed_415 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/_n0074_inv2") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0000000023003300") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_9_11 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_xor<9>11") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "AAAAAAB9AAAAAAA8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_4_1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut<4>1") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A9AAA9A9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full621 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/becoming_full621") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFEFEFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full611 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/becoming_full611") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0000000100010001") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full621") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFEFEFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full621") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFEFEFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full611 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/becoming_full611") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0000000100010001") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT71") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0EE00FF00FF00FF0") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tvalid_int13_SW0") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0021FFFF00FFFFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tvalid_int16") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "00F7000000F7F7F7") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror51_SW0") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FB") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0000FFFB0004FFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut<5>1") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A9AAA9A9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0121211 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n0121211") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "8282414141418228") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212211 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n01212211") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01212211 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01212211") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "8020401008020401") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0121211 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n0121211") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "8282414141418228") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01211_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01211_SW0") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFF05FF04FF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211_renamed_416 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT8211") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0001FFFF00007FFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_i_tvalid_int14_SW0") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FF55FF01FF55FF55") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_i_tvalid_int14_SW1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FF55FF00FF55FF54") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst_renamed_417 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/empty_glue_rst") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FBFBFBFFFB00FB00") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst_renamed_418 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/empty_glue_rst") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FBFBFBFFFB00FB00") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/_n0074_inv6_SW1") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "AABAAAAA") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/_n0074_inv6") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "4000FBFF4400FFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT531_SW0") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___1___slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT531_SW0") (owner "Xilinx")) + (property INIT (string "FFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531_SW1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT531_SW1") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___1___slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT531_SW0") (owner "Xilinx")) + (property INIT (string "8000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT531") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFB0400FFFA0500") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT73") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FF00FFE8FF17FFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT73_SW0") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "5599665556955695") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT73") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFF0000FFFF1000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0102_SW1 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/_n0102_SW1") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___27___slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/_n0123_inv_SW0") (owner "Xilinx")) + (property INIT (string "80") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full_glue_set_renamed_419 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/full_glue_set") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8A8FDA8A8A8A8A8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0102_SW1 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/_n0102_SW1") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___26___slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/_n0123_inv_SW0") (owner "Xilinx")) + (property INIT (string "80") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full_glue_set_renamed_420 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/full_glue_set") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8A8FDA8A8A8A8A8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_space_xor<3>111_SW0") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFFFFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/empty_glue_rst_SW0") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1111000111111111") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_space_xor<3>111_SW0") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFFFFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/empty_glue_rst_SW0") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1111000111111111") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11_SW1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Msub_num_packets[7]_GND_65_o_sub_15_OUT_cy<6>11_SW1") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tready_int11 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_o_tready_int11") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "3333333333323333") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror51_SW2") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0000000100000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tlast1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_tlast1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0C0C0C0C0C0D0C0C") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_terror21_SW0") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0001") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror11 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_terror11") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0404040404040504") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21_SW1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_terror21_SW1") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "01") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_tlast1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_tlast1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0C0C0C0C0C0C0D0C") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01217_SW0 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n01217_SW0") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A521") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n012110_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n012110_SW0") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "00008400") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg_glue_set_renamed_421 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/full_reg_glue_set") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFF008C008C008C") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT31") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E1E1E1E10FF0F00F") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT52") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A9A9A9A9AA5555AA") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump_glue_set_renamed_422 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/dump_glue_set") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "00400000AAEAAAAA") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tvalid_int16_SW0") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "EEEEFEEE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/_n0074_inv6_SW0") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFBF8FFFFFFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_cy "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/full_reg_glue_set_cy") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_cy1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/full_reg_glue_set_cy1") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT81") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "F0F0F0F08877EE11") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01216_SW0") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFDBFDDBFDFFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01216_SW1") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___43___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/becoming_full1021") (owner "Xilinx")) + (property INIT (string "EFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01216_SW2") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FCBFFBEFFC7FF7DF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_renamed_423 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01216") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "350035F0") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In12_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd2-In12_SW0") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___46___slave_fifo32/fifo64_to_gpmc32_tx/checker/_n0131_inv1") (owner "Xilinx")) + (property INIT (string "D") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In13 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd2-In13") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "AA3B8819AA2A8808") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In12_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd2-In12_SW0") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___47___slave_fifo32/fifo64_to_gpmc32_ctrl/cross_clock_fifo/read1") (owner "Xilinx")) + (property INIT (string "D") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In13 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd2-In13") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "AA3B8819AA2A8808") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_9_11 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_xor<9>11") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "AAAAAAB9AAAAAAA8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o10_SW1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o10") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "8000000000000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_space_xor_3_111 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_space_xor<3>111") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___29___slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_space_xor<3>111") (owner "Xilinx")) + (property INIT (string "FFAEFFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_space_xor_3_111 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_space_xor<3>111") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___28___slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_space_xor<3>111") (owner "Xilinx")) + (property INIT (string "FFAEFFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212111 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01212111") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212111 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01212111") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full621 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/becoming_full621") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFEFEFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012111_renamed_424 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n012111") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2002000000002002") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012111_renamed_425 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n012111") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2002000000002002") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT511_SW0") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___35___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT511_SW0") (owner "Xilinx")) + (property INIT (string "9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT21 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT21") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "AAAAAAAAA9AAAAAA") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT6_SW1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "01FE00FF00FF807F") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT6") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "AAAA8AAAAAAABAAA") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut<5>1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "999A999999959999") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2-In1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "5140514055555140") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2-In1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "5140514055555140") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg_glue_set_renamed_426 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/full_reg_glue_set") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "4C4CFF4C4C4C4C4C") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tvalid11 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_o_tvalid11") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___171___slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_o_tvalid11") (owner "Xilinx")) + (property INIT (string "C8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_o_tvalid11 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_o_tvalid11") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___169___slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_o_tvalid11") (owner "Xilinx")) + (property INIT (string "C8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01217_renamed_427 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01217") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0080000000000080") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01217_renamed_428 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01217") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0080000000000080") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv31 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n0129_inv31") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___14___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n0129_inv31") (owner "Xilinx")) + (property INIT (string "4500") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv31 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n0129_inv31") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___12___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n0129_inv31") (owner "Xilinx")) + (property INIT (string "4500") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01213_renamed_429 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01213") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9090900000900000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01213_renamed_430 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01213") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9090900000900000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_rstpot "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/empty_reg_rstpot") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFF0FFFFFF80FF80") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT21 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT21") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9996") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01218_SW0") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "7") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_renamed_431 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01218") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "4141414141411441") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump_glue_set_renamed_432 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/dump_glue_set") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "00400000AAEAAAAA") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1_SW1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror1_SW1") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___118___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd2-In11") (owner "Xilinx")) + (property INIT (string "04") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets_0") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int16_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_i_tvalid_int16_SW0") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "EFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT511") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFF0D2F087F") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW2 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/_n0074_inv6_SW2") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0_rstpot_renamed_433 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets_0_rstpot") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "6AAA595566AA5555") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_full_reg_glue_set_renamed_434 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/full_reg_glue_set") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___120___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/full_reg_glue_set") (owner "Xilinx")) + (property INIT (string "FFA2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_full_reg_glue_set_renamed_435 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/full_reg_glue_set") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___119___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/full_reg_glue_set") (owner "Xilinx")) + (property INIT (string "FFA2") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0_cy "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2-In1_SW0_cy") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11_SW1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Msub_num_packets[7]_GND_55_o_sub_15_OUT_cy<6>11_SW1") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "01") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0_lut_renamed_436 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2-In1_SW0_lut") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1111111011111111") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_FRB_renamed_437 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr1_FRB_renamed_438 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr2_FRB_renamed_439 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr3_FRB_renamed_440 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr3_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr4_FRB_renamed_441 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr4_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr5_FRB_renamed_442 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr5_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr6_FRB_renamed_443 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr6_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr7_FRB_renamed_444 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr7_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr8_FRB_renamed_445 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr8_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_FRB_renamed_446 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr1_FRB_renamed_447 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr1_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr2_FRB_renamed_448 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr2_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr3_FRB_renamed_449 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr3_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr4_FRB_renamed_450 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr4_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr5_FRB_renamed_451 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr5_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr6_FRB_renamed_452 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr6_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr7_FRB_renamed_453 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr7_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr8_FRB_renamed_454 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr8_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full421_FRB_renamed_455 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full421_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full411_FRB_renamed_456 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full411_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full421_FRB_renamed_457 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full421_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full411_FRB_renamed_458 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full411_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0_FRB_renamed_459 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Msub_dont_write_past_me_xor<8>1_SW0_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0_FRB_renamed_460 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Msub_dont_write_past_me_xor<8>1_SW0_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621_FRB_renamed_461 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full621_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621_FRB_renamed_462 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full621_FRB") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_SW0_FRB_renamed_463 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01218_SW0_FRB") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_12_BRB0_renamed_464 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_12_BRB0") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_12_BRB1_renamed_465 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_12_BRB1") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_13_BRB1_renamed_466 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_13_BRB1") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_14_BRB1_renamed_467 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_14_BRB1") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15_BRB1_renamed_468 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_15_BRB1") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_12_BRB0_renamed_469 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_12_BRB0") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_12_BRB1_renamed_470 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_12_BRB1") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_13_BRB1_renamed_471 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_13_BRB1") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_14_BRB1_renamed_472 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_14_BRB1") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15_BRB1_renamed_473 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_15_BRB1") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd2_BRB0_renamed_474 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/read_state_FSM_FFd2_BRB0") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd2_BRB1_renamed_475 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/read_state_FSM_FFd2_BRB1") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd2_BRB0_renamed_476 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/read_state_FSM_FFd2_BRB0") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd2_BRB1_renamed_477 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/read_state_FSM_FFd2_BRB1") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB0_renamed_478 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB0") + (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB1_renamed_479 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB1") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB2_renamed_480 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB2") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB3_renamed_481 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB3") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB4_renamed_482 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB4") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB5_renamed_483 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB5") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB0_renamed_484 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB0") + (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB1_renamed_485 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB1") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB2_renamed_486 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB2") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB3_renamed_487 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB3") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB4_renamed_488 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB4") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB5_renamed_489 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB5") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_11_BRB1_renamed_490 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_11_BRB1") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_11_BRB1_renamed_491 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_11_BRB1") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_10_BRB1_renamed_492 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_10_BRB1") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_10_BRB1_renamed_493 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_10_BRB1") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_9_BRB1_renamed_494 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_9_BRB1") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_9_BRB1_renamed_495 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_9_BRB1") + (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug1_17_BRB0_renamed_496 "slave_fifo32/debug1_17_BRB0") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_debug1_16_BRB0_renamed_497 "slave_fifo32/debug1_16_BRB0") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_rd_one_BRB0_renamed_498 "slave_fifo32/rd_one_BRB0") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_rd_one_BRB1_renamed_499 "slave_fifo32/rd_one_BRB1") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012112_renamed_500 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n012112") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "8822228C80202084") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012112_renamed_501 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n012112") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "8822228C80202084") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB1_renamed_502 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/empty_reg_BRB1") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB3_renamed_503 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/empty_reg_BRB3") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB4_renamed_504 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/empty_reg_BRB4") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv6_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/_n0074_inv6_SW0") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___124___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT8212_SW0") (owner "Xilinx")) + (property INIT (string "EEEF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv6 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/_n0074_inv6") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFF0C080C0C0C0C") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_write1 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/write1") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___16___slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/write1") (owner "Xilinx")) + (property INIT (string "5400") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_write1 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/write1") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___15___slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/write1") (owner "Xilinx")) + (property INIT (string "5400") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut1_renamed_505 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/full_reg_glue_set_lut1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFF1110FFFFFFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_GND_56_o_read_OR_123_o1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/GND_56_o_read_OR_123_o1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "11101110FFFF1110") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_clear_inv1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/clear_inv1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFFFFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW0 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o10_SW0") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut_renamed_506 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/full_reg_glue_set_lut") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0000FAFB00000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo__n0146_inv1 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/_n0146_inv1") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___13___slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/_n0146_inv1") (owner "Xilinx")) + (property INIT (string "2E22") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0146_inv1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n0146_inv1") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___10___slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n0146_inv1") (owner "Xilinx")) + (property INIT (string "2E22") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo__n0146_inv1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/_n0146_inv1") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFB8FF88") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt__n0074_inv1 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/_n0074_inv1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "C60ACC000A0A0000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt__n0074_inv1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/_n0074_inv1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "C60ACC000A0A0000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_GND_56_o_read_OR_123_o1 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/GND_56_o_read_OR_123_o1") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___171___slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_o_tvalid11") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_GND_66_o_read_OR_144_o1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/GND_66_o_read_OR_144_o1") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___169___slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_o_tvalid11") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename f1__n0161_inv1_lut_renamed_507 "f1/_n0161_inv1_lut") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename f1__n0161_inv1_cy "f1/_n0161_inv1_cy") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1__n0161_inv1_lut1_renamed_508 "f1/_n0161_inv1_lut1") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "D") (owner "Xilinx")) + ) + (instance (rename f1__n0161_inv1_cy1 "f1/_n0161_inv1_cy1") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0__n0161_inv1_lut_renamed_509 "f0/_n0161_inv1_lut") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename f0__n0161_inv1_cy "f0/_n0161_inv1_cy") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0__n0161_inv1_lut1_renamed_510 "f0/_n0161_inv1_lut1") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "D") (owner "Xilinx")) + ) + (instance (rename f0__n0161_inv1_cy1 "f0/_n0161_inv1_cy1") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror7_SW2") + (viewRef view_1 (cellRef MUXF7 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_F "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror7_SW2_F") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFFFFFD") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_G "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror7_SW2_G") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n01213_SW0") + (viewRef view_1 (cellRef MUXF7 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT72_SW0") + (viewRef view_1 (cellRef MUXF7 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_F "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT72_SW0_F") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFEFFFFFFFFFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_G "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT72_SW0_G") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "EEFFFEFFFFFFFFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT72_SW1") + (viewRef view_1 (cellRef MUXF7 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_F "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT72_SW1_F") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "EEFFEFFFFFFFFFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_G "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT72_SW1_G") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFEFFFFFFFFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror51_SW1") + (viewRef view_1 (cellRef MUXF7 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1_F "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror51_SW1_F") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFAAAAFFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1_G "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror51_SW1_G") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FB") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_empty_reg_rstpot_renamed_511 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/empty_reg_rstpot") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___0___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/empty_reg_rstpot") (owner "Xilinx")) + (property INIT (string "FFFF7222") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_wr_one_rstpot_renamed_512 "slave_fifo32/wr_one_rstpot") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___48___slave_fifo32/_n0230_inv1") (owner "Xilinx")) + (property INIT (string "EEAAA2AA") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_state_glue_set_renamed_513 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/state_glue_set") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___16___slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/write1") (owner "Xilinx")) + (property INIT (string "A2A6") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_state_glue_set_renamed_514 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/state_glue_set") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___15___slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/write1") (owner "Xilinx")) + (property INIT (string "A2A6") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst_SW0 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/empty_glue_rst_SW0") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFFFFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst_SW0 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/empty_glue_rst_SW0") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFFFFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_slrd_rstpot_SW0 "slave_fifo32/slrd_rstpot_SW0") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_slrd_rstpot_renamed_515 "slave_fifo32/slrd_rstpot") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "AA2AAAFAAA2AFAFA") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_renamed_516 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n01212") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "00000000DD09C000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT31") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E178E1E1E1E1E1E1") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT31") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E178E1E1E1E1E1E1") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01215_renamed_517 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01215") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0220000000000220") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01215_renamed_518 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01215") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0220000000000220") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_GND_50_o_read_OR_57_o1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/GND_50_o_read_OR_57_o1") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2272") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_GND_50_o_read_OR_57_o1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/GND_50_o_read_OR_57_o1") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "2272") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT8211") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFF7FFFFFFFFFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT21 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT21") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___22___slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT511") (owner "Xilinx")) + (property INIT (string "BF4040BF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT8211") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFF7FFFFFFFFFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT21 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT21") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___25___slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT511") (owner "Xilinx")) + (property INIT (string "BF4040BF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01218_renamed_519 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01218") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___116___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full921") (owner "Xilinx")) + (property INIT (string "0440") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01218_renamed_520 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01218") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___114___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full921") (owner "Xilinx")) + (property INIT (string "0440") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT81_SW1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "AAAAAAAAAAAAAAA9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8212_SW1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT8212_SW1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "AAAAAAAAAAAAAAA9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT511_SW0") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0000000000000001") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_clear_inv1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/clear_inv1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFFFFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_write1 "slave_fifo32/fifo64_to_gpmc32_tx/cross_clock_fifo/write1") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___120___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/full_reg_glue_set") (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_write1 "slave_fifo32/fifo64_to_gpmc32_ctrl/cross_clock_fifo/write1") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___119___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/full_reg_glue_set") (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_inv1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/empty_reg_inv1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0155115501111111") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_0__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<0>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_0__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<0>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_1__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<1>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_1__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<1>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_2__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<2>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_2__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<2>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7_SW0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT7_SW0") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___3___slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT7_SW0") (owner "Xilinx")) + (property INIT (string "FFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7_SW0 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT7_SW0") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___2___slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT7_SW0") (owner "Xilinx")) + (property INIT (string "FFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT6_SW0") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "AAAAAAAAAAAAAAA9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT4_SW0") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___126___slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/clear_dump_OR_131_o_SW0") (owner "Xilinx")) + (property INIT (string "CCC9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT6_SW0") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "AAAAAAAAAAAAAAA9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT4_SW0") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___35___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT511_SW0") (owner "Xilinx")) + (property INIT (string "CCC9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_3__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<3>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_3__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<3>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_4__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<4>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_4__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<4>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_5__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<5>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_5__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<5>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_6__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<6>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_6__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<6>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_7__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<7>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_7__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<7>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_8__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<8>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_8__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<8>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_9__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<9>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_9__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<9>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_10__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<10>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_10__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<10>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_11__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<11>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_11__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<11>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_12__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<12>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_12__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<12>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_13__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<13>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_13__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<13>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_14__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<14>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_14__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<14>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_write1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/write1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0000000100000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_write1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/write1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0001000000000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_15__ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<15>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_15__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<15>") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "1B") (owner "Xilinx")) + ) + (instance (rename f1_GND_14_o_read_OR_37_o1 "f1/GND_14_o_read_OR_37_o1") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___31___f1/GND_14_o_read_OR_37_o1") (owner "Xilinx")) + (property INIT (string "72") (owner "Xilinx")) + ) + (instance (rename f0_GND_14_o_read_OR_37_o1 "f0/GND_14_o_read_OR_37_o1") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___30___f0/GND_14_o_read_OR_37_o1") (owner "Xilinx")) + (property INIT (string "72") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_write1 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/write1") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___180___slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/write1") (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_write1 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/write1") + (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___179___slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/write1") (owner "Xilinx")) + (property INIT (string "4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51_renamed_521 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT51") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "AAAA9AAAA6A696A6") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_In111 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd1-In111") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___13___slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/_n0146_inv1") (owner "Xilinx")) + (property INIT (string "7F2A") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51_renamed_522 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT51") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "AAAA9AAAA6A696A6") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_In111 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd1-In111") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___10___slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n0146_inv1") (owner "Xilinx")) + (property INIT (string "7F2A") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_full_reg_glue_set_renamed_523 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/full_reg_glue_set") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___45___slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/write1") (owner "Xilinx")) + (property INIT (string "5540FFC0") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_ctrl_rx_tvalid_data_rx_tvalid_OR_56_o1 "slave_fifo32/ctrl_rx_tvalid_data_rx_tvalid_OR_56_o1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A8A8A88820202000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT81") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FF0040BFBF4000FF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT81") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FF0040BFBF4000FF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01216_SW0 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n01216_SW0") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFF6FFFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01217_renamed_524 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01217") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "999F999699999990") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<0>") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A6AAA6A6") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<0>") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A6AAA6A6") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<1>") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "59555959") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<1>") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "59555959") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<2>") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "59555959") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<2>") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "59555959") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<3>") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "59555959") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<3>") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "59555959") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<4>") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "59555959") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<4>") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "59555959") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<5>") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "59555959") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<5>") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "59555959") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<6>") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "59555959") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<6>") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "59555959") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<7>") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "59555959") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<7>") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "59555959") (owner "Xilinx")) + ) + (instance (rename f1_read_state_FSM_FFd1_In111 "f1/read_state_FSM_FFd1-In111") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___31___f1/GND_14_o_read_OR_37_o1") (owner "Xilinx")) + (property INIT (string "FDA8") (owner "Xilinx")) + ) + (instance (rename f0_read_state_FSM_FFd1_In111 "f0/read_state_FSM_FFd1-In111") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___30___f0/GND_14_o_read_OR_37_o1") (owner "Xilinx")) + (property INIT (string "FDA8") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0146_inv1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n0146_inv1") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___0___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/empty_reg_rstpot") (owner "Xilinx")) + (property INIT (string "FFFF8D88") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<8>") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "59555959") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<8>") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "59555959") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_GND_66_o_read_OR_144_o1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/GND_66_o_read_OR_144_o1") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___44___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2-In1_SW0") (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd1_In11 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/read_state_FSM_FFd1-In11") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___8___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/read_state_FSM_FFd1-In11") (owner "Xilinx")) + (property INIT (string "8A8ADF8A") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd1_In11 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/read_state_FSM_FFd1-In11") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___6___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/read_state_FSM_FFd1-In11") (owner "Xilinx")) + (property INIT (string "8A8ADF8A") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv_renamed_525 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/_n0123_inv") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0004FFFF00040004") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv_renamed_526 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/_n0123_inv") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0004FFFF00040004") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01215_SW0 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n01215_SW0") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___49___slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/becoming_full1011") (owner "Xilinx")) + (property INIT (string "9F") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01215_renamed_527 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n01215") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0020000002200200") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o9_SW1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "8421000000000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o9") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o9_SW1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "8421000000000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o9") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000000000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_state_glue_set_renamed_528 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/state_glue_set") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___28___slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_space_xor<3>111") (owner "Xilinx")) + (property INIT (string "A9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_state_glue_set_renamed_529 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/state_glue_set") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___29___slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_space_xor<3>111") (owner "Xilinx")) + (property INIT (string "A9") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0144_inv1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n0144_inv1") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___8___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/read_state_FSM_FFd1-In11") (owner "Xilinx")) + (property INIT (string "00440F44") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0144_inv1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n0144_inv1") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___6___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/read_state_FSM_FFd1-In11") (owner "Xilinx")) + (property INIT (string "00440F44") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_G "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n01213_SW0_G") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFF5455FFFF5657") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_SW1_SW0 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n01212_SW1_SW0") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___168___slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/becoming_full1021") (owner "Xilinx")) + (property INIT (string "EA") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_SW1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n01212_SW1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FF66FF69FFFFFFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set_SW1 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/full_glue_set_SW1") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___7___slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/_n0123_inv_SW0") (owner "Xilinx")) + (property INIT (string "FFFF7FFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set_renamed_530 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/full_glue_set") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "AA8AAA8AFFCFAA8A") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set_SW1 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/full_glue_set_SW1") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___5___slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/_n0123_inv_SW0") (owner "Xilinx")) + (property INIT (string "FFFF7FFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set_renamed_531 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/full_glue_set") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "AA8AAA8AFFCFAA8A") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o1_SW1 "slave_fifo32/fifo64_to_gpmc32_tx/GND_49_o_space[15]_LessThan_2_o1_SW1") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o1 "slave_fifo32/fifo64_to_gpmc32_tx/GND_49_o_space[15]_LessThan_2_o1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFF55555554") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o1_SW1 "slave_fifo32/fifo64_to_gpmc32_ctrl/GND_63_o_space[15]_LessThan_2_o1_SW1") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o1 "slave_fifo32/fifo64_to_gpmc32_ctrl/GND_63_o_space[15]_LessThan_2_o1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFF55555554") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT531 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT531") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___3___slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT7_SW0") (owner "Xilinx")) + (property INIT (string "8000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT531 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT531") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___2___slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT7_SW0") (owner "Xilinx")) + (property INIT (string "8000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_write_AND_42_o_inv2 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/read_write_AND_42_o_inv2") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "DFCF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_write_AND_42_o_inv2 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/read_write_AND_42_o_inv2") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "DFCF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41_renamed_532 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT41") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___36___slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT3111") (owner "Xilinx")) + (property INIT (string "9AAAAAA6") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41_renamed_533 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT41") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___34___slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT3111") (owner "Xilinx")) + (property INIT (string "9AAAAAA6") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_1_rstpot_renamed_534 "slave_fifo32/sloe_1_rstpot") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "AAAA2AAAAAAAFFAA") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst_renamed_535 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/empty_glue_rst") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FC55FC54FF55FF55") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst_renamed_536 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/empty_glue_rst") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FC55FC54FF55FF55") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd2-In1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "7FFF7F7F2AFF2A2A") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd2-In1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "7FFF7F7F2AFF2A2A") (owner "Xilinx")) + ) + (instance (rename f1_read_state_FSM_FFd2_In1 "f1/read_state_FSM_FFd2-In1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FDFDFDFFA8A8A8FF") (owner "Xilinx")) + ) + (instance (rename f0_read_state_FSM_FFd2_In1 "f0/read_state_FSM_FFd2-In1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FDFDFDFFA8A8A8FF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_F "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n01213_SW0_F") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "00FBFB0005FBFB05") (owner "Xilinx")) + ) + (instance (rename f1_full_reg_glue_set_renamed_537 "f1/full_reg_glue_set") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___123___f1/write11") (owner "Xilinx")) + (property INIT (string "F0FF4044") (owner "Xilinx")) + ) + (instance (rename f0_full_reg_glue_set_renamed_538 "f0/full_reg_glue_set") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___122___f0/write11") (owner "Xilinx")) + (property INIT (string "F0FF4044") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n0129_inv1") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___14___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n0129_inv31") (owner "Xilinx")) + (property INIT (string "FFFF4B44") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n0129_inv1") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property PK_HLUTNM (string "___XLNM___12___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n0129_inv31") (owner "Xilinx")) + (property INIT (string "FFFF4B44") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<15>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "BB4BBBBBBB4BBB4B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<15>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "BB4BBBBBBB4BBB4B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<9>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "BB4BBBBBBB4BBB4B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<9>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "BB4BBBBBBB4BBB4B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<10>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "BB4BBBBBBB4BBB4B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<10>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "BB4BBBBBBB4BBB4B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<11>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "BB4BBBBBBB4BBB4B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<11>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "BB4BBBBBBB4BBB4B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<12>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "BB4BBBBBBB4BBB4B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<12>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "BB4BBBBBBB4BBB4B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<13>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "BB4BBBBBBB4BBB4B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<13>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "BB4BBBBBBB4BBB4B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14__ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<14>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "BB4BBBBBBB4BBB4B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14__ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<14>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "BB4BBBBBBB4BBB4B") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_1_renamed_539 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd2_1") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_renamed_540 "slave_fifo32/sloe") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_rstpot_renamed_541 "slave_fifo32/sloe_rstpot") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_31_rstpot_renamed_542 "slave_fifo32/gpif_data_out_31_rstpot") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "E4") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_12__INV_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<12>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_11__INV_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<11>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_10__INV_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<10>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_9__INV_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<9>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_8__INV_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<8>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_7__INV_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<7>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_6__INV_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<6>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_5__INV_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<5>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_4__INV_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<4>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_3__INV_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<3>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_2__INV_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<2>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_2__INV_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<2>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_3__INV_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<3>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_4__INV_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<4>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_5__INV_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<5>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_6__INV_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<6>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_7__INV_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<7>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_8__INV_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<8>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_9__INV_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<9>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_10__INV_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<10>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_11__INV_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<11>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_12__INV_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<12>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_rd_addr_lut_0__INV_0 "f1/Mcount_rd_addr_lut<0>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Mcount_wr_addr_lut_0__INV_0 "f1/Mcount_wr_addr_lut<0>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_lut_12__INV_0 "f1/Msub_dont_write_past_me_lut<12>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_lut_11__INV_0 "f1/Msub_dont_write_past_me_lut<11>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_lut_10__INV_0 "f1/Msub_dont_write_past_me_lut<10>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_lut_9__INV_0 "f1/Msub_dont_write_past_me_lut<9>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_lut_8__INV_0 "f1/Msub_dont_write_past_me_lut<8>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_lut_7__INV_0 "f1/Msub_dont_write_past_me_lut<7>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_lut_6__INV_0 "f1/Msub_dont_write_past_me_lut<6>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_lut_5__INV_0 "f1/Msub_dont_write_past_me_lut<5>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_lut_4__INV_0 "f1/Msub_dont_write_past_me_lut<4>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_lut_3__INV_0 "f1/Msub_dont_write_past_me_lut<3>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f1_Msub_dont_write_past_me_lut_2__INV_0 "f1/Msub_dont_write_past_me_lut<2>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_rd_addr_lut_0__INV_0 "f0/Mcount_rd_addr_lut<0>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Mcount_wr_addr_lut_0__INV_0 "f0/Mcount_wr_addr_lut<0>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_lut_12__INV_0 "f0/Msub_dont_write_past_me_lut<12>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_lut_11__INV_0 "f0/Msub_dont_write_past_me_lut<11>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_lut_10__INV_0 "f0/Msub_dont_write_past_me_lut<10>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_lut_9__INV_0 "f0/Msub_dont_write_past_me_lut<9>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_lut_8__INV_0 "f0/Msub_dont_write_past_me_lut<8>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_lut_7__INV_0 "f0/Msub_dont_write_past_me_lut<7>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_lut_6__INV_0 "f0/Msub_dont_write_past_me_lut<6>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_lut_5__INV_0 "f0/Msub_dont_write_past_me_lut<5>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_lut_4__INV_0 "f0/Msub_dont_write_past_me_lut<4>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_lut_3__INV_0 "f0/Msub_dont_write_past_me_lut<3>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_Msub_dont_write_past_me_lut_2__INV_0 "f0/Msub_dont_write_past_me_lut<2>_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance gpif_clk_INV_4_o1_INV_0 + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_Mcount_fifoadr_xor_0_11_INV_0 "slave_fifo32/Mcount_fifoadr_xor<0>11_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename catcap_data_clk_INV_6_o1_INV_0 "catcap/data_clk_INV_6_o1_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_0_11_INV_0 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_a_xor<0>11_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_0_11_INV_0 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_a_xor<0>11_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT11_INV_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT11_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT11_INV_0 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT11_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_0_11_INV_0 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_a_xor<0>11_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_0__inv1_INV_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state<0>_inv1_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_o_tvalid1_INV_0 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/o_tvalid1_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_0_11_INV_0 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_a_xor<0>11_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_0__inv1_INV_0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state<0>_inv1_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename f0_i_tready1_INV_0 "f0/i_tready1_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT11_INV_0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT11_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4__inv_INV_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<4>_inv_INV_0") + (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_state_FSM_FFd1_In3_renamed_543 "slave_fifo32/state_FSM_FFd1-In3") + (viewRef view_1 (cellRef MUXF7 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_state_FSM_FFd1_In3_F "slave_fifo32/state_FSM_FFd1-In3_F") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "80808000") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_state_FSM_FFd1_In3_G "slave_fifo32/state_FSM_FFd1-In3_G") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "04155555FFFFFFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In14") + (viewRef view_1 (cellRef MUXF7 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_F "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In14_F") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "AAAA2A22FFAA7F22") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_G "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In14_G") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "A2AAA6A6F7FFA6A6") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tvalid_int13_SW1") + (viewRef view_1 (cellRef MUXF7 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1_F "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tvalid_int13_SW1_F") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFF5554") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1_G "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tvalid_int13_SW1_G") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT81_SW2") + (viewRef view_1 (cellRef MUXF7 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_F "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT81_SW2_F") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "EFEEEFEEEFEEFFFF") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_G "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT81_SW2_G") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "54555454FCFFFCFC") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_slrd2_1_renamed_544 "slave_fifo32/slrd2_1") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_EP_WMARK1_1_renamed_545 "slave_fifo32/EP_WMARK1_1") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_EP_READY1_1_renamed_546 "slave_fifo32/EP_READY1_1") + (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_31_1_renamed_547 "slave_fifo32/gpif_data_out_31_1") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_slwr_1_renamed_548 "slave_fifo32/slwr_1") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_34_renamed_549 "slave_fifo32/sloe_34") + (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_slrd_1_renamed_550 "slave_fifo32/slrd_1") + (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_pktend_1_renamed_551 "slave_fifo32/pktend_1") + (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifoadr_1_1_renamed_552 "slave_fifo32/fifoadr_1_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifoadr_0_1_renamed_553 "slave_fifo32/fifoadr_0_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance GPIF_D_31_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_33_renamed_554 "slave_fifo32/sloe_33") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_31 "slave_fifo32/gpif_data_out_31") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_30_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_32_renamed_555 "slave_fifo32/sloe_32") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_30 "slave_fifo32/gpif_data_out_30") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_29_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_31_renamed_556 "slave_fifo32/sloe_31") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_29 "slave_fifo32/gpif_data_out_29") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_28_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_30_renamed_557 "slave_fifo32/sloe_30") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_28 "slave_fifo32/gpif_data_out_28") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_27_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_29_renamed_558 "slave_fifo32/sloe_29") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_27 "slave_fifo32/gpif_data_out_27") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_26_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_28_renamed_559 "slave_fifo32/sloe_28") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_26 "slave_fifo32/gpif_data_out_26") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_25_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_27_renamed_560 "slave_fifo32/sloe_27") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_25 "slave_fifo32/gpif_data_out_25") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_24_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_26_renamed_561 "slave_fifo32/sloe_26") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_24 "slave_fifo32/gpif_data_out_24") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_23_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_25_renamed_562 "slave_fifo32/sloe_25") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_23 "slave_fifo32/gpif_data_out_23") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_22_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_24_renamed_563 "slave_fifo32/sloe_24") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_22 "slave_fifo32/gpif_data_out_22") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_21_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_23_renamed_564 "slave_fifo32/sloe_23") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_21 "slave_fifo32/gpif_data_out_21") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_20_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_22_renamed_565 "slave_fifo32/sloe_22") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_20 "slave_fifo32/gpif_data_out_20") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_19_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_21_renamed_566 "slave_fifo32/sloe_21") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_19 "slave_fifo32/gpif_data_out_19") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_18_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_20_renamed_567 "slave_fifo32/sloe_20") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_18 "slave_fifo32/gpif_data_out_18") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_17_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_19_renamed_568 "slave_fifo32/sloe_19") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_17 "slave_fifo32/gpif_data_out_17") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_16_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_18_renamed_569 "slave_fifo32/sloe_18") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_16 "slave_fifo32/gpif_data_out_16") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_15_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_17_renamed_570 "slave_fifo32/sloe_17") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_15 "slave_fifo32/gpif_data_out_15") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_14_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_16_renamed_571 "slave_fifo32/sloe_16") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_14 "slave_fifo32/gpif_data_out_14") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_13_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_15_renamed_572 "slave_fifo32/sloe_15") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_13 "slave_fifo32/gpif_data_out_13") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_12_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_14_renamed_573 "slave_fifo32/sloe_14") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_12 "slave_fifo32/gpif_data_out_12") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_11_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_13_renamed_574 "slave_fifo32/sloe_13") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_11 "slave_fifo32/gpif_data_out_11") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_10_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_12_renamed_575 "slave_fifo32/sloe_12") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_10 "slave_fifo32/gpif_data_out_10") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_9_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_11_renamed_576 "slave_fifo32/sloe_11") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_9 "slave_fifo32/gpif_data_out_9") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_8_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_10_renamed_577 "slave_fifo32/sloe_10") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_8 "slave_fifo32/gpif_data_out_8") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_7_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_9_renamed_578 "slave_fifo32/sloe_9") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_7 "slave_fifo32/gpif_data_out_7") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_6_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_8_renamed_579 "slave_fifo32/sloe_8") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_6 "slave_fifo32/gpif_data_out_6") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_5_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_7_renamed_580 "slave_fifo32/sloe_7") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_5 "slave_fifo32/gpif_data_out_5") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_4_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_6_renamed_581 "slave_fifo32/sloe_6") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_4 "slave_fifo32/gpif_data_out_4") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_3_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_5_renamed_582 "slave_fifo32/sloe_5") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_3 "slave_fifo32/gpif_data_out_3") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_2_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_4_renamed_583 "slave_fifo32/sloe_4") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_2 "slave_fifo32/gpif_data_out_2") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_1_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_3_renamed_584 "slave_fifo32/sloe_3") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_1 "slave_fifo32/gpif_data_out_1") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance GPIF_D_0_IOBUF + (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename slave_fifo32_sloe_2_renamed_585 "slave_fifo32/sloe_2") + (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_gpif_data_out_0 "slave_fifo32/gpif_data_out_0") + (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property IOB (string "true") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram17") + (viewRef view_1 (cellRef RAMB8BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "13:INPUT:ADDRAWRADDR<12:0>") (owner "Xilinx")) + (property BUS_INFO (string "13:INPUT:ADDRBRDADDR<12:0>") (owner "Xilinx")) + (property BUS_INFO (string "2:OUTPUT:DOPADOP<1:0>") (owner "Xilinx")) + (property BUS_INFO (string "2:OUTPUT:DOPBDOP<1:0>") (owner "Xilinx")) + (property BUS_INFO (string "2:INPUT:DIPBDIP<1:0>") (owner "Xilinx")) + (property BUS_INFO (string "2:INPUT:DIPADIP<1:0>") (owner "Xilinx")) + (property BUS_INFO (string "2:INPUT:WEAWEL<1:0>") (owner "Xilinx")) + (property BUS_INFO (string "2:INPUT:WEBWEU<1:0>") (owner "Xilinx")) + (property BUS_INFO (string "16:OUTPUT:DOADO<15:0>") (owner "Xilinx")) + (property BUS_INFO (string "16:INPUT:DIBDI<15:0>") (owner "Xilinx")) + (property BUS_INFO (string "16:INPUT:DIADI<15:0>") (owner "Xilinx")) + (property BUS_INFO (string "16:OUTPUT:DOBDO<15:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 1) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 1) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "00000") (owner "Xilinx")) + (property INIT_B (string "00000") (owner "Xilinx")) + (property RAM_MODE (string "TDP") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "00000") (owner "Xilinx")) + (property SRVAL_B (string "00000") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram16") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram15") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram14") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram13") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram11") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram10") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram12") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram9") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram8") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram7") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram6") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram4") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram3") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram5") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram2") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram1") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/ram/Mram_ram2") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 18) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 18) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/ram/Mram_ram1") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 18) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 18) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/ram/Mram_ram") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 36) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 36) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram1") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram2") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram5") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram3") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram4") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram6") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram7") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram8") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram9") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram12") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram10") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram11") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram13") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram14") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram15") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram16") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram17") + (viewRef view_1 (cellRef RAMB8BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "13:INPUT:ADDRAWRADDR<12:0>") (owner "Xilinx")) + (property BUS_INFO (string "13:INPUT:ADDRBRDADDR<12:0>") (owner "Xilinx")) + (property BUS_INFO (string "2:OUTPUT:DOPADOP<1:0>") (owner "Xilinx")) + (property BUS_INFO (string "2:OUTPUT:DOPBDOP<1:0>") (owner "Xilinx")) + (property BUS_INFO (string "2:INPUT:DIPBDIP<1:0>") (owner "Xilinx")) + (property BUS_INFO (string "2:INPUT:DIPADIP<1:0>") (owner "Xilinx")) + (property BUS_INFO (string "2:INPUT:WEAWEL<1:0>") (owner "Xilinx")) + (property BUS_INFO (string "2:INPUT:WEBWEU<1:0>") (owner "Xilinx")) + (property BUS_INFO (string "16:OUTPUT:DOADO<15:0>") (owner "Xilinx")) + (property BUS_INFO (string "16:INPUT:DIBDI<15:0>") (owner "Xilinx")) + (property BUS_INFO (string "16:INPUT:DIADI<15:0>") (owner "Xilinx")) + (property BUS_INFO (string "16:OUTPUT:DOBDO<15:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 1) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 1) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "00000") (owner "Xilinx")) + (property INIT_B (string "00000") (owner "Xilinx")) + (property RAM_MODE (string "TDP") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "00000") (owner "Xilinx")) + (property SRVAL_B (string "00000") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/ram/Mram_ram") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 36) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 36) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/ram/Mram_ram1") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 18) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 18) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/ram/Mram_ram2") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 18) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 18) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram33 "f1/ram/Mram_ram33") + (viewRef view_1 (cellRef RAMB8BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "13:INPUT:ADDRAWRADDR<12:0>") (owner "Xilinx")) + (property BUS_INFO (string "13:INPUT:ADDRBRDADDR<12:0>") (owner "Xilinx")) + (property BUS_INFO (string "2:OUTPUT:DOPADOP<1:0>") (owner "Xilinx")) + (property BUS_INFO (string "2:OUTPUT:DOPBDOP<1:0>") (owner "Xilinx")) + (property BUS_INFO (string "2:INPUT:DIPBDIP<1:0>") (owner "Xilinx")) + (property BUS_INFO (string "2:INPUT:DIPADIP<1:0>") (owner "Xilinx")) + (property BUS_INFO (string "2:INPUT:WEAWEL<1:0>") (owner "Xilinx")) + (property BUS_INFO (string "2:INPUT:WEBWEU<1:0>") (owner "Xilinx")) + (property BUS_INFO (string "16:OUTPUT:DOADO<15:0>") (owner "Xilinx")) + (property BUS_INFO (string "16:INPUT:DIBDI<15:0>") (owner "Xilinx")) + (property BUS_INFO (string "16:INPUT:DIADI<15:0>") (owner "Xilinx")) + (property BUS_INFO (string "16:OUTPUT:DOBDO<15:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 1) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 1) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "00000") (owner "Xilinx")) + (property INIT_B (string "00000") (owner "Xilinx")) + (property RAM_MODE (string "TDP") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "00000") (owner "Xilinx")) + (property SRVAL_B (string "00000") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram31 "f1/ram/Mram_ram31") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram30 "f1/ram/Mram_ram30") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram32 "f1/ram/Mram_ram32") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram28 "f1/ram/Mram_ram28") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram27 "f1/ram/Mram_ram27") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram29 "f1/ram/Mram_ram29") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram25 "f1/ram/Mram_ram25") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram24 "f1/ram/Mram_ram24") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram26 "f1/ram/Mram_ram26") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram22 "f1/ram/Mram_ram22") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram21 "f1/ram/Mram_ram21") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram23 "f1/ram/Mram_ram23") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram19 "f1/ram/Mram_ram19") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram18 "f1/ram/Mram_ram18") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram20 "f1/ram/Mram_ram20") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram16 "f1/ram/Mram_ram16") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram15 "f1/ram/Mram_ram15") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram17 "f1/ram/Mram_ram17") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram14 "f1/ram/Mram_ram14") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram13 "f1/ram/Mram_ram13") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram12 "f1/ram/Mram_ram12") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram11 "f1/ram/Mram_ram11") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram9 "f1/ram/Mram_ram9") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram8 "f1/ram/Mram_ram8") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram10 "f1/ram/Mram_ram10") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram6 "f1/ram/Mram_ram6") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram5 "f1/ram/Mram_ram5") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram7 "f1/ram/Mram_ram7") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram3 "f1/ram/Mram_ram3") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram2 "f1/ram/Mram_ram2") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram4 "f1/ram/Mram_ram4") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f1_ram_Mram_ram1 "f1/ram/Mram_ram1") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram33 "f0/ram/Mram_ram33") + (viewRef view_1 (cellRef RAMB8BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "13:INPUT:ADDRAWRADDR<12:0>") (owner "Xilinx")) + (property BUS_INFO (string "13:INPUT:ADDRBRDADDR<12:0>") (owner "Xilinx")) + (property BUS_INFO (string "2:OUTPUT:DOPADOP<1:0>") (owner "Xilinx")) + (property BUS_INFO (string "2:OUTPUT:DOPBDOP<1:0>") (owner "Xilinx")) + (property BUS_INFO (string "2:INPUT:DIPBDIP<1:0>") (owner "Xilinx")) + (property BUS_INFO (string "2:INPUT:DIPADIP<1:0>") (owner "Xilinx")) + (property BUS_INFO (string "2:INPUT:WEAWEL<1:0>") (owner "Xilinx")) + (property BUS_INFO (string "2:INPUT:WEBWEU<1:0>") (owner "Xilinx")) + (property BUS_INFO (string "16:OUTPUT:DOADO<15:0>") (owner "Xilinx")) + (property BUS_INFO (string "16:INPUT:DIBDI<15:0>") (owner "Xilinx")) + (property BUS_INFO (string "16:INPUT:DIADI<15:0>") (owner "Xilinx")) + (property BUS_INFO (string "16:OUTPUT:DOBDO<15:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 1) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 1) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "00000") (owner "Xilinx")) + (property INIT_B (string "00000") (owner "Xilinx")) + (property RAM_MODE (string "TDP") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "00000") (owner "Xilinx")) + (property SRVAL_B (string "00000") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram31 "f0/ram/Mram_ram31") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram30 "f0/ram/Mram_ram30") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram32 "f0/ram/Mram_ram32") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram28 "f0/ram/Mram_ram28") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram27 "f0/ram/Mram_ram27") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram29 "f0/ram/Mram_ram29") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram25 "f0/ram/Mram_ram25") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram24 "f0/ram/Mram_ram24") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram26 "f0/ram/Mram_ram26") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram22 "f0/ram/Mram_ram22") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram21 "f0/ram/Mram_ram21") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram23 "f0/ram/Mram_ram23") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram19 "f0/ram/Mram_ram19") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram18 "f0/ram/Mram_ram18") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram20 "f0/ram/Mram_ram20") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram16 "f0/ram/Mram_ram16") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram15 "f0/ram/Mram_ram15") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram17 "f0/ram/Mram_ram17") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram14 "f0/ram/Mram_ram14") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram13 "f0/ram/Mram_ram13") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram12 "f0/ram/Mram_ram12") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram11 "f0/ram/Mram_ram11") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram9 "f0/ram/Mram_ram9") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram8 "f0/ram/Mram_ram8") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram10 "f0/ram/Mram_ram10") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram6 "f0/ram/Mram_ram6") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram5 "f0/ram/Mram_ram5") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram7 "f0/ram/Mram_ram7") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram3 "f0/ram/Mram_ram3") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram2 "f0/ram/Mram_ram2") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram4 "f0/ram/Mram_ram4") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename f0_ram_Mram_ram1 "f0/ram/Mram_ram1") + (viewRef view_1 (cellRef RAMB16BWER (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEA<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "4:INPUT:WEB<3:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) + (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) + (property DATA_WIDTH_A (integer 2) (owner "Xilinx")) + (property DATA_WIDTH_B (integer 2) (owner "Xilinx")) + (property DOA_REG (integer 0) (owner "Xilinx")) + (property DOB_REG (integer 0) (owner "Xilinx")) + (property EN_RSTRAM_A (string "TRUE") (owner "Xilinx")) + (property EN_RSTRAM_B (string "TRUE") (owner "Xilinx")) + (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) + (property INIT_A (string "000000000") (owner "Xilinx")) + (property INIT_B (string "000000000") (owner "Xilinx")) + (property RST_PRIORITY_A (string "CE") (owner "Xilinx")) + (property RST_PRIORITY_B (string "CE") (owner "Xilinx")) + (property RSTTYPE (string "SYNC") (owner "Xilinx")) + (property SRVAL_A (string "000000000") (owner "Xilinx")) + (property SRVAL_B (string "000000000") (owner "Xilinx")) + (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) + (property SIM_DEVICE (string "SPARTAN6") (owner "Xilinx")) + (property INIT_FILE (string "NONE") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk "slave_fifo32/fifo64_to_gpmc32_ctrl/cross_clock_fifo/fifo_4k_2clk") + (viewRef view_1 (cellRef fifo_4k_2clk (libraryRef b200_lib))) + (property BUS_INFO (string "72:INPUT:din<71:0>") (owner "Xilinx")) + (property BUS_INFO (string "72:OUTPUT:dout<71:0>") (owner "Xilinx")) + (property BUS_INFO (string "10:OUTPUT:rd_data_count<9:0>") (owner "Xilinx")) + (property BUS_INFO (string "10:OUTPUT:wr_data_count<9:0>") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk "slave_fifo32/fifo64_to_gpmc32_tx/cross_clock_fifo/fifo_4k_2clk") + (viewRef view_1 (cellRef fifo_4k_2clk (libraryRef b200_lib))) + (property BUS_INFO (string "72:INPUT:din<71:0>") (owner "Xilinx")) + (property BUS_INFO (string "72:OUTPUT:dout<71:0>") (owner "Xilinx")) + (property BUS_INFO (string "10:OUTPUT:rd_data_count<9:0>") (owner "Xilinx")) + (property BUS_INFO (string "10:OUTPUT:wr_data_count<9:0>") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk "slave_fifo32/fifo64_to_gpmc32_rx/cross_clock_fifo/fifo_4k_2clk") + (viewRef view_1 (cellRef fifo_4k_2clk (libraryRef b200_lib))) + (property BUS_INFO (string "72:INPUT:din<71:0>") (owner "Xilinx")) + (property BUS_INFO (string "72:OUTPUT:dout<71:0>") (owner "Xilinx")) + (property BUS_INFO (string "10:OUTPUT:rd_data_count<9:0>") (owner "Xilinx")) + (property BUS_INFO (string "10:OUTPUT:wr_data_count<9:0>") (owner "Xilinx")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk "slave_fifo32/fifo64_to_gpmc32_resp/cross_clock_fifo/fifo_4k_2clk") + (viewRef view_1 (cellRef fifo_4k_2clk (libraryRef b200_lib))) + (property BUS_INFO (string "72:INPUT:din<71:0>") (owner "Xilinx")) + (property BUS_INFO (string "72:OUTPUT:dout<71:0>") (owner "Xilinx")) + (property BUS_INFO (string "10:OUTPUT:rd_data_count<9:0>") (owner "Xilinx")) + (property BUS_INFO (string "10:OUTPUT:wr_data_count<9:0>") (owner "Xilinx")) + ) + (net cat_miso_IBUF + (joined + (portRef I1 (instanceRef fx3_miso1)) + (portRef O (instanceRef cat_miso_IBUF_renamed_69)) + ) + ) + (net fx3_ce_IBUF + (joined + (portRef I0 (instanceRef fx3_miso1)) + (portRef I0 (instanceRef cat_mosi1)) + (portRef I0 (instanceRef cat_sclk1)) + (portRef O (instanceRef fx3_ce_IBUF_renamed_70)) + ) + ) + (net fx3_mosi_IBUF + (joined + (portRef I1 (instanceRef cat_mosi1)) + (portRef O (instanceRef fx3_mosi_IBUF_renamed_71)) + ) + ) + (net fx3_sclk_IBUF + (joined + (portRef I1 (instanceRef cat_sclk1)) + (portRef O (instanceRef fx3_sclk_IBUF_renamed_72)) + ) + ) + (net codec_data_clk_p + (joined + (portRef codec_data_clk_p) + (portRef I (instanceRef codec_data_clk_bufg)) + ) + ) + (net codec_main_clk_p + (joined + (portRef codec_main_clk_p) + (portRef I (instanceRef gen_clks_clkin1_buf)) + ) + ) + (net codec_main_clk_n + (joined + (portRef codec_main_clk_n) + (portRef IB (instanceRef gen_clks_clkin1_buf)) + ) + ) + (net GPIF_CTL4_IBUF + (joined + (portRef D (instanceRef slave_fifo32_EP_READY_renamed_13)) + (portRef O (instanceRef GPIF_CTL4_IBUF_renamed_73)) + ) + ) + (net GPIF_CTL5_IBUF + (joined + (portRef D (instanceRef slave_fifo32_EP_WMARK_renamed_16)) + (portRef O (instanceRef GPIF_CTL5_IBUF_renamed_74)) + ) + ) + (net GPIF_CTL9_IBUF + (joined + (portRef RST (instanceRef gen_clks_dcm_sp_inst)) + (portRef I1 (instanceRef reset_global_locked_OR_1_o1)) + (portRef O (instanceRef GPIF_CTL9_IBUF_renamed_75)) + ) + ) + (net fx3_miso_OBUF + (joined + (portRef O (instanceRef fx3_miso1)) + (portRef I (instanceRef fx3_miso_OBUF_renamed_91)) + ) + ) + (net cat_mosi_OBUF + (joined + (portRef O (instanceRef cat_mosi1)) + (portRef I (instanceRef cat_mosi_OBUF_renamed_89)) + ) + ) + (net cat_sclk_OBUF + (joined + (portRef O (instanceRef cat_sclk1)) + (portRef I (instanceRef cat_sclk_OBUF_renamed_90)) + ) + ) + (net codec_data_clk + (joined + (portRef O (instanceRef codec_data_clk_bufg)) + (portRef C0 (instanceRef catgen_gen_pins_0__oddr2)) + (portRef C0 (instanceRef catgen_gen_pins_1__oddr2)) + (portRef C0 (instanceRef catgen_gen_pins_2__oddr2)) + (portRef C0 (instanceRef catgen_gen_pins_3__oddr2)) + (portRef C0 (instanceRef catgen_gen_pins_4__oddr2)) + (portRef C0 (instanceRef catgen_gen_pins_5__oddr2)) + (portRef C0 (instanceRef catgen_gen_pins_6__oddr2)) + (portRef C0 (instanceRef catgen_gen_pins_7__oddr2)) + (portRef C0 (instanceRef catgen_gen_pins_8__oddr2)) + (portRef C0 (instanceRef catgen_gen_pins_9__oddr2)) + (portRef C0 (instanceRef catgen_gen_pins_10__oddr2)) + (portRef C0 (instanceRef catgen_gen_pins_11__oddr2)) + (portRef C0 (instanceRef catgen_oddr2_frame)) + (portRef C0 (instanceRef catgen_oddr2_clk)) + (portRef I (instanceRef debug_clk_0_OBUF)) + (portRef I (instanceRef catcap_data_clk_INV_6_o1_INV_0)) + ) + ) + (net gpif_clk + (joined + (portRef C (instanceRef gpif_sync_reset_int_renamed_3)) + (portRef C (instanceRef gpif_sync_reset_out_renamed_2)) + (portRef C0 (instanceRef ODDR2_ifclk)) + (portRef C0 (instanceRef ODDR2_ifclk_dbg)) + (portRef O (instanceRef gen_clks_clkout2_buf)) + (portRef C (instanceRef slave_fifo32_EP_WMARK_renamed_16)) + (portRef C (instanceRef slave_fifo32_read_ready_go_renamed_15)) + (portRef C (instanceRef slave_fifo32_write_ready_go_renamed_14)) + (portRef C (instanceRef slave_fifo32_EP_READY_renamed_13)) + (portRef C (instanceRef slave_fifo32_EP_READY1_renamed_12)) + (portRef C (instanceRef slave_fifo32_EP_WMARK1_renamed_11)) + (portRef C (instanceRef slave_fifo32_slrd1_renamed_10)) + (portRef C (instanceRef slave_fifo32_slrd2_renamed_9)) + (portRef C (instanceRef slave_fifo32_slrd3_renamed_8)) + (portRef C (instanceRef slave_fifo32_slwr_renamed_7)) + (portRef C (instanceRef slave_fifo32_pktend_renamed_6)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_0)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_1)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_2)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_3)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_4)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_5)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_6)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_7)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_8)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_9)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_10)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_11)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_12)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_13)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_14)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_15)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_16)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_17)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_18)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_19)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_20)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_21)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_22)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_23)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_24)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_25)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_26)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_27)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_28)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_29)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_30)) + (portRef C (instanceRef slave_fifo32_gpif_data_in_31)) + (portRef C (instanceRef slave_fifo32_debug1_0)) + (portRef C (instanceRef slave_fifo32_debug1_1)) + (portRef C (instanceRef slave_fifo32_debug1_2)) + (portRef C (instanceRef slave_fifo32_debug1_3)) + (portRef C (instanceRef slave_fifo32_debug1_4)) + (portRef C (instanceRef slave_fifo32_debug1_5)) + (portRef C (instanceRef slave_fifo32_debug1_6)) + (portRef C (instanceRef slave_fifo32_debug1_7)) + (portRef C (instanceRef slave_fifo32_debug1_8)) + (portRef C (instanceRef slave_fifo32_debug1_9)) + (portRef C (instanceRef slave_fifo32_debug1_10)) + (portRef C (instanceRef slave_fifo32_debug1_11)) + (portRef C (instanceRef slave_fifo32_debug1_12)) + (portRef C (instanceRef slave_fifo32_debug1_13)) + (portRef C (instanceRef slave_fifo32_debug1_14)) + (portRef C (instanceRef slave_fifo32_debug1_15)) + (portRef C (instanceRef slave_fifo32_debug1_18)) + (portRef C (instanceRef slave_fifo32_debug1_19)) + (portRef C (instanceRef slave_fifo32_debug1_21)) + (portRef C (instanceRef slave_fifo32_debug1_22)) + (portRef C (instanceRef slave_fifo32_debug1_23)) + (portRef C (instanceRef slave_fifo32_debug1_26)) + (portRef C (instanceRef slave_fifo32_debug1_27)) + (portRef C (instanceRef slave_fifo32_debug1_28)) + (portRef C (instanceRef slave_fifo32_debug1_29)) + (portRef C (instanceRef slave_fifo32_debug1_31)) + (portRef C (instanceRef slave_fifo32_debug2_0)) + (portRef C (instanceRef slave_fifo32_debug2_1)) + (portRef C (instanceRef slave_fifo32_debug2_2)) + (portRef C (instanceRef slave_fifo32_debug2_3)) + (portRef C (instanceRef slave_fifo32_debug2_4)) + (portRef C (instanceRef slave_fifo32_debug2_5)) + (portRef C (instanceRef slave_fifo32_debug2_6)) + (portRef C (instanceRef slave_fifo32_debug2_7)) + (portRef C (instanceRef slave_fifo32_debug2_8)) + (portRef C (instanceRef slave_fifo32_debug2_9)) + (portRef C (instanceRef slave_fifo32_debug2_10)) + (portRef C (instanceRef slave_fifo32_debug2_11)) + (portRef C (instanceRef slave_fifo32_debug2_12)) + (portRef C (instanceRef slave_fifo32_debug2_13)) + (portRef C (instanceRef slave_fifo32_debug2_14)) + (portRef C (instanceRef slave_fifo32_debug2_15)) + (portRef C (instanceRef slave_fifo32_debug2_16)) + (portRef C (instanceRef slave_fifo32_debug2_17)) + (portRef C (instanceRef slave_fifo32_debug2_18)) + (portRef C (instanceRef slave_fifo32_debug2_19)) + (portRef C (instanceRef slave_fifo32_debug2_21)) + (portRef C (instanceRef slave_fifo32_debug2_22)) + (portRef C (instanceRef slave_fifo32_debug2_23)) + (portRef C (instanceRef slave_fifo32_debug2_26)) + (portRef C (instanceRef slave_fifo32_debug2_27)) + (portRef C (instanceRef slave_fifo32_debug2_28)) + (portRef C (instanceRef slave_fifo32_debug2_29)) + (portRef C (instanceRef slave_fifo32_debug2_31)) + (portRef C (instanceRef slave_fifo32_state_FSM_FFd2_renamed_5)) + (portRef C (instanceRef slave_fifo32_state_FSM_FFd1_renamed_4)) + (portRef C (instanceRef slave_fifo32_fifoadr_0)) + (portRef C (instanceRef slave_fifo32_fifoadr_1)) + (portRef C (instanceRef slave_fifo32_idle_cycles_0)) + (portRef C (instanceRef slave_fifo32_idle_cycles_1)) + (portRef C (instanceRef slave_fifo32_idle_cycles_2)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_0)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_1)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_2)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_3)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_4)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_5)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_6)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_0)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_1)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_2)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_3)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_4)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_5)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_6)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_7)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_8)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_9)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_10)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_11)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_12)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_renamed_18)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_0)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_1)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_2)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_3)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_4)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_5)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_6)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_7)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_8)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_9)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_10)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_11)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_12)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_renamed_17)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_0)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_1)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_2)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_3)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_4)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_5)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_6)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_0)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_1)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_2)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_3)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_4)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_5)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_6)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_7)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_8)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_renamed_20)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_0)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_1)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_2)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_3)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_4)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_5)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_6)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_7)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_8)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_9)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_renamed_19)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_i_tready_renamed_22)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_0)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_1)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_2)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_3)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_4)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_5)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_6)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_7)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_8)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_0)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_1)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_2)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_3)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_4)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_5)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_6)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_7)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_8)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_0)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_1)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_2)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_3)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_4)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_5)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_6)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_7)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd1_renamed_21)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_i_tready_renamed_26)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_0)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_1)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_2)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_3)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_4)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_5)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_6)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_7)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_8)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_0)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_1)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_2)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_3)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_4)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_5)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_6)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_7)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_8)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_0)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_1)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_2)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_3)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_4)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_5)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_6)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_7)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd1_renamed_25)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_full_reg_renamed_102)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg_renamed_103)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_full_reg_renamed_107)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_full_reg_renamed_113)) + (portRef C (instanceRef slave_fifo32_wr_one_renamed_256)) + (portRef C (instanceRef slave_fifo32_slrd_renamed_257)) + (portRef C (instanceRef slave_fifo32_sloe_1_renamed_259)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_FRB_renamed_260)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr1_FRB_renamed_261)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr2_FRB_renamed_262)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr3_FRB_renamed_263)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr4_FRB_renamed_264)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr5_FRB_renamed_265)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr6_FRB_renamed_266)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr7_FRB_renamed_267)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr8_FRB_renamed_268)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr9_FRB_renamed_269)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr10_FRB_renamed_270)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr11_FRB_renamed_271)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr12_FRB_renamed_272)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_FRB_renamed_273)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_1__FRB_renamed_274)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_2__FRB_renamed_275)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_3__FRB_renamed_276)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_4__FRB_renamed_277)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_5__FRB_renamed_278)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_6__FRB_renamed_279)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_7__FRB_renamed_280)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_8__FRB_renamed_281)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_9__FRB_renamed_282)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_10__FRB_renamed_283)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_11__FRB_renamed_284)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_12__FRB_renamed_285)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_0__FRB_renamed_286)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr1_FRB_renamed_287)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr2_FRB_renamed_288)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr3_FRB_renamed_289)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr4_FRB_renamed_290)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr5_FRB_renamed_291)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr6_FRB_renamed_292)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr7_FRB_renamed_293)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr8_FRB_renamed_294)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr9_FRB_renamed_295)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr10_FRB_renamed_296)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr11_FRB_renamed_297)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr12_FRB_renamed_298)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_FRB_renamed_299)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr1_FRB_renamed_300)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr2_FRB_renamed_301)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr3_FRB_renamed_302)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr4_FRB_renamed_303)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr5_FRB_renamed_304)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr6_FRB_renamed_305)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr7_FRB_renamed_306)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr8_FRB_renamed_307)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr9_FRB_renamed_308)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_FRB_renamed_309)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr1_FRB_renamed_310)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr2_FRB_renamed_311)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr3_FRB_renamed_312)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr4_FRB_renamed_313)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr5_FRB_renamed_314)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr6_FRB_renamed_315)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr7_FRB_renamed_316)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr8_FRB_renamed_317)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr9_FRB_renamed_318)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_FRB_renamed_319)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr1_FRB_renamed_320)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr2_FRB_renamed_321)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr3_FRB_renamed_322)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr4_FRB_renamed_323)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr5_FRB_renamed_324)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr6_FRB_renamed_325)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr7_FRB_renamed_326)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr8_FRB_renamed_327)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_FRB_renamed_328)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr1_FRB_renamed_329)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr2_FRB_renamed_330)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr3_FRB_renamed_331)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr4_FRB_renamed_332)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr5_FRB_renamed_333)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr6_FRB_renamed_334)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr7_FRB_renamed_335)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr8_FRB_renamed_336)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_FRB_renamed_437)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr1_FRB_renamed_438)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr2_FRB_renamed_439)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr3_FRB_renamed_440)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr4_FRB_renamed_441)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr5_FRB_renamed_442)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr6_FRB_renamed_443)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr7_FRB_renamed_444)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr8_FRB_renamed_445)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_FRB_renamed_446)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr1_FRB_renamed_447)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr2_FRB_renamed_448)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr3_FRB_renamed_449)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr4_FRB_renamed_450)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr5_FRB_renamed_451)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr6_FRB_renamed_452)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr7_FRB_renamed_453)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr8_FRB_renamed_454)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full421_FRB_renamed_455)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full411_FRB_renamed_456)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full421_FRB_renamed_457)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full411_FRB_renamed_458)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0_FRB_renamed_459)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0_FRB_renamed_460)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621_FRB_renamed_461)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621_FRB_renamed_462)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_12_BRB0_renamed_464)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_12_BRB1_renamed_465)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_13_BRB1_renamed_466)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_14_BRB1_renamed_467)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15_BRB1_renamed_468)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_12_BRB0_renamed_469)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_12_BRB1_renamed_470)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_13_BRB1_renamed_471)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_14_BRB1_renamed_472)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15_BRB1_renamed_473)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd2_BRB0_renamed_474)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd2_BRB1_renamed_475)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd2_BRB0_renamed_476)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd2_BRB1_renamed_477)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_11_BRB1_renamed_490)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_11_BRB1_renamed_491)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_10_BRB1_renamed_492)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_10_BRB1_renamed_493)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_9_BRB1_renamed_494)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_9_BRB1_renamed_495)) + (portRef C (instanceRef slave_fifo32_debug1_17_BRB0_renamed_496)) + (portRef C (instanceRef slave_fifo32_debug1_16_BRB0_renamed_497)) + (portRef C (instanceRef slave_fifo32_rd_one_BRB0_renamed_498)) + (portRef C (instanceRef slave_fifo32_rd_one_BRB1_renamed_499)) + (portRef C (instanceRef slave_fifo32_sloe_renamed_540)) + (portRef I (instanceRef gpif_clk_INV_4_o1_INV_0)) + (portRef C (instanceRef slave_fifo32_slrd2_1_renamed_544)) + (portRef C (instanceRef slave_fifo32_EP_WMARK1_1_renamed_545)) + (portRef C (instanceRef slave_fifo32_EP_READY1_1_renamed_546)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_31_1_renamed_547)) + (portRef C (instanceRef slave_fifo32_slwr_1_renamed_548)) + (portRef C (instanceRef slave_fifo32_sloe_34_renamed_549)) + (portRef C (instanceRef slave_fifo32_slrd_1_renamed_550)) + (portRef C (instanceRef slave_fifo32_pktend_1_renamed_551)) + (portRef C (instanceRef slave_fifo32_fifoadr_1_1_renamed_552)) + (portRef C (instanceRef slave_fifo32_fifoadr_0_1_renamed_553)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_31)) + (portRef C (instanceRef slave_fifo32_sloe_33_renamed_554)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_30)) + (portRef C (instanceRef slave_fifo32_sloe_32_renamed_555)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_29)) + (portRef C (instanceRef slave_fifo32_sloe_31_renamed_556)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_28)) + (portRef C (instanceRef slave_fifo32_sloe_30_renamed_557)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_27)) + (portRef C (instanceRef slave_fifo32_sloe_29_renamed_558)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_26)) + (portRef C (instanceRef slave_fifo32_sloe_28_renamed_559)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_25)) + (portRef C (instanceRef slave_fifo32_sloe_27_renamed_560)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_24)) + (portRef C (instanceRef slave_fifo32_sloe_26_renamed_561)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_23)) + (portRef C (instanceRef slave_fifo32_sloe_25_renamed_562)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_22)) + (portRef C (instanceRef slave_fifo32_sloe_24_renamed_563)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_21)) + (portRef C (instanceRef slave_fifo32_sloe_23_renamed_564)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_20)) + (portRef C (instanceRef slave_fifo32_sloe_22_renamed_565)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_19)) + (portRef C (instanceRef slave_fifo32_sloe_21_renamed_566)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_18)) + (portRef C (instanceRef slave_fifo32_sloe_20_renamed_567)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_17)) + (portRef C (instanceRef slave_fifo32_sloe_19_renamed_568)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_16)) + (portRef C (instanceRef slave_fifo32_sloe_18_renamed_569)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_15)) + (portRef C (instanceRef slave_fifo32_sloe_17_renamed_570)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_14)) + (portRef C (instanceRef slave_fifo32_sloe_16_renamed_571)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_13)) + (portRef C (instanceRef slave_fifo32_sloe_15_renamed_572)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_12)) + (portRef C (instanceRef slave_fifo32_sloe_14_renamed_573)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_11)) + (portRef C (instanceRef slave_fifo32_sloe_13_renamed_574)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_10)) + (portRef C (instanceRef slave_fifo32_sloe_12_renamed_575)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_9)) + (portRef C (instanceRef slave_fifo32_sloe_11_renamed_576)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_8)) + (portRef C (instanceRef slave_fifo32_sloe_10_renamed_577)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_7)) + (portRef C (instanceRef slave_fifo32_sloe_9_renamed_578)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_6)) + (portRef C (instanceRef slave_fifo32_sloe_8_renamed_579)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_5)) + (portRef C (instanceRef slave_fifo32_sloe_7_renamed_580)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_4)) + (portRef C (instanceRef slave_fifo32_sloe_6_renamed_581)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_3)) + (portRef C (instanceRef slave_fifo32_sloe_5_renamed_582)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_2)) + (portRef C (instanceRef slave_fifo32_sloe_4_renamed_583)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_1)) + (portRef C (instanceRef slave_fifo32_sloe_3_renamed_584)) + (portRef C (instanceRef slave_fifo32_gpif_data_out_0)) + (portRef C (instanceRef slave_fifo32_sloe_2_renamed_585)) + (portRef CLKAWRCLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef CLKBRDCLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef wr_clk (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef wr_clk (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef rd_clk (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef rd_clk (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net bus_clk + (joined + (portRef C (instanceRef bus_sync_reset_int_renamed_1)) + (portRef C (instanceRef bus_sync_reset_out_renamed_0)) + (portRef O (instanceRef gen_clks_clkout3_buf)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_0)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_1)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_2)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_3)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_4)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_0)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_1)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_2)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_3)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_4)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_0)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_1)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_2)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_3)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_4)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_5)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_6)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_7)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_8)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_9)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_10)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_11)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_12)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_13)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_14)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_15)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_16)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_17)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_18)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_19)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_20)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_21)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_22)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_23)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_24)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_25)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_26)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_27)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_28)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_29)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_30)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_31)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_0)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_1)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_2)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_3)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_4)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_0)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_1)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_2)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_3)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_4)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_5)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_6)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_7)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_8)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_9)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_10)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_11)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_12)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_13)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_14)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_15)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_renamed_24)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_renamed_23)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_1)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_2)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_3)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_4)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_5)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_6)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_7)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_0)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_1)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_2)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_3)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_4)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_5)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_6)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_7)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_8)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_9)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_10)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_11)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_12)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_0)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_1)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_2)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_3)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_4)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_5)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_6)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_7)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_8)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_9)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_10)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_11)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_12)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_0)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_1)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_2)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_3)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_4)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_5)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_6)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_7)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_8)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_9)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_10)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_11)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_12)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_13)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_14)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_15)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_16)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_17)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_18)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_19)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_20)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_21)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_22)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_23)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_24)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_25)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_26)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_27)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_28)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_29)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_30)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_31)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_0)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_1)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_2)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_3)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_4)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef CLK (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_0)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_1)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_2)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_3)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_4)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_5)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_6)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_7)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_8)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_9)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_10)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_11)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_12)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_13)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_14)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_15)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_renamed_28)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_renamed_27)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_0)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_1)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_2)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_3)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_4)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_5)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_6)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_0)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_1)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_2)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_3)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_4)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_5)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_6)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_7)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_8)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_0)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_1)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_2)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_3)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_4)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_5)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_6)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_7)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_8)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_9)) + (portRef C (instanceRef f1_rd_addr_1)) + (portRef C (instanceRef f1_rd_addr_2)) + (portRef C (instanceRef f1_rd_addr_3)) + (portRef C (instanceRef f1_rd_addr_4)) + (portRef C (instanceRef f1_rd_addr_5)) + (portRef C (instanceRef f1_rd_addr_6)) + (portRef C (instanceRef f1_rd_addr_7)) + (portRef C (instanceRef f1_rd_addr_8)) + (portRef C (instanceRef f1_rd_addr_9)) + (portRef C (instanceRef f1_rd_addr_10)) + (portRef C (instanceRef f1_rd_addr_11)) + (portRef C (instanceRef f1_rd_addr_12)) + (portRef C (instanceRef f1_wr_addr_1)) + (portRef C (instanceRef f1_wr_addr_2)) + (portRef C (instanceRef f1_wr_addr_3)) + (portRef C (instanceRef f1_wr_addr_4)) + (portRef C (instanceRef f1_wr_addr_5)) + (portRef C (instanceRef f1_wr_addr_6)) + (portRef C (instanceRef f1_wr_addr_7)) + (portRef C (instanceRef f1_wr_addr_8)) + (portRef C (instanceRef f1_wr_addr_9)) + (portRef C (instanceRef f1_wr_addr_10)) + (portRef C (instanceRef f1_wr_addr_11)) + (portRef C (instanceRef f1_wr_addr_12)) + (portRef C (instanceRef f1_read_state_FSM_FFd2_renamed_30)) + (portRef C (instanceRef f1_read_state_FSM_FFd1_renamed_29)) + (portRef C (instanceRef f1_rd_addr_0)) + (portRef C (instanceRef f1_wr_addr_0)) + (portRef C (instanceRef f0_rd_addr_1)) + (portRef C (instanceRef f0_rd_addr_2)) + (portRef C (instanceRef f0_rd_addr_3)) + (portRef C (instanceRef f0_rd_addr_4)) + (portRef C (instanceRef f0_rd_addr_5)) + (portRef C (instanceRef f0_rd_addr_6)) + (portRef C (instanceRef f0_rd_addr_7)) + (portRef C (instanceRef f0_rd_addr_8)) + (portRef C (instanceRef f0_rd_addr_9)) + (portRef C (instanceRef f0_rd_addr_10)) + (portRef C (instanceRef f0_rd_addr_11)) + (portRef C (instanceRef f0_rd_addr_12)) + (portRef C (instanceRef f0_wr_addr_1)) + (portRef C (instanceRef f0_wr_addr_2)) + (portRef C (instanceRef f0_wr_addr_3)) + (portRef C (instanceRef f0_wr_addr_4)) + (portRef C (instanceRef f0_wr_addr_5)) + (portRef C (instanceRef f0_wr_addr_6)) + (portRef C (instanceRef f0_wr_addr_7)) + (portRef C (instanceRef f0_wr_addr_8)) + (portRef C (instanceRef f0_wr_addr_9)) + (portRef C (instanceRef f0_wr_addr_10)) + (portRef C (instanceRef f0_wr_addr_11)) + (portRef C (instanceRef f0_wr_addr_12)) + (portRef C (instanceRef f0_read_state_FSM_FFd2_renamed_32)) + (portRef C (instanceRef f0_read_state_FSM_FFd1_renamed_31)) + (portRef C (instanceRef f0_rd_addr_0)) + (portRef C (instanceRef f0_wr_addr_0)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_state_renamed_96)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_state_renamed_97)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_renamed_98)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_renamed_99)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_renamed_100)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_renamed_101)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_renamed_104)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full_renamed_105)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_state_renamed_106)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_renamed_108)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump_renamed_109)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_renamed_110)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full_renamed_111)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_state_renamed_112)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg_renamed_114)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump_renamed_115)) + (portRef C (instanceRef f1_full_reg_renamed_116)) + (portRef C (instanceRef f0_full_reg_renamed_117)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_empty_reg_renamed_258)) + (portRef C (instanceRef f1_Result_0_2_FRB_renamed_337)) + (portRef C (instanceRef f1_Result_1_2_FRB_renamed_338)) + (portRef C (instanceRef f1_Result_2_2_FRB_renamed_339)) + (portRef C (instanceRef f1_Result_3_2_FRB_renamed_340)) + (portRef C (instanceRef f1_Result_4_2_FRB_renamed_341)) + (portRef C (instanceRef f1_Result_5_2_FRB_renamed_342)) + (portRef C (instanceRef f1_Result_6_2_FRB_renamed_343)) + (portRef C (instanceRef f1_Result_7_2_FRB_renamed_344)) + (portRef C (instanceRef f1_Result_8_2_FRB_renamed_345)) + (portRef C (instanceRef f1_Result_9_2_FRB_renamed_346)) + (portRef C (instanceRef f1_Result_10_2_FRB_renamed_347)) + (portRef C (instanceRef f1_Result_11_2_FRB_renamed_348)) + (portRef C (instanceRef f1_Result_12_2_FRB_renamed_349)) + (portRef C (instanceRef f1_Result_0_1_FRB_renamed_350)) + (portRef C (instanceRef f1_Result_1_1_FRB_renamed_351)) + (portRef C (instanceRef f1_Result_2_1_FRB_renamed_352)) + (portRef C (instanceRef f1_Result_3_1_FRB_renamed_353)) + (portRef C (instanceRef f1_Result_4_1_FRB_renamed_354)) + (portRef C (instanceRef f1_Result_5_1_FRB_renamed_355)) + (portRef C (instanceRef f1_Result_6_1_FRB_renamed_356)) + (portRef C (instanceRef f1_Result_7_1_FRB_renamed_357)) + (portRef C (instanceRef f1_Result_8_1_FRB_renamed_358)) + (portRef C (instanceRef f1_Result_9_1_FRB_renamed_359)) + (portRef C (instanceRef f1_Result_10_1_FRB_renamed_360)) + (portRef C (instanceRef f1_Result_11_1_FRB_renamed_361)) + (portRef C (instanceRef f1_Result_12_1_FRB_renamed_362)) + (portRef C (instanceRef f1_dont_write_past_me_0__FRB_renamed_363)) + (portRef C (instanceRef f1_dont_write_past_me_1__FRB_renamed_364)) + (portRef C (instanceRef f1_dont_write_past_me_2__FRB_renamed_365)) + (portRef C (instanceRef f1_dont_write_past_me_3__FRB_renamed_366)) + (portRef C (instanceRef f1_dont_write_past_me_4__FRB_renamed_367)) + (portRef C (instanceRef f1_dont_write_past_me_5__FRB_renamed_368)) + (portRef C (instanceRef f1_dont_write_past_me_6__FRB_renamed_369)) + (portRef C (instanceRef f1_dont_write_past_me_7__FRB_renamed_370)) + (portRef C (instanceRef f1_dont_write_past_me_8__FRB_renamed_371)) + (portRef C (instanceRef f1_dont_write_past_me_9__FRB_renamed_372)) + (portRef C (instanceRef f1_dont_write_past_me_10__FRB_renamed_373)) + (portRef C (instanceRef f1_dont_write_past_me_11__FRB_renamed_374)) + (portRef C (instanceRef f1_dont_write_past_me_12__FRB_renamed_375)) + (portRef C (instanceRef f0_Result_0_2_FRB_renamed_376)) + (portRef C (instanceRef f0_Result_1_2_FRB_renamed_377)) + (portRef C (instanceRef f0_Result_2_2_FRB_renamed_378)) + (portRef C (instanceRef f0_Result_3_2_FRB_renamed_379)) + (portRef C (instanceRef f0_Result_4_2_FRB_renamed_380)) + (portRef C (instanceRef f0_Result_5_2_FRB_renamed_381)) + (portRef C (instanceRef f0_Result_6_2_FRB_renamed_382)) + (portRef C (instanceRef f0_Result_7_2_FRB_renamed_383)) + (portRef C (instanceRef f0_Result_8_2_FRB_renamed_384)) + (portRef C (instanceRef f0_Result_9_2_FRB_renamed_385)) + (portRef C (instanceRef f0_Result_10_2_FRB_renamed_386)) + (portRef C (instanceRef f0_Result_11_2_FRB_renamed_387)) + (portRef C (instanceRef f0_Result_12_2_FRB_renamed_388)) + (portRef C (instanceRef f0_Result_0_1_FRB_renamed_389)) + (portRef C (instanceRef f0_Result_1_1_FRB_renamed_390)) + (portRef C (instanceRef f0_Result_2_1_FRB_renamed_391)) + (portRef C (instanceRef f0_Result_3_1_FRB_renamed_392)) + (portRef C (instanceRef f0_Result_4_1_FRB_renamed_393)) + (portRef C (instanceRef f0_Result_5_1_FRB_renamed_394)) + (portRef C (instanceRef f0_Result_6_1_FRB_renamed_395)) + (portRef C (instanceRef f0_Result_7_1_FRB_renamed_396)) + (portRef C (instanceRef f0_Result_8_1_FRB_renamed_397)) + (portRef C (instanceRef f0_Result_9_1_FRB_renamed_398)) + (portRef C (instanceRef f0_Result_10_1_FRB_renamed_399)) + (portRef C (instanceRef f0_Result_11_1_FRB_renamed_400)) + (portRef C (instanceRef f0_Result_12_1_FRB_renamed_401)) + (portRef C (instanceRef f0_dont_write_past_me_0__FRB_renamed_402)) + (portRef C (instanceRef f0_dont_write_past_me_1__FRB_renamed_403)) + (portRef C (instanceRef f0_dont_write_past_me_2__FRB_renamed_404)) + (portRef C (instanceRef f0_dont_write_past_me_3__FRB_renamed_405)) + (portRef C (instanceRef f0_dont_write_past_me_4__FRB_renamed_406)) + (portRef C (instanceRef f0_dont_write_past_me_5__FRB_renamed_407)) + (portRef C (instanceRef f0_dont_write_past_me_6__FRB_renamed_408)) + (portRef C (instanceRef f0_dont_write_past_me_7__FRB_renamed_409)) + (portRef C (instanceRef f0_dont_write_past_me_8__FRB_renamed_410)) + (portRef C (instanceRef f0_dont_write_past_me_9__FRB_renamed_411)) + (portRef C (instanceRef f0_dont_write_past_me_10__FRB_renamed_412)) + (portRef C (instanceRef f0_dont_write_past_me_11__FRB_renamed_413)) + (portRef C (instanceRef f0_dont_write_past_me_12__FRB_renamed_414)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_SW0_FRB_renamed_463)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB0_renamed_478)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB1_renamed_479)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB2_renamed_480)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB3_renamed_481)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB4_renamed_482)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB5_renamed_483)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB0_renamed_484)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB1_renamed_485)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB2_renamed_486)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB3_renamed_487)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB4_renamed_488)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB5_renamed_489)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB1_renamed_502)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB3_renamed_503)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB4_renamed_504)) + (portRef C (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_1_renamed_539)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef CLKAWRCLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portRef CLKBRDCLK (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef CLKA (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef CLKB (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef CLKAWRCLK (instanceRef f1_ram_Mram_ram33)) + (portRef CLKBRDCLK (instanceRef f1_ram_Mram_ram33)) + (portRef CLKA (instanceRef f1_ram_Mram_ram31)) + (portRef CLKB (instanceRef f1_ram_Mram_ram31)) + (portRef CLKA (instanceRef f1_ram_Mram_ram30)) + (portRef CLKB (instanceRef f1_ram_Mram_ram30)) + (portRef CLKA (instanceRef f1_ram_Mram_ram32)) + (portRef CLKB (instanceRef f1_ram_Mram_ram32)) + (portRef CLKA (instanceRef f1_ram_Mram_ram28)) + (portRef CLKB (instanceRef f1_ram_Mram_ram28)) + (portRef CLKA (instanceRef f1_ram_Mram_ram27)) + (portRef CLKB (instanceRef f1_ram_Mram_ram27)) + (portRef CLKA (instanceRef f1_ram_Mram_ram29)) + (portRef CLKB (instanceRef f1_ram_Mram_ram29)) + (portRef CLKA (instanceRef f1_ram_Mram_ram25)) + (portRef CLKB (instanceRef f1_ram_Mram_ram25)) + (portRef CLKA (instanceRef f1_ram_Mram_ram24)) + (portRef CLKB (instanceRef f1_ram_Mram_ram24)) + (portRef CLKA (instanceRef f1_ram_Mram_ram26)) + (portRef CLKB (instanceRef f1_ram_Mram_ram26)) + (portRef CLKA (instanceRef f1_ram_Mram_ram22)) + (portRef CLKB (instanceRef f1_ram_Mram_ram22)) + (portRef CLKA (instanceRef f1_ram_Mram_ram21)) + (portRef CLKB (instanceRef f1_ram_Mram_ram21)) + (portRef CLKA (instanceRef f1_ram_Mram_ram23)) + (portRef CLKB (instanceRef f1_ram_Mram_ram23)) + (portRef CLKA (instanceRef f1_ram_Mram_ram19)) + (portRef CLKB (instanceRef f1_ram_Mram_ram19)) + (portRef CLKA (instanceRef f1_ram_Mram_ram18)) + (portRef CLKB (instanceRef f1_ram_Mram_ram18)) + (portRef CLKA (instanceRef f1_ram_Mram_ram20)) + (portRef CLKB (instanceRef f1_ram_Mram_ram20)) + (portRef CLKA (instanceRef f1_ram_Mram_ram16)) + (portRef CLKB (instanceRef f1_ram_Mram_ram16)) + (portRef CLKA (instanceRef f1_ram_Mram_ram15)) + (portRef CLKB (instanceRef f1_ram_Mram_ram15)) + (portRef CLKA (instanceRef f1_ram_Mram_ram17)) + (portRef CLKB (instanceRef f1_ram_Mram_ram17)) + (portRef CLKA (instanceRef f1_ram_Mram_ram14)) + (portRef CLKB (instanceRef f1_ram_Mram_ram14)) + (portRef CLKA (instanceRef f1_ram_Mram_ram13)) + (portRef CLKB (instanceRef f1_ram_Mram_ram13)) + (portRef CLKA (instanceRef f1_ram_Mram_ram12)) + (portRef CLKB (instanceRef f1_ram_Mram_ram12)) + (portRef CLKA (instanceRef f1_ram_Mram_ram11)) + (portRef CLKB (instanceRef f1_ram_Mram_ram11)) + (portRef CLKA (instanceRef f1_ram_Mram_ram9)) + (portRef CLKB (instanceRef f1_ram_Mram_ram9)) + (portRef CLKA (instanceRef f1_ram_Mram_ram8)) + (portRef CLKB (instanceRef f1_ram_Mram_ram8)) + (portRef CLKA (instanceRef f1_ram_Mram_ram10)) + (portRef CLKB (instanceRef f1_ram_Mram_ram10)) + (portRef CLKA (instanceRef f1_ram_Mram_ram6)) + (portRef CLKB (instanceRef f1_ram_Mram_ram6)) + (portRef CLKA (instanceRef f1_ram_Mram_ram5)) + (portRef CLKB (instanceRef f1_ram_Mram_ram5)) + (portRef CLKA (instanceRef f1_ram_Mram_ram7)) + (portRef CLKB (instanceRef f1_ram_Mram_ram7)) + (portRef CLKA (instanceRef f1_ram_Mram_ram3)) + (portRef CLKB (instanceRef f1_ram_Mram_ram3)) + (portRef CLKA (instanceRef f1_ram_Mram_ram2)) + (portRef CLKB (instanceRef f1_ram_Mram_ram2)) + (portRef CLKA (instanceRef f1_ram_Mram_ram4)) + (portRef CLKB (instanceRef f1_ram_Mram_ram4)) + (portRef CLKA (instanceRef f1_ram_Mram_ram1)) + (portRef CLKB (instanceRef f1_ram_Mram_ram1)) + (portRef CLKAWRCLK (instanceRef f0_ram_Mram_ram33)) + (portRef CLKBRDCLK (instanceRef f0_ram_Mram_ram33)) + (portRef CLKA (instanceRef f0_ram_Mram_ram31)) + (portRef CLKB (instanceRef f0_ram_Mram_ram31)) + (portRef CLKA (instanceRef f0_ram_Mram_ram30)) + (portRef CLKB (instanceRef f0_ram_Mram_ram30)) + (portRef CLKA (instanceRef f0_ram_Mram_ram32)) + (portRef CLKB (instanceRef f0_ram_Mram_ram32)) + (portRef CLKA (instanceRef f0_ram_Mram_ram28)) + (portRef CLKB (instanceRef f0_ram_Mram_ram28)) + (portRef CLKA (instanceRef f0_ram_Mram_ram27)) + (portRef CLKB (instanceRef f0_ram_Mram_ram27)) + (portRef CLKA (instanceRef f0_ram_Mram_ram29)) + (portRef CLKB (instanceRef f0_ram_Mram_ram29)) + (portRef CLKA (instanceRef f0_ram_Mram_ram25)) + (portRef CLKB (instanceRef f0_ram_Mram_ram25)) + (portRef CLKA (instanceRef f0_ram_Mram_ram24)) + (portRef CLKB (instanceRef f0_ram_Mram_ram24)) + (portRef CLKA (instanceRef f0_ram_Mram_ram26)) + (portRef CLKB (instanceRef f0_ram_Mram_ram26)) + (portRef CLKA (instanceRef f0_ram_Mram_ram22)) + (portRef CLKB (instanceRef f0_ram_Mram_ram22)) + (portRef CLKA (instanceRef f0_ram_Mram_ram21)) + (portRef CLKB (instanceRef f0_ram_Mram_ram21)) + (portRef CLKA (instanceRef f0_ram_Mram_ram23)) + (portRef CLKB (instanceRef f0_ram_Mram_ram23)) + (portRef CLKA (instanceRef f0_ram_Mram_ram19)) + (portRef CLKB (instanceRef f0_ram_Mram_ram19)) + (portRef CLKA (instanceRef f0_ram_Mram_ram18)) + (portRef CLKB (instanceRef f0_ram_Mram_ram18)) + (portRef CLKA (instanceRef f0_ram_Mram_ram20)) + (portRef CLKB (instanceRef f0_ram_Mram_ram20)) + (portRef CLKA (instanceRef f0_ram_Mram_ram16)) + (portRef CLKB (instanceRef f0_ram_Mram_ram16)) + (portRef CLKA (instanceRef f0_ram_Mram_ram15)) + (portRef CLKB (instanceRef f0_ram_Mram_ram15)) + (portRef CLKA (instanceRef f0_ram_Mram_ram17)) + (portRef CLKB (instanceRef f0_ram_Mram_ram17)) + (portRef CLKA (instanceRef f0_ram_Mram_ram14)) + (portRef CLKB (instanceRef f0_ram_Mram_ram14)) + (portRef CLKA (instanceRef f0_ram_Mram_ram13)) + (portRef CLKB (instanceRef f0_ram_Mram_ram13)) + (portRef CLKA (instanceRef f0_ram_Mram_ram12)) + (portRef CLKB (instanceRef f0_ram_Mram_ram12)) + (portRef CLKA (instanceRef f0_ram_Mram_ram11)) + (portRef CLKB (instanceRef f0_ram_Mram_ram11)) + (portRef CLKA (instanceRef f0_ram_Mram_ram9)) + (portRef CLKB (instanceRef f0_ram_Mram_ram9)) + (portRef CLKA (instanceRef f0_ram_Mram_ram8)) + (portRef CLKB (instanceRef f0_ram_Mram_ram8)) + (portRef CLKA (instanceRef f0_ram_Mram_ram10)) + (portRef CLKB (instanceRef f0_ram_Mram_ram10)) + (portRef CLKA (instanceRef f0_ram_Mram_ram6)) + (portRef CLKB (instanceRef f0_ram_Mram_ram6)) + (portRef CLKA (instanceRef f0_ram_Mram_ram5)) + (portRef CLKB (instanceRef f0_ram_Mram_ram5)) + (portRef CLKA (instanceRef f0_ram_Mram_ram7)) + (portRef CLKB (instanceRef f0_ram_Mram_ram7)) + (portRef CLKA (instanceRef f0_ram_Mram_ram3)) + (portRef CLKB (instanceRef f0_ram_Mram_ram3)) + (portRef CLKA (instanceRef f0_ram_Mram_ram2)) + (portRef CLKB (instanceRef f0_ram_Mram_ram2)) + (portRef CLKA (instanceRef f0_ram_Mram_ram4)) + (portRef CLKB (instanceRef f0_ram_Mram_ram4)) + (portRef CLKA (instanceRef f0_ram_Mram_ram1)) + (portRef CLKB (instanceRef f0_ram_Mram_ram1)) + (portRef rd_clk (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef rd_clk (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef wr_clk (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef wr_clk (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net locked + (joined + (portRef LOCKED (instanceRef gen_clks_dcm_sp_inst)) + (portRef D (instanceRef slave_fifo32_debug1_21)) + (portRef I0 (instanceRef reset_global_locked_OR_1_o1)) + (portRef I1 (instanceRef slave_fifo32__n0230_inv1)) + (portRef I0 (instanceRef slave_fifo32__n0223_inv1)) + (portRef I5 (instanceRef slave_fifo32__n0237_inv1)) + (portRef I0 (instanceRef slave_fifo32__n0290_inv1)) + (portRef I0 (instanceRef slave_fifo32__n0279_inv_renamed_35)) + (portRef I1 (instanceRef slave_fifo32_state_FSM_FFd2_In1_renamed_37)) + (portRef I1 (instanceRef slave_fifo32_wr_one_rstpot_renamed_512)) + (portRef I2 (instanceRef slave_fifo32_slrd_rstpot_renamed_515)) + (portRef I3 (instanceRef slave_fifo32_sloe_1_rstpot_renamed_534)) + (portRef I1 (instanceRef slave_fifo32_state_FSM_FFd1_In3_F)) + (portRef I5 (instanceRef slave_fifo32_state_FSM_FFd1_In3_G)) + (portRef I0 (instanceRef slave_fifo32_state_FSM_FFd2_In3)) + ) + ) + (net reset_global_locked_OR_1_o + (joined + (portRef PRE (instanceRef bus_sync_reset_int_renamed_1)) + (portRef PRE (instanceRef bus_sync_reset_out_renamed_0)) + (portRef PRE (instanceRef gpif_sync_reset_int_renamed_3)) + (portRef PRE (instanceRef gpif_sync_reset_out_renamed_2)) + (portRef O (instanceRef reset_global_locked_OR_1_o1)) + ) + ) + (net tx_codec_d_11_OBUF + (joined + (portRef Q (instanceRef catgen_gen_pins_11__oddr2)) + (portRef I (instanceRef tx_codec_d_11_OBUF_renamed_76)) + ) + ) + (net tx_codec_d_10_OBUF + (joined + (portRef Q (instanceRef catgen_gen_pins_10__oddr2)) + (portRef I (instanceRef tx_codec_d_10_OBUF_renamed_77)) + ) + ) + (net tx_codec_d_9_OBUF + (joined + (portRef Q (instanceRef catgen_gen_pins_9__oddr2)) + (portRef I (instanceRef tx_codec_d_9_OBUF_renamed_78)) + ) + ) + (net tx_codec_d_8_OBUF + (joined + (portRef Q (instanceRef catgen_gen_pins_8__oddr2)) + (portRef I (instanceRef tx_codec_d_8_OBUF_renamed_79)) + ) + ) + (net tx_codec_d_7_OBUF + (joined + (portRef Q (instanceRef catgen_gen_pins_7__oddr2)) + (portRef I (instanceRef tx_codec_d_7_OBUF_renamed_80)) + ) + ) + (net tx_codec_d_6_OBUF + (joined + (portRef Q (instanceRef catgen_gen_pins_6__oddr2)) + (portRef I (instanceRef tx_codec_d_6_OBUF_renamed_81)) + ) + ) + (net tx_codec_d_5_OBUF + (joined + (portRef Q (instanceRef catgen_gen_pins_5__oddr2)) + (portRef I (instanceRef tx_codec_d_5_OBUF_renamed_82)) + ) + ) + (net tx_codec_d_4_OBUF + (joined + (portRef Q (instanceRef catgen_gen_pins_4__oddr2)) + (portRef I (instanceRef tx_codec_d_4_OBUF_renamed_83)) + ) + ) + (net tx_codec_d_3_OBUF + (joined + (portRef Q (instanceRef catgen_gen_pins_3__oddr2)) + (portRef I (instanceRef tx_codec_d_3_OBUF_renamed_84)) + ) + ) + (net tx_codec_d_2_OBUF + (joined + (portRef Q (instanceRef catgen_gen_pins_2__oddr2)) + (portRef I (instanceRef tx_codec_d_2_OBUF_renamed_85)) + ) + ) + (net tx_codec_d_1_OBUF + (joined + (portRef Q (instanceRef catgen_gen_pins_1__oddr2)) + (portRef I (instanceRef tx_codec_d_1_OBUF_renamed_86)) + ) + ) + (net tx_codec_d_0_OBUF + (joined + (portRef Q (instanceRef catgen_gen_pins_0__oddr2)) + (portRef I (instanceRef tx_codec_d_0_OBUF_renamed_87)) + ) + ) + (net codec_fb_clk_p_OBUF + (joined + (portRef Q (instanceRef catgen_oddr2_clk)) + (portRef I (instanceRef codec_fb_clk_p_OBUF_renamed_92)) + ) + ) + (net tx_frame_p_OBUF + (joined + (portRef Q (instanceRef catgen_oddr2_frame)) + (portRef I (instanceRef tx_frame_p_OBUF_renamed_93)) + ) + ) + (net (rename gpif_sync_reset_out "gpif_sync/reset_out") + (joined + (portRef Q (instanceRef gpif_sync_reset_out_renamed_2)) + (portRef S (instanceRef slave_fifo32_slwr_renamed_7)) + (portRef S (instanceRef slave_fifo32_pktend_renamed_6)) + (portRef R (instanceRef slave_fifo32_state_FSM_FFd2_renamed_5)) + (portRef R (instanceRef slave_fifo32_state_FSM_FFd1_renamed_4)) + (portRef R (instanceRef slave_fifo32_fifoadr_0)) + (portRef R (instanceRef slave_fifo32_fifoadr_1)) + (portRef R (instanceRef slave_fifo32_idle_cycles_0)) + (portRef R (instanceRef slave_fifo32_idle_cycles_1)) + (portRef R (instanceRef slave_fifo32_idle_cycles_2)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_0)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_1)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_2)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_3)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_4)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_5)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_6)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_7)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_8)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_9)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_10)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_11)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_12)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_0)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_1)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_2)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_3)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_4)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_5)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_6)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_7)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_8)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_9)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_10)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_11)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_12)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_renamed_17)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_0)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_1)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_2)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_3)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_4)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_5)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_6)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_7)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_8)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_0)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_1)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_2)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_3)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_4)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_5)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_6)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_7)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_8)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_9)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_renamed_19)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_0)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_1)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_2)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_3)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_4)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_5)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_6)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_7)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_8)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_0)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_1)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_2)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_3)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_4)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_5)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_6)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_7)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd1_renamed_21)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_0)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_1)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_2)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_3)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_4)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_5)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_6)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_7)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_8)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_0)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_1)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_2)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_3)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_4)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_5)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_6)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_7)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd1_renamed_25)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_0)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_1)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_2)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_3)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_4)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_5)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_6)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_0)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_1)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_2)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_3)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_4)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_5)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_6)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_renamed_18)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_renamed_20)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo_rst_gpif_rst_OR_155_o1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT17)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT81)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT91)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT101)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT111)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT121)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT131)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT141)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT151)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT17)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT81)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT91)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT101)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT111)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT121)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT131)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT141)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT151)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_full_reg_renamed_102)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg_renamed_103)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_full_reg_renamed_107)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_full_reg_renamed_113)) + (portRef R (instanceRef slave_fifo32_wr_one_renamed_256)) + (portRef S (instanceRef slave_fifo32_slrd_renamed_257)) + (portRef S (instanceRef slave_fifo32_sloe_1_renamed_259)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_FRB_renamed_260)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr1_FRB_renamed_261)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr2_FRB_renamed_262)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr3_FRB_renamed_263)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr4_FRB_renamed_264)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr5_FRB_renamed_265)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr6_FRB_renamed_266)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr7_FRB_renamed_267)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr8_FRB_renamed_268)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr9_FRB_renamed_269)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr10_FRB_renamed_270)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr11_FRB_renamed_271)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr12_FRB_renamed_272)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_FRB_renamed_273)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_1__FRB_renamed_274)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_2__FRB_renamed_275)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_3__FRB_renamed_276)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_4__FRB_renamed_277)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_5__FRB_renamed_278)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_6__FRB_renamed_279)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_7__FRB_renamed_280)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_8__FRB_renamed_281)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_9__FRB_renamed_282)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_10__FRB_renamed_283)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_11__FRB_renamed_284)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_12__FRB_renamed_285)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_0__FRB_renamed_286)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr1_FRB_renamed_287)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr2_FRB_renamed_288)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr3_FRB_renamed_289)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr4_FRB_renamed_290)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr5_FRB_renamed_291)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr6_FRB_renamed_292)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr7_FRB_renamed_293)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr8_FRB_renamed_294)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr9_FRB_renamed_295)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr10_FRB_renamed_296)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr11_FRB_renamed_297)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr12_FRB_renamed_298)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_FRB_renamed_299)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr1_FRB_renamed_300)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr2_FRB_renamed_301)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr3_FRB_renamed_302)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr4_FRB_renamed_303)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr5_FRB_renamed_304)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr6_FRB_renamed_305)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr7_FRB_renamed_306)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr8_FRB_renamed_307)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr9_FRB_renamed_308)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_FRB_renamed_309)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr1_FRB_renamed_310)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr2_FRB_renamed_311)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr3_FRB_renamed_312)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr4_FRB_renamed_313)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr5_FRB_renamed_314)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr6_FRB_renamed_315)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr7_FRB_renamed_316)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr8_FRB_renamed_317)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr9_FRB_renamed_318)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_FRB_renamed_319)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr1_FRB_renamed_320)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr2_FRB_renamed_321)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr3_FRB_renamed_322)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr4_FRB_renamed_323)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr5_FRB_renamed_324)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr6_FRB_renamed_325)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr7_FRB_renamed_326)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr8_FRB_renamed_327)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_FRB_renamed_328)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr1_FRB_renamed_329)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr2_FRB_renamed_330)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr3_FRB_renamed_331)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr4_FRB_renamed_332)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr5_FRB_renamed_333)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr6_FRB_renamed_334)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr7_FRB_renamed_335)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr8_FRB_renamed_336)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_FRB_renamed_437)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr1_FRB_renamed_438)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr2_FRB_renamed_439)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr3_FRB_renamed_440)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr4_FRB_renamed_441)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr5_FRB_renamed_442)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr6_FRB_renamed_443)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr7_FRB_renamed_444)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr8_FRB_renamed_445)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_FRB_renamed_446)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr1_FRB_renamed_447)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr2_FRB_renamed_448)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr3_FRB_renamed_449)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr4_FRB_renamed_450)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr5_FRB_renamed_451)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr6_FRB_renamed_452)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr7_FRB_renamed_453)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr8_FRB_renamed_454)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full421_FRB_renamed_455)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full411_FRB_renamed_456)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full421_FRB_renamed_457)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full411_FRB_renamed_458)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0_FRB_renamed_459)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0_FRB_renamed_460)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621_FRB_renamed_461)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621_FRB_renamed_462)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_12_BRB0_renamed_464)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_12_BRB0_renamed_469)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd2_BRB0_renamed_474)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd2_BRB0_renamed_476)) + (portRef R (instanceRef slave_fifo32_rd_one_BRB0_renamed_498)) + (portRef R (instanceRef slave_fifo32_rd_one_BRB1_renamed_499)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv1)) + (portRef R (instanceRef slave_fifo32_sloe_renamed_540)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_31_1_renamed_547)) + (portRef S (instanceRef slave_fifo32_slwr_1_renamed_548)) + (portRef S (instanceRef slave_fifo32_sloe_34_renamed_549)) + (portRef S (instanceRef slave_fifo32_slrd_1_renamed_550)) + (portRef S (instanceRef slave_fifo32_pktend_1_renamed_551)) + (portRef R (instanceRef slave_fifo32_fifoadr_1_1_renamed_552)) + (portRef R (instanceRef slave_fifo32_fifoadr_0_1_renamed_553)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_31)) + (portRef R (instanceRef slave_fifo32_sloe_33_renamed_554)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_30)) + (portRef R (instanceRef slave_fifo32_sloe_32_renamed_555)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_29)) + (portRef R (instanceRef slave_fifo32_sloe_31_renamed_556)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_28)) + (portRef R (instanceRef slave_fifo32_sloe_30_renamed_557)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_27)) + (portRef R (instanceRef slave_fifo32_sloe_29_renamed_558)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_26)) + (portRef R (instanceRef slave_fifo32_sloe_28_renamed_559)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_25)) + (portRef R (instanceRef slave_fifo32_sloe_27_renamed_560)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_24)) + (portRef R (instanceRef slave_fifo32_sloe_26_renamed_561)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_23)) + (portRef R (instanceRef slave_fifo32_sloe_25_renamed_562)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_22)) + (portRef R (instanceRef slave_fifo32_sloe_24_renamed_563)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_21)) + (portRef R (instanceRef slave_fifo32_sloe_23_renamed_564)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_20)) + (portRef R (instanceRef slave_fifo32_sloe_22_renamed_565)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_19)) + (portRef R (instanceRef slave_fifo32_sloe_21_renamed_566)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_18)) + (portRef R (instanceRef slave_fifo32_sloe_20_renamed_567)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_17)) + (portRef R (instanceRef slave_fifo32_sloe_19_renamed_568)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_16)) + (portRef R (instanceRef slave_fifo32_sloe_18_renamed_569)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_15)) + (portRef R (instanceRef slave_fifo32_sloe_17_renamed_570)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_14)) + (portRef R (instanceRef slave_fifo32_sloe_16_renamed_571)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_13)) + (portRef R (instanceRef slave_fifo32_sloe_15_renamed_572)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_12)) + (portRef R (instanceRef slave_fifo32_sloe_14_renamed_573)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_11)) + (portRef R (instanceRef slave_fifo32_sloe_13_renamed_574)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_10)) + (portRef R (instanceRef slave_fifo32_sloe_12_renamed_575)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_9)) + (portRef R (instanceRef slave_fifo32_sloe_11_renamed_576)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_8)) + (portRef R (instanceRef slave_fifo32_sloe_10_renamed_577)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_7)) + (portRef R (instanceRef slave_fifo32_sloe_9_renamed_578)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_6)) + (portRef R (instanceRef slave_fifo32_sloe_8_renamed_579)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_5)) + (portRef R (instanceRef slave_fifo32_sloe_7_renamed_580)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_4)) + (portRef R (instanceRef slave_fifo32_sloe_6_renamed_581)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_3)) + (portRef R (instanceRef slave_fifo32_sloe_5_renamed_582)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_2)) + (portRef R (instanceRef slave_fifo32_sloe_4_renamed_583)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_1)) + (portRef R (instanceRef slave_fifo32_sloe_3_renamed_584)) + (portRef R (instanceRef slave_fifo32_gpif_data_out_0)) + (portRef R (instanceRef slave_fifo32_sloe_2_renamed_585)) + ) + ) + (net IFCLK_OBUF + (joined + (portRef Q (instanceRef ODDR2_ifclk)) + (portRef I (instanceRef IFCLK_OBUF_renamed_94)) + ) + ) + (net gpif_clk_INV_4_o + (joined + (portRef C1 (instanceRef ODDR2_ifclk)) + (portRef C1 (instanceRef ODDR2_ifclk_dbg)) + (portRef O (instanceRef gpif_clk_INV_4_o1_INV_0)) + ) + ) + (net debug_clk_1_OBUF + (joined + (portRef Q (instanceRef ODDR2_ifclk_dbg)) + (portRef I (instanceRef debug_clk_1_OBUF_renamed_88)) + ) + ) + (net rx_bandsel_c_OBUF + (joined + (portRef G (instanceRef XST_GND)) + (portRef D (instanceRef bus_sync_reset_int_renamed_1)) + (portRef D (instanceRef gpif_sync_reset_int_renamed_3)) + (portRef D1 (instanceRef ODDR2_ifclk)) + (portRef R (instanceRef ODDR2_ifclk)) + (portRef S (instanceRef ODDR2_ifclk)) + (portRef D1 (instanceRef ODDR2_ifclk_dbg)) + (portRef R (instanceRef ODDR2_ifclk_dbg)) + (portRef S (instanceRef ODDR2_ifclk_dbg)) + (portRef DSSEN (instanceRef gen_clks_dcm_sp_inst)) + (portRef PSCLK (instanceRef gen_clks_dcm_sp_inst)) + (portRef PSEN (instanceRef gen_clks_dcm_sp_inst)) + (portRef PSINCDEC (instanceRef gen_clks_dcm_sp_inst)) + (portRef D0 (instanceRef catgen_gen_pins_0__oddr2)) + (portRef D1 (instanceRef catgen_gen_pins_0__oddr2)) + (portRef R (instanceRef catgen_gen_pins_0__oddr2)) + (portRef S (instanceRef catgen_gen_pins_0__oddr2)) + (portRef D0 (instanceRef catgen_gen_pins_1__oddr2)) + (portRef D1 (instanceRef catgen_gen_pins_1__oddr2)) + (portRef R (instanceRef catgen_gen_pins_1__oddr2)) + (portRef S (instanceRef catgen_gen_pins_1__oddr2)) + (portRef D0 (instanceRef catgen_gen_pins_2__oddr2)) + (portRef D1 (instanceRef catgen_gen_pins_2__oddr2)) + (portRef R (instanceRef catgen_gen_pins_2__oddr2)) + (portRef S (instanceRef catgen_gen_pins_2__oddr2)) + (portRef D0 (instanceRef catgen_gen_pins_3__oddr2)) + (portRef D1 (instanceRef catgen_gen_pins_3__oddr2)) + (portRef R (instanceRef catgen_gen_pins_3__oddr2)) + (portRef S (instanceRef catgen_gen_pins_3__oddr2)) + (portRef D0 (instanceRef catgen_gen_pins_4__oddr2)) + (portRef D1 (instanceRef catgen_gen_pins_4__oddr2)) + (portRef R (instanceRef catgen_gen_pins_4__oddr2)) + (portRef S (instanceRef catgen_gen_pins_4__oddr2)) + (portRef D0 (instanceRef catgen_gen_pins_5__oddr2)) + (portRef D1 (instanceRef catgen_gen_pins_5__oddr2)) + (portRef R (instanceRef catgen_gen_pins_5__oddr2)) + (portRef S (instanceRef catgen_gen_pins_5__oddr2)) + (portRef D0 (instanceRef catgen_gen_pins_6__oddr2)) + (portRef D1 (instanceRef catgen_gen_pins_6__oddr2)) + (portRef R (instanceRef catgen_gen_pins_6__oddr2)) + (portRef S (instanceRef catgen_gen_pins_6__oddr2)) + (portRef D0 (instanceRef catgen_gen_pins_7__oddr2)) + (portRef D1 (instanceRef catgen_gen_pins_7__oddr2)) + (portRef R (instanceRef catgen_gen_pins_7__oddr2)) + (portRef S (instanceRef catgen_gen_pins_7__oddr2)) + (portRef D0 (instanceRef catgen_gen_pins_8__oddr2)) + (portRef D1 (instanceRef catgen_gen_pins_8__oddr2)) + (portRef R (instanceRef catgen_gen_pins_8__oddr2)) + (portRef S (instanceRef catgen_gen_pins_8__oddr2)) + (portRef D0 (instanceRef catgen_gen_pins_9__oddr2)) + (portRef D1 (instanceRef catgen_gen_pins_9__oddr2)) + (portRef R (instanceRef catgen_gen_pins_9__oddr2)) + (portRef S (instanceRef catgen_gen_pins_9__oddr2)) + (portRef D0 (instanceRef catgen_gen_pins_10__oddr2)) + (portRef D1 (instanceRef catgen_gen_pins_10__oddr2)) + (portRef R (instanceRef catgen_gen_pins_10__oddr2)) + (portRef S (instanceRef catgen_gen_pins_10__oddr2)) + (portRef D0 (instanceRef catgen_gen_pins_11__oddr2)) + (portRef D1 (instanceRef catgen_gen_pins_11__oddr2)) + (portRef R (instanceRef catgen_gen_pins_11__oddr2)) + (portRef S (instanceRef catgen_gen_pins_11__oddr2)) + (portRef D0 (instanceRef catgen_oddr2_frame)) + (portRef D1 (instanceRef catgen_oddr2_frame)) + (portRef R (instanceRef catgen_oddr2_frame)) + (portRef S (instanceRef catgen_oddr2_frame)) + (portRef D1 (instanceRef catgen_oddr2_clk)) + (portRef R (instanceRef catgen_oddr2_clk)) + (portRef S (instanceRef catgen_oddr2_clk)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_9__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_10__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_11__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_9__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_10__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_11__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_0__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_1__)) + (portRef DI + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0__)) + (portRef DI + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1__)) + (portRef DI + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2__)) + (portRef DI + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3__)) + (portRef DI + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_0__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_1__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_2__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_3__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_4__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_0__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_1__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_2__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_3__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_4__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_5__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_6__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_7__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_0__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_1__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_2__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_3__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_4__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_5__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_6__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_7__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_0__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_0__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_1__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_1__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_2__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_2__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_3__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_3__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_4__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_4__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_5__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_5__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_6__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_6__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_7__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_7__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_8__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_8__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_9__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_9__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_10__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_10__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_11__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_11__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_12__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_0__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_0__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_1__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_1__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_2__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_2__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_3__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_3__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_4__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_4__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_5__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_5__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_6__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_6__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_7__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_7__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_8__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_8__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_9__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_9__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_10__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_10__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_11__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_11__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_12__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_0__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_1__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_0__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_1__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_2__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_3__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_4__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_0__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_1__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_2__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_3__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_4__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_5__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_6__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_7__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_0__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_1__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_2__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_3__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_4__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_5__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_6__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_7__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_0__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_1__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_2__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_2__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_3__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_3__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_4__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_4__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_5__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_5__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_6__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_6__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_7__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_7__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_8__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_8__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_9__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_0__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_0__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_1__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_1__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_2__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_2__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_3__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_3__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_4__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_4__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_5__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_5__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_6__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_6__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_7__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_7__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_8__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_8__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_9__)) + (portRef DI (instanceRef f1_Msub_dont_write_past_me_cy_0__)) + (portRef DI (instanceRef f1_Msub_dont_write_past_me_cy_1__)) + (portRef CI (instanceRef f1_Mcount_wr_addr_cy_0__)) + (portRef CI (instanceRef f1_Mcount_wr_addr_xor_0__)) + (portRef DI (instanceRef f1_Mcount_wr_addr_cy_1__)) + (portRef DI (instanceRef f1_Mcount_wr_addr_cy_2__)) + (portRef DI (instanceRef f1_Mcount_wr_addr_cy_3__)) + (portRef DI (instanceRef f1_Mcount_wr_addr_cy_4__)) + (portRef DI (instanceRef f1_Mcount_wr_addr_cy_5__)) + (portRef DI (instanceRef f1_Mcount_wr_addr_cy_6__)) + (portRef DI (instanceRef f1_Mcount_wr_addr_cy_7__)) + (portRef DI (instanceRef f1_Mcount_wr_addr_cy_8__)) + (portRef DI (instanceRef f1_Mcount_wr_addr_cy_9__)) + (portRef DI (instanceRef f1_Mcount_wr_addr_cy_10__)) + (portRef DI (instanceRef f1_Mcount_wr_addr_cy_11__)) + (portRef CI (instanceRef f1_Mcount_rd_addr_cy_0__)) + (portRef CI (instanceRef f1_Mcount_rd_addr_xor_0__)) + (portRef DI (instanceRef f1_Mcount_rd_addr_cy_1__)) + (portRef DI (instanceRef f1_Mcount_rd_addr_cy_2__)) + (portRef DI (instanceRef f1_Mcount_rd_addr_cy_3__)) + (portRef DI (instanceRef f1_Mcount_rd_addr_cy_4__)) + (portRef DI (instanceRef f1_Mcount_rd_addr_cy_5__)) + (portRef DI (instanceRef f1_Mcount_rd_addr_cy_6__)) + (portRef DI (instanceRef f1_Mcount_rd_addr_cy_7__)) + (portRef DI (instanceRef f1_Mcount_rd_addr_cy_8__)) + (portRef DI (instanceRef f1_Mcount_rd_addr_cy_9__)) + (portRef DI (instanceRef f1_Mcount_rd_addr_cy_10__)) + (portRef DI (instanceRef f1_Mcount_rd_addr_cy_11__)) + (portRef DI (instanceRef f1_Mcompar_becoming_full_cy_0__)) + (portRef DI (instanceRef f1_Mcompar_becoming_full_cy_1__)) + (portRef DI (instanceRef f1_Mcompar_becoming_full_cy_2__)) + (portRef DI (instanceRef f1_Mcompar_becoming_full_cy_3__)) + (portRef DI (instanceRef f1_Mcompar_becoming_full_cy_4__)) + (portRef DI (instanceRef f0_Msub_dont_write_past_me_cy_0__)) + (portRef DI (instanceRef f0_Msub_dont_write_past_me_cy_1__)) + (portRef CI (instanceRef f0_Mcount_wr_addr_cy_0__)) + (portRef CI (instanceRef f0_Mcount_wr_addr_xor_0__)) + (portRef DI (instanceRef f0_Mcount_wr_addr_cy_1__)) + (portRef DI (instanceRef f0_Mcount_wr_addr_cy_2__)) + (portRef DI (instanceRef f0_Mcount_wr_addr_cy_3__)) + (portRef DI (instanceRef f0_Mcount_wr_addr_cy_4__)) + (portRef DI (instanceRef f0_Mcount_wr_addr_cy_5__)) + (portRef DI (instanceRef f0_Mcount_wr_addr_cy_6__)) + (portRef DI (instanceRef f0_Mcount_wr_addr_cy_7__)) + (portRef DI (instanceRef f0_Mcount_wr_addr_cy_8__)) + (portRef DI (instanceRef f0_Mcount_wr_addr_cy_9__)) + (portRef DI (instanceRef f0_Mcount_wr_addr_cy_10__)) + (portRef DI (instanceRef f0_Mcount_wr_addr_cy_11__)) + (portRef CI (instanceRef f0_Mcount_rd_addr_cy_0__)) + (portRef CI (instanceRef f0_Mcount_rd_addr_xor_0__)) + (portRef DI (instanceRef f0_Mcount_rd_addr_cy_1__)) + (portRef DI (instanceRef f0_Mcount_rd_addr_cy_2__)) + (portRef DI (instanceRef f0_Mcount_rd_addr_cy_3__)) + (portRef DI (instanceRef f0_Mcount_rd_addr_cy_4__)) + (portRef DI (instanceRef f0_Mcount_rd_addr_cy_5__)) + (portRef DI (instanceRef f0_Mcount_rd_addr_cy_6__)) + (portRef DI (instanceRef f0_Mcount_rd_addr_cy_7__)) + (portRef DI (instanceRef f0_Mcount_rd_addr_cy_8__)) + (portRef DI (instanceRef f0_Mcount_rd_addr_cy_9__)) + (portRef DI (instanceRef f0_Mcount_rd_addr_cy_10__)) + (portRef DI (instanceRef f0_Mcount_rd_addr_cy_11__)) + (portRef DI (instanceRef f0_Mcompar_becoming_full_cy_0__)) + (portRef DI (instanceRef f0_Mcompar_becoming_full_cy_1__)) + (portRef DI (instanceRef f0_Mcompar_becoming_full_cy_2__)) + (portRef DI (instanceRef f0_Mcompar_becoming_full_cy_3__)) + (portRef DI (instanceRef f0_Mcompar_becoming_full_cy_4__)) + (portRef I (instanceRef codec_ctrl_in_3_OBUF)) + (portRef I (instanceRef codec_ctrl_in_2_OBUF)) + (portRef I (instanceRef codec_ctrl_in_1_OBUF)) + (portRef I (instanceRef codec_ctrl_in_0_OBUF)) + (portRef I (instanceRef debug_20_OBUF)) + (portRef I (instanceRef cat_ce_OBUF)) + (portRef I (instanceRef pll_ce_OBUF)) + (portRef I (instanceRef pll_mosi_OBUF)) + (portRef I (instanceRef pll_sclk_OBUF)) + (portRef I (instanceRef codec_en_agc_OBUF)) + (portRef I (instanceRef codec_sync_OBUF)) + (portRef I (instanceRef codec_txrx_OBUF)) + (portRef I (instanceRef GPIF_CTL0_OBUF)) + (portRef I (instanceRef gps_out_enable_OBUF)) + (portRef I (instanceRef gps_ref_enable_OBUF)) + (portRef I (instanceRef ext_ref_enable_OBUF)) + (portRef I (instanceRef pps_fpga_out_enable_OBUF)) + (portRef I (instanceRef tx_bandsel_a_OBUF)) + (portRef I (instanceRef tx_bandsel_b_OBUF)) + (portRef I (instanceRef rx_bandsel_a_OBUF)) + (portRef I (instanceRef rx_bandsel_b_OBUF)) + (portRef I (instanceRef rx_bandsel_c_OBUF_renamed_95)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_cy)) + (portRef CI + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0__)) + (portRef CI (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0__)) + (portRef DI (instanceRef f1__n0161_inv1_cy)) + (portRef CI (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0__)) + (portRef DI (instanceRef f0__n0161_inv1_cy)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef REGCEBREGCE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef RSTBRST (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member WEBWEU 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member WEBWEU 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member DIA 16) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 16) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member DIPA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member DIPA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member DIPB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member DIPB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member DIPA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIPA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIPA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIPB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIPB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIPB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portRef REGCEBREGCE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portRef RSTBRST (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portRef (member WEBWEU 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portRef (member WEBWEU 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portRef (member DIPA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIPA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIPA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIPB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIPB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIPB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member DIA 16) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 16) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member DIPA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member DIPA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member DIPB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member DIPB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef REGCEA (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef REGCEB (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef RSTA (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef RSTB (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member WEB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member WEB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member WEB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member WEB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram33)) + (portRef REGCEBREGCE (instanceRef f1_ram_Mram_ram33)) + (portRef RSTA (instanceRef f1_ram_Mram_ram33)) + (portRef RSTBRST (instanceRef f1_ram_Mram_ram33)) + (portRef (member WEBWEU 1) (instanceRef f1_ram_Mram_ram33)) + (portRef (member WEBWEU 0) (instanceRef f1_ram_Mram_ram33)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram31)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram31)) + (portRef RSTA (instanceRef f1_ram_Mram_ram31)) + (portRef RSTB (instanceRef f1_ram_Mram_ram31)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram31)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram31)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram31)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram31)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram30)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram30)) + (portRef RSTA (instanceRef f1_ram_Mram_ram30)) + (portRef RSTB (instanceRef f1_ram_Mram_ram30)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram30)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram30)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram30)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram30)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram32)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram32)) + (portRef RSTA (instanceRef f1_ram_Mram_ram32)) + (portRef RSTB (instanceRef f1_ram_Mram_ram32)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram32)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram32)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram32)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram32)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram28)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram28)) + (portRef RSTA (instanceRef f1_ram_Mram_ram28)) + (portRef RSTB (instanceRef f1_ram_Mram_ram28)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram28)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram28)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram28)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram28)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram27)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram27)) + (portRef RSTA (instanceRef f1_ram_Mram_ram27)) + (portRef RSTB (instanceRef f1_ram_Mram_ram27)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram27)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram27)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram27)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram27)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram29)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram29)) + (portRef RSTA (instanceRef f1_ram_Mram_ram29)) + (portRef RSTB (instanceRef f1_ram_Mram_ram29)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram29)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram29)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram29)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram29)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram25)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram25)) + (portRef RSTA (instanceRef f1_ram_Mram_ram25)) + (portRef RSTB (instanceRef f1_ram_Mram_ram25)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram25)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram25)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram25)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram25)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram24)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram24)) + (portRef RSTA (instanceRef f1_ram_Mram_ram24)) + (portRef RSTB (instanceRef f1_ram_Mram_ram24)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram24)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram24)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram24)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram24)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram26)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram26)) + (portRef RSTA (instanceRef f1_ram_Mram_ram26)) + (portRef RSTB (instanceRef f1_ram_Mram_ram26)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram26)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram26)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram26)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram26)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram22)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram22)) + (portRef RSTA (instanceRef f1_ram_Mram_ram22)) + (portRef RSTB (instanceRef f1_ram_Mram_ram22)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram22)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram22)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram22)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram22)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram21)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram21)) + (portRef RSTA (instanceRef f1_ram_Mram_ram21)) + (portRef RSTB (instanceRef f1_ram_Mram_ram21)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram21)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram21)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram21)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram21)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram23)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram23)) + (portRef RSTA (instanceRef f1_ram_Mram_ram23)) + (portRef RSTB (instanceRef f1_ram_Mram_ram23)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram23)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram23)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram23)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram23)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram19)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram19)) + (portRef RSTA (instanceRef f1_ram_Mram_ram19)) + (portRef RSTB (instanceRef f1_ram_Mram_ram19)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram19)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram19)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram19)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram19)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram18)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram18)) + (portRef RSTA (instanceRef f1_ram_Mram_ram18)) + (portRef RSTB (instanceRef f1_ram_Mram_ram18)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram18)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram18)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram18)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram18)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram20)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram20)) + (portRef RSTA (instanceRef f1_ram_Mram_ram20)) + (portRef RSTB (instanceRef f1_ram_Mram_ram20)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram20)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram20)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram20)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram20)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram16)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram16)) + (portRef RSTA (instanceRef f1_ram_Mram_ram16)) + (portRef RSTB (instanceRef f1_ram_Mram_ram16)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram16)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram16)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram16)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram16)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram15)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram15)) + (portRef RSTA (instanceRef f1_ram_Mram_ram15)) + (portRef RSTB (instanceRef f1_ram_Mram_ram15)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram15)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram15)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram15)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram15)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram17)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram17)) + (portRef RSTA (instanceRef f1_ram_Mram_ram17)) + (portRef RSTB (instanceRef f1_ram_Mram_ram17)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram17)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram17)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram17)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram17)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram14)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram14)) + (portRef RSTA (instanceRef f1_ram_Mram_ram14)) + (portRef RSTB (instanceRef f1_ram_Mram_ram14)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram14)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram14)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram14)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram14)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram13)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram13)) + (portRef RSTA (instanceRef f1_ram_Mram_ram13)) + (portRef RSTB (instanceRef f1_ram_Mram_ram13)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram13)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram13)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram13)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram13)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram12)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram12)) + (portRef RSTA (instanceRef f1_ram_Mram_ram12)) + (portRef RSTB (instanceRef f1_ram_Mram_ram12)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram12)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram12)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram12)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram12)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram11)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram11)) + (portRef RSTA (instanceRef f1_ram_Mram_ram11)) + (portRef RSTB (instanceRef f1_ram_Mram_ram11)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram11)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram11)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram11)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram11)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram9)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram9)) + (portRef RSTA (instanceRef f1_ram_Mram_ram9)) + (portRef RSTB (instanceRef f1_ram_Mram_ram9)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram9)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram9)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram9)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram9)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram8)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram8)) + (portRef RSTA (instanceRef f1_ram_Mram_ram8)) + (portRef RSTB (instanceRef f1_ram_Mram_ram8)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram8)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram8)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram8)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram8)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram10)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram10)) + (portRef RSTA (instanceRef f1_ram_Mram_ram10)) + (portRef RSTB (instanceRef f1_ram_Mram_ram10)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram10)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram10)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram10)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram10)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram6)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram6)) + (portRef RSTA (instanceRef f1_ram_Mram_ram6)) + (portRef RSTB (instanceRef f1_ram_Mram_ram6)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram6)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram6)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram6)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram6)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram5)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram5)) + (portRef RSTA (instanceRef f1_ram_Mram_ram5)) + (portRef RSTB (instanceRef f1_ram_Mram_ram5)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram5)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram5)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram5)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram5)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram7)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram7)) + (portRef RSTA (instanceRef f1_ram_Mram_ram7)) + (portRef RSTB (instanceRef f1_ram_Mram_ram7)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram7)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram7)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram7)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram7)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram3)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram3)) + (portRef RSTA (instanceRef f1_ram_Mram_ram3)) + (portRef RSTB (instanceRef f1_ram_Mram_ram3)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram3)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram3)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram3)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram3)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram2)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram2)) + (portRef RSTA (instanceRef f1_ram_Mram_ram2)) + (portRef RSTB (instanceRef f1_ram_Mram_ram2)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram2)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram2)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram2)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram2)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram4)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram4)) + (portRef RSTA (instanceRef f1_ram_Mram_ram4)) + (portRef RSTB (instanceRef f1_ram_Mram_ram4)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram4)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram4)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram4)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram4)) + (portRef REGCEA (instanceRef f1_ram_Mram_ram1)) + (portRef REGCEB (instanceRef f1_ram_Mram_ram1)) + (portRef RSTA (instanceRef f1_ram_Mram_ram1)) + (portRef RSTB (instanceRef f1_ram_Mram_ram1)) + (portRef (member WEB 3) (instanceRef f1_ram_Mram_ram1)) + (portRef (member WEB 2) (instanceRef f1_ram_Mram_ram1)) + (portRef (member WEB 1) (instanceRef f1_ram_Mram_ram1)) + (portRef (member WEB 0) (instanceRef f1_ram_Mram_ram1)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram33)) + (portRef REGCEBREGCE (instanceRef f0_ram_Mram_ram33)) + (portRef RSTA (instanceRef f0_ram_Mram_ram33)) + (portRef RSTBRST (instanceRef f0_ram_Mram_ram33)) + (portRef (member WEBWEU 1) (instanceRef f0_ram_Mram_ram33)) + (portRef (member WEBWEU 0) (instanceRef f0_ram_Mram_ram33)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram31)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram31)) + (portRef RSTA (instanceRef f0_ram_Mram_ram31)) + (portRef RSTB (instanceRef f0_ram_Mram_ram31)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram31)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram31)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram31)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram31)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram30)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram30)) + (portRef RSTA (instanceRef f0_ram_Mram_ram30)) + (portRef RSTB (instanceRef f0_ram_Mram_ram30)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram30)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram30)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram30)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram30)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram32)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram32)) + (portRef RSTA (instanceRef f0_ram_Mram_ram32)) + (portRef RSTB (instanceRef f0_ram_Mram_ram32)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram32)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram32)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram32)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram32)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram28)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram28)) + (portRef RSTA (instanceRef f0_ram_Mram_ram28)) + (portRef RSTB (instanceRef f0_ram_Mram_ram28)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram28)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram28)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram28)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram28)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram27)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram27)) + (portRef RSTA (instanceRef f0_ram_Mram_ram27)) + (portRef RSTB (instanceRef f0_ram_Mram_ram27)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram27)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram27)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram27)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram27)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram29)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram29)) + (portRef RSTA (instanceRef f0_ram_Mram_ram29)) + (portRef RSTB (instanceRef f0_ram_Mram_ram29)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram29)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram29)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram29)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram29)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram25)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram25)) + (portRef RSTA (instanceRef f0_ram_Mram_ram25)) + (portRef RSTB (instanceRef f0_ram_Mram_ram25)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram25)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram25)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram25)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram25)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram24)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram24)) + (portRef RSTA (instanceRef f0_ram_Mram_ram24)) + (portRef RSTB (instanceRef f0_ram_Mram_ram24)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram24)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram24)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram24)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram24)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram26)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram26)) + (portRef RSTA (instanceRef f0_ram_Mram_ram26)) + (portRef RSTB (instanceRef f0_ram_Mram_ram26)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram26)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram26)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram26)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram26)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram22)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram22)) + (portRef RSTA (instanceRef f0_ram_Mram_ram22)) + (portRef RSTB (instanceRef f0_ram_Mram_ram22)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram22)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram22)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram22)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram22)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram21)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram21)) + (portRef RSTA (instanceRef f0_ram_Mram_ram21)) + (portRef RSTB (instanceRef f0_ram_Mram_ram21)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram21)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram21)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram21)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram21)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram23)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram23)) + (portRef RSTA (instanceRef f0_ram_Mram_ram23)) + (portRef RSTB (instanceRef f0_ram_Mram_ram23)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram23)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram23)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram23)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram23)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram19)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram19)) + (portRef RSTA (instanceRef f0_ram_Mram_ram19)) + (portRef RSTB (instanceRef f0_ram_Mram_ram19)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram19)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram19)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram19)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram19)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram18)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram18)) + (portRef RSTA (instanceRef f0_ram_Mram_ram18)) + (portRef RSTB (instanceRef f0_ram_Mram_ram18)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram18)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram18)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram18)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram18)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram20)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram20)) + (portRef RSTA (instanceRef f0_ram_Mram_ram20)) + (portRef RSTB (instanceRef f0_ram_Mram_ram20)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram20)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram20)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram20)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram20)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram16)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram16)) + (portRef RSTA (instanceRef f0_ram_Mram_ram16)) + (portRef RSTB (instanceRef f0_ram_Mram_ram16)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram16)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram16)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram16)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram16)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram15)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram15)) + (portRef RSTA (instanceRef f0_ram_Mram_ram15)) + (portRef RSTB (instanceRef f0_ram_Mram_ram15)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram15)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram15)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram15)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram15)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram17)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram17)) + (portRef RSTA (instanceRef f0_ram_Mram_ram17)) + (portRef RSTB (instanceRef f0_ram_Mram_ram17)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram17)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram17)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram17)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram17)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram14)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram14)) + (portRef RSTA (instanceRef f0_ram_Mram_ram14)) + (portRef RSTB (instanceRef f0_ram_Mram_ram14)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram14)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram14)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram14)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram14)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram13)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram13)) + (portRef RSTA (instanceRef f0_ram_Mram_ram13)) + (portRef RSTB (instanceRef f0_ram_Mram_ram13)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram13)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram13)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram13)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram13)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram12)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram12)) + (portRef RSTA (instanceRef f0_ram_Mram_ram12)) + (portRef RSTB (instanceRef f0_ram_Mram_ram12)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram12)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram12)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram12)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram12)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram11)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram11)) + (portRef RSTA (instanceRef f0_ram_Mram_ram11)) + (portRef RSTB (instanceRef f0_ram_Mram_ram11)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram11)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram11)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram11)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram11)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram9)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram9)) + (portRef RSTA (instanceRef f0_ram_Mram_ram9)) + (portRef RSTB (instanceRef f0_ram_Mram_ram9)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram9)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram9)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram9)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram9)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram8)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram8)) + (portRef RSTA (instanceRef f0_ram_Mram_ram8)) + (portRef RSTB (instanceRef f0_ram_Mram_ram8)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram8)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram8)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram8)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram8)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram10)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram10)) + (portRef RSTA (instanceRef f0_ram_Mram_ram10)) + (portRef RSTB (instanceRef f0_ram_Mram_ram10)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram10)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram10)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram10)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram10)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram6)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram6)) + (portRef RSTA (instanceRef f0_ram_Mram_ram6)) + (portRef RSTB (instanceRef f0_ram_Mram_ram6)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram6)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram6)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram6)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram6)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram5)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram5)) + (portRef RSTA (instanceRef f0_ram_Mram_ram5)) + (portRef RSTB (instanceRef f0_ram_Mram_ram5)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram5)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram5)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram5)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram5)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram7)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram7)) + (portRef RSTA (instanceRef f0_ram_Mram_ram7)) + (portRef RSTB (instanceRef f0_ram_Mram_ram7)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram7)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram7)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram7)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram7)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram3)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram3)) + (portRef RSTA (instanceRef f0_ram_Mram_ram3)) + (portRef RSTB (instanceRef f0_ram_Mram_ram3)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram3)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram3)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram3)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram3)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram2)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram2)) + (portRef RSTA (instanceRef f0_ram_Mram_ram2)) + (portRef RSTB (instanceRef f0_ram_Mram_ram2)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram2)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram2)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram2)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram2)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram4)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram4)) + (portRef RSTA (instanceRef f0_ram_Mram_ram4)) + (portRef RSTB (instanceRef f0_ram_Mram_ram4)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram4)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram4)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram4)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram4)) + (portRef REGCEA (instanceRef f0_ram_Mram_ram1)) + (portRef REGCEB (instanceRef f0_ram_Mram_ram1)) + (portRef RSTA (instanceRef f0_ram_Mram_ram1)) + (portRef RSTB (instanceRef f0_ram_Mram_ram1)) + (portRef (member WEB 3) (instanceRef f0_ram_Mram_ram1)) + (portRef (member WEB 2) (instanceRef f0_ram_Mram_ram1)) + (portRef (member WEB 1) (instanceRef f0_ram_Mram_ram1)) + (portRef (member WEB 0) (instanceRef f0_ram_Mram_ram1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_0__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_1__)) + (portRef (member din 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 13) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 14) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 15) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 16) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 17) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 18) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 19) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 20) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 21) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 22) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 23) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 24) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 25) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 26) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 27) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 28) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 29) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 32) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 33) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 34) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 35) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 36) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 37) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 38) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 13) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 14) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 15) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 16) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 17) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 18) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 19) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 20) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 21) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 22) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 23) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 24) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 25) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 26) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 27) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 28) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 29) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 32) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 33) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 34) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 35) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 36) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 37) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 38) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 13) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 14) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 15) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 16) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 17) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 18) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 19) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 20) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 21) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 22) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 23) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 24) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 25) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 26) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 27) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 28) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 29) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 32) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 33) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 34) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 35) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 36) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 37) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 38) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 13) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 14) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 15) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 16) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 17) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 18) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 19) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 20) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 21) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 22) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 23) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 24) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 25) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 26) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 27) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 28) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 29) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 32) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 33) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 34) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 35) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 36) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 37) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portRef (member din 38) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename GPIF_D_31_ "GPIF_D<31>") + (joined + (portRef (member GPIF_D 0)) + (portRef IO (instanceRef GPIF_D_31_IOBUF)) + ) + ) + (net (rename GPIF_D_30_ "GPIF_D<30>") + (joined + (portRef (member GPIF_D 1)) + (portRef IO (instanceRef GPIF_D_30_IOBUF)) + ) + ) + (net (rename GPIF_D_29_ "GPIF_D<29>") + (joined + (portRef (member GPIF_D 2)) + (portRef IO (instanceRef GPIF_D_29_IOBUF)) + ) + ) + (net (rename GPIF_D_28_ "GPIF_D<28>") + (joined + (portRef (member GPIF_D 3)) + (portRef IO (instanceRef GPIF_D_28_IOBUF)) + ) + ) + (net (rename GPIF_D_27_ "GPIF_D<27>") + (joined + (portRef (member GPIF_D 4)) + (portRef IO (instanceRef GPIF_D_27_IOBUF)) + ) + ) + (net (rename GPIF_D_26_ "GPIF_D<26>") + (joined + (portRef (member GPIF_D 5)) + (portRef IO (instanceRef GPIF_D_26_IOBUF)) + ) + ) + (net (rename GPIF_D_25_ "GPIF_D<25>") + (joined + (portRef (member GPIF_D 6)) + (portRef IO (instanceRef GPIF_D_25_IOBUF)) + ) + ) + (net (rename GPIF_D_24_ "GPIF_D<24>") + (joined + (portRef (member GPIF_D 7)) + (portRef IO (instanceRef GPIF_D_24_IOBUF)) + ) + ) + (net (rename GPIF_D_23_ "GPIF_D<23>") + (joined + (portRef (member GPIF_D 8)) + (portRef IO (instanceRef GPIF_D_23_IOBUF)) + ) + ) + (net (rename GPIF_D_22_ "GPIF_D<22>") + (joined + (portRef (member GPIF_D 9)) + (portRef IO (instanceRef GPIF_D_22_IOBUF)) + ) + ) + (net (rename GPIF_D_21_ "GPIF_D<21>") + (joined + (portRef (member GPIF_D 10)) + (portRef IO (instanceRef GPIF_D_21_IOBUF)) + ) + ) + (net (rename GPIF_D_20_ "GPIF_D<20>") + (joined + (portRef (member GPIF_D 11)) + (portRef IO (instanceRef GPIF_D_20_IOBUF)) + ) + ) + (net (rename GPIF_D_19_ "GPIF_D<19>") + (joined + (portRef (member GPIF_D 12)) + (portRef IO (instanceRef GPIF_D_19_IOBUF)) + ) + ) + (net (rename GPIF_D_18_ "GPIF_D<18>") + (joined + (portRef (member GPIF_D 13)) + (portRef IO (instanceRef GPIF_D_18_IOBUF)) + ) + ) + (net (rename GPIF_D_17_ "GPIF_D<17>") + (joined + (portRef (member GPIF_D 14)) + (portRef IO (instanceRef GPIF_D_17_IOBUF)) + ) + ) + (net (rename GPIF_D_16_ "GPIF_D<16>") + (joined + (portRef (member GPIF_D 15)) + (portRef IO (instanceRef GPIF_D_16_IOBUF)) + ) + ) + (net (rename GPIF_D_15_ "GPIF_D<15>") + (joined + (portRef (member GPIF_D 16)) + (portRef IO (instanceRef GPIF_D_15_IOBUF)) + ) + ) + (net (rename GPIF_D_14_ "GPIF_D<14>") + (joined + (portRef (member GPIF_D 17)) + (portRef IO (instanceRef GPIF_D_14_IOBUF)) + ) + ) + (net (rename GPIF_D_13_ "GPIF_D<13>") + (joined + (portRef (member GPIF_D 18)) + (portRef IO (instanceRef GPIF_D_13_IOBUF)) + ) + ) + (net (rename GPIF_D_12_ "GPIF_D<12>") + (joined + (portRef (member GPIF_D 19)) + (portRef IO (instanceRef GPIF_D_12_IOBUF)) + ) + ) + (net (rename GPIF_D_11_ "GPIF_D<11>") + (joined + (portRef (member GPIF_D 20)) + (portRef IO (instanceRef GPIF_D_11_IOBUF)) + ) + ) + (net (rename GPIF_D_10_ "GPIF_D<10>") + (joined + (portRef (member GPIF_D 21)) + (portRef IO (instanceRef GPIF_D_10_IOBUF)) + ) + ) + (net (rename GPIF_D_9_ "GPIF_D<9>") + (joined + (portRef (member GPIF_D 22)) + (portRef IO (instanceRef GPIF_D_9_IOBUF)) + ) + ) + (net (rename GPIF_D_8_ "GPIF_D<8>") + (joined + (portRef (member GPIF_D 23)) + (portRef IO (instanceRef GPIF_D_8_IOBUF)) + ) + ) + (net (rename GPIF_D_7_ "GPIF_D<7>") + (joined + (portRef (member GPIF_D 24)) + (portRef IO (instanceRef GPIF_D_7_IOBUF)) + ) + ) + (net (rename GPIF_D_6_ "GPIF_D<6>") + (joined + (portRef (member GPIF_D 25)) + (portRef IO (instanceRef GPIF_D_6_IOBUF)) + ) + ) + (net (rename GPIF_D_5_ "GPIF_D<5>") + (joined + (portRef (member GPIF_D 26)) + (portRef IO (instanceRef GPIF_D_5_IOBUF)) + ) + ) + (net (rename GPIF_D_4_ "GPIF_D<4>") + (joined + (portRef (member GPIF_D 27)) + (portRef IO (instanceRef GPIF_D_4_IOBUF)) + ) + ) + (net (rename GPIF_D_3_ "GPIF_D<3>") + (joined + (portRef (member GPIF_D 28)) + (portRef IO (instanceRef GPIF_D_3_IOBUF)) + ) + ) + (net (rename GPIF_D_2_ "GPIF_D<2>") + (joined + (portRef (member GPIF_D 29)) + (portRef IO (instanceRef GPIF_D_2_IOBUF)) + ) + ) + (net (rename GPIF_D_1_ "GPIF_D<1>") + (joined + (portRef (member GPIF_D 30)) + (portRef IO (instanceRef GPIF_D_1_IOBUF)) + ) + ) + (net (rename GPIF_D_0_ "GPIF_D<0>") + (joined + (portRef (member GPIF_D 31)) + (portRef IO (instanceRef GPIF_D_0_IOBUF)) + ) + ) + (net (rename slave_fifo32_fifoadr_1_ "slave_fifo32/fifoadr<1>") + (joined + (portRef Q (instanceRef slave_fifo32_fifoadr_1)) + (portRef I (instanceRef GPIF_CTL11_OBUF)) + ) + ) + (net (rename slave_fifo32_fifoadr_0_ "slave_fifo32/fifoadr<0>") + (joined + (portRef Q (instanceRef slave_fifo32_fifoadr_0)) + (portRef I (instanceRef GPIF_CTL12_OBUF)) + ) + ) + (net (rename tx_tdata_63_ "tx_tdata<63>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram32)) + ) + ) + (net (rename tx_tdata_62_ "tx_tdata<62>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram32)) + ) + ) + (net (rename tx_tdata_61_ "tx_tdata<61>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram31)) + ) + ) + (net (rename tx_tdata_60_ "tx_tdata<60>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram31)) + ) + ) + (net (rename tx_tdata_59_ "tx_tdata<59>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram30)) + ) + ) + (net (rename tx_tdata_58_ "tx_tdata<58>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram30)) + ) + ) + (net (rename tx_tdata_57_ "tx_tdata<57>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram29)) + ) + ) + (net (rename tx_tdata_56_ "tx_tdata<56>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram29)) + ) + ) + (net (rename tx_tdata_55_ "tx_tdata<55>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram28)) + ) + ) + (net (rename tx_tdata_54_ "tx_tdata<54>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram28)) + ) + ) + (net (rename tx_tdata_53_ "tx_tdata<53>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram27)) + ) + ) + (net (rename tx_tdata_52_ "tx_tdata<52>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram27)) + ) + ) + (net (rename tx_tdata_51_ "tx_tdata<51>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram26)) + ) + ) + (net (rename tx_tdata_50_ "tx_tdata<50>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram26)) + ) + ) + (net (rename tx_tdata_49_ "tx_tdata<49>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram25)) + ) + ) + (net (rename tx_tdata_48_ "tx_tdata<48>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram25)) + ) + ) + (net (rename tx_tdata_47_ "tx_tdata<47>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram24)) + ) + ) + (net (rename tx_tdata_46_ "tx_tdata<46>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram24)) + ) + ) + (net (rename tx_tdata_45_ "tx_tdata<45>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram23)) + ) + ) + (net (rename tx_tdata_44_ "tx_tdata<44>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram23)) + ) + ) + (net (rename tx_tdata_43_ "tx_tdata<43>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram22)) + ) + ) + (net (rename tx_tdata_42_ "tx_tdata<42>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram22)) + ) + ) + (net (rename tx_tdata_41_ "tx_tdata<41>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram21)) + ) + ) + (net (rename tx_tdata_40_ "tx_tdata<40>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram21)) + ) + ) + (net (rename tx_tdata_39_ "tx_tdata<39>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram20)) + ) + ) + (net (rename tx_tdata_38_ "tx_tdata<38>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram20)) + ) + ) + (net (rename tx_tdata_37_ "tx_tdata<37>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram19)) + ) + ) + (net (rename tx_tdata_36_ "tx_tdata<36>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram19)) + ) + ) + (net (rename tx_tdata_35_ "tx_tdata<35>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram18)) + ) + ) + (net (rename tx_tdata_34_ "tx_tdata<34>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram18)) + ) + ) + (net (rename tx_tdata_33_ "tx_tdata<33>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram17)) + ) + ) + (net (rename tx_tdata_32_ "tx_tdata<32>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram17)) + ) + ) + (net (rename tx_tdata_31_ "tx_tdata<31>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram16)) + ) + ) + (net (rename tx_tdata_30_ "tx_tdata<30>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram16)) + ) + ) + (net (rename tx_tdata_29_ "tx_tdata<29>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram15)) + ) + ) + (net (rename tx_tdata_28_ "tx_tdata<28>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram15)) + ) + ) + (net (rename tx_tdata_27_ "tx_tdata<27>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram14)) + ) + ) + (net (rename tx_tdata_26_ "tx_tdata<26>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram14)) + ) + ) + (net (rename tx_tdata_25_ "tx_tdata<25>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram13)) + ) + ) + (net (rename tx_tdata_24_ "tx_tdata<24>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram13)) + ) + ) + (net (rename tx_tdata_23_ "tx_tdata<23>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram12)) + ) + ) + (net (rename tx_tdata_22_ "tx_tdata<22>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram12)) + ) + ) + (net (rename tx_tdata_21_ "tx_tdata<21>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram11)) + ) + ) + (net (rename tx_tdata_20_ "tx_tdata<20>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram11)) + ) + ) + (net (rename tx_tdata_19_ "tx_tdata<19>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram10)) + ) + ) + (net (rename tx_tdata_18_ "tx_tdata<18>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram10)) + ) + ) + (net (rename tx_tdata_17_ "tx_tdata<17>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram9)) + ) + ) + (net (rename tx_tdata_16_ "tx_tdata<16>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram9)) + ) + ) + (net (rename tx_tdata_15_ "tx_tdata<15>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram8)) + ) + ) + (net (rename tx_tdata_14_ "tx_tdata<14>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram8)) + ) + ) + (net (rename tx_tdata_13_ "tx_tdata<13>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram7)) + ) + ) + (net (rename tx_tdata_12_ "tx_tdata<12>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram7)) + ) + ) + (net (rename tx_tdata_11_ "tx_tdata<11>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram6)) + ) + ) + (net (rename tx_tdata_10_ "tx_tdata<10>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram6)) + ) + ) + (net (rename tx_tdata_9_ "tx_tdata<9>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram5)) + ) + ) + (net (rename tx_tdata_8_ "tx_tdata<8>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram5)) + ) + ) + (net (rename tx_tdata_7_ "tx_tdata<7>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram4)) + ) + ) + (net (rename tx_tdata_6_ "tx_tdata<6>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram4)) + ) + ) + (net (rename tx_tdata_5_ "tx_tdata<5>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram3)) + ) + ) + (net (rename tx_tdata_4_ "tx_tdata<4>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram3)) + ) + ) + (net (rename tx_tdata_3_ "tx_tdata<3>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram2)) + ) + ) + (net (rename tx_tdata_2_ "tx_tdata<2>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram2)) + ) + ) + (net (rename tx_tdata_1_ "tx_tdata<1>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef (member DIA 30) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename tx_tdata_0_ "tx_tdata<0>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef (member DIA 31) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename ctrl_tdata_63_ "ctrl_tdata<63>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram32)) + ) + ) + (net (rename ctrl_tdata_62_ "ctrl_tdata<62>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram32)) + ) + ) + (net (rename ctrl_tdata_61_ "ctrl_tdata<61>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram31)) + ) + ) + (net (rename ctrl_tdata_60_ "ctrl_tdata<60>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram31)) + ) + ) + (net (rename ctrl_tdata_59_ "ctrl_tdata<59>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram30)) + ) + ) + (net (rename ctrl_tdata_58_ "ctrl_tdata<58>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram30)) + ) + ) + (net (rename ctrl_tdata_57_ "ctrl_tdata<57>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram29)) + ) + ) + (net (rename ctrl_tdata_56_ "ctrl_tdata<56>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram29)) + ) + ) + (net (rename ctrl_tdata_55_ "ctrl_tdata<55>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram28)) + ) + ) + (net (rename ctrl_tdata_54_ "ctrl_tdata<54>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram28)) + ) + ) + (net (rename ctrl_tdata_53_ "ctrl_tdata<53>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram27)) + ) + ) + (net (rename ctrl_tdata_52_ "ctrl_tdata<52>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram27)) + ) + ) + (net (rename ctrl_tdata_51_ "ctrl_tdata<51>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram26)) + ) + ) + (net (rename ctrl_tdata_50_ "ctrl_tdata<50>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram26)) + ) + ) + (net (rename ctrl_tdata_49_ "ctrl_tdata<49>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram25)) + ) + ) + (net (rename ctrl_tdata_48_ "ctrl_tdata<48>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram25)) + ) + ) + (net (rename ctrl_tdata_47_ "ctrl_tdata<47>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram24)) + ) + ) + (net (rename ctrl_tdata_46_ "ctrl_tdata<46>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram24)) + ) + ) + (net (rename ctrl_tdata_45_ "ctrl_tdata<45>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram23)) + ) + ) + (net (rename ctrl_tdata_44_ "ctrl_tdata<44>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram23)) + ) + ) + (net (rename ctrl_tdata_43_ "ctrl_tdata<43>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram22)) + ) + ) + (net (rename ctrl_tdata_42_ "ctrl_tdata<42>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram22)) + ) + ) + (net (rename ctrl_tdata_41_ "ctrl_tdata<41>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram21)) + ) + ) + (net (rename ctrl_tdata_40_ "ctrl_tdata<40>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram21)) + ) + ) + (net (rename ctrl_tdata_39_ "ctrl_tdata<39>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram20)) + ) + ) + (net (rename ctrl_tdata_38_ "ctrl_tdata<38>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram20)) + ) + ) + (net (rename ctrl_tdata_37_ "ctrl_tdata<37>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram19)) + ) + ) + (net (rename ctrl_tdata_36_ "ctrl_tdata<36>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram19)) + ) + ) + (net (rename ctrl_tdata_35_ "ctrl_tdata<35>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram18)) + ) + ) + (net (rename ctrl_tdata_34_ "ctrl_tdata<34>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram18)) + ) + ) + (net (rename ctrl_tdata_33_ "ctrl_tdata<33>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram17)) + ) + ) + (net (rename ctrl_tdata_32_ "ctrl_tdata<32>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram17)) + ) + ) + (net (rename ctrl_tdata_31_ "ctrl_tdata<31>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram16)) + ) + ) + (net (rename ctrl_tdata_30_ "ctrl_tdata<30>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram16)) + ) + ) + (net (rename ctrl_tdata_29_ "ctrl_tdata<29>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram15)) + ) + ) + (net (rename ctrl_tdata_28_ "ctrl_tdata<28>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram15)) + ) + ) + (net (rename ctrl_tdata_27_ "ctrl_tdata<27>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram14)) + ) + ) + (net (rename ctrl_tdata_26_ "ctrl_tdata<26>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram14)) + ) + ) + (net (rename ctrl_tdata_25_ "ctrl_tdata<25>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram13)) + ) + ) + (net (rename ctrl_tdata_24_ "ctrl_tdata<24>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram13)) + ) + ) + (net (rename ctrl_tdata_23_ "ctrl_tdata<23>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram12)) + ) + ) + (net (rename ctrl_tdata_22_ "ctrl_tdata<22>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram12)) + ) + ) + (net (rename ctrl_tdata_21_ "ctrl_tdata<21>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram11)) + ) + ) + (net (rename ctrl_tdata_20_ "ctrl_tdata<20>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram11)) + ) + ) + (net (rename ctrl_tdata_19_ "ctrl_tdata<19>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram10)) + ) + ) + (net (rename ctrl_tdata_18_ "ctrl_tdata<18>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram10)) + ) + ) + (net (rename ctrl_tdata_17_ "ctrl_tdata<17>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram9)) + ) + ) + (net (rename ctrl_tdata_16_ "ctrl_tdata<16>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram9)) + ) + ) + (net (rename ctrl_tdata_15_ "ctrl_tdata<15>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram8)) + ) + ) + (net (rename ctrl_tdata_14_ "ctrl_tdata<14>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram8)) + ) + ) + (net (rename ctrl_tdata_13_ "ctrl_tdata<13>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram7)) + ) + ) + (net (rename ctrl_tdata_12_ "ctrl_tdata<12>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram7)) + ) + ) + (net (rename ctrl_tdata_11_ "ctrl_tdata<11>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram6)) + ) + ) + (net (rename ctrl_tdata_10_ "ctrl_tdata<10>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram6)) + ) + ) + (net (rename ctrl_tdata_9_ "ctrl_tdata<9>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram5)) + ) + ) + (net (rename ctrl_tdata_8_ "ctrl_tdata<8>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram5)) + ) + ) + (net (rename ctrl_tdata_7_ "ctrl_tdata<7>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram4)) + ) + ) + (net (rename ctrl_tdata_6_ "ctrl_tdata<6>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram4)) + ) + ) + (net (rename ctrl_tdata_5_ "ctrl_tdata<5>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram3)) + ) + ) + (net (rename ctrl_tdata_4_ "ctrl_tdata<4>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram3)) + ) + ) + (net (rename ctrl_tdata_3_ "ctrl_tdata<3>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram2)) + ) + ) + (net (rename ctrl_tdata_2_ "ctrl_tdata<2>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram2)) + ) + ) + (net (rename ctrl_tdata_1_ "ctrl_tdata<1>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef (member DIA 30) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename ctrl_tdata_0_ "ctrl_tdata<0>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef (member DIA 31) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_debug2_31__ "slave_fifo32/debug2<31>") + (joined + (portRef Q (instanceRef slave_fifo32_debug2_31)) + (portRef I (instanceRef debug_31_OBUF)) + ) + ) + (net (rename slave_fifo32_slrd2 "slave_fifo32/slrd2") + (joined + (portRef Q (instanceRef slave_fifo32_slrd2_renamed_9)) + (portRef I (instanceRef debug_30_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_29__ "slave_fifo32/debug2<29>") + (joined + (portRef Q (instanceRef slave_fifo32_debug2_29)) + (portRef I (instanceRef debug_29_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_28__ "slave_fifo32/debug2<28>") + (joined + (portRef Q (instanceRef slave_fifo32_debug2_28)) + (portRef I (instanceRef debug_28_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_27__ "slave_fifo32/debug2<27>") + (joined + (portRef Q (instanceRef slave_fifo32_debug2_27)) + (portRef I (instanceRef debug_27_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_26__ "slave_fifo32/debug2<26>") + (joined + (portRef Q (instanceRef slave_fifo32_debug2_26)) + (portRef I (instanceRef debug_26_OBUF)) + ) + ) + (net (rename slave_fifo32_EP_WMARK1 "slave_fifo32/EP_WMARK1") + (joined + (portRef Q (instanceRef slave_fifo32_EP_WMARK1_renamed_11)) + (portRef I (instanceRef debug_25_OBUF)) + ) + ) + (net (rename slave_fifo32_EP_READY1 "slave_fifo32/EP_READY1") + (joined + (portRef Q (instanceRef slave_fifo32_EP_READY1_renamed_12)) + (portRef I (instanceRef debug_24_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_23__ "slave_fifo32/debug2<23>") + (joined + (portRef Q (instanceRef slave_fifo32_debug2_23)) + (portRef I (instanceRef debug_23_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_22__ "slave_fifo32/debug2<22>") + (joined + (portRef Q (instanceRef slave_fifo32_debug2_22)) + (portRef I (instanceRef debug_22_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_21__ "slave_fifo32/debug2<21>") + (joined + (portRef Q (instanceRef slave_fifo32_debug2_21)) + (portRef I (instanceRef debug_21_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_19__ "slave_fifo32/debug2<19>") + (joined + (portRef Q (instanceRef slave_fifo32_debug2_19)) + (portRef I (instanceRef debug_19_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_18__ "slave_fifo32/debug2<18>") + (joined + (portRef Q (instanceRef slave_fifo32_debug2_18)) + (portRef I (instanceRef debug_18_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_17__ "slave_fifo32/debug2<17>") + (joined + (portRef Q (instanceRef slave_fifo32_debug2_17)) + (portRef I (instanceRef debug_17_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_16__ "slave_fifo32/debug2<16>") + (joined + (portRef Q (instanceRef slave_fifo32_debug2_16)) + (portRef I (instanceRef debug_16_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_15__ "slave_fifo32/debug2<15>") + (joined + (portRef Q (instanceRef slave_fifo32_debug2_15)) + (portRef I (instanceRef debug_15_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_14__ "slave_fifo32/debug2<14>") + (joined + (portRef Q (instanceRef slave_fifo32_debug2_14)) + (portRef I (instanceRef debug_14_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_13__ "slave_fifo32/debug2<13>") + (joined + (portRef Q (instanceRef slave_fifo32_debug2_13)) + (portRef I (instanceRef debug_13_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_12__ "slave_fifo32/debug2<12>") + (joined + (portRef Q (instanceRef slave_fifo32_debug2_12)) + (portRef I (instanceRef debug_12_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_11__ "slave_fifo32/debug2<11>") + (joined + (portRef Q (instanceRef slave_fifo32_debug2_11)) + (portRef I (instanceRef debug_11_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_10__ "slave_fifo32/debug2<10>") + (joined + (portRef Q (instanceRef slave_fifo32_debug2_10)) + (portRef I (instanceRef debug_10_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_9__ "slave_fifo32/debug2<9>") + (joined + (portRef Q (instanceRef slave_fifo32_debug2_9)) + (portRef I (instanceRef debug_9_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_8__ "slave_fifo32/debug2<8>") + (joined + (portRef Q (instanceRef slave_fifo32_debug2_8)) + (portRef I (instanceRef debug_8_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_7__ "slave_fifo32/debug2<7>") + (joined + (portRef Q (instanceRef slave_fifo32_debug2_7)) + (portRef I (instanceRef debug_7_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_6__ "slave_fifo32/debug2<6>") + (joined + (portRef Q (instanceRef slave_fifo32_debug2_6)) + (portRef I (instanceRef debug_6_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_5__ "slave_fifo32/debug2<5>") + (joined + (portRef Q (instanceRef slave_fifo32_debug2_5)) + (portRef I (instanceRef debug_5_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_4__ "slave_fifo32/debug2<4>") + (joined + (portRef Q (instanceRef slave_fifo32_debug2_4)) + (portRef I (instanceRef debug_4_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_3__ "slave_fifo32/debug2<3>") + (joined + (portRef Q (instanceRef slave_fifo32_debug2_3)) + (portRef I (instanceRef debug_3_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_2__ "slave_fifo32/debug2<2>") + (joined + (portRef Q (instanceRef slave_fifo32_debug2_2)) + (portRef I (instanceRef debug_2_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_1__ "slave_fifo32/debug2<1>") + (joined + (portRef Q (instanceRef slave_fifo32_debug2_1)) + (portRef I (instanceRef debug_1_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_0__ "slave_fifo32/debug2<0>") + (joined + (portRef Q (instanceRef slave_fifo32_debug2_0)) + (portRef I (instanceRef debug_0_OBUF)) + ) + ) + (net (rename slave_fifo32_sloe "slave_fifo32/sloe") + (joined + (portRef I1 (instanceRef slave_fifo32_sloe_rstpot_renamed_541)) + (portRef Q (instanceRef slave_fifo32_sloe_renamed_540)) + ) + ) + (net (rename slave_fifo32_slrd "slave_fifo32/slrd") + (joined + (portRef I (instanceRef GPIF_CTL3_OBUF)) + (portRef Q (instanceRef slave_fifo32_slrd_renamed_257)) + ) + ) + (net (rename slave_fifo32_slwr "slave_fifo32/slwr") + (joined + (portRef Q (instanceRef slave_fifo32_slwr_renamed_7)) + (portRef I (instanceRef GPIF_CTL1_OBUF)) + ) + ) + (net (rename slave_fifo32_pktend "slave_fifo32/pktend") + (joined + (portRef Q (instanceRef slave_fifo32_pktend_renamed_6)) + (portRef I (instanceRef GPIF_CTL7_OBUF)) + ) + ) + (net tx_tlast + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef (member DIADI 15) (instanceRef f1_ram_Mram_ram33)) + ) + ) + (net ctrl_tlast + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef (member DIADI 15) (instanceRef f0_ram_Mram_ram33)) + ) + ) + (net (rename bus_sync_reset_out "bus_sync/reset_out") + (joined + (portRef Q (instanceRef bus_sync_reset_out_renamed_0)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_0)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_1)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_2)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_3)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_4)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_0)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_1)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_2)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_3)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_4)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_0)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_1)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_2)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_3)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_4)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_0)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_1)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_2)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_3)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_4)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_5)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_6)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_7)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_8)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_9)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_10)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_11)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_12)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_13)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_14)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_15)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_renamed_24)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_renamed_23)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_0)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_1)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_2)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_3)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_4)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_5)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_6)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_7)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_8)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_9)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_10)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_11)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_12)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_0)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_1)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_2)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_3)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_4)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_5)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_6)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_7)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_8)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_9)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_10)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_11)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_12)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_0)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_1)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_2)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_3)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_4)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_0)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_1)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_2)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_3)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_4)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_5)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_6)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_7)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_8)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_9)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_10)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_11)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_12)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_13)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_14)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_15)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_renamed_28)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_renamed_27)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_0)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_1)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_2)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_3)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_4)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_5)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_6)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_7)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_8)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_0)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_1)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_2)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_3)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_4)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_5)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_6)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_7)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_8)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_9)) + (portRef R (instanceRef f1_read_state_FSM_FFd2_renamed_30)) + (portRef R (instanceRef f0_read_state_FSM_FFd2_renamed_32)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_1)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_2)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_3)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_4)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_5)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_6)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_7)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_0)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_1)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_2)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_3)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_4)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_5)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_6)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7)) + (portRef R (instanceRef f1_wr_addr_9)) + (portRef R (instanceRef f1_wr_addr_8)) + (portRef R (instanceRef f1_wr_addr_7)) + (portRef R (instanceRef f1_wr_addr_6)) + (portRef R (instanceRef f1_wr_addr_5)) + (portRef R (instanceRef f1_wr_addr_4)) + (portRef R (instanceRef f1_wr_addr_3)) + (portRef R (instanceRef f1_wr_addr_2)) + (portRef R (instanceRef f1_wr_addr_12)) + (portRef R (instanceRef f1_wr_addr_11)) + (portRef R (instanceRef f1_wr_addr_10)) + (portRef R (instanceRef f1_wr_addr_1)) + (portRef R (instanceRef f1_wr_addr_0)) + (portRef R (instanceRef f1_rd_addr_9)) + (portRef R (instanceRef f1_rd_addr_8)) + (portRef R (instanceRef f1_rd_addr_7)) + (portRef R (instanceRef f1_rd_addr_6)) + (portRef R (instanceRef f1_rd_addr_5)) + (portRef R (instanceRef f1_rd_addr_4)) + (portRef R (instanceRef f1_rd_addr_3)) + (portRef R (instanceRef f1_rd_addr_2)) + (portRef R (instanceRef f1_rd_addr_12)) + (portRef R (instanceRef f1_rd_addr_11)) + (portRef R (instanceRef f1_rd_addr_10)) + (portRef R (instanceRef f1_rd_addr_1)) + (portRef R (instanceRef f1_rd_addr_0)) + (portRef R (instanceRef f1_read_state_FSM_FFd1_renamed_29)) + (portRef R (instanceRef f0_wr_addr_9)) + (portRef R (instanceRef f0_wr_addr_8)) + (portRef R (instanceRef f0_wr_addr_7)) + (portRef R (instanceRef f0_wr_addr_6)) + (portRef R (instanceRef f0_wr_addr_5)) + (portRef R (instanceRef f0_wr_addr_4)) + (portRef R (instanceRef f0_wr_addr_3)) + (portRef R (instanceRef f0_wr_addr_2)) + (portRef R (instanceRef f0_wr_addr_12)) + (portRef R (instanceRef f0_wr_addr_11)) + (portRef R (instanceRef f0_wr_addr_10)) + (portRef R (instanceRef f0_wr_addr_1)) + (portRef R (instanceRef f0_wr_addr_0)) + (portRef R (instanceRef f0_rd_addr_9)) + (portRef R (instanceRef f0_rd_addr_8)) + (portRef R (instanceRef f0_rd_addr_7)) + (portRef R (instanceRef f0_rd_addr_6)) + (portRef R (instanceRef f0_rd_addr_5)) + (portRef R (instanceRef f0_rd_addr_4)) + (portRef R (instanceRef f0_rd_addr_3)) + (portRef R (instanceRef f0_rd_addr_2)) + (portRef R (instanceRef f0_rd_addr_12)) + (portRef R (instanceRef f0_rd_addr_11)) + (portRef R (instanceRef f0_rd_addr_10)) + (portRef R (instanceRef f0_rd_addr_1)) + (portRef R (instanceRef f0_rd_addr_0)) + (portRef R (instanceRef f0_read_state_FSM_FFd1_renamed_31)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo_rst_gpif_rst_OR_155_o1)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_state_renamed_96)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_state_renamed_97)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_renamed_98)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_renamed_99)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_renamed_100)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_renamed_101)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_renamed_104)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full_renamed_105)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_state_renamed_106)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_renamed_108)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump_renamed_109)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_renamed_110)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full_renamed_111)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_state_renamed_112)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg_renamed_114)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump_renamed_115)) + (portRef R (instanceRef f1_full_reg_renamed_116)) + (portRef R (instanceRef f0_full_reg_renamed_117)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_empty_reg_renamed_258)) + (portRef S (instanceRef f1_Result_0_2_FRB_renamed_337)) + (portRef R (instanceRef f1_Result_1_2_FRB_renamed_338)) + (portRef R (instanceRef f1_Result_2_2_FRB_renamed_339)) + (portRef R (instanceRef f1_Result_3_2_FRB_renamed_340)) + (portRef R (instanceRef f1_Result_4_2_FRB_renamed_341)) + (portRef R (instanceRef f1_Result_5_2_FRB_renamed_342)) + (portRef R (instanceRef f1_Result_6_2_FRB_renamed_343)) + (portRef R (instanceRef f1_Result_7_2_FRB_renamed_344)) + (portRef R (instanceRef f1_Result_8_2_FRB_renamed_345)) + (portRef R (instanceRef f1_Result_9_2_FRB_renamed_346)) + (portRef R (instanceRef f1_Result_10_2_FRB_renamed_347)) + (portRef R (instanceRef f1_Result_11_2_FRB_renamed_348)) + (portRef R (instanceRef f1_Result_12_2_FRB_renamed_349)) + (portRef S (instanceRef f1_Result_0_1_FRB_renamed_350)) + (portRef R (instanceRef f1_Result_1_1_FRB_renamed_351)) + (portRef R (instanceRef f1_Result_2_1_FRB_renamed_352)) + (portRef R (instanceRef f1_Result_3_1_FRB_renamed_353)) + (portRef R (instanceRef f1_Result_4_1_FRB_renamed_354)) + (portRef R (instanceRef f1_Result_5_1_FRB_renamed_355)) + (portRef R (instanceRef f1_Result_6_1_FRB_renamed_356)) + (portRef R (instanceRef f1_Result_7_1_FRB_renamed_357)) + (portRef R (instanceRef f1_Result_8_1_FRB_renamed_358)) + (portRef R (instanceRef f1_Result_9_1_FRB_renamed_359)) + (portRef R (instanceRef f1_Result_10_1_FRB_renamed_360)) + (portRef R (instanceRef f1_Result_11_1_FRB_renamed_361)) + (portRef R (instanceRef f1_Result_12_1_FRB_renamed_362)) + (portRef S (instanceRef f1_dont_write_past_me_0__FRB_renamed_363)) + (portRef R (instanceRef f1_dont_write_past_me_1__FRB_renamed_364)) + (portRef S (instanceRef f1_dont_write_past_me_2__FRB_renamed_365)) + (portRef S (instanceRef f1_dont_write_past_me_3__FRB_renamed_366)) + (portRef S (instanceRef f1_dont_write_past_me_4__FRB_renamed_367)) + (portRef S (instanceRef f1_dont_write_past_me_5__FRB_renamed_368)) + (portRef S (instanceRef f1_dont_write_past_me_6__FRB_renamed_369)) + (portRef S (instanceRef f1_dont_write_past_me_7__FRB_renamed_370)) + (portRef S (instanceRef f1_dont_write_past_me_8__FRB_renamed_371)) + (portRef S (instanceRef f1_dont_write_past_me_9__FRB_renamed_372)) + (portRef S (instanceRef f1_dont_write_past_me_10__FRB_renamed_373)) + (portRef S (instanceRef f1_dont_write_past_me_11__FRB_renamed_374)) + (portRef S (instanceRef f1_dont_write_past_me_12__FRB_renamed_375)) + (portRef S (instanceRef f0_Result_0_2_FRB_renamed_376)) + (portRef R (instanceRef f0_Result_1_2_FRB_renamed_377)) + (portRef R (instanceRef f0_Result_2_2_FRB_renamed_378)) + (portRef R (instanceRef f0_Result_3_2_FRB_renamed_379)) + (portRef R (instanceRef f0_Result_4_2_FRB_renamed_380)) + (portRef R (instanceRef f0_Result_5_2_FRB_renamed_381)) + (portRef R (instanceRef f0_Result_6_2_FRB_renamed_382)) + (portRef R (instanceRef f0_Result_7_2_FRB_renamed_383)) + (portRef R (instanceRef f0_Result_8_2_FRB_renamed_384)) + (portRef R (instanceRef f0_Result_9_2_FRB_renamed_385)) + (portRef R (instanceRef f0_Result_10_2_FRB_renamed_386)) + (portRef R (instanceRef f0_Result_11_2_FRB_renamed_387)) + (portRef R (instanceRef f0_Result_12_2_FRB_renamed_388)) + (portRef S (instanceRef f0_Result_0_1_FRB_renamed_389)) + (portRef R (instanceRef f0_Result_1_1_FRB_renamed_390)) + (portRef R (instanceRef f0_Result_2_1_FRB_renamed_391)) + (portRef R (instanceRef f0_Result_3_1_FRB_renamed_392)) + (portRef R (instanceRef f0_Result_4_1_FRB_renamed_393)) + (portRef R (instanceRef f0_Result_5_1_FRB_renamed_394)) + (portRef R (instanceRef f0_Result_6_1_FRB_renamed_395)) + (portRef R (instanceRef f0_Result_7_1_FRB_renamed_396)) + (portRef R (instanceRef f0_Result_8_1_FRB_renamed_397)) + (portRef R (instanceRef f0_Result_9_1_FRB_renamed_398)) + (portRef R (instanceRef f0_Result_10_1_FRB_renamed_399)) + (portRef R (instanceRef f0_Result_11_1_FRB_renamed_400)) + (portRef R (instanceRef f0_Result_12_1_FRB_renamed_401)) + (portRef S (instanceRef f0_dont_write_past_me_0__FRB_renamed_402)) + (portRef R (instanceRef f0_dont_write_past_me_1__FRB_renamed_403)) + (portRef S (instanceRef f0_dont_write_past_me_2__FRB_renamed_404)) + (portRef S (instanceRef f0_dont_write_past_me_3__FRB_renamed_405)) + (portRef S (instanceRef f0_dont_write_past_me_4__FRB_renamed_406)) + (portRef S (instanceRef f0_dont_write_past_me_5__FRB_renamed_407)) + (portRef S (instanceRef f0_dont_write_past_me_6__FRB_renamed_408)) + (portRef S (instanceRef f0_dont_write_past_me_7__FRB_renamed_409)) + (portRef S (instanceRef f0_dont_write_past_me_8__FRB_renamed_410)) + (portRef S (instanceRef f0_dont_write_past_me_9__FRB_renamed_411)) + (portRef S (instanceRef f0_dont_write_past_me_10__FRB_renamed_412)) + (portRef S (instanceRef f0_dont_write_past_me_11__FRB_renamed_413)) + (portRef S (instanceRef f0_dont_write_past_me_12__FRB_renamed_414)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst_renamed_417)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst_renamed_418)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_SW0_FRB_renamed_463)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB0_renamed_478)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB0_renamed_484)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst_renamed_535)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst_renamed_536)) + (portRef R (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_1_renamed_539)) + ) + ) + (net (rename n0035_63_ "n0035<63>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram32)) + ) + ) + (net (rename n0035_62_ "n0035<62>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram32)) + ) + ) + (net (rename n0035_61_ "n0035<61>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram31)) + ) + ) + (net (rename n0035_60_ "n0035<60>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram31)) + ) + ) + (net (rename n0035_59_ "n0035<59>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram30)) + ) + ) + (net (rename n0035_58_ "n0035<58>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram30)) + ) + ) + (net (rename n0035_57_ "n0035<57>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram29)) + ) + ) + (net (rename n0035_56_ "n0035<56>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram29)) + ) + ) + (net (rename n0035_55_ "n0035<55>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram28)) + ) + ) + (net (rename n0035_54_ "n0035<54>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram28)) + ) + ) + (net (rename n0035_53_ "n0035<53>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram27)) + ) + ) + (net (rename n0035_52_ "n0035<52>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram27)) + ) + ) + (net (rename n0035_51_ "n0035<51>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram26)) + ) + ) + (net (rename n0035_50_ "n0035<50>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram26)) + ) + ) + (net (rename n0035_49_ "n0035<49>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram25)) + ) + ) + (net (rename n0035_48_ "n0035<48>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram25)) + ) + ) + (net (rename n0035_47_ "n0035<47>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram24)) + ) + ) + (net (rename n0035_46_ "n0035<46>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram24)) + ) + ) + (net (rename n0035_45_ "n0035<45>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram23)) + ) + ) + (net (rename n0035_44_ "n0035<44>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram23)) + ) + ) + (net (rename n0035_43_ "n0035<43>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram22)) + ) + ) + (net (rename n0035_42_ "n0035<42>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram22)) + ) + ) + (net (rename n0035_41_ "n0035<41>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram21)) + ) + ) + (net (rename n0035_40_ "n0035<40>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram21)) + ) + ) + (net (rename n0035_39_ "n0035<39>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram20)) + ) + ) + (net (rename n0035_38_ "n0035<38>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram20)) + ) + ) + (net (rename n0035_37_ "n0035<37>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram19)) + ) + ) + (net (rename n0035_36_ "n0035<36>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram19)) + ) + ) + (net (rename n0035_35_ "n0035<35>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram18)) + ) + ) + (net (rename n0035_34_ "n0035<34>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram18)) + ) + ) + (net (rename n0035_33_ "n0035<33>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram17)) + ) + ) + (net (rename n0035_32_ "n0035<32>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram17)) + ) + ) + (net (rename n0035_31_ "n0035<31>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram16)) + ) + ) + (net (rename n0035_30_ "n0035<30>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram16)) + ) + ) + (net (rename n0035_29_ "n0035<29>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram15)) + ) + ) + (net (rename n0035_28_ "n0035<28>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram15)) + ) + ) + (net (rename n0035_27_ "n0035<27>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram14)) + ) + ) + (net (rename n0035_26_ "n0035<26>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram14)) + ) + ) + (net (rename n0035_25_ "n0035<25>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram13)) + ) + ) + (net (rename n0035_24_ "n0035<24>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram13)) + ) + ) + (net (rename n0035_23_ "n0035<23>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram12)) + ) + ) + (net (rename n0035_22_ "n0035<22>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram12)) + ) + ) + (net (rename n0035_21_ "n0035<21>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram11)) + ) + ) + (net (rename n0035_20_ "n0035<20>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram11)) + ) + ) + (net (rename n0035_19_ "n0035<19>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram10)) + ) + ) + (net (rename n0035_18_ "n0035<18>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram10)) + ) + ) + (net (rename n0035_17_ "n0035<17>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram9)) + ) + ) + (net (rename n0035_16_ "n0035<16>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram9)) + ) + ) + (net (rename n0035_15_ "n0035<15>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram8)) + ) + ) + (net (rename n0035_14_ "n0035<14>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram8)) + ) + ) + (net (rename n0035_13_ "n0035<13>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram7)) + ) + ) + (net (rename n0035_12_ "n0035<12>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram7)) + ) + ) + (net (rename n0035_11_ "n0035<11>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram6)) + ) + ) + (net (rename n0035_10_ "n0035<10>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram6)) + ) + ) + (net (rename n0035_9_ "n0035<9>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram5)) + ) + ) + (net (rename n0035_8_ "n0035<8>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram5)) + ) + ) + (net (rename n0035_7_ "n0035<7>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram4)) + ) + ) + (net (rename n0035_6_ "n0035<6>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram4)) + ) + ) + (net (rename n0035_5_ "n0035<5>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram3)) + ) + ) + (net (rename n0035_4_ "n0035<4>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram3)) + ) + ) + (net (rename n0035_3_ "n0035<3>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram2)) + ) + ) + (net (rename n0035_2_ "n0035<2>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram2)) + ) + ) + (net (rename n0035_1_ "n0035<1>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef (member DOB 30) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename n0035_0_ "n0035<0>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef (member DOB 31) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename n0035_64_ "n0035<64>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef (member DOBDO 15) (instanceRef f0_ram_Mram_ram33)) + ) + ) + (net (rename n0036_63_ "n0036<63>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram32)) + ) + ) + (net (rename n0036_62_ "n0036<62>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram32)) + ) + ) + (net (rename n0036_61_ "n0036<61>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram31)) + ) + ) + (net (rename n0036_60_ "n0036<60>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram31)) + ) + ) + (net (rename n0036_59_ "n0036<59>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram30)) + ) + ) + (net (rename n0036_58_ "n0036<58>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram30)) + ) + ) + (net (rename n0036_57_ "n0036<57>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram29)) + ) + ) + (net (rename n0036_56_ "n0036<56>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram29)) + ) + ) + (net (rename n0036_55_ "n0036<55>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram28)) + ) + ) + (net (rename n0036_54_ "n0036<54>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram28)) + ) + ) + (net (rename n0036_53_ "n0036<53>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram27)) + ) + ) + (net (rename n0036_52_ "n0036<52>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram27)) + ) + ) + (net (rename n0036_51_ "n0036<51>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram26)) + ) + ) + (net (rename n0036_50_ "n0036<50>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram26)) + ) + ) + (net (rename n0036_49_ "n0036<49>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram25)) + ) + ) + (net (rename n0036_48_ "n0036<48>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram25)) + ) + ) + (net (rename n0036_47_ "n0036<47>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram24)) + ) + ) + (net (rename n0036_46_ "n0036<46>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram24)) + ) + ) + (net (rename n0036_45_ "n0036<45>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram23)) + ) + ) + (net (rename n0036_44_ "n0036<44>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram23)) + ) + ) + (net (rename n0036_43_ "n0036<43>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram22)) + ) + ) + (net (rename n0036_42_ "n0036<42>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram22)) + ) + ) + (net (rename n0036_41_ "n0036<41>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram21)) + ) + ) + (net (rename n0036_40_ "n0036<40>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram21)) + ) + ) + (net (rename n0036_39_ "n0036<39>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram20)) + ) + ) + (net (rename n0036_38_ "n0036<38>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram20)) + ) + ) + (net (rename n0036_37_ "n0036<37>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram19)) + ) + ) + (net (rename n0036_36_ "n0036<36>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram19)) + ) + ) + (net (rename n0036_35_ "n0036<35>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram18)) + ) + ) + (net (rename n0036_34_ "n0036<34>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram18)) + ) + ) + (net (rename n0036_33_ "n0036<33>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram17)) + ) + ) + (net (rename n0036_32_ "n0036<32>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram17)) + ) + ) + (net (rename n0036_31_ "n0036<31>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram16)) + ) + ) + (net (rename n0036_30_ "n0036<30>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram16)) + ) + ) + (net (rename n0036_29_ "n0036<29>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram15)) + ) + ) + (net (rename n0036_28_ "n0036<28>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram15)) + ) + ) + (net (rename n0036_27_ "n0036<27>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram14)) + ) + ) + (net (rename n0036_26_ "n0036<26>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram14)) + ) + ) + (net (rename n0036_25_ "n0036<25>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram13)) + ) + ) + (net (rename n0036_24_ "n0036<24>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram13)) + ) + ) + (net (rename n0036_23_ "n0036<23>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram12)) + ) + ) + (net (rename n0036_22_ "n0036<22>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram12)) + ) + ) + (net (rename n0036_21_ "n0036<21>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram11)) + ) + ) + (net (rename n0036_20_ "n0036<20>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram11)) + ) + ) + (net (rename n0036_19_ "n0036<19>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram10)) + ) + ) + (net (rename n0036_18_ "n0036<18>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram10)) + ) + ) + (net (rename n0036_17_ "n0036<17>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram9)) + ) + ) + (net (rename n0036_16_ "n0036<16>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram9)) + ) + ) + (net (rename n0036_15_ "n0036<15>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram8)) + ) + ) + (net (rename n0036_14_ "n0036<14>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram8)) + ) + ) + (net (rename n0036_13_ "n0036<13>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram7)) + ) + ) + (net (rename n0036_12_ "n0036<12>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram7)) + ) + ) + (net (rename n0036_11_ "n0036<11>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram6)) + ) + ) + (net (rename n0036_10_ "n0036<10>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram6)) + ) + ) + (net (rename n0036_9_ "n0036<9>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram5)) + ) + ) + (net (rename n0036_8_ "n0036<8>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram5)) + ) + ) + (net (rename n0036_7_ "n0036<7>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram4)) + ) + ) + (net (rename n0036_6_ "n0036<6>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram4)) + ) + ) + (net (rename n0036_5_ "n0036<5>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram3)) + ) + ) + (net (rename n0036_4_ "n0036<4>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram3)) + ) + ) + (net (rename n0036_3_ "n0036<3>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram2)) + ) + ) + (net (rename n0036_2_ "n0036<2>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram2)) + ) + ) + (net (rename n0036_1_ "n0036<1>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef (member DOB 30) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename n0036_0_ "n0036<0>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef (member DOB 31) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename n0036_64_ "n0036<64>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef (member DOBDO 15) (instanceRef f1_ram_Mram_ram33)) + ) + ) + (net (rename gen_clks_CLK_OUT1_40_int "gen_clks/CLK_OUT1_40_int") + (joined + (portRef O (instanceRef gen_clks_clkout1_buf)) + (portRef CLKFB (instanceRef gen_clks_dcm_sp_inst)) + ) + ) + (net (rename gen_clks_clkfx "gen_clks/clkfx") + (joined + (portRef I (instanceRef gen_clks_clkout3_buf)) + (portRef I (instanceRef gen_clks_clkout2_buf)) + (portRef CLKFX (instanceRef gen_clks_dcm_sp_inst)) + ) + ) + (net (rename gen_clks_clk0 "gen_clks/clk0") + (joined + (portRef I (instanceRef gen_clks_clkout1_buf)) + (portRef CLK0 (instanceRef gen_clks_dcm_sp_inst)) + ) + ) + (net (rename gen_clks_clkin1 "gen_clks/clkin1") + (joined + (portRef CLKIN (instanceRef gen_clks_dcm_sp_inst)) + (portRef O (instanceRef gen_clks_clkin1_buf)) + ) + ) + (net (rename bus_sync_reset_int "bus_sync/reset_int") + (joined + (portRef Q (instanceRef bus_sync_reset_int_renamed_1)) + (portRef D (instanceRef bus_sync_reset_out_renamed_0)) + ) + ) + (net (rename gpif_sync_reset_int "gpif_sync/reset_int") + (joined + (portRef Q (instanceRef gpif_sync_reset_int_renamed_3)) + (portRef D (instanceRef gpif_sync_reset_out_renamed_2)) + ) + ) + (net (rename slave_fifo32_Mcount_idle_cycles2 "slave_fifo32/Mcount_idle_cycles2") + (joined + (portRef D (instanceRef slave_fifo32_idle_cycles_2)) + (portRef O (instanceRef slave_fifo32_Mcount_idle_cycles_xor_2_11)) + ) + ) + (net (rename slave_fifo32_Mcount_idle_cycles1 "slave_fifo32/Mcount_idle_cycles1") + (joined + (portRef D (instanceRef slave_fifo32_idle_cycles_1)) + (portRef O (instanceRef slave_fifo32_Mcount_idle_cycles_xor_1_11)) + ) + ) + (net (rename slave_fifo32_Mcount_idle_cycles "slave_fifo32/Mcount_idle_cycles") + (joined + (portRef D (instanceRef slave_fifo32_idle_cycles_0)) + (portRef O (instanceRef slave_fifo32_Mcount_idle_cycles_xor_0_11)) + ) + ) + (net (rename slave_fifo32__n0230_inv "slave_fifo32/_n0230_inv") + (joined + (portRef CE (instanceRef slave_fifo32_idle_cycles_0)) + (portRef CE (instanceRef slave_fifo32_idle_cycles_1)) + (portRef CE (instanceRef slave_fifo32_idle_cycles_2)) + (portRef O (instanceRef slave_fifo32__n0230_inv1)) + ) + ) + (net (rename slave_fifo32_Result_1_ "slave_fifo32/Result<1>") + (joined + (portRef D (instanceRef slave_fifo32_fifoadr_1)) + (portRef O (instanceRef slave_fifo32_Mcount_fifoadr_xor_1_11)) + (portRef D (instanceRef slave_fifo32_fifoadr_1_1_renamed_552)) + ) + ) + (net (rename slave_fifo32_Result_0_ "slave_fifo32/Result<0>") + (joined + (portRef D (instanceRef slave_fifo32_fifoadr_0)) + (portRef O (instanceRef slave_fifo32_Mcount_fifoadr_xor_0_11_INV_0)) + (portRef D (instanceRef slave_fifo32_fifoadr_0_1_renamed_553)) + ) + ) + (net (rename slave_fifo32__n0237_inv "slave_fifo32/_n0237_inv") + (joined + (portRef CE (instanceRef slave_fifo32_fifoadr_0)) + (portRef CE (instanceRef slave_fifo32_fifoadr_1)) + (portRef O (instanceRef slave_fifo32__n0237_inv1)) + (portRef CE (instanceRef slave_fifo32_fifoadr_1_1_renamed_552)) + (portRef CE (instanceRef slave_fifo32_fifoadr_0_1_renamed_553)) + ) + ) + (net (rename slave_fifo32_state_FSM_FFd1_In "slave_fifo32/state_FSM_FFd1-In") + (joined + (portRef D (instanceRef slave_fifo32_state_FSM_FFd1_renamed_4)) + (portRef O (instanceRef slave_fifo32_state_FSM_FFd1_In4)) + ) + ) + (net (rename slave_fifo32_state_FSM_FFd2_In "slave_fifo32/state_FSM_FFd2-In") + (joined + (portRef D (instanceRef slave_fifo32_state_FSM_FFd2_renamed_5)) + (portRef O (instanceRef slave_fifo32_state_FSM_FFd2_In3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_63_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<63>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata251)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_62_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<62>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata241)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_61_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<61>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata221)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_60_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<60>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata211)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_59_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<59>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata201)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_58_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<58>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata191)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_57_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<57>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata181)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_56_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<56>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata171)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_55_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<55>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata161)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_54_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<54>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata151)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_53_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<53>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata141)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_52_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<52>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata131)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_51_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<51>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_50_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<50>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata101)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_49_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<49>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata91)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_48_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<48>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata81)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_47_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<47>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata71)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_46_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<46>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata61)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_45_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<45>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata51)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_44_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<44>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata41)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_43_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<43>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata33)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_42_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<42>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata210)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_41_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<41>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata321)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_40_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<40>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata311)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_39_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<39>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata301)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_38_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<38>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata291)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_37_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<37>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata281)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_36_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<36>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata271)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_35_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<35>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata261)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_34_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<34>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata231)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_33_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<33>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata121)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_32_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<32>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata110)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_31_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<31>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata251)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_30_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<30>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata241)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_29_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<29>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata221)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_28_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<28>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata211)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_27_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<27>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata201)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_26_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<26>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata191)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_25_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<25>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata181)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_24_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<24>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata171)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_23_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<23>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata161)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_22_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<22>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata151)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_21_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<21>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata141)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_20_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<20>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata131)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_19_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<19>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_18_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<18>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata101)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_17_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<17>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata91)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_16_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<16>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata81)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_15_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<15>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata71)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_14_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<14>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata61)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_13_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<13>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata51)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_12_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<12>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata41)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_11_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<11>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata33)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_10_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<10>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata210)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_9_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<9>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata321)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_8_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<8>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata311)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_7_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<7>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata301)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_6_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<6>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata291)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_5_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<5>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata281)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_4_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<4>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata271)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_3_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<3>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata261)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_2_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<2>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata231)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_1_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<1>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata121)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_0_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<0>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata110)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_64_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003<64>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_o_tlast1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_31_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<31>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata251)) + (portRef (member din 40) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_30_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<30>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata241)) + (portRef (member din 41) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_29_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<29>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata221)) + (portRef (member din 42) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_28_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<28>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata211)) + (portRef (member din 43) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_27_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<27>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata201)) + (portRef (member din 44) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_26_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<26>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata191)) + (portRef (member din 45) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_25_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<25>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata181)) + (portRef (member din 46) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_24_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<24>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata171)) + (portRef (member din 47) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_23_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<23>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata161)) + (portRef (member din 48) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_22_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<22>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata151)) + (portRef (member din 49) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_21_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<21>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata141)) + (portRef (member din 50) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_20_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<20>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata131)) + (portRef (member din 51) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_19_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<19>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata111)) + (portRef (member din 52) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_18_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<18>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata101)) + (portRef (member din 53) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_17_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<17>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata91)) + (portRef (member din 54) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_16_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<16>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata81)) + (portRef (member din 55) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_15_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<15>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata71)) + (portRef (member din 56) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_14_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<14>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata61)) + (portRef (member din 57) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_13_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<13>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata51)) + (portRef (member din 58) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_12_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<12>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata41)) + (portRef (member din 59) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_11_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<11>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata33)) + (portRef (member din 60) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_10_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<10>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata210)) + (portRef (member din 61) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_9_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<9>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata321)) + (portRef (member din 62) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_8_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<8>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata311)) + (portRef (member din 63) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_7_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<7>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata301)) + (portRef (member din 64) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_6_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata291)) + (portRef (member din 65) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_5_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<5>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata281)) + (portRef (member din 66) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_4_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata271)) + (portRef (member din 67) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_3_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata261)) + (portRef (member din 68) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_2_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata231)) + (portRef (member din 69) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_1_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata121)) + (portRef (member din 70) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_0_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata110)) + (portRef (member din 71) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i64_tready "slave_fifo32/fifo64_to_gpmc32_resp/i64_tready") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_i_tready1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv_renamed_526)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst_renamed_536)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tlast "slave_fifo32/fifo64_to_gpmc32_resp/i32_tlast") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_o_tlast1)) + (portRef (member din 39) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_31_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<31>") + (joined + (portRef (member DIA 18) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 40) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_30_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<30>") + (joined + (portRef (member DIA 19) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 41) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_29_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<29>") + (joined + (portRef (member DIA 20) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 42) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_28_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<28>") + (joined + (portRef (member DIA 21) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 43) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_27_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<27>") + (joined + (portRef (member DIA 22) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 44) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_26_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<26>") + (joined + (portRef (member DIA 23) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 45) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_25_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<25>") + (joined + (portRef (member DIA 24) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 46) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_24_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<24>") + (joined + (portRef (member DIA 25) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 47) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_23_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<23>") + (joined + (portRef (member DIA 26) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 48) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_22_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<22>") + (joined + (portRef (member DIA 27) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 49) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_21_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<21>") + (joined + (portRef (member DIA 28) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 50) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_20_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<20>") + (joined + (portRef (member DIA 29) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 51) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_19_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<19>") + (joined + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 52) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_18_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<18>") + (joined + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 53) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_17_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<17>") + (joined + (portRef (member DIPA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member dout 54) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_16_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<16>") + (joined + (portRef (member DIPA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member dout 55) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_15_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<15>") + (joined + (portRef (member DIA 16) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member dout 56) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_14_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<14>") + (joined + (portRef (member DIA 17) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member dout 57) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_13_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<13>") + (joined + (portRef (member DIA 18) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member dout 58) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_12_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<12>") + (joined + (portRef (member DIA 19) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member dout 59) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_11_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<11>") + (joined + (portRef (member DIA 20) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member dout 60) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_10_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<10>") + (joined + (portRef (member DIA 21) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member dout 61) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_9_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<9>") + (joined + (portRef (member DIA 22) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member dout 62) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_8_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<8>") + (joined + (portRef (member DIA 23) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member dout 63) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_7_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<7>") + (joined + (portRef (member DIA 24) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member dout 64) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_6_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<6>") + (joined + (portRef (member DIA 25) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member dout 65) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_5_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<5>") + (joined + (portRef (member DIA 26) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member dout 66) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_4_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<4>") + (joined + (portRef (member DIA 27) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member dout 67) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_3_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<3>") + (joined + (portRef (member DIA 28) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member dout 68) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_2_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<2>") + (joined + (portRef (member DIA 29) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member dout 69) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_1_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<1>") + (joined + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member dout 70) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_0_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<0>") + (joined + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member dout 71) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_32_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005<32>") + (joined + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portRef (member DIA 17) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt__n0074_inv1)) + (portRef (member dout 39) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo_rst_gpif_rst_OR_155_o "slave_fifo32/fifo64_to_gpmc32_resp/fifo_rst_gpif_rst_OR_155_o") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo_rst_gpif_rst_OR_155_o1)) + (portRef rst (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portRef rst (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portRef rst (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portRef rst (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_state "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/state") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata110)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata210)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata33)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata41)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata51)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata61)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata71)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata81)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata91)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata101)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata111)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata121)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata131)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata141)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata151)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata161)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata171)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata181)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata191)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata201)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata211)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata221)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata231)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata241)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata251)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata261)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata271)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata281)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata291)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata301)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata311)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata321)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_i_tready1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_o_tlast1)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_state_renamed_96)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_space_xor_3_111)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_state_glue_set_renamed_528)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set_renamed_531)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read "slave_fifo32/fifo64_to_gpmc32_resp/cross_clock_fifo/read") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read_renamed_33)) + (portRef rd_en (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_write "slave_fifo32/fifo64_to_gpmc32_resp/cross_clock_fifo/write") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_write1)) + (portRef wr_en (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_empty "slave_fifo32/fifo64_to_gpmc32_resp/cross_clock_fifo/empty") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read_renamed_33)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_i_tvalid_int1)) + (portRef empty (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_full "slave_fifo32/fifo64_to_gpmc32_resp/cross_clock_fifo/full") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_i_tready1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_write1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_space_xor_3_111)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_state_glue_set_renamed_528)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set_renamed_531)) + (portRef full (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_full "slave_fifo32/fifo64_to_gpmc32_rx/cross_clock_fifo/full") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_write1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_i_tready1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_space_xor_3_111)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_state_glue_set_renamed_529)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set_renamed_530)) + (portRef full (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_empty "slave_fifo32/fifo64_to_gpmc32_rx/cross_clock_fifo/empty") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read_renamed_34)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_i_tvalid_int1)) + (portRef empty (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_write "slave_fifo32/fifo64_to_gpmc32_rx/cross_clock_fifo/write") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_write1)) + (portRef wr_en (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read "slave_fifo32/fifo64_to_gpmc32_rx/cross_clock_fifo/read") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read_renamed_34)) + (portRef rd_en (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_state "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/state") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata110)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata210)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata33)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata41)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata51)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata61)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata71)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata81)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata91)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata101)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata111)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata121)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata131)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata141)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata151)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata161)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata171)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata181)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata191)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata201)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata211)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata221)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata231)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata241)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata251)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata261)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata271)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata281)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata291)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata301)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata311)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata321)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_o_tlast1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_i_tready1)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_state_renamed_97)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_space_xor_3_111)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_state_glue_set_renamed_529)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set_renamed_530)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_63_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<63>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata251)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_62_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<62>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata241)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_61_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<61>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata221)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_60_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<60>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata211)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_59_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<59>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata201)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_58_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<58>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata191)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_57_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<57>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata181)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_56_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<56>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata171)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_55_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<55>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata161)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_54_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<54>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata151)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_53_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<53>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata141)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_52_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<52>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata131)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_51_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<51>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_50_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<50>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata101)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_49_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<49>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata91)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_48_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<48>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata81)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_47_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<47>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata71)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_46_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<46>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata61)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_45_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<45>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata51)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_44_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<44>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata41)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_43_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<43>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata33)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_42_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<42>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata210)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_41_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<41>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata321)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_40_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<40>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata311)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_39_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<39>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata301)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_38_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<38>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata291)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_37_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<37>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata281)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_36_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<36>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata271)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_35_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<35>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata261)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_34_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<34>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata231)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_33_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<33>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata121)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_32_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<32>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata110)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_31_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<31>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata251)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_30_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<30>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata241)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_29_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<29>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata221)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_28_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<28>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata211)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_27_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<27>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata201)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_26_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<26>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata191)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_25_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<25>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata181)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_24_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<24>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata171)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_23_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<23>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata161)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_22_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<22>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata151)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_21_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<21>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata141)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_20_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<20>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata131)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_19_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<19>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_18_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<18>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata101)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_17_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<17>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata91)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_16_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<16>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata81)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_15_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<15>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata71)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_14_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<14>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata61)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_13_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<13>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata51)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_12_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<12>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata41)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_11_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<11>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata33)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_10_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<10>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata210)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_9_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<9>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata321)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_8_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<8>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata311)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_7_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<7>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata301)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_6_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<6>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata291)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_5_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<5>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata281)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_4_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<4>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata271)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_3_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<3>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata261)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_2_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<2>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata231)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_1_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<1>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata121)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_0_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<0>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata110)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_64_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003<64>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_o_tlast1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_31_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<31>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata251)) + (portRef (member din 40) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_30_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<30>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata241)) + (portRef (member din 41) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_29_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<29>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata221)) + (portRef (member din 42) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_28_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<28>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata211)) + (portRef (member din 43) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_27_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<27>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata201)) + (portRef (member din 44) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_26_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<26>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata191)) + (portRef (member din 45) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_25_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<25>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata181)) + (portRef (member din 46) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_24_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<24>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata171)) + (portRef (member din 47) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_23_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<23>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata161)) + (portRef (member din 48) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_22_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<22>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata151)) + (portRef (member din 49) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_21_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<21>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata141)) + (portRef (member din 50) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_20_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<20>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata131)) + (portRef (member din 51) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_19_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<19>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata111)) + (portRef (member din 52) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_18_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<18>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata101)) + (portRef (member din 53) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_17_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<17>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata91)) + (portRef (member din 54) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_16_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<16>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata81)) + (portRef (member din 55) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_15_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<15>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata71)) + (portRef (member din 56) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_14_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<14>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata61)) + (portRef (member din 57) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_13_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<13>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata51)) + (portRef (member din 58) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_12_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<12>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata41)) + (portRef (member din 59) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_11_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<11>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata33)) + (portRef (member din 60) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_10_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<10>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata210)) + (portRef (member din 61) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_9_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<9>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata321)) + (portRef (member din 62) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_8_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<8>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata311)) + (portRef (member din 63) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_7_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<7>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata301)) + (portRef (member din 64) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_6_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata291)) + (portRef (member din 65) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_5_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<5>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata281)) + (portRef (member din 66) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_4_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata271)) + (portRef (member din 67) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_3_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata261)) + (portRef (member din 68) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_2_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata231)) + (portRef (member din 69) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_1_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata121)) + (portRef (member din 70) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_0_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata110)) + (portRef (member din 71) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i64_tready "slave_fifo32/fifo64_to_gpmc32_rx/i64_tready") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_i_tready1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv_renamed_525)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst_renamed_535)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tlast "slave_fifo32/fifo64_to_gpmc32_rx/i32_tlast") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_o_tlast1)) + (portRef (member din 39) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_31_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<31>") + (joined + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member dout 40) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_30_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<30>") + (joined + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member dout 41) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_29_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<29>") + (joined + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member dout 42) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_28_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<28>") + (joined + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member dout 43) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_27_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<27>") + (joined + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member dout 44) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_26_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<26>") + (joined + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member dout 45) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_25_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<25>") + (joined + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member dout 46) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_24_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<24>") + (joined + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member dout 47) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_23_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<23>") + (joined + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member dout 48) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_22_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<22>") + (joined + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member dout 49) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_21_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<21>") + (joined + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member dout 50) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_20_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<20>") + (joined + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member dout 51) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_19_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<19>") + (joined + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member dout 52) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_18_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<18>") + (joined + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member dout 53) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_17_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<17>") + (joined + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member dout 54) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_16_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<16>") + (joined + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member dout 55) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_15_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<15>") + (joined + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member dout 56) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_14_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<14>") + (joined + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member dout 57) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_13_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<13>") + (joined + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member dout 58) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_12_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<12>") + (joined + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member dout 59) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_11_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<11>") + (joined + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member dout 60) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_10_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<10>") + (joined + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member dout 61) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_9_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<9>") + (joined + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member dout 62) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_8_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<8>") + (joined + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member dout 63) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_7_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<7>") + (joined + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member dout 64) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_6_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<6>") + (joined + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member dout 65) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_5_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<5>") + (joined + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member dout 66) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_4_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<4>") + (joined + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member dout 67) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_3_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<3>") + (joined + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 68) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_2_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<2>") + (joined + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 69) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_1_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<1>") + (joined + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member dout 70) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_0_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<0>") + (joined + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member dout 71) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_32_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005<32>") + (joined + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portRef (member DIADI 15) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt__n0074_inv1)) + (portRef (member dout 39) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32__n0223_inv "slave_fifo32/_n0223_inv") + (joined + (portRef CE (instanceRef slave_fifo32_pktend_renamed_6)) + (portRef CE (instanceRef slave_fifo32_slwr_renamed_7)) + (portRef O (instanceRef slave_fifo32__n0223_inv1)) + (portRef I0 (instanceRef slave_fifo32_gpif_data_out_31_rstpot_renamed_542)) + (portRef CE (instanceRef slave_fifo32_slwr_1_renamed_548)) + (portRef CE (instanceRef slave_fifo32_pktend_1_renamed_551)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_30)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_29)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_28)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_27)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_26)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_25)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_24)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_23)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_22)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_21)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_20)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_19)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_18)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_17)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_16)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_15)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_14)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_13)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_12)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_11)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_10)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_9)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_8)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_7)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_6)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_5)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_4)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_3)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_2)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_1)) + (portRef CE (instanceRef slave_fifo32_gpif_data_out_0)) + ) + ) + (net (rename slave_fifo32__n0290_inv "slave_fifo32/_n0290_inv") + (joined + (portRef O (instanceRef slave_fifo32__n0290_inv1)) + (portRef I0 (instanceRef slave_fifo32_sloe_rstpot_renamed_541)) + ) + ) + (net (rename slave_fifo32__n0279_inv "slave_fifo32/_n0279_inv") + (joined + (portRef O (instanceRef slave_fifo32__n0279_inv_renamed_35)) + (portRef D (instanceRef slave_fifo32_rd_one_BRB0_renamed_498)) + ) + ) + (net (rename slave_fifo32_ctrl_tx_tready_data_tx_tready_OR_55_o "slave_fifo32/ctrl_tx_tready_data_tx_tready_OR_55_o") + (joined + (portRef D (instanceRef slave_fifo32_read_ready_go_renamed_15)) + (portRef O (instanceRef slave_fifo32_ctrl_tx_tready_data_tx_tready_OR_55_o1)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tvalid_data_rx_tvalid_OR_56_o "slave_fifo32/ctrl_rx_tvalid_data_rx_tvalid_OR_56_o") + (joined + (portRef D (instanceRef slave_fifo32_write_ready_go_renamed_14)) + (portRef O (instanceRef slave_fifo32_ctrl_rx_tvalid_data_rx_tvalid_OR_56_o1)) + ) + ) + (net (rename slave_fifo32_ctrl_tx_tvalid "slave_fifo32/ctrl_tx_tvalid") + (joined + (portRef D (instanceRef slave_fifo32_debug1_19)) + (portRef O (instanceRef slave_fifo32_ctrl_tx_tvalid1)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd2_BRB0_renamed_476)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15__)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9__)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10__)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11__)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12__)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13__)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv31)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_write_AND_42_o_inv2)) + ) + ) + (net (rename slave_fifo32_data_tx_tvalid "slave_fifo32/data_tx_tvalid") + (joined + (portRef O (instanceRef slave_fifo32_data_tx_tvalid1)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd2_BRB0_renamed_474)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15__)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9__)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10__)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11__)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12__)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13__)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv31)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_write_AND_42_o_inv2)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_eof_Mux_22_o "slave_fifo32/state[1]_wr_fifo_eof_Mux_22_o") + (joined + (portRef D (instanceRef slave_fifo32_pktend_renamed_6)) + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1)) + (portRef D (instanceRef slave_fifo32_pktend_1_renamed_551)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_xfer_Mux_21_o "slave_fifo32/state[1]_wr_fifo_xfer_Mux_21_o") + (joined + (portRef D (instanceRef slave_fifo32_slwr_renamed_7)) + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_xfer_Mux_21_o1)) + (portRef D (instanceRef slave_fifo32_slwr_1_renamed_548)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_0_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<0>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT110)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_0)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_1_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<1>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT121)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_1)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_2_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<2>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT231)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_2)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_3_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<3>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT261)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_3)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_4_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<4>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT271)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_4)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_5_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<5>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT281)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_5)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_6_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<6>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT291)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_6)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_7_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<7>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT301)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_7)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_8_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<8>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT311)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_8)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_9_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<9>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT321)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_9)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_10_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<10>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT210)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_10)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_11_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<11>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT33)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_11)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_12_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<12>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT41)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_12)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_13_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<13>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT51)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_13)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_14_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<14>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT61)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_14)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_15_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<15>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT71)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_15)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_16_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<16>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT81)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_16)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_17_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<17>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT91)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_17)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_18_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<18>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT101)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_18)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_19_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<19>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT111)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_19)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_20_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<20>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT131)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_20)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_21_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<21>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT141)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_21)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_22_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<22>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT151)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_22)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_23_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<23>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT161)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_23)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_24_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<24>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT171)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_24)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_25_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<25>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT181)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_25)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_26_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<26>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT191)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_26)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_27_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<27>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT201)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_27)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_28_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<28>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT211)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_28)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_29_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<29>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT221)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_29)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_30_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<30>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT241)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_30)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_31_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT<31>") + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT251)) + (portRef I2 (instanceRef slave_fifo32_gpif_data_out_31_rstpot_renamed_542)) + ) + ) + (net (rename slave_fifo32_rd_one "slave_fifo32/rd_one") + (joined + (portRef D (instanceRef slave_fifo32_rd_one_BRB1_renamed_499)) + (portRef O (instanceRef slave_fifo32_rd_one_rstpot)) + ) + ) + (net (rename slave_fifo32_wr_one "slave_fifo32/wr_one") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tready_int1_SW0)) + (portRef Q (instanceRef slave_fifo32_wr_one_renamed_256)) + (portRef I0 (instanceRef slave_fifo32_wr_one_rstpot_renamed_512)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_xfer_Mux_21_o1_SW0)) + ) + ) + (net (rename slave_fifo32_idle_cycles_0_ "slave_fifo32/idle_cycles<0>") + (joined + (portRef Q (instanceRef slave_fifo32_idle_cycles_0)) + (portRef I1 (instanceRef slave_fifo32_Mcount_idle_cycles_xor_0_11)) + (portRef I2 (instanceRef slave_fifo32_Mcount_idle_cycles_xor_2_11)) + (portRef I1 (instanceRef slave_fifo32_Mcount_idle_cycles_xor_1_11)) + (portRef I0 (instanceRef slave_fifo32__n0237_inv1)) + (portRef I2 (instanceRef slave_fifo32_state_FSM_FFd2_In1_renamed_37)) + ) + ) + (net (rename slave_fifo32_idle_cycles_1_ "slave_fifo32/idle_cycles<1>") + (joined + (portRef Q (instanceRef slave_fifo32_idle_cycles_1)) + (portRef I3 (instanceRef slave_fifo32_Mcount_idle_cycles_xor_2_11)) + (portRef I2 (instanceRef slave_fifo32_Mcount_idle_cycles_xor_1_11)) + (portRef I1 (instanceRef slave_fifo32__n0237_inv1)) + (portRef I3 (instanceRef slave_fifo32_state_FSM_FFd2_In1_renamed_37)) + ) + ) + (net (rename slave_fifo32_idle_cycles_2_ "slave_fifo32/idle_cycles<2>") + (joined + (portRef Q (instanceRef slave_fifo32_idle_cycles_2)) + (portRef I1 (instanceRef slave_fifo32_Mcount_idle_cycles_xor_2_11)) + (portRef I2 (instanceRef slave_fifo32__n0237_inv1)) + (portRef I0 (instanceRef slave_fifo32_state_FSM_FFd2_In1_renamed_37)) + ) + ) + (net (rename slave_fifo32_state_FSM_FFd2 "slave_fifo32/state_FSM_FFd2") + (joined + (portRef D (instanceRef slave_fifo32_debug1_22)) + (portRef Q (instanceRef slave_fifo32_state_FSM_FFd2_renamed_5)) + (portRef I0 (instanceRef slave_fifo32_Mcount_idle_cycles_xor_0_11)) + (portRef I0 (instanceRef slave_fifo32_Mcount_idle_cycles_xor_2_11)) + (portRef I1 (instanceRef slave_fifo32__n0223_inv1)) + (portRef I0 (instanceRef slave_fifo32_Mcount_idle_cycles_xor_1_11)) + (portRef I4 (instanceRef slave_fifo32__n0237_inv1)) + (portRef I4 (instanceRef slave_fifo32__n0290_inv1)) + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_xfer_Mux_21_o1)) + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1_SW0)) + (portRef I2 (instanceRef slave_fifo32__n0279_inv_renamed_35)) + (portRef I1 (instanceRef slave_fifo32_state_FSM_FFd2_In2_renamed_38)) + (portRef I3 (instanceRef slave_fifo32_wr_one_rstpot_renamed_512)) + (portRef I4 (instanceRef slave_fifo32_slrd_rstpot_renamed_515)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_write1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_write1)) + (portRef I5 (instanceRef slave_fifo32_sloe_1_rstpot_renamed_534)) + (portRef I2 (instanceRef slave_fifo32_sloe_rstpot_renamed_541)) + (portRef I0 (instanceRef slave_fifo32_state_FSM_FFd1_In3_F)) + (portRef I0 (instanceRef slave_fifo32_state_FSM_FFd1_In3_G)) + (portRef I1 (instanceRef slave_fifo32_ctrl_tx_tvalid1)) + (portRef I1 (instanceRef slave_fifo32_data_tx_tvalid1)) + (portRef I1 (instanceRef slave_fifo32_state_FSM_FFd2_In3)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tready_int1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_o_tready_int1)) + ) + ) + (net (rename slave_fifo32_state_FSM_FFd1 "slave_fifo32/state_FSM_FFd1") + (joined + (portRef D (instanceRef slave_fifo32_debug1_23)) + (portRef Q (instanceRef slave_fifo32_state_FSM_FFd1_renamed_4)) + (portRef I0 (instanceRef slave_fifo32__n0230_inv1)) + (portRef I2 (instanceRef slave_fifo32__n0223_inv1)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT110)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT101)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT111)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT121)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT131)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT141)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT151)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT161)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT171)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT181)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT191)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT210)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT201)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT211)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT221)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT231)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT241)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT251)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT261)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT271)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT281)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT291)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT33)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT301)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT311)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT321)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT41)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT51)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT61)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT71)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT81)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT91)) + (portRef I3 (instanceRef slave_fifo32__n0237_inv1)) + (portRef I1 (instanceRef slave_fifo32__n0290_inv1)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_xfer_Mux_21_o1)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1_SW0)) + (portRef I1 (instanceRef slave_fifo32__n0279_inv_renamed_35)) + (portRef I0 (instanceRef slave_fifo32_state_FSM_FFd2_In2_renamed_38)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tready_int1)) + (portRef I4 (instanceRef slave_fifo32_wr_one_rstpot_renamed_512)) + (portRef I3 (instanceRef slave_fifo32_slrd_rstpot_renamed_515)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_write1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_write1)) + (portRef I4 (instanceRef slave_fifo32_sloe_1_rstpot_renamed_534)) + (portRef S (instanceRef slave_fifo32_state_FSM_FFd1_In3_renamed_543)) + (portRef I4 (instanceRef slave_fifo32_ctrl_tx_tvalid1)) + (portRef I4 (instanceRef slave_fifo32_data_tx_tvalid1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_o_tready_int1)) + ) + ) + (net (rename slave_fifo32_EP_READY "slave_fifo32/EP_READY") + (joined + (portRef Q (instanceRef slave_fifo32_EP_READY_renamed_13)) + (portRef D (instanceRef slave_fifo32_EP_READY1_renamed_12)) + (portRef D (instanceRef slave_fifo32_EP_READY1_1_renamed_546)) + ) + ) + (net (rename slave_fifo32_debug1_0__ "slave_fifo32/debug1<0>") + (joined + (portRef Q (instanceRef slave_fifo32_debug1_0)) + (portRef D (instanceRef slave_fifo32_debug2_0)) + ) + ) + (net (rename slave_fifo32_debug1_1__ "slave_fifo32/debug1<1>") + (joined + (portRef Q (instanceRef slave_fifo32_debug1_1)) + (portRef D (instanceRef slave_fifo32_debug2_1)) + ) + ) + (net (rename slave_fifo32_debug1_2__ "slave_fifo32/debug1<2>") + (joined + (portRef Q (instanceRef slave_fifo32_debug1_2)) + (portRef D (instanceRef slave_fifo32_debug2_2)) + ) + ) + (net (rename slave_fifo32_debug1_3__ "slave_fifo32/debug1<3>") + (joined + (portRef Q (instanceRef slave_fifo32_debug1_3)) + (portRef D (instanceRef slave_fifo32_debug2_3)) + ) + ) + (net (rename slave_fifo32_debug1_4__ "slave_fifo32/debug1<4>") + (joined + (portRef Q (instanceRef slave_fifo32_debug1_4)) + (portRef D (instanceRef slave_fifo32_debug2_4)) + ) + ) + (net (rename slave_fifo32_debug1_5__ "slave_fifo32/debug1<5>") + (joined + (portRef Q (instanceRef slave_fifo32_debug1_5)) + (portRef D (instanceRef slave_fifo32_debug2_5)) + ) + ) + (net (rename slave_fifo32_debug1_6__ "slave_fifo32/debug1<6>") + (joined + (portRef Q (instanceRef slave_fifo32_debug1_6)) + (portRef D (instanceRef slave_fifo32_debug2_6)) + ) + ) + (net (rename slave_fifo32_debug1_7__ "slave_fifo32/debug1<7>") + (joined + (portRef Q (instanceRef slave_fifo32_debug1_7)) + (portRef D (instanceRef slave_fifo32_debug2_7)) + ) + ) + (net (rename slave_fifo32_debug1_8__ "slave_fifo32/debug1<8>") + (joined + (portRef Q (instanceRef slave_fifo32_debug1_8)) + (portRef D (instanceRef slave_fifo32_debug2_8)) + ) + ) + (net (rename slave_fifo32_debug1_9__ "slave_fifo32/debug1<9>") + (joined + (portRef Q (instanceRef slave_fifo32_debug1_9)) + (portRef D (instanceRef slave_fifo32_debug2_9)) + ) + ) + (net (rename slave_fifo32_debug1_10__ "slave_fifo32/debug1<10>") + (joined + (portRef Q (instanceRef slave_fifo32_debug1_10)) + (portRef D (instanceRef slave_fifo32_debug2_10)) + ) + ) + (net (rename slave_fifo32_debug1_11__ "slave_fifo32/debug1<11>") + (joined + (portRef Q (instanceRef slave_fifo32_debug1_11)) + (portRef D (instanceRef slave_fifo32_debug2_11)) + ) + ) + (net (rename slave_fifo32_debug1_12__ "slave_fifo32/debug1<12>") + (joined + (portRef Q (instanceRef slave_fifo32_debug1_12)) + (portRef D (instanceRef slave_fifo32_debug2_12)) + ) + ) + (net (rename slave_fifo32_debug1_13__ "slave_fifo32/debug1<13>") + (joined + (portRef Q (instanceRef slave_fifo32_debug1_13)) + (portRef D (instanceRef slave_fifo32_debug2_13)) + ) + ) + (net (rename slave_fifo32_debug1_14__ "slave_fifo32/debug1<14>") + (joined + (portRef Q (instanceRef slave_fifo32_debug1_14)) + (portRef D (instanceRef slave_fifo32_debug2_14)) + ) + ) + (net (rename slave_fifo32_debug1_15__ "slave_fifo32/debug1<15>") + (joined + (portRef Q (instanceRef slave_fifo32_debug1_15)) + (portRef D (instanceRef slave_fifo32_debug2_15)) + ) + ) + (net (rename slave_fifo32_debug1_16__ "slave_fifo32/debug1<16>") + (joined + (portRef D (instanceRef slave_fifo32_debug2_16)) + (portRef O (instanceRef f0_i_tready1_INV_0)) + ) + ) + (net (rename slave_fifo32_debug1_17__ "slave_fifo32/debug1<17>") + (joined + (portRef D (instanceRef slave_fifo32_debug2_17)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_o_tvalid1_INV_0)) + ) + ) + (net (rename slave_fifo32_debug1_18__ "slave_fifo32/debug1<18>") + (joined + (portRef Q (instanceRef slave_fifo32_debug1_18)) + (portRef D (instanceRef slave_fifo32_debug2_18)) + ) + ) + (net (rename slave_fifo32_debug1_19__ "slave_fifo32/debug1<19>") + (joined + (portRef Q (instanceRef slave_fifo32_debug1_19)) + (portRef D (instanceRef slave_fifo32_debug2_19)) + ) + ) + (net (rename slave_fifo32_debug1_21__ "slave_fifo32/debug1<21>") + (joined + (portRef Q (instanceRef slave_fifo32_debug1_21)) + (portRef D (instanceRef slave_fifo32_debug2_21)) + ) + ) + (net (rename slave_fifo32_debug1_22__ "slave_fifo32/debug1<22>") + (joined + (portRef Q (instanceRef slave_fifo32_debug1_22)) + (portRef D (instanceRef slave_fifo32_debug2_22)) + ) + ) + (net (rename slave_fifo32_debug1_23__ "slave_fifo32/debug1<23>") + (joined + (portRef Q (instanceRef slave_fifo32_debug1_23)) + (portRef D (instanceRef slave_fifo32_debug2_23)) + (portRef I2 (instanceRef slave_fifo32_rd_one_rstpot)) + (portRef I2 (instanceRef slave_fifo32_state_FSM_FFd1_In3_G)) + ) + ) + (net (rename slave_fifo32_debug1_26__ "slave_fifo32/debug1<26>") + (joined + (portRef Q (instanceRef slave_fifo32_debug1_26)) + (portRef D (instanceRef slave_fifo32_debug2_26)) + ) + ) + (net (rename slave_fifo32_debug1_27__ "slave_fifo32/debug1<27>") + (joined + (portRef Q (instanceRef slave_fifo32_debug1_27)) + (portRef D (instanceRef slave_fifo32_debug2_27)) + ) + ) + (net (rename slave_fifo32_debug1_28__ "slave_fifo32/debug1<28>") + (joined + (portRef Q (instanceRef slave_fifo32_debug1_28)) + (portRef D (instanceRef slave_fifo32_debug2_28)) + ) + ) + (net (rename slave_fifo32_debug1_29__ "slave_fifo32/debug1<29>") + (joined + (portRef Q (instanceRef slave_fifo32_debug1_29)) + (portRef D (instanceRef slave_fifo32_debug2_29)) + ) + ) + (net (rename slave_fifo32_slrd1 "slave_fifo32/slrd1") + (joined + (portRef Q (instanceRef slave_fifo32_slrd1_renamed_10)) + (portRef D (instanceRef slave_fifo32_slrd2_renamed_9)) + (portRef D (instanceRef slave_fifo32_slrd2_1_renamed_544)) + ) + ) + (net (rename slave_fifo32_debug1_31__ "slave_fifo32/debug1<31>") + (joined + (portRef Q (instanceRef slave_fifo32_debug1_31)) + (portRef D (instanceRef slave_fifo32_debug2_31)) + ) + ) + (net (rename slave_fifo32_write_ready_go "slave_fifo32/write_ready_go") + (joined + (portRef Q (instanceRef slave_fifo32_write_ready_go_renamed_14)) + (portRef I1 (instanceRef slave_fifo32__n0258_inv_SW0)) + (portRef I0 (instanceRef slave_fifo32__n0279_inv_SW0)) + (portRef I5 (instanceRef slave_fifo32_state_FSM_FFd2_In2_renamed_38)) + (portRef I4 (instanceRef slave_fifo32_state_FSM_FFd1_In3_F)) + ) + ) + (net (rename slave_fifo32_read_ready_go "slave_fifo32/read_ready_go") + (joined + (portRef Q (instanceRef slave_fifo32_read_ready_go_renamed_15)) + (portRef I2 (instanceRef slave_fifo32__n0290_inv1)) + (portRef I0 (instanceRef slave_fifo32__n0258_inv_SW0)) + (portRef I1 (instanceRef slave_fifo32__n0279_inv_SW0)) + (portRef I3 (instanceRef slave_fifo32_state_FSM_FFd2_In2_renamed_38)) + (portRef I1 (instanceRef slave_fifo32_slrd_rstpot_SW0)) + (portRef I2 (instanceRef slave_fifo32_sloe_1_rstpot_renamed_534)) + (portRef I3 (instanceRef slave_fifo32_state_FSM_FFd1_In3_F)) + ) + ) + (net (rename slave_fifo32_slrd3 "slave_fifo32/slrd3") + (joined + (portRef Q (instanceRef slave_fifo32_slrd3_renamed_8)) + (portRef I5 (instanceRef slave_fifo32__n0279_inv_renamed_35)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_write1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_write1)) + (portRef I4 (instanceRef slave_fifo32_state_FSM_FFd1_In3_G)) + (portRef I0 (instanceRef slave_fifo32_ctrl_tx_tvalid1)) + (portRef I0 (instanceRef slave_fifo32_data_tx_tvalid1)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_0_ "slave_fifo32/gpif_data_in<0>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_0)) + (portRef D (instanceRef slave_fifo32_debug1_0)) + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_1_ "slave_fifo32/gpif_data_in<1>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_1)) + (portRef D (instanceRef slave_fifo32_debug1_1)) + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_2_ "slave_fifo32/gpif_data_in<2>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_2)) + (portRef D (instanceRef slave_fifo32_debug1_2)) + (portRef (member DIA 29) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 29) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_3_ "slave_fifo32/gpif_data_in<3>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_3)) + (portRef D (instanceRef slave_fifo32_debug1_3)) + (portRef (member DIA 28) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 28) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_4_ "slave_fifo32/gpif_data_in<4>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_4)) + (portRef D (instanceRef slave_fifo32_debug1_4)) + (portRef (member DIA 27) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 27) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_5_ "slave_fifo32/gpif_data_in<5>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_5)) + (portRef D (instanceRef slave_fifo32_debug1_5)) + (portRef (member DIA 26) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 26) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_6_ "slave_fifo32/gpif_data_in<6>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_6)) + (portRef D (instanceRef slave_fifo32_debug1_6)) + (portRef (member DIA 25) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 25) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_7_ "slave_fifo32/gpif_data_in<7>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_7)) + (portRef D (instanceRef slave_fifo32_debug1_7)) + (portRef (member DIA 24) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 24) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_8_ "slave_fifo32/gpif_data_in<8>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_8)) + (portRef D (instanceRef slave_fifo32_debug1_8)) + (portRef (member DIA 23) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 23) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_9_ "slave_fifo32/gpif_data_in<9>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_9)) + (portRef D (instanceRef slave_fifo32_debug1_9)) + (portRef (member DIA 22) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 22) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_10_ "slave_fifo32/gpif_data_in<10>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_10)) + (portRef D (instanceRef slave_fifo32_debug1_10)) + (portRef (member DIA 21) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 21) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_11_ "slave_fifo32/gpif_data_in<11>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_11)) + (portRef D (instanceRef slave_fifo32_debug1_11)) + (portRef (member DIA 20) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 20) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_12_ "slave_fifo32/gpif_data_in<12>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_12)) + (portRef D (instanceRef slave_fifo32_debug1_12)) + (portRef (member DIA 19) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 19) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_13_ "slave_fifo32/gpif_data_in<13>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_13)) + (portRef D (instanceRef slave_fifo32_debug1_13)) + (portRef (member DIA 18) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 18) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_14_ "slave_fifo32/gpif_data_in<14>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_14)) + (portRef D (instanceRef slave_fifo32_debug1_14)) + (portRef (member DIA 17) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 17) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_15_ "slave_fifo32/gpif_data_in<15>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_15)) + (portRef D (instanceRef slave_fifo32_debug1_15)) + (portRef (member DIA 16) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 16) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_16_ "slave_fifo32/gpif_data_in<16>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_16)) + (portRef (member DIA 15) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 15) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_17_ "slave_fifo32/gpif_data_in<17>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_17)) + (portRef (member DIA 14) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 14) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_18_ "slave_fifo32/gpif_data_in<18>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_18)) + (portRef (member DIA 13) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 13) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_19_ "slave_fifo32/gpif_data_in<19>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_19)) + (portRef (member DIA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_20_ "slave_fifo32/gpif_data_in<20>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_20)) + (portRef (member DIA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_21_ "slave_fifo32/gpif_data_in<21>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_21)) + (portRef (member DIA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_22_ "slave_fifo32/gpif_data_in<22>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_22)) + (portRef (member DIA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_23_ "slave_fifo32/gpif_data_in<23>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_23)) + (portRef (member DIA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_24_ "slave_fifo32/gpif_data_in<24>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_24)) + (portRef (member DIA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_25_ "slave_fifo32/gpif_data_in<25>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_25)) + (portRef (member DIA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_26_ "slave_fifo32/gpif_data_in<26>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_26)) + (portRef (member DIA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_27_ "slave_fifo32/gpif_data_in<27>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_27)) + (portRef (member DIA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_28_ "slave_fifo32/gpif_data_in<28>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_28)) + (portRef (member DIA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_29_ "slave_fifo32/gpif_data_in<29>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_29)) + (portRef (member DIA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_30_ "slave_fifo32/gpif_data_in<30>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_30)) + (portRef (member DIA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_31_ "slave_fifo32/gpif_data_in<31>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_in_31)) + (portRef (member DIA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_EP_WMARK "slave_fifo32/EP_WMARK") + (joined + (portRef Q (instanceRef slave_fifo32_EP_WMARK_renamed_16)) + (portRef D (instanceRef slave_fifo32_EP_WMARK1_renamed_11)) + (portRef D (instanceRef slave_fifo32_EP_WMARK1_1_renamed_545)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_i_tready "slave_fifo32/fifo64_to_gpmc32_ctrl/i_tready") + (joined + (portRef D (instanceRef slave_fifo32_debug1_18)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_i_tready_renamed_26)) + (portRef I3 (instanceRef slave_fifo32_ctrl_tx_tready_data_tx_tready_OR_55_o1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_i_tready "slave_fifo32/fifo64_to_gpmc32_tx/i_tready") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_i_tready_renamed_22)) + (portRef I2 (instanceRef slave_fifo32_ctrl_tx_tready_data_tx_tready_OR_55_o1)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tvalid "slave_fifo32/ctrl_rx_tvalid") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_xfer_Mux_21_o1)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_o_tvalid11)) + (portRef I5 (instanceRef slave_fifo32_ctrl_rx_tvalid_data_rx_tvalid_OR_56_o1)) + (portRef I4 (instanceRef slave_fifo32_state_FSM_FFd1_In2_renamed_36)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tlast "slave_fifo32/ctrl_rx_tlast") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1)) + (portRef (member DOB 17) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef I1 (instanceRef slave_fifo32_state_FSM_FFd1_In2_renamed_36)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt__n0074_inv1)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_0_ "slave_fifo32/ctrl_rx_tdata<0>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT110)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_1_ "slave_fifo32/ctrl_rx_tdata<1>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT121)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_2_ "slave_fifo32/ctrl_rx_tdata<2>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT231)) + (portRef (member DOB 29) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_3_ "slave_fifo32/ctrl_rx_tdata<3>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT261)) + (portRef (member DOB 28) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_4_ "slave_fifo32/ctrl_rx_tdata<4>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT271)) + (portRef (member DOB 27) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_5_ "slave_fifo32/ctrl_rx_tdata<5>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT281)) + (portRef (member DOB 26) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_6_ "slave_fifo32/ctrl_rx_tdata<6>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT291)) + (portRef (member DOB 25) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_7_ "slave_fifo32/ctrl_rx_tdata<7>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT301)) + (portRef (member DOB 24) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_8_ "slave_fifo32/ctrl_rx_tdata<8>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT311)) + (portRef (member DOB 23) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_9_ "slave_fifo32/ctrl_rx_tdata<9>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT321)) + (portRef (member DOB 22) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_10_ "slave_fifo32/ctrl_rx_tdata<10>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT210)) + (portRef (member DOB 21) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_11_ "slave_fifo32/ctrl_rx_tdata<11>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT33)) + (portRef (member DOB 20) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_12_ "slave_fifo32/ctrl_rx_tdata<12>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT41)) + (portRef (member DOB 19) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_13_ "slave_fifo32/ctrl_rx_tdata<13>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT51)) + (portRef (member DOB 18) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_14_ "slave_fifo32/ctrl_rx_tdata<14>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT61)) + (portRef (member DOB 17) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_15_ "slave_fifo32/ctrl_rx_tdata<15>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT71)) + (portRef (member DOB 16) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_16_ "slave_fifo32/ctrl_rx_tdata<16>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT81)) + (portRef (member DOPB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_17_ "slave_fifo32/ctrl_rx_tdata<17>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT91)) + (portRef (member DOPB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_18_ "slave_fifo32/ctrl_rx_tdata<18>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT101)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_19_ "slave_fifo32/ctrl_rx_tdata<19>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT111)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_20_ "slave_fifo32/ctrl_rx_tdata<20>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT131)) + (portRef (member DOB 29) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_21_ "slave_fifo32/ctrl_rx_tdata<21>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT141)) + (portRef (member DOB 28) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_22_ "slave_fifo32/ctrl_rx_tdata<22>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT151)) + (portRef (member DOB 27) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_23_ "slave_fifo32/ctrl_rx_tdata<23>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT161)) + (portRef (member DOB 26) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_24_ "slave_fifo32/ctrl_rx_tdata<24>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT171)) + (portRef (member DOB 25) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_25_ "slave_fifo32/ctrl_rx_tdata<25>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT181)) + (portRef (member DOB 24) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_26_ "slave_fifo32/ctrl_rx_tdata<26>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT191)) + (portRef (member DOB 23) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_27_ "slave_fifo32/ctrl_rx_tdata<27>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT201)) + (portRef (member DOB 22) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_28_ "slave_fifo32/ctrl_rx_tdata<28>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT211)) + (portRef (member DOB 21) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_29_ "slave_fifo32/ctrl_rx_tdata<29>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT221)) + (portRef (member DOB 20) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_30_ "slave_fifo32/ctrl_rx_tdata<30>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT241)) + (portRef (member DOB 19) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_31_ "slave_fifo32/ctrl_rx_tdata<31>") + (joined + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT251)) + (portRef (member DOB 18) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_data_rx_tvalid "slave_fifo32/data_rx_tvalid") + (joined + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_xfer_Mux_21_o1)) + (portRef I4 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tvalid11)) + (portRef I5 (instanceRef slave_fifo32_state_FSM_FFd1_In2_renamed_36)) + ) + ) + (net (rename slave_fifo32_data_rx_tlast "slave_fifo32/data_rx_tlast") + (joined + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1)) + (portRef (member DOBDO 15) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef I2 (instanceRef slave_fifo32_state_FSM_FFd1_In2_renamed_36)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt__n0074_inv1)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_0_ "slave_fifo32/data_rx_tdata<0>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT110)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_1_ "slave_fifo32/data_rx_tdata<1>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT121)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_2_ "slave_fifo32/data_rx_tdata<2>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT231)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_3_ "slave_fifo32/data_rx_tdata<3>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT261)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_4_ "slave_fifo32/data_rx_tdata<4>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT271)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_5_ "slave_fifo32/data_rx_tdata<5>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT281)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_6_ "slave_fifo32/data_rx_tdata<6>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT291)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_7_ "slave_fifo32/data_rx_tdata<7>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT301)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_8_ "slave_fifo32/data_rx_tdata<8>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT311)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_9_ "slave_fifo32/data_rx_tdata<9>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT321)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_10_ "slave_fifo32/data_rx_tdata<10>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT210)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_11_ "slave_fifo32/data_rx_tdata<11>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT33)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_12_ "slave_fifo32/data_rx_tdata<12>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT41)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_13_ "slave_fifo32/data_rx_tdata<13>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT51)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_14_ "slave_fifo32/data_rx_tdata<14>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT61)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_15_ "slave_fifo32/data_rx_tdata<15>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT71)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_16_ "slave_fifo32/data_rx_tdata<16>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT81)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_17_ "slave_fifo32/data_rx_tdata<17>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT91)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_18_ "slave_fifo32/data_rx_tdata<18>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT101)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_19_ "slave_fifo32/data_rx_tdata<19>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT111)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_20_ "slave_fifo32/data_rx_tdata<20>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT131)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_21_ "slave_fifo32/data_rx_tdata<21>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT141)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_22_ "slave_fifo32/data_rx_tdata<22>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT151)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_23_ "slave_fifo32/data_rx_tdata<23>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT161)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_24_ "slave_fifo32/data_rx_tdata<24>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT171)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_25_ "slave_fifo32/data_rx_tdata<25>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT181)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_26_ "slave_fifo32/data_rx_tdata<26>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT191)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_27_ "slave_fifo32/data_rx_tdata<27>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT201)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_28_ "slave_fifo32/data_rx_tdata<28>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT211)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_29_ "slave_fifo32/data_rx_tdata<29>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT221)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_30_ "slave_fifo32/data_rx_tdata<30>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT241)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_31_ "slave_fifo32/data_rx_tdata<31>") + (joined + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT251)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + ) + ) + (net (rename slave_fifo32_state_FSM_FFd1_In2 "slave_fifo32/state_FSM_FFd1-In2") + (joined + (portRef O (instanceRef slave_fifo32_state_FSM_FFd1_In2_renamed_36)) + (portRef I1 (instanceRef slave_fifo32_state_FSM_FFd1_In4)) + (portRef I3 (instanceRef slave_fifo32_state_FSM_FFd2_In3)) + ) + ) + (net (rename catcap_data_clk_INV_6_o "catcap/data_clk_INV_6_o") + (joined + (portRef C1 (instanceRef catgen_gen_pins_0__oddr2)) + (portRef C1 (instanceRef catgen_gen_pins_1__oddr2)) + (portRef C1 (instanceRef catgen_gen_pins_2__oddr2)) + (portRef C1 (instanceRef catgen_gen_pins_3__oddr2)) + (portRef C1 (instanceRef catgen_gen_pins_4__oddr2)) + (portRef C1 (instanceRef catgen_gen_pins_5__oddr2)) + (portRef C1 (instanceRef catgen_gen_pins_6__oddr2)) + (portRef C1 (instanceRef catgen_gen_pins_7__oddr2)) + (portRef C1 (instanceRef catgen_gen_pins_8__oddr2)) + (portRef C1 (instanceRef catgen_gen_pins_9__oddr2)) + (portRef C1 (instanceRef catgen_gen_pins_10__oddr2)) + (portRef C1 (instanceRef catgen_gen_pins_11__oddr2)) + (portRef C1 (instanceRef catgen_oddr2_frame)) + (portRef C1 (instanceRef catgen_oddr2_clk)) + (portRef O (instanceRef catcap_data_clk_INV_6_o1_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_space_xor_3_11 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_space_xor<3>11") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_2_11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_1_11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_3_11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_4_11)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_space_xor_3_111)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst_SW0)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv_renamed_525)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a5 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_a5") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_4)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_4_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a4 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_a4") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_3)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_3_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a3 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_a3") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_2)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_2_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a2 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_a2") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_1)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_1_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a1 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_a1") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_0)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_0_11_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/_n0123_inv") + (joined + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_0)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_1)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_2)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_3)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_4)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv_renamed_525)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_write "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/write") + (joined + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_write1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/empty") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_write1)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_renamed_99)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_space_xor_3_111)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv_renamed_525)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_state_glue_set_renamed_529)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set_renamed_530)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst_renamed_535)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_0_ "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/a<0>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_0)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_2_11)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_1_11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_3_11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_4_11)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst_SW0)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set_SW1)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_0_11_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_1_ "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/a<1>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_1)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_2_11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_1_11)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_3_11)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_4_11)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_2_ "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/a<2>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_2)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_2_11)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_3_11)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_4_11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_3_ "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/a<3>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_3)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_3_11)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_4_11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv_SW0)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_4_ "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/a<4>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_4)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_4_11)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv_SW0)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/full") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_renamed_98)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_space_xor_3_111)) + (portRef I0 (instanceRef f1__n0161_inv1_lut_renamed_507)) + (portRef I1 (instanceRef f1_GND_14_o_read_OR_37_o1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_write1)) + (portRef I1 (instanceRef f1_read_state_FSM_FFd1_In111)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv_renamed_525)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set_renamed_530)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst_renamed_535)) + (portRef I2 (instanceRef f1_read_state_FSM_FFd2_In1)) + (portRef I2 (instanceRef f1_full_reg_glue_set_renamed_537)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_space_xor_3_11 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_space_xor<3>11") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_2_11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_1_11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_3_11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_4_11)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_space_xor_3_111)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst_SW0)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv_renamed_526)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a5 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_a5") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_4)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_4_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a4 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_a4") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_3)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_3_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a3 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_a3") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_2)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_2_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a2 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_a2") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_1)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_1_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a1 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_a1") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_0)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_0_11_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/_n0123_inv") + (joined + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_0)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_1)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_2)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_3)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_4)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv_renamed_526)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_write "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/write") + (joined + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_write1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/empty") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_write1)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_renamed_101)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_space_xor_3_111)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv_renamed_526)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_state_glue_set_renamed_528)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set_renamed_531)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst_renamed_536)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_0_ "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/a<0>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_0)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_2_11)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_1_11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_3_11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_4_11)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst_SW0)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set_SW1)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_0_11_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_1_ "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/a<1>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_1)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_2_11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_1_11)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_3_11)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_4_11)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_2_ "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/a<2>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_2)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_2_11)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_3_11)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_4_11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_3_ "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/a<3>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_3)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_3_11)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_4_11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv_SW0)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_4_ "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/a<4>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_4)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_4_11)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv_SW0)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/full") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_renamed_100)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_space_xor_3_111)) + (portRef I0 (instanceRef f0__n0161_inv1_lut_renamed_509)) + (portRef I1 (instanceRef f0_GND_14_o_read_OR_37_o1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_write1)) + (portRef I1 (instanceRef f0_read_state_FSM_FFd1_In111)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv_renamed_526)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set_renamed_531)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst_renamed_536)) + (portRef I2 (instanceRef f0_read_state_FSM_FFd2_In1)) + (portRef I2 (instanceRef f0_full_reg_glue_set_renamed_538)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT51") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7)) + (portRef I1 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51_renamed_521)) + (portRef I1 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41_renamed_532)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT821 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT821") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Msub_num_packets[7]_GND_55_o_sub_15_OUT_cy<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11)) + (portRef I3 (instanceRef slave_fifo32_ctrl_rx_tvalid_data_rx_tvalid_OR_56_o1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tready_int1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tvalid11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_6_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets<6>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_6)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read_renamed_34)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_i_tvalid_int1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_5_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets<5>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_5)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_i_tvalid_int1_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets<4>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_4)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portRef I0 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51_renamed_521)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_i_tvalid_int1_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT53 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT53") + (joined + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT41") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61)) + (portRef I5 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51_renamed_521)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets<3>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_3)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7_SW0)) + (portRef I2 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51_renamed_521)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portRef I0 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41_renamed_532)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_i_tvalid_int1_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets<2>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_2)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_i_tvalid_int1_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7_SW0)) + (portRef I3 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51_renamed_521)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portRef I4 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41_renamed_532)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT311 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT311") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portRef I4 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51_renamed_521)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets<1>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_i_tvalid_int1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portRef I2 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41_renamed_532)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets<0>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read_renamed_34)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_i_tvalid_int1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portRef I3 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41_renamed_532)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT11_INV_0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt__n0074_inv "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/_n0074_inv") + (joined + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_0)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_1)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_2)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_3)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_4)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_5)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_6)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt__n0074_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT<0>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_0)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT11_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT<1>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_1)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT<2>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_2)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT<3>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_3)) + (portRef O + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41_renamed_532)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT<4>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_4)) + (portRef O + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51_renamed_521)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_5_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT<5>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_5)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_6_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT<6>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_6)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_7_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT<7>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_o_tready_int "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/o_tready_int") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tready_int1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_GND_56_o_read_OR_123_o1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_In111)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_full_reg_glue_set_renamed_523)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo__n0146_inv1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt__n0074_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_i_tvalid_int "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/i_tvalid_int") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_write1)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_i_tvalid_int1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_full_reg_glue_set_renamed_523)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt__n0074_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets<7>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read_renamed_34)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_i_tvalid_int1)) + (portRef I4 (instanceRef slave_fifo32_ctrl_rx_tvalid_data_rx_tvalid_OR_56_o1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tready_int1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tvalid11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_lut<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_4__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_cy<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_lut<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_3__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_cy<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_lut<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_2__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_cy<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_lut<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_1__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_cy<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_lut<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_0__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<4>") + (joined + (portRef O + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3__)) + (portRef CI + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<3>") + (joined + (portRef O + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2__)) + (portRef CI + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<2>") + (joined + (portRef O + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1__)) + (portRef CI + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<1>") + (joined + (portRef O + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0__)) + (portRef CI + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<0>") + (joined + (portRef O + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_12_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<12>") + (joined + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_12__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_12__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_11_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<11>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_11__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_11__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_11__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_10_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<10>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_10__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_11__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_11__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_10_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<10>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_10__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_10__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_10__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_9_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<9>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_9__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_10__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_10__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_9_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<9>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_9__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_9__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_9__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_8_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<8>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_8__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_9__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_9__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_8_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<8>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_8__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_8__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_8__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_7_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<7>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_8__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_7_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<7>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_7__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_7__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_7__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_6_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_6_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<6>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_6__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_6__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_6__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_5_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<5>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_5_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<5>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_5__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_5__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_5__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<4>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_4__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_4__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_4__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<3>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_3__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_3__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_3__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<2>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_2__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_2__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_2__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd2") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_renamed_17)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_GND_56_o_read_OR_123_o1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_In111)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo__n0146_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd2-In") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_renamed_17)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_In1 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd1-In1") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_renamed_18)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_In111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_12_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr<12>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_12)) + (portRef I1 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_4__)) + (portRef (member ADDRAWRADDR 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr12_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr12_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_12)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr12_FRB_renamed_272)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_12__rt_renamed_244)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_11_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr<11>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_11)) + (portRef I5 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_3__)) + (portRef (member ADDRAWRADDR 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr11_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr11_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_11)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr11_FRB_renamed_271)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_11__rt_renamed_120)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_10_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<10>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_10__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_11__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_11__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_10_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr<10>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_10)) + (portRef I3 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_3__)) + (portRef (member ADDRAWRADDR 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr10_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr10_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_10)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr10_FRB_renamed_270)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_10__rt_renamed_121)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_9_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<9>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_9__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_10__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_10__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_9_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr<9>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_9)) + (portRef I1 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_3__)) + (portRef (member ADDRAWRADDR 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr9_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr9_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_9)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr9_FRB_renamed_269)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_9__rt_renamed_122)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<8>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_9__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_9__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_8_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr<8>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_8)) + (portRef I5 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_2__)) + (portRef (member ADDRAWRADDR 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr8_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr8_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_8)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr8_FRB_renamed_268)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8__rt_renamed_123)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<7>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_7_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr<7>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_7)) + (portRef I3 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_2__)) + (portRef (member ADDRAWRADDR 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr7_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr7_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_7)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr7_FRB_renamed_267)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7__rt_renamed_124)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_6_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr<6>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_6)) + (portRef I1 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_2__)) + (portRef (member ADDRAWRADDR 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr6_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr6_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_6)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr6_FRB_renamed_266)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6__rt_renamed_125)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<5>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_5_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr<5>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_5)) + (portRef I5 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_1__)) + (portRef (member ADDRAWRADDR 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr5_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr5_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_5)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr5_FRB_renamed_265)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5__rt_renamed_126)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr<4>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_4)) + (portRef I3 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_1__)) + (portRef (member ADDRAWRADDR 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr4_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr4_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_4)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr4_FRB_renamed_264)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4__rt_renamed_127)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr<3>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_3)) + (portRef I1 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_1__)) + (portRef (member ADDRAWRADDR 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr3_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr3_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_3)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr3_FRB_renamed_263)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3__rt_renamed_128)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr<2>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_2)) + (portRef I5 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_0__)) + (portRef (member ADDRAWRADDR 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr2_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr2_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_2)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr2_FRB_renamed_262)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2__rt_renamed_129)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr<1>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_1)) + (portRef I3 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_0__)) + (portRef (member ADDRAWRADDR 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr1_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr1_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_1)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr1_FRB_renamed_261)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1__rt_renamed_130)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr<0>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_0)) + (portRef I1 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_0__)) + (portRef (member ADDRAWRADDR 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_0)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_FRB_renamed_260)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0__rt_renamed_131)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_12_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr<12>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_12)) + (portRef I0 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4__)) + (portRef (member ADDRBRDADDR 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr12_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr12_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_12)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr12_FRB_renamed_298)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_12__rt_renamed_245)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_12__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_11_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr<11>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_11)) + (portRef I4 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__)) + (portRef (member ADDRBRDADDR 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr11_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr11_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_11)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr11_FRB_renamed_297)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_11__rt_renamed_132)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_11__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_10_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<10>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_10__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_11__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_11__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_10_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr<10>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_10)) + (portRef I2 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__)) + (portRef (member ADDRBRDADDR 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr10_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr10_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_10)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr10_FRB_renamed_296)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_10__rt_renamed_133)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_10__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_9_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<9>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_9__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_10__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_10__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_9_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr<9>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_9)) + (portRef I0 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__)) + (portRef (member ADDRBRDADDR 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr9_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr9_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_9)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr9_FRB_renamed_295)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_9__rt_renamed_134)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_9__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<8>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_9__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_9__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_8_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr<8>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_8)) + (portRef I4 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__)) + (portRef (member ADDRBRDADDR 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr8_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr8_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_8)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr8_FRB_renamed_294)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8__rt_renamed_135)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_8__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<7>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_7_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr<7>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_7)) + (portRef I2 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__)) + (portRef (member ADDRBRDADDR 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr7_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr7_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_7)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr7_FRB_renamed_293)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7__rt_renamed_136)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_7__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_6_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr<6>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_6)) + (portRef I0 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__)) + (portRef (member ADDRBRDADDR 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr6_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr6_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_6)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr6_FRB_renamed_292)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6__rt_renamed_137)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_6__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<5>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_5_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr<5>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_5)) + (portRef I4 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__)) + (portRef (member ADDRBRDADDR 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr5_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr5_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_5)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr5_FRB_renamed_291)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5__rt_renamed_138)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_5__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr<4>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_4)) + (portRef I2 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__)) + (portRef (member ADDRBRDADDR 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr4_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr4_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_4)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr4_FRB_renamed_290)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4__rt_renamed_139)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_4__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr<3>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_3)) + (portRef I0 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__)) + (portRef (member ADDRBRDADDR 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr3_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr3_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_3)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr3_FRB_renamed_289)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3__rt_renamed_140)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_3__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr<2>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_2)) + (portRef I4 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__)) + (portRef (member ADDRBRDADDR 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr2_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr2_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_2)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr2_FRB_renamed_288)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2__rt_renamed_141)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_2__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr<1>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_1)) + (portRef I2 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__)) + (portRef (member ADDRBRDADDR 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr1_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr1_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_1__rt_renamed_118)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr1_FRB_renamed_287)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1__rt_renamed_142)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr<0>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_0)) + (portRef I0 + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__)) + (portRef (member ADDRBRDADDR 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_0)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_FRB_renamed_273)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_0__rt_renamed_119)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0__rt_renamed_143)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo__n0146_inv "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/_n0146_inv") + (joined + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_0)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_1)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_2)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_3)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_4)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_5)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_6)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_7)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_8)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_9)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_10)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_11)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_12)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_FRB_renamed_273)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_1__FRB_renamed_274)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_2__FRB_renamed_275)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_3__FRB_renamed_276)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_4__FRB_renamed_277)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_5__FRB_renamed_278)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_6__FRB_renamed_279)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_7__FRB_renamed_280)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_8__FRB_renamed_281)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_9__FRB_renamed_282)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_10__FRB_renamed_283)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_11__FRB_renamed_284)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_12__FRB_renamed_285)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_0__FRB_renamed_286)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr1_FRB_renamed_287)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr2_FRB_renamed_288)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr3_FRB_renamed_289)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr4_FRB_renamed_290)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr5_FRB_renamed_291)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr6_FRB_renamed_292)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr7_FRB_renamed_293)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr8_FRB_renamed_294)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr9_FRB_renamed_295)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr10_FRB_renamed_296)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr11_FRB_renamed_297)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr12_FRB_renamed_298)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo__n0146_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_becoming_full "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/becoming_full") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_4__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_full_reg_glue_set_renamed_523)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_12__wr_addr_12__equal_11_o "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr[12]_wr_addr[12]_equal_11_o") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_In111)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo__n0146_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_0__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<0>_FRB") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_0__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_0__FRB_renamed_286)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_1__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<1>_FRB") + (joined + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_0__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_1__FRB_renamed_274)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_2__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<2>_FRB") + (joined + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_0__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_2__FRB_renamed_275)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_3__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<3>_FRB") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_1__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_3__FRB_renamed_276)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_4__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<4>_FRB") + (joined + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_1__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_4__FRB_renamed_277)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_5__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<5>_FRB") + (joined + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_1__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_5__FRB_renamed_278)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_6__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<6>_FRB") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_2__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_6__FRB_renamed_279)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_7__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<7>_FRB") + (joined + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_2__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_7__FRB_renamed_280)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_8__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<8>_FRB") + (joined + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_2__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_8__FRB_renamed_281)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_9__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<9>_FRB") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_3__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_9__FRB_renamed_282)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_10__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<10>_FRB") + (joined + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_3__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_10__FRB_renamed_283)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_11__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<11>_FRB") + (joined + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_3__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_11__FRB_renamed_284)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_12__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<12>_FRB") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_4__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_12__FRB_renamed_285)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_GND_56_o_read_OR_123_o "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/GND_56_o_read_OR_123_o") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_GND_56_o_read_OR_123_o1)) + (portRef ENBRDEN (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_write "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/write") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_write1)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_0)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_1)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_2)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_3)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_4)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_5)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_6)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_7)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_8)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_9)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_10)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_11)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_12)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_FRB_renamed_260)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr1_FRB_renamed_261)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr2_FRB_renamed_262)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr3_FRB_renamed_263)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr4_FRB_renamed_264)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr5_FRB_renamed_265)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr6_FRB_renamed_266)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr7_FRB_renamed_267)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr8_FRB_renamed_268)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr9_FRB_renamed_269)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr10_FRB_renamed_270)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr11_FRB_renamed_271)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr12_FRB_renamed_272)) + (portRef (member WEAWEL 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member WEAWEL 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_full_reg "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/full_reg") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_write1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read_renamed_34)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_full_reg_renamed_102)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt__n0074_inv1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_full_reg_glue_set_renamed_523)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd1") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_renamed_18)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_GND_56_o_read_OR_123_o1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_In111)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_full_reg_glue_set_renamed_523)) + (portRef I2 (instanceRef slave_fifo32_ctrl_rx_tvalid_data_rx_tvalid_OR_56_o1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tvalid11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo__n0146_inv1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt__n0074_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT51") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7)) + (portRef I1 + (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51_renamed_522)) + (portRef I1 + (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41_renamed_533)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT821 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT821") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Msub_num_packets[7]_GND_65_o_sub_15_OUT_cy<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_o_tready_int1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_o_tvalid11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_6_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets<6>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_6)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read_renamed_33)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_i_tvalid_int1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_5_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets<5>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_5)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read_renamed_33)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_i_tvalid_int1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_4_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets<4>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_4)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_i_tvalid_int1_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portRef I0 + (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51_renamed_522)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT53 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT53") + (joined + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT41") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61)) + (portRef I5 + (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51_renamed_522)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_3_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets<3>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_3)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_i_tvalid_int1_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7_SW0)) + (portRef I2 + (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51_renamed_522)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portRef I0 + (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41_renamed_533)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_2_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets<2>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_2)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_i_tvalid_int1_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7_SW0)) + (portRef I3 + (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51_renamed_522)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portRef I4 + (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41_renamed_533)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT311 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT311") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portRef I4 + (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51_renamed_522)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_1_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets<1>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_i_tvalid_int1_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portRef I2 + (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41_renamed_533)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_0_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets<0>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_i_tvalid_int1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portRef I3 + (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41_renamed_533)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT11_INV_0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt__n0074_inv "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/_n0074_inv") + (joined + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_0)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_1)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_2)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_3)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_4)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_5)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_6)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt__n0074_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_0_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT<0>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_0)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT11_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_1_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT<1>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_1)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_2_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT<2>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_2)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_3_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT<3>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_3)) + (portRef O + (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41_renamed_533)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_4_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT<4>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_4)) + (portRef O + (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51_renamed_522)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_5_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT<5>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_5)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_6_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT<6>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_6)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_7_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT<7>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_o_tready_int "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/o_tready_int") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_o_tready_int1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_GND_66_o_read_OR_144_o1)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_In111)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg_glue_set_renamed_426)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0146_inv1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt__n0074_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_i_tvalid_int "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/i_tvalid_int") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_write1)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_i_tvalid_int1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt__n0074_inv1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets<7>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read_renamed_33)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_i_tvalid_int1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_o_tready_int1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_o_tvalid11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_In111)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0146_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full102 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/becoming_full102") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full1021)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o5 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o5") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o41)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o7 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o7") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o61)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_renamed_516)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o8 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o8") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o71)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_renamed_516)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o9 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o9") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o81)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_G)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0121221 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n0121221") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212211)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01215_renamed_527)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n012121 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n012121") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0121211)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01216_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01215_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full101 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/becoming_full101") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full1011)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01216_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full62 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/becoming_full62") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full621)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_9_11)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_renamed_516)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_G)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_F)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full61 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/becoming_full61") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full611)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_9_11)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_G)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_F)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_renamed_516)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_dont_write_past_me_9_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/dont_write_past_me<9>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_9_11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01217_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd2") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_renamed_19)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_GND_66_o_read_OR_144_o1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_In111)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0146_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd2-In") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_renamed_19)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_In1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd1-In1") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_renamed_20)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_In111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_9_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr<9>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_9)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW0)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01217_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr9_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr9_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_9)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr9_FRB_renamed_308)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_9__rt_renamed_246)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_8_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr<8>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_8)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o81)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_F)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr8_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr8_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_8)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr8_FRB_renamed_307)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8__rt_renamed_144)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<7>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_7_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr<7>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_7)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o71)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr7_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr7_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_7)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr7_FRB_renamed_306)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7__rt_renamed_145)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_6_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr<6>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_6)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o61)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr6_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr6_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_6)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr6_FRB_renamed_305)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6__rt_renamed_146)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<5>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_5_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr<5>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_5)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0121211)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212211)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr5_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr5_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_5)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr5_FRB_renamed_304)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5__rt_renamed_147)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_4_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr<4>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_4)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o41)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr4_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr4_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_4)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr4_FRB_renamed_303)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4__rt_renamed_148)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_3_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr<3>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_3)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212211)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0121211)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr3_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr3_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_3)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr3_FRB_renamed_302)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3__rt_renamed_149)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_2_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr<2>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_2)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full1011)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212211)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01215_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr2_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr2_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_2)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr2_FRB_renamed_301)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2__rt_renamed_150)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_1_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr<1>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full1021)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01216_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01215_renamed_527)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr1_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr1_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_1)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr1_FRB_renamed_300)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1__rt_renamed_151)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_0_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr<0>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW0)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01216_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01215_renamed_527)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_0)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_FRB_renamed_299)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0__rt_renamed_152)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr<9>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_9_11)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW0)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr9_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr9_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr9_FRB_renamed_318)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_9__rt_renamed_247)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_8_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr<8>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_8)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o81)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_9_11)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW0)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_F)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr8_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr8_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_8)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr8_FRB_renamed_317)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8__rt_renamed_153)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<7>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_7_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr<7>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_7)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o71)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_9_11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_G)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_F)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr7_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr7_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_7)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr7_FRB_renamed_316)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7__rt_renamed_154)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_6_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr<6>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_6)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o61)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_9_11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_G)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_F)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_renamed_516)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr6_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr6_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_6)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr6_FRB_renamed_315)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6__rt_renamed_155)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<5>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_5_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr<5>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_5)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full621)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full611)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212211)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0121211)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr5_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr5_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_5)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr5_FRB_renamed_314)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5__rt_renamed_156)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_4_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr<4>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_4)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o41)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full621)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full611)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0121211)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr4_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr4_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_4)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr4_FRB_renamed_313)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4__rt_renamed_157)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_3_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr<3>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_3)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full621)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full611)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212211)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_SW1_SW0)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0121211)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr3_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr3_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_3)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr3_FRB_renamed_312)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3__rt_renamed_158)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_2_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr<2>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_2)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full1011)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full621)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full611)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212211)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_SW1)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0121211)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01215_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr2_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr2_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_2)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr2_FRB_renamed_311)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2__rt_renamed_159)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_1_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr<1>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full1021)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full621)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full611)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01216_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01215_renamed_527)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_SW1_SW0)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr1_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr1_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_1)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr1_FRB_renamed_310)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1__rt_renamed_160)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_0_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr<0>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full621)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full611)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01216_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01215_renamed_527)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_SW1_SW0)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_0)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_FRB_renamed_309)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0__rt_renamed_161)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_clear_inv "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/clear_inv") + (joined + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_0__)) + (portRef P (instanceRef XST_VCC)) + (portRef CE (instanceRef ODDR2_ifclk)) + (portRef D0 (instanceRef ODDR2_ifclk)) + (portRef CE (instanceRef ODDR2_ifclk_dbg)) + (portRef D0 (instanceRef ODDR2_ifclk_dbg)) + (portRef CE (instanceRef catgen_gen_pins_0__oddr2)) + (portRef CE (instanceRef catgen_gen_pins_1__oddr2)) + (portRef CE (instanceRef catgen_gen_pins_2__oddr2)) + (portRef CE (instanceRef catgen_gen_pins_3__oddr2)) + (portRef CE (instanceRef catgen_gen_pins_4__oddr2)) + (portRef CE (instanceRef catgen_gen_pins_5__oddr2)) + (portRef CE (instanceRef catgen_gen_pins_6__oddr2)) + (portRef CE (instanceRef catgen_gen_pins_7__oddr2)) + (portRef CE (instanceRef catgen_gen_pins_8__oddr2)) + (portRef CE (instanceRef catgen_gen_pins_9__oddr2)) + (portRef CE (instanceRef catgen_gen_pins_10__oddr2)) + (portRef CE (instanceRef catgen_gen_pins_11__oddr2)) + (portRef CE (instanceRef catgen_oddr2_frame)) + (portRef CE (instanceRef catgen_oddr2_clk)) + (portRef D0 (instanceRef catgen_oddr2_clk)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_0__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_2__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_3__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_4__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_5__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_6__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_7__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_8__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_9__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_10__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_11__)) + (portRef CI + (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_0__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_0__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_1__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_2__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_3__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_4__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_5__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_6__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_7__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_8__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_9__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_10__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_11__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_12__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_13__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_14__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_0__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_2__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_3__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_4__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_5__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_6__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_7__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_8__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_9__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_10__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_11__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_0__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_0__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_1__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_2__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_3__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_4__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_5__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_6__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_7__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_8__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_9__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_10__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_11__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_12__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_13__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_14__)) + (portRef CI (instanceRef f1_Msub_dont_write_past_me_cy_0__)) + (portRef CI (instanceRef f1_Msub_dont_write_past_me_xor_0__)) + (portRef DI (instanceRef f1_Msub_dont_write_past_me_cy_2__)) + (portRef DI (instanceRef f1_Msub_dont_write_past_me_cy_3__)) + (portRef DI (instanceRef f1_Msub_dont_write_past_me_cy_4__)) + (portRef DI (instanceRef f1_Msub_dont_write_past_me_cy_5__)) + (portRef DI (instanceRef f1_Msub_dont_write_past_me_cy_6__)) + (portRef DI (instanceRef f1_Msub_dont_write_past_me_cy_7__)) + (portRef DI (instanceRef f1_Msub_dont_write_past_me_cy_8__)) + (portRef DI (instanceRef f1_Msub_dont_write_past_me_cy_9__)) + (portRef DI (instanceRef f1_Msub_dont_write_past_me_cy_10__)) + (portRef DI (instanceRef f1_Msub_dont_write_past_me_cy_11__)) + (portRef DI (instanceRef f1_Mcount_wr_addr_cy_0__)) + (portRef DI (instanceRef f1_Mcount_rd_addr_cy_0__)) + (portRef CI (instanceRef f1_Mcompar_becoming_full_cy_0__)) + (portRef CI (instanceRef f0_Msub_dont_write_past_me_cy_0__)) + (portRef CI (instanceRef f0_Msub_dont_write_past_me_xor_0__)) + (portRef DI (instanceRef f0_Msub_dont_write_past_me_cy_2__)) + (portRef DI (instanceRef f0_Msub_dont_write_past_me_cy_3__)) + (portRef DI (instanceRef f0_Msub_dont_write_past_me_cy_4__)) + (portRef DI (instanceRef f0_Msub_dont_write_past_me_cy_5__)) + (portRef DI (instanceRef f0_Msub_dont_write_past_me_cy_6__)) + (portRef DI (instanceRef f0_Msub_dont_write_past_me_cy_7__)) + (portRef DI (instanceRef f0_Msub_dont_write_past_me_cy_8__)) + (portRef DI (instanceRef f0_Msub_dont_write_past_me_cy_9__)) + (portRef DI (instanceRef f0_Msub_dont_write_past_me_cy_10__)) + (portRef DI (instanceRef f0_Msub_dont_write_past_me_cy_11__)) + (portRef DI (instanceRef f0_Mcount_wr_addr_cy_0__)) + (portRef DI (instanceRef f0_Mcount_rd_addr_cy_0__)) + (portRef CI (instanceRef f0_Mcompar_becoming_full_cy_0__)) + (portRef I (instanceRef codec_enable_OBUF)) + (portRef I (instanceRef codec_reset_OBUF)) + (portRef I (instanceRef FX3_EXTINT_OBUF)) + (portRef I (instanceRef LED_RX1_OBUF)) + (portRef I (instanceRef LED_RX2_OBUF)) + (portRef I (instanceRef LED_TXRX1_RX_OBUF)) + (portRef I (instanceRef LED_TXRX1_TX_OBUF)) + (portRef I (instanceRef LED_TXRX2_RX_OBUF)) + (portRef I (instanceRef LED_TXRX2_TX_OBUF)) + (portRef I (instanceRef SFDX1_RX_OBUF)) + (portRef I (instanceRef SFDX1_TX_OBUF)) + (portRef I (instanceRef SFDX2_RX_OBUF)) + (portRef I (instanceRef SFDX2_TX_OBUF)) + (portRef I (instanceRef SRX1_RX_OBUF)) + (portRef I (instanceRef SRX1_TX_OBUF)) + (portRef I (instanceRef SRX2_RX_OBUF)) + (portRef I (instanceRef SRX2_TX_OBUF)) + (portRef I (instanceRef tx_enable1_OBUF)) + (portRef I (instanceRef tx_enable2_OBUF)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_cy1)) + (portRef DI + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4__)) + (portRef DI + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3__)) + (portRef DI + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2__)) + (portRef DI + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1__)) + (portRef DI + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0__)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0_cy)) + (portRef DI (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4__)) + (portRef DI (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3__)) + (portRef DI (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2__)) + (portRef DI (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1__)) + (portRef DI (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0__)) + (portRef DI (instanceRef f1__n0161_inv1_cy1)) + (portRef DI (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4__)) + (portRef DI (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3__)) + (portRef DI (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2__)) + (portRef DI (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1__)) + (portRef DI (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0__)) + (portRef DI (instanceRef f0__n0161_inv1_cy1)) + (portRef (member DIBDI 15) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef ENAWREN (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 29) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 28) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 27) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 26) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 25) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 24) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 23) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 22) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 21) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 20) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 19) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 18) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 17) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 29) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 28) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 27) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 26) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 25) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 24) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 23) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 22) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 21) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 20) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 19) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 18) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 17) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 16) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member DIPB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member DIPB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 29) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 28) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 27) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 26) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 25) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 24) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 23) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 22) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 21) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 20) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 19) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 18) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 17) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 16) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 15) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 14) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 13) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIPB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member DIBDI 15) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portRef ENAWREN (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 29) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 28) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 27) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 26) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 25) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 24) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 23) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 22) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 21) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 20) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 19) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 18) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 17) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 16) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 15) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 14) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 13) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIPB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 29) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 28) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 27) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 26) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 25) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 24) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 23) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 22) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 21) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 20) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 19) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 18) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 17) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 16) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member DIPB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member DIPB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member DIB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 29) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 28) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 27) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 26) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 25) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 24) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 23) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 22) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 21) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 20) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 19) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 18) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member DIB 17) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef ENA (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member DIBDI 15) (instanceRef f1_ram_Mram_ram33)) + (portRef ENAWREN (instanceRef f1_ram_Mram_ram33)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram31)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram31)) + (portRef ENA (instanceRef f1_ram_Mram_ram31)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram30)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram30)) + (portRef ENA (instanceRef f1_ram_Mram_ram30)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram32)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram32)) + (portRef ENA (instanceRef f1_ram_Mram_ram32)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram28)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram28)) + (portRef ENA (instanceRef f1_ram_Mram_ram28)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram27)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram27)) + (portRef ENA (instanceRef f1_ram_Mram_ram27)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram29)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram29)) + (portRef ENA (instanceRef f1_ram_Mram_ram29)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram25)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram25)) + (portRef ENA (instanceRef f1_ram_Mram_ram25)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram24)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram24)) + (portRef ENA (instanceRef f1_ram_Mram_ram24)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram26)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram26)) + (portRef ENA (instanceRef f1_ram_Mram_ram26)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram22)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram22)) + (portRef ENA (instanceRef f1_ram_Mram_ram22)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram21)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram21)) + (portRef ENA (instanceRef f1_ram_Mram_ram21)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram23)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram23)) + (portRef ENA (instanceRef f1_ram_Mram_ram23)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram19)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram19)) + (portRef ENA (instanceRef f1_ram_Mram_ram19)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram18)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram18)) + (portRef ENA (instanceRef f1_ram_Mram_ram18)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram20)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram20)) + (portRef ENA (instanceRef f1_ram_Mram_ram20)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram16)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram16)) + (portRef ENA (instanceRef f1_ram_Mram_ram16)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram15)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram15)) + (portRef ENA (instanceRef f1_ram_Mram_ram15)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram17)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram17)) + (portRef ENA (instanceRef f1_ram_Mram_ram17)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram14)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram14)) + (portRef ENA (instanceRef f1_ram_Mram_ram14)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram13)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram13)) + (portRef ENA (instanceRef f1_ram_Mram_ram13)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram12)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram12)) + (portRef ENA (instanceRef f1_ram_Mram_ram12)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram11)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram11)) + (portRef ENA (instanceRef f1_ram_Mram_ram11)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram9)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram9)) + (portRef ENA (instanceRef f1_ram_Mram_ram9)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram8)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram8)) + (portRef ENA (instanceRef f1_ram_Mram_ram8)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram10)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram10)) + (portRef ENA (instanceRef f1_ram_Mram_ram10)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram6)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram6)) + (portRef ENA (instanceRef f1_ram_Mram_ram6)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram5)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram5)) + (portRef ENA (instanceRef f1_ram_Mram_ram5)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram7)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram7)) + (portRef ENA (instanceRef f1_ram_Mram_ram7)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram3)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram3)) + (portRef ENA (instanceRef f1_ram_Mram_ram3)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram2)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram2)) + (portRef ENA (instanceRef f1_ram_Mram_ram2)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram4)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram4)) + (portRef ENA (instanceRef f1_ram_Mram_ram4)) + (portRef (member DIB 31) (instanceRef f1_ram_Mram_ram1)) + (portRef (member DIB 30) (instanceRef f1_ram_Mram_ram1)) + (portRef ENA (instanceRef f1_ram_Mram_ram1)) + (portRef (member DIBDI 15) (instanceRef f0_ram_Mram_ram33)) + (portRef ENAWREN (instanceRef f0_ram_Mram_ram33)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram31)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram31)) + (portRef ENA (instanceRef f0_ram_Mram_ram31)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram30)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram30)) + (portRef ENA (instanceRef f0_ram_Mram_ram30)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram32)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram32)) + (portRef ENA (instanceRef f0_ram_Mram_ram32)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram28)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram28)) + (portRef ENA (instanceRef f0_ram_Mram_ram28)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram27)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram27)) + (portRef ENA (instanceRef f0_ram_Mram_ram27)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram29)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram29)) + (portRef ENA (instanceRef f0_ram_Mram_ram29)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram25)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram25)) + (portRef ENA (instanceRef f0_ram_Mram_ram25)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram24)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram24)) + (portRef ENA (instanceRef f0_ram_Mram_ram24)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram26)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram26)) + (portRef ENA (instanceRef f0_ram_Mram_ram26)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram22)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram22)) + (portRef ENA (instanceRef f0_ram_Mram_ram22)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram21)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram21)) + (portRef ENA (instanceRef f0_ram_Mram_ram21)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram23)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram23)) + (portRef ENA (instanceRef f0_ram_Mram_ram23)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram19)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram19)) + (portRef ENA (instanceRef f0_ram_Mram_ram19)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram18)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram18)) + (portRef ENA (instanceRef f0_ram_Mram_ram18)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram20)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram20)) + (portRef ENA (instanceRef f0_ram_Mram_ram20)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram16)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram16)) + (portRef ENA (instanceRef f0_ram_Mram_ram16)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram15)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram15)) + (portRef ENA (instanceRef f0_ram_Mram_ram15)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram17)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram17)) + (portRef ENA (instanceRef f0_ram_Mram_ram17)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram14)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram14)) + (portRef ENA (instanceRef f0_ram_Mram_ram14)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram13)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram13)) + (portRef ENA (instanceRef f0_ram_Mram_ram13)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram12)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram12)) + (portRef ENA (instanceRef f0_ram_Mram_ram12)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram11)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram11)) + (portRef ENA (instanceRef f0_ram_Mram_ram11)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram9)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram9)) + (portRef ENA (instanceRef f0_ram_Mram_ram9)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram8)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram8)) + (portRef ENA (instanceRef f0_ram_Mram_ram8)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram10)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram10)) + (portRef ENA (instanceRef f0_ram_Mram_ram10)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram6)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram6)) + (portRef ENA (instanceRef f0_ram_Mram_ram6)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram5)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram5)) + (portRef ENA (instanceRef f0_ram_Mram_ram5)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram7)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram7)) + (portRef ENA (instanceRef f0_ram_Mram_ram7)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram3)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram3)) + (portRef ENA (instanceRef f0_ram_Mram_ram3)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram2)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram2)) + (portRef ENA (instanceRef f0_ram_Mram_ram2)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram4)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram4)) + (portRef ENA (instanceRef f0_ram_Mram_ram4)) + (portRef (member DIB 31) (instanceRef f0_ram_Mram_ram1)) + (portRef (member DIB 30) (instanceRef f0_ram_Mram_ram1)) + (portRef ENA (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0146_inv "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n0146_inv") + (joined + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_0)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_1)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_2)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_3)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_4)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_5)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_6)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_7)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_8)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_FRB_renamed_309)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr1_FRB_renamed_310)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr2_FRB_renamed_311)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr3_FRB_renamed_312)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr4_FRB_renamed_313)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr5_FRB_renamed_314)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr6_FRB_renamed_315)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr7_FRB_renamed_316)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr8_FRB_renamed_317)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr9_FRB_renamed_318)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0146_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_GND_66_o_read_OR_144_o "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/GND_66_o_read_OR_144_o") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_GND_66_o_read_OR_144_o1)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_write "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/write") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_write1)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_0)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_1)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_2)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_3)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_4)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_5)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_6)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_7)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_8)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_9)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_FRB_renamed_299)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr1_FRB_renamed_300)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr2_FRB_renamed_301)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr3_FRB_renamed_302)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr4_FRB_renamed_303)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr5_FRB_renamed_304)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr6_FRB_renamed_305)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr7_FRB_renamed_306)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr8_FRB_renamed_307)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr9_FRB_renamed_308)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/full_reg") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_write1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read_renamed_33)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg_renamed_103)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt__n0074_inv1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg_glue_set_renamed_426)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd1") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_renamed_20)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_GND_66_o_read_OR_144_o1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_In111)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_G)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg_glue_set_renamed_426)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_o_tvalid11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0146_inv1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt__n0074_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/full") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_i_tvalid_o_tready_AND_73_o1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_o_tready_int11)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full_renamed_105)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst_renamed_417)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full_glue_set_renamed_419)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0_lut_renamed_436)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut1_renamed_505)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_GND_56_o_read_OR_123_o1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_state_glue_set_renamed_513)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv_renamed_39)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_write1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut_renamed_506)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_4_ "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/a<4>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_4)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_4_11)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0102_SW1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_3_ "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/a<3>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_3)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_4_11)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_3_11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0102_SW1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_2_ "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/a<2>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_2)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_4_11)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_3_11)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_2_11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0102_SW1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_1_ "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/a<1>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_1)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_4_11)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_3_11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_1_11)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_2_11)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0102_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_0_ "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/a<0>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_0)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_4_11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_3_11)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_1_11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_2_11)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0102_SW0)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111_SW0)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_0_11_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/empty") + (joined + (portRef I0 (instanceRef f1_write11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0102_SW0)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_renamed_104)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst_renamed_417)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full_glue_set_renamed_419)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst_SW0)) + (portRef I3 (instanceRef f1_read_state_FSM_FFd2_In1)) + (portRef I0 (instanceRef f1_full_reg_glue_set_renamed_537)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv_renamed_39)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_write "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/write") + (joined + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_write1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/_n0123_inv") + (joined + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_0)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_1)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_2)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_3)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_4)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv_renamed_39)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a1 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_a1") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_0)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_0_11_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a2 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_a2") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_1)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_1_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a3 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_a3") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_2)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_2_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a4 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_a4") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_3)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_3_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a5 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_a5") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_4)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_4_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_11 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_space_xor<3>11") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_4_11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_3_11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_1_11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_2_11)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv_renamed_39)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o5 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o5") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o41)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212_renamed_40)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01213_renamed_429)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o") + (joined + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd1_In11)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0144_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full92 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full92") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full921)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o7 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o7") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o61)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212_renamed_40)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0121211 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n0121211") + (joined + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012114_renamed_44)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212111)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01217_renamed_427)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv3 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n0129_inv3") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv31)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01214_renamed_41)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01211 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01211") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012114_renamed_44)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01219)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012111 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n012111") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0121111)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012114_renamed_44)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01217_renamed_427)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full421_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full421_FRB") + (joined + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212_renamed_40)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01213_renamed_429)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full421_FRB_renamed_455)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full411_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full411_FRB") + (joined + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212_renamed_40)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01213_renamed_429)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full411_FRB_renamed_456)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full621_FRB") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0121111)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01213_renamed_429)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621_FRB_renamed_461)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012112_renamed_500)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_dont_write_past_me_8_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/dont_write_past_me<8>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01214_renamed_41)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o8 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o8") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o71)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012112_renamed_500)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<15>") + (joined + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_15__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_14_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<14>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_14__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_15__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<14>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_14__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_14__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_13_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<13>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_13__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_14__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_14__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<13>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_13__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_13__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_12_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<12>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_12__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_13__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_13__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<12>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_12__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_12__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_11_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<11>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_11__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_12__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_12__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<11>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_11__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_11__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_10_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<10>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_10__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_11__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_11__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<10>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_10__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_10__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_9_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<9>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_9__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_10__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_10__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<9>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_9__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_9__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_8_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<8>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_8__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_9__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_9__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<8>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_8__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_8__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_7_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<7>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_8__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<7>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_7__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_7__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_6_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<6>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_6__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_6__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_5_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<5>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<5>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_5__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_5__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_4_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<4>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_4__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_4__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_3_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<3>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_3__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_3__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_2_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<2>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_2__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_2__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_1_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<1>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_1__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_1__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_0_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<0>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_0__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_0__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_write_AND_42_o_inv "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/read_write_AND_42_o_inv") + (joined + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_0__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_write_AND_42_o_inv2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd1_In "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/read_state_FSM_FFd1-In") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd1_renamed_21)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd1_In11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr<8>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr8_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr8_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr8_FRB_renamed_327)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_8__rt_renamed_248)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_7_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr<7>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_7)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o71)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212111)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012111_renamed_424)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr7_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr7_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_7)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr7_FRB_renamed_326)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_7__rt_renamed_162)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_6_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr<6>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_6)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0121111)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01213_renamed_429)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012112_renamed_500)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o61)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr6_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr6_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_6)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr6_FRB_renamed_325)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_6__rt_renamed_163)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<5>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_5_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr<5>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_5)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212_renamed_40)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212111)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012111_renamed_424)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012112_renamed_500)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr5_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr5_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_5)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr5_FRB_renamed_324)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_5__rt_renamed_164)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_4_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr<4>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_4)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o41)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212_renamed_40)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012112_renamed_500)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr4_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr4_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_4)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr4_FRB_renamed_323)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_4__rt_renamed_165)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_3_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr<3>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_3)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012113_renamed_43)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212111)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012111_renamed_424)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr3_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr3_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_3)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr3_FRB_renamed_322)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_3__rt_renamed_166)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full421)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full411)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_2_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr<2>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_2)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012114_renamed_44)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01217_renamed_427)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01215_renamed_517)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01218_renamed_519)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr2_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr2_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_2)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr2_FRB_renamed_321)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_2__rt_renamed_167)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full421)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full411)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_1_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr<1>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full921)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01217_renamed_427)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01215_renamed_517)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01218_renamed_519)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr1_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr1_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_1)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr1_FRB_renamed_320)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_1__rt_renamed_168)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full421)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full411)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_0_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr<0>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01216_renamed_42)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01215_renamed_517)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_0)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_FRB_renamed_319)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_0__rt_renamed_169)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full421)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full411)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0144_inv "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n0144_inv") + (joined + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_0)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_1)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_2)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_3)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_4)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_5)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_6)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_7)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_FRB_renamed_319)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr1_FRB_renamed_320)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr2_FRB_renamed_321)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr3_FRB_renamed_322)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr4_FRB_renamed_323)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr5_FRB_renamed_324)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr6_FRB_renamed_325)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr7_FRB_renamed_326)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr8_FRB_renamed_327)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full421_FRB_renamed_455)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full411_FRB_renamed_456)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0_FRB_renamed_459)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621_FRB_renamed_461)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0144_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_8_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr<8>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_8)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01214_renamed_41)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr8_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr8_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_8)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr8_FRB_renamed_445)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_8__rt_renamed_249)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_7_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr<7>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_7)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o71)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212111)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012111_renamed_424)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr7_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr7_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_7)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr7_FRB_renamed_444)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_7__rt_renamed_170)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_6_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr<6>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_6)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01213_renamed_429)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o61)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr6_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr6_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_6)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr6_FRB_renamed_443)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_6__rt_renamed_171)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<5>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_5_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr<5>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_5)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212111)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012111_renamed_424)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012112_renamed_500)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr5_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr5_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_5)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr5_FRB_renamed_442)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_5__rt_renamed_172)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_4_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr<4>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_4)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o41)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr4_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr4_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_4)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr4_FRB_renamed_441)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_4__rt_renamed_173)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_3_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr<3>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_3)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012113_renamed_43)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212111)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012111_renamed_424)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr3_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr3_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_3)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr3_FRB_renamed_440)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_3__rt_renamed_174)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_2_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr<2>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_2)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01217_renamed_427)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01215_renamed_517)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01218_renamed_519)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr2_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr2_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_2)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr2_FRB_renamed_439)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_2__rt_renamed_175)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_1_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr<1>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full921)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01217_renamed_427)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01215_renamed_517)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01218_renamed_519)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr1_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr1_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_1)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr1_FRB_renamed_438)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_1__rt_renamed_176)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_0_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr<0>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01216_renamed_42)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01215_renamed_517)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_0)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_FRB_renamed_437)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_0__rt_renamed_177)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0154_inv "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n0154_inv") + (joined + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_0)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_1)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_2)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_3)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_4)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_5)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_6)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_7)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_8)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_FRB_renamed_437)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr1_FRB_renamed_438)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr2_FRB_renamed_439)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr3_FRB_renamed_440)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr4_FRB_renamed_441)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr5_FRB_renamed_442)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr6_FRB_renamed_443)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr7_FRB_renamed_444)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr8_FRB_renamed_445)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_write1)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_31_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<31>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_31)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata601)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_30_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<30>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_30)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata591)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_29_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<29>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_29)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata581)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_28_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<28>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_28)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata571)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_27_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<27>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_27)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata551)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_26_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<26>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_26)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata541)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_25_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<25>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_25)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata531)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_24_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<24>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_24)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata521)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_23_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<23>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_23)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata511)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_22_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<22>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_22)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata501)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_21_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<21>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_21)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata491)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_20_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<20>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_20)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata481)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_19_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<19>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_19)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata471)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_18_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<18>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_18)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata461)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_17_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<17>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_17)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata441)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_16_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<16>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_16)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata431)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_15_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<15>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_15)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata421)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_14_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<14>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_14)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata411)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_13_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<13>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_13)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata401)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_12_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<12>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_12)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata391)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_11_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<11>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata381)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_10_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<10>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_10)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata371)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_9_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<9>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_9)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata361)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_8_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<8>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_8)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata351)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_7_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<7>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_7)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata331)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_6_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<6>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_6)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata321)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_5_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<5>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_5)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata311)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_4_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<4>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_4)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata301)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_3_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<3>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_3)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata291)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_2_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<2>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_2)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata281)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_1_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<1>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata271)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_0_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding<0>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata261)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_state "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/state") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata110)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata210)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata310)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata410)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata510)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata65)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata71)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata81)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata91)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata101)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata111)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata121)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata131)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata141)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata151)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata161)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata171)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata181)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata191)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata201)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata211)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata221)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata231)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata241)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata251)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata261)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata271)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata281)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata291)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata301)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata311)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata321)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata331)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata341)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata351)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata361)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata371)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata381)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata391)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata401)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata411)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata421)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata431)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata441)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata451)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata461)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata471)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata481)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata491)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata501)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata511)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata521)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata531)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata541)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata551)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata561)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata571)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata581)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata591)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata601)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata611)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata621)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata631)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata641)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_state_renamed_106)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_state_glue_set_renamed_513)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tvalid11)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_write1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_i_tvalid_o_tready_AND_73_o "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/i_tvalid_o_tready_AND_73_o") + (joined + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_0)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_1)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_2)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_3)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_4)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_5)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_6)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_7)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_8)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_9)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_10)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_11)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_12)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_13)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_14)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_15)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_16)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_17)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_18)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_19)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_20)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_21)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_22)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_23)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_24)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_25)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_26)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_27)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_28)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_29)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_30)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_31)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_i_tvalid_o_tready_AND_73_o1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/read_state_FSM_FFd1") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd1_renamed_21)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv31)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_full_reg_glue_set_renamed_434)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_GND_50_o_read_OR_57_o1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_write1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd1_In11)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0144_inv1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_write_AND_42_o_inv2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_full_reg "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/full_reg") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_full_reg_renamed_107)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv31)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_full_reg_glue_set_renamed_434)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd2_BRB1_renamed_475)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_write1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_write_AND_42_o_inv2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__GND_50_o_mux_35_OUT_8_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_GND_50_o_mux_35_OUT<8>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_8)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT151)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__GND_50_o_mux_35_OUT_7_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_GND_50_o_mux_35_OUT<7>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_7)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT141)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__GND_50_o_mux_35_OUT_6_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_GND_50_o_mux_35_OUT<6>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_6)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT131)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__GND_50_o_mux_35_OUT_5_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_GND_50_o_mux_35_OUT<5>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_5)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT121)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__GND_50_o_mux_35_OUT_4_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_GND_50_o_mux_35_OUT<4>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_4)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__GND_50_o_mux_35_OUT_3_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_GND_50_o_mux_35_OUT<3>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_3)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT101)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__GND_50_o_mux_35_OUT_2_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_GND_50_o_mux_35_OUT<2>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_2)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT91)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__GND_50_o_mux_35_OUT_1_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_GND_50_o_mux_35_OUT<1>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_1)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT81)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__GND_50_o_mux_35_OUT_0_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_GND_50_o_mux_35_OUT<0>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_0)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_GND_50_o_read_OR_57_o "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/GND_50_o_read_OR_57_o") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_GND_50_o_read_OR_57_o1)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_15_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT<15>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_15__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15_BRB1_renamed_468)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_14_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT<14>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_14__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_14_BRB1_renamed_467)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_13_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT<13>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_13__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_13_BRB1_renamed_466)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_12_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT<12>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_12__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_12_BRB1_renamed_465)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_11_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT<11>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_11__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_11_BRB1_renamed_490)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_10_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT<10>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_10__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_10_BRB1_renamed_492)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_9_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT<9>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_9__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_9_BRB1_renamed_494)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_8_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT<8>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_8__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT151)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_7_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT<7>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_7__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT141)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_6_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_6__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT131)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_5_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT<5>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_5__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT121)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_4_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_4__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_3_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_3__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT101)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_2_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_2__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT91)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_1_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_1__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT81)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_0_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_0__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0121 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n0121") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01219)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_full_reg_glue_set_renamed_434)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n0129_inv") + (joined + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_0)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_1)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_2)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_3)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_4)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_5)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_6)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_7)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_8)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_12_BRB0_renamed_464)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_12_BRB1_renamed_465)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_13_BRB1_renamed_466)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_14_BRB1_renamed_467)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15_BRB1_renamed_468)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_11_BRB1_renamed_490)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_10_BRB1_renamed_492)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_9_BRB1_renamed_494)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_full "slave_fifo32/fifo64_to_gpmc32_tx/cross_clock_fifo/full") + (joined + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_full_reg_glue_set_renamed_434)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_GND_50_o_read_OR_57_o1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_write1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd1_In11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv31)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0144_inv1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_write_AND_42_o_inv2)) + (portRef full (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_empty "slave_fifo32/fifo64_to_gpmc32_tx/cross_clock_fifo/empty") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_read1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker__n0131_inv1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int11_renamed_47)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In31_renamed_50)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In12_SW0)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_F)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_G)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1_F)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1_G)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int12)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int14_renamed_48)) + (portRef empty (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_write "slave_fifo32/fifo64_to_gpmc32_tx/cross_clock_fifo/write") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_write1)) + (portRef wr_en (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o "slave_fifo32/fifo64_to_gpmc32_tx/GND_49_o_space[15]_LessThan_2_o") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_i_tready_renamed_22)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tvalid "slave_fifo32/fifo64_to_gpmc32_tx/o64_tvalid") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tvalid11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst_renamed_417)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full_glue_set_renamed_419)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv_renamed_39)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_0_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<0>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata110)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_1_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<1>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata121)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_2_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<2>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata231)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_3_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<3>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata341)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_4_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<4>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata451)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_5_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<5>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata561)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_6_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<6>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata611)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_7_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<7>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata621)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_8_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<8>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata631)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_9_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<9>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata641)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_10_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<10>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata210)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_11_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<11>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata310)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_12_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<12>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata410)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_13_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<13>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata510)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_14_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<14>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata65)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_15_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<15>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata71)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_16_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<16>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata81)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_17_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<17>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata91)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_18_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<18>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata101)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_19_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<19>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_20_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<20>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata131)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_21_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<21>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata141)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_22_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<22>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata151)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_23_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<23>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata161)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_24_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<24>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata171)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_25_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<25>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata181)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_26_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<26>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata191)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_27_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<27>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata201)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_28_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<28>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata211)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_29_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<29>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata221)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_30_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<30>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata241)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_31_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<31>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata251)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_32_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<32>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata261)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_33_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<33>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata271)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_34_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<34>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata281)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_35_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<35>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata291)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_36_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<36>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata301)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_37_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<37>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata311)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_38_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<38>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata321)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_39_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<39>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata331)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_40_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<40>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata351)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_41_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<41>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata361)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_42_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<42>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata371)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_43_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<43>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata381)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_44_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<44>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata391)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_45_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<45>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata401)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_46_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<46>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata411)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_47_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<47>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata421)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_48_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<48>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata431)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_49_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<49>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata441)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_50_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<50>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata461)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_51_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<51>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata471)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_52_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<52>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata481)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_53_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<53>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata491)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_54_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<54>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata501)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_55_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<55>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata511)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_56_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<56>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata521)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_57_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<57>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata531)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_58_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<58>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata541)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_59_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<59>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata551)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_60_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<60>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata571)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_61_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<61>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata581)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_62_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<62>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata591)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_63_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata<63>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata601)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tvalid "slave_fifo32/fifo64_to_gpmc32_tx/o32_tvalid") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_i_tvalid_o_tready_AND_73_o1)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_o_tvalid11)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_state_glue_set_renamed_513)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tvalid11)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_write1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tlast "slave_fifo32/fifo64_to_gpmc32_tx/o32_tlast") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW2)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_state_glue_set_renamed_513)) + (portRef (member DOBDO 15) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tvalid11)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_write1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_chk_tready "slave_fifo32/fifo64_to_gpmc32_tx/chk_tready") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_read1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker__n0131_inv1)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In34)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In12_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_F)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_G)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_0_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<0>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata110)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata261)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_1_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<1>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata121)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata271)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_2_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<2>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_2)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata231)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata281)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_3_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<3>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_3)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata291)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata341)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_4_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<4>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_4)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata301)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata451)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_5_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<5>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_5)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata311)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata561)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_6_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<6>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_6)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata321)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata611)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_7_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<7>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_7)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata331)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata621)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_8_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<8>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_8)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata351)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata631)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_9_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<9>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_9)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata361)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata641)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_10_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<10>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_10)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata210)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata371)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_11_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<11>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata310)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata381)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_12_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<12>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_12)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata410)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata391)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_13_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<13>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_13)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata510)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata401)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_14_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<14>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_14)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata65)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata411)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_15_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<15>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_15)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata71)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata421)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_16_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<16>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_16)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata81)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata431)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_17_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<17>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_17)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata91)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata441)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_18_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<18>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_18)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata101)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata461)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_19_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<19>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_19)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata111)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata471)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_20_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<20>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_20)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata131)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata481)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_21_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<21>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_21)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata141)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata491)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_22_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<22>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_22)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata151)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata501)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_23_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<23>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_23)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata161)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata511)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_24_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<24>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_24)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata171)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata521)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_25_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<25>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_25)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata181)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata531)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_26_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<26>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_26)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata191)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata541)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_27_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<27>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_27)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata201)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata551)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_28_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<28>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_28)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata211)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata571)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_29_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<29>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_29)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata221)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata581)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_30_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<30>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_30)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata241)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata591)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_31_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata<31>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_31)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata251)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata601)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_32_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<32>") + (joined + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_2_1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_3_1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_4_1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In13)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_F)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_G)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_F)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_G)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portRef (member dout 39) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_0_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<0>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In12_renamed_52)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_0__)) + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int12)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW1)) + (portRef (member dout 71) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_1_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<1>") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In12_renamed_52)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_1__)) + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW0)) + (portRef (member dout 70) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_2_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<2>") + (joined + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_2__)) + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 69) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_3_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<3>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_3__)) + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 68) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_4_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<4>") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_4__)) + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member dout 67) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_5_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<5>") + (joined + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_5__)) + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW1)) + (portRef (member dout 66) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_6_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<6>") + (joined + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21_SW1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_6__)) + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21)) + (portRef (member dout 65) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_7_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<7>") + (joined + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21_SW1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_7__)) + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21)) + (portRef (member dout 64) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_8_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<8>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21_SW1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_8__)) + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member dout 63) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_9_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<9>") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_9__)) + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_tlast1)) + (portRef (member dout 62) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_10_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<10>") + (joined + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_10__)) + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member dout 61) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_11_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<11>") + (joined + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_11__)) + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member dout 60) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_12_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<12>") + (joined + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_12__)) + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member dout 59) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_13_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<13>") + (joined + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In11)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In12_renamed_52)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_13__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1_F)) + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int12)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW1)) + (portRef (member dout 58) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_14_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<14>") + (joined + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In11)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In12_renamed_52)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_14__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1_F)) + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int12)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW1)) + (portRef (member dout 57) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_15_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<15>") + (joined + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In11)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In12_renamed_52)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_15__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1_F)) + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int12)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW1)) + (portRef (member dout 56) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_16_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<16>") + (joined + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member dout 55) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_17_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<17>") + (joined + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member dout 54) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_18_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<18>") + (joined + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member dout 53) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_19_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<19>") + (joined + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member dout 52) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_20_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<20>") + (joined + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member dout 51) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_21_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<21>") + (joined + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member dout 50) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_22_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<22>") + (joined + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member dout 49) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_23_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<23>") + (joined + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member dout 48) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_24_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<24>") + (joined + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member dout 47) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_25_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<25>") + (joined + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member dout 46) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_26_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<26>") + (joined + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member dout 45) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_27_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<27>") + (joined + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member dout 44) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_28_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<28>") + (joined + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member dout 43) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_29_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<29>") + (joined + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member dout 42) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_30_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<30>") + (joined + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member dout 41) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_31_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008<31>") + (joined + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member dout 40) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_0_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space<0>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_0)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_0__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_1_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space<1>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_1)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_1__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_2_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space<2>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_2)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_2__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_3_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space<3>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_3)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_3__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_4_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space<4>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_4)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_4__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_5_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space<5>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_5)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_5__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_6_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space<6>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_6)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_6__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_7_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space<7>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_7)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_7__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_8_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space<8>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_8)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_8__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8__)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_9_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space<9>") + (joined + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_9__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT161)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_10_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space<10>") + (joined + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_10__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT21)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_11_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space<11>") + (joined + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_11__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT31)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_12_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space<12>") + (joined + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_12__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT41)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_13_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space<13>") + (joined + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_13__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT51)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_14_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space<14>") + (joined + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_14__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT61)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___0_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<0>") + (joined + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 71) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___1_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<1>") + (joined + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 70) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___2_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<2>") + (joined + (portRef (member DOB 29) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 69) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___3_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<3>") + (joined + (portRef (member DOB 28) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 68) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___4_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<4>") + (joined + (portRef (member DOB 27) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 67) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___5_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<5>") + (joined + (portRef (member DOB 26) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 66) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___6_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<6>") + (joined + (portRef (member DOB 25) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 65) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___7_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<7>") + (joined + (portRef (member DOB 24) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 64) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___8_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<8>") + (joined + (portRef (member DOB 23) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 63) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___9_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<9>") + (joined + (portRef (member DOB 22) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 62) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___10_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<10>") + (joined + (portRef (member DOB 21) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 61) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___11_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<11>") + (joined + (portRef (member DOB 20) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 60) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___12_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<12>") + (joined + (portRef (member DOB 19) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 59) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___13_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<13>") + (joined + (portRef (member DOB 18) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 58) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___14_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<14>") + (joined + (portRef (member DOB 17) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 57) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___15_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<15>") + (joined + (portRef (member DOB 16) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 56) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___16_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<16>") + (joined + (portRef (member DOB 15) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 55) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___17_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<17>") + (joined + (portRef (member DOB 14) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 54) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___18_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<18>") + (joined + (portRef (member DOB 13) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 53) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___19_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<19>") + (joined + (portRef (member DOB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 52) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___20_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<20>") + (joined + (portRef (member DOB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 51) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___21_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<21>") + (joined + (portRef (member DOB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 50) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___22_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<22>") + (joined + (portRef (member DOB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 49) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___23_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<23>") + (joined + (portRef (member DOB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 48) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___24_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<24>") + (joined + (portRef (member DOB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 47) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___25_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<25>") + (joined + (portRef (member DOB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 46) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___26_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<26>") + (joined + (portRef (member DOB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 45) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___27_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<27>") + (joined + (portRef (member DOB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 44) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___28_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<28>") + (joined + (portRef (member DOB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 43) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___29_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<29>") + (joined + (portRef (member DOB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 42) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___30_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<30>") + (joined + (portRef (member DOB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 41) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___31_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<31>") + (joined + (portRef (member DOB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 40) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0___32_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0]<32>") + (joined + (portRef (member DOPB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member din 39) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_12_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr<12>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_12__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_12)) + (portRef I0 + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4__)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_12__INV_0)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRBRDADDR 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr<11>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_11__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_11)) + (portRef I4 + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_11__INV_0)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRBRDADDR 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr<10>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_10__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_10)) + (portRef I2 + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_10__INV_0)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRBRDADDR 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr<9>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_9__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_9)) + (portRef I0 + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_9__INV_0)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRBRDADDR 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr<8>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_8__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_8)) + (portRef I4 + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_8__INV_0)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRBRDADDR 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr<7>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_7__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_7)) + (portRef I2 + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_7__INV_0)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRBRDADDR 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr<6>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_6__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_6)) + (portRef I0 + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_6__INV_0)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRBRDADDR 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr<5>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_5__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_5)) + (portRef I4 + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_5__INV_0)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRBRDADDR 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr<4>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_4__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_4)) + (portRef I2 + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_4__INV_0)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRBRDADDR 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr<3>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_3__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_3)) + (portRef I0 + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_3__INV_0)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRBRDADDR 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr<2>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_2__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_2)) + (portRef I4 + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_2__INV_0)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRBRDADDR 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr<1>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_1__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_1)) + (portRef I2 + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_1__rt_renamed_179)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRBRDADDR 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr<0>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_0__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_0)) + (portRef I0 + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_0__rt_renamed_178)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRBRDADDR 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_12_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr<12>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_12__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_12)) + (portRef I1 + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_4__)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRAWRADDR 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr<11>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_11__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_11)) + (portRef I5 + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_3__)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRAWRADDR 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr<10>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_10__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_10)) + (portRef I3 + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_3__)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRAWRADDR 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr<9>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_9__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_9)) + (portRef I1 + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_3__)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRAWRADDR 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr<8>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_8__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_8)) + (portRef I5 + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_2__)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRAWRADDR 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr<7>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_7__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_7)) + (portRef I3 + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_2__)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRAWRADDR 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr<6>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_6__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_6)) + (portRef I1 + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_2__)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRAWRADDR 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr<5>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_5__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_5)) + (portRef I5 + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_1__)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRAWRADDR 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr<4>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_4__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_4)) + (portRef I3 + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_1__)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRAWRADDR 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr<3>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_3__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_3)) + (portRef I1 + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_1__)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRAWRADDR 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr<2>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_2__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_2)) + (portRef I5 + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_0__)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRA 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRAWRADDR 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr<1>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_1__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_1)) + (portRef I3 + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_0__)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRA 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRAWRADDR 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr<0>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_0__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_0)) + (portRef I1 + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_0__)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member ADDRA 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member ADDRAWRADDR 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/empty_reg") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW2)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0_lut_renamed_436)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB1_renamed_479)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_rstpot)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut1_renamed_505)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_GND_56_o_read_OR_123_o1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_o_tvalid11)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut_renamed_506)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo__n0146_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/full_reg") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_write1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_renamed_108)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump_glue_set_renamed_432)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB5_renamed_483)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut1_renamed_505)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo__n0154_inv1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_write "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/write") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_write1)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef (member WEAWEL 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portRef (member WEAWEL 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_GND_56_o_read_OR_123_o "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/GND_56_o_read_OR_123_o") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_GND_56_o_read_OR_123_o1)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portRef ENBRDEN (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_dont_write_past_me_12_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/dont_write_past_me<12>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_12__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_dont_write_past_me_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/dont_write_past_me<11>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_11__)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_dont_write_past_me_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/dont_write_past_me<10>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_10__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_dont_write_past_me_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/dont_write_past_me<9>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_9__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_dont_write_past_me_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/dont_write_past_me<8>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_8__)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_dont_write_past_me_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/dont_write_past_me<7>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_7__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_dont_write_past_me_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/dont_write_past_me<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_6__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_dont_write_past_me_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/dont_write_past_me<5>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_5__)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_dont_write_past_me_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/dont_write_past_me<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_4__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_dont_write_past_me_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/dont_write_past_me<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_3__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_dont_write_past_me_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/dont_write_past_me<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_2__)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_dont_write_past_me_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/dont_write_past_me<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_1__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_dont_write_past_me_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/dont_write_past_me<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_0__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_12__wr_addr_12__equal_11_o "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr[12]_wr_addr[12]_equal_11_o") + (joined + (portRef O + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0_cy)) + (portRef I + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4__inv_INV_0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo__n0146_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_becoming_full "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/becoming_full") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_cy)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo__n0146_inv "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/_n0146_inv") + (joined + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_0)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_1)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_2)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_3)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_4)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_5)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_6)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_7)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_8)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_9)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_10)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_11)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_12)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo__n0146_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_clear_inv "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/clear_inv") + (joined + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_0__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_clear_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_0__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_0__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_0__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr1") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_1__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_1__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_1__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr2 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr2") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_2__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_2__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_2__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr3 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr3") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_3__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_3__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_3__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr4 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr4") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_4__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_4__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_4__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr5 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr5") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_5__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_5)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<5>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_5__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_5__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<5>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr6 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr6") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_6__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_6__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_6__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr7 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr7") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_7__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_7)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<7>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_7__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_7__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<7>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_8__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr8 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr8") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_8__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_8)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<8>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_8__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_8__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<8>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_8__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_9__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_9__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr9 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr9") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_9__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<9>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_9__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_9__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_9__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<9>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_9__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_10__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_10__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr10 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr10") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_10__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_10)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<10>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_10__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_10__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_10__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<10>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_10__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_11__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_11__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr11 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr11") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_11__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<11>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_11__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_11__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_11__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<11>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_11__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_12__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr12 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr12") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_12__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_12)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_12_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<12>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_12__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_12__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo__n0154_inv "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/_n0154_inv") + (joined + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_0)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_1)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_2)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_3)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_4)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_5)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_6)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_7)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_8)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_9)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_10)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_11)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_12)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo__n0154_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_0__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_0__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_0__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr1") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_1__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_1__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_1__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr2 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr2") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_2__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_2__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_2__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr3 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr3") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_3__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_3__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_3__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr4 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr4") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_4__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_4__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_4__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr5 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr5") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_5__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_5)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<5>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_5__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_5__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<5>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr6 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr6") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_6__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_6__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_6__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr7 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr7") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_7__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_7)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<7>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_7__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_7__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<7>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_8__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr8 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr8") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_8__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_8)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<8>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_8__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_8__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<8>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_8__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_9__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_9__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr9 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr9") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_9__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<9>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_9__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_9__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_9__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<9>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_9__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_10__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_10__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr10 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr10") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_10__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_10)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<10>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_10__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_10__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_10__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<10>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_10__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_11__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_11__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr11 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr11") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_11__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<11>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_11__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_11__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_11__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<11>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_11__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_12__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr12 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr12") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_12__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_12)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_12_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<12>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_12__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_12__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB2_renamed_480)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_GND_56_o_read_OR_123_o1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo__n0146_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<2>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_2__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_2__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_2__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<3>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_3__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_3__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_3__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<4>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_4__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_4__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_4__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<5>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_5__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_5__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_5__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<5>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<6>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_6__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_6__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_6__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<7>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_7__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_7__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_7__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<7>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_8__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<8>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_8__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_8__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_8__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<8>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_8__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_9__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_9__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<9>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_9__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_9__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_9__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<9>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_9__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_10__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_10__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<10>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_10__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_10__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_10__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<10>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_10__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_11__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_11__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<11>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_11__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_11__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_11__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<11>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_11__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_12__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_12_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<12>") + (joined + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_12__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_12__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<0>") + (joined + (portRef O + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__)) + (portRef S + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<0>") + (joined + (portRef O + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0__)) + (portRef CI + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<1>") + (joined + (portRef O + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__)) + (portRef S + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<1>") + (joined + (portRef O + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1__)) + (portRef CI + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<2>") + (joined + (portRef O + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__)) + (portRef S + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<2>") + (joined + (portRef O + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2__)) + (portRef CI + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<3>") + (joined + (portRef O + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__)) + (portRef S + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<3>") + (joined + (portRef O + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3__)) + (portRef CI + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<4>") + (joined + (portRef O + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4__)) + (portRef S + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_lut<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_0__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_cy<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_lut<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_1__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_cy<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_lut<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_2__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_cy<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_lut<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_3__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_cy<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_lut<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_4__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets<7>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_7)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0076_inv_renamed_46)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11_SW1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut1_renamed_505)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_GND_56_o_read_OR_123_o1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_clear_inv1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_o_tready_int11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_clear_dump_OR_131_o_renamed_45)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int15)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv4_renamed_49)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut_renamed_506)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets<6>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_6)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0076_inv_renamed_46)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0_lut_renamed_436)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_clear_inv1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_clear_dump_OR_131_o_renamed_45)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int15)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_o_tvalid11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets<5>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_5)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0076_inv_renamed_46)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int15)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0_lut_renamed_436)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_clear_inv1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_clear_dump_OR_131_o_renamed_45)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_o_tvalid11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets<4>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_4)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0076_inv_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_4_1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11_SW1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511_SW0)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_clear_inv1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_F)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_G)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_clear_dump_OR_131_o_renamed_45)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int15)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_o_tvalid11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets<3>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_3)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_clear_dump_OR_131_o_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0076_inv_SW0)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int15)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_3_1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531_SW1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11_SW1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511_SW0)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets<2>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_2)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_2_1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531_SW1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int15)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets<1>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531_SW1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/dump") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_clear_dump_OR_131_o_SW0)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0076_inv_renamed_46)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv4_renamed_49)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump_renamed_109)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump_glue_set_renamed_432)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1_F)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1_G)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int14_renamed_48)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_clear_dump_OR_131_o "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/clear_dump_OR_131_o") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_0__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_1__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_2__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_3__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_4__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_5__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_6__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_7__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_8__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_9__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_10__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_11__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_12__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_0__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_1__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_2__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_3__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_4__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_5__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_6__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_7__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_8__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_9__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_10__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_11__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_12__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_clear_dump_OR_131_o_renamed_45)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB0_renamed_478)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut1_renamed_505)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo__n0154_inv1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut_renamed_506)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo__n0146_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_i_tvalid_int "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/i_tvalid_int") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_write1)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump_glue_set_renamed_432)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB4_renamed_482)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo__n0154_inv1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut_renamed_506)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_o_tready_int "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/o_tready_int") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_o_tready_int11)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB4_renamed_504)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo__n0146_inv1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0_rstpot_renamed_433)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT<7>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_7)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT<6>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_6)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT<5>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_5)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT<4>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_4)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT<3>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_3)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT<2>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_2)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT<1>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_1)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0076_inv "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/_n0076_inv") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0076_inv_renamed_46)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump_glue_set_renamed_432)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/_n0074_inv") + (joined + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_1)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_2)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_3)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_4)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_5)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_6)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_7)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets<0>") + (joined + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531_SW1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0_rstpot_renamed_433)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT311 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT311") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_2_1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_3_1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT41 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT41") + (joined + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0076_inv_renamed_46)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0_lut_renamed_436)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_clear_inv1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_clear_dump_OR_131_o_renamed_45)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_o_tvalid11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT53 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT53") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73_SW0)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_4_1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut<5>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Msub_num_packets[7]_GND_55_o_sub_15_OUT_cy<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut1_renamed_505)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_GND_56_o_read_OR_123_o1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut_renamed_506)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_o_tready_int11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv4_renamed_49)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT51 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT51") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror_bdd0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_terror_bdd0") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_2_1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_3_1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW0)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_4_1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_F)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_G)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror_bdd6 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_terror_bdd6") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In11)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In12_renamed_52)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In_bdd1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In_bdd1") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In34)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In13)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_F)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_G)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_15_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<15>") + (joined + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_15__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_15__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines3215 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines3215") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_15__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_15)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_14_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<14>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_14__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_15__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_14_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<14>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_14__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_14__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_14__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines3214 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines3214") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_14__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_14)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_13_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<13>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_13__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_14__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_14__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_13_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<13>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_13__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_13__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_13__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines3213 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines3213") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_13__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_13)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_12_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<12>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_12__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_13__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_13__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_12_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<12>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_12__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_12__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_12__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines3212 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines3212") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_12__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_12)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<11>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_11__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_12__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_12__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<11>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_11__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_11__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_11__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines3211 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines3211") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_11__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<10>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_10__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_11__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_11__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<10>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_10__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_10__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_10__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines3210 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines3210") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_10__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_10)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<9>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_9__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_10__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_10__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<9>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_9__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_9__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_9__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines329 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines329") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_9__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<8>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_8__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_9__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_9__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<8>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_8__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_8__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines328 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines328") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_8__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_8)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<7>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_8__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<7>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_7__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_7__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines327 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines327") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_7__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_7)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<6>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_6__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_6__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines326 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines326") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_6__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<5>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<5>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_5__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_5__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines325 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines325") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_5__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_5)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<4>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_4__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_4__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines324 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines324") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_4__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<3>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_3__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_3__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines323 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines323") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_3__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<2>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_2__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_2__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines322 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines322") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_2__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<1>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_1__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_1__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines321 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines321") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_1__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<0>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_0__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_0__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_0__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker__n0131_inv "slave_fifo32/fifo64_to_gpmc32_tx/checker/_n0131_inv") + (joined + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_0)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_1)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_2)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_3)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_4)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_5)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_6)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_7)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_8)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_9)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_10)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_11)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_12)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_13)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_14)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_15)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker__n0131_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_0__inv "slave_fifo32/fifo64_to_gpmc32_tx/checker/state<0>_inv") + (joined + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_0__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_0__inv1_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_renamed_23)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd2-In") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_renamed_24)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In13)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_1_renamed_539)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_terror") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror11)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump_glue_set_renamed_432)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73_SW0)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_tlast "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_tlast") + (joined + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_tlast1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump_glue_set_renamed_432)) + (portRef (member DIADI 15) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32<0>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In33)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32<1>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In33)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32<2>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_2)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In31_renamed_50)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32<3>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_3)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In34)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32<4>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_4)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In32_renamed_51)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32<5>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_5)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In32_renamed_51)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32<6>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_6)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In32_renamed_51)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32<7>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_7)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In32_renamed_51)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32<8>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_8)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In33)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32<9>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_9)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In33)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_9__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32<10>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_10)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In31_renamed_50)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_10__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32<11>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_11)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In31_renamed_50)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_11__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_12_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32<12>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_12)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In31_renamed_50)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_12__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_13_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32<13>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_13)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In34)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_13__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_14_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32<14>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_14)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In32_renamed_51)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_14__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_15_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32<15>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_15)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In32_renamed_51)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_15__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd2") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_renamed_24)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker__n0131_inv1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_2_1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_3_1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_4_1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In13)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_0__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_1__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_2__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_3__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_4__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_5__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_6__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_7__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_8__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_9__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_10__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_11__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_12__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_13__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_14__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_15__)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_0__inv1_INV_0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_F)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_G)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_F)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_G)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_tlast1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_renamed_23)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker__n0131_inv1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int11_renamed_47)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_2_1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_3_1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_4_1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_tlast1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In13)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_F)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_G)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1_F)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1_G)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_F)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_G)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int14_renamed_48)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/full") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_i_tvalid_o_tready_AND_73_o1)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full_renamed_111)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst_renamed_418)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full_glue_set_renamed_420)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_state_glue_set_renamed_514)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv_renamed_53)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01211_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tready_int11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv6_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_write1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/a<4>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_4)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef (member A 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_4_11)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0102_SW1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/a<3>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_3)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef (member A 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_4_11)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_3_11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0102_SW1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/a<2>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_2)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef (member A 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_4_11)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_3_11)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_2_11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0102_SW1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/a<1>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_1)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef (member A 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_4_11)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_3_11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_1_11)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_2_11)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0102_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/a<0>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_0)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef (member A 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_4_11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_3_11)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_1_11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_2_11)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0102_SW0)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111_SW0)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_0_11_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/empty") + (joined + (portRef I0 (instanceRef f0_write11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0102_SW0)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_renamed_110)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst_renamed_418)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full_glue_set_renamed_420)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst_SW0)) + (portRef D (instanceRef slave_fifo32_debug1_17_BRB0_renamed_496)) + (portRef I3 (instanceRef f0_read_state_FSM_FFd2_In1)) + (portRef I0 (instanceRef f0_full_reg_glue_set_renamed_538)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv_renamed_53)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_write "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/write") + (joined + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_write1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/_n0123_inv") + (joined + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_0)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_1)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_2)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_3)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_4)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv_renamed_53)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a1 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_a1") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_0)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_0_11_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a2 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_a2") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_1)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_1_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a3 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_a3") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_2)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_2_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a4 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_a4") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_3)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_3_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a5 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_a5") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_4)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_4_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_11 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_space_xor<3>11") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_4_11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_3_11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_1_11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_2_11)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv_renamed_53)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o5 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o5") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o41)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212_renamed_54)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01213_renamed_430)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o") + (joined + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd1_In11)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0144_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full92 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full92") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full921)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o7 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o7") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o61)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212_renamed_54)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0121211 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n0121211") + (joined + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012114_renamed_58)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212111)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01217_renamed_428)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv3 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n0129_inv3") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv31)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01214_renamed_55)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01211 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01211") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012114_renamed_58)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01219)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012111 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n012111") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0121111)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012114_renamed_58)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01217_renamed_428)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full421_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full421_FRB") + (joined + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212_renamed_54)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01213_renamed_430)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full421_FRB_renamed_457)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full411_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full411_FRB") + (joined + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212_renamed_54)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01213_renamed_430)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full411_FRB_renamed_458)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full621_FRB") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0121111)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01213_renamed_430)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621_FRB_renamed_462)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012112_renamed_501)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_dont_write_past_me_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/dont_write_past_me<8>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01214_renamed_55)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o8 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o8") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o71)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012112_renamed_501)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<15>") + (joined + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_15__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<14>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_14__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_15__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<14>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_14__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_14__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<13>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_13__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_14__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_14__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<13>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_13__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_13__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<12>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_12__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_13__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_13__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<12>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_12__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_12__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<11>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_11__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_12__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_12__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<11>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_11__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_11__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<10>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_10__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_11__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_11__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<10>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_10__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_10__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<9>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_9__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_10__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_10__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<9>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_9__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_9__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<8>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_8__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_9__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_9__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<8>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_8__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_8__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<7>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_8__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<7>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_7__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_7__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<6>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_6__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_6__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<5>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<5>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_5__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_5__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<4>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_4__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_4__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<3>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_3__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_3__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<2>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_2__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_2__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<1>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_1__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_1__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<0>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_0__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_0__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_write_AND_42_o_inv "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/read_write_AND_42_o_inv") + (joined + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_0__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_write_AND_42_o_inv2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd1_In "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/read_state_FSM_FFd1-In") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd1_renamed_25)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd1_In11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr<8>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr8_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr8_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr8_FRB_renamed_336)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_8__rt_renamed_250)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr<7>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_7)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o71)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212111)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012111_renamed_425)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr7_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr7_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_7)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr7_FRB_renamed_335)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_7__rt_renamed_180)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr<6>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_6)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0121111)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01213_renamed_430)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012112_renamed_501)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o61)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr6_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr6_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_6)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr6_FRB_renamed_334)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_6__rt_renamed_181)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<5>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr<5>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_5)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212_renamed_54)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212111)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012111_renamed_425)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012112_renamed_501)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr5_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr5_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_5)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr5_FRB_renamed_333)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_5__rt_renamed_182)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr<4>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_4)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o41)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212_renamed_54)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012112_renamed_501)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr4_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr4_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_4)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr4_FRB_renamed_332)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_4__rt_renamed_183)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr<3>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_3)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012113_renamed_57)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212111)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012111_renamed_425)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr3_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr3_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_3)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr3_FRB_renamed_331)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_3__rt_renamed_184)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full421)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full411)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr<2>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_2)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012114_renamed_58)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01217_renamed_428)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01215_renamed_518)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01218_renamed_520)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr2_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr2_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_2)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr2_FRB_renamed_330)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_2__rt_renamed_185)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full421)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full411)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr<1>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full921)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01217_renamed_428)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01215_renamed_518)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01218_renamed_520)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr1_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr1_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_1)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr1_FRB_renamed_329)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_1__rt_renamed_186)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full421)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full411)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr<0>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01216_renamed_56)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01215_renamed_518)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_0)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_FRB_renamed_328)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_0__rt_renamed_187)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full421)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full411)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0144_inv "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n0144_inv") + (joined + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_0)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_1)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_2)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_3)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_4)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_5)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_6)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_7)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_FRB_renamed_328)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr1_FRB_renamed_329)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr2_FRB_renamed_330)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr3_FRB_renamed_331)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr4_FRB_renamed_332)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr5_FRB_renamed_333)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr6_FRB_renamed_334)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr7_FRB_renamed_335)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr8_FRB_renamed_336)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full421_FRB_renamed_457)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full411_FRB_renamed_458)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0_FRB_renamed_460)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621_FRB_renamed_462)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0144_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr<8>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_8)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01214_renamed_55)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr8_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr8_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_8)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr8_FRB_renamed_454)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_8__rt_renamed_251)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr<7>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_7)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o71)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212111)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012111_renamed_425)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr7_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr7_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_7)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr7_FRB_renamed_453)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_7__rt_renamed_188)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr<6>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_6)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01213_renamed_430)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o61)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr6_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr6_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_6)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr6_FRB_renamed_452)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_6__rt_renamed_189)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<5>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr<5>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_5)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212111)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012111_renamed_425)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012112_renamed_501)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr5_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr5_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_5)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr5_FRB_renamed_451)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_5__rt_renamed_190)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr<4>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_4)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o41)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr4_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr4_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_4)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr4_FRB_renamed_450)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_4__rt_renamed_191)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr<3>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_3)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012113_renamed_57)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212111)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012111_renamed_425)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr3_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr3_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_3)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr3_FRB_renamed_449)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_3__rt_renamed_192)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr<2>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_2)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01217_renamed_428)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01215_renamed_518)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01218_renamed_520)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr2_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr2_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_2)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr2_FRB_renamed_448)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_2__rt_renamed_193)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr<1>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full921)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01217_renamed_428)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01215_renamed_518)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01218_renamed_520)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr1_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr1_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_1)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr1_FRB_renamed_447)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_1__rt_renamed_194)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr<0>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01216_renamed_56)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01215_renamed_518)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_FRB") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_0)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_FRB_renamed_446)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_0__rt_renamed_195)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0154_inv "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n0154_inv") + (joined + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_0)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_1)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_2)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_3)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_4)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_5)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_6)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_7)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_8)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_FRB_renamed_446)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr1_FRB_renamed_447)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr2_FRB_renamed_448)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr3_FRB_renamed_449)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr4_FRB_renamed_450)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr5_FRB_renamed_451)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr6_FRB_renamed_452)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr7_FRB_renamed_453)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr8_FRB_renamed_454)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_write1)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_31_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<31>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_31)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata601)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_30_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<30>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_30)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata591)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_29_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<29>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_29)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata581)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_28_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<28>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_28)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata571)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_27_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<27>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_27)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata551)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_26_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<26>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_26)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata541)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_25_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<25>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_25)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata531)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_24_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<24>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_24)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata521)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_23_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<23>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_23)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata511)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_22_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<22>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_22)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata501)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_21_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<21>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_21)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata491)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_20_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<20>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_20)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata481)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_19_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<19>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_19)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata471)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_18_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<18>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_18)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata461)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_17_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<17>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_17)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata441)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_16_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<16>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_16)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata431)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_15_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<15>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_15)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata421)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<14>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_14)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata411)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<13>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_13)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata401)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<12>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_12)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata391)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<11>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata381)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<10>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_10)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata371)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<9>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_9)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata361)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<8>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_8)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata351)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<7>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_7)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata331)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<6>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_6)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata321)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<5>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_5)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata311)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<4>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_4)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata301)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<3>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_3)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata291)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<2>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_2)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata281)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<1>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata271)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding<0>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata261)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_state "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/state") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata110)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata210)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata310)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata410)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata510)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata65)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata71)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata81)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata91)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata101)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata111)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata121)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata131)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata141)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata151)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata161)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata171)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata181)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata191)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata201)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata211)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata221)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata231)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata241)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata251)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata261)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata271)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata281)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata291)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata301)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata311)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata321)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata331)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata341)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata351)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata361)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata371)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata381)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata391)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata401)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata411)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata421)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata431)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata441)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata451)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata461)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata471)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata481)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata491)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata501)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata511)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata521)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata531)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata541)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata551)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata561)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata571)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata581)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata591)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata601)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata611)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata621)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata631)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata641)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_state_renamed_112)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_state_glue_set_renamed_514)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tvalid11)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_write1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_i_tvalid_o_tready_AND_73_o "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/i_tvalid_o_tready_AND_73_o") + (joined + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_0)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_1)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_2)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_3)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_4)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_5)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_6)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_7)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_8)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_9)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_10)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_11)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_12)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_13)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_14)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_15)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_16)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_17)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_18)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_19)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_20)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_21)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_22)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_23)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_24)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_25)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_26)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_27)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_28)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_29)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_30)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_31)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_i_tvalid_o_tready_AND_73_o1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/read_state_FSM_FFd1") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd1_renamed_25)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv31)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_full_reg_glue_set_renamed_435)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_GND_50_o_read_OR_57_o1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_write1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd1_In11)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0144_inv1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_write_AND_42_o_inv2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_full_reg "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/full_reg") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_full_reg_renamed_113)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv31)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_full_reg_glue_set_renamed_435)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd2_BRB1_renamed_477)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_write1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13__)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_write_AND_42_o_inv2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__GND_50_o_mux_35_OUT_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_GND_50_o_mux_35_OUT<8>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_8)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT151)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__GND_50_o_mux_35_OUT_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_GND_50_o_mux_35_OUT<7>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_7)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT141)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__GND_50_o_mux_35_OUT_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_GND_50_o_mux_35_OUT<6>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_6)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT131)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__GND_50_o_mux_35_OUT_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_GND_50_o_mux_35_OUT<5>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_5)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT121)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__GND_50_o_mux_35_OUT_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_GND_50_o_mux_35_OUT<4>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_4)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__GND_50_o_mux_35_OUT_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_GND_50_o_mux_35_OUT<3>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_3)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT101)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__GND_50_o_mux_35_OUT_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_GND_50_o_mux_35_OUT<2>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_2)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT91)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__GND_50_o_mux_35_OUT_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_GND_50_o_mux_35_OUT<1>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_1)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT81)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__GND_50_o_mux_35_OUT_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_GND_50_o_mux_35_OUT<0>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_0)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_GND_50_o_read_OR_57_o "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/GND_50_o_read_OR_57_o") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_GND_50_o_read_OR_57_o1)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_15_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT<15>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_15__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15_BRB1_renamed_473)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT<14>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_14__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_14_BRB1_renamed_472)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT<13>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_13__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_13_BRB1_renamed_471)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT<12>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_12__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_12_BRB1_renamed_470)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT<11>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_11__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_11_BRB1_renamed_491)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT<10>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_10__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_10_BRB1_renamed_493)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT<9>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_9__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_9_BRB1_renamed_495)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT<8>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_8__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT151)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT<7>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_7__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT141)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_6__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT131)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT<5>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_5__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT121)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_4__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_3__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT101)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_2__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT91)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_1__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT81)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_0__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0121 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n0121") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01219)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_full_reg_glue_set_renamed_435)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n0129_inv") + (joined + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_0)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_1)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_2)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_3)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_4)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_5)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_6)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_7)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_8)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_12_BRB0_renamed_469)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_12_BRB1_renamed_470)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_13_BRB1_renamed_471)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_14_BRB1_renamed_472)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15_BRB1_renamed_473)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_11_BRB1_renamed_491)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_10_BRB1_renamed_493)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_9_BRB1_renamed_495)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_full "slave_fifo32/fifo64_to_gpmc32_ctrl/cross_clock_fifo/full") + (joined + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_full_reg_glue_set_renamed_435)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_GND_50_o_read_OR_57_o1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_write1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd1_In11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13__)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv31)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0144_inv1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_write_AND_42_o_inv2)) + (portRef full (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_empty "slave_fifo32/fifo64_to_gpmc32_ctrl/cross_clock_fifo/empty") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_read1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker__n0227_inv1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In31_renamed_65)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In11_renamed_67)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In12_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int11_renamed_62)) + (portRef empty (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_write "slave_fifo32/fifo64_to_gpmc32_ctrl/cross_clock_fifo/write") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_write1)) + (portRef wr_en (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o "slave_fifo32/fifo64_to_gpmc32_ctrl/GND_63_o_space[15]_LessThan_2_o") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_i_tready_renamed_26)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tvalid "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tvalid") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tvalid11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst_renamed_418)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full_glue_set_renamed_420)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv_renamed_53)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<0>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata110)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<1>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata121)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<2>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata231)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<3>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata341)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<4>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata451)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<5>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata561)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<6>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata611)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<7>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata621)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<8>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata631)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<9>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata641)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<10>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata210)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<11>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata310)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<12>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata410)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<13>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata510)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<14>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata65)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_15_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<15>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata71)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_16_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<16>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata81)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_17_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<17>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata91)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_18_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<18>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata101)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_19_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<19>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_20_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<20>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata131)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_21_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<21>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata141)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_22_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<22>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata151)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_23_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<23>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata161)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_24_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<24>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata171)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_25_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<25>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata181)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_26_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<26>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata191)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_27_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<27>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata201)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_28_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<28>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata211)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_29_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<29>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata221)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_30_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<30>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata241)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_31_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<31>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata251)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_32_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<32>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata261)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_33_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<33>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata271)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_34_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<34>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata281)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_35_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<35>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata291)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_36_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<36>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata301)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_37_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<37>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata311)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_38_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<38>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata321)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_39_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<39>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata331)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_40_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<40>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata351)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_41_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<41>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata361)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_42_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<42>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata371)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_43_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<43>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata381)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_44_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<44>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata391)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_45_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<45>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata401)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_46_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<46>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata411)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_47_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<47>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata421)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_48_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<48>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata431)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_49_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<49>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata441)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_50_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<50>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata461)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_51_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<51>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata471)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_52_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<52>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata481)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_53_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<53>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata491)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_54_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<54>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata501)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_55_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<55>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata511)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_56_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<56>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata521)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_57_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<57>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata531)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_58_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<58>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata541)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_59_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<59>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata551)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_60_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<60>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata571)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_61_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<61>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata581)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_62_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<62>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata591)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_63_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata<63>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata601)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tvalid "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tvalid") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_i_tvalid_o_tready_AND_73_o1)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tvalid11)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_state_glue_set_renamed_514)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tvalid11)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_write1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tlast "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tlast") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_state_glue_set_renamed_514)) + (portRef (member DOB 17) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tvalid11)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv2_renamed_415)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv6)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_write1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_chk_tready "slave_fifo32/fifo64_to_gpmc32_ctrl/chk_tready") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_read1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker__n0227_inv1)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In34)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In11_renamed_67)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In12_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<0>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata110)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata261)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<1>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata121)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata271)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<2>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_2)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata231)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata281)) + (portRef (member DOB 29) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<3>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_3)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata291)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata341)) + (portRef (member DOB 28) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<4>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_4)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata301)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata451)) + (portRef (member DOB 27) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<5>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_5)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata311)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata561)) + (portRef (member DOB 26) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<6>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_6)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata321)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata611)) + (portRef (member DOB 25) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<7>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_7)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata331)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata621)) + (portRef (member DOB 24) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<8>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_8)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata351)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata631)) + (portRef (member DOB 23) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<9>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_9)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata361)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata641)) + (portRef (member DOB 22) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<10>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_10)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata210)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata371)) + (portRef (member DOB 21) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<11>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata310)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata381)) + (portRef (member DOB 20) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<12>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_12)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata410)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata391)) + (portRef (member DOB 19) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<13>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_13)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata510)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata401)) + (portRef (member DOB 18) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<14>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_14)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata65)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata411)) + (portRef (member DOB 17) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_15_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<15>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_15)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata71)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata421)) + (portRef (member DOB 16) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_16_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<16>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_16)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata81)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata431)) + (portRef (member DOPB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_17_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<17>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_17)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata91)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata441)) + (portRef (member DOPB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_18_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<18>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_18)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata101)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata461)) + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_19_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<19>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_19)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata111)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata471)) + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_20_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<20>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_20)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata131)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata481)) + (portRef (member DOB 29) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_21_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<21>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_21)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata141)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata491)) + (portRef (member DOB 28) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_22_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<22>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_22)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata151)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata501)) + (portRef (member DOB 27) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_23_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<23>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_23)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata161)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata511)) + (portRef (member DOB 26) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_24_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<24>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_24)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata171)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata521)) + (portRef (member DOB 25) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_25_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<25>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_25)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata181)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata531)) + (portRef (member DOB 24) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_26_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<26>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_26)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata191)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata541)) + (portRef (member DOB 23) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_27_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<27>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_27)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata201)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata551)) + (portRef (member DOB 22) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_28_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<28>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_28)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata211)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata571)) + (portRef (member DOB 21) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_29_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<29>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_29)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata221)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata581)) + (portRef (member DOB 20) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_30_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<30>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_30)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata241)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata591)) + (portRef (member DOB 19) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_31_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata<31>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_31)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata251)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata601)) + (portRef (member DOB 18) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_32_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<32>") + (joined + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In11_renamed_67)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111_SW1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In13)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1_F)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1_G)) + (portRef (member dout 39) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<0>") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In12_renamed_68)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW2)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1_SW1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_0__)) + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1_SW0)) + (portRef (member dout 71) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<1>") + (joined + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In12_renamed_68)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In11)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW2)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1_F)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_1__)) + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW1)) + (portRef (member dout 70) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<2>") + (joined + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_2__)) + (portRef (member DIA 29) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member dout 69) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<3>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_3__)) + (portRef (member DIA 28) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member dout 68) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<4>") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_4__)) + (portRef (member DIA 27) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member dout 67) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<5>") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_5__)) + (portRef (member DIA 26) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_F)) + (portRef (member dout 66) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<6>") + (joined + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW2)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_6__)) + (portRef (member DIA 25) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1_F)) + (portRef (member dout 65) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<7>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW2)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_7__)) + (portRef (member DIA 24) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid61)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1_F)) + (portRef (member dout 64) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<8>") + (joined + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW2)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_8__)) + (portRef (member DIA 23) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid61)) + (portRef (member dout 63) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<9>") + (joined + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid61)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1_SW1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_9__)) + (portRef (member DIA 22) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tlast1)) + (portRef (member dout 62) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<10>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In12_renamed_68)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In11)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW2)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1_SW1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_10__)) + (portRef (member DIA 21) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid31)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int16)) + (portRef (member dout 61) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<11>") + (joined + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW3)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_G)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_11__)) + (portRef (member DIA 20) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid31)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_F)) + (portRef (member dout 60) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<12>") + (joined + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW3)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_G)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_12__)) + (portRef (member DIA 19) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid31)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_F)) + (portRef (member dout 59) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<13>") + (joined + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW3)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_F)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_G)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_13__)) + (portRef (member DIA 18) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid31)) + (portRef (member dout 58) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<14>") + (joined + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW3)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_G)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_14__)) + (portRef (member DIA 17) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid31)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_F)) + (portRef (member dout 57) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_15_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<15>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW3)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_G)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_15__)) + (portRef (member DIA 16) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid31)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_F)) + (portRef (member dout 56) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_16_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<16>") + (joined + (portRef (member DIPA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member dout 55) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_17_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<17>") + (joined + (portRef (member DIPA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member dout 54) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_18_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<18>") + (joined + (portRef (member DIA 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 53) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_19_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<19>") + (joined + (portRef (member DIA 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 52) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_20_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<20>") + (joined + (portRef (member DIA 29) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 51) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_21_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<21>") + (joined + (portRef (member DIA 28) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 50) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_22_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<22>") + (joined + (portRef (member DIA 27) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 49) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_23_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<23>") + (joined + (portRef (member DIA 26) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 48) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_24_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<24>") + (joined + (portRef (member DIA 25) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 47) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_25_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<25>") + (joined + (portRef (member DIA 24) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 46) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_26_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<26>") + (joined + (portRef (member DIA 23) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 45) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_27_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<27>") + (joined + (portRef (member DIA 22) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 44) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_28_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<28>") + (joined + (portRef (member DIA 21) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 43) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_29_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<29>") + (joined + (portRef (member DIA 20) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 42) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_30_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<30>") + (joined + (portRef (member DIA 19) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 41) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_31_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008<31>") + (joined + (portRef (member DIA 18) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member dout 40) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space<0>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_0)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_0__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space<1>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_1)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_1__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space<2>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_2)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_2__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space<3>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_3)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_3__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space<4>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_4)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_4__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space<5>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_5)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_5__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space<6>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_6)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_6__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space<7>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_7)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_7__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space<8>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_8)) + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_8__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8__)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space<9>") + (joined + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_9__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT161)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space<10>") + (joined + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_10__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT21)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space<11>") + (joined + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_11__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT31)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space<12>") + (joined + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_12__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT41)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space<13>") + (joined + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_13__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT51)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space<14>") + (joined + (portRef DI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_14__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT61)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<0>") + (joined + (portRef (member DOB 31) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 71) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<1>") + (joined + (portRef (member DOB 30) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 70) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<2>") + (joined + (portRef (member DOB 29) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 69) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<3>") + (joined + (portRef (member DOB 28) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 68) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<4>") + (joined + (portRef (member DOB 27) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 67) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<5>") + (joined + (portRef (member DOB 26) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 66) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<6>") + (joined + (portRef (member DOB 25) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 65) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<7>") + (joined + (portRef (member DOB 24) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 64) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<8>") + (joined + (portRef (member DOB 23) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 63) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<9>") + (joined + (portRef (member DOB 22) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 62) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<10>") + (joined + (portRef (member DOB 21) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 61) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<11>") + (joined + (portRef (member DOB 20) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 60) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<12>") + (joined + (portRef (member DOB 19) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 59) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<13>") + (joined + (portRef (member DOB 18) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 58) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<14>") + (joined + (portRef (member DOB 17) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 57) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___15_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<15>") + (joined + (portRef (member DOB 16) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 56) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___16_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<16>") + (joined + (portRef (member DOB 15) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 55) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___17_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<17>") + (joined + (portRef (member DOB 14) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 54) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___18_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<18>") + (joined + (portRef (member DOB 13) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 53) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___19_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<19>") + (joined + (portRef (member DOB 12) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 52) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___20_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<20>") + (joined + (portRef (member DOB 11) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 51) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___21_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<21>") + (joined + (portRef (member DOB 10) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 50) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___22_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<22>") + (joined + (portRef (member DOB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 49) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___23_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<23>") + (joined + (portRef (member DOB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 48) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___24_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<24>") + (joined + (portRef (member DOB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 47) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___25_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<25>") + (joined + (portRef (member DOB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 46) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___26_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<26>") + (joined + (portRef (member DOB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 45) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___27_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<27>") + (joined + (portRef (member DOB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 44) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___28_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<28>") + (joined + (portRef (member DOB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 43) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___29_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<29>") + (joined + (portRef (member DOB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 42) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___30_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<30>") + (joined + (portRef (member DOB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 41) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___31_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<31>") + (joined + (portRef (member DOB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 40) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0___32_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0]<32>") + (joined + (portRef (member DOPB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portRef (member din 39) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr<9>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_9__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_9_11)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW1)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRB 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr<8>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_8__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_8)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o81)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_9_11)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01217_renamed_524)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRB 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr<7>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_7__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_7)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o71)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_9_11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01217_renamed_524)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRB 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr<6>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_6__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_6)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o61)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01219_renamed_59)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_9_11)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01217_renamed_524)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRB 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr<5>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_5__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_5)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full611)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full621)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRB 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01212211)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0121211)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr<4>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_4__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_4)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full611)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full621)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_renamed_431)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRB 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0121211)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr<3>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_3__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_3)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full611)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full621)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_renamed_431)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRB 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01212211)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0121211)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr<2>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_2__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_2)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full611)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full621)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_renamed_431)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRB 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01212211)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0121211)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr<9>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_9__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_9)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW1)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n012110_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr<8>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_8__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_8)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o81)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01217_renamed_524)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr<7>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_7__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_7)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o71)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr<6>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_6__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_6)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o61)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr<5>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_5__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_5)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0121211)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRA 4) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01212211)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr<4>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_4__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_4)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_renamed_431)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRA 5) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr<3>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_3__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_3)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRA 6) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01212211)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0121211)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr<2>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_2__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_2)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01212211)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW0)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRA 7) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr<1>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_1__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full1021)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW2)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRA 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr<0>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_0__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW1)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRA 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_empty_reg "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/empty_reg") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_empty_reg_renamed_258)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tvalid11)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB1_renamed_485)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv6_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_empty_reg_rstpot_renamed_511)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0146_inv1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_GND_66_o_read_OR_144_o1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv2_renamed_415)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01211_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg_glue_set_renamed_421)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/full_reg") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_write1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv5_renamed_64)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg_renamed_114)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv1_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg_glue_set_renamed_421)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump_glue_set_renamed_422)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_renamed_431)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int16_SW0)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB5_renamed_489)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0154_inv1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_F)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_G)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_F)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_G)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_write "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/write") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_write1)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member WEA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member WEA 2) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member WEA 1) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef (member WEA 0) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_GND_66_o_read_OR_144_o "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/GND_66_o_read_OR_144_o") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_GND_66_o_read_OR_144_o1)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef ENB (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0146_inv "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n0146_inv") + (joined + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_0)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_1)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_2)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_3)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_4)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_5)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_6)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_7)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_8)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_SW0_FRB_renamed_463)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0146_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_clear_inv "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/clear_inv") + (joined + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_0__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_clear_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_0__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_0__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_0__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr1") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_1__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_1__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_1__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr2") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_2__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_2__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_2__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr3 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr3") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_3__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_3__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_3__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr4 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr4") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_4__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_4__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_4__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr5 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr5") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_5__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_5)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<5>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_5__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_5__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<5>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr6 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr6") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_6__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_6__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_6__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr7 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr7") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_7__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_7)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<7>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_7__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_7__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<7>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_8__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr8 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr8") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_8__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_8)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<8>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_8__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_8__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<8>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_8__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_9__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr9 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr9") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_9__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<9>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_9__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_9__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0154_inv "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n0154_inv") + (joined + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_0)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_1)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_2)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_3)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_4)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_5)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_6)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_7)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_8)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_9)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0154_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_0__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_0__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_0__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr1") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_1__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_1__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_1__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr2") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_2__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_2__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_2__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr3 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr3") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_3__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_3__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_3__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr4 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr4") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_4__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_4__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_4__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr5 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr5") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_5__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_5)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<5>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_5__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_5__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<5>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr6 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr6") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_6__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_6__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_6__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr7 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr7") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_7__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_7)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<7>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_7__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_7__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<7>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_8__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr8 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr8") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_8__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_8)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<8>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_8__)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_8__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<8>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_8__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_9__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr9 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr9") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_9__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<9>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_9__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_9__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB2_renamed_486)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_empty_reg_rstpot_renamed_511)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0146_inv1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_GND_66_o_read_OR_144_o1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr<0>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_0__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_0)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full611)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full621)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRB 9) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr<1>") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_1__)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full1021)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full611)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW0)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full621)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portRef (member ADDRB 8) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_dont_write_past_me_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/dont_write_past_me<9>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_9_11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n012110_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full61 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/becoming_full61") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01219_renamed_59)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_9_11)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full611)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01217_renamed_524)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full62 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/becoming_full62") + (joined + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01219_renamed_59)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_9_11)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full621)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01217_renamed_524)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n012121 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n012121") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0121211)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_renamed_423)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0121221 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n0121221") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01212211)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_renamed_423)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o9 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o9") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o81)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o8 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o8") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o71)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01219_renamed_59)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o7 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o7") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o61)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01219_renamed_59)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full102 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/becoming_full102") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full1021)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o") + (joined + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_empty_reg_rstpot_renamed_511)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0146_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets<7>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0076_inv_renamed_61)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8212_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv6_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_clear_inv1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8212_SW1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_clear_dump_OR_154_o_renamed_60)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int12_renamed_63)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01211_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tready_int11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets<6>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_6)) + (portRef I0 + (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_6_1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0076_inv_renamed_61)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_clear_inv1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8212_SW1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_clear_dump_OR_154_o_renamed_60)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int12_renamed_63)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tvalid11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tready_int11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets<5>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_5)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_clear_dump_OR_154_o_renamed_60)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0076_inv_renamed_61)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tvalid11)) + (portRef I0 + (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_clear_inv1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_F)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8212_SW1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1_SW0)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int12_renamed_63)) + (portRef I3 + (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211_renamed_416)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tready_int11)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_G)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_F)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_G)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets<4>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_4)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0076_inv_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int12_renamed_63)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11_SW1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_clear_inv1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8212_SW1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_clear_dump_OR_154_o_renamed_60)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tvalid11)) + (portRef I1 + (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211_renamed_416)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets<3>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_3)) + (portRef I0 + (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_3_1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_clear_dump_OR_154_o_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0076_inv_SW0)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int12_renamed_63)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portRef I2 + (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211_renamed_416)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11_SW1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8212_SW1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets<2>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_2)) + (portRef I0 + (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_2_1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int12_renamed_63)) + (portRef I0 + (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211_renamed_416)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets<1>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111_SW1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int13)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/dump") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_clear_dump_OR_154_o_SW0)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0076_inv_renamed_61)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump_renamed_115)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv1_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump_glue_set_renamed_422)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int11_renamed_62)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int16_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv6)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_F)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_G)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_F)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_G)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_clear_dump_OR_154_o "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/clear_dump_OR_154_o") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_2__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_3__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_4__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_5__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_6__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_7__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_8__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_9__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_0__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_1__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_2__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_3__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_4__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_5__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_6__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_7__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_8__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_9__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_clear_dump_OR_154_o_renamed_60)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB0_renamed_484)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_empty_reg_rstpot_renamed_511)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_0__)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_1__)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0154_inv1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01211_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg_glue_set_renamed_421)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0146_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_i_tvalid_int "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/i_tvalid_int") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_write1)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int16)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump_glue_set_renamed_422)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB4_renamed_488)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0154_inv1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg_glue_set_renamed_421)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv6)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_F)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_G)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_F)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_G)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_o_tready_int "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/o_tready_int") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tready_int11)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg_glue_set_renamed_421)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_empty_reg_rstpot_renamed_511)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_GND_66_o_read_OR_144_o1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv2_renamed_415)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0146_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT<7>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT<6>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_6)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT<5>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_5)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT<4>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_4)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT<3>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_3)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT<2>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_2)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT<1>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_1)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT<0>") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_0)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT11_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0076_inv "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/_n0076_inv") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0076_inv_renamed_61)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump_glue_set_renamed_422)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/_n0074_inv") + (joined + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_0)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_1)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_2)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_3)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_4)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_5)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_6)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets<0>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int13)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111_SW1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4_SW0)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT11_INV_0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT311 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT311") + (joined + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portRef I5 + (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211_renamed_416)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_2__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut<2>") + (joined + (portRef O + (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_2_1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_3__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut<3>") + (joined + (portRef O + (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_3_1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT41 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT41") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0076_inv_renamed_61)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_clear_inv1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8212_SW1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_clear_dump_OR_154_o_renamed_60)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tvalid11)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tready_int11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT53 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT53") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut<5>") + (joined + (portRef O + (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_F)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_F)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_G)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_G)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_6__ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut<6>") + (joined + (portRef O + (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_6_1)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Msub_num_packets[7]_GND_65_o_sub_15_OUT_cy<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01211_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv6_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT51 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT51") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror_bdd6 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror_bdd6") + (joined + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In12_renamed_68)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In11)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int16)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid_bdd2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_tvalid_bdd2") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid31)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In12_renamed_68)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW0)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid_bdd8 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_tvalid_bdd8") + (joined + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In12_renamed_68)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid61)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int16)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In_bdd1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In_bdd1") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In34)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In14)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In13)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_15_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<15>") + (joined + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_15__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_15__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines3215 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines3215") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_15__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_15)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<14>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_14__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_15__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<14>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_14__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_14__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_14__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines3214 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines3214") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_14__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_14)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<13>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_13__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_14__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_14__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<13>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_13__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_13__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_13__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines3213 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines3213") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_13__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_13)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<12>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_12__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_13__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_13__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<12>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_12__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_12__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_12__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines3212 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines3212") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_12__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_12)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<11>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_11__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_12__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_12__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<11>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_11__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_11__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_11__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines3211 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines3211") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_11__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<10>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_10__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_11__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_11__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<10>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_10__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_10__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_10__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines3210 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines3210") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_10__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_10)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<9>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_9__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_10__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_10__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<9>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_9__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_9__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_9__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines329 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines329") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_9__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<8>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_8__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_9__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_9__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<8>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_8__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_8__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines328 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines328") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_8__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_8)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<7>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_8__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<7>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_7__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_7__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines327 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines327") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_7__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_7)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<6>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<6>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_6__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_6__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines326 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines326") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_6__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<5>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_6__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<5>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_5__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_5__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines325 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines325") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_5__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_5)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<4>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_5__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<4>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_4__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_4__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines324 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines324") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_4__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<3>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_4__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<3>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_3__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_3__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines323 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines323") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_3__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<2>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_3__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<2>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_2__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_2__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines322 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines322") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_2__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<1>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_2__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<1>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_1__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_1__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines321 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines321") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_1__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<0>") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_1__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<0>") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_0__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_0__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_0__)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker__n0227_inv "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/_n0227_inv") + (joined + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_0)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_1)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_2)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_3)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_4)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_5)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_6)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_7)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_8)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_9)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_10)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_11)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_12)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_13)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_14)) + (portRef CE (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_15)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker__n0227_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_0__inv "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state<0>_inv") + (joined + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_0__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_0__)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_0__inv1_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_renamed_27)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In14)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd2-In") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_renamed_28)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In13)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror") + (joined + (portRef I1 + (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_2_1)) + (portRef I1 + (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_3_1)) + (portRef I1 + (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_6_1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv5_renamed_64)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8212_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump_glue_set_renamed_422)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv2_renamed_415)) + (portRef I4 + (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211_renamed_416)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tlast "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_tlast") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv5_renamed_64)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tlast1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump_glue_set_renamed_422)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int16_SW0)) + (portRef (member DIA 17) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv2_renamed_415)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_F)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_G)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_F)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_G)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32<0>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In33)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32<1>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In33)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32<2>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_2)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In31_renamed_65)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32<3>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_3)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In34)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32<4>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_4)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In32_renamed_66)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32<5>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_5)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In32_renamed_66)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32<6>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_6)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In32_renamed_66)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32<7>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_7)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In32_renamed_66)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32<8>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_8)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In33)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32<9>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_9)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In33)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_9__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32<10>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_10)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In31_renamed_65)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_10__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32<11>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_11)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In31_renamed_65)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_11__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32<12>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_12)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In31_renamed_65)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_12__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32<13>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_13)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In34)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_13__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32<14>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_14)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In32_renamed_66)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_14__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_15_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32<15>") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_15)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In32_renamed_66)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_15__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd2") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_renamed_28)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker__n0227_inv1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In11_renamed_67)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In14)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111_SW1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW0)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In13)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_0__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_1__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_2__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_3__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_4__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_5__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_6__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_7__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_8__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_9__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_10__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_11__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_12__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_13__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_14__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_15__)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_0__inv1_INV_0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int11_renamed_62)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tlast1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1_F)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1_G)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_renamed_27)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker__n0227_inv1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int11_renamed_62)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In11_renamed_67)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In14)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tlast1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In13)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1_G)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1_F)) + ) + ) + (net (rename f1_Mcompar_becoming_full_lut_4_ "f1/Mcompar_becoming_full_lut<4>") + (joined + (portRef O (instanceRef f1_Mcompar_becoming_full_lut_4__)) + (portRef S (instanceRef f1_Mcompar_becoming_full_cy_4__)) + ) + ) + (net (rename f1_Mcompar_becoming_full_cy_3_ "f1/Mcompar_becoming_full_cy<3>") + (joined + (portRef O (instanceRef f1_Mcompar_becoming_full_cy_3__)) + (portRef CI (instanceRef f1_Mcompar_becoming_full_cy_4__)) + ) + ) + (net (rename f1_Mcompar_becoming_full_lut_3_ "f1/Mcompar_becoming_full_lut<3>") + (joined + (portRef O (instanceRef f1_Mcompar_becoming_full_lut_3__)) + (portRef S (instanceRef f1_Mcompar_becoming_full_cy_3__)) + ) + ) + (net (rename f1_Mcompar_becoming_full_cy_2_ "f1/Mcompar_becoming_full_cy<2>") + (joined + (portRef O (instanceRef f1_Mcompar_becoming_full_cy_2__)) + (portRef CI (instanceRef f1_Mcompar_becoming_full_cy_3__)) + ) + ) + (net (rename f1_Mcompar_becoming_full_lut_2_ "f1/Mcompar_becoming_full_lut<2>") + (joined + (portRef O (instanceRef f1_Mcompar_becoming_full_lut_2__)) + (portRef S (instanceRef f1_Mcompar_becoming_full_cy_2__)) + ) + ) + (net (rename f1_Mcompar_becoming_full_cy_1_ "f1/Mcompar_becoming_full_cy<1>") + (joined + (portRef O (instanceRef f1_Mcompar_becoming_full_cy_1__)) + (portRef CI (instanceRef f1_Mcompar_becoming_full_cy_2__)) + ) + ) + (net (rename f1_Mcompar_becoming_full_lut_1_ "f1/Mcompar_becoming_full_lut<1>") + (joined + (portRef O (instanceRef f1_Mcompar_becoming_full_lut_1__)) + (portRef S (instanceRef f1_Mcompar_becoming_full_cy_1__)) + ) + ) + (net (rename f1_Mcompar_becoming_full_cy_0_ "f1/Mcompar_becoming_full_cy<0>") + (joined + (portRef O (instanceRef f1_Mcompar_becoming_full_cy_0__)) + (portRef CI (instanceRef f1_Mcompar_becoming_full_cy_1__)) + ) + ) + (net (rename f1_Mcompar_becoming_full_lut_0_ "f1/Mcompar_becoming_full_lut<0>") + (joined + (portRef O (instanceRef f1_Mcompar_becoming_full_lut_0__)) + (portRef S (instanceRef f1_Mcompar_becoming_full_cy_0__)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_10_ "f1/Mcount_rd_addr_cy<10>") + (joined + (portRef O (instanceRef f1_Mcount_rd_addr_cy_10__)) + (portRef CI (instanceRef f1_Mcount_rd_addr_cy_11__)) + (portRef CI (instanceRef f1_Mcount_rd_addr_xor_11__)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_9_ "f1/Mcount_rd_addr_cy<9>") + (joined + (portRef O (instanceRef f1_Mcount_rd_addr_cy_9__)) + (portRef CI (instanceRef f1_Mcount_rd_addr_cy_10__)) + (portRef CI (instanceRef f1_Mcount_rd_addr_xor_10__)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_8_ "f1/Mcount_rd_addr_cy<8>") + (joined + (portRef O (instanceRef f1_Mcount_rd_addr_cy_8__)) + (portRef CI (instanceRef f1_Mcount_rd_addr_cy_9__)) + (portRef CI (instanceRef f1_Mcount_rd_addr_xor_9__)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_7_ "f1/Mcount_rd_addr_cy<7>") + (joined + (portRef O (instanceRef f1_Mcount_rd_addr_cy_7__)) + (portRef CI (instanceRef f1_Mcount_rd_addr_cy_8__)) + (portRef CI (instanceRef f1_Mcount_rd_addr_xor_8__)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_6_ "f1/Mcount_rd_addr_cy<6>") + (joined + (portRef O (instanceRef f1_Mcount_rd_addr_cy_6__)) + (portRef CI (instanceRef f1_Mcount_rd_addr_cy_7__)) + (portRef CI (instanceRef f1_Mcount_rd_addr_xor_7__)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_5_ "f1/Mcount_rd_addr_cy<5>") + (joined + (portRef O (instanceRef f1_Mcount_rd_addr_cy_5__)) + (portRef CI (instanceRef f1_Mcount_rd_addr_cy_6__)) + (portRef CI (instanceRef f1_Mcount_rd_addr_xor_6__)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_4_ "f1/Mcount_rd_addr_cy<4>") + (joined + (portRef O (instanceRef f1_Mcount_rd_addr_cy_4__)) + (portRef CI (instanceRef f1_Mcount_rd_addr_cy_5__)) + (portRef CI (instanceRef f1_Mcount_rd_addr_xor_5__)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_3_ "f1/Mcount_rd_addr_cy<3>") + (joined + (portRef O (instanceRef f1_Mcount_rd_addr_cy_3__)) + (portRef CI (instanceRef f1_Mcount_rd_addr_cy_4__)) + (portRef CI (instanceRef f1_Mcount_rd_addr_xor_4__)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_2_ "f1/Mcount_rd_addr_cy<2>") + (joined + (portRef O (instanceRef f1_Mcount_rd_addr_cy_2__)) + (portRef CI (instanceRef f1_Mcount_rd_addr_cy_3__)) + (portRef CI (instanceRef f1_Mcount_rd_addr_xor_3__)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_1_ "f1/Mcount_rd_addr_cy<1>") + (joined + (portRef O (instanceRef f1_Mcount_rd_addr_cy_1__)) + (portRef CI (instanceRef f1_Mcount_rd_addr_cy_2__)) + (portRef CI (instanceRef f1_Mcount_rd_addr_xor_2__)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_0_ "f1/Mcount_rd_addr_cy<0>") + (joined + (portRef O (instanceRef f1_Mcount_rd_addr_cy_0__)) + (portRef CI (instanceRef f1_Mcount_rd_addr_cy_1__)) + (portRef CI (instanceRef f1_Mcount_rd_addr_xor_1__)) + ) + ) + (net (rename f1_Mcount_rd_addr_lut_0_ "f1/Mcount_rd_addr_lut<0>") + (joined + (portRef S (instanceRef f1_Mcount_rd_addr_cy_0__)) + (portRef LI (instanceRef f1_Mcount_rd_addr_xor_0__)) + (portRef O (instanceRef f1_Mcount_rd_addr_lut_0__INV_0)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_10_ "f1/Mcount_wr_addr_cy<10>") + (joined + (portRef O (instanceRef f1_Mcount_wr_addr_cy_10__)) + (portRef CI (instanceRef f1_Mcount_wr_addr_cy_11__)) + (portRef CI (instanceRef f1_Mcount_wr_addr_xor_11__)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_9_ "f1/Mcount_wr_addr_cy<9>") + (joined + (portRef O (instanceRef f1_Mcount_wr_addr_cy_9__)) + (portRef CI (instanceRef f1_Mcount_wr_addr_cy_10__)) + (portRef CI (instanceRef f1_Mcount_wr_addr_xor_10__)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_8_ "f1/Mcount_wr_addr_cy<8>") + (joined + (portRef O (instanceRef f1_Mcount_wr_addr_cy_8__)) + (portRef CI (instanceRef f1_Mcount_wr_addr_cy_9__)) + (portRef CI (instanceRef f1_Mcount_wr_addr_xor_9__)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_7_ "f1/Mcount_wr_addr_cy<7>") + (joined + (portRef O (instanceRef f1_Mcount_wr_addr_cy_7__)) + (portRef CI (instanceRef f1_Mcount_wr_addr_cy_8__)) + (portRef CI (instanceRef f1_Mcount_wr_addr_xor_8__)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_6_ "f1/Mcount_wr_addr_cy<6>") + (joined + (portRef O (instanceRef f1_Mcount_wr_addr_cy_6__)) + (portRef CI (instanceRef f1_Mcount_wr_addr_cy_7__)) + (portRef CI (instanceRef f1_Mcount_wr_addr_xor_7__)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_5_ "f1/Mcount_wr_addr_cy<5>") + (joined + (portRef O (instanceRef f1_Mcount_wr_addr_cy_5__)) + (portRef CI (instanceRef f1_Mcount_wr_addr_cy_6__)) + (portRef CI (instanceRef f1_Mcount_wr_addr_xor_6__)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_4_ "f1/Mcount_wr_addr_cy<4>") + (joined + (portRef O (instanceRef f1_Mcount_wr_addr_cy_4__)) + (portRef CI (instanceRef f1_Mcount_wr_addr_cy_5__)) + (portRef CI (instanceRef f1_Mcount_wr_addr_xor_5__)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_3_ "f1/Mcount_wr_addr_cy<3>") + (joined + (portRef O (instanceRef f1_Mcount_wr_addr_cy_3__)) + (portRef CI (instanceRef f1_Mcount_wr_addr_cy_4__)) + (portRef CI (instanceRef f1_Mcount_wr_addr_xor_4__)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_2_ "f1/Mcount_wr_addr_cy<2>") + (joined + (portRef O (instanceRef f1_Mcount_wr_addr_cy_2__)) + (portRef CI (instanceRef f1_Mcount_wr_addr_cy_3__)) + (portRef CI (instanceRef f1_Mcount_wr_addr_xor_3__)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_1_ "f1/Mcount_wr_addr_cy<1>") + (joined + (portRef O (instanceRef f1_Mcount_wr_addr_cy_1__)) + (portRef CI (instanceRef f1_Mcount_wr_addr_cy_2__)) + (portRef CI (instanceRef f1_Mcount_wr_addr_xor_2__)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_0_ "f1/Mcount_wr_addr_cy<0>") + (joined + (portRef O (instanceRef f1_Mcount_wr_addr_cy_0__)) + (portRef CI (instanceRef f1_Mcount_wr_addr_cy_1__)) + (portRef CI (instanceRef f1_Mcount_wr_addr_xor_1__)) + ) + ) + (net (rename f1_Mcount_wr_addr_lut_0_ "f1/Mcount_wr_addr_lut<0>") + (joined + (portRef S (instanceRef f1_Mcount_wr_addr_cy_0__)) + (portRef LI (instanceRef f1_Mcount_wr_addr_xor_0__)) + (portRef O (instanceRef f1_Mcount_wr_addr_lut_0__INV_0)) + ) + ) + (net (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4_ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<4>") + (joined + (portRef O (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4__)) + (portRef S (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4__)) + ) + ) + (net (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3_ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<3>") + (joined + (portRef O (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3__)) + (portRef CI (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4__)) + ) + ) + (net (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<3>") + (joined + (portRef O (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__)) + (portRef S (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3__)) + ) + ) + (net (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2_ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<2>") + (joined + (portRef O (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2__)) + (portRef CI (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3__)) + ) + ) + (net (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<2>") + (joined + (portRef O (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__)) + (portRef S (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2__)) + ) + ) + (net (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1_ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<1>") + (joined + (portRef O (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1__)) + (portRef CI (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2__)) + ) + ) + (net (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<1>") + (joined + (portRef O (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__)) + (portRef S (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1__)) + ) + ) + (net (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0_ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<0>") + (joined + (portRef O (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0__)) + (portRef CI (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1__)) + ) + ) + (net (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<0>") + (joined + (portRef O (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__)) + (portRef S (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0__)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_lut_12_ "f1/Msub_dont_write_past_me_lut<12>") + (joined + (portRef LI (instanceRef f1_Msub_dont_write_past_me_xor_12__)) + (portRef O (instanceRef f1_Msub_dont_write_past_me_lut_12__INV_0)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_lut_11_ "f1/Msub_dont_write_past_me_lut<11>") + (joined + (portRef S (instanceRef f1_Msub_dont_write_past_me_cy_11__)) + (portRef LI (instanceRef f1_Msub_dont_write_past_me_xor_11__)) + (portRef O (instanceRef f1_Msub_dont_write_past_me_lut_11__INV_0)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_cy_10_ "f1/Msub_dont_write_past_me_cy<10>") + (joined + (portRef O (instanceRef f1_Msub_dont_write_past_me_cy_10__)) + (portRef CI (instanceRef f1_Msub_dont_write_past_me_cy_11__)) + (portRef CI (instanceRef f1_Msub_dont_write_past_me_xor_11__)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_lut_10_ "f1/Msub_dont_write_past_me_lut<10>") + (joined + (portRef S (instanceRef f1_Msub_dont_write_past_me_cy_10__)) + (portRef LI (instanceRef f1_Msub_dont_write_past_me_xor_10__)) + (portRef O (instanceRef f1_Msub_dont_write_past_me_lut_10__INV_0)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_cy_9_ "f1/Msub_dont_write_past_me_cy<9>") + (joined + (portRef O (instanceRef f1_Msub_dont_write_past_me_cy_9__)) + (portRef CI (instanceRef f1_Msub_dont_write_past_me_cy_10__)) + (portRef CI (instanceRef f1_Msub_dont_write_past_me_xor_10__)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_lut_9_ "f1/Msub_dont_write_past_me_lut<9>") + (joined + (portRef S (instanceRef f1_Msub_dont_write_past_me_cy_9__)) + (portRef LI (instanceRef f1_Msub_dont_write_past_me_xor_9__)) + (portRef O (instanceRef f1_Msub_dont_write_past_me_lut_9__INV_0)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_cy_8_ "f1/Msub_dont_write_past_me_cy<8>") + (joined + (portRef O (instanceRef f1_Msub_dont_write_past_me_cy_8__)) + (portRef CI (instanceRef f1_Msub_dont_write_past_me_cy_9__)) + (portRef CI (instanceRef f1_Msub_dont_write_past_me_xor_9__)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_lut_8_ "f1/Msub_dont_write_past_me_lut<8>") + (joined + (portRef S (instanceRef f1_Msub_dont_write_past_me_cy_8__)) + (portRef LI (instanceRef f1_Msub_dont_write_past_me_xor_8__)) + (portRef O (instanceRef f1_Msub_dont_write_past_me_lut_8__INV_0)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_cy_7_ "f1/Msub_dont_write_past_me_cy<7>") + (joined + (portRef O (instanceRef f1_Msub_dont_write_past_me_cy_7__)) + (portRef CI (instanceRef f1_Msub_dont_write_past_me_cy_8__)) + (portRef CI (instanceRef f1_Msub_dont_write_past_me_xor_8__)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_lut_7_ "f1/Msub_dont_write_past_me_lut<7>") + (joined + (portRef S (instanceRef f1_Msub_dont_write_past_me_cy_7__)) + (portRef LI (instanceRef f1_Msub_dont_write_past_me_xor_7__)) + (portRef O (instanceRef f1_Msub_dont_write_past_me_lut_7__INV_0)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_cy_6_ "f1/Msub_dont_write_past_me_cy<6>") + (joined + (portRef O (instanceRef f1_Msub_dont_write_past_me_cy_6__)) + (portRef CI (instanceRef f1_Msub_dont_write_past_me_cy_7__)) + (portRef CI (instanceRef f1_Msub_dont_write_past_me_xor_7__)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_lut_6_ "f1/Msub_dont_write_past_me_lut<6>") + (joined + (portRef S (instanceRef f1_Msub_dont_write_past_me_cy_6__)) + (portRef LI (instanceRef f1_Msub_dont_write_past_me_xor_6__)) + (portRef O (instanceRef f1_Msub_dont_write_past_me_lut_6__INV_0)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_cy_5_ "f1/Msub_dont_write_past_me_cy<5>") + (joined + (portRef O (instanceRef f1_Msub_dont_write_past_me_cy_5__)) + (portRef CI (instanceRef f1_Msub_dont_write_past_me_cy_6__)) + (portRef CI (instanceRef f1_Msub_dont_write_past_me_xor_6__)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_lut_5_ "f1/Msub_dont_write_past_me_lut<5>") + (joined + (portRef S (instanceRef f1_Msub_dont_write_past_me_cy_5__)) + (portRef LI (instanceRef f1_Msub_dont_write_past_me_xor_5__)) + (portRef O (instanceRef f1_Msub_dont_write_past_me_lut_5__INV_0)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_cy_4_ "f1/Msub_dont_write_past_me_cy<4>") + (joined + (portRef O (instanceRef f1_Msub_dont_write_past_me_cy_4__)) + (portRef CI (instanceRef f1_Msub_dont_write_past_me_cy_5__)) + (portRef CI (instanceRef f1_Msub_dont_write_past_me_xor_5__)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_lut_4_ "f1/Msub_dont_write_past_me_lut<4>") + (joined + (portRef S (instanceRef f1_Msub_dont_write_past_me_cy_4__)) + (portRef LI (instanceRef f1_Msub_dont_write_past_me_xor_4__)) + (portRef O (instanceRef f1_Msub_dont_write_past_me_lut_4__INV_0)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_cy_3_ "f1/Msub_dont_write_past_me_cy<3>") + (joined + (portRef O (instanceRef f1_Msub_dont_write_past_me_cy_3__)) + (portRef CI (instanceRef f1_Msub_dont_write_past_me_cy_4__)) + (portRef CI (instanceRef f1_Msub_dont_write_past_me_xor_4__)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_lut_3_ "f1/Msub_dont_write_past_me_lut<3>") + (joined + (portRef S (instanceRef f1_Msub_dont_write_past_me_cy_3__)) + (portRef LI (instanceRef f1_Msub_dont_write_past_me_xor_3__)) + (portRef O (instanceRef f1_Msub_dont_write_past_me_lut_3__INV_0)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_cy_2_ "f1/Msub_dont_write_past_me_cy<2>") + (joined + (portRef O (instanceRef f1_Msub_dont_write_past_me_cy_2__)) + (portRef CI (instanceRef f1_Msub_dont_write_past_me_cy_3__)) + (portRef CI (instanceRef f1_Msub_dont_write_past_me_xor_3__)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_lut_2_ "f1/Msub_dont_write_past_me_lut<2>") + (joined + (portRef S (instanceRef f1_Msub_dont_write_past_me_cy_2__)) + (portRef LI (instanceRef f1_Msub_dont_write_past_me_xor_2__)) + (portRef O (instanceRef f1_Msub_dont_write_past_me_lut_2__INV_0)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_cy_1_ "f1/Msub_dont_write_past_me_cy<1>") + (joined + (portRef O (instanceRef f1_Msub_dont_write_past_me_cy_1__)) + (portRef CI (instanceRef f1_Msub_dont_write_past_me_cy_2__)) + (portRef CI (instanceRef f1_Msub_dont_write_past_me_xor_2__)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_cy_0_ "f1/Msub_dont_write_past_me_cy<0>") + (joined + (portRef O (instanceRef f1_Msub_dont_write_past_me_cy_0__)) + (portRef CI (instanceRef f1_Msub_dont_write_past_me_cy_1__)) + (portRef CI (instanceRef f1_Msub_dont_write_past_me_xor_1__)) + ) + ) + (net (rename f1_read_state_FSM_FFd2 "f1/read_state_FSM_FFd2") + (joined + (portRef Q (instanceRef f1_read_state_FSM_FFd2_renamed_30)) + (portRef I0 (instanceRef f1__n0161_inv1_lut1_renamed_508)) + (portRef I2 (instanceRef f1_GND_14_o_read_OR_37_o1)) + (portRef I3 (instanceRef f1_read_state_FSM_FFd1_In111)) + (portRef I5 (instanceRef f1_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename f1_read_state_FSM_FFd2_In "f1/read_state_FSM_FFd2-In") + (joined + (portRef D (instanceRef f1_read_state_FSM_FFd2_renamed_30)) + (portRef O (instanceRef f1_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename f1_read_state_FSM_FFd1_In1 "f1/read_state_FSM_FFd1-In1") + (joined + (portRef D (instanceRef f1_read_state_FSM_FFd1_renamed_29)) + (portRef O (instanceRef f1_read_state_FSM_FFd1_In111)) + ) + ) + (net (rename f1_Result_12_2_FRB "f1/Result<12>2_FRB") + (joined + (portRef D (instanceRef f1_wr_addr_12)) + (portRef Q (instanceRef f1_Result_12_2_FRB_renamed_349)) + (portRef I0 (instanceRef f1_Mcount_wr_addr_xor_12__rt_renamed_253)) + ) + ) + (net (rename f1_Result_11_2_FRB "f1/Result<11>2_FRB") + (joined + (portRef D (instanceRef f1_wr_addr_11)) + (portRef Q (instanceRef f1_Result_11_2_FRB_renamed_348)) + (portRef I0 (instanceRef f1_Mcount_wr_addr_cy_11__rt_renamed_207)) + ) + ) + (net (rename f1_Result_10_2_FRB "f1/Result<10>2_FRB") + (joined + (portRef D (instanceRef f1_wr_addr_10)) + (portRef Q (instanceRef f1_Result_10_2_FRB_renamed_347)) + (portRef I0 (instanceRef f1_Mcount_wr_addr_cy_10__rt_renamed_208)) + ) + ) + (net (rename f1_Result_9_2_FRB "f1/Result<9>2_FRB") + (joined + (portRef D (instanceRef f1_wr_addr_9)) + (portRef Q (instanceRef f1_Result_9_2_FRB_renamed_346)) + (portRef I0 (instanceRef f1_Mcount_wr_addr_cy_9__rt_renamed_209)) + ) + ) + (net (rename f1_Result_8_2_FRB "f1/Result<8>2_FRB") + (joined + (portRef D (instanceRef f1_wr_addr_8)) + (portRef Q (instanceRef f1_Result_8_2_FRB_renamed_345)) + (portRef I0 (instanceRef f1_Mcount_wr_addr_cy_8__rt_renamed_210)) + ) + ) + (net (rename f1_Result_7_2_FRB "f1/Result<7>2_FRB") + (joined + (portRef D (instanceRef f1_wr_addr_7)) + (portRef Q (instanceRef f1_Result_7_2_FRB_renamed_344)) + (portRef I0 (instanceRef f1_Mcount_wr_addr_cy_7__rt_renamed_211)) + ) + ) + (net (rename f1_Result_6_2_FRB "f1/Result<6>2_FRB") + (joined + (portRef D (instanceRef f1_wr_addr_6)) + (portRef Q (instanceRef f1_Result_6_2_FRB_renamed_343)) + (portRef I0 (instanceRef f1_Mcount_wr_addr_cy_6__rt_renamed_212)) + ) + ) + (net (rename f1_Result_5_2_FRB "f1/Result<5>2_FRB") + (joined + (portRef D (instanceRef f1_wr_addr_5)) + (portRef Q (instanceRef f1_Result_5_2_FRB_renamed_342)) + (portRef I0 (instanceRef f1_Mcount_wr_addr_cy_5__rt_renamed_213)) + ) + ) + (net (rename f1_Result_4_2_FRB "f1/Result<4>2_FRB") + (joined + (portRef D (instanceRef f1_wr_addr_4)) + (portRef Q (instanceRef f1_Result_4_2_FRB_renamed_341)) + (portRef I0 (instanceRef f1_Mcount_wr_addr_cy_4__rt_renamed_214)) + ) + ) + (net (rename f1_Result_3_2_FRB "f1/Result<3>2_FRB") + (joined + (portRef D (instanceRef f1_wr_addr_3)) + (portRef Q (instanceRef f1_Result_3_2_FRB_renamed_340)) + (portRef I0 (instanceRef f1_Mcount_wr_addr_cy_3__rt_renamed_215)) + ) + ) + (net (rename f1_Result_2_2_FRB "f1/Result<2>2_FRB") + (joined + (portRef D (instanceRef f1_wr_addr_2)) + (portRef Q (instanceRef f1_Result_2_2_FRB_renamed_339)) + (portRef I0 (instanceRef f1_Mcount_wr_addr_cy_2__rt_renamed_216)) + ) + ) + (net (rename f1_Result_1_2_FRB "f1/Result<1>2_FRB") + (joined + (portRef D (instanceRef f1_wr_addr_1)) + (portRef Q (instanceRef f1_Result_1_2_FRB_renamed_338)) + (portRef I0 (instanceRef f1_Mcount_wr_addr_cy_1__rt_renamed_217)) + ) + ) + (net (rename f1_Result_0_2_FRB "f1/Result<0>2_FRB") + (joined + (portRef D (instanceRef f1_wr_addr_0)) + (portRef Q (instanceRef f1_Result_0_2_FRB_renamed_337)) + (portRef I (instanceRef f1_Mcount_wr_addr_lut_0__INV_0)) + ) + ) + (net (rename f1_Result_12_1_FRB "f1/Result<12>1_FRB") + (joined + (portRef D (instanceRef f1_rd_addr_12)) + (portRef Q (instanceRef f1_Result_12_1_FRB_renamed_362)) + (portRef I0 (instanceRef f1_Mcount_rd_addr_xor_12__rt_renamed_252)) + (portRef I (instanceRef f1_Msub_dont_write_past_me_lut_12__INV_0)) + ) + ) + (net (rename f1_Result_11_1_FRB "f1/Result<11>1_FRB") + (joined + (portRef D (instanceRef f1_rd_addr_11)) + (portRef Q (instanceRef f1_Result_11_1_FRB_renamed_361)) + (portRef I0 (instanceRef f1_Mcount_rd_addr_cy_11__rt_renamed_196)) + (portRef I (instanceRef f1_Msub_dont_write_past_me_lut_11__INV_0)) + ) + ) + (net (rename f1_Result_10_1_FRB "f1/Result<10>1_FRB") + (joined + (portRef D (instanceRef f1_rd_addr_10)) + (portRef Q (instanceRef f1_Result_10_1_FRB_renamed_360)) + (portRef I0 (instanceRef f1_Mcount_rd_addr_cy_10__rt_renamed_197)) + (portRef I (instanceRef f1_Msub_dont_write_past_me_lut_10__INV_0)) + ) + ) + (net (rename f1_Result_9_1_FRB "f1/Result<9>1_FRB") + (joined + (portRef D (instanceRef f1_rd_addr_9)) + (portRef Q (instanceRef f1_Result_9_1_FRB_renamed_359)) + (portRef I0 (instanceRef f1_Mcount_rd_addr_cy_9__rt_renamed_198)) + (portRef I (instanceRef f1_Msub_dont_write_past_me_lut_9__INV_0)) + ) + ) + (net (rename f1_Result_8_1_FRB "f1/Result<8>1_FRB") + (joined + (portRef D (instanceRef f1_rd_addr_8)) + (portRef Q (instanceRef f1_Result_8_1_FRB_renamed_358)) + (portRef I0 (instanceRef f1_Mcount_rd_addr_cy_8__rt_renamed_199)) + (portRef I (instanceRef f1_Msub_dont_write_past_me_lut_8__INV_0)) + ) + ) + (net (rename f1_Result_7_1_FRB "f1/Result<7>1_FRB") + (joined + (portRef D (instanceRef f1_rd_addr_7)) + (portRef Q (instanceRef f1_Result_7_1_FRB_renamed_357)) + (portRef I0 (instanceRef f1_Mcount_rd_addr_cy_7__rt_renamed_200)) + (portRef I (instanceRef f1_Msub_dont_write_past_me_lut_7__INV_0)) + ) + ) + (net (rename f1_Result_6_1_FRB "f1/Result<6>1_FRB") + (joined + (portRef D (instanceRef f1_rd_addr_6)) + (portRef Q (instanceRef f1_Result_6_1_FRB_renamed_356)) + (portRef I0 (instanceRef f1_Mcount_rd_addr_cy_6__rt_renamed_201)) + (portRef I (instanceRef f1_Msub_dont_write_past_me_lut_6__INV_0)) + ) + ) + (net (rename f1_Result_5_1_FRB "f1/Result<5>1_FRB") + (joined + (portRef D (instanceRef f1_rd_addr_5)) + (portRef Q (instanceRef f1_Result_5_1_FRB_renamed_355)) + (portRef I0 (instanceRef f1_Mcount_rd_addr_cy_5__rt_renamed_202)) + (portRef I (instanceRef f1_Msub_dont_write_past_me_lut_5__INV_0)) + ) + ) + (net (rename f1_Result_4_1_FRB "f1/Result<4>1_FRB") + (joined + (portRef D (instanceRef f1_rd_addr_4)) + (portRef Q (instanceRef f1_Result_4_1_FRB_renamed_354)) + (portRef I0 (instanceRef f1_Mcount_rd_addr_cy_4__rt_renamed_203)) + (portRef I (instanceRef f1_Msub_dont_write_past_me_lut_4__INV_0)) + ) + ) + (net (rename f1_Result_3_1_FRB "f1/Result<3>1_FRB") + (joined + (portRef D (instanceRef f1_rd_addr_3)) + (portRef Q (instanceRef f1_Result_3_1_FRB_renamed_353)) + (portRef I0 (instanceRef f1_Mcount_rd_addr_cy_3__rt_renamed_204)) + (portRef I (instanceRef f1_Msub_dont_write_past_me_lut_3__INV_0)) + ) + ) + (net (rename f1_Result_2_1_FRB "f1/Result<2>1_FRB") + (joined + (portRef D (instanceRef f1_rd_addr_2)) + (portRef Q (instanceRef f1_Result_2_1_FRB_renamed_352)) + (portRef I0 (instanceRef f1_Mcount_rd_addr_cy_2__rt_renamed_205)) + (portRef I (instanceRef f1_Msub_dont_write_past_me_lut_2__INV_0)) + ) + ) + (net (rename f1_Result_1_1_FRB "f1/Result<1>1_FRB") + (joined + (portRef D (instanceRef f1_rd_addr_1)) + (portRef Q (instanceRef f1_Result_1_1_FRB_renamed_351)) + (portRef I0 (instanceRef f1_Mcount_rd_addr_cy_1__rt_renamed_206)) + (portRef I0 (instanceRef f1_Msub_dont_write_past_me_cy_1__rt_renamed_218)) + ) + ) + (net (rename f1_Result_0_1_FRB "f1/Result<0>1_FRB") + (joined + (portRef D (instanceRef f1_rd_addr_0)) + (portRef Q (instanceRef f1_Result_0_1_FRB_renamed_350)) + (portRef I0 (instanceRef f1_Msub_dont_write_past_me_cy_0__rt_renamed_219)) + (portRef I (instanceRef f1_Mcount_rd_addr_lut_0__INV_0)) + ) + ) + (net (rename f1__n0161_inv "f1/_n0161_inv") + (joined + (portRef CE (instanceRef f1_rd_addr_1)) + (portRef CE (instanceRef f1_rd_addr_2)) + (portRef CE (instanceRef f1_rd_addr_3)) + (portRef CE (instanceRef f1_rd_addr_4)) + (portRef CE (instanceRef f1_rd_addr_5)) + (portRef CE (instanceRef f1_rd_addr_6)) + (portRef CE (instanceRef f1_rd_addr_7)) + (portRef CE (instanceRef f1_rd_addr_8)) + (portRef CE (instanceRef f1_rd_addr_9)) + (portRef CE (instanceRef f1_rd_addr_10)) + (portRef CE (instanceRef f1_rd_addr_11)) + (portRef CE (instanceRef f1_rd_addr_12)) + (portRef CE (instanceRef f1_rd_addr_0)) + (portRef CE (instanceRef f1_Result_0_1_FRB_renamed_350)) + (portRef CE (instanceRef f1_Result_1_1_FRB_renamed_351)) + (portRef CE (instanceRef f1_Result_2_1_FRB_renamed_352)) + (portRef CE (instanceRef f1_Result_3_1_FRB_renamed_353)) + (portRef CE (instanceRef f1_Result_4_1_FRB_renamed_354)) + (portRef CE (instanceRef f1_Result_5_1_FRB_renamed_355)) + (portRef CE (instanceRef f1_Result_6_1_FRB_renamed_356)) + (portRef CE (instanceRef f1_Result_7_1_FRB_renamed_357)) + (portRef CE (instanceRef f1_Result_8_1_FRB_renamed_358)) + (portRef CE (instanceRef f1_Result_9_1_FRB_renamed_359)) + (portRef CE (instanceRef f1_Result_10_1_FRB_renamed_360)) + (portRef CE (instanceRef f1_Result_11_1_FRB_renamed_361)) + (portRef CE (instanceRef f1_Result_12_1_FRB_renamed_362)) + (portRef CE (instanceRef f1_dont_write_past_me_0__FRB_renamed_363)) + (portRef CE (instanceRef f1_dont_write_past_me_1__FRB_renamed_364)) + (portRef CE (instanceRef f1_dont_write_past_me_2__FRB_renamed_365)) + (portRef CE (instanceRef f1_dont_write_past_me_3__FRB_renamed_366)) + (portRef CE (instanceRef f1_dont_write_past_me_4__FRB_renamed_367)) + (portRef CE (instanceRef f1_dont_write_past_me_5__FRB_renamed_368)) + (portRef CE (instanceRef f1_dont_write_past_me_6__FRB_renamed_369)) + (portRef CE (instanceRef f1_dont_write_past_me_7__FRB_renamed_370)) + (portRef CE (instanceRef f1_dont_write_past_me_8__FRB_renamed_371)) + (portRef CE (instanceRef f1_dont_write_past_me_9__FRB_renamed_372)) + (portRef CE (instanceRef f1_dont_write_past_me_10__FRB_renamed_373)) + (portRef CE (instanceRef f1_dont_write_past_me_11__FRB_renamed_374)) + (portRef CE (instanceRef f1_dont_write_past_me_12__FRB_renamed_375)) + (portRef O (instanceRef f1__n0161_inv1_cy1)) + ) + ) + (net (rename f1_becoming_full "f1/becoming_full") + (joined + (portRef O (instanceRef f1_Mcompar_becoming_full_cy_4__)) + (portRef I1 (instanceRef f1_full_reg_glue_set_renamed_537)) + ) + ) + (net (rename f1_rd_addr_12__wr_addr_12__equal_11_o "f1/rd_addr[12]_wr_addr[12]_equal_11_o") + (joined + (portRef O (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4__)) + (portRef CI (instanceRef f1__n0161_inv1_cy)) + (portRef I2 (instanceRef f1_read_state_FSM_FFd1_In111)) + (portRef I1 (instanceRef f1_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename f1_dont_write_past_me_0__FRB "f1/dont_write_past_me<0>_FRB") + (joined + (portRef I1 (instanceRef f1_Mcompar_becoming_full_lut_0__)) + (portRef Q (instanceRef f1_dont_write_past_me_0__FRB_renamed_363)) + ) + ) + (net (rename f1_dont_write_past_me_1__FRB "f1/dont_write_past_me<1>_FRB") + (joined + (portRef I3 (instanceRef f1_Mcompar_becoming_full_lut_0__)) + (portRef Q (instanceRef f1_dont_write_past_me_1__FRB_renamed_364)) + ) + ) + (net (rename f1_dont_write_past_me_2__FRB "f1/dont_write_past_me<2>_FRB") + (joined + (portRef I5 (instanceRef f1_Mcompar_becoming_full_lut_0__)) + (portRef Q (instanceRef f1_dont_write_past_me_2__FRB_renamed_365)) + ) + ) + (net (rename f1_dont_write_past_me_3__FRB "f1/dont_write_past_me<3>_FRB") + (joined + (portRef I1 (instanceRef f1_Mcompar_becoming_full_lut_1__)) + (portRef Q (instanceRef f1_dont_write_past_me_3__FRB_renamed_366)) + ) + ) + (net (rename f1_dont_write_past_me_4__FRB "f1/dont_write_past_me<4>_FRB") + (joined + (portRef I3 (instanceRef f1_Mcompar_becoming_full_lut_1__)) + (portRef Q (instanceRef f1_dont_write_past_me_4__FRB_renamed_367)) + ) + ) + (net (rename f1_dont_write_past_me_5__FRB "f1/dont_write_past_me<5>_FRB") + (joined + (portRef I5 (instanceRef f1_Mcompar_becoming_full_lut_1__)) + (portRef Q (instanceRef f1_dont_write_past_me_5__FRB_renamed_368)) + ) + ) + (net (rename f1_dont_write_past_me_6__FRB "f1/dont_write_past_me<6>_FRB") + (joined + (portRef I1 (instanceRef f1_Mcompar_becoming_full_lut_2__)) + (portRef Q (instanceRef f1_dont_write_past_me_6__FRB_renamed_369)) + ) + ) + (net (rename f1_dont_write_past_me_7__FRB "f1/dont_write_past_me<7>_FRB") + (joined + (portRef I3 (instanceRef f1_Mcompar_becoming_full_lut_2__)) + (portRef Q (instanceRef f1_dont_write_past_me_7__FRB_renamed_370)) + ) + ) + (net (rename f1_dont_write_past_me_8__FRB "f1/dont_write_past_me<8>_FRB") + (joined + (portRef I5 (instanceRef f1_Mcompar_becoming_full_lut_2__)) + (portRef Q (instanceRef f1_dont_write_past_me_8__FRB_renamed_371)) + ) + ) + (net (rename f1_dont_write_past_me_9__FRB "f1/dont_write_past_me<9>_FRB") + (joined + (portRef I1 (instanceRef f1_Mcompar_becoming_full_lut_3__)) + (portRef Q (instanceRef f1_dont_write_past_me_9__FRB_renamed_372)) + ) + ) + (net (rename f1_dont_write_past_me_10__FRB "f1/dont_write_past_me<10>_FRB") + (joined + (portRef I3 (instanceRef f1_Mcompar_becoming_full_lut_3__)) + (portRef Q (instanceRef f1_dont_write_past_me_10__FRB_renamed_373)) + ) + ) + (net (rename f1_dont_write_past_me_11__FRB "f1/dont_write_past_me<11>_FRB") + (joined + (portRef I5 (instanceRef f1_Mcompar_becoming_full_lut_3__)) + (portRef Q (instanceRef f1_dont_write_past_me_11__FRB_renamed_374)) + ) + ) + (net (rename f1_dont_write_past_me_12__FRB "f1/dont_write_past_me<12>_FRB") + (joined + (portRef I1 (instanceRef f1_Mcompar_becoming_full_lut_4__)) + (portRef Q (instanceRef f1_dont_write_past_me_12__FRB_renamed_375)) + ) + ) + (net (rename f1_GND_14_o_read_OR_37_o "f1/GND_14_o_read_OR_37_o") + (joined + (portRef O (instanceRef f1_GND_14_o_read_OR_37_o1)) + (portRef ENBRDEN (instanceRef f1_ram_Mram_ram33)) + (portRef ENB (instanceRef f1_ram_Mram_ram31)) + (portRef ENB (instanceRef f1_ram_Mram_ram30)) + (portRef ENB (instanceRef f1_ram_Mram_ram32)) + (portRef ENB (instanceRef f1_ram_Mram_ram28)) + (portRef ENB (instanceRef f1_ram_Mram_ram27)) + (portRef ENB (instanceRef f1_ram_Mram_ram29)) + (portRef ENB (instanceRef f1_ram_Mram_ram25)) + (portRef ENB (instanceRef f1_ram_Mram_ram24)) + (portRef ENB (instanceRef f1_ram_Mram_ram26)) + (portRef ENB (instanceRef f1_ram_Mram_ram22)) + (portRef ENB (instanceRef f1_ram_Mram_ram21)) + (portRef ENB (instanceRef f1_ram_Mram_ram23)) + (portRef ENB (instanceRef f1_ram_Mram_ram19)) + (portRef ENB (instanceRef f1_ram_Mram_ram18)) + (portRef ENB (instanceRef f1_ram_Mram_ram20)) + (portRef ENB (instanceRef f1_ram_Mram_ram16)) + (portRef ENB (instanceRef f1_ram_Mram_ram15)) + (portRef ENB (instanceRef f1_ram_Mram_ram17)) + (portRef ENB (instanceRef f1_ram_Mram_ram14)) + (portRef ENB (instanceRef f1_ram_Mram_ram13)) + (portRef ENB (instanceRef f1_ram_Mram_ram12)) + (portRef ENB (instanceRef f1_ram_Mram_ram11)) + (portRef ENB (instanceRef f1_ram_Mram_ram9)) + (portRef ENB (instanceRef f1_ram_Mram_ram8)) + (portRef ENB (instanceRef f1_ram_Mram_ram10)) + (portRef ENB (instanceRef f1_ram_Mram_ram6)) + (portRef ENB (instanceRef f1_ram_Mram_ram5)) + (portRef ENB (instanceRef f1_ram_Mram_ram7)) + (portRef ENB (instanceRef f1_ram_Mram_ram3)) + (portRef ENB (instanceRef f1_ram_Mram_ram2)) + (portRef ENB (instanceRef f1_ram_Mram_ram4)) + (portRef ENB (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_write "f1/write") + (joined + (portRef CE (instanceRef f1_wr_addr_1)) + (portRef CE (instanceRef f1_wr_addr_2)) + (portRef CE (instanceRef f1_wr_addr_3)) + (portRef CE (instanceRef f1_wr_addr_4)) + (portRef CE (instanceRef f1_wr_addr_5)) + (portRef CE (instanceRef f1_wr_addr_6)) + (portRef CE (instanceRef f1_wr_addr_7)) + (portRef CE (instanceRef f1_wr_addr_8)) + (portRef CE (instanceRef f1_wr_addr_9)) + (portRef CE (instanceRef f1_wr_addr_10)) + (portRef CE (instanceRef f1_wr_addr_11)) + (portRef CE (instanceRef f1_wr_addr_12)) + (portRef CE (instanceRef f1_wr_addr_0)) + (portRef O (instanceRef f1_write11)) + (portRef CE (instanceRef f1_Result_0_2_FRB_renamed_337)) + (portRef CE (instanceRef f1_Result_1_2_FRB_renamed_338)) + (portRef CE (instanceRef f1_Result_2_2_FRB_renamed_339)) + (portRef CE (instanceRef f1_Result_3_2_FRB_renamed_340)) + (portRef CE (instanceRef f1_Result_4_2_FRB_renamed_341)) + (portRef CE (instanceRef f1_Result_5_2_FRB_renamed_342)) + (portRef CE (instanceRef f1_Result_6_2_FRB_renamed_343)) + (portRef CE (instanceRef f1_Result_7_2_FRB_renamed_344)) + (portRef CE (instanceRef f1_Result_8_2_FRB_renamed_345)) + (portRef CE (instanceRef f1_Result_9_2_FRB_renamed_346)) + (portRef CE (instanceRef f1_Result_10_2_FRB_renamed_347)) + (portRef CE (instanceRef f1_Result_11_2_FRB_renamed_348)) + (portRef CE (instanceRef f1_Result_12_2_FRB_renamed_349)) + (portRef (member WEAWEL 1) (instanceRef f1_ram_Mram_ram33)) + (portRef (member WEAWEL 0) (instanceRef f1_ram_Mram_ram33)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram31)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram31)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram31)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram31)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram30)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram30)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram30)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram30)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram32)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram32)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram32)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram32)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram28)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram28)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram28)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram28)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram27)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram27)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram27)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram27)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram29)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram29)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram29)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram29)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram25)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram25)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram25)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram25)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram24)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram24)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram24)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram24)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram26)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram26)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram26)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram26)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram22)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram22)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram22)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram22)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram21)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram21)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram21)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram21)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram23)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram23)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram23)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram23)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram19)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram19)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram19)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram19)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram18)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram18)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram18)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram18)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram20)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram20)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram20)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram20)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram16)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram16)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram16)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram16)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram15)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram15)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram15)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram15)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram17)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram17)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram17)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram17)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram14)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram14)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram14)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram14)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram13)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram13)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram13)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram13)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram12)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram12)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram12)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram12)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram11)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram11)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram11)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram11)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram9)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram9)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram9)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram9)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram8)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram8)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram8)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram8)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram10)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram10)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram10)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram10)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram6)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram6)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram6)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram6)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram5)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram5)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram5)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram5)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram7)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram7)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram7)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram7)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram3)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram3)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram3)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram3)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram2)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram2)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram2)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram2)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram4)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram4)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram4)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram4)) + (portRef (member WEA 3) (instanceRef f1_ram_Mram_ram1)) + (portRef (member WEA 2) (instanceRef f1_ram_Mram_ram1)) + (portRef (member WEA 1) (instanceRef f1_ram_Mram_ram1)) + (portRef (member WEA 0) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_wr_addr_0_ "f1/wr_addr<0>") + (joined + (portRef Q (instanceRef f1_wr_addr_0)) + (portRef I1 (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__)) + (portRef I0 (instanceRef f1_Mcompar_becoming_full_lut_0__)) + (portRef (member ADDRAWRADDR 12) (instanceRef f1_ram_Mram_ram33)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram31)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram30)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram32)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram28)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram27)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram29)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram25)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram24)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram26)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram22)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram21)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram23)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram19)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram18)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram20)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram16)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram15)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram17)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram14)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram13)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram12)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram11)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram9)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram8)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram10)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram6)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram5)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram7)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram3)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram2)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram4)) + (portRef (member ADDRA 12) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_wr_addr_1_ "f1/wr_addr<1>") + (joined + (portRef Q (instanceRef f1_wr_addr_1)) + (portRef I3 (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__)) + (portRef I2 (instanceRef f1_Mcompar_becoming_full_lut_0__)) + (portRef (member ADDRAWRADDR 11) (instanceRef f1_ram_Mram_ram33)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram31)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram30)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram32)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram28)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram27)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram29)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram25)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram24)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram26)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram22)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram21)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram23)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram19)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram18)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram20)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram16)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram15)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram17)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram14)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram13)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram12)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram11)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram9)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram8)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram10)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram6)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram5)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram7)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram3)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram2)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram4)) + (portRef (member ADDRA 11) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_wr_addr_2_ "f1/wr_addr<2>") + (joined + (portRef Q (instanceRef f1_wr_addr_2)) + (portRef I5 (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__)) + (portRef I4 (instanceRef f1_Mcompar_becoming_full_lut_0__)) + (portRef (member ADDRAWRADDR 10) (instanceRef f1_ram_Mram_ram33)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram31)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram30)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram32)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram28)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram27)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram29)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram25)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram24)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram26)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram22)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram21)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram23)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram19)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram18)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram20)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram16)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram15)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram17)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram14)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram13)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram12)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram11)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram9)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram8)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram10)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram6)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram5)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram7)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram3)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram2)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram4)) + (portRef (member ADDRA 10) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_wr_addr_3_ "f1/wr_addr<3>") + (joined + (portRef Q (instanceRef f1_wr_addr_3)) + (portRef I1 (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__)) + (portRef I0 (instanceRef f1_Mcompar_becoming_full_lut_1__)) + (portRef (member ADDRAWRADDR 9) (instanceRef f1_ram_Mram_ram33)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram31)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram30)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram32)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram28)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram27)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram29)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram25)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram24)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram26)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram22)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram21)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram23)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram19)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram18)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram20)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram16)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram15)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram17)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram14)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram13)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram12)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram11)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram9)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram8)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram10)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram6)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram5)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram7)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram3)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram2)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram4)) + (portRef (member ADDRA 9) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_wr_addr_4_ "f1/wr_addr<4>") + (joined + (portRef Q (instanceRef f1_wr_addr_4)) + (portRef I3 (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__)) + (portRef I2 (instanceRef f1_Mcompar_becoming_full_lut_1__)) + (portRef (member ADDRAWRADDR 8) (instanceRef f1_ram_Mram_ram33)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram31)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram30)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram32)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram28)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram27)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram29)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram25)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram24)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram26)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram22)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram21)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram23)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram19)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram18)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram20)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram16)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram15)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram17)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram14)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram13)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram12)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram11)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram9)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram8)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram10)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram6)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram5)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram7)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram3)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram2)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram4)) + (portRef (member ADDRA 8) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_wr_addr_5_ "f1/wr_addr<5>") + (joined + (portRef Q (instanceRef f1_wr_addr_5)) + (portRef I5 (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__)) + (portRef I4 (instanceRef f1_Mcompar_becoming_full_lut_1__)) + (portRef (member ADDRAWRADDR 7) (instanceRef f1_ram_Mram_ram33)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram31)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram30)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram32)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram28)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram27)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram29)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram25)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram24)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram26)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram22)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram21)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram23)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram19)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram18)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram20)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram16)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram15)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram17)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram14)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram13)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram12)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram11)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram9)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram8)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram10)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram6)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram5)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram7)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram3)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram2)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram4)) + (portRef (member ADDRA 7) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_wr_addr_6_ "f1/wr_addr<6>") + (joined + (portRef Q (instanceRef f1_wr_addr_6)) + (portRef I1 (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__)) + (portRef I0 (instanceRef f1_Mcompar_becoming_full_lut_2__)) + (portRef (member ADDRAWRADDR 6) (instanceRef f1_ram_Mram_ram33)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram31)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram30)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram32)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram28)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram27)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram29)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram25)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram24)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram26)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram22)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram21)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram23)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram19)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram18)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram20)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram16)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram15)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram17)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram14)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram13)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram12)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram11)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram9)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram8)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram10)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram6)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram5)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram7)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram3)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram2)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram4)) + (portRef (member ADDRA 6) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_wr_addr_7_ "f1/wr_addr<7>") + (joined + (portRef Q (instanceRef f1_wr_addr_7)) + (portRef I3 (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__)) + (portRef I2 (instanceRef f1_Mcompar_becoming_full_lut_2__)) + (portRef (member ADDRAWRADDR 5) (instanceRef f1_ram_Mram_ram33)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram31)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram30)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram32)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram28)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram27)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram29)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram25)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram24)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram26)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram22)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram21)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram23)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram19)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram18)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram20)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram16)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram15)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram17)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram14)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram13)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram12)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram11)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram9)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram8)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram10)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram6)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram5)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram7)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram3)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram2)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram4)) + (portRef (member ADDRA 5) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_wr_addr_8_ "f1/wr_addr<8>") + (joined + (portRef Q (instanceRef f1_wr_addr_8)) + (portRef I5 (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__)) + (portRef I4 (instanceRef f1_Mcompar_becoming_full_lut_2__)) + (portRef (member ADDRAWRADDR 4) (instanceRef f1_ram_Mram_ram33)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram31)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram30)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram32)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram28)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram27)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram29)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram25)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram24)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram26)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram22)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram21)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram23)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram19)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram18)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram20)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram16)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram15)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram17)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram14)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram13)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram12)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram11)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram9)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram8)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram10)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram6)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram5)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram7)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram3)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram2)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram4)) + (portRef (member ADDRA 4) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_wr_addr_9_ "f1/wr_addr<9>") + (joined + (portRef Q (instanceRef f1_wr_addr_9)) + (portRef I1 (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__)) + (portRef I0 (instanceRef f1_Mcompar_becoming_full_lut_3__)) + (portRef (member ADDRAWRADDR 3) (instanceRef f1_ram_Mram_ram33)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram31)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram30)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram32)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram28)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram27)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram29)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram25)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram24)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram26)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram22)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram21)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram23)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram19)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram18)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram20)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram16)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram15)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram17)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram14)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram13)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram12)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram11)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram9)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram8)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram10)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram6)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram5)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram7)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram3)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram2)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram4)) + (portRef (member ADDRA 3) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_wr_addr_10_ "f1/wr_addr<10>") + (joined + (portRef Q (instanceRef f1_wr_addr_10)) + (portRef I3 (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__)) + (portRef I2 (instanceRef f1_Mcompar_becoming_full_lut_3__)) + (portRef (member ADDRAWRADDR 2) (instanceRef f1_ram_Mram_ram33)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram31)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram30)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram32)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram28)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram27)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram29)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram25)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram24)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram26)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram22)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram21)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram23)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram19)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram18)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram20)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram16)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram15)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram17)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram14)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram13)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram12)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram11)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram9)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram8)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram10)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram6)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram5)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram7)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram3)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram2)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram4)) + (portRef (member ADDRA 2) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_wr_addr_11_ "f1/wr_addr<11>") + (joined + (portRef Q (instanceRef f1_wr_addr_11)) + (portRef I5 (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__)) + (portRef I4 (instanceRef f1_Mcompar_becoming_full_lut_3__)) + (portRef (member ADDRAWRADDR 1) (instanceRef f1_ram_Mram_ram33)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram31)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram30)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram32)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram28)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram27)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram29)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram25)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram24)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram26)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram22)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram21)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram23)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram19)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram18)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram20)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram16)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram15)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram17)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram14)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram13)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram12)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram11)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram9)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram8)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram10)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram6)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram5)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram7)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram3)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram2)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram4)) + (portRef (member ADDRA 1) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_wr_addr_12_ "f1/wr_addr<12>") + (joined + (portRef Q (instanceRef f1_wr_addr_12)) + (portRef I1 (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4__)) + (portRef I0 (instanceRef f1_Mcompar_becoming_full_lut_4__)) + (portRef (member ADDRAWRADDR 0) (instanceRef f1_ram_Mram_ram33)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram31)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram30)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram32)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram28)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram27)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram29)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram25)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram24)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram26)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram22)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram21)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram23)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram19)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram18)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram20)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram16)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram15)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram17)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram14)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram13)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram12)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram11)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram9)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram8)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram10)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram6)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram5)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram7)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram3)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram2)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram4)) + (portRef (member ADDRA 0) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_rd_addr_0_ "f1/rd_addr<0>") + (joined + (portRef Q (instanceRef f1_rd_addr_0)) + (portRef I0 (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__)) + (portRef (member ADDRBRDADDR 12) (instanceRef f1_ram_Mram_ram33)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram31)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram30)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram32)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram28)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram27)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram29)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram25)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram24)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram26)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram22)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram21)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram23)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram19)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram18)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram20)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram16)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram15)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram17)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram14)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram13)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram12)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram11)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram9)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram8)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram10)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram6)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram5)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram7)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram3)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram2)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram4)) + (portRef (member ADDRB 12) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_rd_addr_1_ "f1/rd_addr<1>") + (joined + (portRef Q (instanceRef f1_rd_addr_1)) + (portRef I2 (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__)) + (portRef (member ADDRBRDADDR 11) (instanceRef f1_ram_Mram_ram33)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram31)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram30)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram32)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram28)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram27)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram29)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram25)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram24)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram26)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram22)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram21)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram23)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram19)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram18)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram20)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram16)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram15)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram17)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram14)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram13)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram12)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram11)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram9)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram8)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram10)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram6)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram5)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram7)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram3)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram2)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram4)) + (portRef (member ADDRB 11) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_rd_addr_2_ "f1/rd_addr<2>") + (joined + (portRef Q (instanceRef f1_rd_addr_2)) + (portRef I4 (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__)) + (portRef (member ADDRBRDADDR 10) (instanceRef f1_ram_Mram_ram33)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram31)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram30)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram32)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram28)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram27)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram29)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram25)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram24)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram26)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram22)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram21)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram23)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram19)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram18)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram20)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram16)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram15)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram17)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram14)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram13)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram12)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram11)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram9)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram8)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram10)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram6)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram5)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram7)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram3)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram2)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram4)) + (portRef (member ADDRB 10) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_rd_addr_3_ "f1/rd_addr<3>") + (joined + (portRef Q (instanceRef f1_rd_addr_3)) + (portRef I0 (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__)) + (portRef (member ADDRBRDADDR 9) (instanceRef f1_ram_Mram_ram33)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram31)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram30)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram32)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram28)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram27)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram29)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram25)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram24)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram26)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram22)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram21)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram23)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram19)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram18)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram20)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram16)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram15)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram17)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram14)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram13)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram12)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram11)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram9)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram8)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram10)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram6)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram5)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram7)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram3)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram2)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram4)) + (portRef (member ADDRB 9) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_rd_addr_4_ "f1/rd_addr<4>") + (joined + (portRef Q (instanceRef f1_rd_addr_4)) + (portRef I2 (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__)) + (portRef (member ADDRBRDADDR 8) (instanceRef f1_ram_Mram_ram33)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram31)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram30)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram32)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram28)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram27)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram29)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram25)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram24)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram26)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram22)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram21)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram23)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram19)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram18)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram20)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram16)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram15)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram17)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram14)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram13)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram12)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram11)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram9)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram8)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram10)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram6)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram5)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram7)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram3)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram2)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram4)) + (portRef (member ADDRB 8) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_rd_addr_5_ "f1/rd_addr<5>") + (joined + (portRef Q (instanceRef f1_rd_addr_5)) + (portRef I4 (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__)) + (portRef (member ADDRBRDADDR 7) (instanceRef f1_ram_Mram_ram33)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram31)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram30)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram32)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram28)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram27)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram29)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram25)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram24)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram26)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram22)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram21)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram23)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram19)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram18)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram20)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram16)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram15)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram17)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram14)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram13)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram12)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram11)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram9)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram8)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram10)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram6)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram5)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram7)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram3)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram2)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram4)) + (portRef (member ADDRB 7) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_rd_addr_6_ "f1/rd_addr<6>") + (joined + (portRef Q (instanceRef f1_rd_addr_6)) + (portRef I0 (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__)) + (portRef (member ADDRBRDADDR 6) (instanceRef f1_ram_Mram_ram33)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram31)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram30)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram32)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram28)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram27)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram29)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram25)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram24)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram26)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram22)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram21)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram23)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram19)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram18)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram20)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram16)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram15)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram17)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram14)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram13)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram12)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram11)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram9)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram8)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram10)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram6)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram5)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram7)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram3)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram2)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram4)) + (portRef (member ADDRB 6) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_rd_addr_7_ "f1/rd_addr<7>") + (joined + (portRef Q (instanceRef f1_rd_addr_7)) + (portRef I2 (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__)) + (portRef (member ADDRBRDADDR 5) (instanceRef f1_ram_Mram_ram33)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram31)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram30)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram32)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram28)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram27)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram29)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram25)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram24)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram26)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram22)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram21)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram23)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram19)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram18)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram20)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram16)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram15)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram17)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram14)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram13)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram12)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram11)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram9)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram8)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram10)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram6)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram5)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram7)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram3)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram2)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram4)) + (portRef (member ADDRB 5) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_rd_addr_8_ "f1/rd_addr<8>") + (joined + (portRef Q (instanceRef f1_rd_addr_8)) + (portRef I4 (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__)) + (portRef (member ADDRBRDADDR 4) (instanceRef f1_ram_Mram_ram33)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram31)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram30)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram32)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram28)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram27)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram29)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram25)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram24)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram26)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram22)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram21)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram23)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram19)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram18)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram20)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram16)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram15)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram17)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram14)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram13)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram12)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram11)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram9)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram8)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram10)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram6)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram5)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram7)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram3)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram2)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram4)) + (portRef (member ADDRB 4) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_rd_addr_9_ "f1/rd_addr<9>") + (joined + (portRef Q (instanceRef f1_rd_addr_9)) + (portRef I0 (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__)) + (portRef (member ADDRBRDADDR 3) (instanceRef f1_ram_Mram_ram33)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram31)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram30)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram32)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram28)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram27)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram29)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram25)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram24)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram26)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram22)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram21)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram23)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram19)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram18)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram20)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram16)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram15)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram17)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram14)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram13)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram12)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram11)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram9)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram8)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram10)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram6)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram5)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram7)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram3)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram2)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram4)) + (portRef (member ADDRB 3) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_rd_addr_10_ "f1/rd_addr<10>") + (joined + (portRef Q (instanceRef f1_rd_addr_10)) + (portRef I2 (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__)) + (portRef (member ADDRBRDADDR 2) (instanceRef f1_ram_Mram_ram33)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram31)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram30)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram32)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram28)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram27)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram29)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram25)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram24)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram26)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram22)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram21)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram23)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram19)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram18)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram20)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram16)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram15)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram17)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram14)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram13)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram12)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram11)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram9)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram8)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram10)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram6)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram5)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram7)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram3)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram2)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram4)) + (portRef (member ADDRB 2) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_rd_addr_11_ "f1/rd_addr<11>") + (joined + (portRef Q (instanceRef f1_rd_addr_11)) + (portRef I4 (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__)) + (portRef (member ADDRBRDADDR 1) (instanceRef f1_ram_Mram_ram33)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram31)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram30)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram32)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram28)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram27)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram29)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram25)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram24)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram26)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram22)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram21)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram23)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram19)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram18)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram20)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram16)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram15)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram17)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram14)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram13)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram12)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram11)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram9)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram8)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram10)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram6)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram5)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram7)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram3)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram2)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram4)) + (portRef (member ADDRB 1) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_rd_addr_12_ "f1/rd_addr<12>") + (joined + (portRef Q (instanceRef f1_rd_addr_12)) + (portRef I0 (instanceRef f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4__)) + (portRef (member ADDRBRDADDR 0) (instanceRef f1_ram_Mram_ram33)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram31)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram30)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram32)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram28)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram27)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram29)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram25)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram24)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram26)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram22)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram21)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram23)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram19)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram18)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram20)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram16)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram15)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram17)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram14)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram13)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram12)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram11)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram9)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram8)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram10)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram6)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram5)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram7)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram3)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram2)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram4)) + (portRef (member ADDRB 0) (instanceRef f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_full_reg "f1/full_reg") + (joined + (portRef I1 (instanceRef f1_write11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0102_SW0)) + (portRef Q (instanceRef f1_full_reg_renamed_116)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst_renamed_417)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full_glue_set_renamed_419)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111_SW0)) + (portRef I4 (instanceRef f1_read_state_FSM_FFd2_In1)) + (portRef I4 (instanceRef f1_full_reg_glue_set_renamed_537)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv_renamed_39)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111)) + ) + ) + (net (rename f1_read_state_FSM_FFd1 "f1/read_state_FSM_FFd1") + (joined + (portRef Q (instanceRef f1_read_state_FSM_FFd1_renamed_29)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_space_xor_3_111)) + (portRef I1 (instanceRef f1__n0161_inv1_lut_renamed_507)) + (portRef I1 (instanceRef f1__n0161_inv1_lut1_renamed_508)) + (portRef I0 (instanceRef f1_GND_14_o_read_OR_37_o1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_write1)) + (portRef I0 (instanceRef f1_read_state_FSM_FFd1_In111)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv_renamed_525)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set_renamed_530)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst_renamed_535)) + (portRef I0 (instanceRef f1_read_state_FSM_FFd2_In1)) + (portRef I3 (instanceRef f1_full_reg_glue_set_renamed_537)) + ) + ) + (net (rename f0_Mcompar_becoming_full_lut_4_ "f0/Mcompar_becoming_full_lut<4>") + (joined + (portRef O (instanceRef f0_Mcompar_becoming_full_lut_4__)) + (portRef S (instanceRef f0_Mcompar_becoming_full_cy_4__)) + ) + ) + (net (rename f0_Mcompar_becoming_full_cy_3_ "f0/Mcompar_becoming_full_cy<3>") + (joined + (portRef O (instanceRef f0_Mcompar_becoming_full_cy_3__)) + (portRef CI (instanceRef f0_Mcompar_becoming_full_cy_4__)) + ) + ) + (net (rename f0_Mcompar_becoming_full_lut_3_ "f0/Mcompar_becoming_full_lut<3>") + (joined + (portRef O (instanceRef f0_Mcompar_becoming_full_lut_3__)) + (portRef S (instanceRef f0_Mcompar_becoming_full_cy_3__)) + ) + ) + (net (rename f0_Mcompar_becoming_full_cy_2_ "f0/Mcompar_becoming_full_cy<2>") + (joined + (portRef O (instanceRef f0_Mcompar_becoming_full_cy_2__)) + (portRef CI (instanceRef f0_Mcompar_becoming_full_cy_3__)) + ) + ) + (net (rename f0_Mcompar_becoming_full_lut_2_ "f0/Mcompar_becoming_full_lut<2>") + (joined + (portRef O (instanceRef f0_Mcompar_becoming_full_lut_2__)) + (portRef S (instanceRef f0_Mcompar_becoming_full_cy_2__)) + ) + ) + (net (rename f0_Mcompar_becoming_full_cy_1_ "f0/Mcompar_becoming_full_cy<1>") + (joined + (portRef O (instanceRef f0_Mcompar_becoming_full_cy_1__)) + (portRef CI (instanceRef f0_Mcompar_becoming_full_cy_2__)) + ) + ) + (net (rename f0_Mcompar_becoming_full_lut_1_ "f0/Mcompar_becoming_full_lut<1>") + (joined + (portRef O (instanceRef f0_Mcompar_becoming_full_lut_1__)) + (portRef S (instanceRef f0_Mcompar_becoming_full_cy_1__)) + ) + ) + (net (rename f0_Mcompar_becoming_full_cy_0_ "f0/Mcompar_becoming_full_cy<0>") + (joined + (portRef O (instanceRef f0_Mcompar_becoming_full_cy_0__)) + (portRef CI (instanceRef f0_Mcompar_becoming_full_cy_1__)) + ) + ) + (net (rename f0_Mcompar_becoming_full_lut_0_ "f0/Mcompar_becoming_full_lut<0>") + (joined + (portRef O (instanceRef f0_Mcompar_becoming_full_lut_0__)) + (portRef S (instanceRef f0_Mcompar_becoming_full_cy_0__)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_10_ "f0/Mcount_rd_addr_cy<10>") + (joined + (portRef O (instanceRef f0_Mcount_rd_addr_cy_10__)) + (portRef CI (instanceRef f0_Mcount_rd_addr_cy_11__)) + (portRef CI (instanceRef f0_Mcount_rd_addr_xor_11__)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_9_ "f0/Mcount_rd_addr_cy<9>") + (joined + (portRef O (instanceRef f0_Mcount_rd_addr_cy_9__)) + (portRef CI (instanceRef f0_Mcount_rd_addr_cy_10__)) + (portRef CI (instanceRef f0_Mcount_rd_addr_xor_10__)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_8_ "f0/Mcount_rd_addr_cy<8>") + (joined + (portRef O (instanceRef f0_Mcount_rd_addr_cy_8__)) + (portRef CI (instanceRef f0_Mcount_rd_addr_cy_9__)) + (portRef CI (instanceRef f0_Mcount_rd_addr_xor_9__)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_7_ "f0/Mcount_rd_addr_cy<7>") + (joined + (portRef O (instanceRef f0_Mcount_rd_addr_cy_7__)) + (portRef CI (instanceRef f0_Mcount_rd_addr_cy_8__)) + (portRef CI (instanceRef f0_Mcount_rd_addr_xor_8__)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_6_ "f0/Mcount_rd_addr_cy<6>") + (joined + (portRef O (instanceRef f0_Mcount_rd_addr_cy_6__)) + (portRef CI (instanceRef f0_Mcount_rd_addr_cy_7__)) + (portRef CI (instanceRef f0_Mcount_rd_addr_xor_7__)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_5_ "f0/Mcount_rd_addr_cy<5>") + (joined + (portRef O (instanceRef f0_Mcount_rd_addr_cy_5__)) + (portRef CI (instanceRef f0_Mcount_rd_addr_cy_6__)) + (portRef CI (instanceRef f0_Mcount_rd_addr_xor_6__)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_4_ "f0/Mcount_rd_addr_cy<4>") + (joined + (portRef O (instanceRef f0_Mcount_rd_addr_cy_4__)) + (portRef CI (instanceRef f0_Mcount_rd_addr_cy_5__)) + (portRef CI (instanceRef f0_Mcount_rd_addr_xor_5__)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_3_ "f0/Mcount_rd_addr_cy<3>") + (joined + (portRef O (instanceRef f0_Mcount_rd_addr_cy_3__)) + (portRef CI (instanceRef f0_Mcount_rd_addr_cy_4__)) + (portRef CI (instanceRef f0_Mcount_rd_addr_xor_4__)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_2_ "f0/Mcount_rd_addr_cy<2>") + (joined + (portRef O (instanceRef f0_Mcount_rd_addr_cy_2__)) + (portRef CI (instanceRef f0_Mcount_rd_addr_cy_3__)) + (portRef CI (instanceRef f0_Mcount_rd_addr_xor_3__)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_1_ "f0/Mcount_rd_addr_cy<1>") + (joined + (portRef O (instanceRef f0_Mcount_rd_addr_cy_1__)) + (portRef CI (instanceRef f0_Mcount_rd_addr_cy_2__)) + (portRef CI (instanceRef f0_Mcount_rd_addr_xor_2__)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_0_ "f0/Mcount_rd_addr_cy<0>") + (joined + (portRef O (instanceRef f0_Mcount_rd_addr_cy_0__)) + (portRef CI (instanceRef f0_Mcount_rd_addr_cy_1__)) + (portRef CI (instanceRef f0_Mcount_rd_addr_xor_1__)) + ) + ) + (net (rename f0_Mcount_rd_addr_lut_0_ "f0/Mcount_rd_addr_lut<0>") + (joined + (portRef S (instanceRef f0_Mcount_rd_addr_cy_0__)) + (portRef LI (instanceRef f0_Mcount_rd_addr_xor_0__)) + (portRef O (instanceRef f0_Mcount_rd_addr_lut_0__INV_0)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_10_ "f0/Mcount_wr_addr_cy<10>") + (joined + (portRef O (instanceRef f0_Mcount_wr_addr_cy_10__)) + (portRef CI (instanceRef f0_Mcount_wr_addr_cy_11__)) + (portRef CI (instanceRef f0_Mcount_wr_addr_xor_11__)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_9_ "f0/Mcount_wr_addr_cy<9>") + (joined + (portRef O (instanceRef f0_Mcount_wr_addr_cy_9__)) + (portRef CI (instanceRef f0_Mcount_wr_addr_cy_10__)) + (portRef CI (instanceRef f0_Mcount_wr_addr_xor_10__)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_8_ "f0/Mcount_wr_addr_cy<8>") + (joined + (portRef O (instanceRef f0_Mcount_wr_addr_cy_8__)) + (portRef CI (instanceRef f0_Mcount_wr_addr_cy_9__)) + (portRef CI (instanceRef f0_Mcount_wr_addr_xor_9__)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_7_ "f0/Mcount_wr_addr_cy<7>") + (joined + (portRef O (instanceRef f0_Mcount_wr_addr_cy_7__)) + (portRef CI (instanceRef f0_Mcount_wr_addr_cy_8__)) + (portRef CI (instanceRef f0_Mcount_wr_addr_xor_8__)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_6_ "f0/Mcount_wr_addr_cy<6>") + (joined + (portRef O (instanceRef f0_Mcount_wr_addr_cy_6__)) + (portRef CI (instanceRef f0_Mcount_wr_addr_cy_7__)) + (portRef CI (instanceRef f0_Mcount_wr_addr_xor_7__)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_5_ "f0/Mcount_wr_addr_cy<5>") + (joined + (portRef O (instanceRef f0_Mcount_wr_addr_cy_5__)) + (portRef CI (instanceRef f0_Mcount_wr_addr_cy_6__)) + (portRef CI (instanceRef f0_Mcount_wr_addr_xor_6__)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_4_ "f0/Mcount_wr_addr_cy<4>") + (joined + (portRef O (instanceRef f0_Mcount_wr_addr_cy_4__)) + (portRef CI (instanceRef f0_Mcount_wr_addr_cy_5__)) + (portRef CI (instanceRef f0_Mcount_wr_addr_xor_5__)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_3_ "f0/Mcount_wr_addr_cy<3>") + (joined + (portRef O (instanceRef f0_Mcount_wr_addr_cy_3__)) + (portRef CI (instanceRef f0_Mcount_wr_addr_cy_4__)) + (portRef CI (instanceRef f0_Mcount_wr_addr_xor_4__)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_2_ "f0/Mcount_wr_addr_cy<2>") + (joined + (portRef O (instanceRef f0_Mcount_wr_addr_cy_2__)) + (portRef CI (instanceRef f0_Mcount_wr_addr_cy_3__)) + (portRef CI (instanceRef f0_Mcount_wr_addr_xor_3__)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_1_ "f0/Mcount_wr_addr_cy<1>") + (joined + (portRef O (instanceRef f0_Mcount_wr_addr_cy_1__)) + (portRef CI (instanceRef f0_Mcount_wr_addr_cy_2__)) + (portRef CI (instanceRef f0_Mcount_wr_addr_xor_2__)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_0_ "f0/Mcount_wr_addr_cy<0>") + (joined + (portRef O (instanceRef f0_Mcount_wr_addr_cy_0__)) + (portRef CI (instanceRef f0_Mcount_wr_addr_cy_1__)) + (portRef CI (instanceRef f0_Mcount_wr_addr_xor_1__)) + ) + ) + (net (rename f0_Mcount_wr_addr_lut_0_ "f0/Mcount_wr_addr_lut<0>") + (joined + (portRef S (instanceRef f0_Mcount_wr_addr_cy_0__)) + (portRef LI (instanceRef f0_Mcount_wr_addr_xor_0__)) + (portRef O (instanceRef f0_Mcount_wr_addr_lut_0__INV_0)) + ) + ) + (net (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4_ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<4>") + (joined + (portRef O (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4__)) + (portRef S (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4__)) + ) + ) + (net (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3_ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<3>") + (joined + (portRef O (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3__)) + (portRef CI (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4__)) + ) + ) + (net (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<3>") + (joined + (portRef O (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__)) + (portRef S (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3__)) + ) + ) + (net (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2_ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<2>") + (joined + (portRef O (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2__)) + (portRef CI (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3__)) + ) + ) + (net (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<2>") + (joined + (portRef O (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__)) + (portRef S (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2__)) + ) + ) + (net (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1_ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<1>") + (joined + (portRef O (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1__)) + (portRef CI (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2__)) + ) + ) + (net (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<1>") + (joined + (portRef O (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__)) + (portRef S (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1__)) + ) + ) + (net (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0_ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<0>") + (joined + (portRef O (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0__)) + (portRef CI (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1__)) + ) + ) + (net (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<0>") + (joined + (portRef O (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__)) + (portRef S (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0__)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_lut_12_ "f0/Msub_dont_write_past_me_lut<12>") + (joined + (portRef LI (instanceRef f0_Msub_dont_write_past_me_xor_12__)) + (portRef O (instanceRef f0_Msub_dont_write_past_me_lut_12__INV_0)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_lut_11_ "f0/Msub_dont_write_past_me_lut<11>") + (joined + (portRef S (instanceRef f0_Msub_dont_write_past_me_cy_11__)) + (portRef LI (instanceRef f0_Msub_dont_write_past_me_xor_11__)) + (portRef O (instanceRef f0_Msub_dont_write_past_me_lut_11__INV_0)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_cy_10_ "f0/Msub_dont_write_past_me_cy<10>") + (joined + (portRef O (instanceRef f0_Msub_dont_write_past_me_cy_10__)) + (portRef CI (instanceRef f0_Msub_dont_write_past_me_cy_11__)) + (portRef CI (instanceRef f0_Msub_dont_write_past_me_xor_11__)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_lut_10_ "f0/Msub_dont_write_past_me_lut<10>") + (joined + (portRef S (instanceRef f0_Msub_dont_write_past_me_cy_10__)) + (portRef LI (instanceRef f0_Msub_dont_write_past_me_xor_10__)) + (portRef O (instanceRef f0_Msub_dont_write_past_me_lut_10__INV_0)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_cy_9_ "f0/Msub_dont_write_past_me_cy<9>") + (joined + (portRef O (instanceRef f0_Msub_dont_write_past_me_cy_9__)) + (portRef CI (instanceRef f0_Msub_dont_write_past_me_cy_10__)) + (portRef CI (instanceRef f0_Msub_dont_write_past_me_xor_10__)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_lut_9_ "f0/Msub_dont_write_past_me_lut<9>") + (joined + (portRef S (instanceRef f0_Msub_dont_write_past_me_cy_9__)) + (portRef LI (instanceRef f0_Msub_dont_write_past_me_xor_9__)) + (portRef O (instanceRef f0_Msub_dont_write_past_me_lut_9__INV_0)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_cy_8_ "f0/Msub_dont_write_past_me_cy<8>") + (joined + (portRef O (instanceRef f0_Msub_dont_write_past_me_cy_8__)) + (portRef CI (instanceRef f0_Msub_dont_write_past_me_cy_9__)) + (portRef CI (instanceRef f0_Msub_dont_write_past_me_xor_9__)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_lut_8_ "f0/Msub_dont_write_past_me_lut<8>") + (joined + (portRef S (instanceRef f0_Msub_dont_write_past_me_cy_8__)) + (portRef LI (instanceRef f0_Msub_dont_write_past_me_xor_8__)) + (portRef O (instanceRef f0_Msub_dont_write_past_me_lut_8__INV_0)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_cy_7_ "f0/Msub_dont_write_past_me_cy<7>") + (joined + (portRef O (instanceRef f0_Msub_dont_write_past_me_cy_7__)) + (portRef CI (instanceRef f0_Msub_dont_write_past_me_cy_8__)) + (portRef CI (instanceRef f0_Msub_dont_write_past_me_xor_8__)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_lut_7_ "f0/Msub_dont_write_past_me_lut<7>") + (joined + (portRef S (instanceRef f0_Msub_dont_write_past_me_cy_7__)) + (portRef LI (instanceRef f0_Msub_dont_write_past_me_xor_7__)) + (portRef O (instanceRef f0_Msub_dont_write_past_me_lut_7__INV_0)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_cy_6_ "f0/Msub_dont_write_past_me_cy<6>") + (joined + (portRef O (instanceRef f0_Msub_dont_write_past_me_cy_6__)) + (portRef CI (instanceRef f0_Msub_dont_write_past_me_cy_7__)) + (portRef CI (instanceRef f0_Msub_dont_write_past_me_xor_7__)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_lut_6_ "f0/Msub_dont_write_past_me_lut<6>") + (joined + (portRef S (instanceRef f0_Msub_dont_write_past_me_cy_6__)) + (portRef LI (instanceRef f0_Msub_dont_write_past_me_xor_6__)) + (portRef O (instanceRef f0_Msub_dont_write_past_me_lut_6__INV_0)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_cy_5_ "f0/Msub_dont_write_past_me_cy<5>") + (joined + (portRef O (instanceRef f0_Msub_dont_write_past_me_cy_5__)) + (portRef CI (instanceRef f0_Msub_dont_write_past_me_cy_6__)) + (portRef CI (instanceRef f0_Msub_dont_write_past_me_xor_6__)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_lut_5_ "f0/Msub_dont_write_past_me_lut<5>") + (joined + (portRef S (instanceRef f0_Msub_dont_write_past_me_cy_5__)) + (portRef LI (instanceRef f0_Msub_dont_write_past_me_xor_5__)) + (portRef O (instanceRef f0_Msub_dont_write_past_me_lut_5__INV_0)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_cy_4_ "f0/Msub_dont_write_past_me_cy<4>") + (joined + (portRef O (instanceRef f0_Msub_dont_write_past_me_cy_4__)) + (portRef CI (instanceRef f0_Msub_dont_write_past_me_cy_5__)) + (portRef CI (instanceRef f0_Msub_dont_write_past_me_xor_5__)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_lut_4_ "f0/Msub_dont_write_past_me_lut<4>") + (joined + (portRef S (instanceRef f0_Msub_dont_write_past_me_cy_4__)) + (portRef LI (instanceRef f0_Msub_dont_write_past_me_xor_4__)) + (portRef O (instanceRef f0_Msub_dont_write_past_me_lut_4__INV_0)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_cy_3_ "f0/Msub_dont_write_past_me_cy<3>") + (joined + (portRef O (instanceRef f0_Msub_dont_write_past_me_cy_3__)) + (portRef CI (instanceRef f0_Msub_dont_write_past_me_cy_4__)) + (portRef CI (instanceRef f0_Msub_dont_write_past_me_xor_4__)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_lut_3_ "f0/Msub_dont_write_past_me_lut<3>") + (joined + (portRef S (instanceRef f0_Msub_dont_write_past_me_cy_3__)) + (portRef LI (instanceRef f0_Msub_dont_write_past_me_xor_3__)) + (portRef O (instanceRef f0_Msub_dont_write_past_me_lut_3__INV_0)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_cy_2_ "f0/Msub_dont_write_past_me_cy<2>") + (joined + (portRef O (instanceRef f0_Msub_dont_write_past_me_cy_2__)) + (portRef CI (instanceRef f0_Msub_dont_write_past_me_cy_3__)) + (portRef CI (instanceRef f0_Msub_dont_write_past_me_xor_3__)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_lut_2_ "f0/Msub_dont_write_past_me_lut<2>") + (joined + (portRef S (instanceRef f0_Msub_dont_write_past_me_cy_2__)) + (portRef LI (instanceRef f0_Msub_dont_write_past_me_xor_2__)) + (portRef O (instanceRef f0_Msub_dont_write_past_me_lut_2__INV_0)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_cy_1_ "f0/Msub_dont_write_past_me_cy<1>") + (joined + (portRef O (instanceRef f0_Msub_dont_write_past_me_cy_1__)) + (portRef CI (instanceRef f0_Msub_dont_write_past_me_cy_2__)) + (portRef CI (instanceRef f0_Msub_dont_write_past_me_xor_2__)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_cy_0_ "f0/Msub_dont_write_past_me_cy<0>") + (joined + (portRef O (instanceRef f0_Msub_dont_write_past_me_cy_0__)) + (portRef CI (instanceRef f0_Msub_dont_write_past_me_cy_1__)) + (portRef CI (instanceRef f0_Msub_dont_write_past_me_xor_1__)) + ) + ) + (net (rename f0_read_state_FSM_FFd2 "f0/read_state_FSM_FFd2") + (joined + (portRef Q (instanceRef f0_read_state_FSM_FFd2_renamed_32)) + (portRef I0 (instanceRef f0__n0161_inv1_lut1_renamed_510)) + (portRef I2 (instanceRef f0_GND_14_o_read_OR_37_o1)) + (portRef I3 (instanceRef f0_read_state_FSM_FFd1_In111)) + (portRef I5 (instanceRef f0_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename f0_read_state_FSM_FFd2_In "f0/read_state_FSM_FFd2-In") + (joined + (portRef D (instanceRef f0_read_state_FSM_FFd2_renamed_32)) + (portRef O (instanceRef f0_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename f0_read_state_FSM_FFd1_In1 "f0/read_state_FSM_FFd1-In1") + (joined + (portRef D (instanceRef f0_read_state_FSM_FFd1_renamed_31)) + (portRef O (instanceRef f0_read_state_FSM_FFd1_In111)) + ) + ) + (net (rename f0_Result_12_2_FRB "f0/Result<12>2_FRB") + (joined + (portRef D (instanceRef f0_wr_addr_12)) + (portRef Q (instanceRef f0_Result_12_2_FRB_renamed_388)) + (portRef I0 (instanceRef f0_Mcount_wr_addr_xor_12__rt_renamed_255)) + ) + ) + (net (rename f0_Result_11_2_FRB "f0/Result<11>2_FRB") + (joined + (portRef D (instanceRef f0_wr_addr_11)) + (portRef Q (instanceRef f0_Result_11_2_FRB_renamed_387)) + (portRef I0 (instanceRef f0_Mcount_wr_addr_cy_11__rt_renamed_231)) + ) + ) + (net (rename f0_Result_10_2_FRB "f0/Result<10>2_FRB") + (joined + (portRef D (instanceRef f0_wr_addr_10)) + (portRef Q (instanceRef f0_Result_10_2_FRB_renamed_386)) + (portRef I0 (instanceRef f0_Mcount_wr_addr_cy_10__rt_renamed_232)) + ) + ) + (net (rename f0_Result_9_2_FRB "f0/Result<9>2_FRB") + (joined + (portRef D (instanceRef f0_wr_addr_9)) + (portRef Q (instanceRef f0_Result_9_2_FRB_renamed_385)) + (portRef I0 (instanceRef f0_Mcount_wr_addr_cy_9__rt_renamed_233)) + ) + ) + (net (rename f0_Result_8_2_FRB "f0/Result<8>2_FRB") + (joined + (portRef D (instanceRef f0_wr_addr_8)) + (portRef Q (instanceRef f0_Result_8_2_FRB_renamed_384)) + (portRef I0 (instanceRef f0_Mcount_wr_addr_cy_8__rt_renamed_234)) + ) + ) + (net (rename f0_Result_7_2_FRB "f0/Result<7>2_FRB") + (joined + (portRef D (instanceRef f0_wr_addr_7)) + (portRef Q (instanceRef f0_Result_7_2_FRB_renamed_383)) + (portRef I0 (instanceRef f0_Mcount_wr_addr_cy_7__rt_renamed_235)) + ) + ) + (net (rename f0_Result_6_2_FRB "f0/Result<6>2_FRB") + (joined + (portRef D (instanceRef f0_wr_addr_6)) + (portRef Q (instanceRef f0_Result_6_2_FRB_renamed_382)) + (portRef I0 (instanceRef f0_Mcount_wr_addr_cy_6__rt_renamed_236)) + ) + ) + (net (rename f0_Result_5_2_FRB "f0/Result<5>2_FRB") + (joined + (portRef D (instanceRef f0_wr_addr_5)) + (portRef Q (instanceRef f0_Result_5_2_FRB_renamed_381)) + (portRef I0 (instanceRef f0_Mcount_wr_addr_cy_5__rt_renamed_237)) + ) + ) + (net (rename f0_Result_4_2_FRB "f0/Result<4>2_FRB") + (joined + (portRef D (instanceRef f0_wr_addr_4)) + (portRef Q (instanceRef f0_Result_4_2_FRB_renamed_380)) + (portRef I0 (instanceRef f0_Mcount_wr_addr_cy_4__rt_renamed_238)) + ) + ) + (net (rename f0_Result_3_2_FRB "f0/Result<3>2_FRB") + (joined + (portRef D (instanceRef f0_wr_addr_3)) + (portRef Q (instanceRef f0_Result_3_2_FRB_renamed_379)) + (portRef I0 (instanceRef f0_Mcount_wr_addr_cy_3__rt_renamed_239)) + ) + ) + (net (rename f0_Result_2_2_FRB "f0/Result<2>2_FRB") + (joined + (portRef D (instanceRef f0_wr_addr_2)) + (portRef Q (instanceRef f0_Result_2_2_FRB_renamed_378)) + (portRef I0 (instanceRef f0_Mcount_wr_addr_cy_2__rt_renamed_240)) + ) + ) + (net (rename f0_Result_1_2_FRB "f0/Result<1>2_FRB") + (joined + (portRef D (instanceRef f0_wr_addr_1)) + (portRef Q (instanceRef f0_Result_1_2_FRB_renamed_377)) + (portRef I0 (instanceRef f0_Mcount_wr_addr_cy_1__rt_renamed_241)) + ) + ) + (net (rename f0_Result_0_2_FRB "f0/Result<0>2_FRB") + (joined + (portRef D (instanceRef f0_wr_addr_0)) + (portRef Q (instanceRef f0_Result_0_2_FRB_renamed_376)) + (portRef I (instanceRef f0_Mcount_wr_addr_lut_0__INV_0)) + ) + ) + (net (rename f0_Result_12_1_FRB "f0/Result<12>1_FRB") + (joined + (portRef D (instanceRef f0_rd_addr_12)) + (portRef Q (instanceRef f0_Result_12_1_FRB_renamed_401)) + (portRef I0 (instanceRef f0_Mcount_rd_addr_xor_12__rt_renamed_254)) + (portRef I (instanceRef f0_Msub_dont_write_past_me_lut_12__INV_0)) + ) + ) + (net (rename f0_Result_11_1_FRB "f0/Result<11>1_FRB") + (joined + (portRef D (instanceRef f0_rd_addr_11)) + (portRef Q (instanceRef f0_Result_11_1_FRB_renamed_400)) + (portRef I0 (instanceRef f0_Mcount_rd_addr_cy_11__rt_renamed_220)) + (portRef I (instanceRef f0_Msub_dont_write_past_me_lut_11__INV_0)) + ) + ) + (net (rename f0_Result_10_1_FRB "f0/Result<10>1_FRB") + (joined + (portRef D (instanceRef f0_rd_addr_10)) + (portRef Q (instanceRef f0_Result_10_1_FRB_renamed_399)) + (portRef I0 (instanceRef f0_Mcount_rd_addr_cy_10__rt_renamed_221)) + (portRef I (instanceRef f0_Msub_dont_write_past_me_lut_10__INV_0)) + ) + ) + (net (rename f0_Result_9_1_FRB "f0/Result<9>1_FRB") + (joined + (portRef D (instanceRef f0_rd_addr_9)) + (portRef Q (instanceRef f0_Result_9_1_FRB_renamed_398)) + (portRef I0 (instanceRef f0_Mcount_rd_addr_cy_9__rt_renamed_222)) + (portRef I (instanceRef f0_Msub_dont_write_past_me_lut_9__INV_0)) + ) + ) + (net (rename f0_Result_8_1_FRB "f0/Result<8>1_FRB") + (joined + (portRef D (instanceRef f0_rd_addr_8)) + (portRef Q (instanceRef f0_Result_8_1_FRB_renamed_397)) + (portRef I0 (instanceRef f0_Mcount_rd_addr_cy_8__rt_renamed_223)) + (portRef I (instanceRef f0_Msub_dont_write_past_me_lut_8__INV_0)) + ) + ) + (net (rename f0_Result_7_1_FRB "f0/Result<7>1_FRB") + (joined + (portRef D (instanceRef f0_rd_addr_7)) + (portRef Q (instanceRef f0_Result_7_1_FRB_renamed_396)) + (portRef I0 (instanceRef f0_Mcount_rd_addr_cy_7__rt_renamed_224)) + (portRef I (instanceRef f0_Msub_dont_write_past_me_lut_7__INV_0)) + ) + ) + (net (rename f0_Result_6_1_FRB "f0/Result<6>1_FRB") + (joined + (portRef D (instanceRef f0_rd_addr_6)) + (portRef Q (instanceRef f0_Result_6_1_FRB_renamed_395)) + (portRef I0 (instanceRef f0_Mcount_rd_addr_cy_6__rt_renamed_225)) + (portRef I (instanceRef f0_Msub_dont_write_past_me_lut_6__INV_0)) + ) + ) + (net (rename f0_Result_5_1_FRB "f0/Result<5>1_FRB") + (joined + (portRef D (instanceRef f0_rd_addr_5)) + (portRef Q (instanceRef f0_Result_5_1_FRB_renamed_394)) + (portRef I0 (instanceRef f0_Mcount_rd_addr_cy_5__rt_renamed_226)) + (portRef I (instanceRef f0_Msub_dont_write_past_me_lut_5__INV_0)) + ) + ) + (net (rename f0_Result_4_1_FRB "f0/Result<4>1_FRB") + (joined + (portRef D (instanceRef f0_rd_addr_4)) + (portRef Q (instanceRef f0_Result_4_1_FRB_renamed_393)) + (portRef I0 (instanceRef f0_Mcount_rd_addr_cy_4__rt_renamed_227)) + (portRef I (instanceRef f0_Msub_dont_write_past_me_lut_4__INV_0)) + ) + ) + (net (rename f0_Result_3_1_FRB "f0/Result<3>1_FRB") + (joined + (portRef D (instanceRef f0_rd_addr_3)) + (portRef Q (instanceRef f0_Result_3_1_FRB_renamed_392)) + (portRef I0 (instanceRef f0_Mcount_rd_addr_cy_3__rt_renamed_228)) + (portRef I (instanceRef f0_Msub_dont_write_past_me_lut_3__INV_0)) + ) + ) + (net (rename f0_Result_2_1_FRB "f0/Result<2>1_FRB") + (joined + (portRef D (instanceRef f0_rd_addr_2)) + (portRef Q (instanceRef f0_Result_2_1_FRB_renamed_391)) + (portRef I0 (instanceRef f0_Mcount_rd_addr_cy_2__rt_renamed_229)) + (portRef I (instanceRef f0_Msub_dont_write_past_me_lut_2__INV_0)) + ) + ) + (net (rename f0_Result_1_1_FRB "f0/Result<1>1_FRB") + (joined + (portRef D (instanceRef f0_rd_addr_1)) + (portRef Q (instanceRef f0_Result_1_1_FRB_renamed_390)) + (portRef I0 (instanceRef f0_Mcount_rd_addr_cy_1__rt_renamed_230)) + (portRef I0 (instanceRef f0_Msub_dont_write_past_me_cy_1__rt_renamed_242)) + ) + ) + (net (rename f0_Result_0_1_FRB "f0/Result<0>1_FRB") + (joined + (portRef D (instanceRef f0_rd_addr_0)) + (portRef Q (instanceRef f0_Result_0_1_FRB_renamed_389)) + (portRef I0 (instanceRef f0_Msub_dont_write_past_me_cy_0__rt_renamed_243)) + (portRef I (instanceRef f0_Mcount_rd_addr_lut_0__INV_0)) + ) + ) + (net (rename f0__n0161_inv "f0/_n0161_inv") + (joined + (portRef CE (instanceRef f0_rd_addr_1)) + (portRef CE (instanceRef f0_rd_addr_2)) + (portRef CE (instanceRef f0_rd_addr_3)) + (portRef CE (instanceRef f0_rd_addr_4)) + (portRef CE (instanceRef f0_rd_addr_5)) + (portRef CE (instanceRef f0_rd_addr_6)) + (portRef CE (instanceRef f0_rd_addr_7)) + (portRef CE (instanceRef f0_rd_addr_8)) + (portRef CE (instanceRef f0_rd_addr_9)) + (portRef CE (instanceRef f0_rd_addr_10)) + (portRef CE (instanceRef f0_rd_addr_11)) + (portRef CE (instanceRef f0_rd_addr_12)) + (portRef CE (instanceRef f0_rd_addr_0)) + (portRef CE (instanceRef f0_Result_0_1_FRB_renamed_389)) + (portRef CE (instanceRef f0_Result_1_1_FRB_renamed_390)) + (portRef CE (instanceRef f0_Result_2_1_FRB_renamed_391)) + (portRef CE (instanceRef f0_Result_3_1_FRB_renamed_392)) + (portRef CE (instanceRef f0_Result_4_1_FRB_renamed_393)) + (portRef CE (instanceRef f0_Result_5_1_FRB_renamed_394)) + (portRef CE (instanceRef f0_Result_6_1_FRB_renamed_395)) + (portRef CE (instanceRef f0_Result_7_1_FRB_renamed_396)) + (portRef CE (instanceRef f0_Result_8_1_FRB_renamed_397)) + (portRef CE (instanceRef f0_Result_9_1_FRB_renamed_398)) + (portRef CE (instanceRef f0_Result_10_1_FRB_renamed_399)) + (portRef CE (instanceRef f0_Result_11_1_FRB_renamed_400)) + (portRef CE (instanceRef f0_Result_12_1_FRB_renamed_401)) + (portRef CE (instanceRef f0_dont_write_past_me_0__FRB_renamed_402)) + (portRef CE (instanceRef f0_dont_write_past_me_1__FRB_renamed_403)) + (portRef CE (instanceRef f0_dont_write_past_me_2__FRB_renamed_404)) + (portRef CE (instanceRef f0_dont_write_past_me_3__FRB_renamed_405)) + (portRef CE (instanceRef f0_dont_write_past_me_4__FRB_renamed_406)) + (portRef CE (instanceRef f0_dont_write_past_me_5__FRB_renamed_407)) + (portRef CE (instanceRef f0_dont_write_past_me_6__FRB_renamed_408)) + (portRef CE (instanceRef f0_dont_write_past_me_7__FRB_renamed_409)) + (portRef CE (instanceRef f0_dont_write_past_me_8__FRB_renamed_410)) + (portRef CE (instanceRef f0_dont_write_past_me_9__FRB_renamed_411)) + (portRef CE (instanceRef f0_dont_write_past_me_10__FRB_renamed_412)) + (portRef CE (instanceRef f0_dont_write_past_me_11__FRB_renamed_413)) + (portRef CE (instanceRef f0_dont_write_past_me_12__FRB_renamed_414)) + (portRef O (instanceRef f0__n0161_inv1_cy1)) + ) + ) + (net (rename f0_becoming_full "f0/becoming_full") + (joined + (portRef O (instanceRef f0_Mcompar_becoming_full_cy_4__)) + (portRef I1 (instanceRef f0_full_reg_glue_set_renamed_538)) + ) + ) + (net (rename f0_rd_addr_12__wr_addr_12__equal_11_o "f0/rd_addr[12]_wr_addr[12]_equal_11_o") + (joined + (portRef O (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4__)) + (portRef CI (instanceRef f0__n0161_inv1_cy)) + (portRef I2 (instanceRef f0_read_state_FSM_FFd1_In111)) + (portRef I1 (instanceRef f0_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename f0_dont_write_past_me_0__FRB "f0/dont_write_past_me<0>_FRB") + (joined + (portRef I1 (instanceRef f0_Mcompar_becoming_full_lut_0__)) + (portRef Q (instanceRef f0_dont_write_past_me_0__FRB_renamed_402)) + ) + ) + (net (rename f0_dont_write_past_me_1__FRB "f0/dont_write_past_me<1>_FRB") + (joined + (portRef I3 (instanceRef f0_Mcompar_becoming_full_lut_0__)) + (portRef Q (instanceRef f0_dont_write_past_me_1__FRB_renamed_403)) + ) + ) + (net (rename f0_dont_write_past_me_2__FRB "f0/dont_write_past_me<2>_FRB") + (joined + (portRef I5 (instanceRef f0_Mcompar_becoming_full_lut_0__)) + (portRef Q (instanceRef f0_dont_write_past_me_2__FRB_renamed_404)) + ) + ) + (net (rename f0_dont_write_past_me_3__FRB "f0/dont_write_past_me<3>_FRB") + (joined + (portRef I1 (instanceRef f0_Mcompar_becoming_full_lut_1__)) + (portRef Q (instanceRef f0_dont_write_past_me_3__FRB_renamed_405)) + ) + ) + (net (rename f0_dont_write_past_me_4__FRB "f0/dont_write_past_me<4>_FRB") + (joined + (portRef I3 (instanceRef f0_Mcompar_becoming_full_lut_1__)) + (portRef Q (instanceRef f0_dont_write_past_me_4__FRB_renamed_406)) + ) + ) + (net (rename f0_dont_write_past_me_5__FRB "f0/dont_write_past_me<5>_FRB") + (joined + (portRef I5 (instanceRef f0_Mcompar_becoming_full_lut_1__)) + (portRef Q (instanceRef f0_dont_write_past_me_5__FRB_renamed_407)) + ) + ) + (net (rename f0_dont_write_past_me_6__FRB "f0/dont_write_past_me<6>_FRB") + (joined + (portRef I1 (instanceRef f0_Mcompar_becoming_full_lut_2__)) + (portRef Q (instanceRef f0_dont_write_past_me_6__FRB_renamed_408)) + ) + ) + (net (rename f0_dont_write_past_me_7__FRB "f0/dont_write_past_me<7>_FRB") + (joined + (portRef I3 (instanceRef f0_Mcompar_becoming_full_lut_2__)) + (portRef Q (instanceRef f0_dont_write_past_me_7__FRB_renamed_409)) + ) + ) + (net (rename f0_dont_write_past_me_8__FRB "f0/dont_write_past_me<8>_FRB") + (joined + (portRef I5 (instanceRef f0_Mcompar_becoming_full_lut_2__)) + (portRef Q (instanceRef f0_dont_write_past_me_8__FRB_renamed_410)) + ) + ) + (net (rename f0_dont_write_past_me_9__FRB "f0/dont_write_past_me<9>_FRB") + (joined + (portRef I1 (instanceRef f0_Mcompar_becoming_full_lut_3__)) + (portRef Q (instanceRef f0_dont_write_past_me_9__FRB_renamed_411)) + ) + ) + (net (rename f0_dont_write_past_me_10__FRB "f0/dont_write_past_me<10>_FRB") + (joined + (portRef I3 (instanceRef f0_Mcompar_becoming_full_lut_3__)) + (portRef Q (instanceRef f0_dont_write_past_me_10__FRB_renamed_412)) + ) + ) + (net (rename f0_dont_write_past_me_11__FRB "f0/dont_write_past_me<11>_FRB") + (joined + (portRef I5 (instanceRef f0_Mcompar_becoming_full_lut_3__)) + (portRef Q (instanceRef f0_dont_write_past_me_11__FRB_renamed_413)) + ) + ) + (net (rename f0_dont_write_past_me_12__FRB "f0/dont_write_past_me<12>_FRB") + (joined + (portRef I1 (instanceRef f0_Mcompar_becoming_full_lut_4__)) + (portRef Q (instanceRef f0_dont_write_past_me_12__FRB_renamed_414)) + ) + ) + (net (rename f0_GND_14_o_read_OR_37_o "f0/GND_14_o_read_OR_37_o") + (joined + (portRef O (instanceRef f0_GND_14_o_read_OR_37_o1)) + (portRef ENBRDEN (instanceRef f0_ram_Mram_ram33)) + (portRef ENB (instanceRef f0_ram_Mram_ram31)) + (portRef ENB (instanceRef f0_ram_Mram_ram30)) + (portRef ENB (instanceRef f0_ram_Mram_ram32)) + (portRef ENB (instanceRef f0_ram_Mram_ram28)) + (portRef ENB (instanceRef f0_ram_Mram_ram27)) + (portRef ENB (instanceRef f0_ram_Mram_ram29)) + (portRef ENB (instanceRef f0_ram_Mram_ram25)) + (portRef ENB (instanceRef f0_ram_Mram_ram24)) + (portRef ENB (instanceRef f0_ram_Mram_ram26)) + (portRef ENB (instanceRef f0_ram_Mram_ram22)) + (portRef ENB (instanceRef f0_ram_Mram_ram21)) + (portRef ENB (instanceRef f0_ram_Mram_ram23)) + (portRef ENB (instanceRef f0_ram_Mram_ram19)) + (portRef ENB (instanceRef f0_ram_Mram_ram18)) + (portRef ENB (instanceRef f0_ram_Mram_ram20)) + (portRef ENB (instanceRef f0_ram_Mram_ram16)) + (portRef ENB (instanceRef f0_ram_Mram_ram15)) + (portRef ENB (instanceRef f0_ram_Mram_ram17)) + (portRef ENB (instanceRef f0_ram_Mram_ram14)) + (portRef ENB (instanceRef f0_ram_Mram_ram13)) + (portRef ENB (instanceRef f0_ram_Mram_ram12)) + (portRef ENB (instanceRef f0_ram_Mram_ram11)) + (portRef ENB (instanceRef f0_ram_Mram_ram9)) + (portRef ENB (instanceRef f0_ram_Mram_ram8)) + (portRef ENB (instanceRef f0_ram_Mram_ram10)) + (portRef ENB (instanceRef f0_ram_Mram_ram6)) + (portRef ENB (instanceRef f0_ram_Mram_ram5)) + (portRef ENB (instanceRef f0_ram_Mram_ram7)) + (portRef ENB (instanceRef f0_ram_Mram_ram3)) + (portRef ENB (instanceRef f0_ram_Mram_ram2)) + (portRef ENB (instanceRef f0_ram_Mram_ram4)) + (portRef ENB (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_write "f0/write") + (joined + (portRef CE (instanceRef f0_wr_addr_1)) + (portRef CE (instanceRef f0_wr_addr_2)) + (portRef CE (instanceRef f0_wr_addr_3)) + (portRef CE (instanceRef f0_wr_addr_4)) + (portRef CE (instanceRef f0_wr_addr_5)) + (portRef CE (instanceRef f0_wr_addr_6)) + (portRef CE (instanceRef f0_wr_addr_7)) + (portRef CE (instanceRef f0_wr_addr_8)) + (portRef CE (instanceRef f0_wr_addr_9)) + (portRef CE (instanceRef f0_wr_addr_10)) + (portRef CE (instanceRef f0_wr_addr_11)) + (portRef CE (instanceRef f0_wr_addr_12)) + (portRef CE (instanceRef f0_wr_addr_0)) + (portRef O (instanceRef f0_write11)) + (portRef CE (instanceRef f0_Result_0_2_FRB_renamed_376)) + (portRef CE (instanceRef f0_Result_1_2_FRB_renamed_377)) + (portRef CE (instanceRef f0_Result_2_2_FRB_renamed_378)) + (portRef CE (instanceRef f0_Result_3_2_FRB_renamed_379)) + (portRef CE (instanceRef f0_Result_4_2_FRB_renamed_380)) + (portRef CE (instanceRef f0_Result_5_2_FRB_renamed_381)) + (portRef CE (instanceRef f0_Result_6_2_FRB_renamed_382)) + (portRef CE (instanceRef f0_Result_7_2_FRB_renamed_383)) + (portRef CE (instanceRef f0_Result_8_2_FRB_renamed_384)) + (portRef CE (instanceRef f0_Result_9_2_FRB_renamed_385)) + (portRef CE (instanceRef f0_Result_10_2_FRB_renamed_386)) + (portRef CE (instanceRef f0_Result_11_2_FRB_renamed_387)) + (portRef CE (instanceRef f0_Result_12_2_FRB_renamed_388)) + (portRef (member WEAWEL 1) (instanceRef f0_ram_Mram_ram33)) + (portRef (member WEAWEL 0) (instanceRef f0_ram_Mram_ram33)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram31)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram31)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram31)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram31)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram30)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram30)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram30)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram30)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram32)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram32)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram32)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram32)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram28)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram28)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram28)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram28)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram27)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram27)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram27)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram27)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram29)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram29)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram29)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram29)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram25)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram25)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram25)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram25)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram24)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram24)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram24)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram24)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram26)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram26)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram26)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram26)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram22)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram22)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram22)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram22)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram21)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram21)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram21)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram21)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram23)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram23)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram23)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram23)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram19)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram19)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram19)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram19)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram18)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram18)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram18)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram18)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram20)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram20)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram20)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram20)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram16)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram16)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram16)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram16)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram15)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram15)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram15)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram15)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram17)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram17)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram17)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram17)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram14)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram14)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram14)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram14)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram13)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram13)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram13)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram13)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram12)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram12)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram12)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram12)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram11)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram11)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram11)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram11)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram9)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram9)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram9)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram9)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram8)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram8)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram8)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram8)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram10)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram10)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram10)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram10)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram6)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram6)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram6)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram6)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram5)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram5)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram5)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram5)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram7)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram7)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram7)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram7)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram3)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram3)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram3)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram3)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram2)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram2)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram2)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram2)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram4)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram4)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram4)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram4)) + (portRef (member WEA 3) (instanceRef f0_ram_Mram_ram1)) + (portRef (member WEA 2) (instanceRef f0_ram_Mram_ram1)) + (portRef (member WEA 1) (instanceRef f0_ram_Mram_ram1)) + (portRef (member WEA 0) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_wr_addr_0_ "f0/wr_addr<0>") + (joined + (portRef Q (instanceRef f0_wr_addr_0)) + (portRef I1 (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__)) + (portRef I0 (instanceRef f0_Mcompar_becoming_full_lut_0__)) + (portRef (member ADDRAWRADDR 12) (instanceRef f0_ram_Mram_ram33)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram31)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram30)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram32)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram28)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram27)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram29)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram25)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram24)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram26)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram22)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram21)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram23)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram19)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram18)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram20)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram16)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram15)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram17)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram14)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram13)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram12)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram11)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram9)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram8)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram10)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram6)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram5)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram7)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram3)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram2)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram4)) + (portRef (member ADDRA 12) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_wr_addr_1_ "f0/wr_addr<1>") + (joined + (portRef Q (instanceRef f0_wr_addr_1)) + (portRef I3 (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__)) + (portRef I2 (instanceRef f0_Mcompar_becoming_full_lut_0__)) + (portRef (member ADDRAWRADDR 11) (instanceRef f0_ram_Mram_ram33)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram31)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram30)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram32)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram28)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram27)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram29)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram25)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram24)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram26)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram22)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram21)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram23)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram19)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram18)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram20)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram16)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram15)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram17)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram14)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram13)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram12)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram11)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram9)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram8)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram10)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram6)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram5)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram7)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram3)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram2)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram4)) + (portRef (member ADDRA 11) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_wr_addr_2_ "f0/wr_addr<2>") + (joined + (portRef Q (instanceRef f0_wr_addr_2)) + (portRef I5 (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__)) + (portRef I4 (instanceRef f0_Mcompar_becoming_full_lut_0__)) + (portRef (member ADDRAWRADDR 10) (instanceRef f0_ram_Mram_ram33)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram31)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram30)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram32)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram28)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram27)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram29)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram25)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram24)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram26)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram22)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram21)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram23)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram19)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram18)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram20)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram16)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram15)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram17)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram14)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram13)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram12)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram11)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram9)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram8)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram10)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram6)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram5)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram7)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram3)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram2)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram4)) + (portRef (member ADDRA 10) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_wr_addr_3_ "f0/wr_addr<3>") + (joined + (portRef Q (instanceRef f0_wr_addr_3)) + (portRef I1 (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__)) + (portRef I0 (instanceRef f0_Mcompar_becoming_full_lut_1__)) + (portRef (member ADDRAWRADDR 9) (instanceRef f0_ram_Mram_ram33)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram31)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram30)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram32)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram28)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram27)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram29)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram25)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram24)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram26)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram22)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram21)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram23)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram19)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram18)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram20)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram16)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram15)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram17)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram14)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram13)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram12)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram11)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram9)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram8)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram10)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram6)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram5)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram7)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram3)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram2)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram4)) + (portRef (member ADDRA 9) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_wr_addr_4_ "f0/wr_addr<4>") + (joined + (portRef Q (instanceRef f0_wr_addr_4)) + (portRef I3 (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__)) + (portRef I2 (instanceRef f0_Mcompar_becoming_full_lut_1__)) + (portRef (member ADDRAWRADDR 8) (instanceRef f0_ram_Mram_ram33)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram31)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram30)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram32)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram28)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram27)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram29)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram25)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram24)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram26)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram22)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram21)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram23)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram19)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram18)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram20)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram16)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram15)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram17)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram14)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram13)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram12)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram11)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram9)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram8)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram10)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram6)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram5)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram7)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram3)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram2)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram4)) + (portRef (member ADDRA 8) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_wr_addr_5_ "f0/wr_addr<5>") + (joined + (portRef Q (instanceRef f0_wr_addr_5)) + (portRef I5 (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__)) + (portRef I4 (instanceRef f0_Mcompar_becoming_full_lut_1__)) + (portRef (member ADDRAWRADDR 7) (instanceRef f0_ram_Mram_ram33)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram31)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram30)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram32)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram28)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram27)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram29)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram25)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram24)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram26)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram22)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram21)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram23)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram19)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram18)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram20)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram16)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram15)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram17)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram14)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram13)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram12)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram11)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram9)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram8)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram10)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram6)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram5)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram7)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram3)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram2)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram4)) + (portRef (member ADDRA 7) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_wr_addr_6_ "f0/wr_addr<6>") + (joined + (portRef Q (instanceRef f0_wr_addr_6)) + (portRef I1 (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__)) + (portRef I0 (instanceRef f0_Mcompar_becoming_full_lut_2__)) + (portRef (member ADDRAWRADDR 6) (instanceRef f0_ram_Mram_ram33)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram31)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram30)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram32)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram28)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram27)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram29)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram25)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram24)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram26)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram22)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram21)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram23)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram19)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram18)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram20)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram16)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram15)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram17)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram14)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram13)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram12)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram11)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram9)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram8)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram10)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram6)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram5)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram7)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram3)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram2)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram4)) + (portRef (member ADDRA 6) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_wr_addr_7_ "f0/wr_addr<7>") + (joined + (portRef Q (instanceRef f0_wr_addr_7)) + (portRef I3 (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__)) + (portRef I2 (instanceRef f0_Mcompar_becoming_full_lut_2__)) + (portRef (member ADDRAWRADDR 5) (instanceRef f0_ram_Mram_ram33)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram31)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram30)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram32)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram28)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram27)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram29)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram25)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram24)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram26)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram22)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram21)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram23)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram19)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram18)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram20)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram16)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram15)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram17)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram14)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram13)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram12)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram11)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram9)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram8)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram10)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram6)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram5)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram7)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram3)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram2)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram4)) + (portRef (member ADDRA 5) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_wr_addr_8_ "f0/wr_addr<8>") + (joined + (portRef Q (instanceRef f0_wr_addr_8)) + (portRef I5 (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__)) + (portRef I4 (instanceRef f0_Mcompar_becoming_full_lut_2__)) + (portRef (member ADDRAWRADDR 4) (instanceRef f0_ram_Mram_ram33)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram31)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram30)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram32)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram28)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram27)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram29)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram25)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram24)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram26)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram22)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram21)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram23)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram19)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram18)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram20)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram16)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram15)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram17)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram14)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram13)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram12)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram11)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram9)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram8)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram10)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram6)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram5)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram7)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram3)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram2)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram4)) + (portRef (member ADDRA 4) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_wr_addr_9_ "f0/wr_addr<9>") + (joined + (portRef Q (instanceRef f0_wr_addr_9)) + (portRef I1 (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__)) + (portRef I0 (instanceRef f0_Mcompar_becoming_full_lut_3__)) + (portRef (member ADDRAWRADDR 3) (instanceRef f0_ram_Mram_ram33)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram31)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram30)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram32)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram28)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram27)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram29)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram25)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram24)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram26)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram22)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram21)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram23)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram19)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram18)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram20)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram16)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram15)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram17)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram14)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram13)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram12)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram11)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram9)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram8)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram10)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram6)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram5)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram7)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram3)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram2)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram4)) + (portRef (member ADDRA 3) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_wr_addr_10_ "f0/wr_addr<10>") + (joined + (portRef Q (instanceRef f0_wr_addr_10)) + (portRef I3 (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__)) + (portRef I2 (instanceRef f0_Mcompar_becoming_full_lut_3__)) + (portRef (member ADDRAWRADDR 2) (instanceRef f0_ram_Mram_ram33)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram31)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram30)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram32)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram28)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram27)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram29)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram25)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram24)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram26)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram22)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram21)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram23)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram19)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram18)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram20)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram16)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram15)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram17)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram14)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram13)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram12)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram11)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram9)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram8)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram10)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram6)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram5)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram7)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram3)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram2)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram4)) + (portRef (member ADDRA 2) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_wr_addr_11_ "f0/wr_addr<11>") + (joined + (portRef Q (instanceRef f0_wr_addr_11)) + (portRef I5 (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__)) + (portRef I4 (instanceRef f0_Mcompar_becoming_full_lut_3__)) + (portRef (member ADDRAWRADDR 1) (instanceRef f0_ram_Mram_ram33)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram31)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram30)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram32)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram28)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram27)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram29)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram25)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram24)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram26)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram22)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram21)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram23)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram19)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram18)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram20)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram16)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram15)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram17)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram14)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram13)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram12)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram11)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram9)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram8)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram10)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram6)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram5)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram7)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram3)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram2)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram4)) + (portRef (member ADDRA 1) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_wr_addr_12_ "f0/wr_addr<12>") + (joined + (portRef Q (instanceRef f0_wr_addr_12)) + (portRef I1 (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4__)) + (portRef I0 (instanceRef f0_Mcompar_becoming_full_lut_4__)) + (portRef (member ADDRAWRADDR 0) (instanceRef f0_ram_Mram_ram33)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram31)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram30)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram32)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram28)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram27)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram29)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram25)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram24)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram26)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram22)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram21)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram23)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram19)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram18)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram20)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram16)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram15)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram17)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram14)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram13)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram12)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram11)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram9)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram8)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram10)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram6)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram5)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram7)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram3)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram2)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram4)) + (portRef (member ADDRA 0) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_rd_addr_0_ "f0/rd_addr<0>") + (joined + (portRef Q (instanceRef f0_rd_addr_0)) + (portRef I0 (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__)) + (portRef (member ADDRBRDADDR 12) (instanceRef f0_ram_Mram_ram33)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram31)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram30)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram32)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram28)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram27)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram29)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram25)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram24)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram26)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram22)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram21)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram23)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram19)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram18)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram20)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram16)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram15)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram17)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram14)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram13)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram12)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram11)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram9)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram8)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram10)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram6)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram5)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram7)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram3)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram2)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram4)) + (portRef (member ADDRB 12) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_rd_addr_1_ "f0/rd_addr<1>") + (joined + (portRef Q (instanceRef f0_rd_addr_1)) + (portRef I2 (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__)) + (portRef (member ADDRBRDADDR 11) (instanceRef f0_ram_Mram_ram33)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram31)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram30)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram32)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram28)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram27)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram29)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram25)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram24)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram26)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram22)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram21)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram23)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram19)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram18)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram20)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram16)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram15)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram17)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram14)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram13)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram12)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram11)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram9)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram8)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram10)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram6)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram5)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram7)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram3)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram2)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram4)) + (portRef (member ADDRB 11) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_rd_addr_2_ "f0/rd_addr<2>") + (joined + (portRef Q (instanceRef f0_rd_addr_2)) + (portRef I4 (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0__)) + (portRef (member ADDRBRDADDR 10) (instanceRef f0_ram_Mram_ram33)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram31)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram30)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram32)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram28)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram27)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram29)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram25)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram24)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram26)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram22)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram21)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram23)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram19)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram18)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram20)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram16)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram15)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram17)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram14)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram13)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram12)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram11)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram9)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram8)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram10)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram6)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram5)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram7)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram3)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram2)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram4)) + (portRef (member ADDRB 10) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_rd_addr_3_ "f0/rd_addr<3>") + (joined + (portRef Q (instanceRef f0_rd_addr_3)) + (portRef I0 (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__)) + (portRef (member ADDRBRDADDR 9) (instanceRef f0_ram_Mram_ram33)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram31)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram30)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram32)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram28)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram27)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram29)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram25)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram24)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram26)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram22)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram21)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram23)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram19)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram18)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram20)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram16)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram15)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram17)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram14)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram13)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram12)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram11)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram9)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram8)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram10)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram6)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram5)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram7)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram3)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram2)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram4)) + (portRef (member ADDRB 9) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_rd_addr_4_ "f0/rd_addr<4>") + (joined + (portRef Q (instanceRef f0_rd_addr_4)) + (portRef I2 (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__)) + (portRef (member ADDRBRDADDR 8) (instanceRef f0_ram_Mram_ram33)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram31)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram30)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram32)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram28)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram27)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram29)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram25)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram24)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram26)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram22)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram21)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram23)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram19)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram18)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram20)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram16)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram15)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram17)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram14)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram13)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram12)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram11)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram9)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram8)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram10)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram6)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram5)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram7)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram3)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram2)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram4)) + (portRef (member ADDRB 8) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_rd_addr_5_ "f0/rd_addr<5>") + (joined + (portRef Q (instanceRef f0_rd_addr_5)) + (portRef I4 (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1__)) + (portRef (member ADDRBRDADDR 7) (instanceRef f0_ram_Mram_ram33)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram31)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram30)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram32)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram28)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram27)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram29)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram25)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram24)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram26)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram22)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram21)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram23)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram19)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram18)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram20)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram16)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram15)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram17)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram14)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram13)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram12)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram11)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram9)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram8)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram10)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram6)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram5)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram7)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram3)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram2)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram4)) + (portRef (member ADDRB 7) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_rd_addr_6_ "f0/rd_addr<6>") + (joined + (portRef Q (instanceRef f0_rd_addr_6)) + (portRef I0 (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__)) + (portRef (member ADDRBRDADDR 6) (instanceRef f0_ram_Mram_ram33)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram31)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram30)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram32)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram28)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram27)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram29)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram25)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram24)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram26)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram22)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram21)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram23)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram19)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram18)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram20)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram16)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram15)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram17)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram14)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram13)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram12)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram11)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram9)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram8)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram10)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram6)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram5)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram7)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram3)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram2)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram4)) + (portRef (member ADDRB 6) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_rd_addr_7_ "f0/rd_addr<7>") + (joined + (portRef Q (instanceRef f0_rd_addr_7)) + (portRef I2 (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__)) + (portRef (member ADDRBRDADDR 5) (instanceRef f0_ram_Mram_ram33)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram31)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram30)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram32)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram28)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram27)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram29)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram25)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram24)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram26)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram22)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram21)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram23)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram19)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram18)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram20)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram16)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram15)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram17)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram14)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram13)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram12)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram11)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram9)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram8)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram10)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram6)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram5)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram7)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram3)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram2)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram4)) + (portRef (member ADDRB 5) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_rd_addr_8_ "f0/rd_addr<8>") + (joined + (portRef Q (instanceRef f0_rd_addr_8)) + (portRef I4 (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2__)) + (portRef (member ADDRBRDADDR 4) (instanceRef f0_ram_Mram_ram33)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram31)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram30)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram32)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram28)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram27)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram29)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram25)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram24)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram26)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram22)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram21)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram23)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram19)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram18)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram20)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram16)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram15)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram17)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram14)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram13)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram12)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram11)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram9)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram8)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram10)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram6)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram5)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram7)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram3)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram2)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram4)) + (portRef (member ADDRB 4) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_rd_addr_9_ "f0/rd_addr<9>") + (joined + (portRef Q (instanceRef f0_rd_addr_9)) + (portRef I0 (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__)) + (portRef (member ADDRBRDADDR 3) (instanceRef f0_ram_Mram_ram33)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram31)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram30)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram32)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram28)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram27)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram29)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram25)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram24)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram26)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram22)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram21)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram23)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram19)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram18)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram20)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram16)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram15)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram17)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram14)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram13)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram12)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram11)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram9)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram8)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram10)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram6)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram5)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram7)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram3)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram2)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram4)) + (portRef (member ADDRB 3) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_rd_addr_10_ "f0/rd_addr<10>") + (joined + (portRef Q (instanceRef f0_rd_addr_10)) + (portRef I2 (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__)) + (portRef (member ADDRBRDADDR 2) (instanceRef f0_ram_Mram_ram33)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram31)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram30)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram32)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram28)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram27)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram29)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram25)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram24)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram26)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram22)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram21)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram23)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram19)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram18)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram20)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram16)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram15)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram17)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram14)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram13)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram12)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram11)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram9)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram8)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram10)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram6)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram5)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram7)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram3)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram2)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram4)) + (portRef (member ADDRB 2) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_rd_addr_11_ "f0/rd_addr<11>") + (joined + (portRef Q (instanceRef f0_rd_addr_11)) + (portRef I4 (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3__)) + (portRef (member ADDRBRDADDR 1) (instanceRef f0_ram_Mram_ram33)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram31)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram30)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram32)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram28)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram27)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram29)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram25)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram24)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram26)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram22)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram21)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram23)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram19)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram18)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram20)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram16)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram15)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram17)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram14)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram13)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram12)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram11)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram9)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram8)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram10)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram6)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram5)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram7)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram3)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram2)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram4)) + (portRef (member ADDRB 1) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_rd_addr_12_ "f0/rd_addr<12>") + (joined + (portRef Q (instanceRef f0_rd_addr_12)) + (portRef I0 (instanceRef f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4__)) + (portRef (member ADDRBRDADDR 0) (instanceRef f0_ram_Mram_ram33)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram31)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram30)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram32)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram28)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram27)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram29)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram25)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram24)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram26)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram22)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram21)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram23)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram19)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram18)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram20)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram16)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram15)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram17)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram14)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram13)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram12)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram11)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram9)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram8)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram10)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram6)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram5)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram7)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram3)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram2)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram4)) + (portRef (member ADDRB 0) (instanceRef f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_full_reg "f0/full_reg") + (joined + (portRef I1 (instanceRef f0_write11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0102_SW0)) + (portRef Q (instanceRef f0_full_reg_renamed_117)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst_renamed_418)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full_glue_set_renamed_420)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111_SW0)) + (portRef D (instanceRef slave_fifo32_debug1_16_BRB0_renamed_497)) + (portRef I4 (instanceRef f0_read_state_FSM_FFd2_In1)) + (portRef I4 (instanceRef f0_full_reg_glue_set_renamed_538)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv_renamed_53)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111)) + ) + ) + (net (rename f0_read_state_FSM_FFd1 "f0/read_state_FSM_FFd1") + (joined + (portRef Q (instanceRef f0_read_state_FSM_FFd1_renamed_31)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_space_xor_3_111)) + (portRef I1 (instanceRef f0__n0161_inv1_lut_renamed_509)) + (portRef I1 (instanceRef f0__n0161_inv1_lut1_renamed_510)) + (portRef I0 (instanceRef f0_GND_14_o_read_OR_37_o1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_write1)) + (portRef I0 (instanceRef f0_read_state_FSM_FFd1_In111)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv_renamed_526)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set_renamed_531)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst_renamed_536)) + (portRef I0 (instanceRef f0_read_state_FSM_FFd2_In1)) + (portRef I3 (instanceRef f0_full_reg_glue_set_renamed_538)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_inv "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/empty_reg_inv") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB1_renamed_502)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_GND_56_o_read_OR_123_o1)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_inv1)) + ) + ) + (net N0 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read_SW0)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read_renamed_33)) + ) + ) + (net N2 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read_SW0)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read_renamed_34)) + ) + ) + (net N4 + (joined + (portRef O (instanceRef slave_fifo32__n0258_inv_SW0)) + (portRef I2 (instanceRef slave_fifo32_wr_one_rstpot_renamed_512)) + ) + ) + (net N6 + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_xfer_Mux_21_o1_SW0)) + (portRef I5 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_xfer_Mux_21_o1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_o_tready_int1)) + ) + ) + (net N8 + (joined + (portRef O (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1_SW0)) + (portRef I5 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1)) + (portRef I3 (instanceRef slave_fifo32_state_FSM_FFd1_In2_renamed_36)) + ) + ) + (net N10 + (joined + (portRef O (instanceRef slave_fifo32__n0279_inv_SW0)) + (portRef I3 (instanceRef slave_fifo32__n0279_inv_renamed_35)) + ) + ) + (net (rename slave_fifo32_state_FSM_FFd1_In3 "slave_fifo32/state_FSM_FFd1-In3") + (joined + (portRef O (instanceRef slave_fifo32_state_FSM_FFd1_In3_renamed_543)) + (portRef I0 (instanceRef slave_fifo32_state_FSM_FFd1_In4)) + ) + ) + (net (rename slave_fifo32_state_FSM_FFd2_In1 "slave_fifo32/state_FSM_FFd2-In1") + (joined + (portRef O (instanceRef slave_fifo32_state_FSM_FFd2_In1_renamed_37)) + (portRef I2 (instanceRef slave_fifo32_state_FSM_FFd2_In2_renamed_38)) + ) + ) + (net (rename slave_fifo32_state_FSM_FFd2_In2 "slave_fifo32/state_FSM_FFd2-In2") + (joined + (portRef O (instanceRef slave_fifo32_state_FSM_FFd2_In2_renamed_38)) + (portRef I2 (instanceRef slave_fifo32_state_FSM_FFd2_In3)) + ) + ) + (net N14 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv_SW0)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv_renamed_525)) + ) + ) + (net N18 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv_SW0)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv_renamed_526)) + ) + ) + (net N22 + (joined + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7_SW0)) + ) + ) + (net N24 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tready_int1_SW0)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tready_int1)) + ) + ) + (net N26 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_i_tvalid_int1_SW0)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_i_tvalid_int1)) + ) + ) + (net N30 + (joined + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7_SW0)) + ) + ) + (net N34 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_i_tvalid_int1_SW0)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_i_tvalid_int1)) + ) + ) + (net N38 + (joined + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n01212") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_renamed_516)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg_glue_set_renamed_426)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01215 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n01215") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01215_renamed_527)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01217_SW0)) + ) + ) + (net N40 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0102_SW0)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full_glue_set_renamed_419)) + ) + ) + (net N42 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv_renamed_39)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01212") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212_renamed_40)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01214_renamed_41)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01213 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01213") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01213_renamed_429)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01214_renamed_41)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01214 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01214") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01214_renamed_41)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01219)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01215 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01215") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01215_renamed_517)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01219)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01216 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01216") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01216_renamed_42)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01219)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01217 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01217") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01217_renamed_427)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01219)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01218 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01218") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01218_renamed_519)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01219)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012112 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n012112") + (joined + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012114_renamed_44)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012111_renamed_424)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012113 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n012113") + (joined + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012114_renamed_44)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012112_renamed_500)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012114 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n012114") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012113_renamed_43)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012114_renamed_44)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Msub_dont_write_past_me_xor<8>1_SW0_FRB") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0_FRB_renamed_459)) + ) + ) + (net N50 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0_cy)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB3_renamed_481)) + ) + ) + (net N52 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1_SW0)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1)) + ) + ) + (net N54 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_clear_dump_OR_131_o_SW0)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_clear_inv1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_clear_dump_OR_131_o_renamed_45)) + ) + ) + (net N56 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0076_inv_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0076_inv_renamed_46)) + ) + ) + (net N58 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6)) + ) + ) + (net N60 + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tvalid_int1") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int11_renamed_47)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int11 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tvalid_int11") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int12)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tvalid_int13") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int14_renamed_48)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int14 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tvalid_int14") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int15)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv4 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/_n0074_inv4") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv4_renamed_49)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0_rstpot_renamed_433)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT7 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT7") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73)) + ) + ) + (net N62 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW0)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror11)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_tlast1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In3 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In3") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In31_renamed_50)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In34)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In31 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In31") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In32_renamed_51)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In34)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In32 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In32") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In33)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In34)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd2-In1") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In11)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In13)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In11 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In11") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In12_renamed_52)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In12 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In12") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_read1)) + (portRef rd_en (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net N64 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0102_SW0)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full_glue_set_renamed_420)) + ) + ) + (net N66 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv_renamed_53)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01212") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212_renamed_54)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01214_renamed_55)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01213 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01213") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01213_renamed_430)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01214_renamed_55)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01214 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01214") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01214_renamed_55)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01219)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01215 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01215") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01215_renamed_518)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01219)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01216 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01216") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01216_renamed_56)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01219)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01217 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01217") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01217_renamed_428)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01219)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01218 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01218") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01218_renamed_520)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01219)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012112 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n012112") + (joined + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012114_renamed_58)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012111_renamed_425)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012113 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n012113") + (joined + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012114_renamed_58)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012112_renamed_501)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012114 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n012114") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012113_renamed_57)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012114_renamed_58)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Msub_dont_write_past_me_xor<8>1_SW0_FRB") + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0_FRB_renamed_460)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01216") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_renamed_423)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01211_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01217 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01217") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01217_renamed_524)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n012110_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01218") + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01219_renamed_59)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_renamed_431)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01219 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01219") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01219_renamed_59)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n012110_SW0)) + ) + ) + (net N76 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0)) + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB3_renamed_487)) + ) + ) + (net N78 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1_SW0)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1)) + ) + ) + (net N80 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_clear_dump_OR_154_o_SW0)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_clear_inv1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_clear_dump_OR_154_o_renamed_60)) + ) + ) + (net N82 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0076_inv_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0076_inv_renamed_61)) + ) + ) + (net N84 + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0)) + ) + ) + (net N86 + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_i_tvalid_int1") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int11_renamed_62)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int13)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int11 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_i_tvalid_int11") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int12_renamed_63)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int13)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int12 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_i_tvalid_int12") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int13)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int16)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int16_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/_n0074_inv2") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv2_renamed_415)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv5 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/_n0074_inv5") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv5_renamed_64)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT7 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT7") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT8211") + (joined + (portRef O + (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211_renamed_416)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + ) + ) + (net N88 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tlast1)) + (portRef I2 + (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid61)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In3 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In3") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In31_renamed_65)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In34)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In31 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In31") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In32_renamed_66)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In34)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In32 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In32") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In33)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In34)) + ) + ) + (net N90 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In1") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In11_renamed_67)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In14)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In11 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In11") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In12_renamed_68)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In14)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In12 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In12") + (joined + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In14)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_read1)) + (portRef rd_en (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd2-In1") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In11)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In13)) + ) + ) + (net cat_miso + (joined + (portRef cat_miso) + (portRef I (instanceRef cat_miso_IBUF_renamed_69)) + ) + ) + (net fx3_ce + (joined + (portRef fx3_ce) + (portRef I (instanceRef fx3_ce_IBUF_renamed_70)) + ) + ) + (net fx3_mosi + (joined + (portRef fx3_mosi) + (portRef I (instanceRef fx3_mosi_IBUF_renamed_71)) + ) + ) + (net fx3_sclk + (joined + (portRef fx3_sclk) + (portRef I (instanceRef fx3_sclk_IBUF_renamed_72)) + ) + ) + (net GPIF_CTL4 + (joined + (portRef GPIF_CTL4) + (portRef I (instanceRef GPIF_CTL4_IBUF_renamed_73)) + ) + ) + (net GPIF_CTL5 + (joined + (portRef GPIF_CTL5) + (portRef I (instanceRef GPIF_CTL5_IBUF_renamed_74)) + ) + ) + (net GPIF_CTL9 + (joined + (portRef GPIF_CTL9) + (portRef I (instanceRef GPIF_CTL9_IBUF_renamed_75)) + ) + ) + (net N96 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_31)) + (portRef O (instanceRef GPIF_D_31_IOBUF)) + ) + ) + (net N97 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_30)) + (portRef O (instanceRef GPIF_D_30_IOBUF)) + ) + ) + (net N98 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_29)) + (portRef O (instanceRef GPIF_D_29_IOBUF)) + ) + ) + (net N99 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_28)) + (portRef O (instanceRef GPIF_D_28_IOBUF)) + ) + ) + (net N100 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_27)) + (portRef O (instanceRef GPIF_D_27_IOBUF)) + ) + ) + (net N101 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_26)) + (portRef O (instanceRef GPIF_D_26_IOBUF)) + ) + ) + (net N102 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_25)) + (portRef O (instanceRef GPIF_D_25_IOBUF)) + ) + ) + (net N103 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_24)) + (portRef O (instanceRef GPIF_D_24_IOBUF)) + ) + ) + (net N104 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_23)) + (portRef O (instanceRef GPIF_D_23_IOBUF)) + ) + ) + (net N105 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_22)) + (portRef O (instanceRef GPIF_D_22_IOBUF)) + ) + ) + (net N106 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_21)) + (portRef O (instanceRef GPIF_D_21_IOBUF)) + ) + ) + (net N107 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_20)) + (portRef O (instanceRef GPIF_D_20_IOBUF)) + ) + ) + (net N108 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_19)) + (portRef O (instanceRef GPIF_D_19_IOBUF)) + ) + ) + (net N109 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_18)) + (portRef O (instanceRef GPIF_D_18_IOBUF)) + ) + ) + (net N110 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_17)) + (portRef O (instanceRef GPIF_D_17_IOBUF)) + ) + ) + (net N111 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_16)) + (portRef O (instanceRef GPIF_D_16_IOBUF)) + ) + ) + (net N112 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_15)) + (portRef O (instanceRef GPIF_D_15_IOBUF)) + ) + ) + (net N113 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_14)) + (portRef O (instanceRef GPIF_D_14_IOBUF)) + ) + ) + (net N114 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_13)) + (portRef O (instanceRef GPIF_D_13_IOBUF)) + ) + ) + (net N115 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_12)) + (portRef O (instanceRef GPIF_D_12_IOBUF)) + ) + ) + (net N116 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_11)) + (portRef O (instanceRef GPIF_D_11_IOBUF)) + ) + ) + (net N117 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_10)) + (portRef O (instanceRef GPIF_D_10_IOBUF)) + ) + ) + (net N118 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_9)) + (portRef O (instanceRef GPIF_D_9_IOBUF)) + ) + ) + (net N119 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_8)) + (portRef O (instanceRef GPIF_D_8_IOBUF)) + ) + ) + (net N120 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_7)) + (portRef O (instanceRef GPIF_D_7_IOBUF)) + ) + ) + (net N121 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_6)) + (portRef O (instanceRef GPIF_D_6_IOBUF)) + ) + ) + (net N122 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_5)) + (portRef O (instanceRef GPIF_D_5_IOBUF)) + ) + ) + (net N123 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_4)) + (portRef O (instanceRef GPIF_D_4_IOBUF)) + ) + ) + (net N124 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_3)) + (portRef O (instanceRef GPIF_D_3_IOBUF)) + ) + ) + (net N125 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_2)) + (portRef O (instanceRef GPIF_D_2_IOBUF)) + ) + ) + (net N126 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_1)) + (portRef O (instanceRef GPIF_D_1_IOBUF)) + ) + ) + (net N127 + (joined + (portRef D (instanceRef slave_fifo32_gpif_data_in_0)) + (portRef O (instanceRef GPIF_D_0_IOBUF)) + ) + ) + (net (rename codec_ctrl_in_3_ "codec_ctrl_in<3>") + (joined + (portRef (member codec_ctrl_in 0)) + (portRef O (instanceRef codec_ctrl_in_3_OBUF)) + ) + ) + (net (rename codec_ctrl_in_2_ "codec_ctrl_in<2>") + (joined + (portRef (member codec_ctrl_in 1)) + (portRef O (instanceRef codec_ctrl_in_2_OBUF)) + ) + ) + (net (rename codec_ctrl_in_1_ "codec_ctrl_in<1>") + (joined + (portRef (member codec_ctrl_in 2)) + (portRef O (instanceRef codec_ctrl_in_1_OBUF)) + ) + ) + (net (rename codec_ctrl_in_0_ "codec_ctrl_in<0>") + (joined + (portRef (member codec_ctrl_in 3)) + (portRef O (instanceRef codec_ctrl_in_0_OBUF)) + ) + ) + (net (rename tx_codec_d_11_ "tx_codec_d<11>") + (joined + (portRef (member tx_codec_d 0)) + (portRef O (instanceRef tx_codec_d_11_OBUF_renamed_76)) + ) + ) + (net (rename tx_codec_d_10_ "tx_codec_d<10>") + (joined + (portRef (member tx_codec_d 1)) + (portRef O (instanceRef tx_codec_d_10_OBUF_renamed_77)) + ) + ) + (net (rename tx_codec_d_9_ "tx_codec_d<9>") + (joined + (portRef (member tx_codec_d 2)) + (portRef O (instanceRef tx_codec_d_9_OBUF_renamed_78)) + ) + ) + (net (rename tx_codec_d_8_ "tx_codec_d<8>") + (joined + (portRef (member tx_codec_d 3)) + (portRef O (instanceRef tx_codec_d_8_OBUF_renamed_79)) + ) + ) + (net (rename tx_codec_d_7_ "tx_codec_d<7>") + (joined + (portRef (member tx_codec_d 4)) + (portRef O (instanceRef tx_codec_d_7_OBUF_renamed_80)) + ) + ) + (net (rename tx_codec_d_6_ "tx_codec_d<6>") + (joined + (portRef (member tx_codec_d 5)) + (portRef O (instanceRef tx_codec_d_6_OBUF_renamed_81)) + ) + ) + (net (rename tx_codec_d_5_ "tx_codec_d<5>") + (joined + (portRef (member tx_codec_d 6)) + (portRef O (instanceRef tx_codec_d_5_OBUF_renamed_82)) + ) + ) + (net (rename tx_codec_d_4_ "tx_codec_d<4>") + (joined + (portRef (member tx_codec_d 7)) + (portRef O (instanceRef tx_codec_d_4_OBUF_renamed_83)) + ) + ) + (net (rename tx_codec_d_3_ "tx_codec_d<3>") + (joined + (portRef (member tx_codec_d 8)) + (portRef O (instanceRef tx_codec_d_3_OBUF_renamed_84)) + ) + ) + (net (rename tx_codec_d_2_ "tx_codec_d<2>") + (joined + (portRef (member tx_codec_d 9)) + (portRef O (instanceRef tx_codec_d_2_OBUF_renamed_85)) + ) + ) + (net (rename tx_codec_d_1_ "tx_codec_d<1>") + (joined + (portRef (member tx_codec_d 10)) + (portRef O (instanceRef tx_codec_d_1_OBUF_renamed_86)) + ) + ) + (net (rename tx_codec_d_0_ "tx_codec_d<0>") + (joined + (portRef (member tx_codec_d 11)) + (portRef O (instanceRef tx_codec_d_0_OBUF_renamed_87)) + ) + ) + (net (rename debug_31_ "debug<31>") + (joined + (portRef (member debug 0)) + (portRef O (instanceRef debug_31_OBUF)) + ) + ) + (net (rename debug_30_ "debug<30>") + (joined + (portRef (member debug 1)) + (portRef O (instanceRef debug_30_OBUF)) + ) + ) + (net (rename debug_29_ "debug<29>") + (joined + (portRef (member debug 2)) + (portRef O (instanceRef debug_29_OBUF)) + ) + ) + (net (rename debug_28_ "debug<28>") + (joined + (portRef (member debug 3)) + (portRef O (instanceRef debug_28_OBUF)) + ) + ) + (net (rename debug_27_ "debug<27>") + (joined + (portRef (member debug 4)) + (portRef O (instanceRef debug_27_OBUF)) + ) + ) + (net (rename debug_26_ "debug<26>") + (joined + (portRef (member debug 5)) + (portRef O (instanceRef debug_26_OBUF)) + ) + ) + (net (rename debug_25_ "debug<25>") + (joined + (portRef (member debug 6)) + (portRef O (instanceRef debug_25_OBUF)) + ) + ) + (net (rename debug_24_ "debug<24>") + (joined + (portRef (member debug 7)) + (portRef O (instanceRef debug_24_OBUF)) + ) + ) + (net (rename debug_23_ "debug<23>") + (joined + (portRef (member debug 8)) + (portRef O (instanceRef debug_23_OBUF)) + ) + ) + (net (rename debug_22_ "debug<22>") + (joined + (portRef (member debug 9)) + (portRef O (instanceRef debug_22_OBUF)) + ) + ) + (net (rename debug_21_ "debug<21>") + (joined + (portRef (member debug 10)) + (portRef O (instanceRef debug_21_OBUF)) + ) + ) + (net (rename debug_20_ "debug<20>") + (joined + (portRef (member debug 11)) + (portRef O (instanceRef debug_20_OBUF)) + ) + ) + (net (rename debug_19_ "debug<19>") + (joined + (portRef (member debug 12)) + (portRef O (instanceRef debug_19_OBUF)) + ) + ) + (net (rename debug_18_ "debug<18>") + (joined + (portRef (member debug 13)) + (portRef O (instanceRef debug_18_OBUF)) + ) + ) + (net (rename debug_17_ "debug<17>") + (joined + (portRef (member debug 14)) + (portRef O (instanceRef debug_17_OBUF)) + ) + ) + (net (rename debug_16_ "debug<16>") + (joined + (portRef (member debug 15)) + (portRef O (instanceRef debug_16_OBUF)) + ) + ) + (net (rename debug_15_ "debug<15>") + (joined + (portRef (member debug 16)) + (portRef O (instanceRef debug_15_OBUF)) + ) + ) + (net (rename debug_14_ "debug<14>") + (joined + (portRef (member debug 17)) + (portRef O (instanceRef debug_14_OBUF)) + ) + ) + (net (rename debug_13_ "debug<13>") + (joined + (portRef (member debug 18)) + (portRef O (instanceRef debug_13_OBUF)) + ) + ) + (net (rename debug_12_ "debug<12>") + (joined + (portRef (member debug 19)) + (portRef O (instanceRef debug_12_OBUF)) + ) + ) + (net (rename debug_11_ "debug<11>") + (joined + (portRef (member debug 20)) + (portRef O (instanceRef debug_11_OBUF)) + ) + ) + (net (rename debug_10_ "debug<10>") + (joined + (portRef (member debug 21)) + (portRef O (instanceRef debug_10_OBUF)) + ) + ) + (net (rename debug_9_ "debug<9>") + (joined + (portRef (member debug 22)) + (portRef O (instanceRef debug_9_OBUF)) + ) + ) + (net (rename debug_8_ "debug<8>") + (joined + (portRef (member debug 23)) + (portRef O (instanceRef debug_8_OBUF)) + ) + ) + (net (rename debug_7_ "debug<7>") + (joined + (portRef (member debug 24)) + (portRef O (instanceRef debug_7_OBUF)) + ) + ) + (net (rename debug_6_ "debug<6>") + (joined + (portRef (member debug 25)) + (portRef O (instanceRef debug_6_OBUF)) + ) + ) + (net (rename debug_5_ "debug<5>") + (joined + (portRef (member debug 26)) + (portRef O (instanceRef debug_5_OBUF)) + ) + ) + (net (rename debug_4_ "debug<4>") + (joined + (portRef (member debug 27)) + (portRef O (instanceRef debug_4_OBUF)) + ) + ) + (net (rename debug_3_ "debug<3>") + (joined + (portRef (member debug 28)) + (portRef O (instanceRef debug_3_OBUF)) + ) + ) + (net (rename debug_2_ "debug<2>") + (joined + (portRef (member debug 29)) + (portRef O (instanceRef debug_2_OBUF)) + ) + ) + (net (rename debug_1_ "debug<1>") + (joined + (portRef (member debug 30)) + (portRef O (instanceRef debug_1_OBUF)) + ) + ) + (net (rename debug_0_ "debug<0>") + (joined + (portRef (member debug 31)) + (portRef O (instanceRef debug_0_OBUF)) + ) + ) + (net (rename debug_clk_1_ "debug_clk<1>") + (joined + (portRef (member debug_clk 0)) + (portRef O (instanceRef debug_clk_1_OBUF_renamed_88)) + ) + ) + (net (rename debug_clk_0_ "debug_clk<0>") + (joined + (portRef (member debug_clk 1)) + (portRef O (instanceRef debug_clk_0_OBUF)) + ) + ) + (net cat_ce + (joined + (portRef cat_ce) + (portRef O (instanceRef cat_ce_OBUF)) + ) + ) + (net cat_mosi + (joined + (portRef cat_mosi) + (portRef O (instanceRef cat_mosi_OBUF_renamed_89)) + ) + ) + (net cat_sclk + (joined + (portRef cat_sclk) + (portRef O (instanceRef cat_sclk_OBUF_renamed_90)) + ) + ) + (net fx3_miso + (joined + (portRef fx3_miso) + (portRef O (instanceRef fx3_miso_OBUF_renamed_91)) + ) + ) + (net pll_ce + (joined + (portRef pll_ce) + (portRef O (instanceRef pll_ce_OBUF)) + ) + ) + (net pll_mosi + (joined + (portRef pll_mosi) + (portRef O (instanceRef pll_mosi_OBUF)) + ) + ) + (net pll_sclk + (joined + (portRef pll_sclk) + (portRef O (instanceRef pll_sclk_OBUF)) + ) + ) + (net codec_enable + (joined + (portRef codec_enable) + (portRef O (instanceRef codec_enable_OBUF)) + ) + ) + (net codec_en_agc + (joined + (portRef codec_en_agc) + (portRef O (instanceRef codec_en_agc_OBUF)) + ) + ) + (net codec_reset + (joined + (portRef codec_reset) + (portRef O (instanceRef codec_reset_OBUF)) + ) + ) + (net codec_sync + (joined + (portRef codec_sync) + (portRef O (instanceRef codec_sync_OBUF)) + ) + ) + (net codec_txrx + (joined + (portRef codec_txrx) + (portRef O (instanceRef codec_txrx_OBUF)) + ) + ) + (net codec_fb_clk_p + (joined + (portRef codec_fb_clk_p) + (portRef O (instanceRef codec_fb_clk_p_OBUF_renamed_92)) + ) + ) + (net tx_frame_p + (joined + (portRef tx_frame_p) + (portRef O (instanceRef tx_frame_p_OBUF_renamed_93)) + ) + ) + (net IFCLK + (joined + (portRef IFCLK) + (portRef O (instanceRef IFCLK_OBUF_renamed_94)) + ) + ) + (net FX3_EXTINT + (joined + (portRef FX3_EXTINT) + (portRef O (instanceRef FX3_EXTINT_OBUF)) + ) + ) + (net GPIF_CTL0 + (joined + (portRef GPIF_CTL0) + (portRef O (instanceRef GPIF_CTL0_OBUF)) + ) + ) + (net GPIF_CTL1 + (joined + (portRef GPIF_CTL1) + (portRef O (instanceRef GPIF_CTL1_OBUF)) + ) + ) + (net GPIF_CTL2 + (joined + (portRef GPIF_CTL2) + (portRef O (instanceRef GPIF_CTL2_OBUF)) + ) + ) + (net GPIF_CTL3 + (joined + (portRef GPIF_CTL3) + (portRef O (instanceRef GPIF_CTL3_OBUF)) + ) + ) + (net GPIF_CTL7 + (joined + (portRef GPIF_CTL7) + (portRef O (instanceRef GPIF_CTL7_OBUF)) + ) + ) + (net GPIF_CTL11 + (joined + (portRef GPIF_CTL11) + (portRef O (instanceRef GPIF_CTL11_OBUF)) + ) + ) + (net GPIF_CTL12 + (joined + (portRef GPIF_CTL12) + (portRef O (instanceRef GPIF_CTL12_OBUF)) + ) + ) + (net gps_out_enable + (joined + (portRef gps_out_enable) + (portRef O (instanceRef gps_out_enable_OBUF)) + ) + ) + (net gps_ref_enable + (joined + (portRef gps_ref_enable) + (portRef O (instanceRef gps_ref_enable_OBUF)) + ) + ) + (net LED_RX1 + (joined + (portRef LED_RX1) + (portRef O (instanceRef LED_RX1_OBUF)) + ) + ) + (net LED_RX2 + (joined + (portRef LED_RX2) + (portRef O (instanceRef LED_RX2_OBUF)) + ) + ) + (net LED_TXRX1_RX + (joined + (portRef LED_TXRX1_RX) + (portRef O (instanceRef LED_TXRX1_RX_OBUF)) + ) + ) + (net LED_TXRX1_TX + (joined + (portRef LED_TXRX1_TX) + (portRef O (instanceRef LED_TXRX1_TX_OBUF)) + ) + ) + (net LED_TXRX2_RX + (joined + (portRef LED_TXRX2_RX) + (portRef O (instanceRef LED_TXRX2_RX_OBUF)) + ) + ) + (net LED_TXRX2_TX + (joined + (portRef LED_TXRX2_TX) + (portRef O (instanceRef LED_TXRX2_TX_OBUF)) + ) + ) + (net ext_ref_enable + (joined + (portRef ext_ref_enable) + (portRef O (instanceRef ext_ref_enable_OBUF)) + ) + ) + (net pps_fpga_out_enable + (joined + (portRef pps_fpga_out_enable) + (portRef O (instanceRef pps_fpga_out_enable_OBUF)) + ) + ) + (net SFDX1_RX + (joined + (portRef SFDX1_RX) + (portRef O (instanceRef SFDX1_RX_OBUF)) + ) + ) + (net SFDX1_TX + (joined + (portRef SFDX1_TX) + (portRef O (instanceRef SFDX1_TX_OBUF)) + ) + ) + (net SFDX2_RX + (joined + (portRef SFDX2_RX) + (portRef O (instanceRef SFDX2_RX_OBUF)) + ) + ) + (net SFDX2_TX + (joined + (portRef SFDX2_TX) + (portRef O (instanceRef SFDX2_TX_OBUF)) + ) + ) + (net SRX1_RX + (joined + (portRef SRX1_RX) + (portRef O (instanceRef SRX1_RX_OBUF)) + ) + ) + (net SRX1_TX + (joined + (portRef SRX1_TX) + (portRef O (instanceRef SRX1_TX_OBUF)) + ) + ) + (net SRX2_RX + (joined + (portRef SRX2_RX) + (portRef O (instanceRef SRX2_RX_OBUF)) + ) + ) + (net SRX2_TX + (joined + (portRef SRX2_TX) + (portRef O (instanceRef SRX2_TX_OBUF)) + ) + ) + (net tx_bandsel_a + (joined + (portRef tx_bandsel_a) + (portRef O (instanceRef tx_bandsel_a_OBUF)) + ) + ) + (net tx_bandsel_b + (joined + (portRef tx_bandsel_b) + (portRef O (instanceRef tx_bandsel_b_OBUF)) + ) + ) + (net tx_enable1 + (joined + (portRef tx_enable1) + (portRef O (instanceRef tx_enable1_OBUF)) + ) + ) + (net tx_enable2 + (joined + (portRef tx_enable2) + (portRef O (instanceRef tx_enable2_OBUF)) + ) + ) + (net rx_bandsel_a + (joined + (portRef rx_bandsel_a) + (portRef O (instanceRef rx_bandsel_a_OBUF)) + ) + ) + (net rx_bandsel_b + (joined + (portRef rx_bandsel_b) + (portRef O (instanceRef rx_bandsel_b_OBUF)) + ) + ) + (net rx_bandsel_c + (joined + (portRef rx_bandsel_c) + (portRef O (instanceRef rx_bandsel_c_OBUF_renamed_95)) + ) + ) + (net (rename slave_fifo32_sloe_1 "slave_fifo32/sloe_1") + (joined + (portRef I (instanceRef GPIF_CTL2_OBUF)) + (portRef Q (instanceRef slave_fifo32_sloe_1_renamed_259)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_state_glue_set "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/state_glue_set") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_state_renamed_96)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_state_glue_set_renamed_528)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_state_glue_set "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/state_glue_set") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_state_renamed_97)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_state_glue_set_renamed_529)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/full_glue_set") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_renamed_98)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set_renamed_530)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/empty_glue_rst") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_renamed_99)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst_renamed_535)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/full_glue_set") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_renamed_100)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set_renamed_531)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/empty_glue_rst") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_renamed_101)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst_renamed_536)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_full_reg_glue_set "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/full_reg_glue_set") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_full_reg_renamed_102)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_full_reg_glue_set_renamed_523)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg_glue_set "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/full_reg_glue_set") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg_renamed_103)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg_glue_set_renamed_426)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/empty_glue_rst") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_renamed_104)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst_renamed_417)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full_glue_set "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/full_glue_set") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full_renamed_105)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full_glue_set_renamed_419)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_state_glue_set "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/state_glue_set") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_state_renamed_106)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_state_glue_set_renamed_513)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_full_reg_glue_set "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/full_reg_glue_set") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_full_reg_renamed_107)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_full_reg_glue_set_renamed_434)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/full_reg_glue_set") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_renamed_108)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_cy1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump_glue_set "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/dump_glue_set") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump_renamed_109)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump_glue_set_renamed_432)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/empty_glue_rst") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_renamed_110)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst_renamed_418)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full_glue_set "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/full_glue_set") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full_renamed_111)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full_glue_set_renamed_420)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_state_glue_set "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/state_glue_set") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_state_renamed_112)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_state_glue_set_renamed_514)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_full_reg_glue_set "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/full_reg_glue_set") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_full_reg_renamed_113)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_full_reg_glue_set_renamed_435)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg_glue_set "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/full_reg_glue_set") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg_renamed_114)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg_glue_set_renamed_421)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump_glue_set "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/dump_glue_set") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump_renamed_115)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump_glue_set_renamed_422)) + ) + ) + (net (rename f1_full_reg_glue_set "f1/full_reg_glue_set") + (joined + (portRef D (instanceRef f1_full_reg_renamed_116)) + (portRef O (instanceRef f1_full_reg_glue_set_renamed_537)) + ) + ) + (net (rename f0_full_reg_glue_set "f0/full_reg_glue_set") + (joined + (portRef D (instanceRef f0_full_reg_renamed_117)) + (portRef O (instanceRef f0_full_reg_glue_set_renamed_538)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<1>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_1__rt_renamed_118)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_1__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<0>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_0__rt_renamed_119)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_0__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_11__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<11>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_11__rt_renamed_120)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_11__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_11__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_10__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<10>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_10__rt_renamed_121)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_10__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_10__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_9__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<9>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_9__rt_renamed_122)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_9__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_9__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<8>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8__rt_renamed_123)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<7>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7__rt_renamed_124)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<6>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6__rt_renamed_125)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<5>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5__rt_renamed_126)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<4>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4__rt_renamed_127)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<3>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3__rt_renamed_128)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<2>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2__rt_renamed_129)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<1>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1__rt_renamed_130)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<0>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0__rt_renamed_131)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_11__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<11>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_11__rt_renamed_132)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_11__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_11__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_10__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<10>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_10__rt_renamed_133)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_10__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_10__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_9__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<9>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_9__rt_renamed_134)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_9__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_9__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<8>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8__rt_renamed_135)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<7>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7__rt_renamed_136)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<6>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6__rt_renamed_137)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<5>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5__rt_renamed_138)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<4>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4__rt_renamed_139)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<3>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3__rt_renamed_140)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<2>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2__rt_renamed_141)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<1>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1__rt_renamed_142)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<0>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0__rt_renamed_143)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<8>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8__rt_renamed_144)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<7>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7__rt_renamed_145)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<6>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6__rt_renamed_146)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<5>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5__rt_renamed_147)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<4>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4__rt_renamed_148)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<3>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3__rt_renamed_149)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<2>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2__rt_renamed_150)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<1>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1__rt_renamed_151)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<0>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0__rt_renamed_152)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<8>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8__rt_renamed_153)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<7>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7__rt_renamed_154)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<6>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6__rt_renamed_155)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<5>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5__rt_renamed_156)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<4>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4__rt_renamed_157)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<3>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3__rt_renamed_158)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<2>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2__rt_renamed_159)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<1>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1__rt_renamed_160)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<0>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0__rt_renamed_161)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_7__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<7>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_7__rt_renamed_162)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_7__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_6__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<6>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_6__rt_renamed_163)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_6__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_5__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<5>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_5__rt_renamed_164)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_5__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_4__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<4>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_4__rt_renamed_165)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_4__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_3__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<3>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_3__rt_renamed_166)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_3__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_2__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<2>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_2__rt_renamed_167)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_2__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<1>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_1__rt_renamed_168)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_1__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<0>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_0__rt_renamed_169)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_0__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_7__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<7>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_7__rt_renamed_170)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_7__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_6__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<6>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_6__rt_renamed_171)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_6__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_5__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<5>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_5__rt_renamed_172)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_5__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_4__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<4>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_4__rt_renamed_173)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_4__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_3__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<3>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_3__rt_renamed_174)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_3__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_2__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<2>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_2__rt_renamed_175)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_2__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<1>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_1__rt_renamed_176)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_1__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<0>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_0__rt_renamed_177)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_0__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<0>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_0__rt_renamed_178)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_0__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<1>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_1__rt_renamed_179)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_1__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_7__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<7>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_7__rt_renamed_180)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_7__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_6__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<6>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_6__rt_renamed_181)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_6__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_5__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<5>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_5__rt_renamed_182)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_5__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_4__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<4>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_4__rt_renamed_183)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_4__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_3__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<3>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_3__rt_renamed_184)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_3__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_2__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<2>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_2__rt_renamed_185)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_2__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<1>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_1__rt_renamed_186)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_1__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<0>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_0__rt_renamed_187)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_0__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_7__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<7>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_7__rt_renamed_188)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_7__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_7__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_6__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<6>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_6__rt_renamed_189)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_6__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_6__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_5__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<5>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_5__rt_renamed_190)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_5__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_5__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_4__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<4>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_4__rt_renamed_191)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_4__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_4__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_3__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<3>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_3__rt_renamed_192)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_3__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_3__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_2__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<2>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_2__rt_renamed_193)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_2__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_2__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<1>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_1__rt_renamed_194)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_1__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_1__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<0>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_0__rt_renamed_195)) + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_0__)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_0__)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_11__rt "f1/Mcount_rd_addr_cy<11>_rt") + (joined + (portRef O (instanceRef f1_Mcount_rd_addr_cy_11__rt_renamed_196)) + (portRef S (instanceRef f1_Mcount_rd_addr_cy_11__)) + (portRef LI (instanceRef f1_Mcount_rd_addr_xor_11__)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_10__rt "f1/Mcount_rd_addr_cy<10>_rt") + (joined + (portRef O (instanceRef f1_Mcount_rd_addr_cy_10__rt_renamed_197)) + (portRef S (instanceRef f1_Mcount_rd_addr_cy_10__)) + (portRef LI (instanceRef f1_Mcount_rd_addr_xor_10__)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_9__rt "f1/Mcount_rd_addr_cy<9>_rt") + (joined + (portRef O (instanceRef f1_Mcount_rd_addr_cy_9__rt_renamed_198)) + (portRef S (instanceRef f1_Mcount_rd_addr_cy_9__)) + (portRef LI (instanceRef f1_Mcount_rd_addr_xor_9__)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_8__rt "f1/Mcount_rd_addr_cy<8>_rt") + (joined + (portRef O (instanceRef f1_Mcount_rd_addr_cy_8__rt_renamed_199)) + (portRef S (instanceRef f1_Mcount_rd_addr_cy_8__)) + (portRef LI (instanceRef f1_Mcount_rd_addr_xor_8__)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_7__rt "f1/Mcount_rd_addr_cy<7>_rt") + (joined + (portRef O (instanceRef f1_Mcount_rd_addr_cy_7__rt_renamed_200)) + (portRef S (instanceRef f1_Mcount_rd_addr_cy_7__)) + (portRef LI (instanceRef f1_Mcount_rd_addr_xor_7__)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_6__rt "f1/Mcount_rd_addr_cy<6>_rt") + (joined + (portRef O (instanceRef f1_Mcount_rd_addr_cy_6__rt_renamed_201)) + (portRef S (instanceRef f1_Mcount_rd_addr_cy_6__)) + (portRef LI (instanceRef f1_Mcount_rd_addr_xor_6__)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_5__rt "f1/Mcount_rd_addr_cy<5>_rt") + (joined + (portRef O (instanceRef f1_Mcount_rd_addr_cy_5__rt_renamed_202)) + (portRef S (instanceRef f1_Mcount_rd_addr_cy_5__)) + (portRef LI (instanceRef f1_Mcount_rd_addr_xor_5__)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_4__rt "f1/Mcount_rd_addr_cy<4>_rt") + (joined + (portRef O (instanceRef f1_Mcount_rd_addr_cy_4__rt_renamed_203)) + (portRef S (instanceRef f1_Mcount_rd_addr_cy_4__)) + (portRef LI (instanceRef f1_Mcount_rd_addr_xor_4__)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_3__rt "f1/Mcount_rd_addr_cy<3>_rt") + (joined + (portRef O (instanceRef f1_Mcount_rd_addr_cy_3__rt_renamed_204)) + (portRef S (instanceRef f1_Mcount_rd_addr_cy_3__)) + (portRef LI (instanceRef f1_Mcount_rd_addr_xor_3__)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_2__rt "f1/Mcount_rd_addr_cy<2>_rt") + (joined + (portRef O (instanceRef f1_Mcount_rd_addr_cy_2__rt_renamed_205)) + (portRef S (instanceRef f1_Mcount_rd_addr_cy_2__)) + (portRef LI (instanceRef f1_Mcount_rd_addr_xor_2__)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_1__rt "f1/Mcount_rd_addr_cy<1>_rt") + (joined + (portRef O (instanceRef f1_Mcount_rd_addr_cy_1__rt_renamed_206)) + (portRef S (instanceRef f1_Mcount_rd_addr_cy_1__)) + (portRef LI (instanceRef f1_Mcount_rd_addr_xor_1__)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_11__rt "f1/Mcount_wr_addr_cy<11>_rt") + (joined + (portRef O (instanceRef f1_Mcount_wr_addr_cy_11__rt_renamed_207)) + (portRef S (instanceRef f1_Mcount_wr_addr_cy_11__)) + (portRef LI (instanceRef f1_Mcount_wr_addr_xor_11__)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_10__rt "f1/Mcount_wr_addr_cy<10>_rt") + (joined + (portRef O (instanceRef f1_Mcount_wr_addr_cy_10__rt_renamed_208)) + (portRef S (instanceRef f1_Mcount_wr_addr_cy_10__)) + (portRef LI (instanceRef f1_Mcount_wr_addr_xor_10__)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_9__rt "f1/Mcount_wr_addr_cy<9>_rt") + (joined + (portRef O (instanceRef f1_Mcount_wr_addr_cy_9__rt_renamed_209)) + (portRef S (instanceRef f1_Mcount_wr_addr_cy_9__)) + (portRef LI (instanceRef f1_Mcount_wr_addr_xor_9__)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_8__rt "f1/Mcount_wr_addr_cy<8>_rt") + (joined + (portRef O (instanceRef f1_Mcount_wr_addr_cy_8__rt_renamed_210)) + (portRef S (instanceRef f1_Mcount_wr_addr_cy_8__)) + (portRef LI (instanceRef f1_Mcount_wr_addr_xor_8__)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_7__rt "f1/Mcount_wr_addr_cy<7>_rt") + (joined + (portRef O (instanceRef f1_Mcount_wr_addr_cy_7__rt_renamed_211)) + (portRef S (instanceRef f1_Mcount_wr_addr_cy_7__)) + (portRef LI (instanceRef f1_Mcount_wr_addr_xor_7__)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_6__rt "f1/Mcount_wr_addr_cy<6>_rt") + (joined + (portRef O (instanceRef f1_Mcount_wr_addr_cy_6__rt_renamed_212)) + (portRef S (instanceRef f1_Mcount_wr_addr_cy_6__)) + (portRef LI (instanceRef f1_Mcount_wr_addr_xor_6__)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_5__rt "f1/Mcount_wr_addr_cy<5>_rt") + (joined + (portRef O (instanceRef f1_Mcount_wr_addr_cy_5__rt_renamed_213)) + (portRef S (instanceRef f1_Mcount_wr_addr_cy_5__)) + (portRef LI (instanceRef f1_Mcount_wr_addr_xor_5__)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_4__rt "f1/Mcount_wr_addr_cy<4>_rt") + (joined + (portRef O (instanceRef f1_Mcount_wr_addr_cy_4__rt_renamed_214)) + (portRef S (instanceRef f1_Mcount_wr_addr_cy_4__)) + (portRef LI (instanceRef f1_Mcount_wr_addr_xor_4__)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_3__rt "f1/Mcount_wr_addr_cy<3>_rt") + (joined + (portRef O (instanceRef f1_Mcount_wr_addr_cy_3__rt_renamed_215)) + (portRef S (instanceRef f1_Mcount_wr_addr_cy_3__)) + (portRef LI (instanceRef f1_Mcount_wr_addr_xor_3__)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_2__rt "f1/Mcount_wr_addr_cy<2>_rt") + (joined + (portRef O (instanceRef f1_Mcount_wr_addr_cy_2__rt_renamed_216)) + (portRef S (instanceRef f1_Mcount_wr_addr_cy_2__)) + (portRef LI (instanceRef f1_Mcount_wr_addr_xor_2__)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_1__rt "f1/Mcount_wr_addr_cy<1>_rt") + (joined + (portRef O (instanceRef f1_Mcount_wr_addr_cy_1__rt_renamed_217)) + (portRef S (instanceRef f1_Mcount_wr_addr_cy_1__)) + (portRef LI (instanceRef f1_Mcount_wr_addr_xor_1__)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_cy_1__rt "f1/Msub_dont_write_past_me_cy<1>_rt") + (joined + (portRef O (instanceRef f1_Msub_dont_write_past_me_cy_1__rt_renamed_218)) + (portRef S (instanceRef f1_Msub_dont_write_past_me_cy_1__)) + (portRef LI (instanceRef f1_Msub_dont_write_past_me_xor_1__)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_cy_0__rt "f1/Msub_dont_write_past_me_cy<0>_rt") + (joined + (portRef O (instanceRef f1_Msub_dont_write_past_me_cy_0__rt_renamed_219)) + (portRef S (instanceRef f1_Msub_dont_write_past_me_cy_0__)) + (portRef LI (instanceRef f1_Msub_dont_write_past_me_xor_0__)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_11__rt "f0/Mcount_rd_addr_cy<11>_rt") + (joined + (portRef O (instanceRef f0_Mcount_rd_addr_cy_11__rt_renamed_220)) + (portRef S (instanceRef f0_Mcount_rd_addr_cy_11__)) + (portRef LI (instanceRef f0_Mcount_rd_addr_xor_11__)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_10__rt "f0/Mcount_rd_addr_cy<10>_rt") + (joined + (portRef O (instanceRef f0_Mcount_rd_addr_cy_10__rt_renamed_221)) + (portRef S (instanceRef f0_Mcount_rd_addr_cy_10__)) + (portRef LI (instanceRef f0_Mcount_rd_addr_xor_10__)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_9__rt "f0/Mcount_rd_addr_cy<9>_rt") + (joined + (portRef O (instanceRef f0_Mcount_rd_addr_cy_9__rt_renamed_222)) + (portRef S (instanceRef f0_Mcount_rd_addr_cy_9__)) + (portRef LI (instanceRef f0_Mcount_rd_addr_xor_9__)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_8__rt "f0/Mcount_rd_addr_cy<8>_rt") + (joined + (portRef O (instanceRef f0_Mcount_rd_addr_cy_8__rt_renamed_223)) + (portRef S (instanceRef f0_Mcount_rd_addr_cy_8__)) + (portRef LI (instanceRef f0_Mcount_rd_addr_xor_8__)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_7__rt "f0/Mcount_rd_addr_cy<7>_rt") + (joined + (portRef O (instanceRef f0_Mcount_rd_addr_cy_7__rt_renamed_224)) + (portRef S (instanceRef f0_Mcount_rd_addr_cy_7__)) + (portRef LI (instanceRef f0_Mcount_rd_addr_xor_7__)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_6__rt "f0/Mcount_rd_addr_cy<6>_rt") + (joined + (portRef O (instanceRef f0_Mcount_rd_addr_cy_6__rt_renamed_225)) + (portRef S (instanceRef f0_Mcount_rd_addr_cy_6__)) + (portRef LI (instanceRef f0_Mcount_rd_addr_xor_6__)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_5__rt "f0/Mcount_rd_addr_cy<5>_rt") + (joined + (portRef O (instanceRef f0_Mcount_rd_addr_cy_5__rt_renamed_226)) + (portRef S (instanceRef f0_Mcount_rd_addr_cy_5__)) + (portRef LI (instanceRef f0_Mcount_rd_addr_xor_5__)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_4__rt "f0/Mcount_rd_addr_cy<4>_rt") + (joined + (portRef O (instanceRef f0_Mcount_rd_addr_cy_4__rt_renamed_227)) + (portRef S (instanceRef f0_Mcount_rd_addr_cy_4__)) + (portRef LI (instanceRef f0_Mcount_rd_addr_xor_4__)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_3__rt "f0/Mcount_rd_addr_cy<3>_rt") + (joined + (portRef O (instanceRef f0_Mcount_rd_addr_cy_3__rt_renamed_228)) + (portRef S (instanceRef f0_Mcount_rd_addr_cy_3__)) + (portRef LI (instanceRef f0_Mcount_rd_addr_xor_3__)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_2__rt "f0/Mcount_rd_addr_cy<2>_rt") + (joined + (portRef O (instanceRef f0_Mcount_rd_addr_cy_2__rt_renamed_229)) + (portRef S (instanceRef f0_Mcount_rd_addr_cy_2__)) + (portRef LI (instanceRef f0_Mcount_rd_addr_xor_2__)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_1__rt "f0/Mcount_rd_addr_cy<1>_rt") + (joined + (portRef O (instanceRef f0_Mcount_rd_addr_cy_1__rt_renamed_230)) + (portRef S (instanceRef f0_Mcount_rd_addr_cy_1__)) + (portRef LI (instanceRef f0_Mcount_rd_addr_xor_1__)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_11__rt "f0/Mcount_wr_addr_cy<11>_rt") + (joined + (portRef O (instanceRef f0_Mcount_wr_addr_cy_11__rt_renamed_231)) + (portRef S (instanceRef f0_Mcount_wr_addr_cy_11__)) + (portRef LI (instanceRef f0_Mcount_wr_addr_xor_11__)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_10__rt "f0/Mcount_wr_addr_cy<10>_rt") + (joined + (portRef O (instanceRef f0_Mcount_wr_addr_cy_10__rt_renamed_232)) + (portRef S (instanceRef f0_Mcount_wr_addr_cy_10__)) + (portRef LI (instanceRef f0_Mcount_wr_addr_xor_10__)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_9__rt "f0/Mcount_wr_addr_cy<9>_rt") + (joined + (portRef O (instanceRef f0_Mcount_wr_addr_cy_9__rt_renamed_233)) + (portRef S (instanceRef f0_Mcount_wr_addr_cy_9__)) + (portRef LI (instanceRef f0_Mcount_wr_addr_xor_9__)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_8__rt "f0/Mcount_wr_addr_cy<8>_rt") + (joined + (portRef O (instanceRef f0_Mcount_wr_addr_cy_8__rt_renamed_234)) + (portRef S (instanceRef f0_Mcount_wr_addr_cy_8__)) + (portRef LI (instanceRef f0_Mcount_wr_addr_xor_8__)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_7__rt "f0/Mcount_wr_addr_cy<7>_rt") + (joined + (portRef O (instanceRef f0_Mcount_wr_addr_cy_7__rt_renamed_235)) + (portRef S (instanceRef f0_Mcount_wr_addr_cy_7__)) + (portRef LI (instanceRef f0_Mcount_wr_addr_xor_7__)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_6__rt "f0/Mcount_wr_addr_cy<6>_rt") + (joined + (portRef O (instanceRef f0_Mcount_wr_addr_cy_6__rt_renamed_236)) + (portRef S (instanceRef f0_Mcount_wr_addr_cy_6__)) + (portRef LI (instanceRef f0_Mcount_wr_addr_xor_6__)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_5__rt "f0/Mcount_wr_addr_cy<5>_rt") + (joined + (portRef O (instanceRef f0_Mcount_wr_addr_cy_5__rt_renamed_237)) + (portRef S (instanceRef f0_Mcount_wr_addr_cy_5__)) + (portRef LI (instanceRef f0_Mcount_wr_addr_xor_5__)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_4__rt "f0/Mcount_wr_addr_cy<4>_rt") + (joined + (portRef O (instanceRef f0_Mcount_wr_addr_cy_4__rt_renamed_238)) + (portRef S (instanceRef f0_Mcount_wr_addr_cy_4__)) + (portRef LI (instanceRef f0_Mcount_wr_addr_xor_4__)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_3__rt "f0/Mcount_wr_addr_cy<3>_rt") + (joined + (portRef O (instanceRef f0_Mcount_wr_addr_cy_3__rt_renamed_239)) + (portRef S (instanceRef f0_Mcount_wr_addr_cy_3__)) + (portRef LI (instanceRef f0_Mcount_wr_addr_xor_3__)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_2__rt "f0/Mcount_wr_addr_cy<2>_rt") + (joined + (portRef O (instanceRef f0_Mcount_wr_addr_cy_2__rt_renamed_240)) + (portRef S (instanceRef f0_Mcount_wr_addr_cy_2__)) + (portRef LI (instanceRef f0_Mcount_wr_addr_xor_2__)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_1__rt "f0/Mcount_wr_addr_cy<1>_rt") + (joined + (portRef O (instanceRef f0_Mcount_wr_addr_cy_1__rt_renamed_241)) + (portRef S (instanceRef f0_Mcount_wr_addr_cy_1__)) + (portRef LI (instanceRef f0_Mcount_wr_addr_xor_1__)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_cy_1__rt "f0/Msub_dont_write_past_me_cy<1>_rt") + (joined + (portRef O (instanceRef f0_Msub_dont_write_past_me_cy_1__rt_renamed_242)) + (portRef S (instanceRef f0_Msub_dont_write_past_me_cy_1__)) + (portRef LI (instanceRef f0_Msub_dont_write_past_me_xor_1__)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_cy_0__rt "f0/Msub_dont_write_past_me_cy<0>_rt") + (joined + (portRef O (instanceRef f0_Msub_dont_write_past_me_cy_0__rt_renamed_243)) + (portRef S (instanceRef f0_Msub_dont_write_past_me_cy_0__)) + (portRef LI (instanceRef f0_Msub_dont_write_past_me_xor_0__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_12__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<12>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_12__rt_renamed_244)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_12__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_12__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<12>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_12__rt_renamed_245)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_12__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_9__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<9>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_9__rt_renamed_246)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_9__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_9__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<9>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_9__rt_renamed_247)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_9__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_8__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_xor<8>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_8__rt_renamed_248)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_8__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_xor<8>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_8__rt_renamed_249)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_8__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_xor<8>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_8__rt_renamed_250)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_8__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_8__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_xor<8>_rt") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_8__rt_renamed_251)) + (portRef LI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_8__)) + ) + ) + (net (rename f1_Mcount_rd_addr_xor_12__rt "f1/Mcount_rd_addr_xor<12>_rt") + (joined + (portRef O (instanceRef f1_Mcount_rd_addr_xor_12__rt_renamed_252)) + (portRef LI (instanceRef f1_Mcount_rd_addr_xor_12__)) + ) + ) + (net (rename f1_Mcount_wr_addr_xor_12__rt "f1/Mcount_wr_addr_xor<12>_rt") + (joined + (portRef O (instanceRef f1_Mcount_wr_addr_xor_12__rt_renamed_253)) + (portRef LI (instanceRef f1_Mcount_wr_addr_xor_12__)) + ) + ) + (net (rename f0_Mcount_rd_addr_xor_12__rt "f0/Mcount_rd_addr_xor<12>_rt") + (joined + (portRef O (instanceRef f0_Mcount_rd_addr_xor_12__rt_renamed_254)) + (portRef LI (instanceRef f0_Mcount_rd_addr_xor_12__)) + ) + ) + (net (rename f0_Mcount_wr_addr_xor_12__rt "f0/Mcount_wr_addr_xor<12>_rt") + (joined + (portRef O (instanceRef f0_Mcount_wr_addr_xor_12__rt_renamed_255)) + (portRef LI (instanceRef f0_Mcount_wr_addr_xor_12__)) + ) + ) + (net (rename slave_fifo32_wr_one_rstpot "slave_fifo32/wr_one_rstpot") + (joined + (portRef D (instanceRef slave_fifo32_wr_one_renamed_256)) + (portRef O (instanceRef slave_fifo32_wr_one_rstpot_renamed_512)) + ) + ) + (net (rename slave_fifo32_slrd_rstpot "slave_fifo32/slrd_rstpot") + (joined + (portRef D (instanceRef slave_fifo32_slrd_renamed_257)) + (portRef O (instanceRef slave_fifo32_slrd_rstpot_renamed_515)) + (portRef D (instanceRef slave_fifo32_slrd_1_renamed_550)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_empty_reg_rstpot "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/empty_reg_rstpot") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_empty_reg_renamed_258)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_empty_reg_rstpot_renamed_511)) + ) + ) + (net (rename slave_fifo32_sloe_1_rstpot "slave_fifo32/sloe_1_rstpot") + (joined + (portRef D (instanceRef slave_fifo32_sloe_1_renamed_259)) + (portRef O (instanceRef slave_fifo32_sloe_1_rstpot_renamed_534)) + (portRef D (instanceRef slave_fifo32_sloe_34_renamed_549)) + ) + ) + (net N160 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_FRB_renamed_260)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_0__)) + ) + ) + (net N161 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr1_FRB_renamed_261)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_1__)) + ) + ) + (net N162 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr2_FRB_renamed_262)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_2__)) + ) + ) + (net N163 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr3_FRB_renamed_263)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_3__)) + ) + ) + (net N164 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr4_FRB_renamed_264)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_4__)) + ) + ) + (net N165 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr5_FRB_renamed_265)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_5__)) + ) + ) + (net N166 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr6_FRB_renamed_266)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_6__)) + ) + ) + (net N167 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr7_FRB_renamed_267)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_7__)) + ) + ) + (net N168 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr8_FRB_renamed_268)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_8__)) + ) + ) + (net N169 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr9_FRB_renamed_269)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_9__)) + ) + ) + (net N170 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr10_FRB_renamed_270)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_10__)) + ) + ) + (net N171 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr11_FRB_renamed_271)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_11__)) + ) + ) + (net N172 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_11__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_12__)) + ) + ) + (net N173 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr12_FRB_renamed_272)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_12__)) + ) + ) + (net N174 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_FRB_renamed_273)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_0__)) + ) + ) + (net N175 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_1__FRB_renamed_274)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_1__)) + ) + ) + (net N176 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_2__FRB_renamed_275)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_2__)) + ) + ) + (net N177 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_3__FRB_renamed_276)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_3__)) + ) + ) + (net N178 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_4__FRB_renamed_277)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_4__)) + ) + ) + (net N179 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_5__FRB_renamed_278)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_5__)) + ) + ) + (net N180 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_6__FRB_renamed_279)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_6__)) + ) + ) + (net N181 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_7__FRB_renamed_280)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_7__)) + ) + ) + (net N182 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_8__FRB_renamed_281)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_8__)) + ) + ) + (net N183 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_9__FRB_renamed_282)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_9__)) + ) + ) + (net N184 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_10__FRB_renamed_283)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_10__)) + ) + ) + (net N185 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_11__FRB_renamed_284)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_11__)) + ) + ) + (net N186 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_11__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_12__)) + ) + ) + (net N187 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_12__FRB_renamed_285)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_12__)) + ) + ) + (net N188 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_0__FRB_renamed_286)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_0__)) + ) + ) + (net N189 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr1_FRB_renamed_287)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_1__)) + ) + ) + (net N190 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr2_FRB_renamed_288)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_2__)) + ) + ) + (net N191 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr3_FRB_renamed_289)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_3__)) + ) + ) + (net N192 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr4_FRB_renamed_290)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_4__)) + ) + ) + (net N193 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr5_FRB_renamed_291)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_5__)) + ) + ) + (net N194 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr6_FRB_renamed_292)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_6__)) + ) + ) + (net N195 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr7_FRB_renamed_293)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_7__)) + ) + ) + (net N196 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr8_FRB_renamed_294)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_8__)) + ) + ) + (net N197 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr9_FRB_renamed_295)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_9__)) + ) + ) + (net N198 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr10_FRB_renamed_296)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_10__)) + ) + ) + (net N199 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr11_FRB_renamed_297)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_11__)) + ) + ) + (net N200 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_11__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_12__)) + ) + ) + (net N201 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr12_FRB_renamed_298)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_12__)) + ) + ) + (net N202 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_FRB_renamed_299)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_0__)) + ) + ) + (net N203 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr1_FRB_renamed_300)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_1__)) + ) + ) + (net N204 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr2_FRB_renamed_301)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_2__)) + ) + ) + (net N205 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr3_FRB_renamed_302)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_3__)) + ) + ) + (net N206 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr4_FRB_renamed_303)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_4__)) + ) + ) + (net N207 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr5_FRB_renamed_304)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_5__)) + ) + ) + (net N208 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr6_FRB_renamed_305)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_6__)) + ) + ) + (net N209 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr7_FRB_renamed_306)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_7__)) + ) + ) + (net N210 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr8_FRB_renamed_307)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_8__)) + ) + ) + (net N211 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_9__)) + ) + ) + (net N212 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr9_FRB_renamed_308)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_9__)) + ) + ) + (net N213 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_FRB_renamed_309)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_0__)) + ) + ) + (net N214 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr1_FRB_renamed_310)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_1__)) + ) + ) + (net N215 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr2_FRB_renamed_311)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_2__)) + ) + ) + (net N216 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr3_FRB_renamed_312)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_3__)) + ) + ) + (net N217 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr4_FRB_renamed_313)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_4__)) + ) + ) + (net N218 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr5_FRB_renamed_314)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_5__)) + ) + ) + (net N219 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr6_FRB_renamed_315)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_6__)) + ) + ) + (net N220 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr7_FRB_renamed_316)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_7__)) + ) + ) + (net N221 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr8_FRB_renamed_317)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_8__)) + ) + ) + (net N222 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_9__)) + ) + ) + (net N223 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr9_FRB_renamed_318)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_9__)) + ) + ) + (net N224 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_FRB_renamed_319)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_0__)) + ) + ) + (net N225 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr1_FRB_renamed_320)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_1__)) + ) + ) + (net N226 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr2_FRB_renamed_321)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_2__)) + ) + ) + (net N227 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr3_FRB_renamed_322)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_3__)) + ) + ) + (net N228 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr4_FRB_renamed_323)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_4__)) + ) + ) + (net N229 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr5_FRB_renamed_324)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_5__)) + ) + ) + (net N230 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr6_FRB_renamed_325)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_6__)) + ) + ) + (net N231 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr7_FRB_renamed_326)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_7__)) + ) + ) + (net N232 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_8__)) + ) + ) + (net N233 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr8_FRB_renamed_327)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_8__)) + ) + ) + (net N234 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_FRB_renamed_328)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_0__)) + ) + ) + (net N235 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr1_FRB_renamed_329)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_1__)) + ) + ) + (net N236 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr2_FRB_renamed_330)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_2__)) + ) + ) + (net N237 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr3_FRB_renamed_331)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_3__)) + ) + ) + (net N238 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr4_FRB_renamed_332)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_4__)) + ) + ) + (net N239 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr5_FRB_renamed_333)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_5__)) + ) + ) + (net N240 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr6_FRB_renamed_334)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_6__)) + ) + ) + (net N241 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr7_FRB_renamed_335)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_7__)) + ) + ) + (net N242 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_8__)) + ) + ) + (net N243 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr8_FRB_renamed_336)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_8__)) + ) + ) + (net N244 + (joined + (portRef D (instanceRef f1_Result_0_2_FRB_renamed_337)) + (portRef O (instanceRef f1_Mcount_wr_addr_xor_0__)) + ) + ) + (net N245 + (joined + (portRef D (instanceRef f1_Result_1_2_FRB_renamed_338)) + (portRef O (instanceRef f1_Mcount_wr_addr_xor_1__)) + ) + ) + (net N246 + (joined + (portRef D (instanceRef f1_Result_2_2_FRB_renamed_339)) + (portRef O (instanceRef f1_Mcount_wr_addr_xor_2__)) + ) + ) + (net N247 + (joined + (portRef D (instanceRef f1_Result_3_2_FRB_renamed_340)) + (portRef O (instanceRef f1_Mcount_wr_addr_xor_3__)) + ) + ) + (net N248 + (joined + (portRef D (instanceRef f1_Result_4_2_FRB_renamed_341)) + (portRef O (instanceRef f1_Mcount_wr_addr_xor_4__)) + ) + ) + (net N249 + (joined + (portRef D (instanceRef f1_Result_5_2_FRB_renamed_342)) + (portRef O (instanceRef f1_Mcount_wr_addr_xor_5__)) + ) + ) + (net N250 + (joined + (portRef D (instanceRef f1_Result_6_2_FRB_renamed_343)) + (portRef O (instanceRef f1_Mcount_wr_addr_xor_6__)) + ) + ) + (net N251 + (joined + (portRef D (instanceRef f1_Result_7_2_FRB_renamed_344)) + (portRef O (instanceRef f1_Mcount_wr_addr_xor_7__)) + ) + ) + (net N252 + (joined + (portRef D (instanceRef f1_Result_8_2_FRB_renamed_345)) + (portRef O (instanceRef f1_Mcount_wr_addr_xor_8__)) + ) + ) + (net N253 + (joined + (portRef D (instanceRef f1_Result_9_2_FRB_renamed_346)) + (portRef O (instanceRef f1_Mcount_wr_addr_xor_9__)) + ) + ) + (net N254 + (joined + (portRef D (instanceRef f1_Result_10_2_FRB_renamed_347)) + (portRef O (instanceRef f1_Mcount_wr_addr_xor_10__)) + ) + ) + (net N255 + (joined + (portRef D (instanceRef f1_Result_11_2_FRB_renamed_348)) + (portRef O (instanceRef f1_Mcount_wr_addr_xor_11__)) + ) + ) + (net N256 + (joined + (portRef O (instanceRef f1_Mcount_wr_addr_cy_11__)) + (portRef CI (instanceRef f1_Mcount_wr_addr_xor_12__)) + ) + ) + (net N257 + (joined + (portRef D (instanceRef f1_Result_12_2_FRB_renamed_349)) + (portRef O (instanceRef f1_Mcount_wr_addr_xor_12__)) + ) + ) + (net N258 + (joined + (portRef D (instanceRef f1_Result_0_1_FRB_renamed_350)) + (portRef O (instanceRef f1_Mcount_rd_addr_xor_0__)) + ) + ) + (net N259 + (joined + (portRef D (instanceRef f1_Result_1_1_FRB_renamed_351)) + (portRef O (instanceRef f1_Mcount_rd_addr_xor_1__)) + ) + ) + (net N260 + (joined + (portRef D (instanceRef f1_Result_2_1_FRB_renamed_352)) + (portRef O (instanceRef f1_Mcount_rd_addr_xor_2__)) + ) + ) + (net N261 + (joined + (portRef D (instanceRef f1_Result_3_1_FRB_renamed_353)) + (portRef O (instanceRef f1_Mcount_rd_addr_xor_3__)) + ) + ) + (net N262 + (joined + (portRef D (instanceRef f1_Result_4_1_FRB_renamed_354)) + (portRef O (instanceRef f1_Mcount_rd_addr_xor_4__)) + ) + ) + (net N263 + (joined + (portRef D (instanceRef f1_Result_5_1_FRB_renamed_355)) + (portRef O (instanceRef f1_Mcount_rd_addr_xor_5__)) + ) + ) + (net N264 + (joined + (portRef D (instanceRef f1_Result_6_1_FRB_renamed_356)) + (portRef O (instanceRef f1_Mcount_rd_addr_xor_6__)) + ) + ) + (net N265 + (joined + (portRef D (instanceRef f1_Result_7_1_FRB_renamed_357)) + (portRef O (instanceRef f1_Mcount_rd_addr_xor_7__)) + ) + ) + (net N266 + (joined + (portRef D (instanceRef f1_Result_8_1_FRB_renamed_358)) + (portRef O (instanceRef f1_Mcount_rd_addr_xor_8__)) + ) + ) + (net N267 + (joined + (portRef D (instanceRef f1_Result_9_1_FRB_renamed_359)) + (portRef O (instanceRef f1_Mcount_rd_addr_xor_9__)) + ) + ) + (net N268 + (joined + (portRef D (instanceRef f1_Result_10_1_FRB_renamed_360)) + (portRef O (instanceRef f1_Mcount_rd_addr_xor_10__)) + ) + ) + (net N269 + (joined + (portRef D (instanceRef f1_Result_11_1_FRB_renamed_361)) + (portRef O (instanceRef f1_Mcount_rd_addr_xor_11__)) + ) + ) + (net N270 + (joined + (portRef O (instanceRef f1_Mcount_rd_addr_cy_11__)) + (portRef CI (instanceRef f1_Mcount_rd_addr_xor_12__)) + ) + ) + (net N271 + (joined + (portRef D (instanceRef f1_Result_12_1_FRB_renamed_362)) + (portRef O (instanceRef f1_Mcount_rd_addr_xor_12__)) + ) + ) + (net N272 + (joined + (portRef D (instanceRef f1_dont_write_past_me_0__FRB_renamed_363)) + (portRef O (instanceRef f1_Msub_dont_write_past_me_xor_0__)) + ) + ) + (net N273 + (joined + (portRef D (instanceRef f1_dont_write_past_me_1__FRB_renamed_364)) + (portRef O (instanceRef f1_Msub_dont_write_past_me_xor_1__)) + ) + ) + (net N274 + (joined + (portRef D (instanceRef f1_dont_write_past_me_2__FRB_renamed_365)) + (portRef O (instanceRef f1_Msub_dont_write_past_me_xor_2__)) + ) + ) + (net N275 + (joined + (portRef D (instanceRef f1_dont_write_past_me_3__FRB_renamed_366)) + (portRef O (instanceRef f1_Msub_dont_write_past_me_xor_3__)) + ) + ) + (net N276 + (joined + (portRef D (instanceRef f1_dont_write_past_me_4__FRB_renamed_367)) + (portRef O (instanceRef f1_Msub_dont_write_past_me_xor_4__)) + ) + ) + (net N277 + (joined + (portRef D (instanceRef f1_dont_write_past_me_5__FRB_renamed_368)) + (portRef O (instanceRef f1_Msub_dont_write_past_me_xor_5__)) + ) + ) + (net N278 + (joined + (portRef D (instanceRef f1_dont_write_past_me_6__FRB_renamed_369)) + (portRef O (instanceRef f1_Msub_dont_write_past_me_xor_6__)) + ) + ) + (net N279 + (joined + (portRef D (instanceRef f1_dont_write_past_me_7__FRB_renamed_370)) + (portRef O (instanceRef f1_Msub_dont_write_past_me_xor_7__)) + ) + ) + (net N280 + (joined + (portRef D (instanceRef f1_dont_write_past_me_8__FRB_renamed_371)) + (portRef O (instanceRef f1_Msub_dont_write_past_me_xor_8__)) + ) + ) + (net N281 + (joined + (portRef D (instanceRef f1_dont_write_past_me_9__FRB_renamed_372)) + (portRef O (instanceRef f1_Msub_dont_write_past_me_xor_9__)) + ) + ) + (net N282 + (joined + (portRef D (instanceRef f1_dont_write_past_me_10__FRB_renamed_373)) + (portRef O (instanceRef f1_Msub_dont_write_past_me_xor_10__)) + ) + ) + (net N283 + (joined + (portRef D (instanceRef f1_dont_write_past_me_11__FRB_renamed_374)) + (portRef O (instanceRef f1_Msub_dont_write_past_me_xor_11__)) + ) + ) + (net N284 + (joined + (portRef O (instanceRef f1_Msub_dont_write_past_me_cy_11__)) + (portRef CI (instanceRef f1_Msub_dont_write_past_me_xor_12__)) + ) + ) + (net N285 + (joined + (portRef D (instanceRef f1_dont_write_past_me_12__FRB_renamed_375)) + (portRef O (instanceRef f1_Msub_dont_write_past_me_xor_12__)) + ) + ) + (net N286 + (joined + (portRef D (instanceRef f0_Result_0_2_FRB_renamed_376)) + (portRef O (instanceRef f0_Mcount_wr_addr_xor_0__)) + ) + ) + (net N287 + (joined + (portRef D (instanceRef f0_Result_1_2_FRB_renamed_377)) + (portRef O (instanceRef f0_Mcount_wr_addr_xor_1__)) + ) + ) + (net N288 + (joined + (portRef D (instanceRef f0_Result_2_2_FRB_renamed_378)) + (portRef O (instanceRef f0_Mcount_wr_addr_xor_2__)) + ) + ) + (net N289 + (joined + (portRef D (instanceRef f0_Result_3_2_FRB_renamed_379)) + (portRef O (instanceRef f0_Mcount_wr_addr_xor_3__)) + ) + ) + (net N290 + (joined + (portRef D (instanceRef f0_Result_4_2_FRB_renamed_380)) + (portRef O (instanceRef f0_Mcount_wr_addr_xor_4__)) + ) + ) + (net N291 + (joined + (portRef D (instanceRef f0_Result_5_2_FRB_renamed_381)) + (portRef O (instanceRef f0_Mcount_wr_addr_xor_5__)) + ) + ) + (net N292 + (joined + (portRef D (instanceRef f0_Result_6_2_FRB_renamed_382)) + (portRef O (instanceRef f0_Mcount_wr_addr_xor_6__)) + ) + ) + (net N293 + (joined + (portRef D (instanceRef f0_Result_7_2_FRB_renamed_383)) + (portRef O (instanceRef f0_Mcount_wr_addr_xor_7__)) + ) + ) + (net N294 + (joined + (portRef D (instanceRef f0_Result_8_2_FRB_renamed_384)) + (portRef O (instanceRef f0_Mcount_wr_addr_xor_8__)) + ) + ) + (net N295 + (joined + (portRef D (instanceRef f0_Result_9_2_FRB_renamed_385)) + (portRef O (instanceRef f0_Mcount_wr_addr_xor_9__)) + ) + ) + (net N296 + (joined + (portRef D (instanceRef f0_Result_10_2_FRB_renamed_386)) + (portRef O (instanceRef f0_Mcount_wr_addr_xor_10__)) + ) + ) + (net N297 + (joined + (portRef D (instanceRef f0_Result_11_2_FRB_renamed_387)) + (portRef O (instanceRef f0_Mcount_wr_addr_xor_11__)) + ) + ) + (net N298 + (joined + (portRef O (instanceRef f0_Mcount_wr_addr_cy_11__)) + (portRef CI (instanceRef f0_Mcount_wr_addr_xor_12__)) + ) + ) + (net N299 + (joined + (portRef D (instanceRef f0_Result_12_2_FRB_renamed_388)) + (portRef O (instanceRef f0_Mcount_wr_addr_xor_12__)) + ) + ) + (net N300 + (joined + (portRef D (instanceRef f0_Result_0_1_FRB_renamed_389)) + (portRef O (instanceRef f0_Mcount_rd_addr_xor_0__)) + ) + ) + (net N301 + (joined + (portRef D (instanceRef f0_Result_1_1_FRB_renamed_390)) + (portRef O (instanceRef f0_Mcount_rd_addr_xor_1__)) + ) + ) + (net N302 + (joined + (portRef D (instanceRef f0_Result_2_1_FRB_renamed_391)) + (portRef O (instanceRef f0_Mcount_rd_addr_xor_2__)) + ) + ) + (net N303 + (joined + (portRef D (instanceRef f0_Result_3_1_FRB_renamed_392)) + (portRef O (instanceRef f0_Mcount_rd_addr_xor_3__)) + ) + ) + (net N304 + (joined + (portRef D (instanceRef f0_Result_4_1_FRB_renamed_393)) + (portRef O (instanceRef f0_Mcount_rd_addr_xor_4__)) + ) + ) + (net N305 + (joined + (portRef D (instanceRef f0_Result_5_1_FRB_renamed_394)) + (portRef O (instanceRef f0_Mcount_rd_addr_xor_5__)) + ) + ) + (net N306 + (joined + (portRef D (instanceRef f0_Result_6_1_FRB_renamed_395)) + (portRef O (instanceRef f0_Mcount_rd_addr_xor_6__)) + ) + ) + (net N307 + (joined + (portRef D (instanceRef f0_Result_7_1_FRB_renamed_396)) + (portRef O (instanceRef f0_Mcount_rd_addr_xor_7__)) + ) + ) + (net N308 + (joined + (portRef D (instanceRef f0_Result_8_1_FRB_renamed_397)) + (portRef O (instanceRef f0_Mcount_rd_addr_xor_8__)) + ) + ) + (net N309 + (joined + (portRef D (instanceRef f0_Result_9_1_FRB_renamed_398)) + (portRef O (instanceRef f0_Mcount_rd_addr_xor_9__)) + ) + ) + (net N310 + (joined + (portRef D (instanceRef f0_Result_10_1_FRB_renamed_399)) + (portRef O (instanceRef f0_Mcount_rd_addr_xor_10__)) + ) + ) + (net N311 + (joined + (portRef D (instanceRef f0_Result_11_1_FRB_renamed_400)) + (portRef O (instanceRef f0_Mcount_rd_addr_xor_11__)) + ) + ) + (net N312 + (joined + (portRef O (instanceRef f0_Mcount_rd_addr_cy_11__)) + (portRef CI (instanceRef f0_Mcount_rd_addr_xor_12__)) + ) + ) + (net N313 + (joined + (portRef D (instanceRef f0_Result_12_1_FRB_renamed_401)) + (portRef O (instanceRef f0_Mcount_rd_addr_xor_12__)) + ) + ) + (net N314 + (joined + (portRef D (instanceRef f0_dont_write_past_me_0__FRB_renamed_402)) + (portRef O (instanceRef f0_Msub_dont_write_past_me_xor_0__)) + ) + ) + (net N315 + (joined + (portRef D (instanceRef f0_dont_write_past_me_1__FRB_renamed_403)) + (portRef O (instanceRef f0_Msub_dont_write_past_me_xor_1__)) + ) + ) + (net N316 + (joined + (portRef D (instanceRef f0_dont_write_past_me_2__FRB_renamed_404)) + (portRef O (instanceRef f0_Msub_dont_write_past_me_xor_2__)) + ) + ) + (net N317 + (joined + (portRef D (instanceRef f0_dont_write_past_me_3__FRB_renamed_405)) + (portRef O (instanceRef f0_Msub_dont_write_past_me_xor_3__)) + ) + ) + (net N318 + (joined + (portRef D (instanceRef f0_dont_write_past_me_4__FRB_renamed_406)) + (portRef O (instanceRef f0_Msub_dont_write_past_me_xor_4__)) + ) + ) + (net N319 + (joined + (portRef D (instanceRef f0_dont_write_past_me_5__FRB_renamed_407)) + (portRef O (instanceRef f0_Msub_dont_write_past_me_xor_5__)) + ) + ) + (net N320 + (joined + (portRef D (instanceRef f0_dont_write_past_me_6__FRB_renamed_408)) + (portRef O (instanceRef f0_Msub_dont_write_past_me_xor_6__)) + ) + ) + (net N321 + (joined + (portRef D (instanceRef f0_dont_write_past_me_7__FRB_renamed_409)) + (portRef O (instanceRef f0_Msub_dont_write_past_me_xor_7__)) + ) + ) + (net N322 + (joined + (portRef D (instanceRef f0_dont_write_past_me_8__FRB_renamed_410)) + (portRef O (instanceRef f0_Msub_dont_write_past_me_xor_8__)) + ) + ) + (net N323 + (joined + (portRef D (instanceRef f0_dont_write_past_me_9__FRB_renamed_411)) + (portRef O (instanceRef f0_Msub_dont_write_past_me_xor_9__)) + ) + ) + (net N324 + (joined + (portRef D (instanceRef f0_dont_write_past_me_10__FRB_renamed_412)) + (portRef O (instanceRef f0_Msub_dont_write_past_me_xor_10__)) + ) + ) + (net N325 + (joined + (portRef D (instanceRef f0_dont_write_past_me_11__FRB_renamed_413)) + (portRef O (instanceRef f0_Msub_dont_write_past_me_xor_11__)) + ) + ) + (net N326 + (joined + (portRef O (instanceRef f0_Msub_dont_write_past_me_cy_11__)) + (portRef CI (instanceRef f0_Msub_dont_write_past_me_xor_12__)) + ) + ) + (net N327 + (joined + (portRef D (instanceRef f0_dont_write_past_me_12__FRB_renamed_414)) + (portRef O (instanceRef f0_Msub_dont_write_past_me_xor_12__)) + ) + ) + (net N328 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + ) + ) + (net N329 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111_SW1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + ) + ) + (net N331 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + ) + ) + (net N332 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + ) + ) + (net N334 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8212_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + ) + ) + (net N335 + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8212_SW1)) + ) + ) + (net N337 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror11)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_tlast1)) + ) + ) + (net N339 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51)) + (portRef I3 + (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tlast1)) + ) + ) + (net N347 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71)) + ) + ) + (net N349 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_o_tvalid11)) + ) + ) + (net N351 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11_SW0)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tvalid11)) + ) + ) + (net N353 + (joined + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid61)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2)) + ) + ) + (net N354 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW3)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid61)) + ) + ) + (net N356 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int16)) + ) + ) + (net N357 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int16)) + ) + ) + (net N363 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01211_SW0)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n012110_SW0)) + ) + ) + (net N365 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv1_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv2_renamed_415)) + ) + ) + (net N367 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71)) + ) + ) + (net N369 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW0)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW0)) + ) + ) + (net N370 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW0)) + ) + ) + (net N372 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg_glue_set_renamed_426)) + ) + ) + (net N374 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73)) + ) + ) + (net N375 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73)) + ) + ) + (net N381 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW0)) + (portRef I1 + (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1)) + ) + ) + (net N382 + (joined + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1)) + (portRef I5 + (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1)) + ) + ) + (net N384 + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01217_SW0)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01216_SW0)) + ) + ) + (net N386 + (joined + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst_renamed_417)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst_SW0)) + ) + ) + (net N388 + (joined + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst_renamed_418)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst_SW0)) + ) + ) + (net N390 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW0)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0_rstpot_renamed_433)) + ) + ) + (net N391 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0_rstpot_renamed_433)) + ) + ) + (net N396 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531_SW0)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_F)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + ) + ) + (net N397 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531_SW1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_G)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + ) + ) + (net N407 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73_SW0)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73)) + ) + ) + (net N409 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0102_SW1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full_glue_set_renamed_419)) + ) + ) + (net N411 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0102_SW1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full_glue_set_renamed_420)) + ) + ) + (net N413 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst_SW0)) + ) + ) + (net N415 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst_SW0)) + ) + ) + (net N417 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11_SW1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tready_int11)) + ) + ) + (net N419 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW2)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tlast1)) + ) + ) + (net N421 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror11)) + ) + ) + (net N423 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21_SW1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_tlast1)) + ) + ) + (net N425 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01217_SW0)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg_glue_set_renamed_426)) + ) + ) + (net N427 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n012110_SW0)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg_glue_set_renamed_421)) + ) + ) + (net N429 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/full_reg_glue_set_lut") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_cy)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut_renamed_506)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_becoming_full_l1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/becoming_full_l1") + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_cy)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_cy1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/full_reg_glue_set_lut1") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_cy1)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut1_renamed_505)) + ) + ) + (net N431 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + ) + ) + (net N433 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW0)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_renamed_423)) + ) + ) + (net N434 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_renamed_423)) + ) + ) + (net N435 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW2)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_renamed_423)) + ) + ) + (net N437 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In12_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In13)) + ) + ) + (net N439 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In12_SW0)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In13)) + ) + ) + (net N441 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10)) + ) + ) + (net N443 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + ) + ) + (net N445 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6)) + ) + ) + (net N447 + (joined + (portRef I4 + (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_SW0_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01218_SW0_FRB") + (joined + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_renamed_431)) + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_SW0_FRB_renamed_463)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0_rstpot "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets_0_rstpot") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0_rstpot_renamed_433)) + ) + ) + (net N451 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int16_SW0)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + ) + ) + (net N453 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW2)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0_rstpot_renamed_433)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4__inv "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<4>_inv") + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB3_renamed_503)) + (portRef O + (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4__inv_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0_lut "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2-In1_SW0_lut") + (joined + (portRef S (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0_cy)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0_lut_renamed_436)) + ) + ) + (net N455 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11_SW1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0_lut_renamed_436)) + ) + ) + (net N457 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_FRB_renamed_437)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_0__)) + ) + ) + (net N458 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr1_FRB_renamed_438)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_1__)) + ) + ) + (net N459 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr2_FRB_renamed_439)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_2__)) + ) + ) + (net N460 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr3_FRB_renamed_440)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_3__)) + ) + ) + (net N461 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr4_FRB_renamed_441)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_4__)) + ) + ) + (net N462 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr5_FRB_renamed_442)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_5__)) + ) + ) + (net N463 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr6_FRB_renamed_443)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_6__)) + ) + ) + (net N464 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr7_FRB_renamed_444)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_7__)) + ) + ) + (net N465 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_8__)) + ) + ) + (net N466 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr8_FRB_renamed_445)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_8__)) + ) + ) + (net N467 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_FRB_renamed_446)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_0__)) + ) + ) + (net N468 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr1_FRB_renamed_447)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_1__)) + ) + ) + (net N469 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr2_FRB_renamed_448)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_2__)) + ) + ) + (net N470 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr3_FRB_renamed_449)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_3__)) + ) + ) + (net N471 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr4_FRB_renamed_450)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_4__)) + ) + ) + (net N472 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr5_FRB_renamed_451)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_5__)) + ) + ) + (net N473 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr6_FRB_renamed_452)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_6__)) + ) + ) + (net N474 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr7_FRB_renamed_453)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_7__)) + ) + ) + (net N475 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_7__)) + (portRef CI (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_8__)) + ) + ) + (net N476 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr8_FRB_renamed_454)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_8__)) + ) + ) + (net N477 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full421_FRB_renamed_455)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full421)) + ) + ) + (net N478 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full411_FRB_renamed_456)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full411)) + ) + ) + (net N479 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full421_FRB_renamed_457)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full421)) + ) + ) + (net N480 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full411_FRB_renamed_458)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full411)) + ) + ) + (net N481 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0_FRB_renamed_459)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0)) + ) + ) + (net N482 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0_FRB_renamed_460)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0)) + ) + ) + (net N483 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621_FRB_renamed_461)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621)) + ) + ) + (net N484 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621_FRB_renamed_462)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621)) + ) + ) + (net N485 + (joined + (portRef D (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_SW0_FRB_renamed_463)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_12_BRB0 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_12_BRB0") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_12_BRB0_renamed_464)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT41)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT51)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT61)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT31)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT21)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT161)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_12_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_12_BRB1") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_12_BRB1_renamed_465)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT41)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_13_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_13_BRB1") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_13_BRB1_renamed_466)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT51)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_14_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_14_BRB1") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_14_BRB1_renamed_467)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT61)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_15_BRB1") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15_BRB1_renamed_468)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o1_SW1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_12_BRB0 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_12_BRB0") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_12_BRB0_renamed_469)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT41)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT51)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT61)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT31)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT21)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT161)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13__)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_12_BRB1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_12_BRB1") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_12_BRB1_renamed_470)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT41)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_13_BRB1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_13_BRB1") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_13_BRB1_renamed_471)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT51)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_14_BRB1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_14_BRB1") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_14_BRB1_renamed_472)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT61)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15_BRB1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_15_BRB1") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15_BRB1_renamed_473)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o1_SW1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd2_BRB0 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/read_state_FSM_FFd2_BRB0") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd2_BRB0_renamed_474)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_GND_50_o_read_OR_57_o1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd1_In11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0144_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd2_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/read_state_FSM_FFd2_BRB1") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd2_BRB1_renamed_475)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_GND_50_o_read_OR_57_o1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd1_In11)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0144_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd2_BRB0 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/read_state_FSM_FFd2_BRB0") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd2_BRB0_renamed_476)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_GND_50_o_read_OR_57_o1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd1_In11)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0144_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd2_BRB1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/read_state_FSM_FFd2_BRB1") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd2_BRB1_renamed_477)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_GND_50_o_read_OR_57_o1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd1_In11)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0144_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB0") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB0_renamed_478)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_inv1)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_rstpot)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB1") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB1_renamed_479)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_inv1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_rstpot)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB2 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB2") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB2_renamed_480)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_inv1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_rstpot)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB3 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB3") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB3_renamed_481)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB4 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB4") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB4_renamed_482)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB5 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB5") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB5_renamed_483)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB0") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB0_renamed_484)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB1") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB1_renamed_485)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB2") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB2_renamed_486)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB3 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB3") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB3_renamed_487)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB4 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB4") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB4_renamed_488)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB5 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB5") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB5_renamed_489)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_11_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_11_BRB1") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_11_BRB1_renamed_490)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT31)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o1_SW1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_11_BRB1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_11_BRB1") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_11_BRB1_renamed_491)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT31)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o1_SW1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_10_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_10_BRB1") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_10_BRB1_renamed_492)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT21)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o1_SW1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_10_BRB1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_10_BRB1") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_10_BRB1_renamed_493)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT21)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o1_SW1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_9_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_9_BRB1") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_9_BRB1_renamed_494)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT161)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o1_SW1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9__)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_9_BRB1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_9_BRB1") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_9_BRB1_renamed_495)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT161)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o1_SW1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9__)) + ) + ) + (net (rename slave_fifo32_debug1_17_BRB0 "slave_fifo32/debug1_17_BRB0") + (joined + (portRef Q (instanceRef slave_fifo32_debug1_17_BRB0_renamed_496)) + (portRef I (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_o_tvalid1_INV_0)) + ) + ) + (net (rename slave_fifo32_debug1_16_BRB0 "slave_fifo32/debug1_16_BRB0") + (joined + (portRef Q (instanceRef slave_fifo32_debug1_16_BRB0_renamed_497)) + (portRef I (instanceRef f0_i_tready1_INV_0)) + ) + ) + (net (rename slave_fifo32_rd_one_BRB0 "slave_fifo32/rd_one_BRB0") + (joined + (portRef Q (instanceRef slave_fifo32_rd_one_BRB0_renamed_498)) + (portRef I0 (instanceRef slave_fifo32_rd_one_rstpot)) + (portRef I1 (instanceRef slave_fifo32_state_FSM_FFd1_In3_G)) + ) + ) + (net (rename slave_fifo32_rd_one_BRB1 "slave_fifo32/rd_one_BRB1") + (joined + (portRef Q (instanceRef slave_fifo32_rd_one_BRB1_renamed_499)) + (portRef I1 (instanceRef slave_fifo32_rd_one_rstpot)) + (portRef I3 (instanceRef slave_fifo32_state_FSM_FFd1_In3_G)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/empty_reg_BRB1") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB1_renamed_502)) + (portRef I3 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_inv1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_rstpot)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB3 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/empty_reg_BRB3") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB3_renamed_503)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_inv1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_rstpot)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB4 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/empty_reg_BRB4") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB4_renamed_504)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_inv1)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_rstpot)) + ) + ) + (net N543 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv6_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv6)) + ) + ) + (net (rename f1__n0161_inv1_lut "f1/_n0161_inv1_lut") + (joined + (portRef O (instanceRef f1__n0161_inv1_lut_renamed_507)) + (portRef S (instanceRef f1__n0161_inv1_cy)) + ) + ) + (net (rename f1_rd_addr_12__wr_addr_12__equal_11_o_l1 "f1/rd_addr[12]_wr_addr[12]_equal_11_o_l1") + (joined + (portRef O (instanceRef f1__n0161_inv1_cy)) + (portRef CI (instanceRef f1__n0161_inv1_cy1)) + ) + ) + (net (rename f1__n0161_inv1_lut1 "f1/_n0161_inv1_lut1") + (joined + (portRef O (instanceRef f1__n0161_inv1_lut1_renamed_508)) + (portRef S (instanceRef f1__n0161_inv1_cy1)) + ) + ) + (net (rename f0__n0161_inv1_lut "f0/_n0161_inv1_lut") + (joined + (portRef O (instanceRef f0__n0161_inv1_lut_renamed_509)) + (portRef S (instanceRef f0__n0161_inv1_cy)) + ) + ) + (net (rename f0_rd_addr_12__wr_addr_12__equal_11_o_l1 "f0/rd_addr[12]_wr_addr[12]_equal_11_o_l1") + (joined + (portRef O (instanceRef f0__n0161_inv1_cy)) + (portRef CI (instanceRef f0__n0161_inv1_cy1)) + ) + ) + (net (rename f0__n0161_inv1_lut1 "f0/_n0161_inv1_lut1") + (joined + (portRef O (instanceRef f0__n0161_inv1_lut1_renamed_510)) + (portRef S (instanceRef f0__n0161_inv1_cy1)) + ) + ) + (net N545 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_F)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2)) + ) + ) + (net N546 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_G)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2)) + ) + ) + (net N547 + (joined + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_F)) + ) + ) + (net N548 + (joined + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0)) + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_G)) + ) + ) + (net N549 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_F)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0)) + ) + ) + (net N550 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_G)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0)) + ) + ) + (net N551 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_F)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1)) + ) + ) + (net N552 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_G)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1)) + ) + ) + (net N553 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1_F)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1)) + ) + ) + (net N554 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1_G)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1)) + ) + ) + (net N559 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst_renamed_535)) + ) + ) + (net N561 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst_SW0)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst_renamed_536)) + ) + ) + (net N563 + (joined + (portRef O (instanceRef slave_fifo32_slrd_rstpot_SW0)) + (portRef I1 (instanceRef slave_fifo32_slrd_rstpot_renamed_515)) + ) + ) + (net N565 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_SW1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_renamed_516)) + ) + ) + (net N567 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01215_SW0)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01215_renamed_527)) + ) + ) + (net N569 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9)) + ) + ) + (net N571 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9)) + ) + ) + (net N573 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_SW1_SW0)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_SW1)) + ) + ) + (net N575 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set_SW1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set_renamed_530)) + ) + ) + (net N577 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set_SW1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set_renamed_531)) + ) + ) + (net N579 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o1_SW1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o1)) + ) + ) + (net N581 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o1_SW1)) + (portRef I4 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd2_1") + (joined + (portRef Q (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_1_renamed_539)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int11_renamed_47)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int12)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int14_renamed_48)) + ) + ) + (net (rename slave_fifo32_sloe_rstpot "slave_fifo32/sloe_rstpot") + (joined + (portRef O (instanceRef slave_fifo32_sloe_rstpot_renamed_541)) + (portRef D (instanceRef slave_fifo32_sloe_renamed_540)) + (portRef D (instanceRef slave_fifo32_sloe_33_renamed_554)) + (portRef D (instanceRef slave_fifo32_sloe_32_renamed_555)) + (portRef D (instanceRef slave_fifo32_sloe_31_renamed_556)) + (portRef D (instanceRef slave_fifo32_sloe_30_renamed_557)) + (portRef D (instanceRef slave_fifo32_sloe_29_renamed_558)) + (portRef D (instanceRef slave_fifo32_sloe_28_renamed_559)) + (portRef D (instanceRef slave_fifo32_sloe_27_renamed_560)) + (portRef D (instanceRef slave_fifo32_sloe_26_renamed_561)) + (portRef D (instanceRef slave_fifo32_sloe_25_renamed_562)) + (portRef D (instanceRef slave_fifo32_sloe_24_renamed_563)) + (portRef D (instanceRef slave_fifo32_sloe_23_renamed_564)) + (portRef D (instanceRef slave_fifo32_sloe_22_renamed_565)) + (portRef D (instanceRef slave_fifo32_sloe_21_renamed_566)) + (portRef D (instanceRef slave_fifo32_sloe_20_renamed_567)) + (portRef D (instanceRef slave_fifo32_sloe_19_renamed_568)) + (portRef D (instanceRef slave_fifo32_sloe_18_renamed_569)) + (portRef D (instanceRef slave_fifo32_sloe_17_renamed_570)) + (portRef D (instanceRef slave_fifo32_sloe_16_renamed_571)) + (portRef D (instanceRef slave_fifo32_sloe_15_renamed_572)) + (portRef D (instanceRef slave_fifo32_sloe_14_renamed_573)) + (portRef D (instanceRef slave_fifo32_sloe_13_renamed_574)) + (portRef D (instanceRef slave_fifo32_sloe_12_renamed_575)) + (portRef D (instanceRef slave_fifo32_sloe_11_renamed_576)) + (portRef D (instanceRef slave_fifo32_sloe_10_renamed_577)) + (portRef D (instanceRef slave_fifo32_sloe_9_renamed_578)) + (portRef D (instanceRef slave_fifo32_sloe_8_renamed_579)) + (portRef D (instanceRef slave_fifo32_sloe_7_renamed_580)) + (portRef D (instanceRef slave_fifo32_sloe_6_renamed_581)) + (portRef D (instanceRef slave_fifo32_sloe_5_renamed_582)) + (portRef D (instanceRef slave_fifo32_sloe_4_renamed_583)) + (portRef D (instanceRef slave_fifo32_sloe_3_renamed_584)) + (portRef D (instanceRef slave_fifo32_sloe_2_renamed_585)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_31_rstpot "slave_fifo32/gpif_data_out_31_rstpot") + (joined + (portRef O (instanceRef slave_fifo32_gpif_data_out_31_rstpot_renamed_542)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_31_1_renamed_547)) + (portRef D (instanceRef slave_fifo32_gpif_data_out_31)) + ) + ) + (net N583 + (joined + (portRef O (instanceRef slave_fifo32_state_FSM_FFd1_In3_F)) + (portRef I0 (instanceRef slave_fifo32_state_FSM_FFd1_In3_renamed_543)) + ) + ) + (net N584 + (joined + (portRef O (instanceRef slave_fifo32_state_FSM_FFd1_In3_G)) + (portRef I1 (instanceRef slave_fifo32_state_FSM_FFd1_In3_renamed_543)) + ) + ) + (net N585 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_F)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14)) + ) + ) + (net N586 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_G)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14)) + ) + ) + (net N587 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1_F)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1)) + ) + ) + (net N588 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1_G)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1)) + ) + ) + (net N589 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_F)) + (portRef I0 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2)) + ) + ) + (net N590 + (joined + (portRef O (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_G)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2)) + ) + ) + (net (rename slave_fifo32_slrd2_1 "slave_fifo32/slrd2_1") + (joined + (portRef Q (instanceRef slave_fifo32_slrd2_1_renamed_544)) + (portRef D (instanceRef slave_fifo32_slrd3_renamed_8)) + (portRef (member DIPA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portRef (member DIPA 3) (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_EP_WMARK1_1 "slave_fifo32/EP_WMARK1_1") + (joined + (portRef Q (instanceRef slave_fifo32_EP_WMARK1_1_renamed_545)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1_SW0)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tready_int1_SW0)) + (portRef I5 (instanceRef slave_fifo32_slrd_rstpot_renamed_515)) + (portRef I1 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_xfer_Mux_21_o1_SW0)) + ) + ) + (net (rename slave_fifo32_EP_READY1_1 "slave_fifo32/EP_READY1_1") + (joined + (portRef Q (instanceRef slave_fifo32_EP_READY1_1_renamed_546)) + (portRef I3 (instanceRef slave_fifo32__n0290_inv1)) + (portRef I2 (instanceRef slave_fifo32__n0258_inv_SW0)) + (portRef I4 (instanceRef slave_fifo32__n0279_inv_renamed_35)) + (portRef I4 (instanceRef slave_fifo32_state_FSM_FFd2_In2_renamed_38)) + (portRef I0 (instanceRef slave_fifo32_slrd_rstpot_SW0)) + (portRef I1 (instanceRef slave_fifo32_sloe_1_rstpot_renamed_534)) + (portRef I2 (instanceRef slave_fifo32_state_FSM_FFd1_In3_F)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_31_1 "slave_fifo32/gpif_data_out_31_1") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_31_1_renamed_547)) + (portRef I1 (instanceRef slave_fifo32_gpif_data_out_31_rstpot_renamed_542)) + ) + ) + (net (rename slave_fifo32_slwr_1 "slave_fifo32/slwr_1") + (joined + (portRef Q (instanceRef slave_fifo32_slwr_1_renamed_548)) + (portRef D (instanceRef slave_fifo32_debug1_29)) + ) + ) + (net (rename slave_fifo32_sloe_34 "slave_fifo32/sloe_34") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_34_renamed_549)) + (portRef D (instanceRef slave_fifo32_debug1_31)) + (portRef I0 (instanceRef slave_fifo32_sloe_1_rstpot_renamed_534)) + ) + ) + (net (rename slave_fifo32_slrd_1 "slave_fifo32/slrd_1") + (joined + (portRef Q (instanceRef slave_fifo32_slrd_1_renamed_550)) + (portRef D (instanceRef slave_fifo32_slrd1_renamed_10)) + (portRef I0 (instanceRef slave_fifo32_slrd_rstpot_renamed_515)) + ) + ) + (net (rename slave_fifo32_pktend_1 "slave_fifo32/pktend_1") + (joined + (portRef Q (instanceRef slave_fifo32_pktend_1_renamed_551)) + (portRef D (instanceRef slave_fifo32_debug1_28)) + ) + ) + (net (rename slave_fifo32_fifoadr_1_1 "slave_fifo32/fifoadr_1_1") + (joined + (portRef Q (instanceRef slave_fifo32_fifoadr_1_1_renamed_552)) + (portRef D (instanceRef slave_fifo32_debug1_27)) + (portRef I0 (instanceRef slave_fifo32_Mcount_fifoadr_xor_1_11)) + (portRef I1 (instanceRef slave_fifo32_ctrl_tx_tready_data_tx_tready_OR_55_o1)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT110)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT101)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT111)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT121)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT131)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT141)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT151)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT161)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT171)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT181)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT191)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT210)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT201)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT211)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT221)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT231)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT241)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT251)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT261)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT271)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT281)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT291)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT33)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT301)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT311)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT321)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT41)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT51)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT61)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT71)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT81)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT91)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_xfer_Mux_21_o1)) + (portRef I0 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_write1)) + (portRef I5 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_write1)) + (portRef I1 (instanceRef slave_fifo32_ctrl_rx_tvalid_data_rx_tvalid_OR_56_o1)) + (portRef I3 (instanceRef slave_fifo32_ctrl_tx_tvalid1)) + (portRef I3 (instanceRef slave_fifo32_data_tx_tvalid1)) + (portRef I0 (instanceRef slave_fifo32_state_FSM_FFd1_In2_renamed_36)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tready_int1)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_o_tready_int1)) + ) + ) + (net (rename slave_fifo32_fifoadr_0_1 "slave_fifo32/fifoadr_0_1") + (joined + (portRef Q (instanceRef slave_fifo32_fifoadr_0_1_renamed_553)) + (portRef D (instanceRef slave_fifo32_debug1_26)) + (portRef I1 (instanceRef slave_fifo32_Mcount_fifoadr_xor_1_11)) + (portRef I0 (instanceRef slave_fifo32_ctrl_tx_tready_data_tx_tready_OR_55_o1)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT110)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT101)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT111)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT121)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT131)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT141)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT151)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT161)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT171)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT181)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT191)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT210)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT201)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT211)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT221)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT231)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT241)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT251)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT261)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT271)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT281)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT291)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT33)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT301)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT311)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT321)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT41)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT51)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT61)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT71)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT81)) + (portRef I3 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT91)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tready_int1_SW0)) + (portRef I2 (instanceRef slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_write1)) + (portRef I1 (instanceRef slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_write1)) + (portRef I0 (instanceRef slave_fifo32_ctrl_rx_tvalid_data_rx_tvalid_OR_56_o1)) + (portRef I (instanceRef slave_fifo32_Mcount_fifoadr_xor_0_11_INV_0)) + (portRef I2 (instanceRef slave_fifo32_ctrl_tx_tvalid1)) + (portRef I2 (instanceRef slave_fifo32_data_tx_tvalid1)) + (portRef I2 (instanceRef slave_fifo32_Mmux_state_1__wr_fifo_xfer_Mux_21_o1_SW0)) + ) + ) + (net (rename slave_fifo32_sloe_33 "slave_fifo32/sloe_33") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_33_renamed_554)) + (portRef T (instanceRef GPIF_D_31_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_31_ "slave_fifo32/gpif_data_out<31>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_31)) + (portRef I (instanceRef GPIF_D_31_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_32 "slave_fifo32/sloe_32") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_32_renamed_555)) + (portRef T (instanceRef GPIF_D_30_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_30_ "slave_fifo32/gpif_data_out<30>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_30)) + (portRef I (instanceRef GPIF_D_30_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_31 "slave_fifo32/sloe_31") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_31_renamed_556)) + (portRef T (instanceRef GPIF_D_29_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_29_ "slave_fifo32/gpif_data_out<29>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_29)) + (portRef I (instanceRef GPIF_D_29_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_30 "slave_fifo32/sloe_30") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_30_renamed_557)) + (portRef T (instanceRef GPIF_D_28_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_28_ "slave_fifo32/gpif_data_out<28>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_28)) + (portRef I (instanceRef GPIF_D_28_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_29 "slave_fifo32/sloe_29") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_29_renamed_558)) + (portRef T (instanceRef GPIF_D_27_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_27_ "slave_fifo32/gpif_data_out<27>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_27)) + (portRef I (instanceRef GPIF_D_27_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_28 "slave_fifo32/sloe_28") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_28_renamed_559)) + (portRef T (instanceRef GPIF_D_26_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_26_ "slave_fifo32/gpif_data_out<26>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_26)) + (portRef I (instanceRef GPIF_D_26_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_27 "slave_fifo32/sloe_27") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_27_renamed_560)) + (portRef T (instanceRef GPIF_D_25_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_25_ "slave_fifo32/gpif_data_out<25>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_25)) + (portRef I (instanceRef GPIF_D_25_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_26 "slave_fifo32/sloe_26") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_26_renamed_561)) + (portRef T (instanceRef GPIF_D_24_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_24_ "slave_fifo32/gpif_data_out<24>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_24)) + (portRef I (instanceRef GPIF_D_24_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_25 "slave_fifo32/sloe_25") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_25_renamed_562)) + (portRef T (instanceRef GPIF_D_23_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_23_ "slave_fifo32/gpif_data_out<23>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_23)) + (portRef I (instanceRef GPIF_D_23_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_24 "slave_fifo32/sloe_24") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_24_renamed_563)) + (portRef T (instanceRef GPIF_D_22_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_22_ "slave_fifo32/gpif_data_out<22>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_22)) + (portRef I (instanceRef GPIF_D_22_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_23 "slave_fifo32/sloe_23") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_23_renamed_564)) + (portRef T (instanceRef GPIF_D_21_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_21_ "slave_fifo32/gpif_data_out<21>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_21)) + (portRef I (instanceRef GPIF_D_21_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_22 "slave_fifo32/sloe_22") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_22_renamed_565)) + (portRef T (instanceRef GPIF_D_20_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_20_ "slave_fifo32/gpif_data_out<20>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_20)) + (portRef I (instanceRef GPIF_D_20_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_21 "slave_fifo32/sloe_21") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_21_renamed_566)) + (portRef T (instanceRef GPIF_D_19_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_19_ "slave_fifo32/gpif_data_out<19>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_19)) + (portRef I (instanceRef GPIF_D_19_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_20 "slave_fifo32/sloe_20") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_20_renamed_567)) + (portRef T (instanceRef GPIF_D_18_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_18_ "slave_fifo32/gpif_data_out<18>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_18)) + (portRef I (instanceRef GPIF_D_18_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_19 "slave_fifo32/sloe_19") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_19_renamed_568)) + (portRef T (instanceRef GPIF_D_17_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_17_ "slave_fifo32/gpif_data_out<17>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_17)) + (portRef I (instanceRef GPIF_D_17_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_18 "slave_fifo32/sloe_18") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_18_renamed_569)) + (portRef T (instanceRef GPIF_D_16_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_16_ "slave_fifo32/gpif_data_out<16>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_16)) + (portRef I (instanceRef GPIF_D_16_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_17 "slave_fifo32/sloe_17") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_17_renamed_570)) + (portRef T (instanceRef GPIF_D_15_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_15_ "slave_fifo32/gpif_data_out<15>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_15)) + (portRef I (instanceRef GPIF_D_15_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_16 "slave_fifo32/sloe_16") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_16_renamed_571)) + (portRef T (instanceRef GPIF_D_14_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_14_ "slave_fifo32/gpif_data_out<14>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_14)) + (portRef I (instanceRef GPIF_D_14_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_15 "slave_fifo32/sloe_15") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_15_renamed_572)) + (portRef T (instanceRef GPIF_D_13_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_13_ "slave_fifo32/gpif_data_out<13>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_13)) + (portRef I (instanceRef GPIF_D_13_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_14 "slave_fifo32/sloe_14") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_14_renamed_573)) + (portRef T (instanceRef GPIF_D_12_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_12_ "slave_fifo32/gpif_data_out<12>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_12)) + (portRef I (instanceRef GPIF_D_12_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_13 "slave_fifo32/sloe_13") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_13_renamed_574)) + (portRef T (instanceRef GPIF_D_11_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_11_ "slave_fifo32/gpif_data_out<11>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_11)) + (portRef I (instanceRef GPIF_D_11_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_12 "slave_fifo32/sloe_12") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_12_renamed_575)) + (portRef T (instanceRef GPIF_D_10_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_10_ "slave_fifo32/gpif_data_out<10>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_10)) + (portRef I (instanceRef GPIF_D_10_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_11 "slave_fifo32/sloe_11") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_11_renamed_576)) + (portRef T (instanceRef GPIF_D_9_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_9_ "slave_fifo32/gpif_data_out<9>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_9)) + (portRef I (instanceRef GPIF_D_9_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_10 "slave_fifo32/sloe_10") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_10_renamed_577)) + (portRef T (instanceRef GPIF_D_8_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_8_ "slave_fifo32/gpif_data_out<8>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_8)) + (portRef I (instanceRef GPIF_D_8_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_9 "slave_fifo32/sloe_9") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_9_renamed_578)) + (portRef T (instanceRef GPIF_D_7_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_7_ "slave_fifo32/gpif_data_out<7>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_7)) + (portRef I (instanceRef GPIF_D_7_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_8 "slave_fifo32/sloe_8") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_8_renamed_579)) + (portRef T (instanceRef GPIF_D_6_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_6_ "slave_fifo32/gpif_data_out<6>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_6)) + (portRef I (instanceRef GPIF_D_6_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_7 "slave_fifo32/sloe_7") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_7_renamed_580)) + (portRef T (instanceRef GPIF_D_5_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_5_ "slave_fifo32/gpif_data_out<5>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_5)) + (portRef I (instanceRef GPIF_D_5_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_6 "slave_fifo32/sloe_6") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_6_renamed_581)) + (portRef T (instanceRef GPIF_D_4_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_4_ "slave_fifo32/gpif_data_out<4>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_4)) + (portRef I (instanceRef GPIF_D_4_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_5 "slave_fifo32/sloe_5") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_5_renamed_582)) + (portRef T (instanceRef GPIF_D_3_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_3_ "slave_fifo32/gpif_data_out<3>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_3)) + (portRef I (instanceRef GPIF_D_3_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_4 "slave_fifo32/sloe_4") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_4_renamed_583)) + (portRef T (instanceRef GPIF_D_2_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_2_ "slave_fifo32/gpif_data_out<2>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_2)) + (portRef I (instanceRef GPIF_D_2_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_3 "slave_fifo32/sloe_3") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_3_renamed_584)) + (portRef T (instanceRef GPIF_D_1_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_1_ "slave_fifo32/gpif_data_out<1>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_1)) + (portRef I (instanceRef GPIF_D_1_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_2 "slave_fifo32/sloe_2") + (joined + (portRef Q (instanceRef slave_fifo32_sloe_2_renamed_585)) + (portRef T (instanceRef GPIF_D_0_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_0_ "slave_fifo32/gpif_data_out<0>") + (joined + (portRef Q (instanceRef slave_fifo32_gpif_data_out_0)) + (portRef I (instanceRef GPIF_D_0_IOBUF)) + ) + ) + ) + ) + ) + ) + + (design b200 + (cellRef b200 + (libraryRef b200_lib) + ) + (property PART (string "xc6slx75-3-fgg484") (owner "Xilinx")) + ) +) + diff --git a/fpga/usrp3/top/b200/planahead/planahead.data/constrs_1/fileset.xml b/fpga/usrp3/top/b200/planahead/planahead.data/constrs_1/fileset.xml new file mode 100644 index 000000000..6234dfdc5 --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.data/constrs_1/fileset.xml @@ -0,0 +1,25 @@ + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/b200/planahead/planahead.data/runs/impl_1.psg b/fpga/usrp3/top/b200/planahead/planahead.data/runs/impl_1.psg new file mode 100644 index 000000000..147f3a950 --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.data/runs/impl_1.psg @@ -0,0 +1,20 @@ + + + + ISE Defaults, including packing registers in IOs off + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/b200/planahead/planahead.data/runs/impl_1/constrs_in.xml b/fpga/usrp3/top/b200/planahead/planahead.data/runs/impl_1/constrs_in.xml new file mode 100644 index 000000000..d7d32c943 --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.data/runs/impl_1/constrs_in.xml @@ -0,0 +1,25 @@ + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/b200/planahead/planahead.data/runs/impl_1/constrs_out.xml b/fpga/usrp3/top/b200/planahead/planahead.data/runs/impl_1/constrs_out.xml new file mode 100644 index 000000000..4d152cf5b --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.data/runs/impl_1/constrs_out.xml @@ -0,0 +1,20 @@ + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/b200/planahead/planahead.data/runs/impl_1/impl_1.psg b/fpga/usrp3/top/b200/planahead/planahead.data/runs/impl_1/impl_1.psg new file mode 100644 index 000000000..147f3a950 --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.data/runs/impl_1/impl_1.psg @@ -0,0 +1,20 @@ + + + + ISE Defaults, including packing registers in IOs off + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/b200/planahead/planahead.data/runs/impl_1/sources.xml b/fpga/usrp3/top/b200/planahead/planahead.data/runs/impl_1/sources.xml new file mode 100644 index 000000000..1ebdc052b --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.data/runs/impl_1/sources.xml @@ -0,0 +1,18 @@ + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/b200/planahead/planahead.data/runs/runs.xml b/fpga/usrp3/top/b200/planahead/planahead.data/runs/runs.xml new file mode 100644 index 000000000..b8f171cc0 --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.data/runs/runs.xml @@ -0,0 +1,30 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/b200/planahead/planahead.data/sim_1/fileset.xml b/fpga/usrp3/top/b200/planahead/planahead.data/sim_1/fileset.xml new file mode 100644 index 000000000..65babe32f --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.data/sim_1/fileset.xml @@ -0,0 +1,10 @@ + + + + + + + diff --git a/fpga/usrp3/top/b200/planahead/planahead.data/sources_1/fileset.xml b/fpga/usrp3/top/b200/planahead/planahead.data/sources_1/fileset.xml new file mode 100644 index 000000000..b0421e4c2 --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.data/sources_1/fileset.xml @@ -0,0 +1,26 @@ + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/b200/planahead/planahead.data/wt/java_command_handlers.wdf b/fpga/usrp3/top/b200/planahead/planahead.data/wt/java_command_handlers.wdf new file mode 100644 index 000000000..d32729c6c --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.data/wt/java_command_handlers.wdf @@ -0,0 +1,12 @@ +version:1 +70726f6a656374:706c616e5f61686561645f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:616464737263:31:00:00 +70726f6a656374:706c616e5f61686561645f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6564697466696e64:32:00:00 +70726f6a656374:706c616e5f61686561645f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6564697470726f70657274696573:31:00:00 +70726f6a656374:706c616e5f61686561645f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:66696c6565786974:31:00:00 +70726f6a656374:706c616e5f61686561645f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6e657770726f6a656374:31:00:00 +70726f6a656374:706c616e5f61686561645f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:746f67676c657a6f6f6d617265616d6f6465:32:00:00 +70726f6a656374:706c616e5f61686561645f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b696d706c656d656e746174696f6e:31:00:00 +70726f6a656374:706c616e5f61686561645f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7a6f6f6d666974:31:00:00 +70726f6a656374:706c616e5f61686561645f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7a6f6f6d696e:3133:00:00 +70726f6a656374:706c616e5f61686561645f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7a6f6f6d6f7574:3137:00:00 +eof:1108508211 diff --git a/fpga/usrp3/top/b200/planahead/planahead.data/wt/project.wpc b/fpga/usrp3/top/b200/planahead/planahead.data/wt/project.wpc new file mode 100644 index 000000000..9b3420931 --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.data/wt/project.wpc @@ -0,0 +1,3 @@ +version:1 +6d6f64655f636f756e7465727c4755494d6f6465:1 +eof: diff --git a/fpga/usrp3/top/b200/planahead/planahead.data/wt/webtalk_pa.xml b/fpga/usrp3/top/b200/planahead/planahead.data/wt/webtalk_pa.xml new file mode 100644 index 000000000..4c889614e --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.data/wt/webtalk_pa.xml @@ -0,0 +1,38 @@ + + + + +
+ + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + +
+
+
diff --git a/fpga/usrp3/top/b200/planahead/planahead.ppr b/fpga/usrp3/top/b200/planahead/planahead.ppr new file mode 100644 index 000000000..706cfae4b --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.ppr @@ -0,0 +1,28 @@ + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/b200/planahead/planahead.runs/.jobs/job1.bat b/fpga/usrp3/top/b200/planahead/planahead.runs/.jobs/job1.bat new file mode 100644 index 000000000..f95ac9bd2 --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.runs/.jobs/job1.bat @@ -0,0 +1,21 @@ +@echo off + +rem PlanAhead(TM) +rem launch.bat: a PlanAhead-generated ExploreAhead Script +rem Copyright 1986-1999, 2001-2012 Xilinx, Inc. All Rights Reserved. + + +setlocal + +set HD_LDIR=%~dp0 + +rem *** Create Queue Clues +set HD_RUNDIR=%HD_LDIR%\../impl_1 +if exist "%HD_RUNDIR%" echo. > "%HD_RUNDIR%/.ISE.queue.rst" + + +rem *** Launch Runs (one at a time) +set HD_RUNBAT=%HD_LDIR%\../impl_1\runme.bat +if exist "%HD_RUNBAT%" call "%HD_RUNBAT%" %* + + diff --git a/fpga/usrp3/top/b200/planahead/planahead.runs/.jobs/job1.sh b/fpga/usrp3/top/b200/planahead/planahead.runs/.jobs/job1.sh new file mode 100755 index 000000000..48861c686 --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.runs/.jobs/job1.sh @@ -0,0 +1,26 @@ +#!/bin/sh + +# +# PlanAhead(TM) +# launch.sh: a PlanAhead-generated ExploreAhead Script for UNIX +# Copyright 1986-1999, 2001-2012 Xilinx, Inc. All Rights Reserved. +# + +HD_LDIR=`dirname "$0"` + +# *** Create Queue Clues +HD_RUNDIR="$HD_LDIR/../impl_1" +if [ -d "$HD_RUNDIR" ] +then +/bin/touch "$HD_RUNDIR/.ISE.queue.rst" +fi + + +# *** Launch Runs (one at a time) +HD_RUNSH="$HD_LDIR/../impl_1/runme.sh" +if [ -f "$HD_RUNSH" ] +then +"$HD_RUNSH" +fi + + diff --git a/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.constrs/b200.ucf b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.constrs/b200.ucf new file mode 100644 index 000000000..665f5d76c --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.constrs/b200.ucf @@ -0,0 +1,317 @@ +## SPI Nets + +NET "cat_ce" LOC = Y1; +NET "cat_ce" IOSTANDARD = LVCMOS18; +NET "cat_miso" LOC = V1; +NET "cat_miso" IOSTANDARD = LVCMOS18; +NET "cat_mosi" LOC = T4; +NET "cat_mosi" IOSTANDARD = LVCMOS18; +NET "cat_sclk" LOC = P7; +NET "cat_sclk" IOSTANDARD = LVCMOS18; + +NET "fx3_ce" LOC = H20; +NET "fx3_miso" LOC = G20; +NET "fx3_mosi" LOC = AA20; +NET "fx3_sclk" LOC = Y21; + +NET "pll_ce" LOC = W11; +NET "pll_mosi" LOC = AB11; +NET "pll_sclk" LOC = Y12; + +NET "FPGA_RXD0" LOC = AB8; +NET "FPGA_TXD0" LOC = AB7; + +NET "SCL_FPGA" LOC = P21; +NET "SDA_FPGA" LOC = W22; + +## Catalina Controls + +NET "codec_enable" LOC = J6; +NET "codec_enable" IOSTANDARD = LVCMOS18; +NET "codec_en_agc" LOC = P6; +NET "codec_en_agc" IOSTANDARD = LVCMOS18; +NET "codec_reset" LOC = Y2; +NET "codec_reset" IOSTANDARD = LVCMOS18; +NET "codec_sync" LOC = M3; +NET "codec_sync" IOSTANDARD = LVCMOS18; +NET "codec_txrx" LOC = M7; +NET "codec_txrx" IOSTANDARD = LVCMOS18; + +NET "codec_ctrl_in[0]" LOC = E3; +NET "codec_ctrl_in[0]" IOSTANDARD = LVCMOS18; +NET "codec_ctrl_in[1]" LOC = F2; +NET "codec_ctrl_in[1]" IOSTANDARD = LVCMOS18; +NET "codec_ctrl_in[2]" LOC = F1; +NET "codec_ctrl_in[2]" IOSTANDARD = LVCMOS18; +NET "codec_ctrl_in[3]" LOC = E1; +NET "codec_ctrl_in[3]" IOSTANDARD = LVCMOS18; + +NET "codec_ctrl_out[0]" LOC = D1; +NET "codec_ctrl_out[0]" IOSTANDARD = LVCMOS18; +NET "codec_ctrl_out[1]" LOC = C1; +NET "codec_ctrl_out[1]" IOSTANDARD = LVCMOS18; +NET "codec_ctrl_out[2]" LOC = H3; +NET "codec_ctrl_out[2]" IOSTANDARD = LVCMOS18; +NET "codec_ctrl_out[3]" LOC = F3; +NET "codec_ctrl_out[3]" IOSTANDARD = LVCMOS18; +NET "codec_ctrl_out[4]" LOC = P1; +NET "codec_ctrl_out[4]" IOSTANDARD = LVCMOS18; +NET "codec_ctrl_out[5]" LOC = J1; +NET "codec_ctrl_out[5]" IOSTANDARD = LVCMOS18; +NET "codec_ctrl_out[6]" LOC = B1; +NET "codec_ctrl_out[6]" IOSTANDARD = LVCMOS18; +NET "codec_ctrl_out[7]" LOC = H2; +NET "codec_ctrl_out[7]" IOSTANDARD = LVCMOS18; + +## Catalina Data RX + +NET "rx_codec_d[0]" LOC = T2; +NET "rx_codec_d[0]" IOSTANDARD = LVCMOS18; +NET "rx_codec_d[0]" DRIVE = 4; +NET "rx_codec_d[1]" LOC = R1; +NET "rx_codec_d[1]" IOSTANDARD = LVCMOS18; +NET "rx_codec_d[1]" DRIVE = 4; +NET "rx_codec_d[2]" LOC = V2; +NET "rx_codec_d[2]" IOSTANDARD = LVCMOS18; +NET "rx_codec_d[2]" DRIVE = 4; +NET "rx_codec_d[3]" LOC = N1; +NET "rx_codec_d[3]" IOSTANDARD = LVCMOS18; +NET "rx_codec_d[3]" DRIVE = 4; +NET "rx_codec_d[4]" LOC = V3; +NET "rx_codec_d[4]" IOSTANDARD = LVCMOS18; +NET "rx_codec_d[4]" DRIVE = 4; +NET "rx_codec_d[5]" LOC = T1; +NET "rx_codec_d[5]" IOSTANDARD = LVCMOS18; +NET "rx_codec_d[5]" DRIVE = 4; +NET "rx_codec_d[6]" LOC = W1; +NET "rx_codec_d[6]" IOSTANDARD = LVCMOS18; +NET "rx_codec_d[6]" DRIVE = 4; +NET "rx_codec_d[7]" LOC = U1; +NET "rx_codec_d[7]" IOSTANDARD = LVCMOS18; +NET "rx_codec_d[7]" DRIVE = 4; +NET "rx_codec_d[8]" LOC = W3; +NET "rx_codec_d[8]" IOSTANDARD = LVCMOS18; +NET "rx_codec_d[8]" DRIVE = 4; +NET "rx_codec_d[9]" LOC = U3; +NET "rx_codec_d[9]" IOSTANDARD = LVCMOS18; +NET "rx_codec_d[9]" DRIVE = 4; +NET "rx_codec_d[10]" LOC = P2; +NET "rx_codec_d[10]" IOSTANDARD = LVCMOS18; +NET "rx_codec_d[10]" DRIVE = 4; +NET "rx_codec_d[11]" LOC = R3; +NET "rx_codec_d[11]" IOSTANDARD = LVCMOS18; +NET "rx_codec_d[11]" DRIVE = 4; + +## Catalina Data TX + +NET "tx_codec_d[0]" LOC = M1; +NET "tx_codec_d[0]" IOSTANDARD = LVCMOS18; +NET "tx_codec_d[0]" DRIVE = 4; +NET "tx_codec_d[1]" LOC = K1; +NET "tx_codec_d[1]" IOSTANDARD = LVCMOS18; +NET "tx_codec_d[1]" DRIVE = 4; +NET "tx_codec_d[2]" LOC = L3; +NET "tx_codec_d[2]" IOSTANDARD = LVCMOS18; +NET "tx_codec_d[2]" DRIVE = 4; +NET "tx_codec_d[3]" LOC = K2; +NET "tx_codec_d[3]" IOSTANDARD = LVCMOS18; +NET "tx_codec_d[3]" DRIVE = 4; +NET "tx_codec_d[4]" LOC = M4; +NET "tx_codec_d[4]" IOSTANDARD = LVCMOS18; +NET "tx_codec_d[4]" DRIVE = 4; +NET "tx_codec_d[5]" LOC = J4; +NET "tx_codec_d[5]" IOSTANDARD = LVCMOS18; +NET "tx_codec_d[5]" DRIVE = 4; +NET "tx_codec_d[6]" LOC = L4; +NET "tx_codec_d[6]" IOSTANDARD = LVCMOS18; +NET "tx_codec_d[6]" DRIVE = 4; +NET "tx_codec_d[7]" LOC = H1; +NET "tx_codec_d[7]" IOSTANDARD = LVCMOS18; +NET "tx_codec_d[7]" DRIVE = 4; +NET "tx_codec_d[8]" LOC = M2; +NET "tx_codec_d[8]" IOSTANDARD = LVCMOS18; +NET "tx_codec_d[8]" DRIVE = 4; +NET "tx_codec_d[9]" LOC = G1; +NET "tx_codec_d[9]" IOSTANDARD = LVCMOS18; +NET "tx_codec_d[9]" DRIVE = 4; +NET "tx_codec_d[10]" LOC = N3; +NET "tx_codec_d[10]" IOSTANDARD = LVCMOS18; +NET "tx_codec_d[10]" DRIVE = 4; +NET "tx_codec_d[11]" LOC = G3; +NET "tx_codec_d[11]" IOSTANDARD = LVCMOS18; +NET "tx_codec_d[11]" DRIVE = 4; + +## Catalina Clocks + +NET "cat_clkout_fpga" LOC = J3; +NET "cat_clkout_fpga" IOSTANDARD = LVCMOS18; +NET "codec_data_clk_p" LOC = K3; +NET "codec_data_clk_p" IOSTANDARD = LVCMOS18; +NET "codec_fb_clk_p" LOC = P3; +NET "codec_fb_clk_p" IOSTANDARD = LVCMOS18; +# | IOSTANDARD = LVCMOS18; +NET "codec_main_clk_p" LOC = K5; +# | IOSTANDARD = LVCMOS18; +NET "codec_main_clk_n" LOC = K4; + +NET "rx_frame_p" LOC = U4; +NET "rx_frame_p" IOSTANDARD = LVCMOS18; +NET "tx_frame_p" LOC = T3; +NET "tx_frame_p" IOSTANDARD = LVCMOS18; + +## Debug Bus + +NET "debug[0]" LOC = C14; +NET "debug[1]" LOC = F15; +NET "debug[2]" LOC = A18; +NET "debug[3]" LOC = A17; +NET "debug[4]" LOC = E14; +NET "debug[5]" LOC = G13; +NET "debug[6]" LOC = D13; +NET "debug[7]" LOC = F13; +NET "debug[8]" LOC = D8; +NET "debug[9]" LOC = A6; +NET "debug[10]" LOC = D7; +NET "debug[11]" LOC = A5; +NET "debug[12]" LOC = B6; +NET "debug[13]" LOC = A3; +NET "debug[14]" LOC = A7; +NET "debug[15]" LOC = A8; +NET "debug[16]" LOC = B18; +NET "debug[17]" LOC = C17; +NET "debug[18]" LOC = H13; +NET "debug[19]" LOC = D12; +NET "debug[20]" LOC = H14; +NET "debug[21]" LOC = C10; +NET "debug[22]" LOC = D10; +NET "debug[23]" LOC = C8; +NET "debug[24]" LOC = D9; +NET "debug[25]" LOC = C5; +NET "debug[26]" LOC = A9; +NET "debug[27]" LOC = B8; +NET "debug[28]" LOC = A4; +NET "debug[29]" LOC = C7; +NET "debug[30]" LOC = C6; +NET "debug[31]" LOC = D6; + +NET "debug_clk[0]" LOC = A12; +NET "debug_clk[1]" LOC = C12; + +## GPIF + +NET "IFCLK" LOC = H21; +NET "FX3_EXTINT" LOC = U20; + +NET "GPIF_CTL0" LOC = V20; +NET "GPIF_CTL1" LOC = T22; +NET "GPIF_CTL2" LOC = R22; +NET "GPIF_CTL3" LOC = U22; +NET "GPIF_CTL4" LOC = P19; +NET "GPIF_CTL5" LOC = N22; +NET "GPIF_CTL6" LOC = T21; +NET "GPIF_CTL7" LOC = V21; +NET "GPIF_CTL8" LOC = K18; +NET "GPIF_CTL9" LOC = R20; +##GPIF_CTL10 is "FPGA_CFG_DONE", defined later. +NET "GPIF_CTL11" LOC = P22; +NET "GPIF_CTL12" LOC = M20; + +NET "GPIF_D[0]" LOC = T17; +NET "GPIF_D[1]" LOC = U14; +NET "GPIF_D[2]" LOC = U13; +NET "GPIF_D[3]" LOC = AA6; +NET "GPIF_D[4]" LOC = AB6; +NET "GPIF_D[5]" LOC = Y3; +NET "GPIF_D[6]" LOC = AB3; +NET "GPIF_D[7]" LOC = AA4; +NET "GPIF_D[8]" LOC = AA2; +NET "GPIF_D[9]" LOC = AB2; +NET "GPIF_D[10]" LOC = AB19; +NET "GPIF_D[11]" LOC = AA18; +NET "GPIF_D[12]" LOC = AB18; +NET "GPIF_D[13]" LOC = Y13; +NET "GPIF_D[14]" LOC = AA12; +NET "GPIF_D[15]" LOC = AB12; +NET "GPIF_D[16]" LOC = N20; +NET "GPIF_D[17]" LOC = L20; +NET "GPIF_D[18]" LOC = N19; +NET "GPIF_D[19]" LOC = M22; +NET "GPIF_D[20]" LOC = L19; +NET "GPIF_D[21]" LOC = M21; +NET "GPIF_D[22]" LOC = M19; +NET "GPIF_D[23]" LOC = K22; +NET "GPIF_D[24]" LOC = J20; +NET "GPIF_D[25]" LOC = L22; +NET "GPIF_D[26]" LOC = K19; +NET "GPIF_D[27]" LOC = H22; +NET "GPIF_D[28]" LOC = J22; +NET "GPIF_D[29]" LOC = K20; +NET "GPIF_D[30]" LOC = G22; +NET "GPIF_D[31]" LOC = F22; + +## GPS + +NET "gps_lock" LOC = Y17; +NET "gps_out_enable" LOC = V22; +NET "gps_ref_enable" LOC = AB13; +NET "gps_rxd" LOC = AB14; +NET "gps_txd" LOC = W12; +NET "gps_txd_nmea" LOC = AA14; + +## LEDS + +NET "LED_RX1" LOC = C22; +NET "LED_RX2" LOC = L15; +NET "LED_TXRX1_TX" LOC = C20; +NET "LED_TXRX2_RX" LOC = D21; +NET "LED_TXRX1_RX" LOC = K16; +NET "LED_TXRX2_TX" LOC = D22; + +## Misc Hardware Control + +NET "ext_ref_enable" LOC = Y15; +NET "pll_lock" LOC = AB10; +NET "AUX_PWR_ON" LOC = AA21; +#NET "RFUSE" LOC = "P15" ; + +## PPS + +NET "pps_fpga_out_enable" LOC = AB15; +NET "PPS_IN_EXT" LOC = AB16; +NET "PPS_IN_INT" LOC = AB21; +NET "pps_out" LOC = AB17; + +## RF Hardware Control + +NET "SFDX1_RX" LOC = W4; +NET "SFDX1_TX" LOC = T18; +NET "SFDX2_RX" LOC = F18; +NET "SFDX2_TX" LOC = H17; +NET "SRX1_RX" LOC = Y7; +NET "SRX1_TX" LOC = AA8; +NET "SRX2_RX" LOC = J17; +NET "SRX2_TX" LOC = F19; +NET "tx_bandsel_a" LOC = N16; +NET "tx_bandsel_b" LOC = M16; +NET "tx_enable1" LOC = Y4; +NET "tx_enable2" LOC = R19; +NET "rx_bandsel_a" LOC = T20; +NET "rx_bandsel_b" LOC = U19; +NET "rx_bandsel_c" LOC = P20; + +## FPGA Config Pins + +#NET "FPGA_CFG_INIT_B" LOC = "T6" ; +#NET "FPGA_CFG_DONE" LOC = "Y22" ; +#NET "FPGA_CFG_M0" LOC = "AA22" ; +#NET "FPGA_CFG_M1" LOC = "U15" ; +#NET "FPGA_CFG_PROG_B" LOC = "AA1" ; + +## Special Pins + +#NET "VFS" LOC = "P16" ; +#NET "TMS" LOC = "C18" ; +#NET "TDO" LOC = "A19" ; +#NET "TDI" LOC = "E18" ; +#NET "TCK" LOC = "G15" ; +#NET "GND" LOC = "N15" ; diff --git a/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.constrs/timing.ucf b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.constrs/timing.ucf new file mode 100644 index 000000000..907b97539 --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.constrs/timing.ucf @@ -0,0 +1,85 @@ + +# codec_main_clk is 40 MHz main tcxo clock +NET "codec_main_clk*" TNM_NET = "codec_main_clk"; +TIMESPEC TS_codec_main_clk = PERIOD "codec_main_clk" 25000 ps HIGH 50 %; + + +# IFCLK is 100 MHz GPIF clock +NET "IFCLK" TNM_NET = "IFCLK"; +TIMESPEC TS_IFCLK = PERIOD "IFCLK" 10000 ps HIGH 50 %; + + +# codec_data_clk is the data clock from catalina, sample rate dependent +# this clock equals sample rate in CMOS DDR 1R1T mode +# this clock is double the sample rate in CMOS DDR 2R2T mode +# Max clock rate is 61.44 MHz +NET "codec_data_clk_p" TNM_NET = "codec_data_clk_p"; +TIMESPEC TS_codec_data_clk_p = PERIOD "codec_data_clk_p" 16276 ps HIGH 50 %; + + +#always use IOB for GPIF pins for awesome timing +INST "GPIF_D_9_IOBUF" IOB =TRUE; +INST "GPIF_D_8_IOBUF" IOB =TRUE; +INST "GPIF_D_7_IOBUF" IOB =TRUE; +INST "GPIF_D_6_IOBUF" IOB =TRUE; +INST "GPIF_D_5_IOBUF" IOB =TRUE; +INST "GPIF_D_4_IOBUF" IOB =TRUE; +INST "GPIF_D_3_IOBUF" IOB =TRUE; +INST "GPIF_D_31_IOBUF" IOB =TRUE; +INST "GPIF_D_30_IOBUF" IOB =TRUE; +INST "GPIF_D_2_IOBUF" IOB =TRUE; +INST "GPIF_D_29_IOBUF" IOB =TRUE; +INST "GPIF_D_28_IOBUF" IOB =TRUE; +INST "GPIF_D_27_IOBUF" IOB =TRUE; +INST "GPIF_D_26_IOBUF" IOB =TRUE; +INST "GPIF_D_25_IOBUF" IOB =TRUE; +INST "GPIF_D_24_IOBUF" IOB =TRUE; +INST "GPIF_D_23_IOBUF" IOB =TRUE; +INST "GPIF_D_22_IOBUF" IOB =TRUE; +INST "GPIF_D_21_IOBUF" IOB =TRUE; +INST "GPIF_D_20_IOBUF" IOB =TRUE; +INST "GPIF_D_1_IOBUF" IOB =TRUE; +INST "GPIF_CTL0_OBUF" IOB =TRUE; +INST "GPIF_CTL11_OBUF" IOB =TRUE; +INST "GPIF_CTL12_OBUF" IOB =TRUE; +INST "GPIF_CTL1_OBUF" IOB =TRUE; +INST "GPIF_CTL2_OBUF" IOB =TRUE; +INST "GPIF_CTL3_OBUF" IOB =TRUE; +INST "GPIF_CTL4_IBUF" IOB =TRUE; +INST "GPIF_CTL5_IBUF" IOB =TRUE; +INST "GPIF_CTL7_OBUF" IOB =TRUE; +INST "GPIF_CTL9_IBUF" IOB =TRUE; +INST "GPIF_D_0_IOBUF" IOB =TRUE; +INST "GPIF_D_10_IOBUF" IOB =TRUE; +INST "GPIF_D_11_IOBUF" IOB =TRUE; +INST "GPIF_D_12_IOBUF" IOB =TRUE; +INST "GPIF_D_13_IOBUF" IOB =TRUE; +INST "GPIF_D_14_IOBUF" IOB =TRUE; +INST "GPIF_D_15_IOBUF" IOB =TRUE; +INST "GPIF_D_16_IOBUF" IOB =TRUE; +INST "GPIF_D_17_IOBUF" IOB =TRUE; +INST "GPIF_D_18_IOBUF" IOB =TRUE; +INST "GPIF_D_19_IOBUF" IOB =TRUE; + +# TODO not working... constraints ignored + +#constrain FX3 IO +INST "GPIF_D[*]" TNM = "gpif_net_out"; +INST "GPIF_D[*]" TNM = "gpif_net_in"; +INST "GPIF_CTL0" TNM = "gpif_net_out"; +INST "GPIF_CTL1" TNM = "gpif_net_out"; +INST "GPIF_CTL2" TNM = "gpif_net_out"; +INST "GPIF_CTL3" TNM = "gpif_net_out"; +INST "GPIF_CTL4" TNM = "gpif_net_in"; +INST "GPIF_CTL5" TNM = "gpif_net_in"; +INST "GPIF_CTL6" TNM = gpif_net_in; +INST "GPIF_CTL7" TNM = "gpif_net_out"; +INST "GPIF_CTL8" TNM = gpif_net_in; +INST "GPIF_CTL11" TNM = "gpif_net_out"; +INST "GPIF_CTL12" TNM = "gpif_net_out"; + +#NET "gpif_clk" TNM_NET = "TNM_gpif_clk"; +#OFFSET = OUT 5 ns AFTER "gpif_clk"; +#TIMESPEC "TS_gpif_clk" = PERIOD "TNM_gpif_clk" 10000 ps HIGH 50 %; +#TIMEGRP "gpif_net_in" OFFSET = IN 6 ns VALID 6 ns BEFORE "gpif_clk" RISING; +#TIMEGRP "gpif_net_out" OFFSET = OUT 6 ns AFTER "gpif_clk" RISING; diff --git a/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.map.begin.rst b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.map.begin.rst new file mode 100644 index 000000000..e69de29bb diff --git a/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.map.end.rst b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.map.end.rst new file mode 100644 index 000000000..e69de29bb diff --git a/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.ngdbuild.begin.rst b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.ngdbuild.begin.rst new file mode 100644 index 000000000..e69de29bb diff --git a/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.ngdbuild.end.rst b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.ngdbuild.end.rst new file mode 100644 index 000000000..e69de29bb diff --git a/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.par.begin.rst b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.par.begin.rst new file mode 100644 index 000000000..e69de29bb diff --git a/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.par.end.rst b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.par.end.rst new file mode 100644 index 000000000..e69de29bb diff --git a/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.trce.begin.rst b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.trce.begin.rst new file mode 100644 index 000000000..e69de29bb diff --git a/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.trce.end.rst b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.trce.end.rst new file mode 100644 index 000000000..e69de29bb diff --git a/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.xdl.begin.rst b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.xdl.begin.rst new file mode 100644 index 000000000..e69de29bb diff --git a/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.xdl.end.rst b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/.xdl.end.rst new file mode 100644 index 000000000..e69de29bb diff --git a/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/ISEWrap.js b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/ISEWrap.js new file mode 100644 index 000000000..72d04e50d --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/ISEWrap.js @@ -0,0 +1,196 @@ +// +// PlanAhead(TM) +// ISEWrap.js: ExploreAhead Script for WSH 5.1/5.6 +// Copyright 1986-1999, 2001-2010 Xilinx, Inc. All Rights Reserved. +// + +// GLOBAL VARIABLES +var ISEShell = new ActiveXObject( "WScript.Shell" ); +var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); +var ISERunDir = ""; +var ISELogFile = "runme.log"; +var ISELogFileStr = null; +var ISELogEcho = true; +var ISEOldVersionWSH = false; + + + +// BOOTSTRAP +ISEInit(); + + + +// +// ISE FUNCTIONS +// +function ISEInit() { + + // 1. RUN DIR setup + var ISEScrFP = WScript.ScriptFullName; + var ISEScrN = WScript.ScriptName; + ISERunDir = + ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); + + // 2. LOG file setup + ISELogFileStr = ISEOpenFile( ISELogFile ); + + // 3. LOG echo? + var ISEScriptArgs = WScript.Arguments; + for ( var loopi=0; loopi> " + ISELogFile + " 2>&1"; + ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); + ISELogFileStr = ISEOpenFile( ISELogFile ); + + } else { // WSH 5.6 + + // LAUNCH! + ISEShell.CurrentDirectory = ISERunDir; + + // Redirect STDERR to STDOUT + ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; + var ISEProcess = ISEShell.Exec( ISECmdLine ); + + // BEGIN file creation + var ISENetwork = WScript.CreateObject( "WScript.Network" ); + var ISEHost = ISENetwork.ComputerName; + var ISEUser = ISENetwork.UserName; + var ISEPid = ISEProcess.ProcessID; + var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.WriteLine( " " ); + ISEBeginFile.WriteLine( " " ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.Close(); + + var ISEOutStr = ISEProcess.StdOut; + var ISEErrStr = ISEProcess.StdErr; + + // WAIT for ISEStep to finish + while ( ISEProcess.Status == 0 ) { + + // dump stdout then stderr - feels a little arbitrary + while ( !ISEOutStr.AtEndOfStream ) { + ISEStdOut( ISEOutStr.ReadLine() ); + } + + WScript.Sleep( 100 ); + } + + ISEExitCode = ISEProcess.ExitCode; + } + + // END/ERROR file creation + if ( ISEExitCode != 0 ) { + ISETouchFile( ISEStep, "error" ); + + } else { + ISETouchFile( ISEStep, "end" ); + } + + return ISEExitCode; +} + + +// +// UTILITIES +// +function ISEStdOut( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdOut.WriteLine( ISELine ); + } +} + +function ISEStdErr( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdErr.WriteLine( ISELine ); + } +} + +function ISETouchFile( ISERoot, ISEStatus ) { + + var ISETFile = + ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); + ISETFile.close(); +} + +function ISEOpenFile( ISEFilename ) { + + var ISEFullPath = ISERunDir + "/" + ISEFilename; + return ISEFileSys.OpenTextFile( ISEFullPath, 8, true ); +} diff --git a/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/ISEWrap.sh b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/ISEWrap.sh new file mode 100755 index 000000000..4ebc95977 --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/ISEWrap.sh @@ -0,0 +1,62 @@ +#!/bin/sh + +# +# PlanAhead(TM) +# ISEWrap.sh: ExploreAhead Script for UNIX +# Copyright 1986-1999, 2001-2010 Xilinx, Inc. All Rights Reserved. +# + +HD_LOG=$1 +shift + +# CHECK for a STOP FILE +if [ -f .stop.rst ] +then +echo "" >> $HD_LOG +echo "*** Halting run - EA reset detected ***" >> $HD_LOG +echo "" >> $HD_LOG +exit 1 +fi + +ISE_STEP=$1 +shift + +# WRITE STEP HEADER to LOG +echo "" >> $HD_LOG +echo "*** Running $ISE_STEP" >> $HD_LOG +echo " with args $@" >> $HD_LOG +echo "" >> $HD_LOG + +# LAUNCH! +$ISE_STEP "$@" >> $HD_LOG 2>&1 & + +# BEGIN file creation +ISE_PID=$! +if [ X != X$HOSTNAME ] +then +ISE_HOST=$HOSTNAME #bash +else +ISE_HOST=$HOST #csh +fi +ISE_USER=$USER +ISE_BEGINFILE=.$ISE_STEP.begin.rst +/bin/touch $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE +echo " " >> $ISE_BEGINFILE +echo " " >> $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE + +# WAIT for ISEStep to finish +wait $ISE_PID + +# END/ERROR file creation +RETVAL=$? +if [ $RETVAL -eq 0 ] +then + /bin/touch .$ISE_STEP.end.rst +else + /bin/touch .$ISE_STEP.error.rst +fi + +exit $RETVAL diff --git a/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf new file mode 100644 index 000000000..6fe23b7b5 --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf @@ -0,0 +1,51815 @@ +(edif b200 + (edifversion 2 0 0) + (edifLevel 0) + (keywordmap (keywordlevel 0)) +(status + (written + (timeStamp 2013 01 29 17 25 56) + (program "PlanAhead" (version "14.4")) + (comment "Built on 'Tue Dec 18 05:17:28 MST 2012'") + (comment "Built by 'xbuild'") + ) +) + (Library hdi_primitives + (edifLevel 0) + (technology (numberDefinition )) + (cell FDRE (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port Q (direction OUTPUT)) + (port C (direction INPUT)) + (port CE (direction INPUT)) + (port D (direction INPUT)) + (port R (direction INPUT)) + ) + ) + ) + (cell MUXCY (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port O (direction OUTPUT)) + (port CI (direction INPUT)) + (port DI (direction INPUT)) + (port S (direction INPUT)) + ) + ) + ) + (cell LUT2 (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port O (direction OUTPUT)) + (port I0 (direction INPUT)) + (port I1 (direction INPUT)) + ) + ) + ) + (cell LUT3 (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port O (direction OUTPUT)) + (port I0 (direction INPUT)) + (port I1 (direction INPUT)) + (port I2 (direction INPUT)) + ) + ) + ) + (cell SRLC32E (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port Q (direction OUTPUT)) + (port Q31 (direction OUTPUT)) + (port CE (direction INPUT)) + (port CLK (direction INPUT)) + (port D (direction INPUT)) + (port (array (rename A "A[4:0]") 5) (direction INPUT)) + ) + ) + ) + (cell XORCY (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port O (direction OUTPUT)) + (port CI (direction INPUT)) + (port LI (direction INPUT)) + ) + ) + ) + (cell OBUF (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port O (direction OUTPUT)) + (port I (direction INPUT)) + ) + ) + ) + (cell FD (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port Q (direction OUTPUT)) + (port C (direction INPUT)) + (port D (direction INPUT)) + ) + ) + ) + (cell ODDR2 (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port Q (direction OUTPUT)) + (port C0 (direction INPUT)) + (port C1 (direction INPUT)) + (port CE (direction INPUT)) + (port D0 (direction INPUT)) + (port D1 (direction INPUT)) + (port R (direction INPUT)) + (port S (direction INPUT)) + ) + ) + ) + (cell IOBUF (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port O (direction OUTPUT)) + (port I (direction INPUT)) + (port T (direction INPUT)) + (port IO (direction INOUT)) + ) + ) + ) + (cell LUT6 (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port O (direction OUTPUT)) + (port I0 (direction INPUT)) + (port I1 (direction INPUT)) + (port I2 (direction INPUT)) + (port I3 (direction INPUT)) + (port I4 (direction INPUT)) + (port I5 (direction INPUT)) + ) + ) + ) + (cell RAMB16BWER (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port CLKA (direction INPUT)) + (port CLKB (direction INPUT)) + (port ENA (direction INPUT)) + (port ENB (direction INPUT)) + (port REGCEA (direction INPUT)) + (port REGCEB (direction INPUT)) + (port RSTA (direction INPUT)) + (port RSTB (direction INPUT)) + (port (array (rename DOA "DOA[31:0]") 32) (direction OUTPUT)) + (port (array (rename DOB "DOB[31:0]") 32) (direction OUTPUT)) + (port (array (rename DOPA "DOPA[3:0]") 4) (direction OUTPUT)) + (port (array (rename DOPB "DOPB[3:0]") 4) (direction OUTPUT)) + (port (array (rename ADDRA "ADDRA[13:0]") 14) (direction INPUT)) + (port (array (rename ADDRB "ADDRB[13:0]") 14) (direction INPUT)) + (port (array (rename DIA "DIA[31:0]") 32) (direction INPUT)) + (port (array (rename DIB "DIB[31:0]") 32) (direction INPUT)) + (port (array (rename DIPA "DIPA[3:0]") 4) (direction INPUT)) + (port (array (rename DIPB "DIPB[3:0]") 4) (direction INPUT)) + (port (array (rename WEA "WEA[3:0]") 4) (direction INPUT)) + (port (array (rename WEB "WEB[3:0]") 4) (direction INPUT)) + ) + ) + ) + (cell LUT1 (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port O (direction OUTPUT)) + (port I0 (direction INPUT)) + ) + ) + ) + (cell FDSE (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port Q (direction OUTPUT)) + (port C (direction INPUT)) + (port CE (direction INPUT)) + (port D (direction INPUT)) + (port S (direction INPUT)) + ) + ) + ) + (cell LUT4 (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port O (direction OUTPUT)) + (port I0 (direction INPUT)) + (port I1 (direction INPUT)) + (port I2 (direction INPUT)) + (port I3 (direction INPUT)) + ) + ) + ) + (cell LUT5 (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port O (direction OUTPUT)) + (port I0 (direction INPUT)) + (port I1 (direction INPUT)) + (port I2 (direction INPUT)) + (port I3 (direction INPUT)) + (port I4 (direction INPUT)) + ) + ) + ) + (cell FDR (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port Q (direction OUTPUT)) + (port C (direction INPUT)) + (port D (direction INPUT)) + (port R (direction INPUT)) + ) + ) + ) + (cell FDE (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port Q (direction OUTPUT)) + (port C (direction INPUT)) + (port CE (direction INPUT)) + (port D (direction INPUT)) + ) + ) + ) + (cell IBUFG (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port O (direction OUTPUT)) + (port I (direction INPUT)) + ) + ) + ) + (cell MUXF7 (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port O (direction OUTPUT)) + (port I0 (direction INPUT)) + (port I1 (direction INPUT)) + (port S (direction INPUT)) + ) + ) + ) + (cell RAMB8BWER (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port CLKAWRCLK (direction INPUT)) + (port CLKBRDCLK (direction INPUT)) + (port ENAWREN (direction INPUT)) + (port ENBRDEN (direction INPUT)) + (port REGCEA (direction INPUT)) + (port REGCEBREGCE (direction INPUT)) + (port RSTA (direction INPUT)) + (port RSTBRST (direction INPUT)) + (port (array (rename DOADO "DOADO[15:0]") 16) (direction OUTPUT)) + (port (array (rename DOBDO "DOBDO[15:0]") 16) (direction OUTPUT)) + (port (array (rename DOPADOP "DOPADOP[1:0]") 2) (direction OUTPUT)) + (port (array (rename DOPBDOP "DOPBDOP[1:0]") 2) (direction OUTPUT)) + (port (array (rename ADDRAWRADDR "ADDRAWRADDR[12:0]") 13) (direction INPUT)) + (port (array (rename ADDRBRDADDR "ADDRBRDADDR[12:0]") 13) (direction INPUT)) + (port (array (rename DIADI "DIADI[15:0]") 16) (direction INPUT)) + (port (array (rename DIBDI "DIBDI[15:0]") 16) (direction INPUT)) + (port (array (rename DIPADIP "DIPADIP[1:0]") 2) (direction INPUT)) + (port (array (rename DIPBDIP "DIPBDIP[1:0]") 2) (direction INPUT)) + (port (array (rename WEAWEL "WEAWEL[1:0]") 2) (direction INPUT)) + (port (array (rename WEBWEU "WEBWEU[1:0]") 2) (direction INPUT)) + ) + ) + ) + (cell BUFG (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port O (direction OUTPUT)) + (port I (direction INPUT)) + ) + ) + ) + (cell IBUFGDS (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port O (direction OUTPUT)) + (port I (direction INPUT)) + (port IB (direction INPUT)) + ) + ) + ) + (cell FDS (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port Q (direction OUTPUT)) + (port C (direction INPUT)) + (port D (direction INPUT)) + (port S (direction INPUT)) + ) + ) + ) + (cell FDP (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port Q (direction OUTPUT)) + (port C (direction INPUT)) + (port D (direction INPUT)) + (port PRE (direction INPUT)) + ) + ) + ) + (cell GND (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port G (direction OUTPUT)) + ) + ) + ) + (cell IBUF (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port O (direction OUTPUT)) + (port I (direction INPUT)) + ) + ) + ) + (cell VCC (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port P (direction OUTPUT)) + ) + ) + ) + (cell DCM_SP (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port CLK0 (direction OUTPUT)) + (port CLK180 (direction OUTPUT)) + (port CLK270 (direction OUTPUT)) + (port CLK2X (direction OUTPUT)) + (port CLK2X180 (direction OUTPUT)) + (port CLK90 (direction OUTPUT)) + (port CLKDV (direction OUTPUT)) + (port CLKFX (direction OUTPUT)) + (port CLKFX180 (direction OUTPUT)) + (port LOCKED (direction OUTPUT)) + (port PSDONE (direction OUTPUT)) + (port CLKFB (direction INPUT)) + (port CLKIN (direction INPUT)) + (port DSSEN (direction INPUT)) + (port PSCLK (direction INPUT)) + (port PSEN (direction INPUT)) + (port PSINCDEC (direction INPUT)) + (port RST (direction INPUT)) + (port (array (rename STATUS "STATUS[7:0]") 8) (direction OUTPUT)) + ) + ) + ) + (cell INV (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port I (direction INPUT)) + (port O (direction OUTPUT)) + ) + ) + ) + ) + (Library b200_lib + (edifLevel 0) + (technology (numberDefinition )) + (cell fifo_4k_2clk (celltype GENERIC) + (view view_1 (viewtype NETLIST) + (interface + (port rst (direction INPUT)) + (port wr_clk (direction INPUT)) + (port rd_clk (direction INPUT)) + (port wr_en (direction INPUT)) + (port rd_en (direction INPUT)) + (port full (direction OUTPUT)) + (port empty (direction OUTPUT)) + (port (array (rename din "din[71:0]") 72) (direction INPUT)) + (port (array (rename dout "dout[71:0]") 72) (direction OUTPUT)) + (port (array (rename rd_data_count "rd_data_count[9:0]") 10) (direction OUTPUT)) + (port (array (rename wr_data_count "wr_data_count[9:0]") 10) (direction OUTPUT)) + ) + ) + ) + (cell b200 (celltype GENERIC) + (view view_1 (viewtype NETLIST) + (interface + (port cat_miso (direction INPUT)) + (port fx3_ce (direction INPUT)) + (port fx3_mosi (direction INPUT)) + (port fx3_sclk (direction INPUT)) + (port FPGA_RXD0 (direction INPUT)) + (port FPGA_TXD0 (direction INPUT)) + (port SCL_FPGA (direction INPUT)) + (port SDA_FPGA (direction INPUT)) + (port codec_data_clk_p (direction INPUT)) + (port rx_frame_p (direction INPUT)) + (port cat_clkout_fpga (direction INPUT)) + (port codec_main_clk_p (direction INPUT)) + (port codec_main_clk_n (direction INPUT)) + (port GPIF_CTL4 (direction INPUT)) + (port GPIF_CTL5 (direction INPUT)) + (port GPIF_CTL6 (direction INPUT)) + (port GPIF_CTL8 (direction INPUT)) + (port GPIF_CTL9 (direction INPUT)) + (port gps_lock (direction INPUT)) + (port gps_rxd (direction INPUT)) + (port gps_txd (direction INPUT)) + (port gps_txd_nmea (direction INPUT)) + (port pll_lock (direction INPUT)) + (port FPGA_CFG_CS (direction INPUT)) + (port AUX_PWR_ON (direction INPUT)) + (port PPS_IN_EXT (direction INPUT)) + (port PPS_IN_INT (direction INPUT)) + (port pps_out (direction INPUT)) + (port cat_ce (direction OUTPUT)) + (port cat_mosi (direction OUTPUT)) + (port cat_sclk (direction OUTPUT)) + (port fx3_miso (direction OUTPUT)) + (port pll_ce (direction OUTPUT)) + (port pll_mosi (direction OUTPUT)) + (port pll_sclk (direction OUTPUT)) + (port codec_enable (direction OUTPUT)) + (port codec_en_agc (direction OUTPUT)) + (port codec_reset (direction OUTPUT)) + (port codec_sync (direction OUTPUT)) + (port codec_txrx (direction OUTPUT)) + (port codec_fb_clk_p (direction OUTPUT)) + (port tx_frame_p (direction OUTPUT)) + (port IFCLK (direction OUTPUT)) + (port FX3_EXTINT (direction OUTPUT)) + (port GPIF_CTL0 (direction OUTPUT)) + (port GPIF_CTL1 (direction OUTPUT)) + (port GPIF_CTL2 (direction OUTPUT)) + (port GPIF_CTL3 (direction OUTPUT)) + (port GPIF_CTL7 (direction OUTPUT)) + (port GPIF_CTL11 (direction OUTPUT)) + (port GPIF_CTL12 (direction OUTPUT)) + (port gps_out_enable (direction OUTPUT)) + (port gps_ref_enable (direction OUTPUT)) + (port LED_RX1 (direction OUTPUT)) + (port LED_RX2 (direction OUTPUT)) + (port LED_TXRX1_RX (direction OUTPUT)) + (port LED_TXRX1_TX (direction OUTPUT)) + (port LED_TXRX2_RX (direction OUTPUT)) + (port LED_TXRX2_TX (direction OUTPUT)) + (port ext_ref_enable (direction OUTPUT)) + (port pps_fpga_out_enable (direction OUTPUT)) + (port SFDX1_RX (direction OUTPUT)) + (port SFDX1_TX (direction OUTPUT)) + (port SFDX2_RX (direction OUTPUT)) + (port SFDX2_TX (direction OUTPUT)) + (port SRX1_RX (direction OUTPUT)) + (port SRX1_TX (direction OUTPUT)) + (port SRX2_RX (direction OUTPUT)) + (port SRX2_TX (direction OUTPUT)) + (port tx_bandsel_a (direction OUTPUT)) + (port tx_bandsel_b (direction OUTPUT)) + (port tx_enable1 (direction OUTPUT)) + (port tx_enable2 (direction OUTPUT)) + (port rx_bandsel_a (direction OUTPUT)) + (port rx_bandsel_b (direction OUTPUT)) + (port rx_bandsel_c (direction OUTPUT)) + (port (array (rename codec_ctrl_out "codec_ctrl_out[7:0]") 8) (direction INPUT)) + (port (array (rename rx_codec_d "rx_codec_d[11:0]") 12) (direction INPUT)) + (port (array (rename codec_ctrl_in "codec_ctrl_in[3:0]") 4) (direction OUTPUT)) + (port (array (rename tx_codec_d "tx_codec_d[11:0]") 12) (direction OUTPUT)) + (port (array (rename debug "debug[31:0]") 32) (direction OUTPUT)) + (port (array (rename debug_clk "debug_clk[1:0]") 2) (direction OUTPUT)) + (port (array (rename GPIF_D "GPIF_D[31:0]") 32) (direction INOUT)) + ) + (contents + (instance (rename f1_Result_7_2_FRB "f1/Result<7>2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Result_11_2_FRB "f1/Result<11>2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Mcompar_becoming_full_cy_2_ "f1/Mcompar_becoming_full_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_write1 "slave_fifo32/fifo64_to_gpmc32_tx/cross_clock_fifo/write1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___120___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/full_reg_glue_set")) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata341 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata341") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___111___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata291")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata291 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata291") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___111___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata291")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_20__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[20].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_15__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[15].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_43__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[43].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_38__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[38].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f1_Mcompar_becoming_full_cy_3_ "f1/Mcompar_becoming_full_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata401 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata401") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___108___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata510")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata351 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata351") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___85___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata351")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_xor<0>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance debug_23_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance debug_18_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata410 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata410") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___109___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata410")) + (property INIT (string "4'h8")) + ) + (instance (rename f1_Mcompar_becoming_full_cy_4_ "f1/Mcompar_becoming_full_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata411 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata411") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___107___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata65")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata361 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata361") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___84___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata361")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_xor<1>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_GND_14_o_read_OR_37_o1 "f1/GND_14_o_read_OR_37_o1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___31___f1/GND_14_o_read_OR_37_o1")) + (property INIT (string "8'h72")) + ) + (instance (rename slave_fifo32_debug1_0 "slave_fifo32/debug1_0") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Mcount_wr_addr_xor_10_ "f0/Mcount_wr_addr_xor<10>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_debug1_1 "slave_fifo32/debug1_1") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata421 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata421") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___106___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata71")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata371 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata371") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___110___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata210")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_debug1_2 "slave_fifo32/debug1_2") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_xor<2>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_debug1_3 "slave_fifo32/debug1_3") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_debug1_4 "slave_fifo32/debug1_4") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_debug1_5 "slave_fifo32/debug1_5") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Mcount_wr_addr_xor_11_ "f0/Mcount_wr_addr_xor<11>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_debug1_6 "slave_fifo32/debug1_6") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata431 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata431") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___105___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata81")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata381 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata381") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___82___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata310")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_debug1_7 "slave_fifo32/debug1_7") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_xor<3>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_debug1_8 "slave_fifo32/debug1_8") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_debug1_9 "slave_fifo32/debug1_9") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_17__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[17].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_22__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[22].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f0_Mcount_wr_addr_xor_12_ "f0/Mcount_wr_addr_xor<12>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata391 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata391") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___109___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata410")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata441 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata441") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___104___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata91")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_xor<4>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename catgen_gen_pins_4__oddr2 "catgen/gen_pins[4].oddr2") (viewref netlist (cellref ODDR2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property DDR_ALIGNMENT (string "C0")) + (property SRTYPE (string "ASYNC")) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata501 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata501") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___98___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata151")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata451 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata451") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___103___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata301")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_5_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_xor<5>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT101 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT101") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___134___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT101")) + (property INIT (string "4'hE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata510 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata510") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___108___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata510")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata461 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata461") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___102___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata101")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_o_tvalid11 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_o_tvalid11") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___169___slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_o_tvalid11")) + (property INIT (string "8'hC8")) + ) + (instance (rename f1_dont_write_past_me_1__FRB "f1/dont_write_past_me<1>_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata511 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata511") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___97___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata161")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_6_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_xor<6>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance GPIF_D_1_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_sloe_rstpot "slave_fifo32/sloe_rstpot") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_debug2_0 "slave_fifo32/debug2_0") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT111 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT111") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___134___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT101")) + (property INIT (string "4'hE")) + ) + (instance (rename slave_fifo32_debug2_1 "slave_fifo32/debug2_1") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In12_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd2-In12_SW0") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___47___slave_fifo32/fifo64_to_gpmc32_ctrl/cross_clock_fifo/read1")) + (property INIT (string "4'hD")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata521 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata521") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___96___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata171")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata471 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata471") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___101___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata111")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_18__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[18].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_23__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[23].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_debug2_2 "slave_fifo32/debug2_2") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_7_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_xor<7>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_46__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[46].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_51__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[51].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_debug2_3 "slave_fifo32/debug2_3") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_debug2_4 "slave_fifo32/debug2_4") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets_0") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_debug2_5 "slave_fifo32/debug2_5") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT121 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT121") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___133___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT121")) + (property INIT (string "4'hE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_debug2_6 "slave_fifo32/debug2_6") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata531 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata531") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___95___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata181")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata481 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata481") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___100___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata131")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets_2") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_debug2_7 "slave_fifo32/debug2_7") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_3 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets_3") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_8_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_xor<8>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_Mcount_fifoadr_xor_1_11 "slave_fifo32/Mcount_fifoadr_xor<1>11") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___42___slave_fifo32/Mcount_fifoadr_xor<1>11")) + (property INIT (string "4'h6")) + ) + (instance (rename slave_fifo32_debug2_8 "slave_fifo32/debug2_8") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_4 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets_4") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_debug2_9 "slave_fifo32/debug2_9") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_5 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets_5") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_6 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets_6") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT131 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT131") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___133___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT121")) + (property INIT (string "4'hE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets_7") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata541 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata541") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___94___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata191")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata491 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata491") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___99___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata141")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_9_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_xor<9>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_read_ready_go "slave_fifo32/read_ready_go") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_sloe_1_rstpot "slave_fifo32/sloe_1_rstpot") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hAAAA2AAAAAAAFFAA")) + ) + (instance debug_19_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance debug_24_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_i_tready1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0111111111111111")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT141 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT141") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___132___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT141")) + (property INIT (string "4'hE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_10 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_10") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_11 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_11") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata601 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata601") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___88___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata251")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata551 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata551") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___93___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata201")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_12 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_12") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_13 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_13") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_14 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_14") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_1_11 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_a_xor<1>11") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___117___slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_a_xor<1>11")) + (property INIT (string "8'h69")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_15 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_15") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT151 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT151") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___132___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT141")) + (property INIT (string "4'hE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata561 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata561") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___92___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata311")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata611 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata611") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___87___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata321")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_25__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[25].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_30__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[30].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror51") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT161 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT161") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram1") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata571 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata571") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___91___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata211")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram2") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata621 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata621") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___86___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata331")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram3") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram4") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram5") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram6") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f1_Msub_dont_write_past_me_lut_5__INV_0 "f1/Msub_dont_write_past_me_lut<5>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_0 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/a_0") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram7") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata631 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata631") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___85___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata351")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata581 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata581") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___90___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata221")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_1 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/a_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram8") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_2 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/a_2") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram9") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_3 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/a_3") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_4 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/a_4") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata591 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata591") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___89___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata241")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata641 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata641") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___84___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata361")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_2_1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut<2>1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___38___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut<2>1")) + (property INIT (string "4'h6")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_26__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[26].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_31__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[31].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_54__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[54].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_49__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[49].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f1_dont_write_past_me_2__FRB "f1/dont_write_past_me<2>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/empty_glue_rst") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFBFBFBFFFB00FB00")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<0>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<1>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_33__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[33].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_28__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[28].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<2>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance debug_30_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance debug_25_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_3_1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut<3>1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h6")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<3>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<0>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<4>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<1>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<5>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_34__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[34].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_29__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[29].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_0") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_57__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[57].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_62__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[62].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<2>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_2") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<6>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_3 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_3") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_4 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_4") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_EP_READY1 "slave_fifo32/EP_READY1") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_5 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_5") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_6 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_6") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_5__INV_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<5>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<3>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_7 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_7") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<7>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_8 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_8") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_9 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32_9") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_dont_write_past_me_3__FRB "f1/dont_write_past_me<3>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<4>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<8>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_EP_READY "slave_fifo32/EP_READY") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename f1_Msub_dont_write_past_me_cy_0__rt "f1/Msub_dont_write_past_me_cy<0>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_5_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<5>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<9>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_36__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[36].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT7") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9CCC9CC6CCCCCCC6")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_41__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[41].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_6_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<6>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_i_tready1 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/i_tready1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___170___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/i_tready1")) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_full_reg_glue_set "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/full_reg_glue_set") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___119___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/full_reg_glue_set")) + (property INIT (string "16'hFFA2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_1__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[1].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_7_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<7>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_0 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr_0") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance debug_26_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance debug_31_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_i_tvalid_int1_SW0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_i_tvalid_int1_SW0") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "16'h8000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_8_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<8>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_2 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr_2") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_3 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr_3") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_4 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr_4") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_5 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr_5") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut<5>1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h999A999999959999")) + ) + (instance (rename f0_Result_8_2_FRB "f0/Result<8>2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_6 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr_6") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_9_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<9>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv1_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/_n0074_inv1_SW0") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'hE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_7 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr_7") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_37__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[37].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW0 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o10_SW0") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_42__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[42].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr_8") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<10>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Msub_num_packets[7]_GND_65_o_sub_15_OUT_cy<6>11") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hFFFFFFFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<11>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename f1_dont_write_past_me_4__FRB "f1/dont_write_past_me<4>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_write1 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/write1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___16___slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/write1")) + (property INIT (string "16'h5400")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_12_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<12>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_39__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[39].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata65 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata65") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___75___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata65")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_44__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[44].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata71 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata71") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___74___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata71")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_6_1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut<6>1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h6")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT8211") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0001FFFF00007FFF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_4__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[4].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata81 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata81") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___73___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata81")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_2_11 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_a_xor<2>11") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___11___slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_a_xor<3>11")) + (property INIT (string "16'h6AA9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Msub_dont_write_past_me_xor<8>1_SW0") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'hE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata91 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata91") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___72___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata91")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker__n0131_inv1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/_n0131_inv1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___46___slave_fifo32/fifo64_to_gpmc32_tx/checker/_n0131_inv1")) + (property INIT (string "16'h0455")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_45__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[45].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance debug_27_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_50__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[50].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_xor<0>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance GPIF_D_20_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance GPIF_D_15_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv6_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/_n0074_inv6_SW0") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___124___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT8212_SW0")) + (property INIT (string "16'hEEEF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_xor<1>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<4>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename f1_rd_addr_0 "f1/rd_addr_0") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_rd_addr_1 "f1/rd_addr_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_rd_addr_2 "f1/rd_addr_2") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_rd_addr_3 "f1/rd_addr_3") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_rd_addr_4 "f1/rd_addr_4") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Msub_dont_write_past_me_lut_8__INV_0 "f0/Msub_dont_write_past_me_lut<8>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_xor<2>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_rd_addr_5 "f1/rd_addr_5") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_rd_addr_6 "f1/rd_addr_6") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_rd_addr_7 "f1/rd_addr_7") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker__n0227_inv1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/_n0227_inv1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___4___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/_n0227_inv1")) + (property INIT (string "16'h0455")) + ) + (instance (rename f1_rd_addr_8 "f1/rd_addr_8") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_rd_addr_9 "f1/rd_addr_9") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr10_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr10_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_xor<3>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Mcount_wr_addr_cy_10__rt "f0/Mcount_wr_addr_cy<10>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_52__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[52].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance SRX1_RX_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_47__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[47].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_xor<4>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_7__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[7].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f1_dont_write_past_me_5__FRB "f1/dont_write_past_me<5>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_xor<5>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Mcount_rd_addr_cy_1__rt "f1/Mcount_rd_addr_cy<1>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT6_SW0") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hAAAAAAAAAAAAAAA9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT6_SW1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h01FE00FF00FF807F")) + ) + (instance (rename f1_Mcount_wr_addr_xor_12__rt "f1/Mcount_wr_addr_xor<12>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_GND_56_o_read_OR_123_o1 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/GND_56_o_read_OR_123_o1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___171___slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_o_tvalid11")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_xor<6>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Result_8_2_FRB "f1/Result<8>2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance cat_sclk_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk "slave_fifo32/fifo64_to_gpmc32_resp/cross_clock_fifo/fifo_4k_2clk") (viewref view_1 (cellref fifo_4k_2clk (libraryref b200_lib))) + (property BUS_INFO (string "10:OUTPUT:wr_data_count<9:0>")) + ) + (instance (rename f1_Result_12_2_FRB "f1/Result<12>2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_53__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[53].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_48__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[48].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_0_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<0>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_xor<7>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_1_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<1>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_xor<8>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance debug_28_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_2_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<2>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_xor<9>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_2__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[2].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<1>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_3_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<3>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT8211") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFF7FFFFFFFFFFF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/read_state_FSM_FFd1") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_4_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<4>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Mcount_rd_addr_cy_5__rt "f0/Mcount_rd_addr_cy<5>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance ODDR2_ifclk_dbg (viewref netlist (cellref ODDR2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property DDR_ALIGNMENT (string "NONE")) + (property SRTYPE (string "ASYNC")) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_55__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[55].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_60__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[60].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_5_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<5>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_full_reg "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/full_reg") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_Mcount_idle_cycles_xor_0_11 "slave_fifo32/Mcount_idle_cycles_xor<0>11") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___177___slave_fifo32/Mcount_idle_cycles_xor<0>11")) + (property INIT (string "4'h1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<0>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_6_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<6>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_clear_dump_OR_154_o "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/clear_dump_OR_154_o") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0000000000000001")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<10>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hBB4BBBBBBB4BBB4B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT101 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT101") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___130___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT101")) + (property INIT (string "4'hE")) + ) + (instance (rename f1_Mcount_wr_addr_xor_10_ "f1/Mcount_wr_addr_xor<10>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_dont_write_past_me_6__FRB "f1/dont_write_past_me<6>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance SRX2_TX_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_7_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<7>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<11>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hBB4BBBBBBB4BBB4B")) + ) + (instance (rename f1_Mcount_rd_addr_cy_6__rt "f1/Mcount_rd_addr_cy<6>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance GPIF_D_2_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_56__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[56].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_61__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[61].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT111 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT111") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___130___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT101")) + (property INIT (string "4'hE")) + ) + (instance (rename f1_Mcount_wr_addr_xor_11_ "f1/Mcount_wr_addr_xor<11>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_8_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<8>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Msub_dont_write_past_me_cy_10_ "f1/Msub_dont_write_past_me_cy<10>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<12>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hBB4BBBBBBB4BBB4B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram1") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram2") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram3") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT121 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT121") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___129___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT121")) + (property INIT (string "4'hE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram4") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f1_Mcount_wr_addr_xor_12_ "f1/Mcount_wr_addr_xor<12>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram5") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_4__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<4>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_9_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<9>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Msub_dont_write_past_me_cy_11_ "f1/Msub_dont_write_past_me_cy<11>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<13>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hBB4BBBBBBB4BBB4B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram6") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram7") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr2_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram8") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<0>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram9") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT131 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT131") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___129___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT121")) + (property INIT (string "4'hE")) + ) + (instance (rename f0_Mcount_rd_addr_cy_0_ "f0/Mcount_rd_addr_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_5__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[5].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<14>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hBB4BBBBBBB4BBB4B")) + ) + (instance (rename f1_Mcount_wr_addr_cy_11__rt "f1/Mcount_wr_addr_cy<11>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<1>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance debug_29_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT141 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT141") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___128___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT141")) + (property INIT (string "4'hE")) + ) + (instance (rename f0_Mcount_rd_addr_cy_1_ "f0/Mcount_rd_addr_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<15>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hBB4BBBBBBB4BBB4B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata101 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata101") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___146___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata101")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_58__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[58].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<6>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT151 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT151") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___128___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT141")) + (property INIT (string "4'hE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_63__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[63].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32__n0223_inv1 "slave_fifo32/_n0223_inv1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___21___slave_fifo32/_n0223_inv1")) + (property INIT (string "8'h82")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<2>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename f0_Mcount_rd_addr_cy_2_ "f0/Mcount_rd_addr_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata110 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata110") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___151___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata110")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata111 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata111") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___146___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata101")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<3>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr3_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr3_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT161 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT161") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h4")) + ) + (instance (rename f0_Mcount_rd_addr_cy_3_ "f0/Mcount_rd_addr_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata121 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata121") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___145___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata121")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<0>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hAC")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<4>") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h9")) + ) + (instance (rename f0_Mcount_rd_addr_cy_4_ "f0/Mcount_rd_addr_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT7") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9CCC9CC6CCCCCCC6")) + ) + (instance (rename slave_fifo32__n0279_inv_SW0 "slave_fifo32/_n0279_inv_SW0") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___121___slave_fifo32/_n0258_inv_SW0")) + (property INIT (string "4'hE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_3__INV_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<3>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump_glue_set "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/dump_glue_set") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h00400000AAEAAAAA")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata131 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata131") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___145___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata121")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<1>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hAC")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_5__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<5>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename f0_Mcount_rd_addr_cy_5_ "f0/Mcount_rd_addr_cy<5>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_59__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[59].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_64__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[64].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata141 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata141") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___144___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata141")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<2>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_3_11 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_a_xor<3>11") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___11___slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_a_xor<3>11")) + (property INIT (string "32'h6AAAAAA9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_0_11_INV_0 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_a_xor<0>11_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename f0_Mcount_rd_addr_cy_6_ "f0/Mcount_rd_addr_cy<6>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_dont_write_past_me_7__FRB "f1/dont_write_past_me<7>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata151 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata151") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___144___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata141")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata201 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata201") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___141___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata201")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<3>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<10>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_slrd1 "slave_fifo32/slrd1") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_slrd2 "slave_fifo32/slrd2") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_slrd3 "slave_fifo32/slrd3") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Mcount_rd_addr_cy_7_ "f0/Mcount_rd_addr_cy<7>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata210 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata210") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___151___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata110")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata161 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata161") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___143___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata161")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata211 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata211") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___141___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata201")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<4>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<11>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_o_tlast1 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/o_tlast1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___170___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/i_tready1")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_8__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[8].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f0_Mcount_rd_addr_cy_8_ "f0/Mcount_rd_addr_cy<8>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata171 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata171") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___143___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata161")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_gpif_data_out_0 "slave_fifo32/gpif_data_out_0") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata221 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata221") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___140___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata221")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<5>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_gpif_data_out_1 "slave_fifo32/gpif_data_out_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr7_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr7_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_gpif_data_out_2 "slave_fifo32/gpif_data_out_2") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename f0_Mcount_rd_addr_cy_9_ "f0/Mcount_rd_addr_cy<9>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_gpif_data_out_3 "slave_fifo32/gpif_data_out_3") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_out_4 "slave_fifo32/gpif_data_out_4") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata231 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata231") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___140___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata221")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_gpif_data_out_5 "slave_fifo32/gpif_data_out_5") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename f0__n0161_inv1_cy1 "f0/_n0161_inv1_cy1") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata181 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata181") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___142___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata181")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<6>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_gpif_data_out_6 "slave_fifo32/gpif_data_out_6") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_out_7 "slave_fifo32/gpif_data_out_7") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT33 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT33") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename slave_fifo32_gpif_data_out_8 "slave_fifo32/gpif_data_out_8") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv_SW0 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/_n0123_inv_SW0") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___7___slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/_n0123_inv_SW0")) + (property INIT (string "32'hFFFFFFFE")) + ) + (instance (rename slave_fifo32__n0279_inv "slave_fifo32/_n0279_inv") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0020202008282828")) + ) + (instance (rename slave_fifo32_gpif_data_out_9 "slave_fifo32/gpif_data_out_9") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata191 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata191") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___142___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata181")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_8__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_xor<8>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata241 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata241") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___139___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata241")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<7>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT41 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT41") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata251 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata251") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___139___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata241")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata301 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata301") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___147___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata91")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<8>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT51 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT51") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr8_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr8_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT3111_SW0") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___32___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT3111_SW0")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata261 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata261") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___138___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata261")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111_SW1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT3111_SW1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___32___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT3111_SW0")) + (property INIT (string "16'hF110")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata311 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata311") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___136___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata311")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<9>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT61 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT61") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata321 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata321") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___136___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata311")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata271 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata271") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___138___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata261")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT71 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT71") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata281 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata281") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___137___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata281")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT81 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT81") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_1_11 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_a_xor<1>11") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h69")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata291 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata291") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___137___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata281")) + (property INIT (string "8'hE4")) + ) + (instance (rename f1_dont_write_past_me_8__FRB "f1/dont_write_past_me<8>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT91 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT91") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___42___slave_fifo32/Mcount_fifoadr_xor<1>11")) + (property INIT (string "32'hA8880888")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/full_reg") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_10__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<10>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_cy "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/full_reg_glue_set_cy") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Mcount_rd_addr_cy_10__rt "f1/Mcount_rd_addr_cy<10>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr1_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_dont_write_past_me_10__FRB "f1/dont_write_past_me<10>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_0 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/a_0") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_1 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/a_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_2 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/a_2") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_10__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[10].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_3 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/a_3") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_4 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/a_4") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_state "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/state") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32__n0230_inv1 "slave_fifo32/_n0230_inv1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___48___slave_fifo32/_n0230_inv1")) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int16_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_i_tvalid_int16_SW0") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "16'hEFFF")) + ) + (instance (rename f0_Result_9_2_FRB "f0/Result<9>2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr2_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_GND_14_o_read_OR_37_o1 "f0/GND_14_o_read_OR_37_o1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___30___f0/GND_14_o_read_OR_37_o1")) + (property INIT (string "8'h72")) + ) + (instance (rename slave_fifo32_Mcount_idle_cycles_xor_1_11 "slave_fifo32/Mcount_idle_cycles_xor<1>11") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___23___slave_fifo32/Mcount_idle_cycles_xor<2>11")) + (property INIT (string "8'h14")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr4_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr4_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_0 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets_0") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_2 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets_2") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_3 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets_3") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_4 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets_4") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_5 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets_5") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_full_reg "f1/full_reg") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_6 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets_6") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets_7") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Mcount_rd_addr_xor_12__rt "f0/Mcount_rd_addr_xor<12>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7_SW0 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT7_SW0") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___2___slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT7_SW0")) + (property INIT (string "16'hFFFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr5_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr5_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_GND_66_o_read_OR_144_o1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/GND_66_o_read_OR_144_o1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___169___slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_o_tvalid11")) + (property INIT (string "8'hE4")) + ) + (instance (rename catgen_gen_pins_7__oddr2 "catgen/gen_pins[7].oddr2") (viewref netlist (cellref ODDR2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property DDR_ALIGNMENT (string "C0")) + (property SRTYPE (string "ASYNC")) + (property INIT (string "1'b0")) + ) + (instance (rename f1_dont_write_past_me_9__FRB "f1/dont_write_past_me<9>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/_n0123_inv") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0004FFFF00040004")) + ) + (instance (rename f0_Msub_dont_write_past_me_lut_12__INV_0 "f0/Msub_dont_write_past_me_lut<12>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_13__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[13].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr6_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr6_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_dont_write_past_me_11__FRB "f1/dont_write_past_me<11>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_clear_dump_OR_154_o_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/clear_dump_OR_154_o_SW0") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'hD")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_0 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_0") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd2_BRB0 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/read_state_FSM_FFd2_BRB0") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_1") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance GPIF_D_21_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance GPIF_D_16_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd2_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/read_state_FSM_FFd2_BRB1") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr7_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr7_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_2 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_2") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_3 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_3") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_4 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_4") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_5 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_5") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_6 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_6") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_4_11 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_a_xor<4>11") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h6AAAAAAAAAAAAAA9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_7 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_7") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_8 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_8") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o10") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h8000000000000000")) + ) + (instance (rename f1_Msub_dont_write_past_me_lut_8__INV_0 "f1/Msub_dont_write_past_me_lut<8>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename f0__n0161_inv1_lut1 "f0/_n0161_inv1_lut1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'hD")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_F "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In14_F") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hAAAA2A22FFAA7F22")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_16__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[16].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_G "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In14_G") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hA2AAA6A6F7FFA6A6")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_21__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[21].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst_SW0 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/empty_glue_rst_SW0") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance (rename f1_Result_9_2_FRB "f1/Result<9>2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Mcount_wr_addr_cy_3__rt "f0/Mcount_wr_addr_cy<3>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename f1_dont_write_past_me_12__FRB "f1/dont_write_past_me<12>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tready_int1_SW0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_o_tready_int1_SW0") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h2F")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o61 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o61") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h9")) + ) + (instance (rename f1_Mcount_rd_addr_cy_0_ "f1/Mcount_rd_addr_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o71 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o71") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h9")) + ) + (instance (rename f1_Mcount_wr_addr_cy_3__rt "f1/Mcount_wr_addr_cy<3>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename f1_Mcount_rd_addr_cy_1_ "f1/Mcount_rd_addr_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o81 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o81") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h9")) + ) + (instance (rename f1_rd_addr_10 "f1/rd_addr_10") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_rd_addr_11 "f1/rd_addr_11") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Mcount_rd_addr_cy_2_ "f1/Mcount_rd_addr_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_rd_addr_12 "f1/rd_addr_12") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<5>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_2_11 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_a_xor<2>11") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___20___slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_a_xor<2>11")) + (property INIT (string "16'h6AA9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<1>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance LED_TXRX2_RX_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename f1_Mcount_rd_addr_cy_3_ "f1/Mcount_rd_addr_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<6>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_clear_inv1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/clear_inv1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance (rename f1_Mcount_rd_addr_cy_4_ "f1/Mcount_rd_addr_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_19__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[19].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_24__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[24].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<7>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance gps_out_enable_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr4_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr4_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Mcount_rd_addr_cy_5_ "f1/Mcount_rd_addr_cy<5>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<8>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_8__INV_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<8>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename f1_Mcount_rd_addr_cy_6_ "f1/Mcount_rd_addr_cy<6>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<4>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance GPIF_D_3_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT11_INV_0 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT11_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename f1_Mcount_wr_addr_cy_0_ "f1/Mcount_wr_addr_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr4_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr4_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Mcount_rd_addr_cy_7_ "f1/Mcount_rd_addr_cy<7>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Mcount_wr_addr_cy_8__rt "f0/Mcount_wr_addr_cy<8>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tlast1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_tlast1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0C0C0C0C0C0D0C0C")) + ) + (instance (rename f1_Mcount_wr_addr_cy_1_ "f1/Mcount_wr_addr_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Mcount_rd_addr_cy_8_ "f1/Mcount_rd_addr_cy<8>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_2__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<2>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_Mcount_idle_cycles_xor_2_11 "slave_fifo32/Mcount_idle_cycles_xor<2>11") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___23___slave_fifo32/Mcount_idle_cycles_xor<2>11")) + (property INIT (string "16'h1444")) + ) + (instance (rename f1_Mcount_wr_addr_cy_2_ "f1/Mcount_wr_addr_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Mcount_rd_addr_cy_9_ "f1/Mcount_rd_addr_cy<9>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Mcount_wr_addr_cy_3_ "f1/Mcount_wr_addr_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Mcount_wr_addr_cy_8__rt "f1/Mcount_wr_addr_cy<8>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename f1_Mcount_wr_addr_cy_4_ "f1/Mcount_wr_addr_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01212") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0010001000000010")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01213 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01213") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9090900000900000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01214 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01214") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'h99900000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01215 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01215") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0220000000000220")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_32__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[32].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_6__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<6>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01216 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01216") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_27__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[27].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f1_Mcount_wr_addr_cy_5_ "f1/Mcount_wr_addr_cy<5>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01217 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01217") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0080000000000080")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01218 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01218") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___116___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full921")) + (property INIT (string "16'h0440")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01219 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01219") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFAF8AA0000000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_terror5_SW0") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_terror5_SW1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFFFFFEFFFF")) + ) + (instance (rename f1_Mcount_wr_addr_cy_6_ "f1/Mcount_wr_addr_cy<6>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr9_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr9_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Mcount_wr_addr_cy_7_ "f1/Mcount_wr_addr_cy<7>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance FX3_EXTINT_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Msub_dont_write_past_me_cy_0_ "f1/Msub_dont_write_past_me_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Mcount_wr_addr_cy_8_ "f1/Mcount_wr_addr_cy<8>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_gpif_data_out_31_1 "slave_fifo32/gpif_data_out_31_1") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_9__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<9>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance codec_data_clk_bufg (viewref netlist (cellref IBUFG (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + (property IBUF_DELAY_VALUE (string "0")) + (property IBUF_LOW_PWR (boolean (true))) + (property IOSTANDARD (string "DEFAULT")) + ) + (instance (rename f1_Msub_dont_write_past_me_cy_1_ "f1/Msub_dont_write_past_me_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Mcount_wr_addr_cy_9_ "f1/Mcount_wr_addr_cy<9>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212111 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01212111") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr9_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr9_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<5>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Msub_dont_write_past_me_cy_2_ "f1/Msub_dont_write_past_me_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tvalid11 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tvalid11") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___127___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tvalid11")) + (property INIT (string "8'hE0")) + ) + (instance (rename f0_read_state_FSM_FFd2_In1 "f0/read_state_FSM_FFd2-In1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFDFDFDFFA8A8A8FF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<6>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_7__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<7>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename f1_Msub_dont_write_past_me_cy_3_ "f1/Msub_dont_write_past_me_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<7>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<0>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Msub_dont_write_past_me_cy_4_ "f1/Msub_dont_write_past_me_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT11_INV_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT11_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tvalid_int13_SW0") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0021FFFF00FFFFFF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_i_tready "slave_fifo32/fifo64_to_gpmc32_ctrl/i_tready") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tvalid_int13_SW1") (viewref netlist (cellref MUXF7 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_40__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[40].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_35__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[35].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<1>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Msub_dont_write_past_me_cy_5_ "f1/Msub_dont_write_past_me_cy<5>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_read_state_FSM_FFd2_In1 "f1/read_state_FSM_FFd2-In1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFDFDFDFFA8A8A8FF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Msub_num_packets[7]_GND_55_o_sub_15_OUT_cy<6>11_SW0") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h1")) + ) + (instance (rename f0__n0161_inv1_lut "f0/_n0161_inv1_lut") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<2>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11_SW1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Msub_num_packets[7]_GND_55_o_sub_15_OUT_cy<6>11_SW1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h01")) + ) + (instance (rename f1_Msub_dont_write_past_me_cy_6_ "f1/Msub_dont_write_past_me_cy<6>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<2>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<3>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Msub_dont_write_past_me_cy_7_ "f1/Msub_dont_write_past_me_cy<7>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<4>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Msub_dont_write_past_me_cy_8_ "f1/Msub_dont_write_past_me_cy<8>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_slrd2_1 "slave_fifo32/slrd2_1") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_In111 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd1-In111") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___10___slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n0146_inv1")) + (property INIT (string "16'h7F2A")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<5>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Msub_dont_write_past_me_cy_9_ "f1/Msub_dont_write_past_me_cy<9>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_ctrl_tx_tready_data_tx_tready_OR_55_o1 "slave_fifo32/ctrl_tx_tready_data_tx_tready_OR_55_o1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "16'h5410")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<6>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<7>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Msub_dont_write_past_me_cy_1__rt "f0/Msub_dont_write_past_me_cy<1>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1 "slave_fifo32/Mmux_state[1]_wr_fifo_eof_Mux_22_o1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h2A7F7F7FFFFFFFFF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01216_SW0 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n01216_SW0") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFFFF6FFFFF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<8>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<5>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_43__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[43].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_38__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[38].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<9>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_3_11 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_a_xor<3>11") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___20___slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_a_xor<2>11")) + (property INIT (string "32'h6AAAAAA9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<6>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_12__INV_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<12>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012111 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n012111") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h2002000000002002")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_7_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<7>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012112 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n012112") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h8822228C80202084")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012113 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n012113") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h4")) + ) + (instance (rename f0_ram_Mram_ram10 "f0/ram/Mram_ram10") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_space_xor_3_111 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_space_xor<3>111") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___29___slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_space_xor<3>111")) + (property INIT (string "32'hFFAEFFFF")) + ) + (instance (rename f0_Mcount_wr_addr_xor_0_ "f0/Mcount_wr_addr_xor<0>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Msub_dont_write_past_me_lut_3__INV_0 "f0/Msub_dont_write_past_me_lut<3>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename f0_ram_Mram_ram11 "f0/ram/Mram_ram11") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd1_In11 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/read_state_FSM_FFd1-In11") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___8___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/read_state_FSM_FFd1-In11")) + (property INIT (string "32'h8A8ADF8A")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012114 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n012114") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hBB33A820A820A820")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/full") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_ram_Mram_ram12 "f0/ram/Mram_ram12") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/_n0074_inv2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0000000023003300")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_ram_Mram_ram13 "f0/ram/Mram_ram13") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f0_ram_Mram_ram14 "f0/ram/Mram_ram14") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv5 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/_n0074_inv5") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hFB")) + ) + (instance (rename f0_ram_Mram_ram15 "f0/ram/Mram_ram15") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f0_ram_Mram_ram20 "f0/ram/Mram_ram20") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f0_Mcount_wr_addr_xor_1_ "f0/Mcount_wr_addr_xor<1>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_ram_Mram_ram16 "f0/ram/Mram_ram16") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f0_ram_Mram_ram21 "f0/ram/Mram_ram21") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv6 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/_n0074_inv6") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFF0C080C0C0C0C")) + ) + (instance (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<0>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename f0_ram_Mram_ram17 "f0/ram/Mram_ram17") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f0_ram_Mram_ram22 "f0/ram/Mram_ram22") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<7>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_ram_Mram_ram18 "f0/ram/Mram_ram18") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f0_ram_Mram_ram23 "f0/ram/Mram_ram23") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_EP_READY1_1 "slave_fifo32/EP_READY1_1") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_ram_Mram_ram24 "f0/ram/Mram_ram24") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f0_ram_Mram_ram19 "f0/ram/Mram_ram19") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f0_ram_Mram_ram30 "f0/ram/Mram_ram30") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f0_ram_Mram_ram25 "f0/ram/Mram_ram25") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_10 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr_10") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_ram_Mram_ram26 "f0/ram/Mram_ram26") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f0_ram_Mram_ram31 "f0/ram/Mram_ram31") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f0_Mcount_wr_addr_xor_2_ "f0/Mcount_wr_addr_xor<2>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_11 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr_11") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_ram_Mram_ram27 "f0/ram/Mram_ram27") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f0_ram_Mram_ram32 "f0/ram/Mram_ram32") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<1>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_12 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr_12") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_ram_Mram_ram28 "f0/ram/Mram_ram28") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f0_ram_Mram_ram33 "f0/ram/Mram_ram33") (viewref netlist (cellref RAMB8BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "16:OUTPUT:DOBDO<15:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 1)) + (property DATA_WIDTH_B (integer 1)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "18'h00000")) + (property INIT_B (string "18'h00000")) + (property RAM_MODE (string "TDP")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "18'h00000")) + (property SRVAL_B (string "18'h00000")) + (property INIT_FILE (string "NONE")) + (property SIM_COLLISION_CHECK (string "ALL")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_ram_Mram_ram29 "f0/ram/Mram_ram29") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f0_Mcount_wr_addr_xor_3_ "f0/Mcount_wr_addr_xor<3>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<2>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Mcount_wr_addr_xor_4_ "f0/Mcount_wr_addr_xor<4>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<3>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<5>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Mcount_wr_addr_xor_5_ "f0/Mcount_wr_addr_xor<5>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_51__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[51].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_46__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[46].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4_ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<4>") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<6>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Mcount_wr_addr_xor_6_ "f0/Mcount_wr_addr_xor<6>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance rx_bandsel_a_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_read1 "slave_fifo32/fifo64_to_gpmc32_ctrl/cross_clock_fifo/read1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___47___slave_fifo32/fifo64_to_gpmc32_ctrl/cross_clock_fifo/read1")) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_7_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<7>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_write1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/write1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___37___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n0154_inv1")) + (property INIT (string "4'h4")) + ) + (instance (rename f0_Mcount_wr_addr_xor_7_ "f0/Mcount_wr_addr_xor<7>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Mcount_wr_addr_xor_8_ "f0/Mcount_wr_addr_xor<8>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0121111 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n0121111") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___173___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n0121111")) + (property INIT (string "4'hE")) + ) + (instance (rename f0_Mcount_wr_addr_xor_9_ "f0/Mcount_wr_addr_xor<9>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance GPIF_D_22_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance GPIF_D_17_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read "slave_fifo32/fifo64_to_gpmc32_resp/cross_clock_fifo/read") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0111111111111111")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_6__INV_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<6>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance SRX1_TX_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_54__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[54].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_49__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[49].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0076_inv "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/_n0076_inv") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h00000001FFFFFFFF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_10_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_xor<10>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename f1_ram_Mram_ram10 "f1/ram/Mram_ram10") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance rx_bandsel_b_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename f1_ram_Mram_ram11 "f1/ram/Mram_ram11") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_11_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_xor<11>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_ram_Mram_ram12 "f1/ram/Mram_ram12") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_i_tready "slave_fifo32/fifo64_to_gpmc32_tx/i_tready") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_ram_Mram_ram13 "f1/ram/Mram_ram13") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f1_ram_Mram_ram14 "f1/ram/Mram_ram14") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2-In1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h5140514055555140")) + ) + (instance (rename f1_ram_Mram_ram15 "f1/ram/Mram_ram15") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f1_ram_Mram_ram20 "f1/ram/Mram_ram20") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f1_ram_Mram_ram21 "f1/ram/Mram_ram21") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f1_ram_Mram_ram16 "f1/ram/Mram_ram16") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_12_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_xor<12>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_ram_Mram_ram17 "f1/ram/Mram_ram17") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f1_ram_Mram_ram22 "f1/ram/Mram_ram22") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f1_Mcount_rd_addr_xor_12__rt "f1/Mcount_rd_addr_xor<12>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_ram_Mram_ram23 "f1/ram/Mram_ram23") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f1_ram_Mram_ram18 "f1/ram/Mram_ram18") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f1_ram_Mram_ram24 "f1/ram/Mram_ram24") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f1_ram_Mram_ram19 "f1/ram/Mram_ram19") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f1_ram_Mram_ram30 "f1/ram/Mram_ram30") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f1_ram_Mram_ram25 "f1/ram/Mram_ram25") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f1_ram_Mram_ram31 "f1/ram/Mram_ram31") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f1_ram_Mram_ram26 "f1/ram/Mram_ram26") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<5>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_ram_Mram_ram27 "f1/ram/Mram_ram27") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f1_ram_Mram_ram32 "f1/ram/Mram_ram32") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_10_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<10>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_ram_Mram_ram28 "f1/ram/Mram_ram28") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f1_ram_Mram_ram33 "f1/ram/Mram_ram33") (viewref netlist (cellref RAMB8BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "16:OUTPUT:DOBDO<15:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 1)) + (property DATA_WIDTH_B (integer 1)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "18'h00000")) + (property INIT_B (string "18'h00000")) + (property RAM_MODE (string "TDP")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "18'h00000")) + (property SRVAL_B (string "18'h00000")) + (property INIT_FILE (string "NONE")) + (property SIM_COLLISION_CHECK (string "ALL")) + ) + (instance (rename f1_ram_Mram_ram29 "f1/ram/Mram_ram29") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32__n0237_inv1 "slave_fifo32/_n0237_inv1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0000000100000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<6>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_11_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<11>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1__n0161_inv1_cy1 "f1/_n0161_inv1_cy1") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<7>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_pktend "slave_fifo32/pktend") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + (property IOB (string "TRUE")) + ) + (instance fx3_miso1 (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___180___slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/write1")) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_57__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[57].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_62__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[62].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<8>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/ram/Mram_ram1") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 18)) + (property DATA_WIDTH_B (integer 18)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/ram/Mram_ram2") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 18)) + (property DATA_WIDTH_B (integer 18)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f1_Mcount_rd_addr_xor_0_ "f1/Mcount_rd_addr_xor<0>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT21 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT21") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___22___slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT511")) + (property INIT (string "32'hBF4040BF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance GPIF_D_4_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_4_11 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_a_xor<4>11") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h6AAAAAAAAAAAAAA9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n0129_inv1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___14___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n0129_inv31")) + (property INIT (string "32'hFFFF4B44")) + ) + (instance (rename f1_Mcount_rd_addr_xor_1_ "f1/Mcount_rd_addr_xor<1>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT31") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hE178E1E1E1E1E1E1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance rx_bandsel_c_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_rd_one_rstpot "slave_fifo32/rd_one_rstpot") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename f1_Mcount_rd_addr_xor_2_ "f1/Mcount_rd_addr_xor<2>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT41") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___36___slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT3111")) + (property INIT (string "32'h9AAAAAA6")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<5>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_0 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/a_0") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_1 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/a_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_9_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_9_BRB1") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_2 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/a_2") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_3 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/a_3") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Mcount_rd_addr_xor_3_ "f1/Mcount_rd_addr_xor<3>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT51") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hAAAA9AAAA6A696A6")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<6>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_4 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/a_4") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_o_tvalid11 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_o_tvalid11") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0000FFFF0000FEFF")) + ) + (instance (rename slave_fifo32_slrd_rstpot "slave_fifo32/slrd_rstpot") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hAA2AAAFAAA2AFAFA")) + ) + (instance (rename f1_Mcount_rd_addr_xor_4_ "f1/Mcount_rd_addr_xor<4>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT61") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h99AA99A6AAAAAAA6")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<7>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_0__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[0].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f1_Mcount_rd_addr_xor_5_ "f1/Mcount_rd_addr_xor<5>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<8>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Mcount_rd_addr_xor_6_ "f1/Mcount_rd_addr_xor<6>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT81") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFF0040BFBF4000FF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_9_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<9>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Msub_dont_write_past_me_cy_1__rt "f1/Msub_dont_write_past_me_cy<1>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename f1_Mcount_rd_addr_xor_7_ "f1/Mcount_rd_addr_xor<7>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In11 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In11") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___4___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/_n0227_inv1")) + (property INIT (string "32'hDFDDFFFF")) + ) + (instance LED_RX1_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In12 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In12") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFBEEEA55514440")) + ) + (instance (rename f1_Mcount_rd_addr_xor_8_ "f1/Mcount_rd_addr_xor<8>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Result_0_1_FRB "f0/Result<0>1_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In14 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In14") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hAAAAAAAA2A080808")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/empty_glue_rst") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFC55FC54FF55FF55")) + ) + (instance (rename f1_Mcount_rd_addr_xor_9_ "f1/Mcount_rd_addr_xor<9>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT4_SW0") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___126___slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/clear_dump_OR_131_o_SW0")) + (property INIT (string "16'hCCC9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In31 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In31") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hFFFFFFFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In32 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In32") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In33 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In33") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "16'hFDFF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In34 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In34") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFFFFFFFFFB")) + ) + (instance (rename catgen_gen_pins_10__oddr2 "catgen/gen_pins[10].oddr2") (viewref netlist (cellref ODDR2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property DDR_ALIGNMENT (string "C0")) + (property SRTYPE (string "ASYNC")) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<0>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_11__INV_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<11>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_debug1_16_BRB0 "slave_fifo32/debug1_16_BRB0") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_3__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[3].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_9_BRB1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_9_BRB1") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8212_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT8212_SW0") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___124___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT8212_SW0")) + (property INIT (string "4'h6")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8212_SW1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT8212_SW1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hAAAAAAAAAAAAAAA9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata101 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata101") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___70___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata101")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata110 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata110") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___81___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata110")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata111 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata111") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___69___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata111")) + (property INIT (string "4'h8")) + ) + (instance pll_ce_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata121 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata121") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___80___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata121")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full411 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full411") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___19___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full421")) + (property INIT (string "16'hFEEE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_state "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/state") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Msub_dont_write_past_me_xor<8>1_SW0_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata131 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata131") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___68___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata131")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full421 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full421") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___19___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full421")) + (property INIT (string "16'h0111")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In11 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd2-In11") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___118___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd2-In11")) + (property INIT (string "16'hFFF9")) + ) + (instance LED_RX2_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename catgen_gen_pins_2__oddr2 "catgen/gen_pins[2].oddr2") (viewref netlist (cellref ODDR2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property DDR_ALIGNMENT (string "C0")) + (property SRTYPE (string "ASYNC")) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata141 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata141") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___67___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata141")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In13 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd2-In13") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hAA3B8819AA2A8808")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_xfer_Mux_21_o1 "slave_fifo32/Mmux_state[1]_wr_fifo_xfer_Mux_21_o1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h777FF7FFFFFFFFFF")) + ) + (instance (rename slave_fifo32_state_FSM_FFd1 "slave_fifo32/state_FSM_FFd1") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_state_FSM_FFd2 "slave_fifo32/state_FSM_FFd2") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01212211 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01212211") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h8020401008020401")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata201 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata201") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___61___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata201")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata151 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata151") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___66___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata151")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_11__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[11].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_space_xor<3>111_SW0") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata210 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata210") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___78___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata210")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata161 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata161") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___65___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata161")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata211 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata211") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___59___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata211")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_Mcount_fifoadr_xor_0_11_INV_0 "slave_fifo32/Mcount_fifoadr_xor<0>11_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename gen_clks_clkout1_buf "gen_clks/clkout1_buf") (viewref netlist (cellref BUFG (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<10>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_6__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[6].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata171 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata171") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___64___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata171")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<5>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata221 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata221") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___58___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata221")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<11>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_debug1_17_BRB0 "slave_fifo32/debug1_17_BRB0") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Mcount_rd_addr_cy_1__rt "f0/Mcount_rd_addr_cy<1>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata181 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata181") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___63___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata181")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata231 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata231") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___51___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata231")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT11_INV_0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT11_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<12>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr11_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr11_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata191 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata191") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___62___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata191")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata241 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata241") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___57___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata241")) + (property INIT (string "4'h8")) + ) + (instance (rename f0_Mcount_wr_addr_cy_11__rt "f0/Mcount_wr_addr_cy<11>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename f0_Mcount_rd_addr_cy_10_ "f0/Mcount_rd_addr_cy<10>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<13>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata251 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata251") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___56___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata251")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata301 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata301") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___71___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata301")) + (property INIT (string "8'hE4")) + ) + (instance (rename f1_Result_0_1_FRB "f1/Result<0>1_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename f0_Mcount_rd_addr_cy_11_ "f0/Mcount_rd_addr_cy<11>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<14>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata310 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata310") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___50___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata310")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata261 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata261") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___81___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata110")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata311 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata311") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___60___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata311")) + (property INIT (string "8'hE4")) + ) + (instance (rename f1_Mcount_rd_addr_cy_2__rt "f1/Mcount_rd_addr_cy<2>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename f1_Msub_dont_write_past_me_lut_3__INV_0 "f1/Msub_dont_write_past_me_lut<3>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata271 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata271") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___80___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata121")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata321 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata321") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___55___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata321")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_14__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[14].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<0>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata281 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata281") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___51___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata231")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata331 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata331") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___54___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata331")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full621") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFFFFFEFEFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_2__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[2].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata291 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata291") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___79___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata291")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata341 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata341") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___79___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata291")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_9__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[9].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance tx_enable1_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr10_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr10_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata351 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata351") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___53___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata351")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata401 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata401") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___76___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata510")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<2>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata410 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata410") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___77___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata410")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata361 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata361") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___52___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata361")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata411 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata411") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___75___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata65")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_0 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr_0") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_2 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr_2") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_3 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr_3") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Mcount_rd_addr_cy_6__rt "f0/Mcount_rd_addr_cy<6>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata421 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata421") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___74___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata71")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata371 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata371") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___78___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata210")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_4 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr_4") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_wr_one "slave_fifo32/wr_one") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_5 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr_5") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_6 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr_6") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_7 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr_7") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_8 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr_8") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata431 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata431") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___73___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata81")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata381 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata381") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___50___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata310")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_10_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<10>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<1>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename gen_clks_clkin1_buf "gen_clks/clkin1_buf") (viewref netlist (cellref IBUFGDS (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + (property IOSTANDARD (string "DEFAULT")) + (property IBUF_DELAY_VALUE (string "0")) + (property IBUF_LOW_PWR (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_11_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<11>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata441 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata441") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___72___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata91")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata391 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata391") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___77___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata410")) + (property INIT (string "8'hE4")) + ) + (instance LED_TXRX1_RX_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_write1 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/write1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___45___slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/write1")) + (property INIT (string "4'h4")) + ) + (instance GPIF_D_23_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance GPIF_D_18_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_12_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<12>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata501 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata501") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___66___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata151")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata451 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata451") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___71___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata301")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_22__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[22].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f1_write11 "f1/write11") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___123___f1/write11")) + (property INIT (string "4'h1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_17__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[17].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f1_Mcount_rd_addr_cy_7__rt "f1/Mcount_rd_addr_cy<7>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata510 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata510") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___76___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata510")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata461 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata461") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___70___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata101")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata511 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata511") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___65___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata161")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_5__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[5].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT21 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT21") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "16'h9996")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata471 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata471") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___69___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata111")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_5__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<5>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata521 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata521") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___64___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata171")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_3__INV_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<3>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr3_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr3_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT31") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hA9A9A9A9FF0000FF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata481 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata481") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___68___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata131")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata531 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata531") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___63___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata181")) + (property INIT (string "8'hE4")) + ) + (instance (rename f0_Msub_dont_write_past_me_xor_10_ "f0/Msub_dont_write_past_me_xor<10>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance tx_enable2_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata491 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata491") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___67___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata141")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata541 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata541") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___62___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata191")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Msub_dont_write_past_me_xor<8>1_SW0_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Msub_dont_write_past_me_xor_11_ "f0/Msub_dont_write_past_me_xor<11>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<7>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_write1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/write1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0001000000000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT52") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hC9C9C9C900FFFF00")) + ) + (instance (rename slave_fifo32_sloe_1 "slave_fifo32/sloe_1") (viewref netlist (cellref FDS (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata601 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata601") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___56___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata251")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata551 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata551") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___61___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata201")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_sloe_2 "slave_fifo32/sloe_2") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename f0_Msub_dont_write_past_me_xor_12_ "f0/Msub_dont_write_past_me_xor<12>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_sloe_3 "slave_fifo32/sloe_3") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_sloe_4 "slave_fifo32/sloe_4") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr4_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr4_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_sloe_5 "slave_fifo32/sloe_5") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata561 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata561") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___60___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata311")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_sloe_6 "slave_fifo32/sloe_6") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata611 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata611") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___55___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata321")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_sloe_7 "slave_fifo32/sloe_7") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename f0_Mcount_rd_addr_cy_10__rt "f0/Mcount_rd_addr_cy<10>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_sloe_8 "slave_fifo32/sloe_8") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_sloe_9 "slave_fifo32/sloe_9") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT71") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0EE00FF00FF00FF0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata571 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata571") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___59___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata211")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata621 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata621") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___54___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata331")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT73") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFF0000FFFF1000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<0>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_30__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[30].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT81") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hF0F0F0F08877EE11")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_6__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<6>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_25__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[25].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata581 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata581") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___58___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata221")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata631 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata631") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___53___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata351")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full921 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full921") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___116___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full921")) + (property INIT (string "4'h9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<1>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_0_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_8__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[8].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata641 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata641") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___52___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata361")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata591 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata591") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___57___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tdata241")) + (property INIT (string "8'hE4")) + ) + (instance (rename f0_Mcount_wr_addr_cy_0_ "f0/Mcount_wr_addr_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0076_inv_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/_n0076_inv_SW0") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___41___slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Msub_num_packets[7]_GND_55_o_sub_15_OUT_cy<6>11")) + (property INIT (string "4'hE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<2>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/full_reg_glue_set_lut1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFF1110FFFFFFFF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_1_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance LED_TXRX2_TX_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename f0_Mcount_wr_addr_cy_1_ "f0/Mcount_wr_addr_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<3>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_2_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Mcount_wr_addr_cy_2_ "f0/Mcount_wr_addr_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<4>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename f1__n0161_inv1_lut "f1/_n0161_inv1_lut") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_3_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr8_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr8_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Mcount_wr_addr_cy_3_ "f0/Mcount_wr_addr_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_GND_56_o_read_OR_123_o1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/GND_56_o_read_OR_123_o1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h11101110FFFF1110")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<5>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_4_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_xfer_Mux_21_o1_SW0 "slave_fifo32/Mmux_state[1]_wr_fifo_xfer_Mux_21_o1_SW0") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___24___slave_fifo32/Mmux_state[1]_wr_fifo_xfer_Mux_21_o1_SW0")) + (property INIT (string "8'hD0")) + ) + (instance (rename f0_Mcount_wr_addr_cy_4_ "f0/Mcount_wr_addr_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance GPIF_D_5_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<6>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_5_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<5>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0144_inv1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n0144_inv1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___8___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/read_state_FSM_FFd1-In11")) + (property INIT (string "32'h00440F44")) + ) + (instance (rename f0_Mcount_wr_addr_cy_5_ "f0/Mcount_wr_addr_cy<5>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<7>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_6_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<6>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Msub_dont_write_past_me_lut_12__INV_0 "f1/Msub_dont_write_past_me_lut<12>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_10__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[10].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr9_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr9_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Mcount_wr_addr_cy_6_ "f0/Mcount_wr_addr_cy<6>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_33__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[33].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<8>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_28__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[28].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_7_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<7>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Mcount_wr_addr_cy_7_ "f0/Mcount_wr_addr_cy<7>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<9>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_8_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<8>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Mcount_wr_addr_cy_8_ "f0/Mcount_wr_addr_cy<8>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/dump") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd1") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd2") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr1_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_9_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<9>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Mcount_wr_addr_cy_9_ "f0/Mcount_wr_addr_cy<9>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT21 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT21") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h4")) + ) + (instance (rename f0_Msub_dont_write_past_me_lut_6__INV_0 "f0/Msub_dont_write_past_me_lut<6>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT17 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT17") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT81_SW0") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'h56555656")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<10>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT81_SW1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hAAAAAAAAAAAAAAA9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_11__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<11>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_12__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[12].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT81_SW2") (viewref netlist (cellref MUXF7 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT31 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT31") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<11>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr2_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Mcount_rd_addr_cy_11__rt "f1/Mcount_rd_addr_cy<11>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_o_tready_int11 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_o_tready_int11") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___33___slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_o_tready_int11")) + (property INIT (string "8'h54")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212111 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01212111") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT41 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT41") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h4")) + ) + (instance (rename f0_Result_1_1_FRB "f0/Result<1>1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<12>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT51 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT51") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_state_glue_set "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/state_glue_set") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___28___slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_space_xor<3>111")) + (property INIT (string "8'hA9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<13>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_13__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[13].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT61 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT61") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0121211 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n0121211") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h8282414141418228")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_41__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[41].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_36__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[36].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<14>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr3_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr3_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_xor<10>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr5_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr5_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_15_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_xor<15>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_9_11 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_xor<9>11") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hAAAAAAB9AAAAAAA8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_xor<11>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT81 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT81") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___135___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT81")) + (property INIT (string "4'hE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_12_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_xor<12>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT91 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT91") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___135___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT81")) + (property INIT (string "4'hE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT511_SW0") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___35___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT511_SW0")) + (property INIT (string "4'h9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01218_SW0") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h7")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_20__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[20].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr6_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr6_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_15__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[15].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/empty") (viewref netlist (cellref FDS (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_ctrl_rx_tvalid_data_rx_tvalid_OR_56_o1 "slave_fifo32/ctrl_rx_tvalid_data_rx_tvalid_OR_56_o1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hA8A8A88820202000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr7_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr7_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_16__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[16].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_21__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[21].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg_glue_set "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/full_reg_glue_set") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFF008C008C008C")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_44__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[44].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_9__INV_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<9>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_39__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[39].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2-In1_SW0") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___44___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2-In1_SW0")) + (property INIT (string "8'hBF")) + ) + (instance (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<0>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<1>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr8_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr8_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<2>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_23__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[23].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set_SW1 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/full_glue_set_SW1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___5___slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/_n0123_inv_SW0")) + (property INIT (string "32'hFFFF7FFF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_18__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[18].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<3>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4_ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<4>") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_10__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<10>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename f1_Result_1_1_FRB "f1/Result<1>1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<0>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk "slave_fifo32/fifo64_to_gpmc32_ctrl/cross_clock_fifo/fifo_4k_2clk") (viewref view_1 (cellref fifo_4k_2clk (libraryref b200_lib))) + (property BUS_INFO (string "10:OUTPUT:wr_data_count<9:0>")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Msub_num_packets[7]_GND_65_o_sub_15_OUT_cy<6>11_SW0") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o9") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11_SW1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Msub_num_packets[7]_GND_65_o_sub_15_OUT_cy<6>11_SW1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_24__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[24].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_19__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[19].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_47__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[47].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_52__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[52].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f0_Mcount_wr_addr_cy_4__rt "f0/Mcount_wr_addr_cy<4>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename f1_Msub_dont_write_past_me_xor_0_ "f1/Msub_dont_write_past_me_xor<0>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o1 "slave_fifo32/fifo64_to_gpmc32_ctrl/GND_63_o_space[15]_LessThan_2_o1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFF55555554")) + ) + (instance (rename f1_Msub_dont_write_past_me_xor_1_ "f1/Msub_dont_write_past_me_xor<1>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Mcount_wr_addr_cy_4__rt "f1/Mcount_wr_addr_cy<4>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full411_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full411_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Msub_dont_write_past_me_xor_2_ "f1/Msub_dont_write_past_me_xor<2>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_31__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[31].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_26__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[26].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_gpif_data_in_0 "slave_fifo32/gpif_data_in_0") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_in_1 "slave_fifo32/gpif_data_in_1") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_in_2 "slave_fifo32/gpif_data_in_2") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0000FFFB0004FFFF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_2__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<2>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_gpif_data_in_3 "slave_fifo32/gpif_data_in_3") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212211 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n01212211") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename f1_Msub_dont_write_past_me_xor_3_ "f1/Msub_dont_write_past_me_xor<3>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_gpif_data_in_4 "slave_fifo32/gpif_data_in_4") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance ODDR2_ifclk (viewref netlist (cellref ODDR2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property DDR_ALIGNMENT (string "NONE")) + (property SRTYPE (string "ASYNC")) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_gpif_data_in_5 "slave_fifo32/gpif_data_in_5") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_full_reg_glue_set "f1/full_reg_glue_set") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___123___f1/write11")) + (property INIT (string "32'hF0FF4044")) + ) + (instance (rename slave_fifo32_gpif_data_in_6 "slave_fifo32/gpif_data_in_6") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_gpif_data_in_7 "slave_fifo32/gpif_data_in_7") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01216") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'h350035F0")) + ) + (instance (rename slave_fifo32_gpif_data_in_8 "slave_fifo32/gpif_data_in_8") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/full_glue_set") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hAA8AAA8AFFCFAA8A")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01217 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01217") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h999F999699999990")) + ) + (instance (rename f1_Msub_dont_write_past_me_xor_4_ "f1/Msub_dont_write_past_me_xor<4>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_slrd_rstpot_SW0 "slave_fifo32/slrd_rstpot_SW0") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01218") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h4141414141411441")) + ) + (instance (rename slave_fifo32_gpif_data_in_9 "slave_fifo32/gpif_data_in_9") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01219 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01219") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hAA08880800008008")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_write1 "slave_fifo32/fifo64_to_gpmc32_rx/cross_clock_fifo/write1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr5_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr5_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Msub_dont_write_past_me_xor_5_ "f1/Msub_dont_write_past_me_xor<5>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_27__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[27].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_32__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[32].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance GPIF_D_24_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance GPIF_D_19_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_55__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[55].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_60__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[60].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f1_Msub_dont_write_past_me_xor_6_ "f1/Msub_dont_write_past_me_xor<6>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<5>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Msub_dont_write_past_me_xor_7_ "f1/Msub_dont_write_past_me_xor<7>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr5_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr5_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<5>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1__n0161_inv1_lut1 "f1/_n0161_inv1_lut1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'hD")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance IFCLK_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename f0_Mcount_wr_addr_cy_9__rt "f0/Mcount_wr_addr_cy<9>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename f1_Msub_dont_write_past_me_xor_8_ "f1/Msub_dont_write_past_me_xor<8>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<6>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<5>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_3__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<3>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<0>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<5>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename catgen_oddr2_frame "catgen/oddr2_frame") (viewref netlist (cellref ODDR2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property DDR_ALIGNMENT (string "C0")) + (property SRTYPE (string "ASYNC")) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Msub_dont_write_past_me_xor_9_ "f1/Msub_dont_write_past_me_xor<9>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<7>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<6>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<1>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<6>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_34__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[34].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_29__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[29].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_10 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr_10") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<8>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_11 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr_11") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_o_tlast1 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/o_tlast1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___172___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/o_tlast1")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_12 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr_12") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Mcount_wr_addr_cy_9__rt "f1/Mcount_wr_addr_cy<9>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<7>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full421_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full421_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<2>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<7>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<9>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<8>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<3>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<8>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_7__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<7>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full411_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full411_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<4>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<9>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_40__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[40].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/empty_glue_rst_SW0") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h1111000111111111")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_35__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[35].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_58__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[58].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_63__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[63].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<5>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<6>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_rd_addr_0 "f0/rd_addr_0") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT3111") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___34___slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT3111")) + (property INIT (string "4'h7")) + ) + (instance (rename f0_rd_addr_1 "f0/rd_addr_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0_cy "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2-In1_SW0_cy") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_i_tready1 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/i_tready1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___172___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/o_tlast1")) + (property INIT (string "4'h4")) + ) + (instance (rename f0_rd_addr_2 "f0/rd_addr_2") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_rd_addr_3 "f0/rd_addr_3") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<7>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_rd_addr_4 "f0/rd_addr_4") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_rd_addr_5 "f0/rd_addr_5") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_rd_addr_6 "f0/rd_addr_6") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_rd_addr_7 "f0/rd_addr_7") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_rd_addr_8 "f0/rd_addr_8") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<8>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_rd_addr_9 "f0/rd_addr_9") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_10 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr_10") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_11 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr_11") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_12 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr_12") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_37__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[37].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_SW1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n01212_SW1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFF66FF69FFFFFFFF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_42__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[42].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<9>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_state_glue_set "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/state_glue_set") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___16___slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/write1")) + (property INIT (string "16'hA2A6")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_10_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<10>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename catgen_gen_pins_5__oddr2 "catgen/gen_pins[5].oddr2") (viewref netlist (cellref ODDR2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property DDR_ALIGNMENT (string "C0")) + (property SRTYPE (string "ASYNC")) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT511_SW0") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0000000000000001")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7_SW0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT7_SW0") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___3___slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT7_SW0")) + (property INIT (string "16'hFFFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_2__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[2].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd2") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_11_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<11>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Msub_dont_write_past_me_lut_10__INV_0 "f0/Msub_dont_write_past_me_lut<10>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/_n0123_inv") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0004FFFF00040004")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance GPIF_D_6_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Msub_dont_write_past_me_xor_10_ "f1/Msub_dont_write_past_me_xor<10>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_12_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<12>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Msub_dont_write_past_me_xor_11_ "f1/Msub_dont_write_past_me_xor<11>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_0__inv1_INV_0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state<0>_inv1_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_38__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[38].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_43__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[43].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_13_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<13>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full421_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full421_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<3>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename f1_Msub_dont_write_past_me_xor_12_ "f1/Msub_dont_write_past_me_xor<12>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr_0") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_14_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<14>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_In111 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd1-In111") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___13___slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/_n0146_inv1")) + (property INIT (string "16'h7F2A")) + ) + (instance (rename f1_Mcount_rd_addr_xor_10_ "f1/Mcount_rd_addr_xor<10>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr_2") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv_SW0 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/_n0123_inv_SW0") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___5___slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/_n0123_inv_SW0")) + (property INIT (string "32'hFFFFFFFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_xor<0>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_3 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr_3") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<5>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_4 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr_4") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_5 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr_5") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr_0") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_15_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<15>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_6 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr_6") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Mcount_rd_addr_xor_11_ "f1/Mcount_rd_addr_xor<11>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_7 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr_7") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_2 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr_2") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_xor<1>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_8 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr_8") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_3 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr_3") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<6>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_9 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr_9") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_4 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr_4") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_5 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr_5") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_6 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr_6") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Mcount_rd_addr_xor_12_ "f1/Mcount_rd_addr_xor<12>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_7 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr_7") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_xor<2>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_8 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr_8") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<7>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_9 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr_9") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/full_reg") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_45__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[45].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_50__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[50].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_empty_reg "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/empty_reg") (viewref netlist (cellref FDS (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_pktend_1 "slave_fifo32/pktend_1") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_xor<3>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr_0") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_1 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Msub_dont_write_past_me_lut_6__INV_0 "f1/Msub_dont_write_past_me_lut<6>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_5__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[5].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_2 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr_2") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename gpif_sync_reset_int "gpif_sync/reset_int") (viewref netlist (cellref FDP (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_3 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr_3") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01217_SW0 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n01217_SW0") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "16'hA521")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_xor<4>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_4 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr_4") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Result_10_1_FRB "f0/Result<10>1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_5 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr_5") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Msub_dont_write_past_me_xor<8>1_SW0") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'hE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_6 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr_6") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_7 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr_7") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Result_2_1_FRB "f0/Result<2>1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_8 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr_8") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_xor<5>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_9 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr_9") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_full_reg "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/full_reg") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_xor<6>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_46__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[46].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_51__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[51].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_EP_WMARK "slave_fifo32/EP_WMARK") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance ext_ref_enable_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_0 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr_0") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_xor<7>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_2 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr_2") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_3 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr_3") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_4 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr_4") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance codec_reset_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_5 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr_5") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_xor<8>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_6 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr_6") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_7 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr_7") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<8>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_8 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr_8") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_0__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[0].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr_9") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_48__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[48].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_53__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[53].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_8__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[8].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv31 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n0129_inv31") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___14___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n0129_inv31")) + (property INIT (string "16'h4500")) + ) + (instance (rename slave_fifo32_slrd_1 "slave_fifo32/slrd_1") (viewref netlist (cellref FDS (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_space_xor<3>111_SW0") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_49__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[49].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<10>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_54__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[54].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_0_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_xor<0>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_6__INV_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<6>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<10>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT531_SW0") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___1___slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT531_SW0")) + (property INIT (string "16'hFFFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/empty") (viewref netlist (cellref FDS (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<11>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531_SW1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT531_SW1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___1___slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT531_SW0")) + (property INIT (string "16'h8000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_1_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_xor<1>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance cat_sclk1 (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___178___cat_mosi1")) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<11>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_12_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<12>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_2_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_xor<2>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_3__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[3].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT411 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT411") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_12_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<12>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_full_reg "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/full_reg") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_13_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<13>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename f1_Mcount_wr_addr_lut_0__INV_0 "f1/Mcount_wr_addr_lut<0>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_3_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_xor<3>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_cy1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/full_reg_glue_set_cy1") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_lut<0>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename f1_Mcount_rd_addr_lut_0__INV_0 "f1/Mcount_rd_addr_lut<0>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_13_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<13>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_14_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<14>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_4_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_xor<4>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_56__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[56].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_61__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[61].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_lut<1>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_14_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy<14>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_15_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<15>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_5_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_xor<5>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_lut<2>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename f0_write11 "f0/write11") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___122___f0/write11")) + (property INIT (string "4'h1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_6_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_xor<6>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_lut<3>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename f1_Result_2_1_FRB "f1/Result<2>1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_state "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/state") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_10__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<10>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_7_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_xor<7>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_lut<4>") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_57__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[57].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT511 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT511") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___22___slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT511")) + (property INIT (string "8'hBF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_62__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[62].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f0_read_state_FSM_FFd1 "f0/read_state_FSM_FFd1") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_read_state_FSM_FFd2 "f0/read_state_FSM_FFd2") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_8_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_xor<8>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<0>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv31 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n0129_inv31") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___12___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n0129_inv31")) + (property INIT (string "16'h4500")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full1021 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/becoming_full1021") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___43___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/becoming_full1021")) + (property INIT (string "4'h9")) + ) + (instance (rename f1_Mcount_wr_addr_cy_10_ "f1/Mcount_wr_addr_cy<10>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT531 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT531") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___3___slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT7_SW0")) + (property INIT (string "16'h8000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_6__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[6].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f1_Mcount_wr_addr_cy_11_ "f1/Mcount_wr_addr_cy<11>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0102_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/_n0102_SW0") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___117___slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_a_xor<1>11")) + (property INIT (string "16'hFF57")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0102_SW1 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/_n0102_SW1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___27___slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/_n0123_inv_SW0")) + (property INIT (string "8'h80")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_59__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[59].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hCCCCCCCCF0550FAA")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_64__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[64].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_dont_write_past_me_10__FRB "f0/dont_write_past_me<10>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_0_11_INV_0 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_a_xor<0>11_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT6") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hAAAA8AAAAAAABAAA")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump_glue_set "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/dump_glue_set") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h00400000AAEAAAAA")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<0>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<1>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd2_1") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance LED_TXRX1_TX_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance GPIF_D_30_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance GPIF_D_25_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_11__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<11>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<2>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0_ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Msub_dont_write_past_me_lut_9__INV_0 "f0/Msub_dont_write_past_me_lut<9>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<5>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<3>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_9__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[9].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1_ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<6>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<4>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2_ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<7>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<5>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3_ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<8>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<6>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance XST_GND (viewref netlist (cellref GND (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4_ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<7>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_dont_write_past_me_11__FRB "f0/dont_write_past_me<11>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_state_FSM_FFd1_In2 "slave_fifo32/state_FSM_FFd1-In2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h2700050022000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<8>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_state_FSM_FFd1_In3 "slave_fifo32/state_FSM_FFd1-In3") (viewref netlist (cellref MUXF7 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_state_FSM_FFd1_In4 "slave_fifo32/state_FSM_FFd1-In4") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___176___slave_fifo32/state_FSM_FFd1-In4")) + (property INIT (string "4'hE")) + ) + (instance cat_miso_IBUF (viewref netlist (cellref IBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + (property IBUF_DELAY_VALUE (string "0")) + (property IFD_DELAY_VALUE (string "AUTO")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<9>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_full_reg_glue_set "f0/full_reg_glue_set") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___122___f0/write11")) + (property INIT (string "32'hF0FF4044")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_10__INV_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<10>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_wr_one_rstpot "slave_fifo32/wr_one_rstpot") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___48___slave_fifo32/_n0230_inv1")) + (property INIT (string "32'hEEAAA2AA")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<5>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Result_0_2_FRB "f0/Result<0>2_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd1") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<6>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_12__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<12>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd2") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<10>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<7>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<11>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0__n0161_inv1_cy "f0/_n0161_inv1_cy") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<1>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename gpif_sync_reset_out "gpif_sync/reset_out") (viewref netlist (cellref FDP (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<8>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_12_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_xor<12>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata33 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata33") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___150___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata33")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_9_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<9>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid31 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_tvalid31") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tvalid11 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tvalid11") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___125___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tvalid11")) + (property INIT (string "8'hE0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_11__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[11].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata41 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata41") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___150___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata33")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full_glue_set "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/full_glue_set") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hA8A8FDA8A8A8A8A8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata51 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata51") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___149___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata51")) + (property INIT (string "8'hE4")) + ) + (instance GPIF_D_7_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata61 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata61") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___149___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata51")) + (property INIT (string "8'hE4")) + ) + (instance (rename f0_dont_write_past_me_12__FRB "f0/dont_write_past_me<12>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid61 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_tvalid61") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFF0001FFFE0000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata71 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata71") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___148___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata71")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata81 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata81") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___148___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata71")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_rd_one_BRB0 "slave_fifo32/rd_one_BRB0") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_rd_one_BRB1 "slave_fifo32/rd_one_BRB1") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata91 "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata91") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___147___slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/Mmux_o_tdata91")) + (property INIT (string "8'hE4")) + ) + (instance SFDX2_RX_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename gen_clks_clkout2_buf "gen_clks/clkout2_buf") (viewref netlist (cellref BUFG (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_14__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[14].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<6>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_4__INV_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<4>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename f0_Result_11_1_FRB "f0/Result<11>1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance codec_enable_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename f0_Mcount_rd_addr_cy_2__rt "f0/Mcount_rd_addr_cy<2>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename f0_Result_3_1_FRB "f0/Result<3>1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB0") (viewref netlist (cellref FDS (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo__n0146_inv1 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/_n0146_inv1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___13___slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/_n0146_inv1")) + (property INIT (string "16'h2E22")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB1") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr12_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr12_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB2 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB2") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB3 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB3") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB4 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB4") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB5 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB5") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_ctrl_tx_tvalid1 "slave_fifo32/ctrl_tx_tvalid1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'h01000000")) + ) + (instance (rename f1_Result_0_2_FRB "f1/Result<0>2_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_rstpot "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/empty_reg_rstpot") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFF0FFFFFF80FF80")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In12_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd2-In12_SW0") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___46___slave_fifo32/fifo64_to_gpmc32_tx/checker/_n0131_inv1")) + (property INIT (string "4'hD")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets_0") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Mcount_rd_addr_cy_3__rt "f1/Mcount_rd_addr_cy<3>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_2 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets_2") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_3 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets_3") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_4 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets_4") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_5 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets_5") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_6 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets_6") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_7 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets_7") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<1>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename f1__n0161_inv1_cy "f1/_n0161_inv1_cy") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Msub_dont_write_past_me_xor<8>1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hA8A8A8A8A8A8B9A8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_22__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[22].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_17__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[17].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr11_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr11_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT3111") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h55555504FFFFFF5D")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<3>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<10>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o1 "slave_fifo32/fifo64_to_gpmc32_tx/GND_49_o_space[15]_LessThan_2_o1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFF55555554")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<11>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Mcount_rd_addr_cy_7__rt "f0/Mcount_rd_addr_cy<7>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<0>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<12>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<1>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_2__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<2>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o9_SW1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h8421000000000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<13>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<2>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<14>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<3>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename f1_Mcount_rd_addr_cy_8__rt "f1/Mcount_rd_addr_cy<8>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_i_tready1_SW0") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'h80000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<0>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_15_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<15>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<4>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tready1_SW0") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'h80000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<1>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/full_reg_glue_set_lut") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0000FAFB00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_6__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<6>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_30__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[30].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_25__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[25].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<5>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr4_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr4_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<2>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<6>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename f1_Result_3_1_FRB "f1/Result<3>1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<3>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<7>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<8>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<4>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<8>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr5_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr5_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_5_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<5>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<9>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename f0_Mcount_rd_addr_cy_11__rt "f0/Mcount_rd_addr_cy<11>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_6_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<6>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename catgen_gen_pins_8__oddr2 "catgen/gen_pins[8].oddr2") (viewref netlist (cellref ODDR2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property DDR_ALIGNMENT (string "C0")) + (property SRTYPE (string "ASYNC")) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror1_SW0") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_7__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<7>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance fx3_ce_IBUF (viewref netlist (cellref IBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + (property IBUF_DELAY_VALUE (string "0")) + (property IFD_DELAY_VALUE (string "AUTO")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_7_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<7>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1_SW1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror1_SW1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___118___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd2-In11")) + (property INIT (string "8'h04")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1_F "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror51_SW1_F") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFFAAAAFFFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1_G "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror51_SW1_G") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hFB")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_8_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<8>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_33__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[33].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_28__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[28].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_9_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<9>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_full_reg_glue_set "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/full_reg_glue_set") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___120___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/full_reg_glue_set")) + (property INIT (string "16'hFFA2")) + ) + (instance (rename f0_Mcount_rd_addr_xor_10_ "f0/Mcount_rd_addr_xor<10>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr9_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr9_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Mcount_rd_addr_xor_11_ "f0/Mcount_rd_addr_xor<11>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance GPIF_D_31_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance GPIF_D_26_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Mcount_rd_addr_xor_12_ "f0/Mcount_rd_addr_xor<12>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_idle_cycles_0 "slave_fifo32/idle_cycles_0") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_idle_cycles_1 "slave_fifo32/idle_cycles_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tvalid11 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_o_tvalid11") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___171___slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_o_tvalid11")) + (property INIT (string "8'hC8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr1_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename catgen_gen_pins_0__oddr2 "catgen/gen_pins[0].oddr2") (viewref netlist (cellref ODDR2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property DDR_ALIGNMENT (string "C0")) + (property SRTYPE (string "ASYNC")) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tready_int11 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_o_tready_int11") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h3333333333323333")) + ) + (instance (rename slave_fifo32_idle_cycles_2 "slave_fifo32/idle_cycles_2") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Msub_dont_write_past_me_lut_9__INV_0 "f1/Msub_dont_write_past_me_lut<9>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_i_tvalid_int1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_i_tvalid_int1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h1555555555555555")) + ) + (instance gps_ref_enable_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_36__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[36].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_41__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[41].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata65 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata65") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___107___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata65")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full621_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata71 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata71") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___106___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata71")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_write1 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/write1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___179___slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/write1")) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr2_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata81 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata81") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___105___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata81")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0_rstpot "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets_0_rstpot") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h6AAA595566AA5555")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata91 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata91") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___104___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata91")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr3_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr3_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Result_1_2_FRB "f0/Result<1>2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_SW0_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01218_SW0_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_10_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<10>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read "slave_fifo32/fifo64_to_gpmc32_rx/cross_clock_fifo/read") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0111111111111111")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_11_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<11>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr4_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr4_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr6_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr6_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_12_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<12>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/empty_glue_rst") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFC55FC54FF55FF55")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_44__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[44].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_39__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[39].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_13_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<13>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<0>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_9__INV_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<9>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_14_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<14>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance tx_codec_d_0_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance GPIF_D_8_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<1>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr7_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr7_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT101 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT101") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_0__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<0>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<2>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT110 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT110") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT111 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT111") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0146_inv1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n0146_inv1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___0___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/empty_reg_rstpot")) + (property INIT (string "32'hFFFF8D88")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full621_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Mcount_rd_addr_xor_0_ "f0/Mcount_rd_addr_xor<0>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<3>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT121 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT121") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_lut<0>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr8_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr8_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Mcount_rd_addr_xor_1_ "f0/Mcount_rd_addr_xor<1>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<4>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT131 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT131") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_lut<1>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename f0_Mcount_rd_addr_xor_2_ "f0/Mcount_rd_addr_xor<2>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifoadr_0_1 "slave_fifo32/fifoadr_0_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<5>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT141 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT141") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0121111 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n0121111") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___174___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n0121111")) + (property INIT (string "4'hE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_lut<2>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename f0_Mcount_rd_addr_xor_3_ "f0/Mcount_rd_addr_xor<3>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<6>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT201 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT201") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT151 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT151") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_47__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[47].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_52__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[52].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_lut<3>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Mcount_rd_addr_xor_4_ "f0/Mcount_rd_addr_xor<4>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<7>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT210 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT210") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_0 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/a_0") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_tlast1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_tlast1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0C0C0C0C0C0C0D0C")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT161 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT161") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT211 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT211") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_1 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/a_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_2 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/a_2") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Result_12_1_FRB "f0/Result<12>1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_lut<4>") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_3 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/a_3") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Mcount_rd_addr_xor_5_ "f0/Mcount_rd_addr_xor<5>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<8>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_4 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/a_4") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT171 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT171") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename f0_Result_4_1_FRB "f0/Result<4>1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT221 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT221") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB0") (viewref netlist (cellref FDS (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB1") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Mcount_rd_addr_xor_6_ "f0/Mcount_rd_addr_xor<6>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<9>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB2") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_10 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr_10") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB3 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB3") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT231 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT231") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance XST_VCC (viewref netlist (cellref VCC (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT181 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT181") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_11 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr_11") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB4 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB4") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_12 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr_12") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB5 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB5") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr1_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_wr_addr_10 "f0/wr_addr_10") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifoadr_1_1 "slave_fifo32/fifoadr_1_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance tx_codec_d_1_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Mcount_rd_addr_xor_7_ "f0/Mcount_rd_addr_xor<7>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_wr_addr_11 "f0/wr_addr_11") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_wr_addr_12 "f0/wr_addr_12") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT241 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT241") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT191 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT191") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_write1 "slave_fifo32/fifo64_to_gpmc32_resp/cross_clock_fifo/write1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h1")) + ) + (instance (rename f1_Result_1_2_FRB "f1/Result<1>2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_11__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<11>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_1__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<1>_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_read_state_FSM_FFd1_In111 "f0/read_state_FSM_FFd1-In111") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___30___f0/GND_14_o_read_OR_37_o1")) + (property INIT (string "16'hFDA8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Mcount_rd_addr_xor_8_ "f0/Mcount_rd_addr_xor<8>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT251 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT251") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<1>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT301 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT301") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance cat_ce_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_5_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<5>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Mcount_rd_addr_xor_9_ "f0/Mcount_rd_addr_xor<9>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr1_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT261 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT261") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT311 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT311") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename f0_Mcount_wr_addr_cy_5__rt "f0/Mcount_wr_addr_cy<5>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_6_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<6>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo_rst_gpif_rst_OR_155_o1 "slave_fifo32/fifo64_to_gpmc32_resp/fifo_rst_gpif_rst_OR_155_o1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___175___slave_fifo32/fifo64_to_gpmc32_resp/fifo_rst_gpif_rst_OR_155_o1")) + (property INIT (string "4'hE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<0>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT271 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT271") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename slave_fifo32__n0258_inv_SW0 "slave_fifo32/_n0258_inv_SW0") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___121___slave_fifo32/_n0258_inv_SW0")) + (property INIT (string "8'hBF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_1_11 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_a_xor<1>11") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___115___slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_a_xor<1>11")) + (property INIT (string "8'h69")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT321 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT321") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_i_tvalid_int1_SW0 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_i_tvalid_int1_SW0") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "16'h8000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_7_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<7>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_o_tready_int1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_o_tready_int1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hC000000080000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<1>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_60__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[60].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT281 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT281") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_55__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[55].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_8_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<8>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<2>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<10>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename f1_Mcount_wr_addr_cy_5__rt "f1/Mcount_wr_addr_cy<5>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT291 "slave_fifo32/Mmux_state[1]_wr_fifo_data[31]_wide_mux_20_OUT291") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA8880888")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n0129_inv1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___12___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n0129_inv31")) + (property INIT (string "32'hFFFF4B44")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_9_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<9>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<3>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_space_xor<3>111") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hEFEFEFEEEEEEEEEE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<11>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename f0_Mcompar_becoming_full_cy_0_ "f0/Mcompar_becoming_full_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_3__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<3>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<4>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_space_xor<3>111") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hEFEFEFEEEEEEEEEE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_12_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut<12>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename f0_Mcompar_becoming_full_cy_1_ "f0/Mcompar_becoming_full_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<5>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename f0_Mcompar_becoming_full_cy_2_ "f0/Mcompar_becoming_full_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr6_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr6_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<6>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance tx_codec_d_2_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename f0_Mcompar_becoming_full_cy_3_ "f0/Mcompar_becoming_full_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_2__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<2>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename f1_Msub_dont_write_past_me_lut_10__INV_0 "f1/Msub_dont_write_past_me_lut<10>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<7>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename f0_Mcompar_becoming_full_cy_4_ "f0/Mcompar_becoming_full_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<6>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<8>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr6_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr6_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o41 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o41") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_58__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[58].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_data_tx_tvalid1 "slave_fifo32/data_tx_tvalid1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'h00010000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_63__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[63].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut<9>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_4__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<4>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In12 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In12") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFFFFFFAAB9")) + ) + (instance (rename slave_fifo32__n0290_inv1 "slave_fifo32/_n0290_inv1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___21___slave_fifo32/_n0223_inv1")) + (property INIT (string "32'h20002222")) + ) + (instance (rename f1_Result_4_1_FRB "f1/Result<4>1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o61 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o61") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___174___slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n0121111")) + (property INIT (string "4'h9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In14") (viewref netlist (cellref MUXF7 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Msub_dont_write_past_me_lut_4__INV_0 "f0/Msub_dont_write_past_me_lut<4>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o71 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o71") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In31 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In31") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hFFFFFFFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o1_SW1 "slave_fifo32/fifo64_to_gpmc32_tx/GND_49_o_space[15]_LessThan_2_o1_SW1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "16'hFFFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0102_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/_n0102_SW0") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___115___slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_a_xor<1>11")) + (property INIT (string "16'hFF57")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In32 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In32") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0102_SW1 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/_n0102_SW1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___26___slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/_n0123_inv_SW0")) + (property INIT (string "8'h80")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In33 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In33") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "16'hFDFF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT71_SW0") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0000000000000001")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In34 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In34") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFFFFFFFFFB")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full611 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/becoming_full611") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0000000100010001")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT21 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT21") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hAAAAAAAAA9AAAAAA")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_10 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_10") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/_n0123_inv") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h04040000FF04FF00")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_11 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_11") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_12 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_12") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT31") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hE1E1E1E10FF0F00F")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full621 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/becoming_full621") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFFFFFEFEFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_13 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_13") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance tx_codec_d_3_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_14 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_14") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_1__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[1].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_15 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_15") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_20 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_20") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_16 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_16") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_21 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_21") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_17 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_17") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_3__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<3>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_22 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_22") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_18 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_18") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_23 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_23") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_19 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_19") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_24 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_24") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_25 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_25") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_30 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_30") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_26 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_26") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0154_inv1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n0154_inv1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___37___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n0154_inv1")) + (property INIT (string "8'hDC")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_31 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_31") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_27 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_27") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_28 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_28") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT52") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hA9A9A9A9AA5555AA")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_29 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_29") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o10") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h8000000000000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0076_inv_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/_n0076_inv_SW0") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___39___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Msub_num_packets[7]_GND_65_o_sub_15_OUT_cy<6>11")) + (property INIT (string "4'hE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n01213_SW0") (viewref netlist (cellref MUXF7 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT71") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h54A855AA55AA55AA")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In11 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd2-In11") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFFFFFFFFF9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT73") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFF00FFE8FF17FFFF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In13 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd2-In13") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hAA3B8819AA2A8808")) + ) + (instance GPIF_D_27_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT81") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hCCCCCCCCF50A05FA")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror11 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_terror11") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0404040404040504")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o41 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o41") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT8211") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFF7FFFFFFFFFFF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_terror21") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance (rename f0_Msub_dont_write_past_me_cy_10_ "f0/Msub_dont_write_past_me_cy<10>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<4>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_7__INV_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<7>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename f0_Msub_dont_write_past_me_cy_11_ "f0/Msub_dont_write_past_me_cy<11>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o61 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o61") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_4__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[4].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o71 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o71") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_10_BRB1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_10_BRB1") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0_lut "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2-In1_SW0_lut") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h1111111011111111")) + ) + (instance tx_codec_d_4_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o81 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o81") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01212") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0010001000000010")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01213 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01213") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9090900000900000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_2_11 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_a_xor<2>11") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___9___slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_a_xor<3>11")) + (property INIT (string "16'h6AA9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_4__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<4>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01214 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01214") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'h99900000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01215 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01215") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0220000000000220")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01216 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01216") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01217 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01217") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0080000000000080")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01218 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01218") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___114___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full921")) + (property INIT (string "16'h0440")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01219 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01219") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFAF8AA0000000000")) + ) + (instance SFDX1_RX_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename f0_Result_10_2_FRB "f0/Result<10>2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Result_2_2_FRB "f0/Result<2>2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0076_inv "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/_n0076_inv") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h00000001FFFFFFFF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_12__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[12].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f0_Mcompar_becoming_full_lut_0_ "f0/Mcompar_becoming_full_lut<0>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_0__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[0].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f0_Mcompar_becoming_full_lut_1_ "f0/Mcompar_becoming_full_lut<1>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_7__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[7].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set_SW1 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/full_glue_set_SW1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___7___slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/_n0123_inv_SW0")) + (property INIT (string "32'hFFFF7FFF")) + ) + (instance debug_0_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance codec_fb_clk_p_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename f0_Mcompar_becoming_full_lut_2_ "f0/Mcompar_becoming_full_lut<2>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename f0_Mcompar_becoming_full_lut_3_ "f0/Mcompar_becoming_full_lut<3>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_11_BRB1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_11_BRB1") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Mcompar_becoming_full_lut_4_ "f0/Mcompar_becoming_full_lut<4>") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h9")) + ) + (instance GPIF_D_9_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance tx_codec_d_5_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_i_tvalid_o_tready_AND_73_o1 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/i_tvalid_o_tready_AND_73_o1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___127___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tvalid11")) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_5__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<5>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_write1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/write1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0000000100000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_0 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr_0") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_2 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr_2") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hCCCCCCCCF05A0F5A")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_15__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[15].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_20__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[20].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_3 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr_3") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_state_FSM_FFd1_In3_F "slave_fifo32/state_FSM_FFd1-In3_F") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'h80808000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_4 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr_4") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_state_FSM_FFd1_In3_G "slave_fifo32/state_FSM_FFd1-In3_G") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h04155555FFFFFFFF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT6") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hCCCCCCCC0F5AF05A")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_5 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr_5") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_6 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr_6") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_7 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr_7") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance SFDX2_TX_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_0_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<0>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_3__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[3].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_8 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr_8") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o9_SW1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h8421000000000000")) + ) + (instance (rename slave_fifo32_gpif_data_in_10 "slave_fifo32/gpif_data_in_10") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_in_11 "slave_fifo32/gpif_data_in_11") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_1_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<1>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_gpif_data_in_12 "slave_fifo32/gpif_data_in_12") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_in_13 "slave_fifo32/gpif_data_in_13") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_in_14 "slave_fifo32/gpif_data_in_14") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_in_15 "slave_fifo32/gpif_data_in_15") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_in_20 "slave_fifo32/gpif_data_in_20") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_in_16 "slave_fifo32/gpif_data_in_16") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_terror21_SW0") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "16'h0001")) + ) + (instance (rename slave_fifo32_gpif_data_in_21 "slave_fifo32/gpif_data_in_21") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_2_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<2>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21_SW1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_terror21_SW1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h01")) + ) + (instance (rename slave_fifo32_gpif_data_in_17 "slave_fifo32/gpif_data_in_17") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_in_22 "slave_fifo32/gpif_data_in_22") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_in_18 "slave_fifo32/gpif_data_in_18") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_in_23 "slave_fifo32/gpif_data_in_23") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_in_19 "slave_fifo32/gpif_data_in_19") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_in_24 "slave_fifo32/gpif_data_in_24") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_in_25 "slave_fifo32/gpif_data_in_25") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_in_30 "slave_fifo32/gpif_data_in_30") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_in_26 "slave_fifo32/gpif_data_in_26") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_in_31 "slave_fifo32/gpif_data_in_31") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_3_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<3>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance debug_1_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_gpif_data_in_27 "slave_fifo32/gpif_data_in_27") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_in_28 "slave_fifo32/gpif_data_in_28") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_in_29 "slave_fifo32/gpif_data_in_29") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename f0_Result_5_1_FRB "f0/Result<5>1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_4_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<4>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/full_glue_set") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hAA8AAA8AFFCFAA8A")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_12_BRB0 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_12_BRB0") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_12_BRB1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_12_BRB1") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_5_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<5>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance tx_codec_d_6_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename f1_Result_2_2_FRB "f1/Result<2>2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_6_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<6>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_SW1_SW0 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n01212_SW1_SW0") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___168___slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/becoming_full1021")) + (property INIT (string "8'hEA")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_6__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<6>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename catgen_gen_pins_11__oddr2 "catgen/gen_pins[11].oddr2") (viewref netlist (cellref ODDR2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property DDR_ALIGNMENT (string "C0")) + (property SRTYPE (string "ASYNC")) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_12__INV_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<12>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_23__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[23].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_18__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[18].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f0_i_tready1_INV_0 "f0/i_tready1_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_7_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<7>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_6__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[6].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<1>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_8_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<8>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_9_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<9>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_wr_addr_0 "f1/wr_addr_0") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_wr_addr_1 "f1/wr_addr_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_wr_addr_2 "f1/wr_addr_2") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_wr_addr_3 "f1/wr_addr_3") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_wr_addr_4 "f1/wr_addr_4") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance GPIF_CTL4_IBUF (viewref netlist (cellref IBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + (property IBUF_DELAY_VALUE (string "0")) + (property IFD_DELAY_VALUE (string "AUTO")) + ) + (instance (rename f1_wr_addr_5 "f1/wr_addr_5") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_wr_addr_6 "f1/wr_addr_6") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_wr_addr_7 "f1/wr_addr_7") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_wr_addr_8 "f1/wr_addr_8") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0144_inv1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n0144_inv1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___6___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/read_state_FSM_FFd1-In11")) + (property INIT (string "32'h00440F44")) + ) + (instance (rename f1_wr_addr_9 "f1/wr_addr_9") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance debug_clk_0_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance debug_2_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename catgen_gen_pins_3__oddr2 "catgen/gen_pins[3].oddr2") (viewref netlist (cellref ODDR2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property DDR_ALIGNMENT (string "C0")) + (property SRTYPE (string "ASYNC")) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o41 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o41") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_3_11 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_a_xor<3>11") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___9___slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_a_xor<3>11")) + (property INIT (string "32'h6AAAAAA9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tvalid11 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_o_tvalid11") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h5555555555545555")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_F "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n01213_SW0_F") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h00FBFB0005FBFB05")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_13_BRB1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_13_BRB1") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_G "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n01213_SW0_G") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFF5455FFFF5657")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_31__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[31].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_26__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[26].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o61 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o61") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___173___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n0121111")) + (property INIT (string "4'h9")) + ) + (instance tx_codec_d_7_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_7__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<7>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_9__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[9].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o71 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o71") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<10>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_8__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_xor<8>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<11>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<0>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_10__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[10].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<1>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename f1_Result_5_1_FRB "f1/Result<5>1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance GPIF_CTL5_IBUF (viewref netlist (cellref IBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + (property IBUF_DELAY_VALUE (string "0")) + (property IFD_DELAY_VALUE (string "AUTO")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<2>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename f1_Msub_dont_write_past_me_lut_4__INV_0 "f1/Msub_dont_write_past_me_lut<4>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<3>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance debug_clk_1_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_state_FSM_FFd2_In1 "slave_fifo32/state_FSM_FFd2-In1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___177___slave_fifo32/Mcount_idle_cycles_xor<0>11")) + (property INIT (string "16'h8000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/dump") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_11__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[11].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance debug_3_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_state_FSM_FFd2_In2 "slave_fifo32/state_FSM_FFd2-In2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h1054101010101010")) + ) + (instance (rename slave_fifo32_state_FSM_FFd2_In3 "slave_fifo32/state_FSM_FFd2-In3") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___176___slave_fifo32/state_FSM_FFd1-In4")) + (property INIT (string "16'hFFF4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut<4>") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk "slave_fifo32/fifo64_to_gpmc32_rx/cross_clock_fifo/fifo_4k_2clk") (viewref view_1 (cellref fifo_4k_2clk (libraryref b200_lib))) + (property BUS_INFO (string "10:OUTPUT:wr_data_count<9:0>")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_34__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[34].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_29__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[29].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst_SW0 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/empty_glue_rst_SW0") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<0>") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA6AAA6A6")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_14_BRB1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_14_BRB1") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance tx_codec_d_8_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/empty_glue_rst_SW0") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h1111000111111111")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<1>") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'h59555959")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_8__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<8>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT6_SW0") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hAAAAAAAAAAAAAAA9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<2>") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'h59555959")) + ) + (instance tx_bandsel_a_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_13__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[13].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance reset_global_locked_OR_1_o1 (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___179___slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/write1")) + (property INIT (string "4'hD")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<3>") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'h59555959")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<2>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<4>") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'h59555959")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<5>") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'h59555959")) + ) + (instance GPIF_D_28_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram10") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram11") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_14__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[14].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram12") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<6>") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'h59555959")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram13") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_42__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[42].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram14") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt__n0074_inv1 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/_n0074_inv1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hC60ACC000A0A0000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_37__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[37].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram15") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram16") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_space_xor_3_111 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_space_xor<3>111") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___28___slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_space_xor<3>111")) + (property INIT (string "32'hFFAEFFFF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/ram/Mram_ram17") (viewref netlist (cellref RAMB8BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "16:OUTPUT:DOBDO<15:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 1)) + (property DATA_WIDTH_B (integer 1)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "18'h00000")) + (property INIT_B (string "18'h00000")) + (property RAM_MODE (string "TDP")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "18'h00000")) + (property SRVAL_B (string "18'h00000")) + (property INIT_FILE (string "NONE")) + (property SIM_COLLISION_CHECK (string "ALL")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<7>") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'h59555959")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_write_AND_42_o_inv2 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/read_write_AND_42_o_inv2") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "16'hDFCF")) + ) + (instance debug_4_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT4_SW0") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___35___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT511_SW0")) + (property INIT (string "16'hCCC9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_4__INV_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<4>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<8>") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'h59555959")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/_n0074_inv6_SW0") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFBF8FFFFFFFF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<9>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hBB4BBBBBBB4BBB4B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/_n0074_inv6_SW1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hAABAAAAA")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW2 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/_n0074_inv6_SW2") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15_BRB1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_15_BRB1") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance tx_codec_d_9_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_write1 "slave_fifo32/fifo64_to_gpmc32_ctrl/cross_clock_fifo/write1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___119___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/full_reg_glue_set")) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_16__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[16].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_21__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[21].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_9__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<9>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance tx_bandsel_b_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename gen_clks_clkout3_buf "gen_clks/clkout3_buf") (viewref netlist (cellref BUFG (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<7>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/empty_reg_BRB1") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_terror5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance (rename f0_Result_11_2_FRB "f0/Result<11>2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB3 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/empty_reg_BRB3") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB4 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/empty_reg_BRB4") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Mcount_rd_addr_cy_3__rt "f0/Mcount_rd_addr_cy<3>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_17__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[17].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f0_Result_3_2_FRB "f0/Result<3>2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_22__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[22].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_50__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[50].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_45__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[45].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_4_11 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_a_xor<4>11") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h6AAAAAAAAAAAAAA9")) + ) + (instance (rename f1_ram_Mram_ram1 "f1/ram/Mram_ram1") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_F "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT72_SW0_F") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFEFFFFFFFFFFF")) + ) + (instance (rename f1_ram_Mram_ram2 "f1/ram/Mram_ram2") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<0>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_ram_Mram_ram3 "f1/ram/Mram_ram3") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_GND_50_o_read_OR_57_o1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/GND_50_o_read_OR_57_o1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "16'h2272")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_G "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT72_SW0_G") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hEEFFFEFFFFFFFFFF")) + ) + (instance (rename f1_ram_Mram_ram4 "f1/ram/Mram_ram4") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f1_ram_Mram_ram5 "f1/ram/Mram_ram5") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance debug_5_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename f1_ram_Mram_ram6 "f1/ram/Mram_ram6") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f1_Mcount_rd_addr_cy_4__rt "f1/Mcount_rd_addr_cy<4>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename f1_ram_Mram_ram7 "f1/ram/Mram_ram7") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<1>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_ram_Mram_ram8 "f1/ram/Mram_ram8") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_GND_50_o_read_OR_57_o1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/GND_50_o_read_OR_57_o1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "16'h2272")) + ) + (instance (rename f1_ram_Mram_ram9 "f1/ram/Mram_ram9") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_19__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[19].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_24__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[24].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<2>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_2__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<2>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/read_state_FSM_FFd1") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<3>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<4>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr12_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr12_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_F "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT72_SW1_F") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hEEFFEFFFFFFFFFFF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<5>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_G "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT72_SW1_G") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFEFFFFFFFFFF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<4>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_25__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[25].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_30__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[30].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_48__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[48].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_53__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[53].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Msub_num_packets[7]_GND_55_o_sub_15_OUT_cy<6>11") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hFFFFFFFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<6>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr1_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Mcount_rd_addr_cy_8__rt "f0/Mcount_rd_addr_cy<8>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance GPIF_D_10_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<7>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<8>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Msub_dont_write_past_me_lut_7__INV_0 "f0/Msub_dont_write_past_me_lut<7>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_3__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<3>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename f1_Mcount_wr_addr_xor_0_ "f1/Mcount_wr_addr_xor<0>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<9>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_27__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[27].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_32__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[32].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_0 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_0") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4__inv_INV_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<4>_inv_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_1 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_1") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Mcount_rd_addr_cy_9__rt "f1/Mcount_rd_addr_cy<9>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance debug_6_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename f1_Mcount_wr_addr_xor_1_ "f1/Mcount_wr_addr_xor<1>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_2 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_2") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_3 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_3") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_4 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_4") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Result_6_1_FRB "f0/Result<6>1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_5 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_5") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_6 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_6") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Mcount_wr_addr_xor_2_ "f1/Mcount_wr_addr_xor<2>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_7 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_7") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_dont_write_past_me_0__FRB "f0/dont_write_past_me<0>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_8 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_8") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance pll_mosi_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_9 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_9") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_7__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<7>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv4 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/_n0074_inv4") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___33___slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_o_tready_int11")) + (property INIT (string "8'hA8")) + ) + (instance fx3_mosi_IBUF (viewref netlist (cellref IBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + (property IBUF_DELAY_VALUE (string "0")) + (property IFD_DELAY_VALUE (string "AUTO")) + ) + (instance (rename f1_Mcount_wr_addr_xor_3_ "f1/Mcount_wr_addr_xor<3>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/_n0074_inv6") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h4000FBFF4400FFFF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr5_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr5_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_28__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[28].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f1_Result_3_2_FRB "f1/Result<3>2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_33__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[33].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f1_Mcount_wr_addr_xor_4_ "f1/Mcount_wr_addr_xor<4>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_61__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[61].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_56__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[56].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f1_Mcount_wr_addr_xor_5_ "f1/Mcount_wr_addr_xor<5>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_9__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<9>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename f1_Mcount_wr_addr_xor_6_ "f1/Mcount_wr_addr_xor<6>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr6_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr6_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Mcount_wr_addr_xor_7_ "f1/Mcount_wr_addr_xor<7>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/_n0123_inv_SW0") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___27___slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/_n0123_inv_SW0")) + (property INIT (string "32'hFFFFFFFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read_SW0 "slave_fifo32/fifo64_to_gpmc32_resp/cross_clock_fifo/read_SW0") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'h80000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_35__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[35].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_40__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[40].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f1_Mcount_wr_addr_xor_8_ "f1/Mcount_wr_addr_xor<8>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance GPIF_CTL9_IBUF (viewref netlist (cellref IBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + (property IBUF_DELAY_VALUE (string "0")) + (property IFD_DELAY_VALUE (string "AUTO")) + ) + (instance (rename f1_Mcount_wr_addr_xor_9_ "f1/Mcount_wr_addr_xor<9>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_0__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[0].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance debug_7_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT73_SW0") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h5599665556955695")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_0 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_0") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_0__inv1_INV_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state<0>_inv1_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_1 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_1") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_2 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_2") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_3 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_3") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_0 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_0") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_4 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_4") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_dont_write_past_me_1__FRB "f0/dont_write_past_me<1>_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_1") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_41__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[41].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_36__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[36].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_5 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_5") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_2 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_2") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_6 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_6") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_64__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[64].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_59__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[59].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_3 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_3") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_7 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_7") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_4 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_4") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_8 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_8") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_0_11_INV_0 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_a_xor<0>11_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_5 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_5") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_9 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding_9") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_6 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_6") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_7 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_7") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_8 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_8") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_0 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr_0") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_2 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr_2") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_rd_addr_10 "f0/rd_addr_10") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_i_tvalid_int14_SW0") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFF55FF01FF55FF55")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_3 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr_3") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_rd_addr_11 "f0/rd_addr_11") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_i_tvalid_int14_SW1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFF55FF00FF55FF54")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd2") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_4 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr_4") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_rd_addr_12 "f0/rd_addr_12") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr2_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_5 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr_5") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_6 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr_6") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_7 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr_7") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_43__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[43].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_38__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[38].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr_8") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance codec_en_agc_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2-In1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h5140514055555140")) + ) + (instance tx_codec_d_10_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_3__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[3].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f1_Result_6_1_FRB "f1/Result<6>1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Result_10_1_FRB "f1/Result<10>1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror7_SW0") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror7_SW1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror7_SW2") (viewref netlist (cellref MUXF7 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW3 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror7_SW3") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hFFFFFFFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr3_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr3_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/_n0123_inv") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h04040000FF04FF00")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_2__INV_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<2>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o10_SW1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_39__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[39].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_44__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[44].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance debug_8_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename f0_dont_write_past_me_2__FRB "f0/dont_write_past_me<2>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_slwr_1 "slave_fifo32/slwr_1") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Msub_dont_write_past_me_xor<8>1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hA8A8A8A8A8A8B9A8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr4_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr4_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Msub_num_packets[7]_GND_65_o_sub_15_OUT_cy<6>11") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___39___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Msub_num_packets[7]_GND_65_o_sub_15_OUT_cy<6>11")) + (property INIT (string "32'hFFFFFFFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/ram/Mram_ram1") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 18)) + (property DATA_WIDTH_B (integer 18)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/ram/Mram_ram2") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 18)) + (property DATA_WIDTH_B (integer 18)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_46__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[46].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_51__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[51].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int11 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tvalid_int11") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hF2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/full") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int12 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tvalid_int12") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0000000000010005")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT411 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT411") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr5_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr5_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int14 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tvalid_int14") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "16'h010F")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int15 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tvalid_int15") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h7FFFFFFFFFFFFFFF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr7_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr7_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_6__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[6].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tvalid_int16") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h00F7000000F7F7F7")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_10 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_10") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_11 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_11") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_12 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_12") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_13 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_13") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_14 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_14") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance tx_codec_d_11_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_10_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<10>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_15 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_15") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance GPIF_D_29_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_47__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[47].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_52__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[52].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_11_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<11>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance cat_mosi1 (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___178___cat_mosi1")) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tready1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0111111111111111")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr8_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr8_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance debug_9_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT511") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFF0D2F087F")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_1__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[1].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_write1 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/write1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___15___slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/write1")) + (property INIT (string "16'h5400")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Mcount_wr_addr_cy_1__rt "f0/Mcount_wr_addr_cy<1>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename f0_dont_write_past_me_3__FRB "f0/dont_write_past_me<3>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_49__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[49].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_54__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[54].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT531") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___38___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut<2>1")) + (property INIT (string "16'hA8EA")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_i_tvalid_int1 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_i_tvalid_int1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h1555555555555555")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Msub_num_packets[7]_GND_55_o_sub_15_OUT_cy<6>11") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___41___slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Msub_num_packets[7]_GND_55_o_sub_15_OUT_cy<6>11")) + (property INIT (string "32'hFFFFFFFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_9__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[9].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f1_Mcount_wr_addr_cy_1__rt "f1/Mcount_wr_addr_cy<1>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_gpif_data_out_10 "slave_fifo32/gpif_data_out_10") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_out_11 "slave_fifo32/gpif_data_out_11") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_out_12 "slave_fifo32/gpif_data_out_12") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_out_13 "slave_fifo32/gpif_data_out_13") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_out_14 "slave_fifo32/gpif_data_out_14") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<5>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_gpif_data_out_15 "slave_fifo32/gpif_data_out_15") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_out_20 "slave_fifo32/gpif_data_out_20") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance SFDX1_TX_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance GPIF_CTL11_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_gpif_data_out_21 "slave_fifo32/gpif_data_out_21") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_out_16 "slave_fifo32/gpif_data_out_16") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename f0_Result_12_2_FRB "f0/Result<12>2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_gpif_data_out_17 "slave_fifo32/gpif_data_out_17") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_out_22 "slave_fifo32/gpif_data_out_22") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_55__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[55].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_gpif_data_out_18 "slave_fifo32/gpif_data_out_18") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_out_23 "slave_fifo32/gpif_data_out_23") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_60__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[60].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_gpif_data_out_24 "slave_fifo32/gpif_data_out_24") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_out_19 "slave_fifo32/gpif_data_out_19") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<6>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_gpif_data_out_25 "slave_fifo32/gpif_data_out_25") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_out_30 "slave_fifo32/gpif_data_out_30") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename f0_Result_4_2_FRB "f0/Result<4>2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<0>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_gpif_data_out_31 "slave_fifo32/gpif_data_out_31") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_out_26 "slave_fifo32/gpif_data_out_26") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_out_27 "slave_fifo32/gpif_data_out_27") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_out_28 "slave_fifo32/gpif_data_out_28") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_gpif_data_out_29 "slave_fifo32/gpif_data_out_29") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<7>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename catgen_oddr2_clk "catgen/oddr2_clk") (viewref netlist (cellref ODDR2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property DDR_ALIGNMENT (string "C0")) + (property SRTYPE (string "ASYNC")) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr2_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<8>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_9__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<9>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_4__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[4].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<9>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n012110_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n012110_SW0") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'h00008400")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<2>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_57__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[57].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_GND_66_o_read_OR_144_o1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/GND_66_o_read_OR_144_o1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___44___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2-In1_SW0")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr2_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_62__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[62].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename catgen_gen_pins_6__oddr2 "catgen/gen_pins[6].oddr2") (viewref netlist (cellref ODDR2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property DDR_ALIGNMENT (string "C0")) + (property SRTYPE (string "ASYNC")) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Mcount_wr_addr_cy_6__rt "f0/Mcount_wr_addr_cy<6>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename f0_dont_write_past_me_4__FRB "f0/dont_write_past_me<4>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename f0_Msub_dont_write_past_me_lut_11__INV_0 "f0/Msub_dont_write_past_me_lut<11>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<0>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename f1_Mcount_wr_addr_cy_6__rt "f1/Mcount_wr_addr_cy<6>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_58__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[58].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_63__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[63].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance GPIF_CTL12_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_4__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<4>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance GPIF_D_11_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_7__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[7].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full411 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full411") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___17___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full421")) + (property INIT (string "16'hFEEE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr7_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr7_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_10_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<10>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full421 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full421") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___17___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full421")) + (property INIT (string "16'h0111")) + ) + (instance (rename f1_Msub_dont_write_past_me_lut_7__INV_0 "f1/Msub_dont_write_past_me_lut<7>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<7>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_11_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<11>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o1_SW1 "slave_fifo32/fifo64_to_gpmc32_ctrl/GND_63_o_space[15]_LessThan_2_o1_SW1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "16'hFFFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr7_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr7_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo__n0146_inv1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/_n0146_inv1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hFFB8FF88")) + ) + (instance (rename f0_Result_7_1_FRB "f0/Result<7>1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_dont_write_past_me_5__FRB "f0/dont_write_past_me<5>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_5__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<5>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_gpif_data_out_31_rstpot "slave_fifo32/gpif_data_out_31_rstpot") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hE4")) + ) + (instance (rename f1_Result_4_2_FRB "f1/Result<4>2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT72_SW0") (viewref netlist (cellref MUXF7 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT72_SW1") (viewref netlist (cellref MUXF7 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance pps_fpga_out_enable_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<0>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full_glue_set "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/full_glue_set") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hA8A8FDA8A8A8A8A8")) + ) + (instance (rename f1_Mcompar_becoming_full_lut_0_ "f1/Mcompar_becoming_full_lut<0>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_write1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/write1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full621") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFFFFFEFEFE")) + ) + (instance (rename f1_Mcompar_becoming_full_lut_1_ "f1/Mcompar_becoming_full_lut<1>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_clear_dump_OR_131_o "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/clear_dump_OR_131_o") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0000000000000001")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_7__INV_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<7>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_state_glue_set "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/state_glue_set") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___29___slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_space_xor<3>111")) + (property INIT (string "8'hA9")) + ) + (instance (rename f1_Mcompar_becoming_full_lut_2_ "f1/Mcompar_becoming_full_lut<2>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename f0_dont_write_past_me_6__FRB "f0/dont_write_past_me<6>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_state "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/state") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Mcompar_becoming_full_lut_3_ "f1/Mcompar_becoming_full_lut<3>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000009009")) + ) + (instance (rename f0_full_reg "f0/full_reg") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Mcompar_becoming_full_lut_4_ "f1/Mcompar_becoming_full_lut<4>") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_empty_reg_rstpot "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/empty_reg_rstpot") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___0___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/empty_reg_rstpot")) + (property INIT (string "32'hFFFF7222")) + ) + (instance fx3_miso_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_10_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<10>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tvalid_int16_SW0") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hEEEEFEEE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_11_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<11>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_0_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<0>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_12_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<12>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<5>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_1_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<1>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_12__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[12].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f1_Result_7_1_FRB "f1/Result<7>1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Result_11_1_FRB "f1/Result<11>1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_2_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<2>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<10>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/full") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_3_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<3>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance tx_frame_p_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<11>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata101 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata101") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___162___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata101")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<0>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata110 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata110") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___167___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata110")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_4_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<4>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata111 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata111") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___162___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata101")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<1>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_5_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<5>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full611 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/becoming_full611") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0000000100010001")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata121 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata121") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___161___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata121")) + (property INIT (string "8'hE4")) + ) + (instance (rename f0_dont_write_past_me_7__FRB "f0/dont_write_past_me<7>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<2>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_6_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<6>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full621 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/becoming_full621") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFFFFFEFEFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata131 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata131") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___161___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata121")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<3>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_7_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<7>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1_F "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tvalid_int13_SW1_F") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFFFFFF5554")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0146_inv1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n0146_inv1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___10___slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n0146_inv1")) + (property INIT (string "16'h2E22")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata141 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata141") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___160___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata141")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1_G "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tvalid_int13_SW1_G") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<4>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_8_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<8>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata201 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata201") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___157___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata201")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata151 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata151") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___160___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata141")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<5>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_20__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[20].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_15__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[15].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata210 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata210") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___167___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata110")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_9_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_xor<9>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata161 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata161") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___159___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata161")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata211 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata211") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___157___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata201")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<6>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full921 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full921") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___114___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full921")) + (property INIT (string "4'h9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata171 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata171") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___159___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata161")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata221 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata221") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___156___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata221")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<7>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata231 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata231") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___156___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata221")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata181 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata181") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___158___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata181")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifoadr_0 "slave_fifo32/fifoadr_0") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<8>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_write1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/write1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___40___slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/write1")) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<10>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifoadr_1 "slave_fifo32/fifoadr_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata241 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata241") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___155___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata241")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata191 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata191") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___158___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata181")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_12__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<12>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut<9>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<11>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg_glue_set "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/full_reg_glue_set") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h4C4CFF4C4C4C4C4C")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT21 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT21") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata251 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata251") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___155___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata241")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_9_11 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_xor<9>11") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hAAAAAAB9AAAAAAA8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT17 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT17") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___175___slave_fifo32/fifo64_to_gpmc32_resp/fifo_rst_gpif_rst_OR_155_o1")) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata301 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata301") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___163___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata91")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_12_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<12>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo__n0154_inv1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/_n0154_inv1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hDC")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT31 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT31") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h4")) + ) + (instance GPIF_CTL0_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata261 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata261") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___154___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata261")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata311 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata311") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___152___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata311")) + (property INIT (string "8'hE4")) + ) + (instance (rename f0_dont_write_past_me_8__FRB "f0/dont_write_past_me<8>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets_0") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_1 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_13_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<13>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_2 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets_2") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT41 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT41") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_3 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets_3") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata321 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata321") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___152___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata311")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata271 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata271") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___154___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata261")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_4 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets_4") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_5 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets_5") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<5>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_6 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets_6") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_14_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<14>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets_7") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT51 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT51") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata281 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata281") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___153___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata281")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_23__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[23].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_18__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[18].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<6>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_15_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_xor<15>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_0") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT61 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT61") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata291 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata291") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___153___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata281")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_2 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_2") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_3 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_3") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<7>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_4 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_4") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_5 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_5") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr_0") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_6 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_6") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_7 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_7") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr_2") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_8 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_8") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_11__INV_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<11>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_3 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr_3") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<8>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_9 "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32_9") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_4 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr_4") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_5 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr_5") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT81 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT81") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___131___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT81")) + (property INIT (string "4'hE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr_0") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_6 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr_6") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Result_5_2_FRB "f0/Result<5>2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_7 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr_7") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Msub_dont_write_past_me_lut_2__INV_0 "f0/Msub_dont_write_past_me_lut<2>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_2 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr_2") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_8 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr_8") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_3 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr_3") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy<9>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr_9") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_4 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr_4") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_5 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr_5") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT91 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT91") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___131___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mmux_space[15]_GND_50_o_mux_35_OUT81")) + (property INIT (string "4'hE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_6 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr_6") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_7 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr_7") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_8 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr_8") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_9 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr_9") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_EP_WMARK1 "slave_fifo32/EP_WMARK1") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr_0") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_1 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_2 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr_2") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_3 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr_3") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_4 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr_4") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_5 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr_5") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_6 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr_6") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n01212") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h00000000DD09C000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_7 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr_7") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance GPIF_CTL1_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_8 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr_8") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_9 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr_9") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01215 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n01215") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0020000002200200")) + ) + (instance (rename f0_dont_write_past_me_9__FRB "f0/dont_write_past_me<9>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance codec_sync_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_clear_dump_OR_131_o_SW0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/clear_dump_OR_131_o_SW0") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___126___slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/clear_dump_OR_131_o_SW0")) + (property INIT (string "4'hD")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_31__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[31].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_26__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[26].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/full_reg") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance GPIF_D_12_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance cat_mosi_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_5__INV_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<5>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_34__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[34].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_29__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[29].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Result_8_1_FRB "f0/Result<8>1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance GPIF_CTL2_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT3111") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0303CFCF0203DFCF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk "slave_fifo32/fifo64_to_gpmc32_tx/cross_clock_fifo/fifo_4k_2clk") (viewref view_1 (cellref fifo_4k_2clk (libraryref b200_lib))) + (property BUS_INFO (string "10:OUTPUT:wr_data_count<9:0>")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/empty_glue_rst") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFBFBFBFFFB00FB00")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Result_5_2_FRB "f1/Result<5>2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_read_state_FSM_FFd1_In111 "f1/read_state_FSM_FFd1-In111") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___31___f1/GND_14_o_read_OR_37_o1")) + (property INIT (string "16'hFDA8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_debug1_10 "slave_fifo32/debug1_10") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_debug1_11 "slave_fifo32/debug1_11") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_debug1_12 "slave_fifo32/debug1_12") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_debug1_13 "slave_fifo32/debug1_13") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_debug1_14 "slave_fifo32/debug1_14") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_debug1_15 "slave_fifo32/debug1_15") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<5>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_debug1_21 "slave_fifo32/debug1_21") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_debug1_22 "slave_fifo32/debug1_22") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_o_tvalid1_INV_0 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/o_tvalid1_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_1_11 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_a_xor<1>11") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h69")) + ) + (instance (rename slave_fifo32_debug1_18 "slave_fifo32/debug1_18") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_debug1_23 "slave_fifo32/debug1_23") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_debug1_19 "slave_fifo32/debug1_19") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<6>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_debug1_26 "slave_fifo32/debug1_26") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_debug1_31 "slave_fifo32/debug1_31") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_debug1_27 "slave_fifo32/debug1_27") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_debug1_28 "slave_fifo32/debug1_28") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_debug1_29 "slave_fifo32/debug1_29") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Msub_dont_write_past_me_xor_0_ "f0/Msub_dont_write_past_me_xor<0>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<7>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_42__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[42].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_37__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[37].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Msub_dont_write_past_me_xor_1_ "f0/Msub_dont_write_past_me_xor<1>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<8>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Msub_dont_write_past_me_xor_2_ "f0/Msub_dont_write_past_me_xor<2>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy<9>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_full_reg_glue_set "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/full_reg_glue_set") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___45___slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/write1")) + (property INIT (string "32'h5540FFC0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_F "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT81_SW2_F") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hEFEEEFEEEFEEFFFF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_G "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT81_SW2_G") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h54555454FCFFFCFC")) + ) + (instance GPIF_CTL3_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename f0_Msub_dont_write_past_me_xor_3_ "f0/Msub_dont_write_past_me_xor<3>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/ram/Mram_ram") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 36)) + (property DATA_WIDTH_B (integer 36)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<3>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Msub_dont_write_past_me_xor_4_ "f0/Msub_dont_write_past_me_xor<4>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012111 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n012111") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h2002000000002002")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_write1 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/write1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___180___slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/write1")) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012112 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n012112") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h8822228C80202084")) + ) + (instance (rename bus_sync_reset_int "bus_sync/reset_int") (viewref netlist (cellref FDP (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012113 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n012113") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Msub_dont_write_past_me_xor_5_ "f0/Msub_dont_write_past_me_xor<5>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012114 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n012114") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hBB33A820A820A820")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<5>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Msub_dont_write_past_me_xor_6_ "f0/Msub_dont_write_past_me_xor<6>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<6>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Msub_dont_write_past_me_xor_7_ "f0/Msub_dont_write_past_me_xor<7>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<7>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT3111") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___36___slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT3111")) + (property INIT (string "4'h7")) + ) + (instance (rename f0_Msub_dont_write_past_me_xor_8_ "f0/Msub_dont_write_past_me_xor<8>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<8>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_45__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[45].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_50__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[50].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f1_Result_8_1_FRB "f1/Result<8>1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_0_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_xor<0>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Msub_dont_write_past_me_xor_9_ "f0/Msub_dont_write_past_me_xor<9>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Result_12_1_FRB "f1/Result<12>1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy<9>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_1_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_xor<1>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_8__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_xor<8>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_2_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_xor<2>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_read1 "slave_fifo32/fifo64_to_gpmc32_tx/cross_clock_fifo/read1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "4'h4")) + ) + (instance (rename f0_Msub_dont_write_past_me_cy_0_ "f0/Msub_dont_write_past_me_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_3_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_xor<3>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<0>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<8>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename catgen_gen_pins_9__oddr2 "catgen/gen_pins[9].oddr2") (viewref netlist (cellref ODDR2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property DDR_ALIGNMENT (string "C0")) + (property SRTYPE (string "ASYNC")) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_8__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_xor<8>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename f0_Msub_dont_write_past_me_cy_1_ "f0/Msub_dont_write_past_me_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_4_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_xor<4>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/ram/Mram_ram") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 36)) + (property DATA_WIDTH_B (integer 36)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f0_Mcount_rd_addr_cy_4__rt "f0/Mcount_rd_addr_cy<4>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename f0_Msub_dont_write_past_me_cy_2_ "f0/Msub_dont_write_past_me_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_5_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_xor<5>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_10__INV_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<10>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename f0_Msub_dont_write_past_me_cy_3_ "f0/Msub_dont_write_past_me_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_6_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_xor<6>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_53__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[53].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_48__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[48].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f0_Msub_dont_write_past_me_cy_4_ "f0/Msub_dont_write_past_me_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_7_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_xor<7>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance debug_10_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename f0_Msub_dont_write_past_me_cy_5_ "f0/Msub_dont_write_past_me_cy<5>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Mcount_rd_addr_cy_5__rt "f1/Mcount_rd_addr_cy<5>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_8_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_xor<8>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Msub_dont_write_past_me_cy_6_ "f0/Msub_dont_write_past_me_cy<6>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/_n0123_inv_SW0") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___26___slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/_n0123_inv_SW0")) + (property INIT (string "32'hFFFFFFFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_3__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<3>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename f0_Msub_dont_write_past_me_cy_7_ "f0/Msub_dont_write_past_me_cy<7>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr1_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Mcount_wr_addr_cy_10__rt "f1/Mcount_wr_addr_cy<10>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename f0_Msub_dont_write_past_me_cy_8_ "f0/Msub_dont_write_past_me_cy<8>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename catgen_gen_pins_1__oddr2 "catgen/gen_pins[1].oddr2") (viewref netlist (cellref ODDR2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property DDR_ALIGNMENT (string "C0")) + (property SRTYPE (string "ASYNC")) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename f0_Msub_dont_write_past_me_cy_9_ "f0/Msub_dont_write_past_me_cy<9>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_sloe "slave_fifo32/sloe") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<5>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_debug2_10 "slave_fifo32/debug2_10") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd2-In1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h7FFF7F7F2AFF2A2A")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr2_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_debug2_11 "slave_fifo32/debug2_11") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_debug2_12 "slave_fifo32/debug2_12") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename f0_Mcount_rd_addr_cy_9__rt "f0/Mcount_rd_addr_cy<9>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_debug2_13 "slave_fifo32/debug2_13") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_debug2_14 "slave_fifo32/debug2_14") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_debug2_15 "slave_fifo32/debug2_15") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_61__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[61].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_56__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[56].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_debug2_16 "slave_fifo32/debug2_16") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_debug2_21 "slave_fifo32/debug2_21") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_debug2_17 "slave_fifo32/debug2_17") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_2_11 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_a_xor<2>11") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___18___slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_a_xor<2>11")) + (property INIT (string "16'h6AA9")) + ) + (instance (rename slave_fifo32_debug2_22 "slave_fifo32/debug2_22") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_debug2_18 "slave_fifo32/debug2_18") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_slrd "slave_fifo32/slrd") (viewref netlist (cellref FDS (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_debug2_23 "slave_fifo32/debug2_23") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_debug2_19 "slave_fifo32/debug2_19") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_4__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<4>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_debug2_26 "slave_fifo32/debug2_26") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_debug2_31 "slave_fifo32/debug2_31") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_debug2_27 "slave_fifo32/debug2_27") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_debug2_28 "slave_fifo32/debug2_28") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_debug2_29 "slave_fifo32/debug2_29") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance debug_11_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_write_AND_42_o_inv2 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/read_write_AND_42_o_inv2") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "16'hDFCF")) + ) + (instance (rename f0_Result_6_2_FRB "f0/Result<6>2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full1011 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/becoming_full1011") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___49___slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/becoming_full1011")) + (property INIT (string "4'h9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT411 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT411") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hFE")) + ) + (instance (rename f1_Msub_dont_write_past_me_lut_2__INV_0 "f1/Msub_dont_write_past_me_lut<2>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full1021 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/becoming_full1021") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___168___slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/becoming_full1021")) + (property INIT (string "4'h9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr6_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr6_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_10 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_10") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_11 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_11") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_12 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_12") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_13 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_13") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT21 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT21") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___25___slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT511")) + (property INIT (string "32'hBF4040BF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_14 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_14") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_F "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror7_SW2_F") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFFFFFFFFFD")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_20 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_20") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_G "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror7_SW2_G") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hFFFFFFFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_15 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_15") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_21 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_21") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0121211 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n0121211") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h8282414141418228")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_16 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_16") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_17 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_17") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd2_BRB0 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/read_state_FSM_FFd2_BRB0") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_22 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_22") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_18 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_18") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT31") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hE178E1E1E1E1E1E1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd2_BRB1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/read_state_FSM_FFd2_BRB1") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_23 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_23") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_19 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_19") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_24 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_24") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_25 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_25") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_30 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_30") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_31 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_31") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_26 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_26") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_27 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_27") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_28 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_28") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT41") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___34___slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT3111")) + (property INIT (string "32'h9AAAAAA6")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_59__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[59].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_64__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[64].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_29 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding_29") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr7_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr7_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_0_11_INV_0 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_a_xor<0>11_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_slwr "slave_fifo32/slwr") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT51") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hAAAA9AAAA6A696A6")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT511") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___40___slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/write1")) + (property INIT (string "16'hEFFF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<0>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT61") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h99AA99A6AAAAAAA6")) + ) + (instance (rename slave_fifo32_sloe_10 "slave_fifo32/sloe_10") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance codec_ctrl_in_0_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_2_1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut<2>1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA9AAA9A9")) + ) + (instance (rename slave_fifo32_sloe_11 "slave_fifo32/sloe_11") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<1>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_sloe_12 "slave_fifo32/sloe_12") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01211_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01211_SW0") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFF05FF04FF")) + ) + (instance (rename slave_fifo32_sloe_13 "slave_fifo32/sloe_13") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_sloe_14 "slave_fifo32/sloe_14") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_sloe_20 "slave_fifo32/sloe_20") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_sloe_15 "slave_fifo32/sloe_15") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT531") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFB0400FFFA0500")) + ) + (instance (rename slave_fifo32_sloe_16 "slave_fifo32/sloe_16") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_sloe_21 "slave_fifo32/sloe_21") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<2>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_sloe_17 "slave_fifo32/sloe_17") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_sloe_22 "slave_fifo32/sloe_22") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_sloe_23 "slave_fifo32/sloe_23") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_sloe_18 "slave_fifo32/sloe_18") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance debug_12_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_sloe_19 "slave_fifo32/sloe_19") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_sloe_24 "slave_fifo32/sloe_24") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT81") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFF0040BFBF4000FF")) + ) + (instance (rename slave_fifo32_sloe_25 "slave_fifo32/sloe_25") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_sloe_30 "slave_fifo32/sloe_30") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<0>") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA6AAA6A6")) + ) + (instance (rename slave_fifo32_sloe_26 "slave_fifo32/sloe_26") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_sloe_31 "slave_fifo32/sloe_31") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<3>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_sloe_27 "slave_fifo32/sloe_27") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_sloe_32 "slave_fifo32/sloe_32") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_sloe_33 "slave_fifo32/sloe_33") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_sloe_28 "slave_fifo32/sloe_28") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_sloe_34 "slave_fifo32/sloe_34") (viewref netlist (cellref FDS (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_sloe_29 "slave_fifo32/sloe_29") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + (property IOB (string "TRUE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<10>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance GPIF_D_13_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<1>") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'h59555959")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<4>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_read_state_FSM_FFd1 "f1/read_state_FSM_FFd1") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/full") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_read_state_FSM_FFd2 "f1/read_state_FSM_FFd2") (viewref netlist (cellref FDR (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<11>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<2>") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'h59555959")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<5>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_2__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[2].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_10_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_10_BRB1") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<12>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_3_1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut<3>1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA9AAA9A9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<3>") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'h59555959")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<6>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_state_glue_set "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/state_glue_set") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___15___slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/write1")) + (property INIT (string "16'hA2A6")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_2__INV_0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut<2>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata33 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata33") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___166___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata33")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<10>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<13>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<4>") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'h59555959")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata41 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata41") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___166___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata33")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<7>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Result_9_1_FRB "f0/Result<9>1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance GPIF_CTL7_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<11>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr1_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy<14>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr3_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr3_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<5>") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'h59555959")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata51 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata51") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___165___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata51")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<8>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<12>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<6>") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'h59555959")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata61 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata61") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___165___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata51")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<9>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_Result_6_2_FRB "f1/Result<6>2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<13>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename bus_sync_reset_out "bus_sync/reset_out") (viewref netlist (cellref FDP (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o9") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h9009000000000000")) + ) + (instance codec_ctrl_in_1_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<7>") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'h59555959")) + ) + (instance (rename f1_Result_10_2_FRB "f1/Result<10>2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata71 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata71") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___164___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata71")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int11 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_i_tvalid_int11") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "16'h0307")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int12 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_i_tvalid_int12") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h7FFFFFFFFFFFFFFF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<14>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01216_SW0") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFDBFDDBFDFFFF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int13 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_i_tvalid_int13") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "16'hF700")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01216_SW1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___43___slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/becoming_full1021")) + (property INIT (string "16'hEFFF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_4_1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut<4>1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA9AAA9A9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<8>") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'h59555959")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr4_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr4_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01216_SW2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFCBFFBEFFC7FF7DF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata81 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata81") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___164___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata71")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_10__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[10].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int16 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_i_tvalid_int16") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hF0E4D8CC00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_15_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut<15>") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'h1B")) + ) + (instance debug_13_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance pll_sclk_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<9>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hBB4BBBBBBB4BBB4B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata91 "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata91") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___163___slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/Mmux_o_tdata91")) + (property INIT (string "8'hE4")) + ) + (instance fx3_sclk_IBUF (viewref netlist (cellref IBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + (property IBUF_DELAY_VALUE (string "0")) + (property IFD_DELAY_VALUE (string "AUTO")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_5__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[5].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr5_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr5_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_xor<0>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram10") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram11") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram12") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f0_Mcount_wr_addr_cy_10_ "f0/Mcount_wr_addr_cy<10>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram13") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_11_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_11_BRB1") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram14") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_xor<1>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram15") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram16") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/ram/Mram_ram17") (viewref netlist (cellref RAMB8BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "16:OUTPUT:DOBDO<15:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 1)) + (property DATA_WIDTH_B (integer 1)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "18'h00000")) + (property INIT_B (string "18'h00000")) + (property RAM_MODE (string "TDP")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "18'h00000")) + (property SRVAL_B (string "18'h00000")) + (property INIT_FILE (string "NONE")) + (property SIM_COLLISION_CHECK (string "ALL")) + ) + (instance (rename f0_Mcount_wr_addr_cy_11_ "f0/Mcount_wr_addr_cy<11>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_xor<2>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut<5>1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'hA9AAA9A9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_3_11 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_a_xor<3>11") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___18___slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_a_xor<2>11")) + (property INIT (string "32'h6AAAAAA9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr6_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr6_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_xor<3>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr8_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr8_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance SRX2_RX_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance GPIF_D_0_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_xor<4>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance codec_txrx_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_13__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[13].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f1_Msub_dont_write_past_me_lut_11__INV_0 "f1/Msub_dont_write_past_me_lut<11>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_EP_WMARK1_1 "slave_fifo32/EP_WMARK1_1") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_xor<5>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance codec_ctrl_in_2_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_xor<6>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_1__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[1].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_8__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[8].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_xor<7>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance debug_14_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename f0_wr_addr_0 "f0/wr_addr_0") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_wr_addr_1 "f0/wr_addr_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_xor<8>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_wr_addr_2 "f0/wr_addr_2") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_wr_addr_3 "f0/wr_addr_3") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/empty") (viewref netlist (cellref FDS (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename f0_wr_addr_4 "f0/wr_addr_4") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Result_9_1_FRB "f1/Result<9>1_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Mcount_wr_addr_cy_2__rt "f0/Mcount_wr_addr_cy<2>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename f0_wr_addr_5 "f0/wr_addr_5") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Msub_dont_write_past_me_lut_5__INV_0 "f0/Msub_dont_write_past_me_lut<5>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename f0_wr_addr_6 "f0/wr_addr_6") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_wr_addr_7 "f0/wr_addr_7") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_wr_addr_8 "f0/wr_addr_8") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt__n0074_inv1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/_n0074_inv1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hC60ACC000A0A0000")) + ) + (instance (rename f0_wr_addr_9 "f0/wr_addr_9") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_12_BRB0 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_12_BRB0") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_12_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_12_BRB1") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Mcount_wr_addr_cy_2__rt "f1/Mcount_wr_addr_cy<2>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/empty") (viewref netlist (cellref FDS (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_16__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[16].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_21__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[21].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<0>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<1>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_4__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[4].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance codec_ctrl_in_3_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename f0_ram_Mram_ram1 "f0/ram/Mram_ram1") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f0_ram_Mram_ram2 "f0/ram/Mram_ram2") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr3_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr3_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_ram_Mram_ram3 "f0/ram/Mram_ram3") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f0_ram_Mram_ram4 "f0/ram/Mram_ram4") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f0_ram_Mram_ram5 "f0/ram/Mram_ram5") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f0_ram_Mram_ram6 "f0/ram/Mram_ram6") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f0_ram_Mram_ram7 "f0/ram/Mram_ram7") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f0_ram_Mram_ram8 "f0/ram/Mram_ram8") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance (rename f0_ram_Mram_ram9 "f0/ram/Mram_ram9") (viewref netlist (cellref RAMB16BWER (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "32:INPUT:DIA<31:0>")) + (property INIT_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_08 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_09 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_10 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_12 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_13 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_14 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_15 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_16 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_17 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_18 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_19 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_1F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_20 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_21 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_22 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_23 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_24 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_25 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_26 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_27 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_28 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_29 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_2F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_30 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_31 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_32 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_33 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_34 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_35 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_36 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_37 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_38 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_39 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3A (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3B (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3C (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3D (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3E (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_3F (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property WRITE_MODE_A (string "READ_FIRST")) + (property WRITE_MODE_B (string "WRITE_FIRST")) + (property DATA_WIDTH_A (integer 2)) + (property DATA_WIDTH_B (integer 2)) + (property DOA_REG (integer 0)) + (property DOB_REG (integer 0)) + (property EN_RSTRAM_A (boolean (true))) + (property EN_RSTRAM_B (boolean (true))) + (property INITP_00 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_01 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_02 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_03 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_04 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_05 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_06 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INITP_07 (string "256'h0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_A (string "36'h000000000")) + (property INIT_B (string "36'h000000000")) + (property RST_PRIORITY_A (string "CE")) + (property RST_PRIORITY_B (string "CE")) + (property RSTTYPE (string "SYNC")) + (property SRVAL_A (string "36'h000000000")) + (property SRVAL_B (string "36'h000000000")) + (property SIM_COLLISION_CHECK (string "ALL")) + (property SIM_DEVICE (string "SPARTAN6")) + (property INIT_FILE (string "NONE")) + ) + (instance debug_20_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance debug_15_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<3>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_inv1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/empty_reg_inv1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0155115501111111")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT411 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT411") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<10>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr3_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr3_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Mcount_wr_addr_cy_7__rt "f0/Mcount_wr_addr_cy<7>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<11>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<1>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename gen_clks_dcm_sp_inst "gen_clks/dcm_sp_inst") (viewref netlist (cellref DCM_SP (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "8:OUTPUT:STATUS<7:0>")) + (property CLKIN_DIVIDE_BY_2 (boolean (false))) + (property CLKOUT_PHASE_SHIFT (string "NONE")) + (property CLK_FEEDBACK (string "1X")) + (property DESKEW_ADJUST (string "SYSTEM_SYNCHRONOUS")) + (property DFS_FREQUENCY_MODE (string "LOW")) + (property DLL_FREQUENCY_MODE (string "LOW")) + (property DSS_MODE (string "NONE")) + (property DUTY_CYCLE_CORRECTION (boolean (true))) + (property FACTORY_JF (string "16'hC080")) + (property STARTUP_WAIT (boolean (false))) + (property CLKFX_DIVIDE (integer 2)) + (property CLKFX_MULTIPLY (integer 5)) + (property PHASE_SHIFT (integer 0)) + (property CLKDV_DIVIDE (string "2.000000")) + (property CLKIN_PERIOD (string "25.000000")) + (property VERY_HIGH_FREQUENCY (string "FALSE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_12_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<12>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_13_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_13_BRB1") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_clear_inv1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/clear_inv1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_24__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[24].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_19__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[19].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f1_Mcount_wr_addr_cy_7__rt "f1/Mcount_wr_addr_cy<7>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd1_In11 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/read_state_FSM_FFd1-In11") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___6___slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/read_state_FSM_FFd1-In11")) + (property INIT (string "32'h8A8ADF8A")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_8__INV_0 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut<8>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_7__srlc32e "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/gen_srlc32e[7].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT511 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT511") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___25___slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT511")) + (property INIT (string "8'hBF")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_12__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<12>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_5__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<5>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_0 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr_0") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr_1") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_2 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr_2") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_3 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr_3") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_4 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr_4") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Mcount_rd_addr_cy_10_ "f1/Mcount_rd_addr_cy<10>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_5 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr_5") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_6 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr_6") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT531 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT531") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___2___slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT7_SW0")) + (property INIT (string "16'h8000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_7 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr_7") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_8 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr_8") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr8_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr8_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_9 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr_9") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_Mcount_rd_addr_cy_11_ "f1/Mcount_rd_addr_cy<11>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance debug_21_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance debug_16_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read_SW0 "slave_fifo32/fifo64_to_gpmc32_rx/cross_clock_fifo/read_SW0") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "32'h80000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<8>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr8_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr8_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Result_7_2_FRB "f0/Result<7>2_FRB") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Mcount_wr_addr_xor_12__rt "f0/Mcount_wr_addr_xor<12>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_4_11 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_a_xor<4>11") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h6AAAAAAAAAAAAAA9")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_32__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[32].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_6__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<6>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_27__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[27].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<0>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_write_ready_go "slave_fifo32/write_ready_go") (viewref netlist (cellref FD (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata101 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata101") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___102___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata101")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_14_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_14_BRB1") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<1>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1_SW0 "slave_fifo32/Mmux_state[1]_wr_fifo_eof_Mux_22_o1_SW0") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___24___slave_fifo32/Mmux_state[1]_wr_fifo_xfer_Mux_21_o1_SW0")) + (property INIT (string "32'h80008080")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata110 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata110") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___113___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata110")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata111 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata111") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___101___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata111")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<2>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata121 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata121") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___112___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata121")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_i_tvalid_o_tready_AND_73_o1 "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/i_tvalid_o_tready_AND_73_o1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___125___slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/Mmux_o_tvalid11")) + (property INIT (string "4'h4")) + ) + (instance (rename f0_Mcount_wr_addr_lut_0__INV_0 "f0/Mcount_wr_addr_lut<0>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<3>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Mcount_rd_addr_lut_0__INV_0 "f0/Mcount_rd_addr_lut<0>_INV_0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h1")) + (property XILINX_LEGACY_PRIM (string "INV")) + (property XILINX_REPORT_XFORM (string "INV")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata131 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata131") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___100___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata131")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<10>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hBB4BBBBBBB4BBB4B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_11__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[11].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<1>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<4>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata141 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata141") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___99___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata141")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<11>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hBB4BBBBBBB4BBB4B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tready_int1 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_o_tready_int1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h00000C0000000800")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_9__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<9>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<5>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata151 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata151") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___98___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata151")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<12>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hBB4BBBBBBB4BBB4B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata201 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata201") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___93___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata201")) + (property INIT (string "4'h8")) + ) + (instance (rename f1_wr_addr_10 "f1/wr_addr_10") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f1_wr_addr_11 "f1/wr_addr_11") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror51_SW0") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "8'hFB")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<6>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_wr_addr_12 "f1/wr_addr_12") (viewref netlist (cellref FDRE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror51_SW1") (viewref netlist (cellref MUXF7 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata210 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata210") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___110___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata210")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata161 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata161") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___97___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata161")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<13>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hBB4BBBBBBB4BBB4B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror51_SW2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h0000000100000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata211 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata211") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___91___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata211")) + (property INIT (string "4'h8")) + ) + (instance debug_22_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance debug_17_OBUF (viewref netlist (cellref OBUF (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property CAPACITANCE (string "DONT_CARE")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<7>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_12__srlc32e "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/gen_srlc32e[12].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0_ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<14>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hBB4BBBBBBB4BBB4B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd2-In1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'h7FFF7F7F2AFF2A2A")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata221 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata221") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___90___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata221")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata171 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata171") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___96___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata171")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_40__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[40].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_35__srlc32e "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/gen_srlc32e[35].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<8>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance GPIF_D_14_IOBUF (viewref netlist (cellref IOBUF (libraryref hdi_primitives))) + (property XILINX_REPORT_XFORM (string "IOBUF")) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Msub_dont_write_past_me_cy_0__rt "f0/Msub_dont_write_past_me_cy<0>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1_ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut<15>") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "64'hBB4BBBBBBB4BBB4B")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata231 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata231") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___83___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata231")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata181 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata181") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___95___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata181")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01215_SW0 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n01215_SW0") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___49___slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/becoming_full1011")) + (property INIT (string "8'h9F")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_xor<9>") (viewref netlist (cellref XORCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2_ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<2>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata241 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata241") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___89___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata241")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata191 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata191") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___94___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata191")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_15_BRB1") (viewref netlist (cellref FDE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b0")) + ) + (instance (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3_ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<3>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata301 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata301") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___103___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata301")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata251 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata251") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___88___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata251")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata310 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata310") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___82___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata310")) + (property INIT (string "4'h8")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_14__srlc32e "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/gen_srlc32e[14].srlc32e") (viewref netlist (cellref SRLC32E (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property BUS_INFO (string "5:INPUT:A<4:0>")) + (property INIT (string "32'h00000000")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata311 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata311") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___92___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata311")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata261 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata261") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___113___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata110")) + (property INIT (string "8'hE4")) + ) + (instance (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4_ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<4>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename f1_dont_write_past_me_0__FRB "f1/dont_write_past_me<0>_FRB") (viewref netlist (cellref FDSE (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "1'b1")) + ) + (instance (rename f1_Mcompar_becoming_full_cy_0_ "f1/Mcompar_becoming_full_cy<0>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata321 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata321") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___87___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata321")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata271 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata271") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___112___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata121")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<6>_rt") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property INIT (string "2'h2")) + ) + (instance (rename f1_Mcompar_becoming_full_cy_1_ "f1/Mcompar_becoming_full_cy<1>") (viewref netlist (cellref MUXCY (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata331 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata331") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___86___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata331")) + (property INIT (string "8'hE4")) + ) + (instance (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata281 "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata281") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property XSTLIB (boolean (true))) + (property PK_HLUTNM (string "___XLNM___83___slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/Mmux_o_tdata231")) + (property INIT (string "8'hE4")) + ) + (net codec_main_clk_n (joined + (portref IB (instanceref gen_clks_clkin1_buf)) + (portref codec_main_clk_n) + ) + + (property DIFF_TERM (boolean (false))) + ) + (net codec_main_clk_p (joined + (portref I (instanceref gen_clks_clkin1_buf)) + (portref codec_main_clk_p) + ) + + (property DIFF_TERM (boolean (false))) + ) + (net (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut[0]") (joined + (portref O (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_)) + (portref S (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_1_)) + ) + ) + (net (rename f0_Result_7_1_FRB "f0/Result<7>1_FRB") (joined + (portref D (instanceref f0_rd_addr_7)) + (portref Q (instanceref f0_Result_7_1_FRB)) + (portref I0 (instanceref f0_Mcount_rd_addr_cy_7__rt)) + (portref I0 (instanceref f0_Msub_dont_write_past_me_lut_7__INV_0)) + ) + ) + (net (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut[1]") (joined + (portref O (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_)) + (portref S (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_2_)) + ) + ) + (net (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut[2]") (joined + (portref O (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_)) + (portref S (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_write "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/write") (joined + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_write1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_3_)) + ) + ) + (net (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut[3]") (joined + (portref O (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_)) + (portref S (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_4_)) + ) + ) + (net (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4_ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut[4]") (joined + (portref O (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4_)) + (portref S (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i64_tready "slave_fifo32/fifo64_to_gpmc32_rx/i64_tready") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_i_tready1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo_rst_gpif_rst_OR_155_o "slave_fifo32/fifo64_to_gpmc32_resp/fifo_rst_gpif_rst_OR_155_o") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo_rst_gpif_rst_OR_155_o1)) + (portref rst (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref rst (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref rst (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref rst (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_2__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<2>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_2__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_2_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy[7]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_8_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_8_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_8__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_xor<8>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_8__rt)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_8_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy[8]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_8_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_9_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_9_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<1>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_1__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_1_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy[9]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_9_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_10_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_10_)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_9__rt "f1/Mcount_rd_addr_cy<9>_rt") (joined + (portref O (instanceref f1_Mcount_rd_addr_cy_9__rt)) + (portref S (instanceref f1_Mcount_rd_addr_cy_9_)) + (portref LI (instanceref f1_Mcount_rd_addr_xor_9_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_9__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<9>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_9__rt)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_9_)) + ) + ) + (net (rename f0_rd_addr_12__wr_addr_12__equal_11_o_l1 "f0/rd_addr[12]_wr_addr[12]_equal_11_o_l1") (joined + (portref O (instanceref f0__n0161_inv1_cy)) + (portref CI (instanceref f0__n0161_inv1_cy1)) + ) + ) + (net (rename slave_fifo32_Mcount_idle_cycles1 "slave_fifo32/Mcount_idle_cycles1") (joined + (portref D (instanceref slave_fifo32_idle_cycles_1)) + (portref O (instanceref slave_fifo32_Mcount_idle_cycles_xor_1_11)) + ) + ) + (net (rename slave_fifo32_Mcount_idle_cycles2 "slave_fifo32/Mcount_idle_cycles2") (joined + (portref D (instanceref slave_fifo32_idle_cycles_2)) + (portref O (instanceref slave_fifo32_Mcount_idle_cycles_xor_2_11)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_5__rt "f0/Mcount_rd_addr_cy<5>_rt") (joined + (portref O (instanceref f0_Mcount_rd_addr_cy_5__rt)) + (portref S (instanceref f0_Mcount_rd_addr_cy_5_)) + (portref LI (instanceref f0_Mcount_rd_addr_xor_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/empty_glue_rst") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst)) + ) + ) + (net (rename f0_wr_addr_0_ "f0/wr_addr[0]") (joined + (portref Q (instanceref f0_wr_addr_0)) + (portref I1 (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_)) + (portref I0 (instanceref f0_Mcompar_becoming_full_lut_0_)) + (portref (member ADDRAWRADDR 12) (instanceref f0_ram_Mram_ram33)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram31)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram30)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram32)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram28)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram27)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram29)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram25)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram24)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram26)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram22)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram21)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram23)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram19)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram18)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram20)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram16)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram15)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram17)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram14)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram13)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram12)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram11)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram9)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram8)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram10)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram6)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram5)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram7)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram3)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram2)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram4)) + (portref (member ADDRA 12) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_wr_addr_1_ "f0/wr_addr[1]") (joined + (portref Q (instanceref f0_wr_addr_1)) + (portref I3 (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_)) + (portref I2 (instanceref f0_Mcompar_becoming_full_lut_0_)) + (portref (member ADDRAWRADDR 11) (instanceref f0_ram_Mram_ram33)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram31)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram30)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram32)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram28)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram27)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram29)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram25)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram24)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram26)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram22)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram21)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram23)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram19)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram18)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram20)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram16)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram15)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram17)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram14)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram13)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram12)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram11)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram9)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram8)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram10)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram6)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram5)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram7)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram3)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram2)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram4)) + (portref (member ADDRA 11) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_10__rt "f0/Mcount_rd_addr_cy<10>_rt") (joined + (portref O (instanceref f0_Mcount_rd_addr_cy_10__rt)) + (portref S (instanceref f0_Mcount_rd_addr_cy_10_)) + (portref LI (instanceref f0_Mcount_rd_addr_xor_10_)) + ) + ) + (net (rename f0_wr_addr_2_ "f0/wr_addr[2]") (joined + (portref Q (instanceref f0_wr_addr_2)) + (portref I5 (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_)) + (portref I4 (instanceref f0_Mcompar_becoming_full_lut_0_)) + (portref (member ADDRAWRADDR 10) (instanceref f0_ram_Mram_ram33)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram31)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram30)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram32)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram28)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram27)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram29)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram25)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram24)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram26)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram22)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram21)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram23)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram19)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram18)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram20)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram16)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram15)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram17)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram14)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram13)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram12)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram11)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram9)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram8)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram10)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram6)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram5)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram7)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram3)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram2)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram4)) + (portref (member ADDRA 10) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_wr_addr_3_ "f0/wr_addr[3]") (joined + (portref Q (instanceref f0_wr_addr_3)) + (portref I1 (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_)) + (portref I0 (instanceref f0_Mcompar_becoming_full_lut_1_)) + (portref (member ADDRAWRADDR 9) (instanceref f0_ram_Mram_ram33)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram31)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram30)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram32)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram28)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram27)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram29)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram25)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram24)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram26)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram22)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram21)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram23)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram19)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram18)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram20)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram16)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram15)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram17)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram14)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram13)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram12)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram11)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram9)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram8)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram10)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram6)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram5)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram7)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram3)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram2)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram4)) + (portref (member ADDRA 9) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_wr_addr_4_ "f0/wr_addr[4]") (joined + (portref Q (instanceref f0_wr_addr_4)) + (portref I3 (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_)) + (portref I2 (instanceref f0_Mcompar_becoming_full_lut_1_)) + (portref (member ADDRAWRADDR 8) (instanceref f0_ram_Mram_ram33)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram31)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram30)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram32)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram28)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram27)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram29)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram25)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram24)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram26)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram22)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram21)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram23)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram19)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram18)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram20)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram16)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram15)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram17)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram14)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram13)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram12)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram11)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram9)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram8)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram10)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram6)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram5)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram7)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram3)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram2)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram4)) + (portref (member ADDRA 8) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_wr_addr_5_ "f0/wr_addr[5]") (joined + (portref Q (instanceref f0_wr_addr_5)) + (portref I5 (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_)) + (portref I4 (instanceref f0_Mcompar_becoming_full_lut_1_)) + (portref (member ADDRAWRADDR 7) (instanceref f0_ram_Mram_ram33)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram31)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram30)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram32)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram28)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram27)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram29)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram25)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram24)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram26)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram22)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram21)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram23)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram19)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram18)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram20)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram16)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram15)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram17)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram14)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram13)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram12)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram11)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram9)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram8)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram10)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram6)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram5)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram7)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram3)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram2)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram4)) + (portref (member ADDRA 7) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_wr_addr_6_ "f0/wr_addr[6]") (joined + (portref Q (instanceref f0_wr_addr_6)) + (portref I1 (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_)) + (portref I0 (instanceref f0_Mcompar_becoming_full_lut_2_)) + (portref (member ADDRAWRADDR 6) (instanceref f0_ram_Mram_ram33)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram31)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram30)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram32)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram28)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram27)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram29)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram25)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram24)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram26)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram22)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram21)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram23)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram19)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram18)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram20)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram16)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram15)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram17)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram14)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram13)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram12)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram11)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram9)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram8)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram10)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram6)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram5)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram7)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram3)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram2)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram4)) + (portref (member ADDRA 6) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_Result_5_2_FRB "f0/Result<5>2_FRB") (joined + (portref D (instanceref f0_wr_addr_5)) + (portref Q (instanceref f0_Result_5_2_FRB)) + (portref I0 (instanceref f0_Mcount_wr_addr_cy_5__rt)) + ) + ) + (net (rename f0_wr_addr_7_ "f0/wr_addr[7]") (joined + (portref Q (instanceref f0_wr_addr_7)) + (portref I3 (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_)) + (portref I2 (instanceref f0_Mcompar_becoming_full_lut_2_)) + (portref (member ADDRAWRADDR 5) (instanceref f0_ram_Mram_ram33)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram31)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram30)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram32)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram28)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram27)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram29)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram25)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram24)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram26)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram22)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram21)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram23)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram19)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram18)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram20)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram16)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram15)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram17)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram14)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram13)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram12)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram11)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram9)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram8)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram10)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram6)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram5)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram7)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram3)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram2)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram4)) + (portref (member ADDRA 5) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_wr_addr_8_ "f0/wr_addr[8]") (joined + (portref Q (instanceref f0_wr_addr_8)) + (portref I5 (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_)) + (portref I4 (instanceref f0_Mcompar_becoming_full_lut_2_)) + (portref (member ADDRAWRADDR 4) (instanceref f0_ram_Mram_ram33)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram31)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram30)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram32)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram28)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram27)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram29)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram25)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram24)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram26)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram22)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram21)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram23)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram19)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram18)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram20)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram16)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram15)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram17)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram14)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram13)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram12)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram11)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram9)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram8)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram10)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram6)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram5)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram7)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram3)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram2)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram4)) + (portref (member ADDRA 4) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_wr_addr_9_ "f0/wr_addr[9]") (joined + (portref Q (instanceref f0_wr_addr_9)) + (portref I1 (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_)) + (portref I0 (instanceref f0_Mcompar_becoming_full_lut_3_)) + (portref (member ADDRAWRADDR 3) (instanceref f0_ram_Mram_ram33)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram31)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram30)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram32)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram28)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram27)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram29)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram25)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram24)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram26)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram22)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram21)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram23)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram19)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram18)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram20)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram16)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram15)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram17)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram14)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram13)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram12)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram11)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram9)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram8)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram10)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram6)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram5)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram7)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram3)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram2)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram4)) + (portref (member ADDRA 3) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_8__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_xor<8>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_8__rt)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_8_)) + ) + ) + (net (rename f0_rd_addr_10_ "f0/rd_addr[10]") (joined + (portref Q (instanceref f0_rd_addr_10)) + (portref I2 (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_)) + (portref (member ADDRBRDADDR 2) (instanceref f0_ram_Mram_ram33)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram31)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram30)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram32)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram28)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram27)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram29)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram25)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram24)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram26)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram22)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram21)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram23)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram19)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram18)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram20)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram16)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram15)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram17)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram14)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram13)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram12)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram11)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram9)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram8)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram10)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram6)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram5)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram7)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram3)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram2)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram4)) + (portref (member ADDRB 2) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename f1_Result_11_1_FRB "f1/Result<11>1_FRB") (joined + (portref D (instanceref f1_rd_addr_11)) + (portref Q (instanceref f1_Result_11_1_FRB)) + (portref I0 (instanceref f1_Mcount_rd_addr_cy_11__rt)) + (portref I0 (instanceref f1_Msub_dont_write_past_me_lut_11__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In14)) + ) + ) + (net (rename f0_rd_addr_11_ "f0/rd_addr[11]") (joined + (portref Q (instanceref f0_rd_addr_11)) + (portref I4 (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_)) + (portref (member ADDRBRDADDR 1) (instanceref f0_ram_Mram_ram33)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram31)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram30)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram32)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram28)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram27)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram29)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram25)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram24)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram26)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram22)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram21)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram23)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram19)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram18)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram20)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram16)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram15)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram17)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram14)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram13)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram12)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram11)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram9)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram8)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram10)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram6)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram5)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram7)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram3)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram2)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram4)) + (portref (member ADDRB 1) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_rd_addr_12_ "f0/rd_addr[12]") (joined + (portref Q (instanceref f0_rd_addr_12)) + (portref I0 (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4_)) + (portref (member ADDRBRDADDR 0) (instanceref f0_ram_Mram_ram33)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram31)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram30)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram32)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram28)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram27)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram29)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram25)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram24)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram26)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram22)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram21)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram23)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram19)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram18)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram20)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram16)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram15)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram17)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram14)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram13)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram12)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram11)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram9)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram8)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram10)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram6)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram5)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram7)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram3)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram2)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram4)) + (portref (member ADDRB 0) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_0_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr[0]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01216)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01215)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_1_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr[1]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full921)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01217)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01215)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01218)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_9__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<9>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_9__rt)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_9_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_write "slave_fifo32/fifo64_to_gpmc32_tx/cross_clock_fifo/write") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_write1)) + (portref wr_en (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_2_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr[2]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_2)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012114)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01217)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01215)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01218)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_3_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr[3]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_3)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012113)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212111)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012111)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename f0_dont_write_past_me_10__FRB "f0/dont_write_past_me<10>_FRB") (joined + (portref I3 (instanceref f0_Mcompar_becoming_full_lut_3_)) + (portref Q (instanceref f0_dont_write_past_me_10__FRB)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_6__rt "f1/Mcount_wr_addr_cy<6>_rt") (joined + (portref O (instanceref f1_Mcount_wr_addr_cy_6__rt)) + (portref S (instanceref f1_Mcount_wr_addr_cy_6_)) + (portref LI (instanceref f1_Mcount_wr_addr_xor_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_4_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr[4]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_4)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o41)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012112)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1)) + ) + ) + (net (rename slave_fifo32__n0230_inv "slave_fifo32/_n0230_inv") (joined + (portref CE (instanceref slave_fifo32_idle_cycles_0)) + (portref CE (instanceref slave_fifo32_idle_cycles_1)) + (portref CE (instanceref slave_fifo32_idle_cycles_2)) + (portref O (instanceref slave_fifo32__n0230_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_5_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr[5]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_5)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212111)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012111)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012112)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_6_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr[6]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_6)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0121111)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01213)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012112)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o61)) + ) + ) + (net (rename f1_Result_3_1_FRB "f1/Result<3>1_FRB") (joined + (portref D (instanceref f1_rd_addr_3)) + (portref Q (instanceref f1_Result_3_1_FRB)) + (portref I0 (instanceref f1_Mcount_rd_addr_cy_3__rt)) + (portref I0 (instanceref f1_Msub_dont_write_past_me_lut_3__INV_0)) + ) + ) + (net (rename f1_read_state_FSM_FFd1_In1 "f1/read_state_FSM_FFd1-In1") (joined + (portref D (instanceref f1_read_state_FSM_FFd1)) + (portref O (instanceref f1_read_state_FSM_FFd1_In111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<0>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_0_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_7_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr[7]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_7)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o71)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212111)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012111)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr[8]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr10 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr10") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_10_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_10)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr11 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr11") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_11_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr12 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr12") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_12_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_12)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_10__rt "f0/Mcount_wr_addr_cy<10>_rt") (joined + (portref O (instanceref f0_Mcount_wr_addr_cy_10__rt)) + (portref S (instanceref f0_Mcount_wr_addr_cy_10_)) + (portref LI (instanceref f0_Mcount_wr_addr_xor_10_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB2)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_GND_56_o_read_OR_123_o1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo__n0146_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0121 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n0121") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01219)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_full_reg_glue_set)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_10_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[10]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata210)) + (portref (member din 61) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_11_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[11]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata33)) + (portref (member din 60) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_12_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[12]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata41)) + (portref (member din 59) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_13_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[13]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata51)) + (portref (member din 58) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_14_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[14]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata61)) + (portref (member din 57) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f1__n0161_inv "f1/_n0161_inv") (joined + (portref CE (instanceref f1_rd_addr_1)) + (portref CE (instanceref f1_rd_addr_2)) + (portref CE (instanceref f1_rd_addr_3)) + (portref CE (instanceref f1_rd_addr_4)) + (portref CE (instanceref f1_rd_addr_5)) + (portref CE (instanceref f1_rd_addr_6)) + (portref CE (instanceref f1_rd_addr_7)) + (portref CE (instanceref f1_rd_addr_8)) + (portref CE (instanceref f1_rd_addr_9)) + (portref CE (instanceref f1_rd_addr_10)) + (portref CE (instanceref f1_rd_addr_11)) + (portref CE (instanceref f1_rd_addr_12)) + (portref CE (instanceref f1_rd_addr_0)) + (portref CE (instanceref f1_Result_0_1_FRB)) + (portref CE (instanceref f1_Result_1_1_FRB)) + (portref CE (instanceref f1_Result_2_1_FRB)) + (portref CE (instanceref f1_Result_3_1_FRB)) + (portref CE (instanceref f1_Result_4_1_FRB)) + (portref CE (instanceref f1_Result_5_1_FRB)) + (portref CE (instanceref f1_Result_6_1_FRB)) + (portref CE (instanceref f1_Result_7_1_FRB)) + (portref CE (instanceref f1_Result_8_1_FRB)) + (portref CE (instanceref f1_Result_9_1_FRB)) + (portref CE (instanceref f1_Result_10_1_FRB)) + (portref CE (instanceref f1_Result_11_1_FRB)) + (portref CE (instanceref f1_Result_12_1_FRB)) + (portref CE (instanceref f1_dont_write_past_me_0__FRB)) + (portref CE (instanceref f1_dont_write_past_me_1__FRB)) + (portref CE (instanceref f1_dont_write_past_me_2__FRB)) + (portref CE (instanceref f1_dont_write_past_me_3__FRB)) + (portref CE (instanceref f1_dont_write_past_me_4__FRB)) + (portref CE (instanceref f1_dont_write_past_me_5__FRB)) + (portref CE (instanceref f1_dont_write_past_me_6__FRB)) + (portref CE (instanceref f1_dont_write_past_me_7__FRB)) + (portref CE (instanceref f1_dont_write_past_me_8__FRB)) + (portref CE (instanceref f1_dont_write_past_me_9__FRB)) + (portref CE (instanceref f1_dont_write_past_me_10__FRB)) + (portref CE (instanceref f1_dont_write_past_me_11__FRB)) + (portref CE (instanceref f1_dont_write_past_me_12__FRB)) + (portref O (instanceref f1__n0161_inv1_cy1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_20_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[20]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata131)) + (portref (member din 51) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_15_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[15]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata71)) + (portref (member din 56) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_21_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[21]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata141)) + (portref (member din 50) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_16_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[16]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata81)) + (portref (member din 55) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr2_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr2_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_2)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr2_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_22_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[22]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata151)) + (portref (member din 49) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_17_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[17]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata91)) + (portref (member din 54) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_23_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[23]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata161)) + (portref (member din 48) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_18_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[18]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata101)) + (portref (member din 53) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f0_dont_write_past_me_12__FRB "f0/dont_write_past_me<12>_FRB") (joined + (portref I1 (instanceref f0_Mcompar_becoming_full_lut_4_)) + (portref Q (instanceref f0_dont_write_past_me_12__FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd2-In") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In13)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_24_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[24]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata171)) + (portref (member din 47) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_19_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[19]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata111)) + (portref (member din 52) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_30_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[30]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata241)) + (portref (member din 41) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_25_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[25]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata181)) + (portref (member din 46) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_EP_WMARK1 "slave_fifo32/EP_WMARK1") (joined + (portref Q (instanceref slave_fifo32_EP_WMARK1)) + (portref I (instanceref debug_25_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_31_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[31]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata251)) + (portref (member din 40) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_26_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[26]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata191)) + (portref (member din 45) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_cy_0__rt "f0/Msub_dont_write_past_me_cy<0>_rt") (joined + (portref O (instanceref f0_Msub_dont_write_past_me_cy_0__rt)) + (portref S (instanceref f0_Msub_dont_write_past_me_cy_0_)) + (portref LI (instanceref f0_Msub_dont_write_past_me_xor_0_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tvalid "slave_fifo32/fifo64_to_gpmc32_tx/o32_tvalid") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_i_tvalid_o_tready_AND_73_o1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_o_tvalid11)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_state_glue_set)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tvalid11)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_write1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_27_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[27]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata201)) + (portref (member din 44) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_28_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[28]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata211)) + (portref (member din 43) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_0_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_29_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[29]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata221)) + (portref (member din 42) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_o_tready_int "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/o_tready_int") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_o_tready_int11)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB4)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo__n0146_inv1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0_rstpot)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32[0]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In33)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_0_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32[1]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In33)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32[2]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_2)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In31)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a1 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_a1") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_0)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_0_11_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a2 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_a2") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_1_11)) + ) + ) + (net (rename f1_Result_1_2_FRB "f1/Result<1>2_FRB") (joined + (portref D (instanceref f1_wr_addr_1)) + (portref Q (instanceref f1_Result_1_2_FRB)) + (portref I0 (instanceref f1_Mcount_wr_addr_cy_1__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a3 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_a3") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_2)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_2_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a4 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_a4") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_3)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_3_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a5 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_a5") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_4)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_4_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32[3]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_3)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In34)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32[4]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_4)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In32)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32[5]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_5)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In32)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32[6]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_6)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In32)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_12__wr_addr_12__equal_11_o "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr[12]_wr_addr[12]_equal_11_o") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_In111)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo__n0146_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32[7]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_7)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In32)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32[8]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_8)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In33)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_8_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32[9]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_9)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In33)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_9_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump_glue_set "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/dump_glue_set") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump_glue_set)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr2_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr2_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_2)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr2_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_2__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr7_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr7_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_7)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr7_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7__rt)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_1__rt "f0/Mcount_wr_addr_cy<1>_rt") (joined + (portref O (instanceref f0_Mcount_wr_addr_cy_1__rt)) + (portref S (instanceref f0_Mcount_wr_addr_cy_1_)) + (portref LI (instanceref f0_Mcount_wr_addr_xor_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/_n0123_inv") (joined + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_0)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_2)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_3)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_4)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_0_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[0]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_0)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_0_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_1_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[1]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_1)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_1_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1_)) + ) + ) + (net (rename slave_fifo32_idle_cycles_0_ "slave_fifo32/idle_cycles[0]") (joined + (portref Q (instanceref slave_fifo32_idle_cycles_0)) + (portref I1 (instanceref slave_fifo32_Mcount_idle_cycles_xor_0_11)) + (portref I2 (instanceref slave_fifo32_Mcount_idle_cycles_xor_2_11)) + (portref I1 (instanceref slave_fifo32_Mcount_idle_cycles_xor_1_11)) + (portref I0 (instanceref slave_fifo32__n0237_inv1)) + (portref I2 (instanceref slave_fifo32_state_FSM_FFd2_In1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_2_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[2]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_2)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_2_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2_)) + ) + ) + (net (rename slave_fifo32_idle_cycles_1_ "slave_fifo32/idle_cycles[1]") (joined + (portref Q (instanceref slave_fifo32_idle_cycles_1)) + (portref I3 (instanceref slave_fifo32_Mcount_idle_cycles_xor_2_11)) + (portref I2 (instanceref slave_fifo32_Mcount_idle_cycles_xor_1_11)) + (portref I1 (instanceref slave_fifo32__n0237_inv1)) + (portref I3 (instanceref slave_fifo32_state_FSM_FFd2_In1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<5>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_3_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[3]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_3)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_3_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3_)) + ) + ) + (net (rename slave_fifo32_idle_cycles_2_ "slave_fifo32/idle_cycles[2]") (joined + (portref Q (instanceref slave_fifo32_idle_cycles_2)) + (portref I1 (instanceref slave_fifo32_Mcount_idle_cycles_xor_2_11)) + (portref I2 (instanceref slave_fifo32__n0237_inv1)) + (portref I0 (instanceref slave_fifo32_state_FSM_FFd2_In1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr[0]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_0_)) + (portref (member ADDRAWRADDR 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_4_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[4]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_4)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_4_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_clear_dump_OR_154_o "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/clear_dump_OR_154_o") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_2_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_3_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_4_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_5_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_6_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_7_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_8_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_9_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_0_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_1_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_2_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_3_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_4_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_5_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_6_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_7_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_8_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_9_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_clear_dump_OR_154_o)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_empty_reg_rstpot)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_0_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_1_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0154_inv1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01211_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg_glue_set)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0146_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr[1]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_0_)) + (portref (member ADDRAWRADDR 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net N0 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read_SW0)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_5_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[5]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_5)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_5_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5_)) + ) + ) + (net N2 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read_SW0)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read)) + ) + ) + (net N4 (joined + (portref O (instanceref slave_fifo32__n0258_inv_SW0)) + (portref I2 (instanceref slave_fifo32_wr_one_rstpot)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr[2]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_2)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_0_)) + (portref (member ADDRAWRADDR 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_6_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[6]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_6)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_6_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6_)) + ) + ) + (net N6 (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_xfer_Mux_21_o1_SW0)) + (portref I5 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_xfer_Mux_21_o1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_o_tready_int1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_space_xor_3_11 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_space_xor<3>11") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_2_11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_1_11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_3_11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_4_11)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_space_xor_3_111)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst_SW0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv)) + ) + ) + (net N8 (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1_SW0)) + (portref I5 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1)) + (portref I3 (instanceref slave_fifo32_state_FSM_FFd1_In2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_0_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[0]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In12)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_0_)) + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int12)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW1)) + (portref (member dout 71) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr[3]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_3)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_1_)) + (portref (member ADDRAWRADDR 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01211 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01211") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012114)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01219)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_7_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[7]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_7)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_7_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01212") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01214)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01213 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01213") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01213)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01214)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01214 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01214") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01214)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01219)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_1_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[1]") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In12)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_1_)) + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW0)) + (portref (member dout 70) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01215 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01215") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01215)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01219)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr[4]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_4)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_1_)) + (portref (member ADDRAWRADDR 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01216 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01216") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01216)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01219)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_8_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[8]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_8)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_8_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8_)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01217 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01217") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01217)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01219)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01218 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n01218") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01218)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01219)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_2_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[2]") (joined + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_2_)) + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member dout 69) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[10]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In12)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In11)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW2)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1_SW1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_10_)) + (portref (member DIA 21) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid31)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int16)) + (portref (member dout 61) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_5_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr[5]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_5)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_1_)) + (portref (member ADDRAWRADDR 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/full_reg_glue_set") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_cy1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_9_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[9]") (joined + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_9_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT161)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_3_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[3]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_3_)) + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member dout 68) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename tx_tdata_0_ "tx_tdata[0]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[11]") (joined + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW3)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_G)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_11_)) + (portref (member DIA 20) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid31)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_F)) + (portref (member dout 60) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_6_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr[6]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_6)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_2_)) + (portref (member ADDRAWRADDR 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_4_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[4]") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_4_)) + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member dout 67) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename tx_tdata_1_ "tx_tdata[1]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[12]") (joined + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW3)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_G)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_12_)) + (portref (member DIA 19) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid31)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_F)) + (portref (member dout 59) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_0)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_0__rt)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full421)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full411)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_7_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr[7]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_7)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_2_)) + (portref (member ADDRAWRADDR 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_5_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[5]") (joined + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_5_)) + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW1)) + (portref (member dout 66) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename tx_tdata_2_ "tx_tdata[2]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[13]") (joined + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW3)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_F)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_G)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_13_)) + (portref (member DIA 18) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid31)) + (portref (member dout 58) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_8_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr[8]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_8)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_2_)) + (portref (member ADDRAWRADDR 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr1_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr1_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_1)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr1_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_1__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_10_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr[10]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_10)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_3_)) + (portref (member ADDRAWRADDR 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_6_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[6]") (joined + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21_SW1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_6_)) + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21)) + (portref (member dout 65) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename tx_tdata_3_ "tx_tdata[3]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[14]") (joined + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW3)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_G)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_14_)) + (portref (member DIA 17) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid31)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_F)) + (portref (member dout 57) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_9_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr[9]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_9)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_3_)) + (portref (member ADDRAWRADDR 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr[0]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_0_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW1)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_11_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr[11]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_11)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_3_)) + (portref (member ADDRAWRADDR 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_7_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[7]") (joined + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21_SW1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_7_)) + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21)) + (portref (member dout 64) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename tx_tdata_4_ "tx_tdata[4]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_20_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[20]") (joined + (portref (member DIA 29) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member dout 51) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_15_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[15]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW3)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_G)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_15_)) + (portref (member DIA 16) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid31)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_F)) + (portref (member dout 56) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr[1]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_1_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full1021)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW2)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_12_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/wr_addr[12]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_12)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_4_)) + (portref (member ADDRAWRADDR 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_8_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[8]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21_SW1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_8_)) + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member dout 63) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename tx_tdata_5_ "tx_tdata[5]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_16_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[16]") (joined + (portref (member DIPA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member dout 55) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_21_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[21]") (joined + (portref (member DIA 28) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member dout 50) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr[2]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_2_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_2)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01212211)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW0)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_9_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[9]") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_9_)) + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_tlast1)) + (portref (member dout 62) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename tx_tdata_6_ "tx_tdata[6]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_22_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[22]") (joined + (portref (member DIA 27) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member dout 49) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_17_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[17]") (joined + (portref (member DIPA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member dout 54) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr[3]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_3_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_3)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01212211)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0121211)) + ) + ) + (net (rename tx_tdata_7_ "tx_tdata[7]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_23_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[23]") (joined + (portref (member DIA 26) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member dout 48) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_18_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[18]") (joined + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member dout 53) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32__n0290_inv "slave_fifo32/_n0290_inv") (joined + (portref O (instanceref slave_fifo32__n0290_inv1)) + (portref I0 (instanceref slave_fifo32_sloe_rstpot)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr[4]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_4_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_4)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr5_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr5_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_5)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr5_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_5__rt)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621)) + ) + ) + (net (rename tx_tdata_8_ "tx_tdata[8]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram5)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_19_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[19]") (joined + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member dout 52) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_24_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[24]") (joined + (portref (member DIA 25) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member dout 47) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f0_Result_9_1_FRB "f0/Result<9>1_FRB") (joined + (portref D (instanceref f0_rd_addr_9)) + (portref Q (instanceref f0_Result_9_1_FRB)) + (portref I0 (instanceref f0_Mcount_rd_addr_cy_9__rt)) + (portref I0 (instanceref f0_Msub_dont_write_past_me_lut_9__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr[5]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_5_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_5)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0121211)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01212211)) + ) + ) + (net (rename tx_tdata_9_ "tx_tdata[9]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram5)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read "slave_fifo32/fifo64_to_gpmc32_rx/cross_clock_fifo/read") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read)) + (portref rd_en (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_30_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[30]") (joined + (portref (member DIA 19) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member dout 41) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_25_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[25]") (joined + (portref (member DIA 24) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member dout 46) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr[6]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_6_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_6)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o61)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_31_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[31]") (joined + (portref (member DIA 18) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member dout 40) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_26_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[26]") (joined + (portref (member DIA 23) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member dout 45) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr[7]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_7_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_7)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o71)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB0 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB0") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_27_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[27]") (joined + (portref (member DIA 22) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member dout 44) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB1") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_32_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[32]") (joined + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111_SW1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In13)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1_F)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1_G)) + (portref (member dout 39) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB2") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB2)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr[8]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_8_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_8)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o81)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01217)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB3 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB3") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB3)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB4 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB4") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB4)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tlast "slave_fifo32/fifo64_to_gpmc32_resp/i32_tlast") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_o_tlast1)) + (portref (member din 39) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB5 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB5") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB5)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_28_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[28]") (joined + (portref (member DIA 21) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member dout 43) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/wr_addr[9]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_9_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_9)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW1)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n012110_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_29_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[29]") (joined + (portref (member DIA 20) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member dout 42) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_data_rx_tvalid "slave_fifo32/data_rx_tvalid") (joined + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_xfer_Mux_21_o1)) + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tvalid11)) + (portref I5 (instanceref slave_fifo32_state_FSM_FFd1_In2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_10_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[10]") (joined + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_10_)) + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member dout 61) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_11_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[11]") (joined + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_11_)) + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member dout 60) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_12_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[12]") (joined + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_12_)) + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member dout 59) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_write "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/write") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_write1)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref (member WEAWEL 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portref (member WEAWEL 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_13_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[13]") (joined + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In12)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_13_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1_F)) + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int12)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW1)) + (portref (member dout 58) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_14_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[14]") (joined + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In11)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In12)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_14_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1_F)) + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int12)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW1)) + (portref (member dout 57) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_full_reg_glue_set "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/full_reg_glue_set") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_full_reg)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_full_reg_glue_set)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr4_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr4_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_4)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr4_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_20_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[20]") (joined + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member dout 51) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_15_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[15]") (joined + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In11)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In12)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_15_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1_F)) + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int12)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW1)) + (portref (member dout 56) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_21_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[21]") (joined + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member dout 50) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_16_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[16]") (joined + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member dout 55) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full421_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full421_FRB") (joined + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01213)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full421_FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Msub_dont_write_past_me_xor<8>1_SW0_FRB") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0_FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_22_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[22]") (joined + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member dout 49) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_17_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[17]") (joined + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member dout 54) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_23_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[23]") (joined + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member dout 48) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_18_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[18]") (joined + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member dout 53) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_24_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[24]") (joined + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member dout 47) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_19_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[19]") (joined + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member dout 52) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr4_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr4_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_4)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr4_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_4__rt)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_30_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[30]") (joined + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref (member dout 41) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_25_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[25]") (joined + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member dout 46) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_10_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[10]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata210)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_31_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[31]") (joined + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref (member dout 40) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_26_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[26]") (joined + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member dout 45) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_11_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[11]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata33)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_27_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[27]") (joined + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member dout 44) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_32_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[32]") (joined + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_2_1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_3_1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_4_1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In13)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_F)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_G)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_F)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_G)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portref (member dout 39) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<6>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_12_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[12]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata41)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_10_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut[10]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_10_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_10_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_10__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_28_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[28]") (joined + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member dout 43) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_13_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[13]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata51)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_11_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut[11]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_11_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_11_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_11__INV_0)) + ) + ) + (net (rename slave_fifo32_data_tx_tvalid "slave_fifo32/data_tx_tvalid") (joined + (portref O (instanceref slave_fifo32_data_tx_tvalid1)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd2_BRB0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15_)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9_)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10_)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11_)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12_)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13_)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv31)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_write_AND_42_o_inv2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0008_29_ "slave_fifo32/fifo64_to_gpmc32_tx/n0008[29]") (joined + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member dout 42) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_14_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[14]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata61)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_12_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut[12]") (joined + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_12_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_12__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_20_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[20]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata131)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_15_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[15]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata71)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_In1 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd1-In1") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_In111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_21_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[21]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata141)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_16_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[16]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata81)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o7 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o7") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o61)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01219)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o8 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o8") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o71)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01219)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o9 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o9") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o81)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10)) + ) + ) + (net (rename f0_Result_7_2_FRB "f0/Result<7>2_FRB") (joined + (portref D (instanceref f0_wr_addr_7)) + (portref Q (instanceref f0_Result_7_2_FRB)) + (portref I0 (instanceref f0_Mcount_wr_addr_cy_7__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_22_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[22]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata151)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_17_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[17]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata91)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT41 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT41") (joined + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0076_inv)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0_lut)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_clear_inv1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_clear_dump_OR_131_o)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_o_tvalid11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/empty") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_write1)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_space_xor_3_111)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_state_glue_set)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_23_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[23]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata161)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_18_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[18]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata101)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT51 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT51") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT53 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT53") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73_SW0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_24_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[24]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata171)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_19_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[19]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt__n0074_inv "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/_n0074_inv") (joined + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_0)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_2)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_3)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_4)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_5)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_6)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt__n0074_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_30_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[30]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata241)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_25_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[25]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata181)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<0>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_0_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_31_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[31]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata251)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_26_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[26]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata191)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_32_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[32]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata110)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_27_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[27]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata201)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_3__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<3>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_3__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_3_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_33_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[33]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata121)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_28_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[28]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata211)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_34_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[34]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata231)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_29_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[29]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata221)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_40_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[40]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata311)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_35_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[35]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata261)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_2__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<2>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_2__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_2_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_41_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[41]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata321)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_36_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[36]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata271)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_12__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_xor<12>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_12__rt)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_12_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_42_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[42]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata210)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_37_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[37]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata281)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_43_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[43]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata33)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_38_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[38]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata291)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_write "slave_fifo32/fifo64_to_gpmc32_rx/cross_clock_fifo/write") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_write1)) + (portref wr_en (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_44_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[44]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata41)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_39_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[39]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata301)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_50_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[50]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata101)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_45_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[45]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata51)) + ) + ) + (net (rename f1_Result_5_1_FRB "f1/Result<5>1_FRB") (joined + (portref D (instanceref f1_rd_addr_5)) + (portref Q (instanceref f1_Result_5_1_FRB)) + (portref I0 (instanceref f1_Mcount_rd_addr_cy_5__rt)) + (portref I0 (instanceref f1_Msub_dont_write_past_me_lut_5__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_46_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[46]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata61)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_51_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[51]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<0>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_0__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_0_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_0_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_47_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[47]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata71)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_52_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[52]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata131)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_48_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[48]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata81)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_53_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[53]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata141)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_49_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[49]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata91)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_54_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[54]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata151)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_6__rt "f0/Mcount_rd_addr_cy<6>_rt") (joined + (portref O (instanceref f0_Mcount_rd_addr_cy_6__rt)) + (portref S (instanceref f0_Mcount_rd_addr_cy_6_)) + (portref LI (instanceref f0_Mcount_rd_addr_xor_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_60_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[60]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata211)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_55_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[55]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata161)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_0_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_56_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[56]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata171)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_61_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[61]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata221)) + ) + ) + (net (rename f0_read_state_FSM_FFd1 "f0/read_state_FSM_FFd1") (joined + (portref Q (instanceref f0_read_state_FSM_FFd1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_space_xor_3_111)) + (portref I1 (instanceref f0__n0161_inv1_lut)) + (portref I1 (instanceref f0__n0161_inv1_lut1)) + (portref I0 (instanceref f0_GND_14_o_read_OR_37_o1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_write1)) + (portref I0 (instanceref f0_read_state_FSM_FFd1_In111)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst)) + (portref I0 (instanceref f0_read_state_FSM_FFd2_In1)) + (portref I3 (instanceref f0_full_reg_glue_set)) + ) + ) + (net (rename f0_read_state_FSM_FFd2 "f0/read_state_FSM_FFd2") (joined + (portref Q (instanceref f0_read_state_FSM_FFd2)) + (portref I0 (instanceref f0__n0161_inv1_lut1)) + (portref I2 (instanceref f0_GND_14_o_read_OR_37_o1)) + (portref I3 (instanceref f0_read_state_FSM_FFd1_In111)) + (portref I5 (instanceref f0_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][0]") (joined + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 71) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_62_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[62]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata241)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_57_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[57]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata181)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][1]") (joined + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 70) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_11__rt "f0/Mcount_rd_addr_cy<11>_rt") (joined + (portref O (instanceref f0_Mcount_rd_addr_cy_11__rt)) + (portref S (instanceref f0_Mcount_rd_addr_cy_11_)) + (portref LI (instanceref f0_Mcount_rd_addr_xor_11_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_58_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[58]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata191)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_63_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[63]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata251)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][2]") (joined + (portref (member DOB 29) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 69) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_64_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[64]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_o_tlast1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_59_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[59]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata201)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][3]") (joined + (portref (member DOB 28) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 68) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_clear_dump_OR_131_o "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/clear_dump_OR_131_o") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_0_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_1_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_2_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_3_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_4_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_5_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_6_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_7_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_8_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_9_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_10_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_11_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_12_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_0_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_1_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_2_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_3_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_4_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_5_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_6_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_7_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_8_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_9_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_10_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_11_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_12_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_clear_dump_OR_131_o)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo__n0154_inv1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo__n0146_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][4]") (joined + (portref (member DOB 27) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 67) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv3 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n0129_inv3") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv31)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01214)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_full "slave_fifo32/fifo64_to_gpmc32_tx/cross_clock_fifo/full") (joined + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_full_reg_glue_set)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_GND_50_o_read_OR_57_o1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_write1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd1_In11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv31)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0144_inv1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_write_AND_42_o_inv2)) + (portref full (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][5]") (joined + (portref (member DOB 26) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 66) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][6]") (joined + (portref (member DOB 25) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 65) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][7]") (joined + (portref (member DOB 24) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 64) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][8]") (joined + (portref (member DOB 23) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 63) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][9]") (joined + (portref (member DOB 22) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 62) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f1_Result_11_2_FRB "f1/Result<11>2_FRB") (joined + (portref D (instanceref f1_wr_addr_11)) + (portref Q (instanceref f1_Result_11_2_FRB)) + (portref I0 (instanceref f1_Mcount_wr_addr_cy_11__rt)) + ) + ) + (net fx3_miso (joined + (portref O (instanceref fx3_miso_OBUF)) + (portref fx3_miso) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<0>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_0__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_0_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_0_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_state_glue_set "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/state_glue_set") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_state)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_state_glue_set)) + ) + ) + (net tx_tlast (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref (member DIADI 15) (instanceref f1_ram_Mram_ram33)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_empty "slave_fifo32/fifo64_to_gpmc32_tx/cross_clock_fifo/empty") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_read1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker__n0131_inv1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In31)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In12_SW0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_F)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_G)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1_F)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1_G)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int12)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int14)) + (portref empty (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_7__rt "f1/Mcount_wr_addr_cy<7>_rt") (joined + (portref O (instanceref f1_Mcount_wr_addr_cy_7__rt)) + (portref S (instanceref f1_Mcount_wr_addr_cy_7_)) + (portref LI (instanceref f1_Mcount_wr_addr_xor_7_)) + ) + ) + (net (rename f1_Result_3_2_FRB "f1/Result<3>2_FRB") (joined + (portref D (instanceref f1_wr_addr_3)) + (portref Q (instanceref f1_Result_3_2_FRB)) + (portref I0 (instanceref f1_Mcount_wr_addr_cy_3__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<1>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_1_)) + ) + ) + (net (rename gen_clks_clk0 "gen_clks/clk0") (joined + (portref I (instanceref gen_clks_clkout1_buf)) + (portref CLK0 (instanceref gen_clks_dcm_sp_inst)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_1_)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_11__rt "f0/Mcount_wr_addr_cy<11>_rt") (joined + (portref O (instanceref f0_Mcount_wr_addr_cy_11__rt)) + (portref S (instanceref f0_Mcount_wr_addr_cy_11_)) + (portref LI (instanceref f0_Mcount_wr_addr_xor_11_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_0_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[0]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata110)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata261)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_11_BRB1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_11_BRB1") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_11_BRB1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT31)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o1_SW1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_1_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[1]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata121)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata271)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_2_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[2]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_2)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata231)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata281)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_3_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[3]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_3)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata291)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata341)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_0_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[0]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full621)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full611)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01216_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01215)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_SW1_SW0)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut[10]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_10_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_10_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_10__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_4_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[4]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_4)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata301)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata451)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_1_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[1]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full1021)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full621)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full611)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01216_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01215)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_SW1_SW0)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy[7]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_8_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_8_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut[11]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_11_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_11_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_11__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_5_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[5]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_5)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata311)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata561)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_0_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[0]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata110)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32[0]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In33)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_0_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_2_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[2]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_2)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full1011)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full621)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full611)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212211)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_SW1)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0121211)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01215_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy[8]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_8_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_9_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_12_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut[12]") (joined + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_12_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_12__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_6_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[6]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_6)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata321)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata611)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + ) + ) + (net (rename slave_fifo32_pktend_1 "slave_fifo32/pktend_1") (joined + (portref Q (instanceref slave_fifo32_pktend_1)) + (portref D (instanceref slave_fifo32_debug1_28)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_1_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[1]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata121)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32[1]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In33)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_3_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[3]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_3)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full621)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full611)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212211)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_SW1_SW0)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0121211)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_7_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[7]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_7)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata331)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata621)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_2_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[2]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata231)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32[2]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_2)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In31)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_4_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[4]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_4)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o41)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full621)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full611)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0121211)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_8_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[8]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_8)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata351)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata631)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_3_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[3]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata341)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32[3]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_3)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In34)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_5_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[5]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_5)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full621)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full611)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212211)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0121211)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_9_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[9]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_9)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata361)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata641)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/_n0074_inv") (joined + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_0)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_2)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_3)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_4)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_5)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_6)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_4_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[4]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata451)) + ) + ) + (net fx3_ce_IBUF (joined + (portref I0 (instanceref fx3_miso1)) + (portref I0 (instanceref cat_mosi1)) + (portref I0 (instanceref cat_sclk1)) + (portref O (instanceref fx3_ce_IBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32[4]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_4)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In32)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_6_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[6]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_6)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o61)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_9_11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_G)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_F)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr3_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr3_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_3)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr3_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_5_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[5]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata561)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32[5]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_5)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In32)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_7_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[7]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_7)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o71)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_9_11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_G)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_F)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_6_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[6]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata611)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32[6]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_6)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In32)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_8_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[8]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_8)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o81)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_9_11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_F)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net tx_codec_d_1_OBUF (joined + (portref Q (instanceref catgen_gen_pins_1__oddr2)) + (portref I (instanceref tx_codec_d_1_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_7_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[7]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata621)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32[7]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_7)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In32)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[9]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_9_11)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW0)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_8_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[8]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata631)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32[8]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_8)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In33)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_8_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0146_inv "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n0146_inv") (joined + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_0)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_2)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_3)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_4)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_5)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_6)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_7)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_8)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr1_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr2_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr3_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr4_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr5_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr6_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr7_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr8_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr9_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0146_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_9_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[9]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata641)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32[9]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_9)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In33)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_9_)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_cy_1__rt "f0/Msub_dont_write_past_me_cy<1>_rt") (joined + (portref O (instanceref f0_Msub_dont_write_past_me_cy_1__rt)) + (portref S (instanceref f0_Msub_dont_write_past_me_cy_1_)) + (portref LI (instanceref f0_Msub_dont_write_past_me_xor_1_)) + ) + ) + (net fx3_mosi (joined + (portref I (instanceref fx3_mosi_IBUF)) + (portref fx3_mosi) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_10_ "f1/Mcount_wr_addr_cy[10]") (joined + (portref O (instanceref f1_Mcount_wr_addr_cy_10_)) + (portref CI (instanceref f1_Mcount_wr_addr_cy_11_)) + (portref CI (instanceref f1_Mcount_wr_addr_xor_11_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4__inv "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy<4>_inv") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB3)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4__inv_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_13_BRB1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_13_BRB1") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_13_BRB1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT51)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13_)) + ) + ) + (net (rename f1_rd_addr_12__wr_addr_12__equal_11_o_l1 "f1/rd_addr[12]_wr_addr[12]_equal_11_o_l1") (joined + (portref O (instanceref f1__n0161_inv1_cy)) + (portref CI (instanceref f1__n0161_inv1_cy1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0076_inv "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/_n0076_inv") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0076_inv)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump_glue_set)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_0)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_0__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_state_glue_set "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/state_glue_set") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_state)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_state_glue_set)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read "slave_fifo32/fifo64_to_gpmc32_resp/cross_clock_fifo/read") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read)) + (portref rd_en (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/_n0123_inv") (joined + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_0)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_2)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_3)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_4)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv)) + ) + ) + (net (rename bus_sync_reset_int "bus_sync/reset_int") (joined + (portref Q (instanceref bus_sync_reset_int)) + (portref D (instanceref bus_sync_reset_out)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT7 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT7") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full92 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full92") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full921)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr3_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr3_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_3)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr3_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_3__rt)) + ) + ) + (net tx_codec_d_3_OBUF (joined + (portref Q (instanceref catgen_gen_pins_3__oddr2)) + (portref I (instanceref tx_codec_d_3_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr8_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr8_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_8)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr8_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8__rt)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_2__rt "f0/Mcount_wr_addr_cy<2>_rt") (joined + (portref O (instanceref f0_Mcount_wr_addr_cy_2__rt)) + (portref S (instanceref f0_Mcount_wr_addr_cy_2_)) + (portref LI (instanceref f0_Mcount_wr_addr_xor_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut[0]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_0_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_0_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_0_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut[1]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_1_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_1_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut[2]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_2_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_2_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr1_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr1_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_1__rt)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr1_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut[3]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_3_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_3_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full411_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full411_FRB") (joined + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01213)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full411_FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_i_tvalid_int "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/i_tvalid_int") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_write1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump_glue_set)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB4)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo__n0154_inv1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut[4]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_4_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_4_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut[5]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_5_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_5_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<6>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut[6]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_6_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_6_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15_BRB1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_15_BRB1") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15_BRB1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o1_SW1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut[7]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_7_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_7_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd1_In "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/read_state_FSM_FFd1-In") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd1_In11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut[8]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_8_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_8_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_8_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_dont_write_past_me_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/dont_write_past_me[8]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01214)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_In1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd1-In1") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_In111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/_n0123_inv") (joined + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_0)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_2)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_3)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_4)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut[9]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_9_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_9_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_9_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full621_FRB") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0121111)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01213)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621_FRB)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012112)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid_bdd2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_tvalid_bdd2") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid31)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In12)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW0)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid_bdd8 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_tvalid_bdd8") (joined + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In12)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid61)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int16)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_o_tready_int "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/o_tready_int") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tready_int11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg_glue_set)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_empty_reg_rstpot)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_GND_66_o_read_OR_144_o1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv2)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0146_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr2_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr2_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_2)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr2_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_2__rt)) + ) + ) + (net tx_codec_d_5_OBUF (joined + (portref Q (instanceref catgen_gen_pins_5__oddr2)) + (portref I (instanceref tx_codec_d_5_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr[0]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_0_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_0_)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRAWRADDR 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr[1]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_1_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_0_)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRAWRADDR 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_cy[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr6_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr6_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_6)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr6_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_6__rt)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr[2]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_2_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_2)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_0_)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRAWRADDR 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename f0_Result_9_2_FRB "f0/Result<9>2_FRB") (joined + (portref D (instanceref f0_wr_addr_9)) + (portref Q (instanceref f0_Result_9_2_FRB)) + (portref I0 (instanceref f0_Mcount_wr_addr_cy_9__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_cy[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr[3]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_3_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_3)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_1_)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRAWRADDR 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_cy[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr[4]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_4_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_4)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_1_)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRAWRADDR 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_cy[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_4_)) + ) + ) + (net tx_frame_p_OBUF (joined + (portref Q (instanceref catgen_oddr2_frame)) + (portref I (instanceref tx_frame_p_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr[5]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_5_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_5)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_1_)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRAWRADDR 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_inv "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/empty_reg_inv") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_GND_56_o_read_OR_123_o1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_inv1)) + ) + ) + (net IFCLK (joined + (portref O (instanceref IFCLK_OBUF)) + (portref IFCLK) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr[6]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_6_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_6)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_2_)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRAWRADDR 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr[7]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_7_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_7)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_2_)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRAWRADDR 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr[8]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_8_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_8)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_2_)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRAWRADDR 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr[9]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_9_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_9)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_3_)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRAWRADDR 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename bus_sync_reset_out "bus_sync/reset_out") (joined + (portref Q (instanceref bus_sync_reset_out)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_0)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_1)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_2)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_3)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_4)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_0)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_1)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_2)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_3)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_4)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_0)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_1)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_2)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_3)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_4)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_0)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_1)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_2)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_3)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_4)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_5)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_6)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_7)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_8)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_9)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_10)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_11)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_12)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_13)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_14)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_15)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_0)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_1)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_2)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_3)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_4)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_5)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_6)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_7)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_8)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_9)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_10)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_11)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_12)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_0)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_1)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_2)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_3)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_4)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_5)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_6)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_7)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_8)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_9)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_10)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_11)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_12)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_0)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_1)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_2)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_3)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_4)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_0)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_1)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_2)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_3)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_4)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_5)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_6)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_7)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_8)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_9)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_10)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_11)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_12)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_13)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_14)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_15)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_0)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_1)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_2)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_3)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_4)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_5)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_6)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_7)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_8)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_0)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_1)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_2)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_3)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_4)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_5)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_6)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_7)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_8)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_9)) + (portref R (instanceref f1_read_state_FSM_FFd2)) + (portref R (instanceref f0_read_state_FSM_FFd2)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_1)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_2)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_3)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_4)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_5)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_6)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_7)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_0)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_1)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_2)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_3)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_4)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_5)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_6)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7)) + (portref R (instanceref f1_wr_addr_9)) + (portref R (instanceref f1_wr_addr_8)) + (portref R (instanceref f1_wr_addr_7)) + (portref R (instanceref f1_wr_addr_6)) + (portref R (instanceref f1_wr_addr_5)) + (portref R (instanceref f1_wr_addr_4)) + (portref R (instanceref f1_wr_addr_3)) + (portref R (instanceref f1_wr_addr_2)) + (portref R (instanceref f1_wr_addr_12)) + (portref R (instanceref f1_wr_addr_11)) + (portref R (instanceref f1_wr_addr_10)) + (portref R (instanceref f1_wr_addr_1)) + (portref R (instanceref f1_wr_addr_0)) + (portref R (instanceref f1_rd_addr_9)) + (portref R (instanceref f1_rd_addr_8)) + (portref R (instanceref f1_rd_addr_7)) + (portref R (instanceref f1_rd_addr_6)) + (portref R (instanceref f1_rd_addr_5)) + (portref R (instanceref f1_rd_addr_4)) + (portref R (instanceref f1_rd_addr_3)) + (portref R (instanceref f1_rd_addr_2)) + (portref R (instanceref f1_rd_addr_12)) + (portref R (instanceref f1_rd_addr_11)) + (portref R (instanceref f1_rd_addr_10)) + (portref R (instanceref f1_rd_addr_1)) + (portref R (instanceref f1_rd_addr_0)) + (portref R (instanceref f1_read_state_FSM_FFd1)) + (portref R (instanceref f0_wr_addr_9)) + (portref R (instanceref f0_wr_addr_8)) + (portref R (instanceref f0_wr_addr_7)) + (portref R (instanceref f0_wr_addr_6)) + (portref R (instanceref f0_wr_addr_5)) + (portref R (instanceref f0_wr_addr_4)) + (portref R (instanceref f0_wr_addr_3)) + (portref R (instanceref f0_wr_addr_2)) + (portref R (instanceref f0_wr_addr_12)) + (portref R (instanceref f0_wr_addr_11)) + (portref R (instanceref f0_wr_addr_10)) + (portref R (instanceref f0_wr_addr_1)) + (portref R (instanceref f0_wr_addr_0)) + (portref R (instanceref f0_rd_addr_9)) + (portref R (instanceref f0_rd_addr_8)) + (portref R (instanceref f0_rd_addr_7)) + (portref R (instanceref f0_rd_addr_6)) + (portref R (instanceref f0_rd_addr_5)) + (portref R (instanceref f0_rd_addr_4)) + (portref R (instanceref f0_rd_addr_3)) + (portref R (instanceref f0_rd_addr_2)) + (portref R (instanceref f0_rd_addr_12)) + (portref R (instanceref f0_rd_addr_11)) + (portref R (instanceref f0_rd_addr_10)) + (portref R (instanceref f0_rd_addr_1)) + (portref R (instanceref f0_rd_addr_0)) + (portref R (instanceref f0_read_state_FSM_FFd1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo_rst_gpif_rst_OR_155_o1)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_state)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_state)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_state)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_state)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump)) + (portref R (instanceref f1_full_reg)) + (portref R (instanceref f0_full_reg)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_empty_reg)) + (portref S (instanceref f1_Result_0_2_FRB)) + (portref R (instanceref f1_Result_1_2_FRB)) + (portref R (instanceref f1_Result_2_2_FRB)) + (portref R (instanceref f1_Result_3_2_FRB)) + (portref R (instanceref f1_Result_4_2_FRB)) + (portref R (instanceref f1_Result_5_2_FRB)) + (portref R (instanceref f1_Result_6_2_FRB)) + (portref R (instanceref f1_Result_7_2_FRB)) + (portref R (instanceref f1_Result_8_2_FRB)) + (portref R (instanceref f1_Result_9_2_FRB)) + (portref R (instanceref f1_Result_10_2_FRB)) + (portref R (instanceref f1_Result_11_2_FRB)) + (portref R (instanceref f1_Result_12_2_FRB)) + (portref S (instanceref f1_Result_0_1_FRB)) + (portref R (instanceref f1_Result_1_1_FRB)) + (portref R (instanceref f1_Result_2_1_FRB)) + (portref R (instanceref f1_Result_3_1_FRB)) + (portref R (instanceref f1_Result_4_1_FRB)) + (portref R (instanceref f1_Result_5_1_FRB)) + (portref R (instanceref f1_Result_6_1_FRB)) + (portref R (instanceref f1_Result_7_1_FRB)) + (portref R (instanceref f1_Result_8_1_FRB)) + (portref R (instanceref f1_Result_9_1_FRB)) + (portref R (instanceref f1_Result_10_1_FRB)) + (portref R (instanceref f1_Result_11_1_FRB)) + (portref R (instanceref f1_Result_12_1_FRB)) + (portref S (instanceref f1_dont_write_past_me_0__FRB)) + (portref R (instanceref f1_dont_write_past_me_1__FRB)) + (portref S (instanceref f1_dont_write_past_me_2__FRB)) + (portref S (instanceref f1_dont_write_past_me_3__FRB)) + (portref S (instanceref f1_dont_write_past_me_4__FRB)) + (portref S (instanceref f1_dont_write_past_me_5__FRB)) + (portref S (instanceref f1_dont_write_past_me_6__FRB)) + (portref S (instanceref f1_dont_write_past_me_7__FRB)) + (portref S (instanceref f1_dont_write_past_me_8__FRB)) + (portref S (instanceref f1_dont_write_past_me_9__FRB)) + (portref S (instanceref f1_dont_write_past_me_10__FRB)) + (portref S (instanceref f1_dont_write_past_me_11__FRB)) + (portref S (instanceref f1_dont_write_past_me_12__FRB)) + (portref S (instanceref f0_Result_0_2_FRB)) + (portref R (instanceref f0_Result_1_2_FRB)) + (portref R (instanceref f0_Result_2_2_FRB)) + (portref R (instanceref f0_Result_3_2_FRB)) + (portref R (instanceref f0_Result_4_2_FRB)) + (portref R (instanceref f0_Result_5_2_FRB)) + (portref R (instanceref f0_Result_6_2_FRB)) + (portref R (instanceref f0_Result_7_2_FRB)) + (portref R (instanceref f0_Result_8_2_FRB)) + (portref R (instanceref f0_Result_9_2_FRB)) + (portref R (instanceref f0_Result_10_2_FRB)) + (portref R (instanceref f0_Result_11_2_FRB)) + (portref R (instanceref f0_Result_12_2_FRB)) + (portref S (instanceref f0_Result_0_1_FRB)) + (portref R (instanceref f0_Result_1_1_FRB)) + (portref R (instanceref f0_Result_2_1_FRB)) + (portref R (instanceref f0_Result_3_1_FRB)) + (portref R (instanceref f0_Result_4_1_FRB)) + (portref R (instanceref f0_Result_5_1_FRB)) + (portref R (instanceref f0_Result_6_1_FRB)) + (portref R (instanceref f0_Result_7_1_FRB)) + (portref R (instanceref f0_Result_8_1_FRB)) + (portref R (instanceref f0_Result_9_1_FRB)) + (portref R (instanceref f0_Result_10_1_FRB)) + (portref R (instanceref f0_Result_11_1_FRB)) + (portref R (instanceref f0_Result_12_1_FRB)) + (portref S (instanceref f0_dont_write_past_me_0__FRB)) + (portref R (instanceref f0_dont_write_past_me_1__FRB)) + (portref S (instanceref f0_dont_write_past_me_2__FRB)) + (portref S (instanceref f0_dont_write_past_me_3__FRB)) + (portref S (instanceref f0_dont_write_past_me_4__FRB)) + (portref S (instanceref f0_dont_write_past_me_5__FRB)) + (portref S (instanceref f0_dont_write_past_me_6__FRB)) + (portref S (instanceref f0_dont_write_past_me_7__FRB)) + (portref S (instanceref f0_dont_write_past_me_8__FRB)) + (portref S (instanceref f0_dont_write_past_me_9__FRB)) + (portref S (instanceref f0_dont_write_past_me_10__FRB)) + (portref S (instanceref f0_dont_write_past_me_11__FRB)) + (portref S (instanceref f0_dont_write_past_me_12__FRB)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_SW0_FRB)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB0)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_1)) + ) + ) + (net (rename f0_Result_11_1_FRB "f0/Result<11>1_FRB") (joined + (portref D (instanceref f0_rd_addr_11)) + (portref Q (instanceref f0_Result_11_1_FRB)) + (portref I0 (instanceref f0_Mcount_rd_addr_cy_11__rt)) + (portref I0 (instanceref f0_Msub_dont_write_past_me_lut_11__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_10_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[10]") (joined + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_10_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT21)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_11_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[11]") (joined + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_11_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT31)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_12_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[12]") (joined + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_12_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT41)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_13_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[13]") (joined + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_13_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT51)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n0129_inv") (joined + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_0)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_2)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_3)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_4)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_5)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_6)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_7)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_8)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_12_BRB0)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_12_BRB1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_13_BRB1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_14_BRB1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15_BRB1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_11_BRB1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_10_BRB1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_9_BRB1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd2_BRB0 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/read_state_FSM_FFd2_BRB0") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd2_BRB0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_GND_50_o_read_OR_57_o1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd1_In11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0144_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd2_BRB1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/read_state_FSM_FFd2_BRB1") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd2_BRB1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_GND_50_o_read_OR_57_o1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd1_In11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0144_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_14_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[14]") (joined + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_14_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT61)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr5_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr5_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_5)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr5_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5__rt)) + ) + ) + (net (rename f1_Result_7_1_FRB "f1/Result<7>1_FRB") (joined + (portref D (instanceref f1_rd_addr_7)) + (portref Q (instanceref f1_Result_7_1_FRB)) + (portref I0 (instanceref f1_Mcount_rd_addr_cy_7__rt)) + (portref I0 (instanceref f1_Msub_dont_write_past_me_lut_7__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_0_ "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/a[0]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_0)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_2_11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_1_11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_3_11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_4_11)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst_SW0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set_SW1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_0_11_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_1_ "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/a[1]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_1)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_2_11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_1_11)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_3_11)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_4_11)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_2_ "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/a[2]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_2)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_2_11)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_3_11)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_4_11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set_SW1)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_1__rt "f1/Mcount_rd_addr_cy<1>_rt") (joined + (portref O (instanceref f1_Mcount_rd_addr_cy_1__rt)) + (portref S (instanceref f1_Mcount_rd_addr_cy_1_)) + (portref LI (instanceref f1_Mcount_rd_addr_xor_1_)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_cy_0__rt "f1/Msub_dont_write_past_me_cy<0>_rt") (joined + (portref O (instanceref f1_Msub_dont_write_past_me_cy_0__rt)) + (portref S (instanceref f1_Msub_dont_write_past_me_cy_0_)) + (portref LI (instanceref f1_Msub_dont_write_past_me_xor_0_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_3_ "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/a[3]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_3)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_3_11)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_4_11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv_SW0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set_SW1)) + ) + ) + (net tx_codec_d_7_OBUF (joined + (portref Q (instanceref catgen_gen_pins_7__oddr2)) + (portref I (instanceref tx_codec_d_7_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_4_ "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/a[4]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_4)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_4_11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv_SW0)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr5_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr5_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_5)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr5_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_5__rt)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<7>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_12__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_xor<12>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_12__rt)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_12_)) + ) + ) + (net (rename ctrl_tdata_0_ "ctrl_tdata[0]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename ctrl_tdata_1_ "ctrl_tdata[1]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a1 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_a1") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_0)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_0_11_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a2 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_a2") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_1_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a3 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_a3") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_2)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_2_11)) + ) + ) + (net (rename ctrl_tdata_2_ "ctrl_tdata[2]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a4 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_a4") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_3)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_3_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a5 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_a5") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_4)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_4_11)) + ) + ) + (net (rename ctrl_tdata_3_ "ctrl_tdata[3]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg_glue_set "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/full_reg_glue_set") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg_glue_set)) + ) + ) + (net (rename ctrl_tdata_4_ "ctrl_tdata[4]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram3)) + ) + ) + (net (rename ctrl_tdata_5_ "ctrl_tdata[5]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram3)) + ) + ) + (net (rename ctrl_tdata_6_ "ctrl_tdata[6]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram4)) + ) + ) + (net (rename ctrl_tdata_7_ "ctrl_tdata[7]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_write "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/write") (joined + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_write1)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_0_ "slave_fifo32/ctrl_rx_tdata[0]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT110)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename ctrl_tdata_8_ "ctrl_tdata[8]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram5)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_2_)) + ) + ) + (net GPIF_CTL5_IBUF (joined + (portref D (instanceref slave_fifo32_EP_WMARK)) + (portref O (instanceref GPIF_CTL5_IBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_GND_56_o_read_OR_123_o "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/GND_56_o_read_OR_123_o") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_GND_56_o_read_OR_123_o1)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref ENBRDEN (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<1>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_1_)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_1_ "slave_fifo32/ctrl_rx_tdata[1]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT121)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename ctrl_tdata_9_ "ctrl_tdata[9]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram5)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_3_)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_2_ "slave_fifo32/ctrl_rx_tdata[2]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT231)) + (portref (member DOB 29) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a1 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_a1") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_0)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_0_11_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_4__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<4>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_4__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_4_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a2 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_a2") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_1_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0154_inv "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n0154_inv") (joined + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_0)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_2)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_3)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_4)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_5)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_6)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_7)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_8)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr1_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr2_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr3_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr4_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr5_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr6_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr7_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr8_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_write1)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a3 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_a3") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_2)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_2_11)) + ) + ) + (net (rename f1_GND_14_o_read_OR_37_o "f1/GND_14_o_read_OR_37_o") (joined + (portref O (instanceref f1_GND_14_o_read_OR_37_o1)) + (portref ENBRDEN (instanceref f1_ram_Mram_ram33)) + (portref ENB (instanceref f1_ram_Mram_ram31)) + (portref ENB (instanceref f1_ram_Mram_ram30)) + (portref ENB (instanceref f1_ram_Mram_ram32)) + (portref ENB (instanceref f1_ram_Mram_ram28)) + (portref ENB (instanceref f1_ram_Mram_ram27)) + (portref ENB (instanceref f1_ram_Mram_ram29)) + (portref ENB (instanceref f1_ram_Mram_ram25)) + (portref ENB (instanceref f1_ram_Mram_ram24)) + (portref ENB (instanceref f1_ram_Mram_ram26)) + (portref ENB (instanceref f1_ram_Mram_ram22)) + (portref ENB (instanceref f1_ram_Mram_ram21)) + (portref ENB (instanceref f1_ram_Mram_ram23)) + (portref ENB (instanceref f1_ram_Mram_ram19)) + (portref ENB (instanceref f1_ram_Mram_ram18)) + (portref ENB (instanceref f1_ram_Mram_ram20)) + (portref ENB (instanceref f1_ram_Mram_ram16)) + (portref ENB (instanceref f1_ram_Mram_ram15)) + (portref ENB (instanceref f1_ram_Mram_ram17)) + (portref ENB (instanceref f1_ram_Mram_ram14)) + (portref ENB (instanceref f1_ram_Mram_ram13)) + (portref ENB (instanceref f1_ram_Mram_ram12)) + (portref ENB (instanceref f1_ram_Mram_ram11)) + (portref ENB (instanceref f1_ram_Mram_ram9)) + (portref ENB (instanceref f1_ram_Mram_ram8)) + (portref ENB (instanceref f1_ram_Mram_ram10)) + (portref ENB (instanceref f1_ram_Mram_ram6)) + (portref ENB (instanceref f1_ram_Mram_ram5)) + (portref ENB (instanceref f1_ram_Mram_ram7)) + (portref ENB (instanceref f1_ram_Mram_ram3)) + (portref ENB (instanceref f1_ram_Mram_ram2)) + (portref ENB (instanceref f1_ram_Mram_ram4)) + (portref ENB (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker__n0131_inv "slave_fifo32/fifo64_to_gpmc32_tx/checker/_n0131_inv") (joined + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_0)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_2)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_3)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_4)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_5)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_6)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_7)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_8)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_9)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_10)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_11)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_12)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_13)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_14)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_15)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker__n0131_inv1)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_3_ "slave_fifo32/ctrl_rx_tdata[3]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT261)) + (portref (member DOB 28) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a4 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_a4") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_3)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_3_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a5 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_a5") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_4)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_4_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Msub_num_packets[7]_GND_55_o_sub_15_OUT_cy[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_GND_56_o_read_OR_123_o1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_o_tready_int11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv4)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_4_ "slave_fifo32/ctrl_rx_tdata[4]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT271)) + (portref (member DOB 27) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_slrd_rstpot "slave_fifo32/slrd_rstpot") (joined + (portref D (instanceref slave_fifo32_slrd)) + (portref O (instanceref slave_fifo32_slrd_rstpot)) + (portref D (instanceref slave_fifo32_slrd_1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_6_)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_5_ "slave_fifo32/ctrl_rx_tdata[5]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT281)) + (portref (member DOB 26) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_3__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<3>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_3__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_3_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_3_)) + ) + ) + (net tx_codec_d_11_OBUF (joined + (portref Q (instanceref catgen_gen_pins_11__oddr2)) + (portref I (instanceref tx_codec_d_11_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_10_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[10]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_10_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_11_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_11_)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_6_ "slave_fifo32/ctrl_rx_tdata[6]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT291)) + (portref (member DOB 25) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_11_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[11]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_11_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_12_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_12_)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_7_ "slave_fifo32/ctrl_rx_tdata[7]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT301)) + (portref (member DOB 24) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net tx_codec_d_9_OBUF (joined + (portref Q (instanceref catgen_gen_pins_9__oddr2)) + (portref I (instanceref tx_codec_d_9_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_12_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[12]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_12_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_13_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_13_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_empty "slave_fifo32/fifo64_to_gpmc32_rx/cross_clock_fifo/empty") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_i_tvalid_int1)) + (portref empty (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_8_ "slave_fifo32/ctrl_rx_tdata[8]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT311)) + (portref (member DOB 23) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_13_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[13]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_13_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_14_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_14_)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_9_ "slave_fifo32/ctrl_rx_tdata[9]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT321)) + (portref (member DOB 22) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_14_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[14]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_14_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_15_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_0__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<0>_FRB") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_0_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_0__FRB)) + ) + ) + (net (rename f1_Result_5_2_FRB "f1/Result<5>2_FRB") (joined + (portref D (instanceref f1_wr_addr_5)) + (portref Q (instanceref f1_Result_5_2_FRB)) + (portref I0 (instanceref f1_Mcount_wr_addr_cy_5__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_write "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/write") (joined + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_write1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy<1>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_1__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_1_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_write "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/write") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_write1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_0)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_2)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_3)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_4)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_5)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_6)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_7)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_8)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_9)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr1_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr2_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr3_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr4_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr5_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr6_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr7_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr8_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr9_FRB)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_eof_Mux_22_o "slave_fifo32/state[1]_wr_fifo_eof_Mux_22_o") (joined + (portref D (instanceref slave_fifo32_pktend)) + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1)) + (portref D (instanceref slave_fifo32_pktend_1)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_7__rt "f0/Mcount_rd_addr_cy<7>_rt") (joined + (portref O (instanceref f0_Mcount_rd_addr_cy_7__rt)) + (portref S (instanceref f0_Mcount_rd_addr_cy_7_)) + (portref LI (instanceref f0_Mcount_rd_addr_xor_7_)) + ) + ) + (net (rename f0_Result_0_1_FRB "f0/Result<0>1_FRB") (joined + (portref D (instanceref f0_rd_addr_0)) + (portref Q (instanceref f0_Result_0_1_FRB)) + (portref I0 (instanceref f0_Msub_dont_write_past_me_cy_0__rt)) + (portref I0 (instanceref f0_Mcount_rd_addr_lut_0__INV_0)) + ) + ) + (net fx3_sclk (joined + (portref I (instanceref fx3_sclk_IBUF)) + (portref fx3_sclk) + ) + ) + (net GPIF_CTL11 (joined + (portref O (instanceref GPIF_CTL11_OBUF)) + (portref GPIF_CTL11) + ) + ) + (net GPIF_CTL12 (joined + (portref O (instanceref GPIF_CTL12_OBUF)) + (portref GPIF_CTL12) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines3210 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines3210") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_10_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_10)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines3211 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines3211") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_11_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines3212 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines3212") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_12_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_12)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In_bdd1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In_bdd1") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In34)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In13)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_F)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_G)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines3213 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines3213") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_13_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_13)) + ) + ) + (net (rename slave_fifo32_read_ready_go "slave_fifo32/read_ready_go") (joined + (portref Q (instanceref slave_fifo32_read_ready_go)) + (portref I2 (instanceref slave_fifo32__n0290_inv1)) + (portref I0 (instanceref slave_fifo32__n0258_inv_SW0)) + (portref I1 (instanceref slave_fifo32__n0279_inv_SW0)) + (portref I3 (instanceref slave_fifo32_state_FSM_FFd2_In2)) + (portref I1 (instanceref slave_fifo32_slrd_rstpot_SW0)) + (portref I2 (instanceref slave_fifo32_sloe_1_rstpot)) + (portref I3 (instanceref slave_fifo32_state_FSM_FFd1_In3_F)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines3214 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines3214") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_14_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_14)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines3215 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines3215") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_15_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_15)) + ) + ) + (net (rename slave_fifo32_state_FSM_FFd1_In2 "slave_fifo32/state_FSM_FFd1-In2") (joined + (portref O (instanceref slave_fifo32_state_FSM_FFd1_In2)) + (portref I1 (instanceref slave_fifo32_state_FSM_FFd1_In4)) + (portref I3 (instanceref slave_fifo32_state_FSM_FFd2_In3)) + ) + ) + (net (rename slave_fifo32_state_FSM_FFd1_In3 "slave_fifo32/state_FSM_FFd1-In3") (joined + (portref O (instanceref slave_fifo32_state_FSM_FFd1_In3)) + (portref I0 (instanceref slave_fifo32_state_FSM_FFd1_In4)) + ) + ) + (net (rename codec_ctrl_in_0_ "codec_ctrl_in[0]") (joined + (portref O (instanceref codec_ctrl_in_0_OBUF)) + (portref (member codec_ctrl_in 3)) + ) + ) + (net (rename codec_ctrl_in_1_ "codec_ctrl_in[1]") (joined + (portref O (instanceref codec_ctrl_in_1_OBUF)) + (portref (member codec_ctrl_in 2)) + ) + ) + (net (rename codec_ctrl_in_2_ "codec_ctrl_in[2]") (joined + (portref O (instanceref codec_ctrl_in_2_OBUF)) + (portref (member codec_ctrl_in 1)) + ) + ) + (net (rename codec_ctrl_in_3_ "codec_ctrl_in[3]") (joined + (portref O (instanceref codec_ctrl_in_3_OBUF)) + (portref (member codec_ctrl_in 0)) + ) + ) + (net codec_sync (joined + (portref O (instanceref codec_sync_OBUF)) + (portref codec_sync) + ) + ) + (net (rename tx_tdata_10_ "tx_tdata[10]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB2)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_empty_reg_rstpot)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0146_inv1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_GND_66_o_read_OR_144_o1)) + ) + ) + (net (rename tx_tdata_11_ "tx_tdata[11]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<1>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_1__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_1_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_1_)) + ) + ) + (net (rename tx_tdata_12_ "tx_tdata[12]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram7)) + ) + ) + (net ctrl_tlast (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref (member DIADI 15) (instanceref f0_ram_Mram_ram33)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_2__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<2>_FRB") (joined + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_0_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_2__FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_write "slave_fifo32/fifo64_to_gpmc32_resp/cross_clock_fifo/write") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_write1)) + (portref wr_en (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename tx_tdata_13_ "tx_tdata[13]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram7)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_0)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_4_)) + ) + ) + (net (rename tx_tdata_14_ "tx_tdata[14]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram8)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<0>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_0__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_0_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_0_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_5_)) + ) + ) + (net (rename tx_tdata_20_ "tx_tdata[20]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram11)) + ) + ) + (net (rename tx_tdata_15_ "tx_tdata[15]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram8)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_6_)) + ) + ) + (net (rename slave_fifo32_debug1_0_ "slave_fifo32/debug1[0]") (joined + (portref Q (instanceref slave_fifo32_debug1_0)) + (portref D (instanceref slave_fifo32_debug2_0)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_8__rt "f1/Mcount_wr_addr_cy<8>_rt") (joined + (portref O (instanceref f1_Mcount_wr_addr_cy_8__rt)) + (portref S (instanceref f1_Mcount_wr_addr_cy_8_)) + (portref LI (instanceref f1_Mcount_wr_addr_xor_8_)) + ) + ) + (net (rename tx_tdata_16_ "tx_tdata[16]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram9)) + ) + ) + (net (rename tx_tdata_21_ "tx_tdata[21]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_7_)) + ) + ) + (net (rename slave_fifo32_debug1_1_ "slave_fifo32/debug1[1]") (joined + (portref Q (instanceref slave_fifo32_debug1_1)) + (portref D (instanceref slave_fifo32_debug2_1)) + ) + ) + (net (rename tx_tdata_22_ "tx_tdata[22]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram12)) + ) + ) + (net (rename tx_tdata_17_ "tx_tdata[17]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram9)) + ) + ) + (net (rename f1_rd_addr_10_ "f1/rd_addr[10]") (joined + (portref Q (instanceref f1_rd_addr_10)) + (portref I2 (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_)) + (portref (member ADDRBRDADDR 2) (instanceref f1_ram_Mram_ram33)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram31)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram30)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram32)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram28)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram27)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram29)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram25)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram24)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram26)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram22)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram21)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram23)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram19)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram18)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram20)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram16)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram15)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram17)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram14)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram13)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram12)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram11)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram9)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram8)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram10)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram6)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram5)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram7)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram3)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram2)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram4)) + (portref (member ADDRB 2) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy[7]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_8_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_8_)) + ) + ) + (net (rename slave_fifo32_debug1_2_ "slave_fifo32/debug1[2]") (joined + (portref Q (instanceref slave_fifo32_debug1_2)) + (portref D (instanceref slave_fifo32_debug2_2)) + ) + ) + (net (rename tx_tdata_23_ "tx_tdata[23]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram12)) + ) + ) + (net (rename tx_tdata_18_ "tx_tdata[18]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram10)) + ) + ) + (net (rename f1_rd_addr_11_ "f1/rd_addr[11]") (joined + (portref Q (instanceref f1_rd_addr_11)) + (portref I4 (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_)) + (portref (member ADDRBRDADDR 1) (instanceref f1_ram_Mram_ram33)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram31)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram30)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram32)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram28)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram27)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram29)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram25)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram24)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram26)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram22)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram21)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram23)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram19)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram18)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram20)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram16)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram15)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram17)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram14)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram13)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram12)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram11)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram9)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram8)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram10)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram6)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram5)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram7)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram3)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram2)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram4)) + (portref (member ADDRB 1) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy[8]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_8_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_9_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_9_)) + ) + ) + (net (rename slave_fifo32_debug1_3_ "slave_fifo32/debug1[3]") (joined + (portref Q (instanceref slave_fifo32_debug1_3)) + (portref D (instanceref slave_fifo32_debug2_3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full_glue_set "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/full_glue_set") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full_glue_set)) + ) + ) + (net (rename tx_tdata_24_ "tx_tdata[24]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram13)) + ) + ) + (net (rename tx_tdata_19_ "tx_tdata[19]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram10)) + ) + ) + (net (rename f1_rd_addr_12_ "f1/rd_addr[12]") (joined + (portref Q (instanceref f1_rd_addr_12)) + (portref I0 (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4_)) + (portref (member ADDRBRDADDR 0) (instanceref f1_ram_Mram_ram33)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram31)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram30)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram32)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram28)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram27)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram29)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram25)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram24)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram26)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram22)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram21)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram23)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram19)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram18)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram20)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram16)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram15)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram17)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram14)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram13)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram12)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram11)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram9)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram8)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram10)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram6)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram5)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram7)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram3)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram2)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram4)) + (portref (member ADDRB 0) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy[9]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_9_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_10_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_10_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<2>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_2_)) + ) + ) + (net (rename slave_fifo32_debug1_4_ "slave_fifo32/debug1[4]") (joined + (portref Q (instanceref slave_fifo32_debug1_4)) + (portref D (instanceref slave_fifo32_debug2_4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy[10]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_10_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_11_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_11_)) + ) + ) + (net (rename tx_tdata_30_ "tx_tdata[30]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram16)) + ) + ) + (net (rename tx_tdata_25_ "tx_tdata[25]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram13)) + ) + ) + (net (rename slave_fifo32_debug1_5_ "slave_fifo32/debug1[5]") (joined + (portref Q (instanceref slave_fifo32_debug1_5)) + (portref D (instanceref slave_fifo32_debug2_5)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy[11]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_11_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_12_)) + ) + ) + (net (rename tx_tdata_31_ "tx_tdata[31]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram16)) + ) + ) + (net (rename tx_tdata_26_ "tx_tdata[26]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram14)) + ) + ) + (net (rename slave_fifo32_debug1_6_ "slave_fifo32/debug1[6]") (joined + (portref Q (instanceref slave_fifo32_debug1_6)) + (portref D (instanceref slave_fifo32_debug2_6)) + ) + ) + (net (rename tx_tdata_32_ "tx_tdata[32]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram17)) + ) + ) + (net (rename tx_tdata_27_ "tx_tdata[27]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram14)) + ) + ) + (net GPIF_CTL9_IBUF (joined + (portref RST (instanceref gen_clks_dcm_sp_inst)) + (portref I1 (instanceref reset_global_locked_OR_1_o1)) + (portref O (instanceref GPIF_CTL9_IBUF)) + ) + ) + (net (rename slave_fifo32_debug1_7_ "slave_fifo32/debug1[7]") (joined + (portref Q (instanceref slave_fifo32_debug1_7)) + (portref D (instanceref slave_fifo32_debug2_7)) + ) + ) + (net (rename tx_tdata_33_ "tx_tdata[33]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram17)) + ) + ) + (net (rename tx_tdata_28_ "tx_tdata[28]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram15)) + ) + ) + (net (rename slave_fifo32_debug1_8_ "slave_fifo32/debug1[8]") (joined + (portref Q (instanceref slave_fifo32_debug1_8)) + (portref D (instanceref slave_fifo32_debug2_8)) + ) + ) + (net (rename tx_tdata_34_ "tx_tdata[34]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram18)) + ) + ) + (net (rename tx_tdata_29_ "tx_tdata[29]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram15)) + ) + ) + (net (rename slave_fifo32_debug1_9_ "slave_fifo32/debug1[9]") (joined + (portref Q (instanceref slave_fifo32_debug1_9)) + (portref D (instanceref slave_fifo32_debug2_9)) + ) + ) + (net (rename tx_tdata_40_ "tx_tdata[40]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram21)) + ) + ) + (net (rename tx_tdata_35_ "tx_tdata[35]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram18)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/empty_glue_rst") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst)) + ) + ) + (net (rename tx_tdata_41_ "tx_tdata[41]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram21)) + ) + ) + (net (rename tx_tdata_36_ "tx_tdata[36]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram19)) + ) + ) + (net (rename tx_tdata_42_ "tx_tdata[42]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram22)) + ) + ) + (net (rename tx_tdata_37_ "tx_tdata[37]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram19)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_full_reg_glue_set "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/full_reg_glue_set") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_full_reg)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_full_reg_glue_set)) + ) + ) + (net (rename tx_tdata_43_ "tx_tdata[43]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram22)) + ) + ) + (net (rename tx_tdata_38_ "tx_tdata[38]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram20)) + ) + ) + (net (rename tx_tdata_39_ "tx_tdata[39]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram20)) + ) + ) + (net (rename tx_tdata_44_ "tx_tdata[44]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram23)) + ) + ) + (net (rename tx_tdata_50_ "tx_tdata[50]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram26)) + ) + ) + (net (rename tx_tdata_45_ "tx_tdata[45]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram23)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32[10]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_10)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In31)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_10_)) + ) + ) + (net fx3_sclk_IBUF (joined + (portref I1 (instanceref cat_sclk1)) + (portref O (instanceref fx3_sclk_IBUF)) + ) + ) + (net (rename tx_tdata_51_ "tx_tdata[51]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram26)) + ) + ) + (net (rename tx_tdata_46_ "tx_tdata[46]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram24)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32[11]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_11)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In31)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_11_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_4__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<4>_FRB") (joined + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_1_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_4__FRB)) + ) + ) + (net (rename tx_tdata_52_ "tx_tdata[52]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram27)) + ) + ) + (net (rename tx_tdata_47_ "tx_tdata[47]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram24)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_12_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32[12]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_12)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In31)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_12_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_10__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<10>_FRB") (joined + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_3_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_10__FRB)) + ) + ) + (net (rename tx_tdata_53_ "tx_tdata[53]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram27)) + ) + ) + (net (rename tx_tdata_48_ "tx_tdata[48]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram25)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_13_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32[13]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_13)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In34)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_13_)) + ) + ) + (net (rename tx_tdata_54_ "tx_tdata[54]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram28)) + ) + ) + (net (rename tx_tdata_49_ "tx_tdata[49]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram25)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_14_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32[14]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_14)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In32)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_14_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr4_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr4_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_4)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr4_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4__rt)) + ) + ) + (net (rename tx_tdata_60_ "tx_tdata[60]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram31)) + ) + ) + (net (rename tx_tdata_55_ "tx_tdata[55]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram28)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_15_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/lines32[15]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_15)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In32)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_15_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_9_BRB1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_9_BRB1") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_9_BRB1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT161)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o1_SW1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9_)) + ) + ) + (net (rename slave_fifo32_ctrl_tx_tready_data_tx_tready_OR_55_o "slave_fifo32/ctrl_tx_tready_data_tx_tready_OR_55_o") (joined + (portref D (instanceref slave_fifo32_read_ready_go)) + (portref O (instanceref slave_fifo32_ctrl_tx_tready_data_tx_tready_OR_55_o1)) + ) + ) + (net (rename tx_tdata_61_ "tx_tdata[61]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram31)) + ) + ) + (net (rename tx_tdata_56_ "tx_tdata[56]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram29)) + ) + ) + (net codec_txrx (joined + (portref O (instanceref codec_txrx_OBUF)) + (portref codec_txrx) + ) + ) + (net (rename tx_tdata_62_ "tx_tdata[62]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram32)) + ) + ) + (net (rename tx_tdata_57_ "tx_tdata[57]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram29)) + ) + ) + (net (rename tx_tdata_63_ "tx_tdata[63]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram32)) + ) + ) + (net (rename tx_tdata_58_ "tx_tdata[58]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref (member DIA 31) (instanceref f1_ram_Mram_ram30)) + ) + ) + (net (rename tx_tdata_59_ "tx_tdata[59]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref (member DIA 30) (instanceref f1_ram_Mram_ram30)) + ) + ) + (net (rename slave_fifo32_debug2_0_ "slave_fifo32/debug2[0]") (joined + (portref Q (instanceref slave_fifo32_debug2_0)) + (portref I (instanceref debug_0_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_1_ "slave_fifo32/debug2[1]") (joined + (portref Q (instanceref slave_fifo32_debug2_1)) + (portref I (instanceref debug_1_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_2_ "slave_fifo32/debug2[2]") (joined + (portref Q (instanceref slave_fifo32_debug2_2)) + (portref I (instanceref debug_2_OBUF)) + ) + ) + (net (rename f0_full_reg "f0/full_reg") (joined + (portref I1 (instanceref f0_write11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0102_SW0)) + (portref Q (instanceref f0_full_reg)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full_glue_set)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111_SW0)) + (portref D (instanceref slave_fifo32_debug1_16_BRB0)) + (portref I4 (instanceref f0_read_state_FSM_FFd2_In1)) + (portref I4 (instanceref f0_full_reg_glue_set)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111)) + ) + ) + (net (rename slave_fifo32_debug2_3_ "slave_fifo32/debug2[3]") (joined + (portref Q (instanceref slave_fifo32_debug2_3)) + (portref I (instanceref debug_3_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_4_ "slave_fifo32/debug2[4]") (joined + (portref Q (instanceref slave_fifo32_debug2_4)) + (portref I (instanceref debug_4_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_5_ "slave_fifo32/debug2[5]") (joined + (portref Q (instanceref slave_fifo32_debug2_5)) + (portref I (instanceref debug_5_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT311 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT311") (joined + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW1)) + ) + ) + (net (rename f1_wr_addr_0_ "f1/wr_addr[0]") (joined + (portref Q (instanceref f1_wr_addr_0)) + (portref I1 (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_)) + (portref I0 (instanceref f1_Mcompar_becoming_full_lut_0_)) + (portref (member ADDRAWRADDR 12) (instanceref f1_ram_Mram_ram33)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram31)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram30)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram32)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram28)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram27)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram29)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram25)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram24)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram26)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram22)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram21)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram23)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram19)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram18)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram20)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram16)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram15)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram17)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram14)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram13)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram12)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram11)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram9)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram8)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram10)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram6)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram5)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram7)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram3)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram2)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram4)) + (portref (member ADDRA 12) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_debug2_6_ "slave_fifo32/debug2[6]") (joined + (portref Q (instanceref slave_fifo32_debug2_6)) + (portref I (instanceref debug_6_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_write "slave_fifo32/fifo64_to_gpmc32_ctrl/cross_clock_fifo/write") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_write1)) + (portref wr_en (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f1_wr_addr_1_ "f1/wr_addr[1]") (joined + (portref Q (instanceref f1_wr_addr_1)) + (portref I3 (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_)) + (portref I2 (instanceref f1_Mcompar_becoming_full_lut_0_)) + (portref (member ADDRAWRADDR 11) (instanceref f1_ram_Mram_ram33)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram31)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram30)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram32)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram28)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram27)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram29)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram25)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram24)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram26)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram22)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram21)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram23)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram19)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram18)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram20)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram16)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram15)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram17)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram14)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram13)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram12)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram11)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram9)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram8)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram10)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram6)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram5)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram7)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram3)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram2)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram4)) + (portref (member ADDRA 11) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_debug2_7_ "slave_fifo32/debug2[7]") (joined + (portref Q (instanceref slave_fifo32_debug2_7)) + (portref I (instanceref debug_7_OBUF)) + ) + ) + (net (rename f1_wr_addr_2_ "f1/wr_addr[2]") (joined + (portref Q (instanceref f1_wr_addr_2)) + (portref I5 (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_)) + (portref I4 (instanceref f1_Mcompar_becoming_full_lut_0_)) + (portref (member ADDRAWRADDR 10) (instanceref f1_ram_Mram_ram33)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram31)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram30)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram32)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram28)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram27)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram29)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram25)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram24)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram26)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram22)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram21)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram23)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram19)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram18)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram20)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram16)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram15)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram17)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram14)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram13)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram12)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram11)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram9)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram8)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram10)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram6)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram5)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram7)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram3)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram2)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram4)) + (portref (member ADDRA 10) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_debug2_8_ "slave_fifo32/debug2[8]") (joined + (portref Q (instanceref slave_fifo32_debug2_8)) + (portref I (instanceref debug_8_OBUF)) + ) + ) + (net (rename f1_wr_addr_3_ "f1/wr_addr[3]") (joined + (portref Q (instanceref f1_wr_addr_3)) + (portref I1 (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_)) + (portref I0 (instanceref f1_Mcompar_becoming_full_lut_1_)) + (portref (member ADDRAWRADDR 9) (instanceref f1_ram_Mram_ram33)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram31)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram30)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram32)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram28)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram27)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram29)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram25)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram24)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram26)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram22)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram21)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram23)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram19)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram18)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram20)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram16)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram15)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram17)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram14)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram13)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram12)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram11)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram9)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram8)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram10)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram6)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram5)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram7)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram3)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram2)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram4)) + (portref (member ADDRA 9) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_debug2_9_ "slave_fifo32/debug2[9]") (joined + (portref Q (instanceref slave_fifo32_debug2_9)) + (portref I (instanceref debug_9_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT311 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT311") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_In111)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0146_inv1)) + ) + ) + (net (rename f1_wr_addr_4_ "f1/wr_addr[4]") (joined + (portref Q (instanceref f1_wr_addr_4)) + (portref I3 (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_)) + (portref I2 (instanceref f1_Mcompar_becoming_full_lut_1_)) + (portref (member ADDRAWRADDR 8) (instanceref f1_ram_Mram_ram33)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram31)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram30)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram32)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram28)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram27)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram29)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram25)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram24)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram26)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram22)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram21)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram23)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram19)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram18)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram20)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram16)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram15)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram17)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram14)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram13)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram12)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram11)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram9)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram8)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram10)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram6)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram5)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram7)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram3)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram2)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram4)) + (portref (member ADDRA 8) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_10_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[10]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata210)) + (portref (member din 61) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f1_wr_addr_5_ "f1/wr_addr[5]") (joined + (portref Q (instanceref f1_wr_addr_5)) + (portref I5 (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_)) + (portref I4 (instanceref f1_Mcompar_becoming_full_lut_1_)) + (portref (member ADDRAWRADDR 7) (instanceref f1_ram_Mram_ram33)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram31)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram30)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram32)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram28)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram27)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram29)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram25)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram24)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram26)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram22)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram21)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram23)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram19)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram18)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram20)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram16)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram15)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram17)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram14)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram13)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram12)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram11)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram9)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram8)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram10)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram6)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram5)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram7)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram3)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram2)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram4)) + (portref (member ADDRA 7) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_6__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<6>_FRB") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_2_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_6__FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_11_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[11]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata33)) + (portref (member din 60) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[10]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_10)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata210)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata371)) + (portref (member DOB 21) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename f1_wr_addr_6_ "f1/wr_addr[6]") (joined + (portref Q (instanceref f1_wr_addr_6)) + (portref I1 (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_)) + (portref I0 (instanceref f1_Mcompar_becoming_full_lut_2_)) + (portref (member ADDRAWRADDR 6) (instanceref f1_ram_Mram_ram33)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram31)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram30)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram32)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram28)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram27)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram29)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram25)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram24)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram26)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram22)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram21)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram23)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram19)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram18)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram20)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram16)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram15)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram17)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram14)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram13)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram12)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram11)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram9)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram8)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram10)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram6)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram5)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram7)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram3)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram2)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram4)) + (portref (member ADDRA 6) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_12__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<12>_FRB") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_4_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_12__FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_12_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[12]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata41)) + (portref (member din 59) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[11]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata310)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata381)) + (portref (member DOB 20) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename f1_wr_addr_7_ "f1/wr_addr[7]") (joined + (portref Q (instanceref f1_wr_addr_7)) + (portref I3 (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_)) + (portref I2 (instanceref f1_Mcompar_becoming_full_lut_2_)) + (portref (member ADDRAWRADDR 5) (instanceref f1_ram_Mram_ram33)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram31)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram30)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram32)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram28)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram27)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram29)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram25)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram24)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram26)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram22)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram21)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram23)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram19)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram18)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram20)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram16)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram15)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram17)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram14)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram13)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram12)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram11)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram9)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram8)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram10)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram6)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram5)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram7)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram3)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram2)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram4)) + (portref (member ADDRA 5) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_13_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[13]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata51)) + (portref (member din 58) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[12]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_12)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata410)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata391)) + (portref (member DOB 19) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr4_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr4_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_4)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr4_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_4__rt)) + ) + ) + (net (rename f1_wr_addr_8_ "f1/wr_addr[8]") (joined + (portref Q (instanceref f1_wr_addr_8)) + (portref I5 (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_)) + (portref I4 (instanceref f1_Mcompar_becoming_full_lut_2_)) + (portref (member ADDRAWRADDR 4) (instanceref f1_ram_Mram_ram33)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram31)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram30)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram32)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram28)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram27)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram29)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram25)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram24)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram26)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram22)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram21)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram23)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram19)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram18)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram20)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram16)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram15)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram17)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram14)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram13)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram12)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram11)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram9)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram8)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram10)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram6)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram5)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram7)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram3)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram2)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram4)) + (portref (member ADDRA 4) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_14_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[14]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata61)) + (portref (member din 57) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[13]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_13)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata510)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata401)) + (portref (member DOB 18) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename f1_wr_addr_9_ "f1/wr_addr[9]") (joined + (portref Q (instanceref f1_wr_addr_9)) + (portref I1 (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_)) + (portref I0 (instanceref f1_Mcompar_becoming_full_lut_3_)) + (portref (member ADDRAWRADDR 3) (instanceref f1_ram_Mram_ram33)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram31)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram30)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram32)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram28)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram27)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram29)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram25)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram24)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram26)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram22)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram21)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram23)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram19)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram18)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram20)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram16)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram15)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram17)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram14)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram13)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram12)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram11)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram9)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram8)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram10)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram6)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram5)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram7)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram3)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram2)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram4)) + (portref (member ADDRA 3) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_20_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[20]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata131)) + (portref (member din 51) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_15_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[15]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata71)) + (portref (member din 56) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[14]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_14)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata65)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata411)) + (portref (member DOB 17) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr1") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_1_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr2") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_2_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr9_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr9_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_9)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr9_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_9__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr3 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr3") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_3_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_21_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[21]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata141)) + (portref (member din 50) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_16_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[16]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata81)) + (portref (member din 55) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_3__rt "f0/Mcount_wr_addr_cy<3>_rt") (joined + (portref O (instanceref f0_Mcount_wr_addr_cy_3__rt)) + (portref S (instanceref f0_Mcount_wr_addr_cy_3_)) + (portref LI (instanceref f0_Mcount_wr_addr_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_20_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[20]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_20)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata131)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata481)) + (portref (member DOB 29) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_15_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[15]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_15)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata71)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata421)) + (portref (member DOB 16) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr4 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr4") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_4_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr5 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr5") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_5_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_5)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_10_ "f0/Mcount_wr_addr_cy[10]") (joined + (portref O (instanceref f0_Mcount_wr_addr_cy_10_)) + (portref CI (instanceref f0_Mcount_wr_addr_cy_11_)) + (portref CI (instanceref f0_Mcount_wr_addr_xor_11_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr6 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr6") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_6_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr7 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr7") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_7_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_7)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr8 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr8") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_8_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_8)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_22_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[22]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata151)) + (portref (member din 49) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_17_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[17]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata91)) + (portref (member din 54) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_16_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[16]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_16)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata81)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata431)) + (portref (member DOPB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_21_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[21]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_21)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata141)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata491)) + (portref (member DOB 28) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr9 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr9") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_9_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_23_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[23]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata161)) + (portref (member din 48) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_18_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[18]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata101)) + (portref (member din 53) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_10_ "f1/Mcount_rd_addr_cy[10]") (joined + (portref O (instanceref f1_Mcount_rd_addr_cy_10_)) + (portref CI (instanceref f1_Mcount_rd_addr_cy_11_)) + (portref CI (instanceref f1_Mcount_rd_addr_xor_11_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_22_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[22]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_22)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata151)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata501)) + (portref (member DOB 27) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_17_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[17]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_17)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata91)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata441)) + (portref (member DOPB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_24_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[24]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata171)) + (portref (member din 47) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_19_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[19]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata111)) + (portref (member din 52) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_23_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[23]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_23)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata161)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata511)) + (portref (member DOB 26) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_18_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[18]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_18)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata101)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata461)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_10_ "slave_fifo32/data_rx_tdata[10]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT210)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr2_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr2_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_2)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr2_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2__rt)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_2__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_30_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[30]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata241)) + (portref (member din 41) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_25_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[25]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata181)) + (portref (member din 46) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_24_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[24]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_24)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata171)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata521)) + (portref (member DOB 25) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_19_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[19]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_19)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata111)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata471)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_11_ "slave_fifo32/data_rx_tdata[11]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT33)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_31_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[31]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata251)) + (portref (member din 40) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_26_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[26]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata191)) + (portref (member din 45) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_30_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[30]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_30)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata241)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata591)) + (portref (member DOB 19) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_25_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[25]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_25)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata181)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata531)) + (portref (member DOB 24) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_12_ "slave_fifo32/data_rx_tdata[12]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT41)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<7>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_27_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[27]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata201)) + (portref (member din 44) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_31_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[31]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_31)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata251)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata601)) + (portref (member DOB 18) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_26_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[26]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_26)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata191)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata541)) + (portref (member DOB 23) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_13_ "slave_fifo32/data_rx_tdata[13]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT51)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_28_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[28]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata211)) + (portref (member din 43) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_27_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[27]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_27)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata201)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata551)) + (portref (member DOB 22) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_14_ "slave_fifo32/data_rx_tdata[14]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT61)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Msub_num_packets[7]_GND_65_o_sub_15_OUT_cy[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01211_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv6_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_29_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[29]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata221)) + (portref (member din 42) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_28_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[28]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_28)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata211)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata571)) + (portref (member DOB 21) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_15_ "slave_fifo32/data_rx_tdata[15]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT71)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_20_ "slave_fifo32/data_rx_tdata[20]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT131)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tlast "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tlast") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_state_glue_set)) + (portref (member DOB 17) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tvalid11)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv2)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv6)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_write1)) + ) + ) + (net (rename f1_Result_9_1_FRB "f1/Result<9>1_FRB") (joined + (portref D (instanceref f1_rd_addr_9)) + (portref Q (instanceref f1_Result_9_1_FRB)) + (portref I0 (instanceref f1_Mcount_rd_addr_cy_9__rt)) + (portref I0 (instanceref f1_Msub_dont_write_past_me_lut_9__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_29_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[29]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_29)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata221)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata581)) + (portref (member DOB 20) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_16_ "slave_fifo32/data_rx_tdata[16]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT81)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_21_ "slave_fifo32/data_rx_tdata[21]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT141)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_17_ "slave_fifo32/data_rx_tdata[17]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT91)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_22_ "slave_fifo32/data_rx_tdata[22]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT151)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_18_ "slave_fifo32/data_rx_tdata[18]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT101)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_23_ "slave_fifo32/data_rx_tdata[23]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT161)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[10]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_10_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_10_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10_)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_19_ "slave_fifo32/data_rx_tdata[19]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT111)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_24_ "slave_fifo32/data_rx_tdata[24]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT171)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[11]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_11_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_11_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11_)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_25_ "slave_fifo32/data_rx_tdata[25]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT181)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_30_ "slave_fifo32/data_rx_tdata[30]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT241)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[12]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_12_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_12_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12_)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_26_ "slave_fifo32/data_rx_tdata[26]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT191)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_31_ "slave_fifo32/data_rx_tdata[31]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT251)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_8__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<8>_FRB") (joined + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_2_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_8__FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[13]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_13_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_13_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT8211") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_27_ "slave_fifo32/data_rx_tdata[27]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT201)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr3_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr3_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_3)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr3_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_3__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[14]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_14_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_14_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14_)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_28_ "slave_fifo32/data_rx_tdata[28]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT211)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[15]") (joined + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_15_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15_)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_29_ "slave_fifo32/data_rx_tdata[29]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT221)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n012121 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n012121") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0121211)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01216_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01215_SW0)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_0_ "f1/Mcount_rd_addr_cy[0]") (joined + (portref O (instanceref f1_Mcount_rd_addr_cy_0_)) + (portref CI (instanceref f1_Mcount_rd_addr_cy_1_)) + (portref CI (instanceref f1_Mcount_rd_addr_xor_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_2_1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_3_1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_6_1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv5)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8212_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump_glue_set)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv2)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW1)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_1_ "f1/Mcount_rd_addr_cy[1]") (joined + (portref O (instanceref f1_Mcount_rd_addr_cy_1_)) + (portref CI (instanceref f1_Mcount_rd_addr_cy_2_)) + (portref CI (instanceref f1_Mcount_rd_addr_xor_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/full_reg_glue_set_lut1") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_cy1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut1)) + ) + ) + (net (rename slave_fifo32_fifoadr_0_ "slave_fifo32/fifoadr[0]") (joined + (portref Q (instanceref slave_fifo32_fifoadr_0)) + (portref I (instanceref GPIF_CTL12_OBUF)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_2_ "f1/Mcount_rd_addr_cy[2]") (joined + (portref O (instanceref f1_Mcount_rd_addr_cy_2_)) + (portref CI (instanceref f1_Mcount_rd_addr_cy_3_)) + (portref CI (instanceref f1_Mcount_rd_addr_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr7_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr7_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_7)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr7_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_7__rt)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_GND_50_o_read_OR_57_o "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/GND_50_o_read_OR_57_o") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_GND_50_o_read_OR_57_o1)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifoadr_1_ "slave_fifo32/fifoadr[1]") (joined + (portref Q (instanceref slave_fifo32_fifoadr_1)) + (portref I (instanceref GPIF_CTL11_OBUF)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_3_ "f1/Mcount_rd_addr_cy[3]") (joined + (portref O (instanceref f1_Mcount_rd_addr_cy_3_)) + (portref CI (instanceref f1_Mcount_rd_addr_cy_4_)) + (portref CI (instanceref f1_Mcount_rd_addr_xor_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[10]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata210)) + ) + ) + (net (rename f0_rd_addr_0_ "f0/rd_addr[0]") (joined + (portref Q (instanceref f0_rd_addr_0)) + (portref I0 (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_)) + (portref (member ADDRBRDADDR 12) (instanceref f0_ram_Mram_ram33)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram31)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram30)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram32)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram28)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram27)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram29)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram25)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram24)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram26)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram22)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram21)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram23)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram19)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram18)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram20)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram16)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram15)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram17)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram14)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram13)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram12)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram11)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram9)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram8)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram10)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram6)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram5)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram7)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram3)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram2)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram4)) + (portref (member ADDRB 12) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB0") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_inv1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_rstpot)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB1") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_inv1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_rstpot)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_4_ "f1/Mcount_rd_addr_cy[4]") (joined + (portref O (instanceref f1_Mcount_rd_addr_cy_4_)) + (portref CI (instanceref f1_Mcount_rd_addr_cy_5_)) + (portref CI (instanceref f1_Mcount_rd_addr_xor_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB2 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB2") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB2)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_inv1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_rstpot)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[11]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata310)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB3 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB3") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB3)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB4 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB4") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB4)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename f0_rd_addr_1_ "f0/rd_addr[1]") (joined + (portref Q (instanceref f0_rd_addr_1)) + (portref I2 (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_)) + (portref (member ADDRBRDADDR 11) (instanceref f0_ram_Mram_ram33)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram31)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram30)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram32)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram28)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram27)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram29)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram25)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram24)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram26)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram22)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram21)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram23)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram19)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram18)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram20)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram16)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram15)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram17)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram14)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram13)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram12)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram11)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram9)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram8)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram10)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram6)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram5)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram7)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram3)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram2)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram4)) + (portref (member ADDRB 11) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB5 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2_BRB5") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB5)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_5_ "f1/Mcount_rd_addr_cy[5]") (joined + (portref O (instanceref f1_Mcount_rd_addr_cy_5_)) + (portref CI (instanceref f1_Mcount_rd_addr_cy_6_)) + (portref CI (instanceref f1_Mcount_rd_addr_xor_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[12]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata410)) + ) + ) + (net (rename f0_rd_addr_2_ "f0/rd_addr[2]") (joined + (portref Q (instanceref f0_rd_addr_2)) + (portref I4 (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_)) + (portref (member ADDRBRDADDR 10) (instanceref f0_ram_Mram_ram33)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram31)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram30)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram32)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram28)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram27)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram29)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram25)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram24)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram26)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram22)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram21)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram23)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram19)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram18)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram20)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram16)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram15)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram17)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram14)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram13)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram12)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram11)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram9)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram8)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram10)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram6)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram5)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram7)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram3)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram2)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram4)) + (portref (member ADDRB 10) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_6_ "f1/Mcount_rd_addr_cy[6]") (joined + (portref O (instanceref f1_Mcount_rd_addr_cy_6_)) + (portref CI (instanceref f1_Mcount_rd_addr_cy_7_)) + (portref CI (instanceref f1_Mcount_rd_addr_xor_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[13]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata510)) + ) + ) + (net (rename f0_rd_addr_3_ "f0/rd_addr[3]") (joined + (portref Q (instanceref f0_rd_addr_3)) + (portref I0 (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_)) + (portref (member ADDRBRDADDR 9) (instanceref f0_ram_Mram_ram33)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram31)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram30)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram32)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram28)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram27)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram29)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram25)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram24)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram26)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram22)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram21)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram23)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram19)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram18)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram20)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram16)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram15)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram17)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram14)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram13)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram12)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram11)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram9)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram8)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram10)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram6)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram5)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram7)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram3)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram2)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram4)) + (portref (member ADDRB 9) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_7_ "f1/Mcount_rd_addr_cy[7]") (joined + (portref O (instanceref f1_Mcount_rd_addr_cy_7_)) + (portref CI (instanceref f1_Mcount_rd_addr_cy_8_)) + (portref CI (instanceref f1_Mcount_rd_addr_xor_8_)) + ) + ) + (net codec_enable (joined + (portref O (instanceref codec_enable_OBUF)) + (portref codec_enable) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[14]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata65)) + ) + ) + (net (rename f0_rd_addr_4_ "f0/rd_addr[4]") (joined + (portref Q (instanceref f0_rd_addr_4)) + (portref I2 (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_)) + (portref (member ADDRBRDADDR 8) (instanceref f0_ram_Mram_ram33)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram31)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram30)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram32)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram28)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram27)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram29)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram25)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram24)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram26)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram22)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram21)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram23)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram19)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram18)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram20)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram16)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram15)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram17)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram14)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram13)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram12)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram11)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram9)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram8)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram10)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram6)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram5)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram7)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram3)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram2)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram4)) + (portref (member ADDRB 8) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tlast "slave_fifo32/fifo64_to_gpmc32_tx/o32_tlast") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW2)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_state_glue_set)) + (portref (member DOBDO 15) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tvalid11)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_write1)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_8_ "f1/Mcount_rd_addr_cy[8]") (joined + (portref O (instanceref f1_Mcount_rd_addr_cy_8_)) + (portref CI (instanceref f1_Mcount_rd_addr_cy_9_)) + (portref CI (instanceref f1_Mcount_rd_addr_xor_9_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_20_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[20]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata131)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_15_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[15]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata71)) + ) + ) + (net (rename f1_dont_write_past_me_1__FRB "f1/dont_write_past_me<1>_FRB") (joined + (portref I3 (instanceref f1_Mcompar_becoming_full_lut_0_)) + (portref Q (instanceref f1_dont_write_past_me_1__FRB)) + ) + ) + (net (rename f0_rd_addr_5_ "f0/rd_addr[5]") (joined + (portref Q (instanceref f0_rd_addr_5)) + (portref I4 (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_)) + (portref (member ADDRBRDADDR 7) (instanceref f0_ram_Mram_ram33)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram31)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram30)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram32)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram28)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram27)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram29)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram25)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram24)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram26)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram22)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram21)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram23)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram19)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram18)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram20)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram16)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram15)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram17)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram14)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram13)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram12)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram11)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram9)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram8)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram10)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram6)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram5)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram7)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram3)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram2)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram4)) + (portref (member ADDRB 7) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_9_ "f1/Mcount_rd_addr_cy[9]") (joined + (portref O (instanceref f1_Mcount_rd_addr_cy_9_)) + (portref CI (instanceref f1_Mcount_rd_addr_cy_10_)) + (portref CI (instanceref f1_Mcount_rd_addr_xor_10_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_21_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[21]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata141)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_16_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[16]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata81)) + ) + ) + (net (rename f0_rd_addr_6_ "f0/rd_addr[6]") (joined + (portref Q (instanceref f0_rd_addr_6)) + (portref I0 (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_)) + (portref (member ADDRBRDADDR 6) (instanceref f0_ram_Mram_ram33)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram31)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram30)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram32)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram28)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram27)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram29)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram25)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram24)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram26)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram22)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram21)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram23)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram19)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram18)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram20)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram16)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram15)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram17)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram14)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram13)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram12)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram11)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram9)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram8)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram10)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram6)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram5)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram7)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram3)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram2)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram4)) + (portref (member ADDRB 6) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/_n0123_inv") (joined + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_0)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_2)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_3)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_4)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_22_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[22]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata151)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_17_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[17]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata91)) + ) + ) + (net (rename f0_Result_11_2_FRB "f0/Result<11>2_FRB") (joined + (portref D (instanceref f0_wr_addr_11)) + (portref Q (instanceref f0_Result_11_2_FRB)) + (portref I0 (instanceref f0_Mcount_wr_addr_cy_11__rt)) + ) + ) + (net (rename f0_rd_addr_7_ "f0/rd_addr[7]") (joined + (portref Q (instanceref f0_rd_addr_7)) + (portref I2 (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_)) + (portref (member ADDRBRDADDR 5) (instanceref f0_ram_Mram_ram33)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram31)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram30)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram32)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram28)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram27)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram29)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram25)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram24)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram26)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram22)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram21)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram23)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram19)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram18)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram20)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram16)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram15)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram17)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram14)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram13)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram12)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram11)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram9)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram8)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram10)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram6)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram5)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram7)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram3)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram2)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram4)) + (portref (member ADDRB 5) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/full") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_space_xor_3_111)) + (portref I0 (instanceref f1__n0161_inv1_lut)) + (portref I1 (instanceref f1_GND_14_o_read_OR_37_o1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_write1)) + (portref I1 (instanceref f1_read_state_FSM_FFd1_In111)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst)) + (portref I2 (instanceref f1_read_state_FSM_FFd2_In1)) + (portref I2 (instanceref f1_full_reg_glue_set)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_23_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[23]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata161)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_18_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[18]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata101)) + ) + ) + (net (rename f0_rd_addr_8_ "f0/rd_addr[8]") (joined + (portref Q (instanceref f0_rd_addr_8)) + (portref I4 (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_)) + (portref (member ADDRBRDADDR 4) (instanceref f0_ram_Mram_ram33)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram31)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram30)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram32)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram28)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram27)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram29)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram25)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram24)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram26)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram22)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram21)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram23)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram19)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram18)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram20)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram16)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram15)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram17)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram14)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram13)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram12)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram11)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram9)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram8)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram10)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram6)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram5)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram7)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram3)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram2)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram4)) + (portref (member ADDRB 4) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut[0]") (joined + (portref O (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_)) + (portref S (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_24_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[24]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata171)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_19_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[19]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata111)) + ) + ) + (net (rename f0_rd_addr_9_ "f0/rd_addr[9]") (joined + (portref Q (instanceref f0_rd_addr_9)) + (portref I0 (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_)) + (portref (member ADDRBRDADDR 3) (instanceref f0_ram_Mram_ram33)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram31)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram30)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram32)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram28)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram27)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram29)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram25)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram24)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram26)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram22)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram21)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram23)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram19)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram18)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram20)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram16)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram15)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram17)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram14)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram13)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram12)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram11)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram9)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram8)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram10)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram6)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram5)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram7)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram3)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram2)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram4)) + (portref (member ADDRB 3) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut[1]") (joined + (portref O (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_)) + (portref S (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_30_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[30]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata241)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_25_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[25]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata181)) + ) + ) + (net (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut[2]") (joined + (portref O (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_)) + (portref S (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_31_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[31]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata251)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_26_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[26]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata191)) + ) + ) + (net (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut[3]") (joined + (portref O (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_)) + (portref S (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_27_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[27]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata201)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_32_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[32]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata261)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr6_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr6_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_6)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr6_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6__rt)) + ) + ) + (net (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4_ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut[4]") (joined + (portref O (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4_)) + (portref S (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4_)) + ) + ) + (net (rename f1_Result_7_2_FRB "f1/Result<7>2_FRB") (joined + (portref D (instanceref f1_wr_addr_7)) + (portref Q (instanceref f1_Result_7_2_FRB)) + (portref I0 (instanceref f1_Mcount_wr_addr_cy_7__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_33_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[33]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata271)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_28_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[28]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata211)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_i_tvalid_int "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/i_tvalid_int") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_write1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int16)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump_glue_set)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB4)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0154_inv1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg_glue_set)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv6)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_F)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_G)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_F)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_G)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[0]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_0)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_0_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_29_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[29]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata221)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_34_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[34]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata281)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[1]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_1)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_1_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_40_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[40]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata351)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_35_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[35]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata291)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_2__rt "f1/Mcount_rd_addr_cy<2>_rt") (joined + (portref O (instanceref f1_Mcount_rd_addr_cy_2__rt)) + (portref S (instanceref f1_Mcount_rd_addr_cy_2_)) + (portref LI (instanceref f1_Mcount_rd_addr_xor_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[2]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_2)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_2_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2_)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_cy_1__rt "f1/Msub_dont_write_past_me_cy<1>_rt") (joined + (portref O (instanceref f1_Msub_dont_write_past_me_cy_1__rt)) + (portref S (instanceref f1_Msub_dont_write_past_me_cy_1_)) + (portref LI (instanceref f1_Msub_dont_write_past_me_xor_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_36_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[36]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata301)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_41_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[41]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata361)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[3]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_3)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_3_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_37_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[37]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata311)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_42_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[42]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata371)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr6_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr6_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_6)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr6_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_6__rt)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump_glue_set "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/dump_glue_set") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump_glue_set)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[4]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_4)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_4_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4_)) + ) + ) + (net (rename f0_Result_2_1_FRB "f0/Result<2>1_FRB") (joined + (portref D (instanceref f0_rd_addr_2)) + (portref Q (instanceref f0_Result_2_1_FRB)) + (portref I0 (instanceref f0_Mcount_rd_addr_cy_2__rt)) + (portref I0 (instanceref f0_Msub_dont_write_past_me_lut_2__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_38_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[38]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata321)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_43_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[43]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata381)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_10_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[10]") (joined + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member dout 61) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f0_full_reg_glue_set "f0/full_reg_glue_set") (joined + (portref D (instanceref f0_full_reg)) + (portref O (instanceref f0_full_reg_glue_set)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_11_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_11_BRB1") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_11_BRB1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT31)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o1_SW1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[5]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_5)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_5_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_39_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[39]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata331)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_44_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[44]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata391)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_11_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[11]") (joined + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member dout 60) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[6]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_6)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_6_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_45_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[45]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata401)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_50_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[50]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata461)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<8>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_8_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_12_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[12]") (joined + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member dout 59) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[7]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_7)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_7_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_46_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[46]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata411)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_51_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[51]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata471)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_13_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[13]") (joined + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member dout 58) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[8]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_8)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_8_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8_)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_47_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[47]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata421)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_52_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[52]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata481)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_14_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[14]") (joined + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member dout 57) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[9]") (joined + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_9_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT161)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_48_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[48]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata431)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_53_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[53]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata491)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_20_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[20]") (joined + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member dout 51) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_15_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[15]") (joined + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member dout 56) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_49_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[49]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata441)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_54_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[54]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata501)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_21_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[21]") (joined + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member dout 50) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_16_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[16]") (joined + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member dout 55) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f0_dont_write_past_me_1__FRB "f0/dont_write_past_me<1>_FRB") (joined + (portref I3 (instanceref f0_Mcompar_becoming_full_lut_0_)) + (portref Q (instanceref f0_dont_write_past_me_1__FRB)) + ) + ) + (net (rename f1_dont_write_past_me_3__FRB "f1/dont_write_past_me<3>_FRB") (joined + (portref I1 (instanceref f1_Mcompar_becoming_full_lut_1_)) + (portref Q (instanceref f1_dont_write_past_me_3__FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_55_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[55]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata511)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_60_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[60]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata571)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_22_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[22]") (joined + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member dout 49) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_17_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[17]") (joined + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member dout 54) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_61_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[61]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata581)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_56_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[56]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata521)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_23_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[23]") (joined + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member dout 48) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_18_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[18]") (joined + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member dout 53) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[0]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata261)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_62_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[62]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata591)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_57_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[57]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata531)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_24_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[24]") (joined + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member dout 47) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_19_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[19]") (joined + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member dout 52) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/empty") (joined + (portref I0 (instanceref f0_write11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0102_SW0)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full_glue_set)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst_SW0)) + (portref D (instanceref slave_fifo32_debug1_17_BRB0)) + (portref I3 (instanceref f0_read_state_FSM_FFd2_In1)) + (portref I0 (instanceref f0_full_reg_glue_set)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[1]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata271)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_63_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[63]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata601)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_58_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[58]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata541)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_25_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[25]") (joined + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member dout 46) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_30_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[30]") (joined + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member dout 41) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[2]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_2)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata281)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_59_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[59]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata551)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_26_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[26]") (joined + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member dout 45) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f1__n0161_inv1_lut1 "f1/_n0161_inv1_lut1") (joined + (portref O (instanceref f1__n0161_inv1_lut1)) + (portref S (instanceref f1__n0161_inv1_cy1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_31_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[31]") (joined + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member dout 40) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<2>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[3]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_3)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata291)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_32_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[32]") (joined + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portref (member DIADI 15) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt__n0074_inv1)) + (portref (member dout 39) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_27_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[27]") (joined + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member dout 44) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[4]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_4)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata301)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_5__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<5>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_5__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_5_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_28_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[28]") (joined + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member dout 43) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[5]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_5)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata311)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_29_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[29]") (joined + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member dout 42) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[6]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_6)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata321)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_becoming_full "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/becoming_full") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_4_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_full_reg_glue_set)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_4__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<4>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_4__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_4_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[7]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_7)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata331)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_cy[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[8]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_8)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata351)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_cy[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[9]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_9)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata361)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_cy[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_3_)) + ) + ) + (net (rename f1_write "f1/write") (joined + (portref CE (instanceref f1_wr_addr_1)) + (portref CE (instanceref f1_wr_addr_2)) + (portref CE (instanceref f1_wr_addr_3)) + (portref CE (instanceref f1_wr_addr_4)) + (portref CE (instanceref f1_wr_addr_5)) + (portref CE (instanceref f1_wr_addr_6)) + (portref CE (instanceref f1_wr_addr_7)) + (portref CE (instanceref f1_wr_addr_8)) + (portref CE (instanceref f1_wr_addr_9)) + (portref CE (instanceref f1_wr_addr_10)) + (portref CE (instanceref f1_wr_addr_11)) + (portref CE (instanceref f1_wr_addr_12)) + (portref CE (instanceref f1_wr_addr_0)) + (portref O (instanceref f1_write11)) + (portref CE (instanceref f1_Result_0_2_FRB)) + (portref CE (instanceref f1_Result_1_2_FRB)) + (portref CE (instanceref f1_Result_2_2_FRB)) + (portref CE (instanceref f1_Result_3_2_FRB)) + (portref CE (instanceref f1_Result_4_2_FRB)) + (portref CE (instanceref f1_Result_5_2_FRB)) + (portref CE (instanceref f1_Result_6_2_FRB)) + (portref CE (instanceref f1_Result_7_2_FRB)) + (portref CE (instanceref f1_Result_8_2_FRB)) + (portref CE (instanceref f1_Result_9_2_FRB)) + (portref CE (instanceref f1_Result_10_2_FRB)) + (portref CE (instanceref f1_Result_11_2_FRB)) + (portref CE (instanceref f1_Result_12_2_FRB)) + (portref (member WEAWEL 1) (instanceref f1_ram_Mram_ram33)) + (portref (member WEAWEL 0) (instanceref f1_ram_Mram_ram33)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram31)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram31)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram31)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram31)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram30)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram30)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram30)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram30)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram32)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram32)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram32)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram32)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram28)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram28)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram28)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram28)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram27)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram27)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram27)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram27)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram29)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram29)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram29)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram29)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram25)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram25)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram25)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram25)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram24)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram24)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram24)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram24)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram26)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram26)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram26)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram26)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram22)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram22)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram22)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram22)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram21)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram21)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram21)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram21)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram23)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram23)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram23)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram23)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram19)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram19)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram19)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram19)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram18)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram18)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram18)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram18)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram20)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram20)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram20)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram20)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram16)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram16)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram16)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram16)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram15)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram15)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram15)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram15)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram17)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram17)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram17)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram17)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram14)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram14)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram14)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram14)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram13)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram13)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram13)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram13)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram12)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram12)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram12)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram12)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram11)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram11)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram11)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram11)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram9)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram9)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram9)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram9)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram8)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram8)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram8)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram8)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram10)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram10)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram10)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram10)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram6)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram6)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram6)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram6)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram5)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram5)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram5)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram5)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram7)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram7)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram7)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram7)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram3)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram3)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram3)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram3)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram2)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram2)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram2)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram2)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram4)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram4)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram4)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram4)) + (portref (member WEA 3) (instanceref f1_ram_Mram_ram1)) + (portref (member WEA 2) (instanceref f1_ram_Mram_ram1)) + (portref (member WEA 1) (instanceref f1_ram_Mram_ram1)) + (portref (member WEA 0) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_cy[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/empty") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_write1)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_space_xor_3_111)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_state_glue_set)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_13_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_13_BRB1") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_13_BRB1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT51)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13_)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_8__rt "f0/Mcount_rd_addr_cy<8>_rt") (joined + (portref O (instanceref f0_Mcount_rd_addr_cy_8__rt)) + (portref S (instanceref f0_Mcount_rd_addr_cy_8_)) + (portref LI (instanceref f0_Mcount_rd_addr_xor_8_)) + ) + ) + (net (rename f0_Result_0_2_FRB "f0/Result<0>2_FRB") (joined + (portref D (instanceref f0_wr_addr_0)) + (portref Q (instanceref f0_Result_0_2_FRB)) + (portref I0 (instanceref f0_Mcount_wr_addr_lut_0__INV_0)) + ) + ) + (net (rename f0_dont_write_past_me_3__FRB "f0/dont_write_past_me<3>_FRB") (joined + (portref I1 (instanceref f0_Mcompar_becoming_full_lut_1_)) + (portref Q (instanceref f0_dont_write_past_me_3__FRB)) + ) + ) + (net (rename f1_dont_write_past_me_5__FRB "f1/dont_write_past_me<5>_FRB") (joined + (portref I5 (instanceref f1_Mcompar_becoming_full_lut_1_)) + (portref Q (instanceref f1_dont_write_past_me_5__FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_2_)) + ) + ) + (net (rename f0_GND_14_o_read_OR_37_o "f0/GND_14_o_read_OR_37_o") (joined + (portref O (instanceref f0_GND_14_o_read_OR_37_o1)) + (portref ENBRDEN (instanceref f0_ram_Mram_ram33)) + (portref ENB (instanceref f0_ram_Mram_ram31)) + (portref ENB (instanceref f0_ram_Mram_ram30)) + (portref ENB (instanceref f0_ram_Mram_ram32)) + (portref ENB (instanceref f0_ram_Mram_ram28)) + (portref ENB (instanceref f0_ram_Mram_ram27)) + (portref ENB (instanceref f0_ram_Mram_ram29)) + (portref ENB (instanceref f0_ram_Mram_ram25)) + (portref ENB (instanceref f0_ram_Mram_ram24)) + (portref ENB (instanceref f0_ram_Mram_ram26)) + (portref ENB (instanceref f0_ram_Mram_ram22)) + (portref ENB (instanceref f0_ram_Mram_ram21)) + (portref ENB (instanceref f0_ram_Mram_ram23)) + (portref ENB (instanceref f0_ram_Mram_ram19)) + (portref ENB (instanceref f0_ram_Mram_ram18)) + (portref ENB (instanceref f0_ram_Mram_ram20)) + (portref ENB (instanceref f0_ram_Mram_ram16)) + (portref ENB (instanceref f0_ram_Mram_ram15)) + (portref ENB (instanceref f0_ram_Mram_ram17)) + (portref ENB (instanceref f0_ram_Mram_ram14)) + (portref ENB (instanceref f0_ram_Mram_ram13)) + (portref ENB (instanceref f0_ram_Mram_ram12)) + (portref ENB (instanceref f0_ram_Mram_ram11)) + (portref ENB (instanceref f0_ram_Mram_ram9)) + (portref ENB (instanceref f0_ram_Mram_ram8)) + (portref ENB (instanceref f0_ram_Mram_ram10)) + (portref ENB (instanceref f0_ram_Mram_ram6)) + (portref ENB (instanceref f0_ram_Mram_ram5)) + (portref ENB (instanceref f0_ram_Mram_ram7)) + (portref ENB (instanceref f0_ram_Mram_ram3)) + (portref ENB (instanceref f0_ram_Mram_ram2)) + (portref ENB (instanceref f0_ram_Mram_ram4)) + (portref ENB (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_3_)) + ) + ) + (net (rename slave_fifo32_state_FSM_FFd2_In1 "slave_fifo32/state_FSM_FFd2-In1") (joined + (portref O (instanceref slave_fifo32_state_FSM_FFd2_In1)) + (portref I2 (instanceref slave_fifo32_state_FSM_FFd2_In2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n0129_inv") (joined + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_0)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_2)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_3)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_4)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_5)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_6)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_7)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_8)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_12_BRB0)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_12_BRB1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_13_BRB1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_14_BRB1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15_BRB1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_11_BRB1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_10_BRB1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_9_BRB1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv1)) + ) + ) + (net (rename slave_fifo32_state_FSM_FFd2_In2 "slave_fifo32/state_FSM_FFd2-In2") (joined + (portref O (instanceref slave_fifo32_state_FSM_FFd2_In2)) + (portref I2 (instanceref slave_fifo32_state_FSM_FFd2_In3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_10_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[10]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_10)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata371)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_11_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[11]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata381)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_12_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[12]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_12)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata391)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_13_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[13]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_13)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata401)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_14_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[14]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_14)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata411)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_15_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[15]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_15)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata421)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_20_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[20]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_20)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata481)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_16_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[16]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_16)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata431)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_21_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[21]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_21)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata491)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_17_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[17]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_17)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata441)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_22_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[22]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_22)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata501)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_2__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<2>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_2__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_2_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_18_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[18]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_18)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata461)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_23_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[23]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_23)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata511)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_empty "slave_fifo32/fifo64_to_gpmc32_resp/cross_clock_fifo/empty") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_i_tvalid_int1)) + (portref empty (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_15_BRB1") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15_BRB1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o1_SW1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_19_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[19]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_19)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata471)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_24_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[24]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_24)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata521)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_25_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[25]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_25)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata531)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_30_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[30]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_30)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata591)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror_bdd6 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_terror_bdd6") (joined + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In12)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In11)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int16)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<1>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_1__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_1_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_26_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[26]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_26)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata541)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_31_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[31]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_31)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata601)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_27_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[27]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_27)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata551)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_9__rt "f1/Mcount_wr_addr_cy<9>_rt") (joined + (portref O (instanceref f1_Mcount_wr_addr_cy_9__rt)) + (portref S (instanceref f1_Mcount_wr_addr_cy_9_)) + (portref LI (instanceref f1_Mcount_wr_addr_xor_9_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr1") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_1_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_28_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[28]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_28)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata571)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr2") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_2_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr3 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr3") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_3_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr4 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr4") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_4_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr5 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr5") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_5_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_5)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr6 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr6") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_6_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_29_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[29]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_29)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata581)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr7 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr7") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_7_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_7)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr8 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr8") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_8_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_8)) + ) + ) + (net (rename f0_dont_write_past_me_5__FRB "f0/dont_write_past_me<5>_FRB") (joined + (portref I5 (instanceref f0_Mcompar_becoming_full_lut_1_)) + (portref Q (instanceref f0_dont_write_past_me_5__FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr9 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr9") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_9_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_9)) + ) + ) + (net (rename f1_dont_write_past_me_7__FRB "f1/dont_write_past_me<7>_FRB") (joined + (portref I3 (instanceref f1_Mcompar_becoming_full_lut_2_)) + (portref Q (instanceref f1_dont_write_past_me_7__FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[10]") (joined + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_10_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT21)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<3>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr[0]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_)) + (portref (member ADDRBRDADDR 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[11]") (joined + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_11_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT31)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr[1]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_)) + (portref (member ADDRBRDADDR 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[12]") (joined + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_12_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT41)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr[2]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_2)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_)) + (portref (member ADDRBRDADDR 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[13]") (joined + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_13_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT51)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_i_tvalid_int1") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int11)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int13)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr[3]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_3)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_)) + (portref (member ADDRBRDADDR 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[14]") (joined + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_14_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT61)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0154_inv "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n0154_inv") (joined + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_0)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_2)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_3)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_4)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_5)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_6)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_7)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_8)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr1_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr2_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr3_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr4_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr5_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr6_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr7_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr8_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_write1)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr[4]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_4)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_)) + (portref (member ADDRBRDADDR 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_5_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr[5]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_5)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_)) + (portref (member ADDRBRDADDR 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_6_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr[6]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_6)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_)) + (portref (member ADDRBRDADDR 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_7_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr[7]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_7)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_)) + (portref (member ADDRBRDADDR 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut[10]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_10_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_10_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_10_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_8_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr[8]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_8)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_)) + (portref (member ADDRBRDADDR 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut[11]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_11_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_11_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_11_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_9_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr[9]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_9)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_)) + (portref (member ADDRBRDADDR 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr[0]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_0_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_0)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full611)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full621)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_12_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut[12]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_12_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_12_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr[1]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_1_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full1021)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full611)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full621)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo__n0146_inv "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/_n0146_inv") (joined + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_0)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_2)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_3)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_4)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_5)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_6)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_7)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_8)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_9)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_10)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_11)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_12)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_1__FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_2__FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_3__FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_4__FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_5__FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_6__FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_7__FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_8__FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_9__FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_10__FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_11__FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_12__FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_0__FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr1_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr2_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr3_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr4_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr5_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr6_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr7_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr8_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr9_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr10_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr11_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr12_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo__n0146_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_0_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_0)) + ) + ) + (net (rename f1_Mcount_wr_addr_lut_0_ "f1/Mcount_wr_addr_lut[0]") (joined + (portref S (instanceref f1_Mcount_wr_addr_cy_0_)) + (portref LI (instanceref f1_Mcount_wr_addr_xor_0_)) + (portref O (instanceref f1_Mcount_wr_addr_lut_0__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr[2]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_2_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_2)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full611)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full621)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01212211)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0121211)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr[3]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_3_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_3)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full611)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full621)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01212211)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0121211)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr[4]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_4_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_4)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full611)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full621)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0121211)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0076_inv "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/_n0076_inv") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0076_inv)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump_glue_set)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In_bdd1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In_bdd1") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In34)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In14)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In13)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr[5]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_5_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_5)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full611)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full621)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01212211)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0121211)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr5_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr5_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_5)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr5_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr[6]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_6_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_6)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o61)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01219)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_9_11)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01217)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr[7]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_7_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_7)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o71)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_9_11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01217)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net rx_bandsel_c_OBUF (joined + (portref G (instanceref XST_GND)) + (portref D (instanceref bus_sync_reset_int)) + (portref D (instanceref gpif_sync_reset_int)) + (portref D1 (instanceref ODDR2_ifclk)) + (portref R (instanceref ODDR2_ifclk)) + (portref S (instanceref ODDR2_ifclk)) + (portref D1 (instanceref ODDR2_ifclk_dbg)) + (portref R (instanceref ODDR2_ifclk_dbg)) + (portref S (instanceref ODDR2_ifclk_dbg)) + (portref DSSEN (instanceref gen_clks_dcm_sp_inst)) + (portref PSCLK (instanceref gen_clks_dcm_sp_inst)) + (portref PSEN (instanceref gen_clks_dcm_sp_inst)) + (portref PSINCDEC (instanceref gen_clks_dcm_sp_inst)) + (portref D0 (instanceref catgen_gen_pins_0__oddr2)) + (portref D1 (instanceref catgen_gen_pins_0__oddr2)) + (portref R (instanceref catgen_gen_pins_0__oddr2)) + (portref S (instanceref catgen_gen_pins_0__oddr2)) + (portref D0 (instanceref catgen_gen_pins_1__oddr2)) + (portref D1 (instanceref catgen_gen_pins_1__oddr2)) + (portref R (instanceref catgen_gen_pins_1__oddr2)) + (portref S (instanceref catgen_gen_pins_1__oddr2)) + (portref D0 (instanceref catgen_gen_pins_2__oddr2)) + (portref D1 (instanceref catgen_gen_pins_2__oddr2)) + (portref R (instanceref catgen_gen_pins_2__oddr2)) + (portref S (instanceref catgen_gen_pins_2__oddr2)) + (portref D0 (instanceref catgen_gen_pins_3__oddr2)) + (portref D1 (instanceref catgen_gen_pins_3__oddr2)) + (portref R (instanceref catgen_gen_pins_3__oddr2)) + (portref S (instanceref catgen_gen_pins_3__oddr2)) + (portref D0 (instanceref catgen_gen_pins_4__oddr2)) + (portref D1 (instanceref catgen_gen_pins_4__oddr2)) + (portref R (instanceref catgen_gen_pins_4__oddr2)) + (portref S (instanceref catgen_gen_pins_4__oddr2)) + (portref D0 (instanceref catgen_gen_pins_5__oddr2)) + (portref D1 (instanceref catgen_gen_pins_5__oddr2)) + (portref R (instanceref catgen_gen_pins_5__oddr2)) + (portref S (instanceref catgen_gen_pins_5__oddr2)) + (portref D0 (instanceref catgen_gen_pins_6__oddr2)) + (portref D1 (instanceref catgen_gen_pins_6__oddr2)) + (portref R (instanceref catgen_gen_pins_6__oddr2)) + (portref S (instanceref catgen_gen_pins_6__oddr2)) + (portref D0 (instanceref catgen_gen_pins_7__oddr2)) + (portref D1 (instanceref catgen_gen_pins_7__oddr2)) + (portref R (instanceref catgen_gen_pins_7__oddr2)) + (portref S (instanceref catgen_gen_pins_7__oddr2)) + (portref D0 (instanceref catgen_gen_pins_8__oddr2)) + (portref D1 (instanceref catgen_gen_pins_8__oddr2)) + (portref R (instanceref catgen_gen_pins_8__oddr2)) + (portref S (instanceref catgen_gen_pins_8__oddr2)) + (portref D0 (instanceref catgen_gen_pins_9__oddr2)) + (portref D1 (instanceref catgen_gen_pins_9__oddr2)) + (portref R (instanceref catgen_gen_pins_9__oddr2)) + (portref S (instanceref catgen_gen_pins_9__oddr2)) + (portref D0 (instanceref catgen_gen_pins_10__oddr2)) + (portref D1 (instanceref catgen_gen_pins_10__oddr2)) + (portref R (instanceref catgen_gen_pins_10__oddr2)) + (portref S (instanceref catgen_gen_pins_10__oddr2)) + (portref D0 (instanceref catgen_gen_pins_11__oddr2)) + (portref D1 (instanceref catgen_gen_pins_11__oddr2)) + (portref R (instanceref catgen_gen_pins_11__oddr2)) + (portref S (instanceref catgen_gen_pins_11__oddr2)) + (portref D0 (instanceref catgen_oddr2_frame)) + (portref D1 (instanceref catgen_oddr2_frame)) + (portref R (instanceref catgen_oddr2_frame)) + (portref S (instanceref catgen_oddr2_frame)) + (portref D1 (instanceref catgen_oddr2_clk)) + (portref R (instanceref catgen_oddr2_clk)) + (portref S (instanceref catgen_oddr2_clk)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_9_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_10_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_11_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_9_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_10_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_11_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_0_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_1_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_0_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_1_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_2_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_3_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_4_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_0_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_1_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_2_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_3_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_4_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_5_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_6_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_7_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_0_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_1_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_2_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_3_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_4_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_5_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_6_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_7_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_0_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_0_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_1_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_1_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_2_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_2_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_3_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_3_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_4_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_4_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_5_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_5_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_6_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_6_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_7_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_7_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_8_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_8_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_9_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_9_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_10_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_10_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_11_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_11_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_12_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_0_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_0_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_1_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_1_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_2_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_2_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_3_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_3_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_4_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_4_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_5_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_5_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_6_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_6_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_7_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_7_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_8_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_8_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_9_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_9_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_10_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_10_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_11_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_11_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_12_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_0_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_1_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_0_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_1_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_2_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_3_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_4_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_0_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_1_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_2_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_3_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_4_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_5_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_6_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_7_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_0_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_1_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_2_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_3_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_4_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_5_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_6_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_7_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_0_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_1_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_2_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_2_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_3_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_3_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_4_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_4_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_5_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_5_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_6_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_6_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_7_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_7_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_8_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_8_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_9_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_0_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_0_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_1_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_1_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_2_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_2_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_3_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_3_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_4_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_4_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_5_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_5_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_6_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_6_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_7_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_7_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_8_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_8_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_9_)) + (portref DI (instanceref f1_Msub_dont_write_past_me_cy_0_)) + (portref DI (instanceref f1_Msub_dont_write_past_me_cy_1_)) + (portref CI (instanceref f1_Mcount_wr_addr_cy_0_)) + (portref CI (instanceref f1_Mcount_wr_addr_xor_0_)) + (portref DI (instanceref f1_Mcount_wr_addr_cy_1_)) + (portref DI (instanceref f1_Mcount_wr_addr_cy_2_)) + (portref DI (instanceref f1_Mcount_wr_addr_cy_3_)) + (portref DI (instanceref f1_Mcount_wr_addr_cy_4_)) + (portref DI (instanceref f1_Mcount_wr_addr_cy_5_)) + (portref DI (instanceref f1_Mcount_wr_addr_cy_6_)) + (portref DI (instanceref f1_Mcount_wr_addr_cy_7_)) + (portref DI (instanceref f1_Mcount_wr_addr_cy_8_)) + (portref DI (instanceref f1_Mcount_wr_addr_cy_9_)) + (portref DI (instanceref f1_Mcount_wr_addr_cy_10_)) + (portref DI (instanceref f1_Mcount_wr_addr_cy_11_)) + (portref CI (instanceref f1_Mcount_rd_addr_cy_0_)) + (portref CI (instanceref f1_Mcount_rd_addr_xor_0_)) + (portref DI (instanceref f1_Mcount_rd_addr_cy_1_)) + (portref DI (instanceref f1_Mcount_rd_addr_cy_2_)) + (portref DI (instanceref f1_Mcount_rd_addr_cy_3_)) + (portref DI (instanceref f1_Mcount_rd_addr_cy_4_)) + (portref DI (instanceref f1_Mcount_rd_addr_cy_5_)) + (portref DI (instanceref f1_Mcount_rd_addr_cy_6_)) + (portref DI (instanceref f1_Mcount_rd_addr_cy_7_)) + (portref DI (instanceref f1_Mcount_rd_addr_cy_8_)) + (portref DI (instanceref f1_Mcount_rd_addr_cy_9_)) + (portref DI (instanceref f1_Mcount_rd_addr_cy_10_)) + (portref DI (instanceref f1_Mcount_rd_addr_cy_11_)) + (portref DI (instanceref f1_Mcompar_becoming_full_cy_0_)) + (portref DI (instanceref f1_Mcompar_becoming_full_cy_1_)) + (portref DI (instanceref f1_Mcompar_becoming_full_cy_2_)) + (portref DI (instanceref f1_Mcompar_becoming_full_cy_3_)) + (portref DI (instanceref f1_Mcompar_becoming_full_cy_4_)) + (portref DI (instanceref f0_Msub_dont_write_past_me_cy_0_)) + (portref DI (instanceref f0_Msub_dont_write_past_me_cy_1_)) + (portref CI (instanceref f0_Mcount_wr_addr_cy_0_)) + (portref CI (instanceref f0_Mcount_wr_addr_xor_0_)) + (portref DI (instanceref f0_Mcount_wr_addr_cy_1_)) + (portref DI (instanceref f0_Mcount_wr_addr_cy_2_)) + (portref DI (instanceref f0_Mcount_wr_addr_cy_3_)) + (portref DI (instanceref f0_Mcount_wr_addr_cy_4_)) + (portref DI (instanceref f0_Mcount_wr_addr_cy_5_)) + (portref DI (instanceref f0_Mcount_wr_addr_cy_6_)) + (portref DI (instanceref f0_Mcount_wr_addr_cy_7_)) + (portref DI (instanceref f0_Mcount_wr_addr_cy_8_)) + (portref DI (instanceref f0_Mcount_wr_addr_cy_9_)) + (portref DI (instanceref f0_Mcount_wr_addr_cy_10_)) + (portref DI (instanceref f0_Mcount_wr_addr_cy_11_)) + (portref CI (instanceref f0_Mcount_rd_addr_cy_0_)) + (portref CI (instanceref f0_Mcount_rd_addr_xor_0_)) + (portref DI (instanceref f0_Mcount_rd_addr_cy_1_)) + (portref DI (instanceref f0_Mcount_rd_addr_cy_2_)) + (portref DI (instanceref f0_Mcount_rd_addr_cy_3_)) + (portref DI (instanceref f0_Mcount_rd_addr_cy_4_)) + (portref DI (instanceref f0_Mcount_rd_addr_cy_5_)) + (portref DI (instanceref f0_Mcount_rd_addr_cy_6_)) + (portref DI (instanceref f0_Mcount_rd_addr_cy_7_)) + (portref DI (instanceref f0_Mcount_rd_addr_cy_8_)) + (portref DI (instanceref f0_Mcount_rd_addr_cy_9_)) + (portref DI (instanceref f0_Mcount_rd_addr_cy_10_)) + (portref DI (instanceref f0_Mcount_rd_addr_cy_11_)) + (portref DI (instanceref f0_Mcompar_becoming_full_cy_0_)) + (portref DI (instanceref f0_Mcompar_becoming_full_cy_1_)) + (portref DI (instanceref f0_Mcompar_becoming_full_cy_2_)) + (portref DI (instanceref f0_Mcompar_becoming_full_cy_3_)) + (portref DI (instanceref f0_Mcompar_becoming_full_cy_4_)) + (portref I (instanceref codec_ctrl_in_3_OBUF)) + (portref I (instanceref codec_ctrl_in_2_OBUF)) + (portref I (instanceref codec_ctrl_in_1_OBUF)) + (portref I (instanceref codec_ctrl_in_0_OBUF)) + (portref I (instanceref debug_20_OBUF)) + (portref I (instanceref cat_ce_OBUF)) + (portref I (instanceref pll_ce_OBUF)) + (portref I (instanceref pll_mosi_OBUF)) + (portref I (instanceref pll_sclk_OBUF)) + (portref I (instanceref codec_en_agc_OBUF)) + (portref I (instanceref codec_sync_OBUF)) + (portref I (instanceref codec_txrx_OBUF)) + (portref I (instanceref GPIF_CTL0_OBUF)) + (portref I (instanceref gps_out_enable_OBUF)) + (portref I (instanceref gps_ref_enable_OBUF)) + (portref I (instanceref ext_ref_enable_OBUF)) + (portref I (instanceref pps_fpga_out_enable_OBUF)) + (portref I (instanceref tx_bandsel_a_OBUF)) + (portref I (instanceref tx_bandsel_b_OBUF)) + (portref I (instanceref rx_bandsel_a_OBUF)) + (portref I (instanceref rx_bandsel_b_OBUF)) + (portref I (instanceref rx_bandsel_c_OBUF)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_cy)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0_)) + (portref CI (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0_)) + (portref DI (instanceref f1__n0161_inv1_cy)) + (portref CI (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0_)) + (portref DI (instanceref f0__n0161_inv1_cy)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref REGCEBREGCE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref RSTBRST (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member WEBWEU 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member WEBWEU 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member DIA 16) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 16) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member DIPA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member DIPA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member DIPB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member DIPB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member DIPA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIPA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIPA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIPB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIPB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIPB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portref REGCEBREGCE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portref RSTBRST (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portref (member WEBWEU 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portref (member WEBWEU 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portref (member DIPA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIPA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIPA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIPB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIPB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIPB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member DIA 16) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 16) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member DIPA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member DIPA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member DIPB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member DIPB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref REGCEA (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref REGCEB (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref RSTA (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref RSTB (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member WEB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member WEB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member WEB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member WEB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref REGCEA (instanceref f1_ram_Mram_ram33)) + (portref REGCEBREGCE (instanceref f1_ram_Mram_ram33)) + (portref RSTA (instanceref f1_ram_Mram_ram33)) + (portref RSTBRST (instanceref f1_ram_Mram_ram33)) + (portref (member WEBWEU 1) (instanceref f1_ram_Mram_ram33)) + (portref (member WEBWEU 0) (instanceref f1_ram_Mram_ram33)) + (portref REGCEA (instanceref f1_ram_Mram_ram31)) + (portref REGCEB (instanceref f1_ram_Mram_ram31)) + (portref RSTA (instanceref f1_ram_Mram_ram31)) + (portref RSTB (instanceref f1_ram_Mram_ram31)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram31)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram31)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram31)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram31)) + (portref REGCEA (instanceref f1_ram_Mram_ram30)) + (portref REGCEB (instanceref f1_ram_Mram_ram30)) + (portref RSTA (instanceref f1_ram_Mram_ram30)) + (portref RSTB (instanceref f1_ram_Mram_ram30)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram30)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram30)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram30)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram30)) + (portref REGCEA (instanceref f1_ram_Mram_ram32)) + (portref REGCEB (instanceref f1_ram_Mram_ram32)) + (portref RSTA (instanceref f1_ram_Mram_ram32)) + (portref RSTB (instanceref f1_ram_Mram_ram32)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram32)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram32)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram32)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram32)) + (portref REGCEA (instanceref f1_ram_Mram_ram28)) + (portref REGCEB (instanceref f1_ram_Mram_ram28)) + (portref RSTA (instanceref f1_ram_Mram_ram28)) + (portref RSTB (instanceref f1_ram_Mram_ram28)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram28)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram28)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram28)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram28)) + (portref REGCEA (instanceref f1_ram_Mram_ram27)) + (portref REGCEB (instanceref f1_ram_Mram_ram27)) + (portref RSTA (instanceref f1_ram_Mram_ram27)) + (portref RSTB (instanceref f1_ram_Mram_ram27)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram27)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram27)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram27)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram27)) + (portref REGCEA (instanceref f1_ram_Mram_ram29)) + (portref REGCEB (instanceref f1_ram_Mram_ram29)) + (portref RSTA (instanceref f1_ram_Mram_ram29)) + (portref RSTB (instanceref f1_ram_Mram_ram29)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram29)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram29)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram29)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram29)) + (portref REGCEA (instanceref f1_ram_Mram_ram25)) + (portref REGCEB (instanceref f1_ram_Mram_ram25)) + (portref RSTA (instanceref f1_ram_Mram_ram25)) + (portref RSTB (instanceref f1_ram_Mram_ram25)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram25)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram25)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram25)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram25)) + (portref REGCEA (instanceref f1_ram_Mram_ram24)) + (portref REGCEB (instanceref f1_ram_Mram_ram24)) + (portref RSTA (instanceref f1_ram_Mram_ram24)) + (portref RSTB (instanceref f1_ram_Mram_ram24)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram24)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram24)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram24)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram24)) + (portref REGCEA (instanceref f1_ram_Mram_ram26)) + (portref REGCEB (instanceref f1_ram_Mram_ram26)) + (portref RSTA (instanceref f1_ram_Mram_ram26)) + (portref RSTB (instanceref f1_ram_Mram_ram26)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram26)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram26)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram26)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram26)) + (portref REGCEA (instanceref f1_ram_Mram_ram22)) + (portref REGCEB (instanceref f1_ram_Mram_ram22)) + (portref RSTA (instanceref f1_ram_Mram_ram22)) + (portref RSTB (instanceref f1_ram_Mram_ram22)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram22)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram22)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram22)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram22)) + (portref REGCEA (instanceref f1_ram_Mram_ram21)) + (portref REGCEB (instanceref f1_ram_Mram_ram21)) + (portref RSTA (instanceref f1_ram_Mram_ram21)) + (portref RSTB (instanceref f1_ram_Mram_ram21)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram21)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram21)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram21)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram21)) + (portref REGCEA (instanceref f1_ram_Mram_ram23)) + (portref REGCEB (instanceref f1_ram_Mram_ram23)) + (portref RSTA (instanceref f1_ram_Mram_ram23)) + (portref RSTB (instanceref f1_ram_Mram_ram23)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram23)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram23)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram23)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram23)) + (portref REGCEA (instanceref f1_ram_Mram_ram19)) + (portref REGCEB (instanceref f1_ram_Mram_ram19)) + (portref RSTA (instanceref f1_ram_Mram_ram19)) + (portref RSTB (instanceref f1_ram_Mram_ram19)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram19)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram19)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram19)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram19)) + (portref REGCEA (instanceref f1_ram_Mram_ram18)) + (portref REGCEB (instanceref f1_ram_Mram_ram18)) + (portref RSTA (instanceref f1_ram_Mram_ram18)) + (portref RSTB (instanceref f1_ram_Mram_ram18)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram18)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram18)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram18)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram18)) + (portref REGCEA (instanceref f1_ram_Mram_ram20)) + (portref REGCEB (instanceref f1_ram_Mram_ram20)) + (portref RSTA (instanceref f1_ram_Mram_ram20)) + (portref RSTB (instanceref f1_ram_Mram_ram20)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram20)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram20)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram20)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram20)) + (portref REGCEA (instanceref f1_ram_Mram_ram16)) + (portref REGCEB (instanceref f1_ram_Mram_ram16)) + (portref RSTA (instanceref f1_ram_Mram_ram16)) + (portref RSTB (instanceref f1_ram_Mram_ram16)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram16)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram16)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram16)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram16)) + (portref REGCEA (instanceref f1_ram_Mram_ram15)) + (portref REGCEB (instanceref f1_ram_Mram_ram15)) + (portref RSTA (instanceref f1_ram_Mram_ram15)) + (portref RSTB (instanceref f1_ram_Mram_ram15)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram15)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram15)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram15)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram15)) + (portref REGCEA (instanceref f1_ram_Mram_ram17)) + (portref REGCEB (instanceref f1_ram_Mram_ram17)) + (portref RSTA (instanceref f1_ram_Mram_ram17)) + (portref RSTB (instanceref f1_ram_Mram_ram17)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram17)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram17)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram17)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram17)) + (portref REGCEA (instanceref f1_ram_Mram_ram14)) + (portref REGCEB (instanceref f1_ram_Mram_ram14)) + (portref RSTA (instanceref f1_ram_Mram_ram14)) + (portref RSTB (instanceref f1_ram_Mram_ram14)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram14)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram14)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram14)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram14)) + (portref REGCEA (instanceref f1_ram_Mram_ram13)) + (portref REGCEB (instanceref f1_ram_Mram_ram13)) + (portref RSTA (instanceref f1_ram_Mram_ram13)) + (portref RSTB (instanceref f1_ram_Mram_ram13)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram13)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram13)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram13)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram13)) + (portref REGCEA (instanceref f1_ram_Mram_ram12)) + (portref REGCEB (instanceref f1_ram_Mram_ram12)) + (portref RSTA (instanceref f1_ram_Mram_ram12)) + (portref RSTB (instanceref f1_ram_Mram_ram12)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram12)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram12)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram12)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram12)) + (portref REGCEA (instanceref f1_ram_Mram_ram11)) + (portref REGCEB (instanceref f1_ram_Mram_ram11)) + (portref RSTA (instanceref f1_ram_Mram_ram11)) + (portref RSTB (instanceref f1_ram_Mram_ram11)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram11)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram11)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram11)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram11)) + (portref REGCEA (instanceref f1_ram_Mram_ram9)) + (portref REGCEB (instanceref f1_ram_Mram_ram9)) + (portref RSTA (instanceref f1_ram_Mram_ram9)) + (portref RSTB (instanceref f1_ram_Mram_ram9)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram9)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram9)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram9)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram9)) + (portref REGCEA (instanceref f1_ram_Mram_ram8)) + (portref REGCEB (instanceref f1_ram_Mram_ram8)) + (portref RSTA (instanceref f1_ram_Mram_ram8)) + (portref RSTB (instanceref f1_ram_Mram_ram8)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram8)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram8)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram8)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram8)) + (portref REGCEA (instanceref f1_ram_Mram_ram10)) + (portref REGCEB (instanceref f1_ram_Mram_ram10)) + (portref RSTA (instanceref f1_ram_Mram_ram10)) + (portref RSTB (instanceref f1_ram_Mram_ram10)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram10)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram10)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram10)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram10)) + (portref REGCEA (instanceref f1_ram_Mram_ram6)) + (portref REGCEB (instanceref f1_ram_Mram_ram6)) + (portref RSTA (instanceref f1_ram_Mram_ram6)) + (portref RSTB (instanceref f1_ram_Mram_ram6)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram6)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram6)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram6)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram6)) + (portref REGCEA (instanceref f1_ram_Mram_ram5)) + (portref REGCEB (instanceref f1_ram_Mram_ram5)) + (portref RSTA (instanceref f1_ram_Mram_ram5)) + (portref RSTB (instanceref f1_ram_Mram_ram5)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram5)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram5)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram5)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram5)) + (portref REGCEA (instanceref f1_ram_Mram_ram7)) + (portref REGCEB (instanceref f1_ram_Mram_ram7)) + (portref RSTA (instanceref f1_ram_Mram_ram7)) + (portref RSTB (instanceref f1_ram_Mram_ram7)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram7)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram7)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram7)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram7)) + (portref REGCEA (instanceref f1_ram_Mram_ram3)) + (portref REGCEB (instanceref f1_ram_Mram_ram3)) + (portref RSTA (instanceref f1_ram_Mram_ram3)) + (portref RSTB (instanceref f1_ram_Mram_ram3)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram3)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram3)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram3)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram3)) + (portref REGCEA (instanceref f1_ram_Mram_ram2)) + (portref REGCEB (instanceref f1_ram_Mram_ram2)) + (portref RSTA (instanceref f1_ram_Mram_ram2)) + (portref RSTB (instanceref f1_ram_Mram_ram2)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram2)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram2)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram2)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram2)) + (portref REGCEA (instanceref f1_ram_Mram_ram4)) + (portref REGCEB (instanceref f1_ram_Mram_ram4)) + (portref RSTA (instanceref f1_ram_Mram_ram4)) + (portref RSTB (instanceref f1_ram_Mram_ram4)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram4)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram4)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram4)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram4)) + (portref REGCEA (instanceref f1_ram_Mram_ram1)) + (portref REGCEB (instanceref f1_ram_Mram_ram1)) + (portref RSTA (instanceref f1_ram_Mram_ram1)) + (portref RSTB (instanceref f1_ram_Mram_ram1)) + (portref (member WEB 3) (instanceref f1_ram_Mram_ram1)) + (portref (member WEB 2) (instanceref f1_ram_Mram_ram1)) + (portref (member WEB 1) (instanceref f1_ram_Mram_ram1)) + (portref (member WEB 0) (instanceref f1_ram_Mram_ram1)) + (portref REGCEA (instanceref f0_ram_Mram_ram33)) + (portref REGCEBREGCE (instanceref f0_ram_Mram_ram33)) + (portref RSTA (instanceref f0_ram_Mram_ram33)) + (portref RSTBRST (instanceref f0_ram_Mram_ram33)) + (portref (member WEBWEU 1) (instanceref f0_ram_Mram_ram33)) + (portref (member WEBWEU 0) (instanceref f0_ram_Mram_ram33)) + (portref REGCEA (instanceref f0_ram_Mram_ram31)) + (portref REGCEB (instanceref f0_ram_Mram_ram31)) + (portref RSTA (instanceref f0_ram_Mram_ram31)) + (portref RSTB (instanceref f0_ram_Mram_ram31)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram31)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram31)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram31)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram31)) + (portref REGCEA (instanceref f0_ram_Mram_ram30)) + (portref REGCEB (instanceref f0_ram_Mram_ram30)) + (portref RSTA (instanceref f0_ram_Mram_ram30)) + (portref RSTB (instanceref f0_ram_Mram_ram30)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram30)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram30)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram30)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram30)) + (portref REGCEA (instanceref f0_ram_Mram_ram32)) + (portref REGCEB (instanceref f0_ram_Mram_ram32)) + (portref RSTA (instanceref f0_ram_Mram_ram32)) + (portref RSTB (instanceref f0_ram_Mram_ram32)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram32)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram32)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram32)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram32)) + (portref REGCEA (instanceref f0_ram_Mram_ram28)) + (portref REGCEB (instanceref f0_ram_Mram_ram28)) + (portref RSTA (instanceref f0_ram_Mram_ram28)) + (portref RSTB (instanceref f0_ram_Mram_ram28)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram28)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram28)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram28)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram28)) + (portref REGCEA (instanceref f0_ram_Mram_ram27)) + (portref REGCEB (instanceref f0_ram_Mram_ram27)) + (portref RSTA (instanceref f0_ram_Mram_ram27)) + (portref RSTB (instanceref f0_ram_Mram_ram27)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram27)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram27)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram27)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram27)) + (portref REGCEA (instanceref f0_ram_Mram_ram29)) + (portref REGCEB (instanceref f0_ram_Mram_ram29)) + (portref RSTA (instanceref f0_ram_Mram_ram29)) + (portref RSTB (instanceref f0_ram_Mram_ram29)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram29)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram29)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram29)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram29)) + (portref REGCEA (instanceref f0_ram_Mram_ram25)) + (portref REGCEB (instanceref f0_ram_Mram_ram25)) + (portref RSTA (instanceref f0_ram_Mram_ram25)) + (portref RSTB (instanceref f0_ram_Mram_ram25)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram25)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram25)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram25)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram25)) + (portref REGCEA (instanceref f0_ram_Mram_ram24)) + (portref REGCEB (instanceref f0_ram_Mram_ram24)) + (portref RSTA (instanceref f0_ram_Mram_ram24)) + (portref RSTB (instanceref f0_ram_Mram_ram24)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram24)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram24)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram24)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram24)) + (portref REGCEA (instanceref f0_ram_Mram_ram26)) + (portref REGCEB (instanceref f0_ram_Mram_ram26)) + (portref RSTA (instanceref f0_ram_Mram_ram26)) + (portref RSTB (instanceref f0_ram_Mram_ram26)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram26)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram26)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram26)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram26)) + (portref REGCEA (instanceref f0_ram_Mram_ram22)) + (portref REGCEB (instanceref f0_ram_Mram_ram22)) + (portref RSTA (instanceref f0_ram_Mram_ram22)) + (portref RSTB (instanceref f0_ram_Mram_ram22)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram22)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram22)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram22)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram22)) + (portref REGCEA (instanceref f0_ram_Mram_ram21)) + (portref REGCEB (instanceref f0_ram_Mram_ram21)) + (portref RSTA (instanceref f0_ram_Mram_ram21)) + (portref RSTB (instanceref f0_ram_Mram_ram21)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram21)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram21)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram21)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram21)) + (portref REGCEA (instanceref f0_ram_Mram_ram23)) + (portref REGCEB (instanceref f0_ram_Mram_ram23)) + (portref RSTA (instanceref f0_ram_Mram_ram23)) + (portref RSTB (instanceref f0_ram_Mram_ram23)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram23)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram23)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram23)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram23)) + (portref REGCEA (instanceref f0_ram_Mram_ram19)) + (portref REGCEB (instanceref f0_ram_Mram_ram19)) + (portref RSTA (instanceref f0_ram_Mram_ram19)) + (portref RSTB (instanceref f0_ram_Mram_ram19)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram19)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram19)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram19)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram19)) + (portref REGCEA (instanceref f0_ram_Mram_ram18)) + (portref REGCEB (instanceref f0_ram_Mram_ram18)) + (portref RSTA (instanceref f0_ram_Mram_ram18)) + (portref RSTB (instanceref f0_ram_Mram_ram18)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram18)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram18)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram18)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram18)) + (portref REGCEA (instanceref f0_ram_Mram_ram20)) + (portref REGCEB (instanceref f0_ram_Mram_ram20)) + (portref RSTA (instanceref f0_ram_Mram_ram20)) + (portref RSTB (instanceref f0_ram_Mram_ram20)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram20)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram20)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram20)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram20)) + (portref REGCEA (instanceref f0_ram_Mram_ram16)) + (portref REGCEB (instanceref f0_ram_Mram_ram16)) + (portref RSTA (instanceref f0_ram_Mram_ram16)) + (portref RSTB (instanceref f0_ram_Mram_ram16)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram16)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram16)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram16)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram16)) + (portref REGCEA (instanceref f0_ram_Mram_ram15)) + (portref REGCEB (instanceref f0_ram_Mram_ram15)) + (portref RSTA (instanceref f0_ram_Mram_ram15)) + (portref RSTB (instanceref f0_ram_Mram_ram15)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram15)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram15)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram15)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram15)) + (portref REGCEA (instanceref f0_ram_Mram_ram17)) + (portref REGCEB (instanceref f0_ram_Mram_ram17)) + (portref RSTA (instanceref f0_ram_Mram_ram17)) + (portref RSTB (instanceref f0_ram_Mram_ram17)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram17)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram17)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram17)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram17)) + (portref REGCEA (instanceref f0_ram_Mram_ram14)) + (portref REGCEB (instanceref f0_ram_Mram_ram14)) + (portref RSTA (instanceref f0_ram_Mram_ram14)) + (portref RSTB (instanceref f0_ram_Mram_ram14)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram14)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram14)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram14)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram14)) + (portref REGCEA (instanceref f0_ram_Mram_ram13)) + (portref REGCEB (instanceref f0_ram_Mram_ram13)) + (portref RSTA (instanceref f0_ram_Mram_ram13)) + (portref RSTB (instanceref f0_ram_Mram_ram13)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram13)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram13)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram13)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram13)) + (portref REGCEA (instanceref f0_ram_Mram_ram12)) + (portref REGCEB (instanceref f0_ram_Mram_ram12)) + (portref RSTA (instanceref f0_ram_Mram_ram12)) + (portref RSTB (instanceref f0_ram_Mram_ram12)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram12)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram12)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram12)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram12)) + (portref REGCEA (instanceref f0_ram_Mram_ram11)) + (portref REGCEB (instanceref f0_ram_Mram_ram11)) + (portref RSTA (instanceref f0_ram_Mram_ram11)) + (portref RSTB (instanceref f0_ram_Mram_ram11)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram11)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram11)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram11)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram11)) + (portref REGCEA (instanceref f0_ram_Mram_ram9)) + (portref REGCEB (instanceref f0_ram_Mram_ram9)) + (portref RSTA (instanceref f0_ram_Mram_ram9)) + (portref RSTB (instanceref f0_ram_Mram_ram9)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram9)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram9)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram9)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram9)) + (portref REGCEA (instanceref f0_ram_Mram_ram8)) + (portref REGCEB (instanceref f0_ram_Mram_ram8)) + (portref RSTA (instanceref f0_ram_Mram_ram8)) + (portref RSTB (instanceref f0_ram_Mram_ram8)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram8)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram8)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram8)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram8)) + (portref REGCEA (instanceref f0_ram_Mram_ram10)) + (portref REGCEB (instanceref f0_ram_Mram_ram10)) + (portref RSTA (instanceref f0_ram_Mram_ram10)) + (portref RSTB (instanceref f0_ram_Mram_ram10)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram10)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram10)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram10)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram10)) + (portref REGCEA (instanceref f0_ram_Mram_ram6)) + (portref REGCEB (instanceref f0_ram_Mram_ram6)) + (portref RSTA (instanceref f0_ram_Mram_ram6)) + (portref RSTB (instanceref f0_ram_Mram_ram6)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram6)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram6)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram6)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram6)) + (portref REGCEA (instanceref f0_ram_Mram_ram5)) + (portref REGCEB (instanceref f0_ram_Mram_ram5)) + (portref RSTA (instanceref f0_ram_Mram_ram5)) + (portref RSTB (instanceref f0_ram_Mram_ram5)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram5)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram5)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram5)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram5)) + (portref REGCEA (instanceref f0_ram_Mram_ram7)) + (portref REGCEB (instanceref f0_ram_Mram_ram7)) + (portref RSTA (instanceref f0_ram_Mram_ram7)) + (portref RSTB (instanceref f0_ram_Mram_ram7)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram7)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram7)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram7)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram7)) + (portref REGCEA (instanceref f0_ram_Mram_ram3)) + (portref REGCEB (instanceref f0_ram_Mram_ram3)) + (portref RSTA (instanceref f0_ram_Mram_ram3)) + (portref RSTB (instanceref f0_ram_Mram_ram3)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram3)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram3)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram3)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram3)) + (portref REGCEA (instanceref f0_ram_Mram_ram2)) + (portref REGCEB (instanceref f0_ram_Mram_ram2)) + (portref RSTA (instanceref f0_ram_Mram_ram2)) + (portref RSTB (instanceref f0_ram_Mram_ram2)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram2)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram2)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram2)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram2)) + (portref REGCEA (instanceref f0_ram_Mram_ram4)) + (portref REGCEB (instanceref f0_ram_Mram_ram4)) + (portref RSTA (instanceref f0_ram_Mram_ram4)) + (portref RSTB (instanceref f0_ram_Mram_ram4)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram4)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram4)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram4)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram4)) + (portref REGCEA (instanceref f0_ram_Mram_ram1)) + (portref REGCEB (instanceref f0_ram_Mram_ram1)) + (portref RSTA (instanceref f0_ram_Mram_ram1)) + (portref RSTB (instanceref f0_ram_Mram_ram1)) + (portref (member WEB 3) (instanceref f0_ram_Mram_ram1)) + (portref (member WEB 2) (instanceref f0_ram_Mram_ram1)) + (portref (member WEB 1) (instanceref f0_ram_Mram_ram1)) + (portref (member WEB 0) (instanceref f0_ram_Mram_ram1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_0_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_1_)) + (portref (member din 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 5) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 6) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 7) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 8) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 9) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 10) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 11) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 12) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 13) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 14) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 15) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 16) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 17) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 18) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 19) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 20) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 21) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 22) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 23) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 24) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 25) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 26) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 27) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 28) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 29) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 30) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 31) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 32) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 33) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 34) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 35) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 36) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 37) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 38) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 13) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 14) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 15) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 16) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 17) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 18) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 19) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 20) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 21) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 22) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 23) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 24) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 25) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 26) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 27) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 28) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 29) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 32) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 33) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 34) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 35) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 36) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 37) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 38) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 4) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 5) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 6) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 7) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 8) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 9) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 10) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 11) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 12) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 13) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 14) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 15) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 16) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 17) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 18) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 19) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 20) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 21) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 22) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 23) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 24) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 25) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 26) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 27) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 28) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 29) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 32) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 33) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 34) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 35) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 36) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 37) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 38) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 5) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 6) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 7) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 8) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 9) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 10) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 11) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 12) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 13) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 14) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 15) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 16) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 17) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 18) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 19) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 20) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 21) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 22) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 23) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 24) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 25) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 26) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 27) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 28) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 29) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 30) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 31) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 32) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 33) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 34) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 35) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 36) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 37) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref (member din 38) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full61 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/becoming_full61") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01219)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_9_11)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full611)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01217)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full62 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/becoming_full62") (joined + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01219)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_9_11)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full621)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01217)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr[8]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_8_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_8)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o81)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_9_11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01217)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net fx3_miso_OBUF (joined + (portref O (instanceref fx3_miso1)) + (portref I (instanceref fx3_miso_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr[9]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_9_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_9_11)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW1)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename f0_dont_write_past_me_7__FRB "f0/dont_write_past_me<7>_FRB") (joined + (portref I3 (instanceref f0_Mcompar_becoming_full_lut_2_)) + (portref Q (instanceref f0_dont_write_past_me_7__FRB)) + ) + ) + (net (rename f1_dont_write_past_me_9__FRB "f1/dont_write_past_me<9>_FRB") (joined + (portref I1 (instanceref f1_Mcompar_becoming_full_lut_3_)) + (portref Q (instanceref f1_dont_write_past_me_9__FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0146_inv "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n0146_inv") (joined + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_0)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_2)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_3)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_4)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_5)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_6)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_7)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_8)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_SW0_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0146_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines3210 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines3210") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_10_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_10)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines3211 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines3211") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_11_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines3212 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines3212") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_12_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_12)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines3213 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines3213") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_13_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_13)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines3214 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines3214") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_14_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_14)) + ) + ) + (net (rename slave_fifo32_wr_one "slave_fifo32/wr_one") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tready_int1_SW0)) + (portref Q (instanceref slave_fifo32_wr_one)) + (portref I0 (instanceref slave_fifo32_wr_one_rstpot)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_xfer_Mux_21_o1_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines3215 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines3215") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_15_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_15)) + ) + ) + (net (rename slave_fifo32_sloe_1 "slave_fifo32/sloe_1") (joined + (portref I (instanceref GPIF_CTL2_OBUF)) + (portref Q (instanceref slave_fifo32_sloe_1)) + ) + ) + (net (rename slave_fifo32_sloe_2 "slave_fifo32/sloe_2") (joined + (portref Q (instanceref slave_fifo32_sloe_2)) + (portref T (instanceref GPIF_D_0_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_3 "slave_fifo32/sloe_3") (joined + (portref Q (instanceref slave_fifo32_sloe_3)) + (portref T (instanceref GPIF_D_1_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_4 "slave_fifo32/sloe_4") (joined + (portref Q (instanceref slave_fifo32_sloe_4)) + (portref T (instanceref GPIF_D_2_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_5 "slave_fifo32/sloe_5") (joined + (portref Q (instanceref slave_fifo32_sloe_5)) + (portref T (instanceref GPIF_D_3_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_6 "slave_fifo32/sloe_6") (joined + (portref Q (instanceref slave_fifo32_sloe_6)) + (portref T (instanceref GPIF_D_4_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_7 "slave_fifo32/sloe_7") (joined + (portref Q (instanceref slave_fifo32_sloe_7)) + (portref T (instanceref GPIF_D_5_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_8 "slave_fifo32/sloe_8") (joined + (portref Q (instanceref slave_fifo32_sloe_8)) + (portref T (instanceref GPIF_D_6_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_9 "slave_fifo32/sloe_9") (joined + (portref Q (instanceref slave_fifo32_sloe_9)) + (portref T (instanceref GPIF_D_7_IOBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_empty "slave_fifo32/fifo64_to_gpmc32_ctrl/cross_clock_fifo/empty") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_read1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker__n0227_inv1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In31)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In12_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int11)) + (portref empty (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename GPIF_D_10_ "GPIF_D[10]") (joined + (portref IO (instanceref GPIF_D_10_IOBUF)) + (portref (member GPIF_D 21)) + ) + ) + (net (rename GPIF_D_11_ "GPIF_D[11]") (joined + (portref IO (instanceref GPIF_D_11_IOBUF)) + (portref (member GPIF_D 20)) + ) + ) + (net pll_ce (joined + (portref O (instanceref pll_ce_OBUF)) + (portref pll_ce) + ) + ) + (net (rename GPIF_D_12_ "GPIF_D[12]") (joined + (portref IO (instanceref GPIF_D_12_IOBUF)) + (portref (member GPIF_D 19)) + ) + ) + (net (rename GPIF_D_13_ "GPIF_D[13]") (joined + (portref IO (instanceref GPIF_D_13_IOBUF)) + (portref (member GPIF_D 18)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full102 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/becoming_full102") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_becoming_full1021)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10)) + ) + ) + (net (rename GPIF_D_14_ "GPIF_D[14]") (joined + (portref IO (instanceref GPIF_D_14_IOBUF)) + (portref (member GPIF_D 17)) + ) + ) + (net N10 (joined + (portref O (instanceref slave_fifo32__n0279_inv_SW0)) + (portref I3 (instanceref slave_fifo32__n0279_inv)) + ) + ) + (net (rename GPIF_D_20_ "GPIF_D[20]") (joined + (portref IO (instanceref GPIF_D_20_IOBUF)) + (portref (member GPIF_D 11)) + ) + ) + (net (rename GPIF_D_15_ "GPIF_D[15]") (joined + (portref IO (instanceref GPIF_D_15_IOBUF)) + (portref (member GPIF_D 16)) + ) + ) + (net N14 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv_SW0)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv)) + ) + ) + (net cat_miso (joined + (portref I (instanceref cat_miso_IBUF)) + (portref cat_miso) + ) + ) + (net N22 (joined + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7_SW0)) + ) + ) + (net N18 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv_SW0)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv)) + ) + ) + (net (rename GPIF_D_21_ "GPIF_D[21]") (joined + (portref IO (instanceref GPIF_D_21_IOBUF)) + (portref (member GPIF_D 10)) + ) + ) + (net (rename GPIF_D_16_ "GPIF_D[16]") (joined + (portref IO (instanceref GPIF_D_16_IOBUF)) + (portref (member GPIF_D 15)) + ) + ) + (net N24 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tready_int1_SW0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tready_int1)) + ) + ) + (net LED_RX1 (joined + (portref O (instanceref LED_RX1_OBUF)) + (portref LED_RX1) + ) + ) + (net N30 (joined + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7_SW0)) + ) + ) + (net LED_RX2 (joined + (portref O (instanceref LED_RX2_OBUF)) + (portref LED_RX2) + ) + ) + (net N26 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_i_tvalid_int1_SW0)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_i_tvalid_int1)) + ) + ) + (net (rename GPIF_D_22_ "GPIF_D[22]") (joined + (portref IO (instanceref GPIF_D_22_IOBUF)) + (portref (member GPIF_D 9)) + ) + ) + (net (rename GPIF_D_17_ "GPIF_D[17]") (joined + (portref IO (instanceref GPIF_D_17_IOBUF)) + (portref (member GPIF_D 14)) + ) + ) + (net N34 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_i_tvalid_int1_SW0)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_i_tvalid_int1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr5_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr5_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_5)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr5_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_5__rt)) + ) + ) + (net N40 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0102_SW0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full_glue_set)) + ) + ) + (net N42 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv)) + ) + ) + (net N38 (joined + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW0)) + ) + ) + (net (rename GPIF_D_23_ "GPIF_D[23]") (joined + (portref IO (instanceref GPIF_D_23_IOBUF)) + (portref (member GPIF_D 8)) + ) + ) + (net (rename GPIF_D_18_ "GPIF_D[18]") (joined + (portref IO (instanceref GPIF_D_18_IOBUF)) + (portref (member GPIF_D 13)) + ) + ) + (net N50 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0_cy)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB3)) + ) + ) + (net N52 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1_SW0)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1)) + ) + ) + (net (rename GPIF_D_24_ "GPIF_D[24]") (joined + (portref IO (instanceref GPIF_D_24_IOBUF)) + (portref (member GPIF_D 7)) + ) + ) + (net (rename GPIF_D_19_ "GPIF_D[19]") (joined + (portref IO (instanceref GPIF_D_19_IOBUF)) + (portref (member GPIF_D 12)) + ) + ) + (net N54 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_clear_dump_OR_131_o_SW0)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_clear_inv1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_clear_dump_OR_131_o)) + ) + ) + (net N60 (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4_SW0)) + ) + ) + (net N56 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0076_inv_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0076_inv)) + ) + ) + (net N62 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW0)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror11)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_tlast1)) + ) + ) + (net N58 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_4__rt "f0/Mcount_wr_addr_cy<4>_rt") (joined + (portref O (instanceref f0_Mcount_wr_addr_cy_4__rt)) + (portref S (instanceref f0_Mcount_wr_addr_cy_4_)) + (portref LI (instanceref f0_Mcount_wr_addr_xor_4_)) + ) + ) + (net (rename GPIF_D_30_ "GPIF_D[30]") (joined + (portref IO (instanceref GPIF_D_30_IOBUF)) + (portref (member GPIF_D 1)) + ) + ) + (net (rename GPIF_D_25_ "GPIF_D[25]") (joined + (portref IO (instanceref GPIF_D_25_IOBUF)) + (portref (member GPIF_D 6)) + ) + ) + (net N64 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0102_SW0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full_glue_set)) + ) + ) + (net N66 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv)) + ) + ) + (net (rename f0_dont_write_past_me_9__FRB "f0/dont_write_past_me<9>_FRB") (joined + (portref I1 (instanceref f0_Mcompar_becoming_full_lut_3_)) + (portref Q (instanceref f0_dont_write_past_me_9__FRB)) + ) + ) + (net (rename GPIF_D_31_ "GPIF_D[31]") (joined + (portref IO (instanceref GPIF_D_31_IOBUF)) + (portref (member GPIF_D 0)) + ) + ) + (net (rename GPIF_D_26_ "GPIF_D[26]") (joined + (portref IO (instanceref GPIF_D_26_IOBUF)) + (portref (member GPIF_D 5)) + ) + ) + (net (rename f1_read_state_FSM_FFd1 "f1/read_state_FSM_FFd1") (joined + (portref Q (instanceref f1_read_state_FSM_FFd1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_space_xor_3_111)) + (portref I1 (instanceref f1__n0161_inv1_lut)) + (portref I1 (instanceref f1__n0161_inv1_lut1)) + (portref I0 (instanceref f1_GND_14_o_read_OR_37_o1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_write1)) + (portref I0 (instanceref f1_read_state_FSM_FFd1_In111)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst)) + (portref I0 (instanceref f1_read_state_FSM_FFd2_In1)) + (portref I3 (instanceref f1_full_reg_glue_set)) + ) + ) + (net N80 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_clear_dump_OR_154_o_SW0)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_clear_inv1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_clear_dump_OR_154_o)) + ) + ) + (net (rename f1_read_state_FSM_FFd2 "f1/read_state_FSM_FFd2") (joined + (portref Q (instanceref f1_read_state_FSM_FFd2)) + (portref I0 (instanceref f1__n0161_inv1_lut1)) + (portref I2 (instanceref f1_GND_14_o_read_OR_37_o1)) + (portref I3 (instanceref f1_read_state_FSM_FFd1_In111)) + (portref I5 (instanceref f1_read_state_FSM_FFd2_In1)) + ) + ) + (net N76 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB3)) + ) + ) + (net N82 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0076_inv_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0076_inv)) + ) + ) + (net N78 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1_SW0)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1)) + ) + ) + (net (rename GPIF_D_27_ "GPIF_D[27]") (joined + (portref IO (instanceref GPIF_D_27_IOBUF)) + (portref (member GPIF_D 4)) + ) + ) + (net N84 (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0)) + ) + ) + (net N90 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + ) + ) + (net N86 (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4_SW0)) + ) + ) + (net debug_clk_1_OBUF (joined + (portref Q (instanceref ODDR2_ifclk_dbg)) + (portref I (instanceref debug_clk_1_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_1_)) + ) + ) + (net N88 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tlast1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid61)) + ) + ) + (net (rename GPIF_D_28_ "GPIF_D[28]") (joined + (portref IO (instanceref GPIF_D_28_IOBUF)) + (portref (member GPIF_D 3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012111 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n012111") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0121111)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012114)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01217)) + ) + ) + (net N96 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_31)) + (portref O (instanceref GPIF_D_31_IOBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr3_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr3_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_3)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr3_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3__rt)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_3__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012112 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n012112") (joined + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012114)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012111)) + ) + ) + (net N97 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_30)) + (portref O (instanceref GPIF_D_30_IOBUF)) + ) + ) + (net locked (joined + (portref LOCKED (instanceref gen_clks_dcm_sp_inst)) + (portref D (instanceref slave_fifo32_debug1_21)) + (portref I0 (instanceref reset_global_locked_OR_1_o1)) + (portref I1 (instanceref slave_fifo32__n0230_inv1)) + (portref I0 (instanceref slave_fifo32__n0223_inv1)) + (portref I5 (instanceref slave_fifo32__n0237_inv1)) + (portref I0 (instanceref slave_fifo32__n0290_inv1)) + (portref I0 (instanceref slave_fifo32__n0279_inv)) + (portref I1 (instanceref slave_fifo32_state_FSM_FFd2_In1)) + (portref I1 (instanceref slave_fifo32_wr_one_rstpot)) + (portref I2 (instanceref slave_fifo32_slrd_rstpot)) + (portref I3 (instanceref slave_fifo32_sloe_1_rstpot)) + (portref I1 (instanceref slave_fifo32_state_FSM_FFd1_In3_F)) + (portref I5 (instanceref slave_fifo32_state_FSM_FFd1_In3_G)) + (portref I0 (instanceref slave_fifo32_state_FSM_FFd2_In3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012113 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n012113") (joined + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012114)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012112)) + ) + ) + (net N98 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_29)) + (portref O (instanceref GPIF_D_29_IOBUF)) + ) + ) + (net (rename GPIF_D_29_ "GPIF_D[29]") (joined + (portref IO (instanceref GPIF_D_29_IOBUF)) + (portref (member GPIF_D 2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012114 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n012114") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012113)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012114)) + ) + ) + (net N99 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_28)) + (portref O (instanceref GPIF_D_28_IOBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<8>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_8_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr[10]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_10_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_10)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_3_)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRAWRADDR 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_7_)) + ) + ) + (net (rename f1_Result_9_2_FRB "f1/Result<9>2_FRB") (joined + (portref D (instanceref f1_wr_addr_9)) + (portref Q (instanceref f1_Result_9_2_FRB)) + (portref I0 (instanceref f1_Mcount_wr_addr_cy_9__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr[11]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_11_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_11)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_3_)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRAWRADDR 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy[7]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_8_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_12_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/wr_addr[12]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_12_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_12)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_4_)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRAWRADDR 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename f0_Result_4_1_FRB "f0/Result<4>1_FRB") (joined + (portref D (instanceref f0_rd_addr_4)) + (portref Q (instanceref f0_Result_4_1_FRB)) + (portref I0 (instanceref f0_Mcount_rd_addr_cy_4__rt)) + (portref I0 (instanceref f0_Msub_dont_write_past_me_lut_4__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr4_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr4_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_4)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr4_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_4__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr10 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr10") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_10_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_10)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr11 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr11") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_11_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr12 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr12") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_12_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_12)) + ) + ) + (net pll_mosi (joined + (portref O (instanceref pll_mosi_OBUF)) + (portref pll_mosi) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT[1]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT[2]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_2)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr8_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr8_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr8_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_8__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT[3]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_3)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_0__inv "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state<0>_inv") (joined + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_0_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_0__inv1_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT[4]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_4)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full92 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full92") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full921)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv4 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/_n0074_inv4") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv4)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0_rstpot)) + ) + ) + (net (rename slave_fifo32_EP_WMARK1_1 "slave_fifo32/EP_WMARK1_1") (joined + (portref Q (instanceref slave_fifo32_EP_WMARK1_1)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tready_int1_SW0)) + (portref I5 (instanceref slave_fifo32_slrd_rstpot)) + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_xfer_Mux_21_o1_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT[5]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_5)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT[6]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_6)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73)) + ) + ) + (net cat_mosi (joined + (portref O (instanceref cat_mosi_OBUF)) + (portref cat_mosi) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT[7]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_7)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + ) + ) + (net fx3_mosi_IBUF (joined + (portref I1 (instanceref cat_mosi1)) + (portref O (instanceref fx3_mosi_IBUF)) + ) + ) + (net (rename slave_fifo32_rd_one "slave_fifo32/rd_one") (joined + (portref D (instanceref slave_fifo32_rd_one_BRB1)) + (portref O (instanceref slave_fifo32_rd_one_rstpot)) + ) + ) + (net (rename slave_fifo32_sloe_rstpot "slave_fifo32/sloe_rstpot") (joined + (portref O (instanceref slave_fifo32_sloe_rstpot)) + (portref D (instanceref slave_fifo32_sloe)) + (portref D (instanceref slave_fifo32_sloe_33)) + (portref D (instanceref slave_fifo32_sloe_32)) + (portref D (instanceref slave_fifo32_sloe_31)) + (portref D (instanceref slave_fifo32_sloe_30)) + (portref D (instanceref slave_fifo32_sloe_29)) + (portref D (instanceref slave_fifo32_sloe_28)) + (portref D (instanceref slave_fifo32_sloe_27)) + (portref D (instanceref slave_fifo32_sloe_26)) + (portref D (instanceref slave_fifo32_sloe_25)) + (portref D (instanceref slave_fifo32_sloe_24)) + (portref D (instanceref slave_fifo32_sloe_23)) + (portref D (instanceref slave_fifo32_sloe_22)) + (portref D (instanceref slave_fifo32_sloe_21)) + (portref D (instanceref slave_fifo32_sloe_20)) + (portref D (instanceref slave_fifo32_sloe_19)) + (portref D (instanceref slave_fifo32_sloe_18)) + (portref D (instanceref slave_fifo32_sloe_17)) + (portref D (instanceref slave_fifo32_sloe_16)) + (portref D (instanceref slave_fifo32_sloe_15)) + (portref D (instanceref slave_fifo32_sloe_14)) + (portref D (instanceref slave_fifo32_sloe_13)) + (portref D (instanceref slave_fifo32_sloe_12)) + (portref D (instanceref slave_fifo32_sloe_11)) + (portref D (instanceref slave_fifo32_sloe_10)) + (portref D (instanceref slave_fifo32_sloe_9)) + (portref D (instanceref slave_fifo32_sloe_8)) + (portref D (instanceref slave_fifo32_sloe_7)) + (portref D (instanceref slave_fifo32_sloe_6)) + (portref D (instanceref slave_fifo32_sloe_5)) + (portref D (instanceref slave_fifo32_sloe_4)) + (portref D (instanceref slave_fifo32_sloe_3)) + (portref D (instanceref slave_fifo32_sloe_2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr7_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr7_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_7)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr7_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_8__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_xor<8>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_8__rt)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_8_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full_glue_set "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/full_glue_set") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full_glue_set)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_3__rt "f1/Mcount_rd_addr_cy<3>_rt") (joined + (portref O (instanceref f1_Mcount_rd_addr_cy_3__rt)) + (portref S (instanceref f1_Mcount_rd_addr_cy_3_)) + (portref LI (instanceref f1_Mcount_rd_addr_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr7_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr7_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_7)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr7_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_7__rt)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0)) + ) + ) + (net (rename f0_Result_2_2_FRB "f0/Result<2>2_FRB") (joined + (portref D (instanceref f0_wr_addr_2)) + (portref Q (instanceref f0_Result_2_2_FRB)) + (portref I0 (instanceref f0_Mcount_wr_addr_cy_2__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_9__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<9>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_9__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_9_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_9_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_i_tvalid_o_tready_AND_73_o "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/i_tvalid_o_tready_AND_73_o") (joined + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_0)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_2)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_3)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_4)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_5)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_6)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_7)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_8)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_9)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_10)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_11)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_12)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_13)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_14)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_15)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_16)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_17)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_18)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_19)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_20)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_21)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_22)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_23)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_24)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_25)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_26)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_27)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_28)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_29)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_30)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_31)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_i_tvalid_o_tready_AND_73_o1)) + ) + ) + (net (rename slave_fifo32_debug1_17_BRB0 "slave_fifo32/debug1_17_BRB0") (joined + (portref Q (instanceref slave_fifo32_debug1_17_BRB0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_o_tvalid1_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_chk_tready "slave_fifo32/fifo64_to_gpmc32_ctrl/chk_tready") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_read1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker__n0227_inv1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In34)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In12_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[0]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_0_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_0_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[1]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_1_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_1_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[2]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_2_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_2_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2_)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_0_ "f0/Mcount_rd_addr_cy[0]") (joined + (portref O (instanceref f0_Mcount_rd_addr_cy_0_)) + (portref CI (instanceref f0_Mcount_rd_addr_cy_1_)) + (portref CI (instanceref f0_Mcount_rd_addr_xor_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[3]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_3_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_3_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3_)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_1_ "f0/Mcount_rd_addr_cy[1]") (joined + (portref O (instanceref f0_Mcount_rd_addr_cy_1_)) + (portref CI (instanceref f0_Mcount_rd_addr_cy_2_)) + (portref CI (instanceref f0_Mcount_rd_addr_xor_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[4]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_4_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_4_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4_)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_2_ "f0/Mcount_rd_addr_cy[2]") (joined + (portref O (instanceref f0_Mcount_rd_addr_cy_2_)) + (portref CI (instanceref f0_Mcount_rd_addr_cy_3_)) + (portref CI (instanceref f0_Mcount_rd_addr_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[5]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_5_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_5_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/empty_reg_BRB1") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_inv1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_rstpot)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<3>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB3 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/empty_reg_BRB3") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB3)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_inv1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_rstpot)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB4 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/empty_reg_BRB4") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB4)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_inv1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_rstpot)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_3_ "f0/Mcount_rd_addr_cy[3]") (joined + (portref O (instanceref f0_Mcount_rd_addr_cy_3_)) + (portref CI (instanceref f0_Mcount_rd_addr_cy_4_)) + (portref CI (instanceref f0_Mcount_rd_addr_xor_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[6]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_6_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_6_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_10_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[10]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata210)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_4_ "f0/Mcount_rd_addr_cy[4]") (joined + (portref O (instanceref f0_Mcount_rd_addr_cy_4_)) + (portref CI (instanceref f0_Mcount_rd_addr_cy_5_)) + (portref CI (instanceref f0_Mcount_rd_addr_xor_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[7]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_7_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_7_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_6__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<6>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_6__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_6_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_11_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[11]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata33)) + ) + ) + (net (rename f1_Result_0_1_FRB "f1/Result<0>1_FRB") (joined + (portref D (instanceref f1_rd_addr_0)) + (portref Q (instanceref f1_Result_0_1_FRB)) + (portref I0 (instanceref f1_Msub_dont_write_past_me_cy_0__rt)) + (portref I0 (instanceref f1_Mcount_rd_addr_lut_0__INV_0)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_5_ "f0/Mcount_rd_addr_cy[5]") (joined + (portref O (instanceref f0_Mcount_rd_addr_cy_5_)) + (portref CI (instanceref f0_Mcount_rd_addr_cy_6_)) + (portref CI (instanceref f0_Mcount_rd_addr_xor_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[8]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_8_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_8_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_12_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[12]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata41)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_6_ "f0/Mcount_rd_addr_cy[6]") (joined + (portref O (instanceref f0_Mcount_rd_addr_cy_6_)) + (portref CI (instanceref f0_Mcount_rd_addr_cy_7_)) + (portref CI (instanceref f0_Mcount_rd_addr_xor_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[9]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_9_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_9_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_13_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[13]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata51)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_7_ "f0/Mcount_rd_addr_cy[7]") (joined + (portref O (instanceref f0_Mcount_rd_addr_cy_7_)) + (portref CI (instanceref f0_Mcount_rd_addr_cy_8_)) + (portref CI (instanceref f0_Mcount_rd_addr_xor_8_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_5__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<5>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_5__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_5_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_14_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[14]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata61)) + ) + ) + (instance codec_data_clk_inverter (viewref netlist (cellref INV (libraryref hdi_primitives))) (property tildeinv (boolean (TRUE)))) + (net codec_data_clk (joined + (portref O (instanceref codec_data_clk_bufg)) + (portref C0 (instanceref catgen_gen_pins_0__oddr2)) + (portref C0 (instanceref catgen_gen_pins_1__oddr2)) + (portref C0 (instanceref catgen_gen_pins_2__oddr2)) + (portref C0 (instanceref catgen_gen_pins_3__oddr2)) + (portref C0 (instanceref catgen_gen_pins_4__oddr2)) + (portref C0 (instanceref catgen_gen_pins_5__oddr2)) + (portref C0 (instanceref catgen_gen_pins_6__oddr2)) + (portref C0 (instanceref catgen_gen_pins_7__oddr2)) + (portref C0 (instanceref catgen_gen_pins_8__oddr2)) + (portref C0 (instanceref catgen_gen_pins_9__oddr2)) + (portref C0 (instanceref catgen_gen_pins_10__oddr2)) + (portref C0 (instanceref catgen_gen_pins_11__oddr2)) + (portref C0 (instanceref catgen_oddr2_frame)) + (portref C0 (instanceref catgen_oddr2_clk)) + (portref I (instanceref debug_clk_0_OBUF)) + (portref I (instanceref codec_data_clk_inverter)) + ) + ) + (net codec_data_clk_inv (joined + (portref O (instanceref codec_data_clk_inverter)) + (portref C1 (instanceref catgen_oddr2_clk)) + (portref C1 (instanceref catgen_gen_pins_0__oddr2)) + (portref C1 (instanceref catgen_gen_pins_1__oddr2)) + (portref C1 (instanceref catgen_gen_pins_2__oddr2)) + (portref C1 (instanceref catgen_gen_pins_3__oddr2)) + (portref C1 (instanceref catgen_gen_pins_4__oddr2)) + (portref C1 (instanceref catgen_gen_pins_5__oddr2)) + (portref C1 (instanceref catgen_gen_pins_6__oddr2)) + (portref C1 (instanceref catgen_gen_pins_7__oddr2)) + (portref C1 (instanceref catgen_gen_pins_8__oddr2)) + (portref C1 (instanceref catgen_gen_pins_9__oddr2)) + (portref C1 (instanceref catgen_gen_pins_10__oddr2)) + (portref C1 (instanceref catgen_gen_pins_11__oddr2)) + (portref C1 (instanceref catgen_oddr2_frame)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_8__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_xor<8>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_8__rt)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_8_)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_8_ "f0/Mcount_rd_addr_cy[8]") (joined + (portref O (instanceref f0_Mcount_rd_addr_cy_8_)) + (portref CI (instanceref f0_Mcount_rd_addr_cy_9_)) + (portref CI (instanceref f0_Mcount_rd_addr_xor_9_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_15_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[15]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata71)) + ) + ) + (net (rename slave_fifo32_slrd_1 "slave_fifo32/slrd_1") (joined + (portref Q (instanceref slave_fifo32_slrd_1)) + (portref D (instanceref slave_fifo32_slrd1)) + (portref I0 (instanceref slave_fifo32_slrd_rstpot)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_20_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[20]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata131)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_9_ "f0/Mcount_rd_addr_cy[9]") (joined + (portref O (instanceref f0_Mcount_rd_addr_cy_9_)) + (portref CI (instanceref f0_Mcount_rd_addr_cy_10_)) + (portref CI (instanceref f0_Mcount_rd_addr_xor_10_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_16_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[16]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata81)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_21_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[21]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata141)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_17_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[17]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata91)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_22_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[22]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata151)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_18_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[18]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata101)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_23_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[23]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata161)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0121221 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n0121221") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212211)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01215)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_19_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[19]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_24_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[24]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata171)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_25_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[25]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata181)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_30_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[30]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata241)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_10_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr[10]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_10)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_)) + (portref (member ADDRBRDADDR 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_26_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[26]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata191)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_31_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[31]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata251)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_11_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr[11]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_11)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_)) + (portref (member ADDRBRDADDR 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_32_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[32]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata110)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_27_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[27]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata201)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_12_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/rd_addr[12]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_12)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4_)) + (portref (member ADDRBRDADDR 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_EP_READY1_1 "slave_fifo32/EP_READY1_1") (joined + (portref Q (instanceref slave_fifo32_EP_READY1_1)) + (portref I3 (instanceref slave_fifo32__n0290_inv1)) + (portref I2 (instanceref slave_fifo32__n0258_inv_SW0)) + (portref I4 (instanceref slave_fifo32__n0279_inv)) + (portref I4 (instanceref slave_fifo32_state_FSM_FFd2_In2)) + (portref I0 (instanceref slave_fifo32_slrd_rstpot_SW0)) + (portref I1 (instanceref slave_fifo32_sloe_1_rstpot)) + (portref I2 (instanceref slave_fifo32_state_FSM_FFd1_In3_F)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_9__rt "f0/Mcount_rd_addr_cy<9>_rt") (joined + (portref O (instanceref f0_Mcount_rd_addr_cy_9__rt)) + (portref S (instanceref f0_Mcount_rd_addr_cy_9_)) + (portref LI (instanceref f0_Mcount_rd_addr_xor_9_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_33_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[33]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata121)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_28_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[28]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata211)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_29_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[29]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata221)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_34_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[34]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata231)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr10_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr10_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_10)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr10_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_10__rt)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_10__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_35_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[35]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata261)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_40_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[40]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata311)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_cy_10_ "f1/Msub_dont_write_past_me_cy[10]") (joined + (portref O (instanceref f1_Msub_dont_write_past_me_cy_10_)) + (portref CI (instanceref f1_Msub_dont_write_past_me_cy_11_)) + (portref CI (instanceref f1_Msub_dont_write_past_me_xor_11_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_36_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[36]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata271)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_41_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[41]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata321)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_37_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[37]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata281)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_42_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[42]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata210)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_38_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[38]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata291)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_43_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[43]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata33)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_5_)) + ) + ) + (net (rename slave_fifo32_Mcount_idle_cycles "slave_fifo32/Mcount_idle_cycles") (joined + (portref D (instanceref slave_fifo32_idle_cycles_0)) + (portref O (instanceref slave_fifo32_Mcount_idle_cycles_xor_0_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_39_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[39]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata301)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_44_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[44]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata41)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_50_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[50]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata101)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_45_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[45]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata51)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_46_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[46]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata61)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_51_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[51]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy[7]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_8_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_8_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_write "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/write") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_write1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_0)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_2)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_3)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_4)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_5)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_6)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_7)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_8)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_9)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_10)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_11)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_12)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr1_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr2_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr3_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr4_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr5_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr6_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr7_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr8_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr9_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr10_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr11_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr12_FRB)) + (portref (member WEAWEL 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member WEAWEL 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_47_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[47]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata71)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_52_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[52]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata131)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker__n0131_inv1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_2_1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_3_1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_4_1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_tlast1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In13)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_F)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_G)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1_F)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1_G)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_F)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_G)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int14)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd2") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker__n0131_inv1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_2_1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_3_1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_4_1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In13)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_0_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_1_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_2_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_3_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_4_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_5_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_6_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_7_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_8_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_9_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_10_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_11_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_12_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_13_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_14_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_15_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_tlast1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_F)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_G)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_F)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_G)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_0__inv1_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy[8]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_8_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_9_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_9_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_48_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[48]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata81)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_53_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[53]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata141)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy[9]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_9_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_10_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_10_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_49_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[49]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata91)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_54_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[54]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata151)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_55_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[55]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata161)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_60_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[60]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata211)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut[10]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_10_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_10_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_10_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_56_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[56]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata171)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_61_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[61]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata221)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut[11]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_11_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_11_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_11_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut[2]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_2_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_2_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_2__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_57_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[57]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata181)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_62_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[62]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata241)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_3__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<3>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_3__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_3_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_12_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut[12]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_12_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_12_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_12_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut[3]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_3_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_3_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_3__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_63_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[63]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata251)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_58_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[58]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata191)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy[7]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_8_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_8_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_13_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut[13]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_13_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_13_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_13_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut[4]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_4_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_4_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_4__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_64_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[64]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_o_tlast1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_59_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[59]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata201)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/full_reg") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_write1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump_glue_set)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB5)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo__n0154_inv1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy[8]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_8_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_9_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_9_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_14_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut[14]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_14_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_14_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_14_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut[5]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_5_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_5_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_5__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_2__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<2>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_2__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_2_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy[9]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_9_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_10_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_10_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_15_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut[15]") (joined + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_15_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_15_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut[6]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_6_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_6_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_6__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut[7]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_7_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_7_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_7__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut[8]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_8_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_8_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_8__INV_0)) + ) + ) + (net tx_bandsel_a (joined + (portref O (instanceref tx_bandsel_a_OBUF)) + (portref tx_bandsel_a) + ) + ) + (net tx_bandsel_b (joined + (portref O (instanceref tx_bandsel_b_OBUF)) + (portref tx_bandsel_b) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_lut[9]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_9_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_9_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_9__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<4>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_4_)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_10__rt "f1/Mcount_rd_addr_cy<10>_rt") (joined + (portref O (instanceref f1_Mcount_rd_addr_cy_10__rt)) + (portref S (instanceref f1_Mcount_rd_addr_cy_10_)) + (portref LI (instanceref f1_Mcount_rd_addr_xor_10_)) + ) + ) + (net (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0_ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy[0]") (joined + (portref O (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0_)) + (portref CI (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1_)) + ) + ) + (net GPIF_CTL0 (joined + (portref O (instanceref GPIF_CTL0_OBUF)) + (portref GPIF_CTL0) + ) + ) + (net GPIF_CTL1 (joined + (portref O (instanceref GPIF_CTL1_OBUF)) + (portref GPIF_CTL1) + ) + ) + (net GPIF_CTL2 (joined + (portref O (instanceref GPIF_CTL2_OBUF)) + (portref GPIF_CTL2) + ) + ) + (net (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1_ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy[1]") (joined + (portref O (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1_)) + (portref CI (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2_)) + ) + ) + (net GPIF_CTL3 (joined + (portref O (instanceref GPIF_CTL3_OBUF)) + (portref GPIF_CTL3) + ) + ) + (net GPIF_CTL4 (joined + (portref I (instanceref GPIF_CTL4_IBUF)) + (portref GPIF_CTL4) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_clear_inv "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/clear_inv") (joined + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_0_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_clear_inv1)) + ) + ) + (net GPIF_CTL5 (joined + (portref I (instanceref GPIF_CTL5_IBUF)) + (portref GPIF_CTL5) + ) + ) + (net GPIF_CTL7 (joined + (portref O (instanceref GPIF_CTL7_OBUF)) + (portref GPIF_CTL7) + ) + ) + (net (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2_ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy[2]") (joined + (portref O (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2_)) + (portref CI (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3_)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_0_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[0]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT110)) + (portref D (instanceref slave_fifo32_gpif_data_out_0)) + ) + ) + (net GPIF_CTL9 (joined + (portref I (instanceref GPIF_CTL9_IBUF)) + (portref GPIF_CTL9) + ) + ) + (net (rename f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3_ "f1/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy[3]") (joined + (portref O (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3_)) + (portref CI (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4_)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_1_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[1]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT121)) + (portref D (instanceref slave_fifo32_gpif_data_out_1)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_2_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[2]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT231)) + (portref D (instanceref slave_fifo32_gpif_data_out_2)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_3_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[3]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT261)) + (portref D (instanceref slave_fifo32_gpif_data_out_3)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_4_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[4]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT271)) + (portref D (instanceref slave_fifo32_gpif_data_out_4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_GND_66_o_read_OR_144_o "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/GND_66_o_read_OR_144_o") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_GND_66_o_read_OR_144_o1)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_5_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[5]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT281)) + (portref D (instanceref slave_fifo32_gpif_data_out_5)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_6_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[6]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT291)) + (portref D (instanceref slave_fifo32_gpif_data_out_6)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_7_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[7]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT301)) + (portref D (instanceref slave_fifo32_gpif_data_out_7)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_dont_write_past_me_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/dont_write_past_me[10]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_10_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_3_)) + ) + ) + (net (rename n0035_10_ "n0035[10]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt__n0074_inv "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/_n0074_inv") (joined + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_0)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_2)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_3)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_4)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_5)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_6)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt__n0074_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr[0]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_0_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_0__rt)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRBRDADDR 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_8_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[8]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT311)) + (portref D (instanceref slave_fifo32_gpif_data_out_8)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_dont_write_past_me_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/dont_write_past_me[11]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_11_)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_3_)) + ) + ) + (net (rename n0035_11_ "n0035[11]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram6)) + ) + ) + (net pll_sclk (joined + (portref O (instanceref pll_sclk_OBUF)) + (portref pll_sclk) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr[1]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_1_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_1__rt)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref (member ADDRBRDADDR 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_9_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[9]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT321)) + (portref D (instanceref slave_fifo32_gpif_data_out_9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_dont_write_past_me_12_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/dont_write_past_me[12]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_12_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_4_)) + ) + ) + (net (rename n0035_12_ "n0035[12]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram7)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr[2]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_2_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_2)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_)) + (portref (member ADDRBRDADDR 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_2__INV_0)) + ) + ) + (net (rename n0035_13_ "n0035[13]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram7)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr6_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr6_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_6)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr6_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr[3]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_3_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_3)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_)) + (portref (member ADDRBRDADDR 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_3__INV_0)) + ) + ) + (net (rename n0035_14_ "n0035[14]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram8)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd2-In") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In13)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr[4]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_4_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_4)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_)) + (portref (member ADDRBRDADDR 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_4__INV_0)) + ) + ) + (net (rename n0035_20_ "n0035[20]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram11)) + ) + ) + (net (rename n0035_15_ "n0035[15]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram8)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr[5]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_5_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_5)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_)) + (portref (member ADDRBRDADDR 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_5__INV_0)) + ) + ) + (net (rename n0035_21_ "n0035[21]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram11)) + ) + ) + (net (rename n0035_16_ "n0035[16]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr1_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr1_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_1)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr1_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_10_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy[10]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_10_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_11_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_11_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr[6]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_6_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_6)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_)) + (portref (member ADDRBRDADDR 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_6__INV_0)) + ) + ) + (net (rename n0035_22_ "n0035[22]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram12)) + ) + ) + (net (rename n0035_17_ "n0035[17]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr[7]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_7_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_7)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_)) + (portref (member ADDRBRDADDR 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_7__INV_0)) + ) + ) + (net (rename n0035_23_ "n0035[23]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram12)) + ) + ) + (net (rename n0035_18_ "n0035[18]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram10)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy[7]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_8_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_8_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr[8]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_8_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_8)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_)) + (portref (member ADDRBRDADDR 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_8__INV_0)) + ) + ) + (net (rename n0035_19_ "n0035[19]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram10)) + ) + ) + (net (rename n0035_24_ "n0035[24]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram13)) + ) + ) + (net cat_sclk (joined + (portref O (instanceref cat_sclk_OBUF)) + (portref cat_sclk) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy[8]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_8_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_9_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_9_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr[9]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_9_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_9)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_)) + (portref (member ADDRBRDADDR 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_9__INV_0)) + ) + ) + (net (rename n0035_25_ "n0035[25]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram13)) + ) + ) + (net (rename n0035_30_ "n0035[30]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram16)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy[9]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_9_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_10_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_10_)) + ) + ) + (net (rename n0035_26_ "n0035[26]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram14)) + ) + ) + (net (rename n0035_31_ "n0035[31]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram16)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo__n0146_inv "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/_n0146_inv") (joined + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_0)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_2)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_3)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_4)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_5)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_6)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_7)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_8)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_9)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_10)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_11)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_12)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo__n0146_inv1)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_10__rt "f1/Mcount_wr_addr_cy<10>_rt") (joined + (portref O (instanceref f1_Mcount_wr_addr_cy_10__rt)) + (portref S (instanceref f1_Mcount_wr_addr_cy_10_)) + (portref LI (instanceref f1_Mcount_wr_addr_xor_10_)) + ) + ) + (net (rename n0035_27_ "n0035[27]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram14)) + ) + ) + (net (rename n0035_32_ "n0035[32]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd1") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_GND_66_o_read_OR_144_o1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_In111)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_G)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg_glue_set)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_o_tvalid11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0146_inv1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt__n0074_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd2") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_GND_66_o_read_OR_144_o1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_In111)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0146_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<0>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_0__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_0_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_0_)) + ) + ) + (net (rename n0035_28_ "n0035[28]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram15)) + ) + ) + (net (rename n0035_33_ "n0035[33]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram17)) + ) + ) + (net (rename n0035_29_ "n0035[29]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram15)) + ) + ) + (net (rename n0035_34_ "n0035[34]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram18)) + ) + ) + (net (rename f0_Result_6_1_FRB "f0/Result<6>1_FRB") (joined + (portref D (instanceref f0_rd_addr_6)) + (portref Q (instanceref f0_Result_6_1_FRB)) + (portref I0 (instanceref f0_Mcount_rd_addr_cy_6__rt)) + (portref I0 (instanceref f0_Msub_dont_write_past_me_lut_6__INV_0)) + ) + ) + (net (rename n0035_35_ "n0035[35]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram18)) + ) + ) + (net (rename n0035_40_ "n0035[40]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram21)) + ) + ) + (net (rename f0_Mcount_wr_addr_lut_0_ "f0/Mcount_wr_addr_lut[0]") (joined + (portref S (instanceref f0_Mcount_wr_addr_cy_0_)) + (portref LI (instanceref f0_Mcount_wr_addr_xor_0_)) + (portref O (instanceref f0_Mcount_wr_addr_lut_0__INV_0)) + ) + ) + (net (rename n0035_36_ "n0035[36]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram19)) + ) + ) + (net (rename n0035_41_ "n0035[41]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram21)) + ) + ) + (net (rename n0035_37_ "n0035[37]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram19)) + ) + ) + (net (rename n0035_42_ "n0035[42]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram22)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_tlast "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_tlast") (joined + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_tlast1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump_glue_set)) + (portref (member DIADI 15) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW0)) + ) + ) + (net ext_ref_enable (joined + (portref O (instanceref ext_ref_enable_OBUF)) + (portref ext_ref_enable) + ) + ) + (net (rename f1_Mcount_rd_addr_lut_0_ "f1/Mcount_rd_addr_lut[0]") (joined + (portref S (instanceref f1_Mcount_rd_addr_cy_0_)) + (portref LI (instanceref f1_Mcount_rd_addr_xor_0_)) + (portref O (instanceref f1_Mcount_rd_addr_lut_0__INV_0)) + ) + ) + (net (rename n0035_38_ "n0035[38]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram20)) + ) + ) + (net (rename n0035_43_ "n0035[43]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram22)) + ) + ) + (net (rename n0035_44_ "n0035[44]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram23)) + ) + ) + (net (rename n0035_39_ "n0035[39]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram20)) + ) + ) + (net (rename n0035_50_ "n0035[50]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram26)) + ) + ) + (net (rename n0035_45_ "n0035[45]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram23)) + ) + ) + (net (rename f1_dont_write_past_me_10__FRB "f1/dont_write_past_me<10>_FRB") (joined + (portref I3 (instanceref f1_Mcompar_becoming_full_lut_3_)) + (portref Q (instanceref f1_dont_write_past_me_10__FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror_bdd0 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_terror_bdd0") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_2_1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_3_1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW0)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_4_1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_F)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_G)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + ) + ) + (net (rename n0035_51_ "n0035[51]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram26)) + ) + ) + (net (rename n0035_46_ "n0035[46]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram24)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror_bdd6 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_terror_bdd6") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In11)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In12)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW0)) + ) + ) + (net (rename n0035_52_ "n0035[52]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram27)) + ) + ) + (net (rename n0035_47_ "n0035[47]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram24)) + ) + ) + (net (rename n0035_53_ "n0035[53]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram27)) + ) + ) + (net (rename n0035_48_ "n0035[48]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram25)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr6_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr6_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_6)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr6_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_6__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_0_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[0]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata261)) + ) + ) + (net (rename n0035_54_ "n0035[54]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram28)) + ) + ) + (net (rename n0035_49_ "n0035[49]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram25)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_1_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[1]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata271)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines321 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines321") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_1_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines322 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines322") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_2_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_2)) + ) + ) + (net (rename n0035_60_ "n0035[60]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram31)) + ) + ) + (net (rename n0035_55_ "n0035[55]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram28)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines323 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines323") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_3_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines324 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines324") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_4_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines325 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines325") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_5_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_5)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_2_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[2]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_2)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata281)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines326 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines326") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_6_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines327 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines327") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_7_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_7)) + ) + ) + (net (rename gen_clks_clkin1 "gen_clks/clkin1") (joined + (portref CLKIN (instanceref gen_clks_dcm_sp_inst)) + (portref O (instanceref gen_clks_clkin1_buf)) + ) + ) + (net (rename n0035_61_ "n0035[61]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram31)) + ) + ) + (net (rename n0035_56_ "n0035[56]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram29)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines328 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines328") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_8_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_8)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines329 "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines329") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_9_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_9)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_5__rt "f0/Mcount_wr_addr_cy<5>_rt") (joined + (portref O (instanceref f0_Mcount_wr_addr_cy_5__rt)) + (portref S (instanceref f0_Mcount_wr_addr_cy_5_)) + (portref LI (instanceref f0_Mcount_wr_addr_xor_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_3_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[3]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_3)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata291)) + ) + ) + (net (rename n0035_62_ "n0035[62]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram32)) + ) + ) + (net (rename n0035_57_ "n0035[57]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram29)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_4_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[4]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_4)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata301)) + ) + ) + (net (rename n0035_58_ "n0035[58]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram30)) + ) + ) + (net (rename n0035_63_ "n0035[63]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram32)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_5_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[5]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_5)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata311)) + ) + ) + (net (rename ctrl_tdata_10_ "ctrl_tdata[10]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram6)) + ) + ) + (net (rename n0035_59_ "n0035[59]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram30)) + ) + ) + (net (rename n0035_64_ "n0035[64]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref (member DOBDO 15) (instanceref f0_ram_Mram_ram33)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_6_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[6]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_6)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata321)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr4_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr4_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_4)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr4_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4__rt)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_4__INV_0)) + ) + ) + (net (rename ctrl_tdata_11_ "ctrl_tdata[11]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_7_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[7]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_7)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata331)) + ) + ) + (net (rename ctrl_tdata_12_ "ctrl_tdata[12]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram7)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_8_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[8]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_8)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata351)) + ) + ) + (net (rename ctrl_tdata_13_ "ctrl_tdata[13]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram7)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/read_state_FSM_FFd1") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv31)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_full_reg_glue_set)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_GND_50_o_read_OR_57_o1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_write1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd1_In11)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0144_inv1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_write_AND_42_o_inv2)) + ) + ) + (net (rename GPIF_D_0_ "GPIF_D[0]") (joined + (portref IO (instanceref GPIF_D_0_IOBUF)) + (portref (member GPIF_D 31)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_9_ "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/holding[9]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_9)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata361)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_state "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/state") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata110)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata210)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata310)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata410)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata510)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata65)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata71)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata81)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata91)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata101)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata111)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata121)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata131)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata141)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata151)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata161)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata171)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata181)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata191)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata201)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata211)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata221)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata231)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata241)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata251)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata261)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata271)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata281)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata291)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata301)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata311)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata321)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata331)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata341)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata351)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata361)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata371)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata381)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata391)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata401)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata411)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata421)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata431)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata441)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata451)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata461)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata471)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata481)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata491)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata501)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata511)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata521)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata531)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata541)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata551)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata561)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata571)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata581)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata591)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata601)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata611)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata621)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata631)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata641)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_state)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_state_glue_set)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tvalid11)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_write1)) + ) + ) + (net (rename ctrl_tdata_14_ "ctrl_tdata[14]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram8)) + ) + ) + (net (rename GPIF_D_1_ "GPIF_D[1]") (joined + (portref IO (instanceref GPIF_D_1_IOBUF)) + (portref (member GPIF_D 30)) + ) + ) + (net (rename ctrl_tdata_20_ "ctrl_tdata[20]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram11)) + ) + ) + (net (rename ctrl_tdata_15_ "ctrl_tdata[15]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram8)) + ) + ) + (net (rename GPIF_D_2_ "GPIF_D[2]") (joined + (portref IO (instanceref GPIF_D_2_IOBUF)) + (portref (member GPIF_D 29)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_chk_tready "slave_fifo32/fifo64_to_gpmc32_tx/chk_tready") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_read1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker__n0131_inv1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In34)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In12_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_F)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_G)) + ) + ) + (net (rename ctrl_tdata_21_ "ctrl_tdata[21]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram11)) + ) + ) + (net (rename ctrl_tdata_16_ "ctrl_tdata[16]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram9)) + ) + ) + (net (rename GPIF_D_3_ "GPIF_D[3]") (joined + (portref IO (instanceref GPIF_D_3_IOBUF)) + (portref (member GPIF_D 28)) + ) + ) + (net (rename ctrl_tdata_22_ "ctrl_tdata[22]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram12)) + ) + ) + (net (rename ctrl_tdata_17_ "ctrl_tdata[17]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram9)) + ) + ) + (net (rename GPIF_D_4_ "GPIF_D[4]") (joined + (portref IO (instanceref GPIF_D_4_IOBUF)) + (portref (member GPIF_D 27)) + ) + ) + (net (rename ctrl_tdata_23_ "ctrl_tdata[23]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram12)) + ) + ) + (net (rename ctrl_tdata_18_ "ctrl_tdata[18]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram10)) + ) + ) + (net (rename GPIF_D_5_ "GPIF_D[5]") (joined + (portref IO (instanceref GPIF_D_5_IOBUF)) + (portref (member GPIF_D 26)) + ) + ) + (net (rename ctrl_tdata_19_ "ctrl_tdata[19]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram10)) + ) + ) + (net (rename ctrl_tdata_24_ "ctrl_tdata[24]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram13)) + ) + ) + (instance gpif_clk_inverter (viewref netlist (cellref INV (libraryref hdi_primitives))) (property tildeinv (boolean (TRUE)))) + (net gpif_clk (joined + (portref C (instanceref gpif_sync_reset_int)) + (portref C (instanceref gpif_sync_reset_out)) + (portref C0 (instanceref ODDR2_ifclk)) + (portref C0 (instanceref ODDR2_ifclk_dbg)) + (portref O (instanceref gen_clks_clkout2_buf)) + (portref C (instanceref slave_fifo32_EP_WMARK)) + (portref C (instanceref slave_fifo32_read_ready_go)) + (portref C (instanceref slave_fifo32_write_ready_go)) + (portref C (instanceref slave_fifo32_EP_READY)) + (portref C (instanceref slave_fifo32_EP_READY1)) + (portref C (instanceref slave_fifo32_EP_WMARK1)) + (portref C (instanceref slave_fifo32_slrd1)) + (portref C (instanceref slave_fifo32_slrd2)) + (portref C (instanceref slave_fifo32_slrd3)) + (portref C (instanceref slave_fifo32_slwr)) + (portref C (instanceref slave_fifo32_pktend)) + (portref C (instanceref slave_fifo32_gpif_data_in_0)) + (portref C (instanceref slave_fifo32_gpif_data_in_1)) + (portref C (instanceref slave_fifo32_gpif_data_in_2)) + (portref C (instanceref slave_fifo32_gpif_data_in_3)) + (portref C (instanceref slave_fifo32_gpif_data_in_4)) + (portref C (instanceref slave_fifo32_gpif_data_in_5)) + (portref C (instanceref slave_fifo32_gpif_data_in_6)) + (portref C (instanceref slave_fifo32_gpif_data_in_7)) + (portref C (instanceref slave_fifo32_gpif_data_in_8)) + (portref C (instanceref slave_fifo32_gpif_data_in_9)) + (portref C (instanceref slave_fifo32_gpif_data_in_10)) + (portref C (instanceref slave_fifo32_gpif_data_in_11)) + (portref C (instanceref slave_fifo32_gpif_data_in_12)) + (portref C (instanceref slave_fifo32_gpif_data_in_13)) + (portref C (instanceref slave_fifo32_gpif_data_in_14)) + (portref C (instanceref slave_fifo32_gpif_data_in_15)) + (portref C (instanceref slave_fifo32_gpif_data_in_16)) + (portref C (instanceref slave_fifo32_gpif_data_in_17)) + (portref C (instanceref slave_fifo32_gpif_data_in_18)) + (portref C (instanceref slave_fifo32_gpif_data_in_19)) + (portref C (instanceref slave_fifo32_gpif_data_in_20)) + (portref C (instanceref slave_fifo32_gpif_data_in_21)) + (portref C (instanceref slave_fifo32_gpif_data_in_22)) + (portref C (instanceref slave_fifo32_gpif_data_in_23)) + (portref C (instanceref slave_fifo32_gpif_data_in_24)) + (portref C (instanceref slave_fifo32_gpif_data_in_25)) + (portref C (instanceref slave_fifo32_gpif_data_in_26)) + (portref C (instanceref slave_fifo32_gpif_data_in_27)) + (portref C (instanceref slave_fifo32_gpif_data_in_28)) + (portref C (instanceref slave_fifo32_gpif_data_in_29)) + (portref C (instanceref slave_fifo32_gpif_data_in_30)) + (portref C (instanceref slave_fifo32_gpif_data_in_31)) + (portref C (instanceref slave_fifo32_debug1_0)) + (portref C (instanceref slave_fifo32_debug1_1)) + (portref C (instanceref slave_fifo32_debug1_2)) + (portref C (instanceref slave_fifo32_debug1_3)) + (portref C (instanceref slave_fifo32_debug1_4)) + (portref C (instanceref slave_fifo32_debug1_5)) + (portref C (instanceref slave_fifo32_debug1_6)) + (portref C (instanceref slave_fifo32_debug1_7)) + (portref C (instanceref slave_fifo32_debug1_8)) + (portref C (instanceref slave_fifo32_debug1_9)) + (portref C (instanceref slave_fifo32_debug1_10)) + (portref C (instanceref slave_fifo32_debug1_11)) + (portref C (instanceref slave_fifo32_debug1_12)) + (portref C (instanceref slave_fifo32_debug1_13)) + (portref C (instanceref slave_fifo32_debug1_14)) + (portref C (instanceref slave_fifo32_debug1_15)) + (portref C (instanceref slave_fifo32_debug1_18)) + (portref C (instanceref slave_fifo32_debug1_19)) + (portref C (instanceref slave_fifo32_debug1_21)) + (portref C (instanceref slave_fifo32_debug1_22)) + (portref C (instanceref slave_fifo32_debug1_23)) + (portref C (instanceref slave_fifo32_debug1_26)) + (portref C (instanceref slave_fifo32_debug1_27)) + (portref C (instanceref slave_fifo32_debug1_28)) + (portref C (instanceref slave_fifo32_debug1_29)) + (portref C (instanceref slave_fifo32_debug1_31)) + (portref C (instanceref slave_fifo32_debug2_0)) + (portref C (instanceref slave_fifo32_debug2_1)) + (portref C (instanceref slave_fifo32_debug2_2)) + (portref C (instanceref slave_fifo32_debug2_3)) + (portref C (instanceref slave_fifo32_debug2_4)) + (portref C (instanceref slave_fifo32_debug2_5)) + (portref C (instanceref slave_fifo32_debug2_6)) + (portref C (instanceref slave_fifo32_debug2_7)) + (portref C (instanceref slave_fifo32_debug2_8)) + (portref C (instanceref slave_fifo32_debug2_9)) + (portref C (instanceref slave_fifo32_debug2_10)) + (portref C (instanceref slave_fifo32_debug2_11)) + (portref C (instanceref slave_fifo32_debug2_12)) + (portref C (instanceref slave_fifo32_debug2_13)) + (portref C (instanceref slave_fifo32_debug2_14)) + (portref C (instanceref slave_fifo32_debug2_15)) + (portref C (instanceref slave_fifo32_debug2_16)) + (portref C (instanceref slave_fifo32_debug2_17)) + (portref C (instanceref slave_fifo32_debug2_18)) + (portref C (instanceref slave_fifo32_debug2_19)) + (portref C (instanceref slave_fifo32_debug2_21)) + (portref C (instanceref slave_fifo32_debug2_22)) + (portref C (instanceref slave_fifo32_debug2_23)) + (portref C (instanceref slave_fifo32_debug2_26)) + (portref C (instanceref slave_fifo32_debug2_27)) + (portref C (instanceref slave_fifo32_debug2_28)) + (portref C (instanceref slave_fifo32_debug2_29)) + (portref C (instanceref slave_fifo32_debug2_31)) + (portref C (instanceref slave_fifo32_state_FSM_FFd2)) + (portref C (instanceref slave_fifo32_state_FSM_FFd1)) + (portref C (instanceref slave_fifo32_fifoadr_0)) + (portref C (instanceref slave_fifo32_fifoadr_1)) + (portref C (instanceref slave_fifo32_idle_cycles_0)) + (portref C (instanceref slave_fifo32_idle_cycles_1)) + (portref C (instanceref slave_fifo32_idle_cycles_2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_4)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_5)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_6)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_4)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_5)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_6)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_7)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_8)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_9)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_10)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_11)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_12)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_4)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_5)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_6)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_7)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_8)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_9)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_10)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_11)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_12)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_4)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_5)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_6)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_4)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_5)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_6)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_7)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_8)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_4)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_5)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_6)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_7)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_8)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_9)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_i_tready)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_4)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_5)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_6)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_7)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_8)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_4)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_5)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_6)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_7)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_8)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_4)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_5)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_6)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_7)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_i_tready)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_4)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_5)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_6)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_7)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_8)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_4)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_5)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_6)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_7)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_8)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_4)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_5)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_6)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_7)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_full_reg)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_full_reg)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_full_reg)) + (portref C (instanceref slave_fifo32_wr_one)) + (portref C (instanceref slave_fifo32_slrd)) + (portref C (instanceref slave_fifo32_sloe_1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr1_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr2_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr3_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr4_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr5_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr6_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr7_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr8_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr9_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr10_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr11_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr12_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_1__FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_2__FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_3__FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_4__FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_5__FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_6__FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_7__FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_8__FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_9__FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_10__FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_11__FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_12__FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_0__FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr1_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr2_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr3_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr4_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr5_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr6_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr7_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr8_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr9_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr10_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr11_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr12_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr1_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr2_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr3_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr4_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr5_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr6_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr7_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr8_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr9_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr1_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr2_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr3_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr4_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr5_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr6_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr7_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr8_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr9_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr1_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr2_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr3_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr4_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr5_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr6_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr7_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr8_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr1_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr2_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr3_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr4_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr5_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr6_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr7_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr8_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr1_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr2_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr3_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr4_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr5_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr6_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr7_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr8_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr1_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr2_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr3_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr4_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr5_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr6_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr7_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr8_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full421_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full411_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full421_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full411_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_12_BRB0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_12_BRB1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_13_BRB1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_14_BRB1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15_BRB1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_12_BRB0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_12_BRB1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_13_BRB1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_14_BRB1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15_BRB1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd2_BRB0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd2_BRB1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd2_BRB0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd2_BRB1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_11_BRB1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_11_BRB1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_10_BRB1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_10_BRB1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_9_BRB1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_9_BRB1)) + (portref C (instanceref slave_fifo32_debug1_17_BRB0)) + (portref C (instanceref slave_fifo32_debug1_16_BRB0)) + (portref C (instanceref slave_fifo32_rd_one_BRB0)) + (portref C (instanceref slave_fifo32_rd_one_BRB1)) + (portref C (instanceref slave_fifo32_sloe)) + (portref C (instanceref slave_fifo32_slrd2_1)) + (portref C (instanceref slave_fifo32_EP_WMARK1_1)) + (portref C (instanceref slave_fifo32_EP_READY1_1)) + (portref C (instanceref slave_fifo32_gpif_data_out_31_1)) + (portref C (instanceref slave_fifo32_slwr_1)) + (portref C (instanceref slave_fifo32_sloe_34)) + (portref C (instanceref slave_fifo32_slrd_1)) + (portref C (instanceref slave_fifo32_pktend_1)) + (portref C (instanceref slave_fifo32_fifoadr_1_1)) + (portref C (instanceref slave_fifo32_fifoadr_0_1)) + (portref C (instanceref slave_fifo32_gpif_data_out_31)) + (portref C (instanceref slave_fifo32_sloe_33)) + (portref C (instanceref slave_fifo32_gpif_data_out_30)) + (portref C (instanceref slave_fifo32_sloe_32)) + (portref C (instanceref slave_fifo32_gpif_data_out_29)) + (portref C (instanceref slave_fifo32_sloe_31)) + (portref C (instanceref slave_fifo32_gpif_data_out_28)) + (portref C (instanceref slave_fifo32_sloe_30)) + (portref C (instanceref slave_fifo32_gpif_data_out_27)) + (portref C (instanceref slave_fifo32_sloe_29)) + (portref C (instanceref slave_fifo32_gpif_data_out_26)) + (portref C (instanceref slave_fifo32_sloe_28)) + (portref C (instanceref slave_fifo32_gpif_data_out_25)) + (portref C (instanceref slave_fifo32_sloe_27)) + (portref C (instanceref slave_fifo32_gpif_data_out_24)) + (portref C (instanceref slave_fifo32_sloe_26)) + (portref C (instanceref slave_fifo32_gpif_data_out_23)) + (portref C (instanceref slave_fifo32_sloe_25)) + (portref C (instanceref slave_fifo32_gpif_data_out_22)) + (portref C (instanceref slave_fifo32_sloe_24)) + (portref C (instanceref slave_fifo32_gpif_data_out_21)) + (portref C (instanceref slave_fifo32_sloe_23)) + (portref C (instanceref slave_fifo32_gpif_data_out_20)) + (portref C (instanceref slave_fifo32_sloe_22)) + (portref C (instanceref slave_fifo32_gpif_data_out_19)) + (portref C (instanceref slave_fifo32_sloe_21)) + (portref C (instanceref slave_fifo32_gpif_data_out_18)) + (portref C (instanceref slave_fifo32_sloe_20)) + (portref C (instanceref slave_fifo32_gpif_data_out_17)) + (portref C (instanceref slave_fifo32_sloe_19)) + (portref C (instanceref slave_fifo32_gpif_data_out_16)) + (portref C (instanceref slave_fifo32_sloe_18)) + (portref C (instanceref slave_fifo32_gpif_data_out_15)) + (portref C (instanceref slave_fifo32_sloe_17)) + (portref C (instanceref slave_fifo32_gpif_data_out_14)) + (portref C (instanceref slave_fifo32_sloe_16)) + (portref C (instanceref slave_fifo32_gpif_data_out_13)) + (portref C (instanceref slave_fifo32_sloe_15)) + (portref C (instanceref slave_fifo32_gpif_data_out_12)) + (portref C (instanceref slave_fifo32_sloe_14)) + (portref C (instanceref slave_fifo32_gpif_data_out_11)) + (portref C (instanceref slave_fifo32_sloe_13)) + (portref C (instanceref slave_fifo32_gpif_data_out_10)) + (portref C (instanceref slave_fifo32_sloe_12)) + (portref C (instanceref slave_fifo32_gpif_data_out_9)) + (portref C (instanceref slave_fifo32_sloe_11)) + (portref C (instanceref slave_fifo32_gpif_data_out_8)) + (portref C (instanceref slave_fifo32_sloe_10)) + (portref C (instanceref slave_fifo32_gpif_data_out_7)) + (portref C (instanceref slave_fifo32_sloe_9)) + (portref C (instanceref slave_fifo32_gpif_data_out_6)) + (portref C (instanceref slave_fifo32_sloe_8)) + (portref C (instanceref slave_fifo32_gpif_data_out_5)) + (portref C (instanceref slave_fifo32_sloe_7)) + (portref C (instanceref slave_fifo32_gpif_data_out_4)) + (portref C (instanceref slave_fifo32_sloe_6)) + (portref C (instanceref slave_fifo32_gpif_data_out_3)) + (portref C (instanceref slave_fifo32_sloe_5)) + (portref C (instanceref slave_fifo32_gpif_data_out_2)) + (portref C (instanceref slave_fifo32_sloe_4)) + (portref C (instanceref slave_fifo32_gpif_data_out_1)) + (portref C (instanceref slave_fifo32_sloe_3)) + (portref C (instanceref slave_fifo32_gpif_data_out_0)) + (portref C (instanceref slave_fifo32_sloe_2)) + (portref CLKAWRCLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref CLKBRDCLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref wr_clk (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref wr_clk (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref rd_clk (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref rd_clk (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + (portref I (instanceref gpif_clk_inverter)) + ) + ) + (net gpif_clk_inv (joined + (portref O (instanceref gpif_clk_inverter)) + (portref C1 (instanceref ODDR2_ifclk_dbg)) + (portref C1 (instanceref ODDR2_ifclk)) + ) + ) + (net (rename GPIF_D_6_ "GPIF_D[6]") (joined + (portref IO (instanceref GPIF_D_6_IOBUF)) + (portref (member GPIF_D 25)) + ) + ) + (net (rename ctrl_tdata_30_ "ctrl_tdata[30]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram16)) + ) + ) + (net (rename ctrl_tdata_25_ "ctrl_tdata[25]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram13)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_i_tvalid_o_tready_AND_73_o "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/i_tvalid_o_tready_AND_73_o") (joined + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_0)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_2)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_3)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_4)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_5)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_6)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_7)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_8)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_9)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_10)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_11)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_12)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_13)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_14)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_15)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_16)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_17)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_18)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_19)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_20)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_21)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_22)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_23)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_24)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_25)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_26)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_27)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_28)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_29)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_30)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_31)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_i_tvalid_o_tready_AND_73_o1)) + ) + ) + (net (rename GPIF_D_7_ "GPIF_D[7]") (joined + (portref IO (instanceref GPIF_D_7_IOBUF)) + (portref (member GPIF_D 24)) + ) + ) + (net (rename ctrl_tdata_31_ "ctrl_tdata[31]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram16)) + ) + ) + (net (rename ctrl_tdata_26_ "ctrl_tdata[26]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram14)) + ) + ) + (net (rename f1_dont_write_past_me_12__FRB "f1/dont_write_past_me<12>_FRB") (joined + (portref I1 (instanceref f1_Mcompar_becoming_full_lut_4_)) + (portref Q (instanceref f1_dont_write_past_me_12__FRB)) + ) + ) + (net (rename GPIF_D_8_ "GPIF_D[8]") (joined + (portref IO (instanceref GPIF_D_8_IOBUF)) + (portref (member GPIF_D 23)) + ) + ) + (net (rename f0_Result_4_2_FRB "f0/Result<4>2_FRB") (joined + (portref D (instanceref f0_wr_addr_4)) + (portref Q (instanceref f0_Result_4_2_FRB)) + (portref I0 (instanceref f0_Mcount_wr_addr_cy_4__rt)) + ) + ) + (net reset_global_locked_OR_1_o (joined + (portref PRE (instanceref bus_sync_reset_int)) + (portref PRE (instanceref bus_sync_reset_out)) + (portref PRE (instanceref gpif_sync_reset_int)) + (portref PRE (instanceref gpif_sync_reset_out)) + (portref O (instanceref reset_global_locked_OR_1_o1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Msub_num_packets[7]_GND_55_o_sub_15_OUT_cy[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11)) + (portref I3 (instanceref slave_fifo32_ctrl_rx_tvalid_data_rx_tvalid_OR_56_o1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tready_int1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tvalid11)) + ) + ) + (net (rename ctrl_tdata_32_ "ctrl_tdata[32]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram17)) + ) + ) + (net (rename ctrl_tdata_27_ "ctrl_tdata[27]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram14)) + ) + ) + (net (rename GPIF_D_9_ "GPIF_D[9]") (joined + (portref IO (instanceref GPIF_D_9_IOBUF)) + (portref (member GPIF_D 22)) + ) + ) + (net (rename ctrl_tdata_28_ "ctrl_tdata[28]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram15)) + ) + ) + (net (rename ctrl_tdata_33_ "ctrl_tdata[33]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr5_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr5_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_5)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr5_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_5__rt)) + ) + ) + (net (rename ctrl_tdata_29_ "ctrl_tdata[29]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram15)) + ) + ) + (net (rename ctrl_tdata_34_ "ctrl_tdata[34]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram18)) + ) + ) + (net (rename ctrl_tdata_35_ "ctrl_tdata[35]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram18)) + ) + ) + (net (rename ctrl_tdata_40_ "ctrl_tdata[40]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram21)) + ) + ) + (net (rename ctrl_tdata_36_ "ctrl_tdata[36]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram19)) + ) + ) + (net (rename ctrl_tdata_41_ "ctrl_tdata[41]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram21)) + ) + ) + (net (rename f1_Result_10_1_FRB "f1/Result<10>1_FRB") (joined + (portref D (instanceref f1_rd_addr_10)) + (portref Q (instanceref f1_Result_10_1_FRB)) + (portref I0 (instanceref f1_Mcount_rd_addr_cy_10__rt)) + (portref I0 (instanceref f1_Msub_dont_write_past_me_lut_10__INV_0)) + ) + ) + (net (rename ctrl_tdata_37_ "ctrl_tdata[37]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram19)) + ) + ) + (net (rename ctrl_tdata_42_ "ctrl_tdata[42]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram22)) + ) + ) + (net (rename ctrl_tdata_38_ "ctrl_tdata[38]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram20)) + ) + ) + (net (rename ctrl_tdata_43_ "ctrl_tdata[43]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram22)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Msub_num_packets[7]_GND_65_o_sub_15_OUT_cy[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_o_tready_int1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_o_tvalid11)) + ) + ) + (net (rename ctrl_tdata_39_ "ctrl_tdata[39]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram20)) + ) + ) + (net (rename ctrl_tdata_44_ "ctrl_tdata[44]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram23)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_lut[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_0_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_0_)) + ) + ) + (net (rename ctrl_tdata_45_ "ctrl_tdata[45]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram23)) + ) + ) + (net (rename ctrl_tdata_50_ "ctrl_tdata[50]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram26)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<0>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_0_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_lut[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_1_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/empty_reg") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW2)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0_lut)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_rstpot)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_GND_56_o_read_OR_123_o1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_o_tvalid11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo__n0146_inv1)) + ) + ) + (net (rename ctrl_tdata_46_ "ctrl_tdata[46]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram24)) + ) + ) + (net (rename ctrl_tdata_51_ "ctrl_tdata[51]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram26)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_lut[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_2_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_2_)) + ) + ) + (net (rename ctrl_tdata_47_ "ctrl_tdata[47]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram24)) + ) + ) + (net (rename ctrl_tdata_52_ "ctrl_tdata[52]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram27)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_10_ "slave_fifo32/gpif_data_out[10]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_10)) + (portref I (instanceref GPIF_D_10_IOBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_lut[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_3_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_3_)) + ) + ) + (net (rename ctrl_tdata_53_ "ctrl_tdata[53]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram27)) + ) + ) + (net (rename ctrl_tdata_48_ "ctrl_tdata[48]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram25)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_11_ "slave_fifo32/gpif_data_out[11]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_11)) + (portref I (instanceref GPIF_D_11_IOBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_becoming_full_lut[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_4_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_4_)) + ) + ) + (net (rename ctrl_tdata_54_ "ctrl_tdata[54]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram28)) + ) + ) + (net (rename ctrl_tdata_49_ "ctrl_tdata[49]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram25)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_0__inv "slave_fifo32/fifo64_to_gpmc32_tx/checker/state<0>_inv") (joined + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_0_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_0__inv1_INV_0)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_12_ "slave_fifo32/gpif_data_out[12]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_12)) + (portref I (instanceref GPIF_D_12_IOBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o "slave_fifo32/fifo64_to_gpmc32_tx/GND_49_o_space[15]_LessThan_2_o") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_i_tready)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o1)) + ) + ) + (net (rename ctrl_tdata_60_ "ctrl_tdata[60]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram31)) + ) + ) + (net (rename ctrl_tdata_55_ "ctrl_tdata[55]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram28)) + ) + ) + (net (rename f1_Result_2_1_FRB "f1/Result<2>1_FRB") (joined + (portref D (instanceref f1_rd_addr_2)) + (portref Q (instanceref f1_Result_2_1_FRB)) + (portref I0 (instanceref f1_Mcount_rd_addr_cy_2__rt)) + (portref I0 (instanceref f1_Msub_dont_write_past_me_lut_2__INV_0)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_13_ "slave_fifo32/gpif_data_out[13]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_13)) + (portref I (instanceref GPIF_D_13_IOBUF)) + ) + ) + (net (rename ctrl_tdata_56_ "ctrl_tdata[56]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram29)) + ) + ) + (net (rename ctrl_tdata_61_ "ctrl_tdata[61]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram31)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_14_ "slave_fifo32/gpif_data_out[14]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_14)) + (portref I (instanceref GPIF_D_14_IOBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_1_)) + ) + ) + (net (rename ctrl_tdata_62_ "ctrl_tdata[62]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram32)) + ) + ) + (net (rename ctrl_tdata_57_ "ctrl_tdata[57]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram29)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_20_ "slave_fifo32/gpif_data_out[20]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_20)) + (portref I (instanceref GPIF_D_20_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_15_ "slave_fifo32/gpif_data_out[15]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_15)) + (portref I (instanceref GPIF_D_15_IOBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_2_)) + ) + ) + (net (rename ctrl_tdata_63_ "ctrl_tdata[63]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram32)) + ) + ) + (net (rename ctrl_tdata_58_ "ctrl_tdata[58]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref (member DIA 31) (instanceref f0_ram_Mram_ram30)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o") (joined + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_empty_reg_rstpot)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0146_inv1)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_16_ "slave_fifo32/gpif_data_out[16]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_16)) + (portref I (instanceref GPIF_D_16_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_21_ "slave_fifo32/gpif_data_out[21]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_21)) + (portref I (instanceref GPIF_D_21_IOBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_3_)) + ) + ) + (net (rename ctrl_tdata_59_ "ctrl_tdata[59]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref (member DIA 30) (instanceref f0_ram_Mram_ram30)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_22_ "slave_fifo32/gpif_data_out[22]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_22)) + (portref I (instanceref GPIF_D_22_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_17_ "slave_fifo32/gpif_data_out[17]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_17)) + (portref I (instanceref GPIF_D_17_IOBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_4_)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_23_ "slave_fifo32/gpif_data_out[23]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_23)) + (portref I (instanceref GPIF_D_23_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_18_ "slave_fifo32/gpif_data_out[18]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_18)) + (portref I (instanceref GPIF_D_18_IOBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_5_)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_24_ "slave_fifo32/gpif_data_out[24]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_24)) + (portref I (instanceref GPIF_D_24_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_19_ "slave_fifo32/gpif_data_out[19]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_19)) + (portref I (instanceref GPIF_D_19_IOBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_5_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr8_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr8_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_8)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr8_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8__rt)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_30_ "slave_fifo32/gpif_data_out[30]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_30)) + (portref I (instanceref GPIF_D_30_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_25_ "slave_fifo32/gpif_data_out[25]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_25)) + (portref I (instanceref GPIF_D_25_IOBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_6_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_i_tready "slave_fifo32/fifo64_to_gpmc32_ctrl/i_tready") (joined + (portref D (instanceref slave_fifo32_debug1_18)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_i_tready)) + (portref I3 (instanceref slave_fifo32_ctrl_tx_tready_data_tx_tready_OR_55_o1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_0)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_0__rt)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full421)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full411)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_31_ "slave_fifo32/gpif_data_out[31]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_31)) + (portref I (instanceref GPIF_D_31_IOBUF)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_26_ "slave_fifo32/gpif_data_out[26]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_26)) + (portref I (instanceref GPIF_D_26_IOBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_7_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy[7]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_8_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_8_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_11 "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/Mcount_space_xor<3>11") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_4_11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_3_11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_1_11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_2_11)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_27_ "slave_fifo32/gpif_data_out[27]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_27)) + (portref I (instanceref GPIF_D_27_IOBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_8_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy[8]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_8_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_9_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_9_)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_4__rt "f1/Mcount_rd_addr_cy<4>_rt") (joined + (portref O (instanceref f1_Mcount_rd_addr_cy_4__rt)) + (portref S (instanceref f1_Mcount_rd_addr_cy_4_)) + (portref LI (instanceref f1_Mcount_rd_addr_xor_4_)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_28_ "slave_fifo32/gpif_data_out[28]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_28)) + (portref I (instanceref GPIF_D_28_IOBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_9_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_cy[9]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_9_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_10_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_10_)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_29_ "slave_fifo32/gpif_data_out[29]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_29)) + (portref I (instanceref GPIF_D_29_IOBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr8_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr8_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr8_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_8__rt)) + ) + ) + (net (rename f1_Mcompar_becoming_full_lut_0_ "f1/Mcompar_becoming_full_lut[0]") (joined + (portref O (instanceref f1_Mcompar_becoming_full_lut_0_)) + (portref S (instanceref f1_Mcompar_becoming_full_cy_0_)) + ) + ) + (net (rename f1_Mcompar_becoming_full_lut_1_ "f1/Mcompar_becoming_full_lut[1]") (joined + (portref O (instanceref f1_Mcompar_becoming_full_lut_1_)) + (portref S (instanceref f1_Mcompar_becoming_full_cy_1_)) + ) + ) + (net (rename f1_Mcompar_becoming_full_lut_2_ "f1/Mcompar_becoming_full_lut[2]") (joined + (portref O (instanceref f1_Mcompar_becoming_full_lut_2_)) + (portref S (instanceref f1_Mcompar_becoming_full_cy_2_)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_0_ "slave_fifo32/data_rx_tdata[0]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT110)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename f1_Mcompar_becoming_full_lut_3_ "f1/Mcompar_becoming_full_lut[3]") (joined + (portref O (instanceref f1_Mcompar_becoming_full_lut_3_)) + (portref S (instanceref f1_Mcompar_becoming_full_cy_3_)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_1_ "slave_fifo32/data_rx_tdata[1]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT121)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename f1_Mcompar_becoming_full_lut_4_ "f1/Mcompar_becoming_full_lut[4]") (joined + (portref O (instanceref f1_Mcompar_becoming_full_lut_4_)) + (portref S (instanceref f1_Mcompar_becoming_full_cy_4_)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_2_ "slave_fifo32/data_rx_tdata[2]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT231)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_EP_WMARK "slave_fifo32/EP_WMARK") (joined + (portref Q (instanceref slave_fifo32_EP_WMARK)) + (portref D (instanceref slave_fifo32_EP_WMARK1)) + (portref D (instanceref slave_fifo32_EP_WMARK1_1)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_3_ "slave_fifo32/data_rx_tdata[3]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT261)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_4_ "slave_fifo32/data_rx_tdata[4]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT271)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<4>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_4_)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_10_ "f0/Mcount_rd_addr_cy[10]") (joined + (portref O (instanceref f0_Mcount_rd_addr_cy_10_)) + (portref CI (instanceref f0_Mcount_rd_addr_cy_11_)) + (portref CI (instanceref f0_Mcount_rd_addr_xor_11_)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_5_ "slave_fifo32/data_rx_tdata[5]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT281)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_6_ "slave_fifo32/data_rx_tdata[6]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT291)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_7__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<7>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_7__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_7_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_7_)) + ) + ) + (net (rename slave_fifo32_fifoadr_0_1 "slave_fifo32/fifoadr_0_1") (joined + (portref Q (instanceref slave_fifo32_fifoadr_0_1)) + (portref D (instanceref slave_fifo32_debug1_26)) + (portref I1 (instanceref slave_fifo32_Mcount_fifoadr_xor_1_11)) + (portref I0 (instanceref slave_fifo32_ctrl_tx_tready_data_tx_tready_OR_55_o1)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT110)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT101)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT111)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT121)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT131)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT141)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT151)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT161)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT171)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT181)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT191)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT210)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT201)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT211)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT221)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT231)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT241)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT251)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT261)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT271)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT281)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT291)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT33)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT301)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT311)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT321)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT41)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT51)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT61)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT71)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT81)) + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT91)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tready_int1_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_write1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_write1)) + (portref I0 (instanceref slave_fifo32_ctrl_rx_tvalid_data_rx_tvalid_OR_56_o1)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_xfer_Mux_21_o1_SW0)) + (portref I2 (instanceref slave_fifo32_ctrl_tx_tvalid1)) + (portref I2 (instanceref slave_fifo32_data_tx_tvalid1)) + (portref I0 (instanceref slave_fifo32_Mcount_fifoadr_xor_0_11_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_write "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/write") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_write1)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member WEA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member WEA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member WEA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member WEA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename f1_Result_0_2_FRB "f1/Result<0>2_FRB") (joined + (portref D (instanceref f1_wr_addr_0)) + (portref Q (instanceref f1_Result_0_2_FRB)) + (portref I0 (instanceref f1_Mcount_wr_addr_lut_0__INV_0)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_7_ "slave_fifo32/data_rx_tdata[7]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT301)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + ) + ) + (net (rename slave_fifo32_sloe_1_rstpot "slave_fifo32/sloe_1_rstpot") (joined + (portref D (instanceref slave_fifo32_sloe_1)) + (portref O (instanceref slave_fifo32_sloe_1_rstpot)) + (portref D (instanceref slave_fifo32_sloe_34)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_8_ "slave_fifo32/data_rx_tdata[8]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT311)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_o_tready_int "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/o_tready_int") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tready_int1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_GND_56_o_read_OR_123_o1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_In111)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_full_reg_glue_set)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo__n0146_inv1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt__n0074_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_6__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<6>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_6__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_6_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_6_)) + ) + ) + (net (rename slave_fifo32_data_rx_tdata_9_ "slave_fifo32/data_rx_tdata[9]") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT321)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + ) + ) + (net (rename slave_fifo32_fifoadr_1_1 "slave_fifo32/fifoadr_1_1") (joined + (portref Q (instanceref slave_fifo32_fifoadr_1_1)) + (portref D (instanceref slave_fifo32_debug1_27)) + (portref I0 (instanceref slave_fifo32_Mcount_fifoadr_xor_1_11)) + (portref I1 (instanceref slave_fifo32_ctrl_tx_tready_data_tx_tready_OR_55_o1)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT110)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT101)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT111)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT121)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT131)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT141)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT151)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT161)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT171)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT181)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT191)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT210)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT201)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT211)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT221)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT231)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT241)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT251)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT261)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT271)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT281)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT291)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT33)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT301)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT311)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT321)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT41)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT51)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT61)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT71)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT81)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT91)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_xfer_Mux_21_o1)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_write1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_write1)) + (portref I1 (instanceref slave_fifo32_ctrl_rx_tvalid_data_rx_tvalid_OR_56_o1)) + (portref I3 (instanceref slave_fifo32_ctrl_tx_tvalid1)) + (portref I3 (instanceref slave_fifo32_data_tx_tvalid1)) + (portref I0 (instanceref slave_fifo32_state_FSM_FFd1_In2)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tready_int1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_o_tready_int1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[10]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_10)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata371)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[11]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata381)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[12]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_12)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata391)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[13]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_13)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata401)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_becoming_full_l1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/becoming_full_l1") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_cy)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_cy1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[14]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_14)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata411)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_1__rt "f1/Mcount_wr_addr_cy<1>_rt") (joined + (portref O (instanceref f1_Mcount_wr_addr_cy_1__rt)) + (portref S (instanceref f1_Mcount_wr_addr_cy_1_)) + (portref LI (instanceref f1_Mcount_wr_addr_xor_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_state_glue_set "slave_fifo32/fifo64_to_gpmc32_tx/fifo32_to_fifo64/state_glue_set") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_state)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_state_glue_set)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_15_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[15]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_15)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata421)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_20_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[20]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_20)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata481)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_16_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[16]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_16)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata431)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_21_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[21]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_21)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata491)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd2_1") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int12)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int14)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr11_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr11_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_11)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr11_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_11__rt)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_11__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_17_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[17]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_17)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata441)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_22_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[22]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_22)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata501)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_18_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[18]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_18)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata461)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_23_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[23]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_23)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata511)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_19_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[19]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_19)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata471)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_24_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[24]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_24)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata521)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_0_ "slave_fifo32/gpif_data_out[0]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_0)) + (portref I (instanceref GPIF_D_0_IOBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_write_AND_42_o_inv "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/read_write_AND_42_o_inv") (joined + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_0_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_write_AND_42_o_inv2)) + ) + ) + (net (rename f1_rd_addr_0_ "f1/rd_addr[0]") (joined + (portref Q (instanceref f1_rd_addr_0)) + (portref I0 (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_)) + (portref (member ADDRBRDADDR 12) (instanceref f1_ram_Mram_ram33)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram31)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram30)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram32)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram28)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram27)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram29)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram25)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram24)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram26)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram22)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram21)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram23)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram19)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram18)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram20)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram16)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram15)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram17)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram14)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram13)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram12)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram11)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram9)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram8)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram10)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram6)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram5)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram7)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram3)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram2)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram4)) + (portref (member ADDRB 12) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_30_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[30]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_30)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata591)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_25_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[25]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_25)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata531)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_1_ "slave_fifo32/gpif_data_out[1]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_1)) + (portref I (instanceref GPIF_D_1_IOBUF)) + ) + ) + (net (rename f1_rd_addr_1_ "f1/rd_addr[1]") (joined + (portref Q (instanceref f1_rd_addr_1)) + (portref I2 (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_)) + (portref (member ADDRBRDADDR 11) (instanceref f1_ram_Mram_ram33)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram31)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram30)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram32)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram28)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram27)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram29)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram25)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram24)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram26)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram22)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram21)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram23)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram19)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram18)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram20)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram16)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram15)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram17)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram14)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram13)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram12)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram11)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram9)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram8)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram10)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram6)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram5)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram7)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram3)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram2)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram4)) + (portref (member ADDRB 11) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_31_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[31]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_31)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata601)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_26_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[26]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_26)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata541)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_2_ "slave_fifo32/gpif_data_out[2]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_2)) + (portref I (instanceref GPIF_D_2_IOBUF)) + ) + ) + (net (rename f1_rd_addr_2_ "f1/rd_addr[2]") (joined + (portref Q (instanceref f1_rd_addr_2)) + (portref I4 (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_)) + (portref (member ADDRBRDADDR 10) (instanceref f1_ram_Mram_ram33)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram31)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram30)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram32)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram28)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram27)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram29)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram25)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram24)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram26)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram22)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram21)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram23)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram19)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram18)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram20)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram16)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram15)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram17)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram14)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram13)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram12)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram11)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram9)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram8)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram10)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram6)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram5)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram7)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram3)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram2)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram4)) + (portref (member ADDRB 10) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_27_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[27]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_27)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata551)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_3_ "slave_fifo32/gpif_data_out[3]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_3)) + (portref I (instanceref GPIF_D_3_IOBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_0)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0__rt)) + ) + ) + (net (rename f1_rd_addr_3_ "f1/rd_addr[3]") (joined + (portref Q (instanceref f1_rd_addr_3)) + (portref I0 (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_)) + (portref (member ADDRBRDADDR 9) (instanceref f1_ram_Mram_ram33)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram31)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram30)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram32)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram28)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram27)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram29)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram25)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram24)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram26)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram22)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram21)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram23)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram19)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram18)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram20)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram16)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram15)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram17)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram14)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram13)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram12)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram11)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram9)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram8)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram10)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram6)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram5)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram7)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram3)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram2)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram4)) + (portref (member ADDRB 9) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_28_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[28]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_28)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata571)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tvalid "slave_fifo32/ctrl_rx_tvalid") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_xfer_Mux_21_o1)) + (portref I2 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_o_tvalid11)) + (portref I5 (instanceref slave_fifo32_ctrl_rx_tvalid_data_rx_tvalid_OR_56_o1)) + (portref I4 (instanceref slave_fifo32_state_FSM_FFd1_In2)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_4_ "slave_fifo32/gpif_data_out[4]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_4)) + (portref I (instanceref GPIF_D_4_IOBUF)) + ) + ) + (net (rename f1_rd_addr_4_ "f1/rd_addr[4]") (joined + (portref Q (instanceref f1_rd_addr_4)) + (portref I2 (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_)) + (portref (member ADDRBRDADDR 8) (instanceref f1_ram_Mram_ram33)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram31)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram30)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram32)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram28)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram27)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram29)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram25)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram24)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram26)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram22)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram21)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram23)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram19)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram18)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram20)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram16)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram15)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram17)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram14)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram13)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram12)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram11)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram9)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram8)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram10)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram6)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram5)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram7)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram3)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram2)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram4)) + (portref (member ADDRB 8) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_29_ "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/holding[29]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_29)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata581)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_5_ "slave_fifo32/gpif_data_out[5]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_5)) + (portref I (instanceref GPIF_D_5_IOBUF)) + ) + ) + (net (rename f1_rd_addr_5_ "f1/rd_addr[5]") (joined + (portref Q (instanceref f1_rd_addr_5)) + (portref I4 (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_)) + (portref (member ADDRBRDADDR 7) (instanceref f1_ram_Mram_ram33)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram31)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram30)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram32)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram28)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram27)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram29)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram25)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram24)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram26)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram22)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram21)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram23)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram19)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram18)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram20)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram16)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram15)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram17)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram14)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram13)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram12)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram11)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram9)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram8)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram10)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram6)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram5)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram7)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram3)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram2)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram4)) + (portref (member ADDRB 7) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_6_ "slave_fifo32/gpif_data_out[6]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_6)) + (portref I (instanceref GPIF_D_6_IOBUF)) + ) + ) + (net (rename f1_rd_addr_6_ "f1/rd_addr[6]") (joined + (portref Q (instanceref f1_rd_addr_6)) + (portref I0 (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_)) + (portref (member ADDRBRDADDR 6) (instanceref f1_ram_Mram_ram33)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram31)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram30)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram32)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram28)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram27)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram29)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram25)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram24)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram26)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram22)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram21)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram23)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram19)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram18)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram20)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram16)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram15)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram17)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram14)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram13)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram12)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram11)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram9)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram8)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram10)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram6)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram5)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram7)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram3)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram2)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram4)) + (portref (member ADDRB 6) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_7_ "slave_fifo32/gpif_data_out[7]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_7)) + (portref I (instanceref GPIF_D_7_IOBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/full_glue_set") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set)) + ) + ) + (net (rename f1_rd_addr_7_ "f1/rd_addr[7]") (joined + (portref Q (instanceref f1_rd_addr_7)) + (portref I2 (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_)) + (portref (member ADDRBRDADDR 5) (instanceref f1_ram_Mram_ram33)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram31)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram30)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram32)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram28)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram27)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram29)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram25)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram24)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram26)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram22)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram21)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram23)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram19)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram18)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram20)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram16)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram15)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram17)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram14)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram13)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram12)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram11)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram9)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram8)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram10)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram6)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram5)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram7)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram3)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram2)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram4)) + (portref (member ADDRB 5) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_8_ "slave_fifo32/gpif_data_out[8]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_8)) + (portref I (instanceref GPIF_D_8_IOBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_9_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_9_BRB1") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_9_BRB1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT161)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o1_SW1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9_)) + ) + ) + (net (rename f1_rd_addr_8_ "f1/rd_addr[8]") (joined + (portref Q (instanceref f1_rd_addr_8)) + (portref I4 (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_)) + (portref (member ADDRBRDADDR 4) (instanceref f1_ram_Mram_ram33)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram31)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram30)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram32)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram28)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram27)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram29)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram25)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram24)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram26)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram22)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram21)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram23)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram19)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram18)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram20)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram16)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram15)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram17)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram14)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram13)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram12)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram11)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram9)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram8)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram10)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram6)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram5)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram7)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram3)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram2)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram4)) + (portref (member ADDRB 4) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_9_ "slave_fifo32/gpif_data_out[9]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_9)) + (portref I (instanceref GPIF_D_9_IOBUF)) + ) + ) + (net (rename f1_rd_addr_9_ "f1/rd_addr[9]") (joined + (portref Q (instanceref f1_rd_addr_9)) + (portref I0 (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_)) + (portref (member ADDRBRDADDR 3) (instanceref f1_ram_Mram_ram33)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram31)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram30)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram32)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram28)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram27)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram29)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram25)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram24)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram26)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram22)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram21)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram23)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram19)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram18)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram20)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram16)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram15)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram17)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram14)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram13)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram12)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram11)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram9)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram8)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram10)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram6)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram5)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram7)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram3)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram2)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram4)) + (portref (member ADDRB 3) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_4__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<4>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_4__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_4_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_0_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets[0]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_i_tvalid_int1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT11_INV_0)) + ) + ) + (net (rename f1_rd_addr_12__wr_addr_12__equal_11_o "f1/rd_addr[12]_wr_addr[12]_equal_11_o") (joined + (portref O (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4_)) + (portref CI (instanceref f1__n0161_inv1_cy)) + (portref I2 (instanceref f1_read_state_FSM_FFd1_In111)) + (portref I1 (instanceref f1_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_1_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets[1]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_i_tvalid_int1_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_3__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<3>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_3__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_3_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_2_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets[2]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_2)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_i_tvalid_int1_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_3_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets[3]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_3)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_i_tvalid_int1_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read_SW0)) + ) + ) + (net (rename f0_dont_write_past_me_11__FRB "f0/dont_write_past_me<11>_FRB") (joined + (portref I5 (instanceref f0_Mcompar_becoming_full_lut_3_)) + (portref Q (instanceref f0_dont_write_past_me_11__FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets[0]") (joined + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531_SW1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0_rstpot)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_4_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets[4]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_4)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_i_tvalid_int1_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets[1]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531_SW1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_5_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets[5]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_5)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_i_tvalid_int1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets[2]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_2)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_2_1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531_SW1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int15)) + ) + ) + (net (rename f0_Result_8_1_FRB "f0/Result<8>1_FRB") (joined + (portref D (instanceref f0_rd_addr_8)) + (portref Q (instanceref f0_Result_8_1_FRB)) + (portref I0 (instanceref f0_Mcount_rd_addr_cy_8__rt)) + (portref I0 (instanceref f0_Msub_dont_write_past_me_lut_8__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_6_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets[6]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_6)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_i_tvalid_int1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11)) + ) + ) + (net (rename tx_codec_d_0_ "tx_codec_d[0]") (joined + (portref O (instanceref tx_codec_d_0_OBUF)) + (portref (member tx_codec_d 11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets[3]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_3)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_clear_dump_OR_131_o_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0076_inv_SW0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int15)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_3_1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531_SW1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11_SW1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511_SW0)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<5>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_5_)) + ) + ) + (net (rename slave_fifo32_ctrl_tx_tvalid "slave_fifo32/ctrl_tx_tvalid") (joined + (portref D (instanceref slave_fifo32_debug1_19)) + (portref O (instanceref slave_fifo32_ctrl_tx_tvalid1)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd2_BRB0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15_)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9_)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10_)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11_)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12_)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13_)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv31)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_write_AND_42_o_inv2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets[7]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_i_tvalid_int1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_o_tready_int1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_o_tvalid11)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_11__rt "f1/Mcount_rd_addr_cy<11>_rt") (joined + (portref O (instanceref f1_Mcount_rd_addr_cy_11__rt)) + (portref S (instanceref f1_Mcount_rd_addr_cy_11_)) + (portref LI (instanceref f1_Mcount_rd_addr_xor_11_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_1_)) + ) + ) + (net (rename tx_codec_d_1_ "tx_codec_d[1]") (joined + (portref O (instanceref tx_codec_d_1_OBUF)) + (portref (member tx_codec_d 10)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets[4]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_4)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0076_inv_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_4_1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11_SW1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511_SW0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_clear_inv1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_F)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_G)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_clear_dump_OR_131_o)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int15)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_o_tvalid11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_2_)) + ) + ) + (net (rename tx_codec_d_2_ "tx_codec_d[2]") (joined + (portref O (instanceref tx_codec_d_2_OBUF)) + (portref (member tx_codec_d 9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets[5]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_5)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0076_inv)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int15)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0_lut)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_clear_inv1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_clear_dump_OR_131_o)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_o_tvalid11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_3_)) + ) + ) + (net (rename tx_codec_d_3_ "tx_codec_d[3]") (joined + (portref O (instanceref tx_codec_d_3_OBUF)) + (portref (member tx_codec_d 8)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01211 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01211") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012114)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01219)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets[6]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_6)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0076_inv)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0_lut)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_clear_inv1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_clear_dump_OR_131_o)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int15)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_o_tvalid11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01212") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01214)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01213 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01213") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01213)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01214)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01214 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01214") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01214)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01219)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01215 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01215") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01215)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01219)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_4_)) + ) + ) + (net (rename tx_codec_d_4_ "tx_codec_d[4]") (joined + (portref O (instanceref tx_codec_d_4_OBUF)) + (portref (member tx_codec_d 7)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01216 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01216") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01216)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01219)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets[7]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_7)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0076_inv)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11_SW1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_GND_56_o_read_OR_123_o1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_clear_inv1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_o_tready_int11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_clear_dump_OR_131_o)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int15)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv4)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01217 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01217") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01217)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01219)) + ) + ) + (net (rename slave_fifo32_sloe_10 "slave_fifo32/sloe_10") (joined + (portref Q (instanceref slave_fifo32_sloe_10)) + (portref T (instanceref GPIF_D_8_IOBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01218 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n01218") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01218)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01219)) + ) + ) + (net (rename slave_fifo32_sloe_11 "slave_fifo32/sloe_11") (joined + (portref Q (instanceref slave_fifo32_sloe_11)) + (portref T (instanceref GPIF_D_9_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_12 "slave_fifo32/sloe_12") (joined + (portref Q (instanceref slave_fifo32_sloe_12)) + (portref T (instanceref GPIF_D_10_IOBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_5_)) + ) + ) + (net (rename slave_fifo32_sloe_13 "slave_fifo32/sloe_13") (joined + (portref Q (instanceref slave_fifo32_sloe_13)) + (portref T (instanceref GPIF_D_11_IOBUF)) + ) + ) + (net (rename tx_codec_d_5_ "tx_codec_d[5]") (joined + (portref O (instanceref tx_codec_d_5_OBUF)) + (portref (member tx_codec_d 6)) + ) + ) + (net (rename slave_fifo32_sloe_14 "slave_fifo32/sloe_14") (joined + (portref Q (instanceref slave_fifo32_sloe_14)) + (portref T (instanceref GPIF_D_12_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_20 "slave_fifo32/sloe_20") (joined + (portref Q (instanceref slave_fifo32_sloe_20)) + (portref T (instanceref GPIF_D_18_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_15 "slave_fifo32/sloe_15") (joined + (portref Q (instanceref slave_fifo32_sloe_15)) + (portref T (instanceref GPIF_D_13_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_21 "slave_fifo32/sloe_21") (joined + (portref Q (instanceref slave_fifo32_sloe_21)) + (portref T (instanceref GPIF_D_19_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_16 "slave_fifo32/sloe_16") (joined + (portref Q (instanceref slave_fifo32_sloe_16)) + (portref T (instanceref GPIF_D_14_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_22 "slave_fifo32/sloe_22") (joined + (portref Q (instanceref slave_fifo32_sloe_22)) + (portref T (instanceref GPIF_D_20_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_17 "slave_fifo32/sloe_17") (joined + (portref Q (instanceref slave_fifo32_sloe_17)) + (portref T (instanceref GPIF_D_15_IOBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_6_)) + ) + ) + (net (rename slave_fifo32_sloe_18 "slave_fifo32/sloe_18") (joined + (portref Q (instanceref slave_fifo32_sloe_18)) + (portref T (instanceref GPIF_D_16_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_23 "slave_fifo32/sloe_23") (joined + (portref Q (instanceref slave_fifo32_sloe_23)) + (portref T (instanceref GPIF_D_21_IOBUF)) + ) + ) + (net (rename tx_codec_d_6_ "tx_codec_d[6]") (joined + (portref O (instanceref tx_codec_d_6_OBUF)) + (portref (member tx_codec_d 5)) + ) + ) + (net (rename slave_fifo32_sloe_19 "slave_fifo32/sloe_19") (joined + (portref Q (instanceref slave_fifo32_sloe_19)) + (portref T (instanceref GPIF_D_17_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_24 "slave_fifo32/sloe_24") (joined + (portref Q (instanceref slave_fifo32_sloe_24)) + (portref T (instanceref GPIF_D_22_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_30 "slave_fifo32/sloe_30") (joined + (portref Q (instanceref slave_fifo32_sloe_30)) + (portref T (instanceref GPIF_D_28_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_25 "slave_fifo32/sloe_25") (joined + (portref Q (instanceref slave_fifo32_sloe_25)) + (portref T (instanceref GPIF_D_23_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_31 "slave_fifo32/sloe_31") (joined + (portref Q (instanceref slave_fifo32_sloe_31)) + (portref T (instanceref GPIF_D_29_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_26 "slave_fifo32/sloe_26") (joined + (portref Q (instanceref slave_fifo32_sloe_26)) + (portref T (instanceref GPIF_D_24_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_32 "slave_fifo32/sloe_32") (joined + (portref Q (instanceref slave_fifo32_sloe_32)) + (portref T (instanceref GPIF_D_30_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_27 "slave_fifo32/sloe_27") (joined + (portref Q (instanceref slave_fifo32_sloe_27)) + (portref T (instanceref GPIF_D_25_IOBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_7_)) + ) + ) + (net (rename slave_fifo32_sloe_28 "slave_fifo32/sloe_28") (joined + (portref Q (instanceref slave_fifo32_sloe_28)) + (portref T (instanceref GPIF_D_26_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_33 "slave_fifo32/sloe_33") (joined + (portref Q (instanceref slave_fifo32_sloe_33)) + (portref T (instanceref GPIF_D_31_IOBUF)) + ) + ) + (net (rename tx_codec_d_7_ "tx_codec_d[7]") (joined + (portref O (instanceref tx_codec_d_7_OBUF)) + (portref (member tx_codec_d 4)) + ) + ) + (net (rename slave_fifo32_sloe_29 "slave_fifo32/sloe_29") (joined + (portref Q (instanceref slave_fifo32_sloe_29)) + (portref T (instanceref GPIF_D_27_IOBUF)) + ) + ) + (net (rename slave_fifo32_sloe_34 "slave_fifo32/sloe_34") (joined + (portref Q (instanceref slave_fifo32_sloe_34)) + (portref D (instanceref slave_fifo32_debug1_31)) + (portref I0 (instanceref slave_fifo32_sloe_1_rstpot)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[7]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_8_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_8_)) + ) + ) + (net (rename tx_codec_d_8_ "tx_codec_d[8]") (joined + (portref O (instanceref tx_codec_d_8_OBUF)) + (portref (member tx_codec_d 3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[8]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_8_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_9_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_9_)) + ) + ) + (net (rename tx_codec_d_9_ "tx_codec_d[9]") (joined + (portref O (instanceref tx_codec_d_9_OBUF)) + (portref (member tx_codec_d 2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[9]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_9_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_10_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_10_)) + ) + ) + (net bus_clk (joined + (portref C (instanceref bus_sync_reset_int)) + (portref C (instanceref bus_sync_reset_out)) + (portref O (instanceref gen_clks_clkout3_buf)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_a_4)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_4)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_4)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_5)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_6)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_7)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_8)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_9)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_10)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_11)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_12)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_13)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_14)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_15)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_16)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_17)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_18)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_19)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_20)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_21)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_22)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_23)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_24)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_25)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_26)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_27)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_28)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_29)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_30)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_31)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_4)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_4)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_5)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_6)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_7)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_8)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_9)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_10)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_11)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_12)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_13)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_14)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_lines32_15)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_4)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_5)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_6)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_7)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_4)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_5)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_6)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_7)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_8)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_9)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_10)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_11)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_12)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_4)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_5)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_6)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_7)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_8)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_9)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_10)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_11)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_12)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_4)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_5)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_6)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_7)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_8)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_9)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_10)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_11)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_12)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_13)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_14)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_15)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_16)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_17)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_18)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_19)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_20)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_21)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_22)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_23)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_24)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_25)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_26)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_27)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_28)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_29)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_30)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_31)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_4)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref CLK (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_4)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_5)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_6)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_7)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_8)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_9)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_10)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_11)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_12)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_13)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_14)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_15)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_4)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_5)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_6)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_4)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_5)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_6)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_7)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_8)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_4)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_5)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_6)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_7)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_8)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_9)) + (portref C (instanceref f1_rd_addr_1)) + (portref C (instanceref f1_rd_addr_2)) + (portref C (instanceref f1_rd_addr_3)) + (portref C (instanceref f1_rd_addr_4)) + (portref C (instanceref f1_rd_addr_5)) + (portref C (instanceref f1_rd_addr_6)) + (portref C (instanceref f1_rd_addr_7)) + (portref C (instanceref f1_rd_addr_8)) + (portref C (instanceref f1_rd_addr_9)) + (portref C (instanceref f1_rd_addr_10)) + (portref C (instanceref f1_rd_addr_11)) + (portref C (instanceref f1_rd_addr_12)) + (portref C (instanceref f1_wr_addr_1)) + (portref C (instanceref f1_wr_addr_2)) + (portref C (instanceref f1_wr_addr_3)) + (portref C (instanceref f1_wr_addr_4)) + (portref C (instanceref f1_wr_addr_5)) + (portref C (instanceref f1_wr_addr_6)) + (portref C (instanceref f1_wr_addr_7)) + (portref C (instanceref f1_wr_addr_8)) + (portref C (instanceref f1_wr_addr_9)) + (portref C (instanceref f1_wr_addr_10)) + (portref C (instanceref f1_wr_addr_11)) + (portref C (instanceref f1_wr_addr_12)) + (portref C (instanceref f1_read_state_FSM_FFd2)) + (portref C (instanceref f1_read_state_FSM_FFd1)) + (portref C (instanceref f1_rd_addr_0)) + (portref C (instanceref f1_wr_addr_0)) + (portref C (instanceref f0_rd_addr_1)) + (portref C (instanceref f0_rd_addr_2)) + (portref C (instanceref f0_rd_addr_3)) + (portref C (instanceref f0_rd_addr_4)) + (portref C (instanceref f0_rd_addr_5)) + (portref C (instanceref f0_rd_addr_6)) + (portref C (instanceref f0_rd_addr_7)) + (portref C (instanceref f0_rd_addr_8)) + (portref C (instanceref f0_rd_addr_9)) + (portref C (instanceref f0_rd_addr_10)) + (portref C (instanceref f0_rd_addr_11)) + (portref C (instanceref f0_rd_addr_12)) + (portref C (instanceref f0_wr_addr_1)) + (portref C (instanceref f0_wr_addr_2)) + (portref C (instanceref f0_wr_addr_3)) + (portref C (instanceref f0_wr_addr_4)) + (portref C (instanceref f0_wr_addr_5)) + (portref C (instanceref f0_wr_addr_6)) + (portref C (instanceref f0_wr_addr_7)) + (portref C (instanceref f0_wr_addr_8)) + (portref C (instanceref f0_wr_addr_9)) + (portref C (instanceref f0_wr_addr_10)) + (portref C (instanceref f0_wr_addr_11)) + (portref C (instanceref f0_wr_addr_12)) + (portref C (instanceref f0_read_state_FSM_FFd2)) + (portref C (instanceref f0_read_state_FSM_FFd1)) + (portref C (instanceref f0_rd_addr_0)) + (portref C (instanceref f0_wr_addr_0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_state)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_state)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_state)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_state)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump)) + (portref C (instanceref f1_full_reg)) + (portref C (instanceref f0_full_reg)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_empty_reg)) + (portref C (instanceref f1_Result_0_2_FRB)) + (portref C (instanceref f1_Result_1_2_FRB)) + (portref C (instanceref f1_Result_2_2_FRB)) + (portref C (instanceref f1_Result_3_2_FRB)) + (portref C (instanceref f1_Result_4_2_FRB)) + (portref C (instanceref f1_Result_5_2_FRB)) + (portref C (instanceref f1_Result_6_2_FRB)) + (portref C (instanceref f1_Result_7_2_FRB)) + (portref C (instanceref f1_Result_8_2_FRB)) + (portref C (instanceref f1_Result_9_2_FRB)) + (portref C (instanceref f1_Result_10_2_FRB)) + (portref C (instanceref f1_Result_11_2_FRB)) + (portref C (instanceref f1_Result_12_2_FRB)) + (portref C (instanceref f1_Result_0_1_FRB)) + (portref C (instanceref f1_Result_1_1_FRB)) + (portref C (instanceref f1_Result_2_1_FRB)) + (portref C (instanceref f1_Result_3_1_FRB)) + (portref C (instanceref f1_Result_4_1_FRB)) + (portref C (instanceref f1_Result_5_1_FRB)) + (portref C (instanceref f1_Result_6_1_FRB)) + (portref C (instanceref f1_Result_7_1_FRB)) + (portref C (instanceref f1_Result_8_1_FRB)) + (portref C (instanceref f1_Result_9_1_FRB)) + (portref C (instanceref f1_Result_10_1_FRB)) + (portref C (instanceref f1_Result_11_1_FRB)) + (portref C (instanceref f1_Result_12_1_FRB)) + (portref C (instanceref f1_dont_write_past_me_0__FRB)) + (portref C (instanceref f1_dont_write_past_me_1__FRB)) + (portref C (instanceref f1_dont_write_past_me_2__FRB)) + (portref C (instanceref f1_dont_write_past_me_3__FRB)) + (portref C (instanceref f1_dont_write_past_me_4__FRB)) + (portref C (instanceref f1_dont_write_past_me_5__FRB)) + (portref C (instanceref f1_dont_write_past_me_6__FRB)) + (portref C (instanceref f1_dont_write_past_me_7__FRB)) + (portref C (instanceref f1_dont_write_past_me_8__FRB)) + (portref C (instanceref f1_dont_write_past_me_9__FRB)) + (portref C (instanceref f1_dont_write_past_me_10__FRB)) + (portref C (instanceref f1_dont_write_past_me_11__FRB)) + (portref C (instanceref f1_dont_write_past_me_12__FRB)) + (portref C (instanceref f0_Result_0_2_FRB)) + (portref C (instanceref f0_Result_1_2_FRB)) + (portref C (instanceref f0_Result_2_2_FRB)) + (portref C (instanceref f0_Result_3_2_FRB)) + (portref C (instanceref f0_Result_4_2_FRB)) + (portref C (instanceref f0_Result_5_2_FRB)) + (portref C (instanceref f0_Result_6_2_FRB)) + (portref C (instanceref f0_Result_7_2_FRB)) + (portref C (instanceref f0_Result_8_2_FRB)) + (portref C (instanceref f0_Result_9_2_FRB)) + (portref C (instanceref f0_Result_10_2_FRB)) + (portref C (instanceref f0_Result_11_2_FRB)) + (portref C (instanceref f0_Result_12_2_FRB)) + (portref C (instanceref f0_Result_0_1_FRB)) + (portref C (instanceref f0_Result_1_1_FRB)) + (portref C (instanceref f0_Result_2_1_FRB)) + (portref C (instanceref f0_Result_3_1_FRB)) + (portref C (instanceref f0_Result_4_1_FRB)) + (portref C (instanceref f0_Result_5_1_FRB)) + (portref C (instanceref f0_Result_6_1_FRB)) + (portref C (instanceref f0_Result_7_1_FRB)) + (portref C (instanceref f0_Result_8_1_FRB)) + (portref C (instanceref f0_Result_9_1_FRB)) + (portref C (instanceref f0_Result_10_1_FRB)) + (portref C (instanceref f0_Result_11_1_FRB)) + (portref C (instanceref f0_Result_12_1_FRB)) + (portref C (instanceref f0_dont_write_past_me_0__FRB)) + (portref C (instanceref f0_dont_write_past_me_1__FRB)) + (portref C (instanceref f0_dont_write_past_me_2__FRB)) + (portref C (instanceref f0_dont_write_past_me_3__FRB)) + (portref C (instanceref f0_dont_write_past_me_4__FRB)) + (portref C (instanceref f0_dont_write_past_me_5__FRB)) + (portref C (instanceref f0_dont_write_past_me_6__FRB)) + (portref C (instanceref f0_dont_write_past_me_7__FRB)) + (portref C (instanceref f0_dont_write_past_me_8__FRB)) + (portref C (instanceref f0_dont_write_past_me_9__FRB)) + (portref C (instanceref f0_dont_write_past_me_10__FRB)) + (portref C (instanceref f0_dont_write_past_me_11__FRB)) + (portref C (instanceref f0_dont_write_past_me_12__FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_SW0_FRB)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB4)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB5)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB0)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB2)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB4)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB5)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB1)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB3)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_empty_reg_BRB4)) + (portref C (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_1)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref CLKAWRCLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portref CLKBRDCLK (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref CLKA (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref CLKB (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref CLKAWRCLK (instanceref f1_ram_Mram_ram33)) + (portref CLKBRDCLK (instanceref f1_ram_Mram_ram33)) + (portref CLKA (instanceref f1_ram_Mram_ram31)) + (portref CLKB (instanceref f1_ram_Mram_ram31)) + (portref CLKA (instanceref f1_ram_Mram_ram30)) + (portref CLKB (instanceref f1_ram_Mram_ram30)) + (portref CLKA (instanceref f1_ram_Mram_ram32)) + (portref CLKB (instanceref f1_ram_Mram_ram32)) + (portref CLKA (instanceref f1_ram_Mram_ram28)) + (portref CLKB (instanceref f1_ram_Mram_ram28)) + (portref CLKA (instanceref f1_ram_Mram_ram27)) + (portref CLKB (instanceref f1_ram_Mram_ram27)) + (portref CLKA (instanceref f1_ram_Mram_ram29)) + (portref CLKB (instanceref f1_ram_Mram_ram29)) + (portref CLKA (instanceref f1_ram_Mram_ram25)) + (portref CLKB (instanceref f1_ram_Mram_ram25)) + (portref CLKA (instanceref f1_ram_Mram_ram24)) + (portref CLKB (instanceref f1_ram_Mram_ram24)) + (portref CLKA (instanceref f1_ram_Mram_ram26)) + (portref CLKB (instanceref f1_ram_Mram_ram26)) + (portref CLKA (instanceref f1_ram_Mram_ram22)) + (portref CLKB (instanceref f1_ram_Mram_ram22)) + (portref CLKA (instanceref f1_ram_Mram_ram21)) + (portref CLKB (instanceref f1_ram_Mram_ram21)) + (portref CLKA (instanceref f1_ram_Mram_ram23)) + (portref CLKB (instanceref f1_ram_Mram_ram23)) + (portref CLKA (instanceref f1_ram_Mram_ram19)) + (portref CLKB (instanceref f1_ram_Mram_ram19)) + (portref CLKA (instanceref f1_ram_Mram_ram18)) + (portref CLKB (instanceref f1_ram_Mram_ram18)) + (portref CLKA (instanceref f1_ram_Mram_ram20)) + (portref CLKB (instanceref f1_ram_Mram_ram20)) + (portref CLKA (instanceref f1_ram_Mram_ram16)) + (portref CLKB (instanceref f1_ram_Mram_ram16)) + (portref CLKA (instanceref f1_ram_Mram_ram15)) + (portref CLKB (instanceref f1_ram_Mram_ram15)) + (portref CLKA (instanceref f1_ram_Mram_ram17)) + (portref CLKB (instanceref f1_ram_Mram_ram17)) + (portref CLKA (instanceref f1_ram_Mram_ram14)) + (portref CLKB (instanceref f1_ram_Mram_ram14)) + (portref CLKA (instanceref f1_ram_Mram_ram13)) + (portref CLKB (instanceref f1_ram_Mram_ram13)) + (portref CLKA (instanceref f1_ram_Mram_ram12)) + (portref CLKB (instanceref f1_ram_Mram_ram12)) + (portref CLKA (instanceref f1_ram_Mram_ram11)) + (portref CLKB (instanceref f1_ram_Mram_ram11)) + (portref CLKA (instanceref f1_ram_Mram_ram9)) + (portref CLKB (instanceref f1_ram_Mram_ram9)) + (portref CLKA (instanceref f1_ram_Mram_ram8)) + (portref CLKB (instanceref f1_ram_Mram_ram8)) + (portref CLKA (instanceref f1_ram_Mram_ram10)) + (portref CLKB (instanceref f1_ram_Mram_ram10)) + (portref CLKA (instanceref f1_ram_Mram_ram6)) + (portref CLKB (instanceref f1_ram_Mram_ram6)) + (portref CLKA (instanceref f1_ram_Mram_ram5)) + (portref CLKB (instanceref f1_ram_Mram_ram5)) + (portref CLKA (instanceref f1_ram_Mram_ram7)) + (portref CLKB (instanceref f1_ram_Mram_ram7)) + (portref CLKA (instanceref f1_ram_Mram_ram3)) + (portref CLKB (instanceref f1_ram_Mram_ram3)) + (portref CLKA (instanceref f1_ram_Mram_ram2)) + (portref CLKB (instanceref f1_ram_Mram_ram2)) + (portref CLKA (instanceref f1_ram_Mram_ram4)) + (portref CLKB (instanceref f1_ram_Mram_ram4)) + (portref CLKA (instanceref f1_ram_Mram_ram1)) + (portref CLKB (instanceref f1_ram_Mram_ram1)) + (portref CLKAWRCLK (instanceref f0_ram_Mram_ram33)) + (portref CLKBRDCLK (instanceref f0_ram_Mram_ram33)) + (portref CLKA (instanceref f0_ram_Mram_ram31)) + (portref CLKB (instanceref f0_ram_Mram_ram31)) + (portref CLKA (instanceref f0_ram_Mram_ram30)) + (portref CLKB (instanceref f0_ram_Mram_ram30)) + (portref CLKA (instanceref f0_ram_Mram_ram32)) + (portref CLKB (instanceref f0_ram_Mram_ram32)) + (portref CLKA (instanceref f0_ram_Mram_ram28)) + (portref CLKB (instanceref f0_ram_Mram_ram28)) + (portref CLKA (instanceref f0_ram_Mram_ram27)) + (portref CLKB (instanceref f0_ram_Mram_ram27)) + (portref CLKA (instanceref f0_ram_Mram_ram29)) + (portref CLKB (instanceref f0_ram_Mram_ram29)) + (portref CLKA (instanceref f0_ram_Mram_ram25)) + (portref CLKB (instanceref f0_ram_Mram_ram25)) + (portref CLKA (instanceref f0_ram_Mram_ram24)) + (portref CLKB (instanceref f0_ram_Mram_ram24)) + (portref CLKA (instanceref f0_ram_Mram_ram26)) + (portref CLKB (instanceref f0_ram_Mram_ram26)) + (portref CLKA (instanceref f0_ram_Mram_ram22)) + (portref CLKB (instanceref f0_ram_Mram_ram22)) + (portref CLKA (instanceref f0_ram_Mram_ram21)) + (portref CLKB (instanceref f0_ram_Mram_ram21)) + (portref CLKA (instanceref f0_ram_Mram_ram23)) + (portref CLKB (instanceref f0_ram_Mram_ram23)) + (portref CLKA (instanceref f0_ram_Mram_ram19)) + (portref CLKB (instanceref f0_ram_Mram_ram19)) + (portref CLKA (instanceref f0_ram_Mram_ram18)) + (portref CLKB (instanceref f0_ram_Mram_ram18)) + (portref CLKA (instanceref f0_ram_Mram_ram20)) + (portref CLKB (instanceref f0_ram_Mram_ram20)) + (portref CLKA (instanceref f0_ram_Mram_ram16)) + (portref CLKB (instanceref f0_ram_Mram_ram16)) + (portref CLKA (instanceref f0_ram_Mram_ram15)) + (portref CLKB (instanceref f0_ram_Mram_ram15)) + (portref CLKA (instanceref f0_ram_Mram_ram17)) + (portref CLKB (instanceref f0_ram_Mram_ram17)) + (portref CLKA (instanceref f0_ram_Mram_ram14)) + (portref CLKB (instanceref f0_ram_Mram_ram14)) + (portref CLKA (instanceref f0_ram_Mram_ram13)) + (portref CLKB (instanceref f0_ram_Mram_ram13)) + (portref CLKA (instanceref f0_ram_Mram_ram12)) + (portref CLKB (instanceref f0_ram_Mram_ram12)) + (portref CLKA (instanceref f0_ram_Mram_ram11)) + (portref CLKB (instanceref f0_ram_Mram_ram11)) + (portref CLKA (instanceref f0_ram_Mram_ram9)) + (portref CLKB (instanceref f0_ram_Mram_ram9)) + (portref CLKA (instanceref f0_ram_Mram_ram8)) + (portref CLKB (instanceref f0_ram_Mram_ram8)) + (portref CLKA (instanceref f0_ram_Mram_ram10)) + (portref CLKB (instanceref f0_ram_Mram_ram10)) + (portref CLKA (instanceref f0_ram_Mram_ram6)) + (portref CLKB (instanceref f0_ram_Mram_ram6)) + (portref CLKA (instanceref f0_ram_Mram_ram5)) + (portref CLKB (instanceref f0_ram_Mram_ram5)) + (portref CLKA (instanceref f0_ram_Mram_ram7)) + (portref CLKB (instanceref f0_ram_Mram_ram7)) + (portref CLKA (instanceref f0_ram_Mram_ram3)) + (portref CLKB (instanceref f0_ram_Mram_ram3)) + (portref CLKA (instanceref f0_ram_Mram_ram2)) + (portref CLKB (instanceref f0_ram_Mram_ram2)) + (portref CLKA (instanceref f0_ram_Mram_ram4)) + (portref CLKB (instanceref f0_ram_Mram_ram4)) + (portref CLKA (instanceref f0_ram_Mram_ram1)) + (portref CLKB (instanceref f0_ram_Mram_ram1)) + (portref rd_clk (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + (portref rd_clk (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + (portref wr_clk (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + (portref wr_clk (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename gen_clks_CLK_OUT1_40_int "gen_clks/CLK_OUT1_40_int") (joined + (portref O (instanceref gen_clks_clkout1_buf)) + (portref CLKFB (instanceref gen_clks_dcm_sp_inst)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_10_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[10]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_10)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata210)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata371)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n012121 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n012121") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0121211)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216)) + ) + ) + (net (rename n0036_10_ "n0036[10]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_11_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[11]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata310)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata381)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + ) + ) + (net (rename n0036_11_ "n0036[11]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_12_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[12]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_12)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata410)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata391)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + ) + ) + (net (rename n0036_12_ "n0036[12]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram7)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_13_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[13]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_13)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata510)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata401)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + ) + ) + (net (rename n0036_13_ "n0036[13]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram7)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_14_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[14]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_14)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata65)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata411)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT41 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT41") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0076_inv)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_clear_inv1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8212_SW1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_clear_dump_OR_154_o)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tvalid11)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tready_int11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr7_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr7_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_7)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr7_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7__rt)) + ) + ) + (net (rename n0036_14_ "n0036[14]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram8)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tlast "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_tlast") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv5)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tlast1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump_glue_set)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int16_SW0)) + (portref (member DIA 17) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv2)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_F)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_G)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_F)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_G)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_20_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[20]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_20)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata131)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata481)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_15_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[15]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_15)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata71)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata421)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT51 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT51") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT53 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT53") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52)) + ) + ) + (net (rename n0036_15_ "n0036[15]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram8)) + ) + ) + (net (rename n0036_20_ "n0036[20]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_21_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[21]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_21)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata141)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata491)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_16_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[16]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_16)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata81)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata431)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + ) + ) + (net (rename n0036_16_ "n0036[16]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram9)) + ) + ) + (net (rename n0036_21_ "n0036[21]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr2_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr2_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_2)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr2_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_22_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[22]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_22)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata151)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata501)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_17_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[17]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_17)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata91)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata441)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + ) + ) + (net (rename n0036_17_ "n0036[17]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram9)) + ) + ) + (net (rename n0036_22_ "n0036[22]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram12)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_23_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[23]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_23)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata161)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata511)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_18_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[18]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_18)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata101)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata461)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + ) + ) + (net (rename n0036_18_ "n0036[18]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram10)) + ) + ) + (net (rename n0036_23_ "n0036[23]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram12)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_24_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[24]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_24)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata171)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata521)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_19_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[19]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_19)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata111)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata471)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/full_reg_glue_set_lut") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_cy)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut)) + ) + ) + (net (rename n0036_19_ "n0036[19]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram10)) + ) + ) + (net (rename n0036_24_ "n0036[24]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram13)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_30_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[30]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_30)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata241)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata591)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_25_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[25]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_25)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata181)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata531)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[0]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata110)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata261)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename n0036_25_ "n0036[25]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram13)) + ) + ) + (net (rename n0036_30_ "n0036[30]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram16)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut[10]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_10_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_10_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_10_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_26_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[26]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_26)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata191)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata541)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_31_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[31]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_31)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata251)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata601)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[1]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata121)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata271)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename n0036_26_ "n0036[26]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram14)) + ) + ) + (net (rename n0036_31_ "n0036[31]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram16)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut[11]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_11_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_11_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_11_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_27_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[27]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_27)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata201)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata551)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_11__rt "f1/Mcount_wr_addr_cy<11>_rt") (joined + (portref O (instanceref f1_Mcount_wr_addr_cy_11__rt)) + (portref S (instanceref f1_Mcount_wr_addr_cy_11_)) + (portref LI (instanceref f1_Mcount_wr_addr_xor_11_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[2]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_2)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata231)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata281)) + (portref (member DOB 29) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename n0036_27_ "n0036[27]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram14)) + ) + ) + (net (rename n0036_32_ "n0036[32]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut[12]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_12_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_12_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_12_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_28_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[28]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_28)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata211)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata571)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<0>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_0_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Msub_dont_write_past_me_cy<1>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_1__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_1_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[3]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_3)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata291)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata341)) + (portref (member DOB 28) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename n0036_28_ "n0036[28]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram15)) + ) + ) + (net (rename n0036_33_ "n0036[33]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut[13]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_13_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_13_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_13_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o32_tdata_29_ "slave_fifo32/fifo64_to_gpmc32_tx/o32_tdata[29]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_holding_29)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata221)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata581)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[4]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_4)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata301)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata451)) + (portref (member DOB 27) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_0_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT17)) + ) + ) + (net (rename n0036_29_ "n0036[29]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram15)) + ) + ) + (net (rename n0036_34_ "n0036[34]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram18)) + ) + ) + (net (rename f0_Result_6_2_FRB "f0/Result<6>2_FRB") (joined + (portref D (instanceref f0_wr_addr_6)) + (portref Q (instanceref f0_Result_6_2_FRB)) + (portref I0 (instanceref f0_Mcount_wr_addr_cy_6__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut[14]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_14_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_14_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_14_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[5]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_5)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata311)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata561)) + (portref (member DOB 26) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename f1__n0161_inv1_lut "f1/_n0161_inv1_lut") (joined + (portref O (instanceref f1__n0161_inv1_lut)) + (portref S (instanceref f1__n0161_inv1_cy)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_1_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT81)) + ) + ) + (net (rename n0036_35_ "n0036[35]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram18)) + ) + ) + (net (rename n0036_40_ "n0036[40]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram21)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[0]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata110)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_15_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_lut[15]") (joined + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_15_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_15_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[6]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_6)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata321)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata611)) + (portref (member DOB 25) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_2_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT91)) + ) + ) + (net (rename n0036_36_ "n0036[36]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram19)) + ) + ) + (net (rename n0036_41_ "n0036[41]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram21)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[1]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata121)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full101 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/becoming_full101") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full1011)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01216_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[7]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_7)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata331)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata621)) + (portref (member DOB 24) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full102 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/becoming_full102") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full1021)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_3_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT101)) + ) + ) + (net (rename n0036_37_ "n0036[37]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram19)) + ) + ) + (net (rename n0036_42_ "n0036[42]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram22)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[2]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata231)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[8]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_8)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata351)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata631)) + (portref (member DOB 23) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_4_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT111)) + ) + ) + (net (rename n0036_38_ "n0036[38]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram20)) + ) + ) + (net (rename n0036_43_ "n0036[43]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram22)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[3]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata341)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tdata_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tdata[9]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_holding_9)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata361)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata641)) + (portref (member DOB 22) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_5_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT121)) + ) + ) + (net (rename n0036_39_ "n0036[39]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram20)) + ) + ) + (net (rename n0036_44_ "n0036[44]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram23)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[4]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata451)) + ) + ) + (net LED_TXRX1_RX (joined + (portref O (instanceref LED_TXRX1_RX_OBUF)) + (portref LED_TXRX1_RX) + ) + ) + (net (rename f1_Result_12_1_FRB "f1/Result<12>1_FRB") (joined + (portref D (instanceref f1_rd_addr_12)) + (portref Q (instanceref f1_Result_12_1_FRB)) + (portref I0 (instanceref f1_Mcount_rd_addr_xor_12__rt)) + (portref I0 (instanceref f1_Msub_dont_write_past_me_lut_12__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_6_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT131)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[10]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_10_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_11_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_11_)) + ) + ) + (net (rename n0036_45_ "n0036[45]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram23)) + ) + ) + (net (rename n0036_50_ "n0036[50]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram26)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[5]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata561)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT[7]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_7_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT141)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[11]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_11_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_12_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_12_)) + ) + ) + (net (rename n0036_46_ "n0036[46]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram24)) + ) + ) + (net (rename n0036_51_ "n0036[51]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram26)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[6]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata611)) + ) + ) + (net LED_TXRX1_TX (joined + (portref O (instanceref LED_TXRX1_TX_OBUF)) + (portref LED_TXRX1_TX) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT[8]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_8_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT151)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[12]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_12_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_13_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_13_)) + ) + ) + (net (rename n0036_47_ "n0036[47]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram24)) + ) + ) + (net (rename n0036_52_ "n0036[52]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram27)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[7]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata621)) + ) + ) + (net cat_miso_IBUF (joined + (portref I1 (instanceref fx3_miso1)) + (portref O (instanceref cat_miso_IBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT[9]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_9_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_9_BRB1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[13]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_13_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_14_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_14_)) + ) + ) + (net (rename n0036_48_ "n0036[48]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram25)) + ) + ) + (net (rename n0036_53_ "n0036[53]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram27)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_0_ "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/a[0]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_0)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_4_11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_3_11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_1_11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_2_11)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0102_SW0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_0_11_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[8]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata631)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr7_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr7_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_7)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr7_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_7__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/full_reg") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_write1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_read)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt__n0074_inv1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg_glue_set)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[14]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_14_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_15_)) + ) + ) + (net (rename n0036_49_ "n0036[49]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram25)) + ) + ) + (net (rename n0036_54_ "n0036[54]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram28)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_1_ "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/a[1]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_1)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_4_11)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_3_11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_1_11)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_2_11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0102_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tdata_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tdata[9]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata641)) + ) + ) + (net (rename n0036_55_ "n0036[55]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram28)) + ) + ) + (net (rename n0036_60_ "n0036[60]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram31)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_2_ "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/a[2]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_2)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_4_11)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_3_11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_2_11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0102_SW1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111_SW0)) + ) + ) + (net (rename n0036_56_ "n0036[56]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram29)) + ) + ) + (net (rename n0036_61_ "n0036[61]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram31)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_6__rt "f0/Mcount_wr_addr_cy<6>_rt") (joined + (portref O (instanceref f0_Mcount_wr_addr_cy_6__rt)) + (portref S (instanceref f0_Mcount_wr_addr_cy_6_)) + (portref LI (instanceref f0_Mcount_wr_addr_xor_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_3_ "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/a[3]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_3)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_4_11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_3_11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0102_SW1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_10_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[10]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata210)) + ) + ) + (net (rename n0036_62_ "n0036[62]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram32)) + ) + ) + (net (rename n0036_57_ "n0036[57]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram29)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_4_ "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/a[4]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_a_4)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_4_11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0102_SW1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_11_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[11]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata310)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32[10]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_10)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In31)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_10_)) + ) + ) + (net (rename f1_Result_4_1_FRB "f1/Result<4>1_FRB") (joined + (portref D (instanceref f1_rd_addr_4)) + (portref Q (instanceref f1_Result_4_1_FRB)) + (portref I0 (instanceref f1_Mcount_rd_addr_cy_4__rt)) + (portref I0 (instanceref f1_Msub_dont_write_past_me_lut_4__INV_0)) + ) + ) + (net (rename n0036_63_ "n0036[63]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram32)) + ) + ) + (net (rename n0036_58_ "n0036[58]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram30)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_12_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[12]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata410)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32[11]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_11)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In31)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_11_)) + ) + ) + (net (rename slave_fifo32_slwr_1 "slave_fifo32/slwr_1") (joined + (portref Q (instanceref slave_fifo32_slwr_1)) + (portref D (instanceref slave_fifo32_debug1_29)) + ) + ) + (net (rename n0036_59_ "n0036[59]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram30)) + ) + ) + (net (rename n0036_64_ "n0036[64]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref (member DOBDO 15) (instanceref f1_ram_Mram_ram33)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_0)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_0__rt)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_13_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[13]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata510)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32[12]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_12)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In31)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_12_)) + ) + ) + (net (rename f0_read_state_FSM_FFd1_In1 "f0/read_state_FSM_FFd1-In1") (joined + (portref D (instanceref f0_read_state_FSM_FFd1)) + (portref O (instanceref f0_read_state_FSM_FFd1_In111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr5_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr5_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_5)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr5_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5__rt)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_5__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_14_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[14]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata65)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32[13]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_13)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In34)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_13_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_20_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[20]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata131)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_15_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[15]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata71)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32[14]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_14)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In32)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_14_)) + ) + ) + (net (rename slave_fifo32_slrd1 "slave_fifo32/slrd1") (joined + (portref Q (instanceref slave_fifo32_slrd1)) + (portref D (instanceref slave_fifo32_slrd2)) + (portref D (instanceref slave_fifo32_slrd2_1)) + ) + ) + (net (rename slave_fifo32_slrd2 "slave_fifo32/slrd2") (joined + (portref Q (instanceref slave_fifo32_slrd2)) + (portref I (instanceref debug_30_OBUF)) + ) + ) + (net (rename slave_fifo32_slrd3 "slave_fifo32/slrd3") (joined + (portref Q (instanceref slave_fifo32_slrd3)) + (portref I5 (instanceref slave_fifo32__n0279_inv)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_write1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_write1)) + (portref I4 (instanceref slave_fifo32_state_FSM_FFd1_In3_G)) + (portref I0 (instanceref slave_fifo32_ctrl_tx_tvalid1)) + (portref I0 (instanceref slave_fifo32_data_tx_tvalid1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_21_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[21]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata141)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_16_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[16]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata81)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_15_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/lines32[15]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_15)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In32)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_15_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][10]") (joined + (portref (member DOB 21) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 61) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_22_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[22]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata151)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_17_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[17]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata91)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][11]") (joined + (portref (member DOB 20) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 60) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_23_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[23]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata161)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_18_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[18]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata101)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][12]") (joined + (portref (member DOB 19) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 59) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_24_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[24]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata171)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_19_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[19]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][13]") (joined + (portref (member DOB 18) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 58) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/empty_glue_rst") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_30_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[30]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata241)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_25_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[25]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata181)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][14]") (joined + (portref (member DOB 17) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 57) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_31_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[31]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata251)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_26_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[26]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata191)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__15_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][15]") (joined + (portref (member DOB 16) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 56) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__20_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][20]") (joined + (portref (member DOB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 51) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_32_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[32]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata261)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_27_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[27]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata201)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__16_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][16]") (joined + (portref (member DOB 15) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 55) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__21_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][21]") (joined + (portref (member DOB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 50) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_33_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[33]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata271)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_28_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[28]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata211)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__17_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][17]") (joined + (portref (member DOB 14) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 54) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd2_BRB0 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/read_state_FSM_FFd2_BRB0") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd2_BRB0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_GND_50_o_read_OR_57_o1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd1_In11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0144_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__22_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][22]") (joined + (portref (member DOB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 49) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd2_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/read_state_FSM_FFd2_BRB1") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd2_BRB1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_GND_50_o_read_OR_57_o1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd1_In11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0144_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_34_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[34]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata281)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_29_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[29]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata221)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__18_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][18]") (joined + (portref (member DOB 13) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 53) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__23_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][23]") (joined + (portref (member DOB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 48) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_40_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[40]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata351)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_35_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[35]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata291)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__24_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][24]") (joined + (portref (member DOB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 47) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__19_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][19]") (joined + (portref (member DOB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 52) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr6_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr6_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_6)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr6_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_6__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT[0]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_0)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT11_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_41_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[41]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata361)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_36_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[36]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata301)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__30_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][30]") (joined + (portref (member DOB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 41) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__25_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][25]") (joined + (portref (member DOB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 46) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full411_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/becoming_full411_FRB") (joined + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01213)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full411_FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int11 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_i_tvalid_int11") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int12)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int13)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT[1]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_42_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[42]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata371)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_37_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[37]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata311)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int12 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Mmux_i_tvalid_int12") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int13)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int16)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int16_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__31_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][31]") (joined + (portref (member DOB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 40) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__26_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][26]") (joined + (portref (member DOB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 45) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net LED_TXRX2_RX (joined + (portref O (instanceref LED_TXRX2_RX_OBUF)) + (portref LED_TXRX2_RX) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT[2]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_2)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_43_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[43]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata381)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_38_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[38]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata321)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__32_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][32]") (joined + (portref (member DOPB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 39) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__27_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][27]") (joined + (portref (member DOB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 44) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT[3]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_3)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_44_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[44]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata391)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_39_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[39]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata331)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__28_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][28]") (joined + (portref (member DOB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 43) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f1_Result_10_2_FRB "f1/Result<10>2_FRB") (joined + (portref D (instanceref f1_wr_addr_10)) + (portref Q (instanceref f1_Result_10_2_FRB)) + (portref I0 (instanceref f1_Mcount_wr_addr_cy_10__rt)) + ) + ) + (net (rename slave_fifo32_sloe "slave_fifo32/sloe") (joined + (portref I1 (instanceref slave_fifo32_sloe_rstpot)) + (portref Q (instanceref slave_fifo32_sloe)) + ) + ) + (net LED_TXRX2_TX (joined + (portref O (instanceref LED_TXRX2_TX_OBUF)) + (portref LED_TXRX2_TX) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT[4]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_4)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_50_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[50]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata461)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_45_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[45]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata401)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0006_32_0__29_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0006[32:0][29]") (joined + (portref (member DOB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member din 42) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_5_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT[5]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_5)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_51_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[51]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata471)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_46_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[46]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata411)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_6_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT[6]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_6)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_52_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[52]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata481)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_47_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[47]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata421)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<1>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_1_)) + ) + ) + (net (rename slave_fifo32_slrd "slave_fifo32/slrd") (joined + (portref I (instanceref GPIF_CTL3_OBUF)) + (portref Q (instanceref slave_fifo32_slrd)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_7_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT[7]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_53_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[53]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata491)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_48_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[48]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata431)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0_rstpot "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/num_packets_0_rstpot") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0_rstpot)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_54_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[54]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata501)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_49_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[49]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata441)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/read_state_FSM_FFd1") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv31)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_full_reg_glue_set)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_GND_50_o_read_OR_57_o1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_write1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd1_In11)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0144_inv1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_write_AND_42_o_inv2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_60_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[60]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata571)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_55_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[55]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata511)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_61_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[61]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata581)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_56_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[56]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata521)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_62_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[62]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata591)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_57_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[57]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata531)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_full "slave_fifo32/fifo64_to_gpmc32_ctrl/cross_clock_fifo/full") (joined + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_full_reg_glue_set)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_GND_50_o_read_OR_57_o1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_write1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd1_In11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv31)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0144_inv1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_write_AND_42_o_inv2)) + (portref full (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f1_Result_2_2_FRB "f1/Result<2>2_FRB") (joined + (portref D (instanceref f1_wr_addr_2)) + (portref Q (instanceref f1_Result_2_2_FRB)) + (portref I0 (instanceref f1_Mcount_wr_addr_cy_2__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_63_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[63]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata601)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_58_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[58]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata541)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tdata_59_ "slave_fifo32/fifo64_to_gpmc32_tx/o64_tdata[59]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tdata551)) + ) + ) + (net (rename slave_fifo32_slwr "slave_fifo32/slwr") (joined + (portref Q (instanceref slave_fifo32_slwr)) + (portref I (instanceref GPIF_CTL1_OBUF)) + ) + ) + (net (rename f0__n0161_inv1_lut "f0/_n0161_inv1_lut") (joined + (portref O (instanceref f0__n0161_inv1_lut)) + (portref S (instanceref f0__n0161_inv1_cy)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr9_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr9_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr9_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_9__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_SW0_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01218_SW0_FRB") (joined + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_SW0_FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012111 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n012111") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0121111)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012114)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01217)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012112 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n012112") (joined + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012114)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012113 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n012113") (joined + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012114)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012112)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012114 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n012114") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012113)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012114)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_5__rt "f1/Mcount_rd_addr_cy<5>_rt") (joined + (portref O (instanceref f1_Mcount_rd_addr_cy_5__rt)) + (portref S (instanceref f1_Mcount_rd_addr_cy_5_)) + (portref LI (instanceref f1_Mcount_rd_addr_xor_5_)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_1__rt "f0/Mcount_rd_addr_cy<1>_rt") (joined + (portref O (instanceref f0_Mcount_rd_addr_cy_1__rt)) + (portref S (instanceref f0_Mcount_rd_addr_cy_1_)) + (portref LI (instanceref f0_Mcount_rd_addr_xor_1_)) + ) + ) + (net (rename f0_read_state_FSM_FFd2_In "f0/read_state_FSM_FFd2-In") (joined + (portref D (instanceref f0_read_state_FSM_FFd2)) + (portref O (instanceref f0_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01216") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01211_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01217 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01217") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01217)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n012110_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01218") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01219)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT41") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01219 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n01219") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01219)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n012110_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/_n0074_inv2") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv2)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv5 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/_n0074_inv5") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv5)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT51") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy[10]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_10_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_11_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_11_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT53 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT53") (joined + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<5>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_cy[11]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_11_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_12_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_10_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[10]") (joined + (portref (member DIA 21) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member dout 61) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_11_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[11]") (joined + (portref (member DIA 20) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member dout 60) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_12_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[12]") (joined + (portref (member DIA 19) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member dout 59) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_13_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[13]") (joined + (portref (member DIA 18) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member dout 58) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_7__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<7>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_7__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_7_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_14_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[14]") (joined + (portref (member DIA 17) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member dout 57) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_20_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[20]") (joined + (portref (member DIA 29) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member dout 51) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_15_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[15]") (joined + (portref (member DIA 16) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member dout 56) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_21_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[21]") (joined + (portref (member DIA 28) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member dout 50) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_16_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[16]") (joined + (portref (member DIPA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member dout 55) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_lut_2_ "f1/Msub_dont_write_past_me_lut[2]") (joined + (portref S (instanceref f1_Msub_dont_write_past_me_cy_2_)) + (portref LI (instanceref f1_Msub_dont_write_past_me_xor_2_)) + (portref O (instanceref f1_Msub_dont_write_past_me_lut_2__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_22_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[22]") (joined + (portref (member DIA 27) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member dout 49) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_17_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[17]") (joined + (portref (member DIPA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member dout 54) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_lut_3_ "f1/Msub_dont_write_past_me_lut[3]") (joined + (portref S (instanceref f1_Msub_dont_write_past_me_cy_3_)) + (portref LI (instanceref f1_Msub_dont_write_past_me_xor_3_)) + (portref O (instanceref f1_Msub_dont_write_past_me_lut_3__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_23_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[23]") (joined + (portref (member DIA 26) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member dout 48) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_18_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[18]") (joined + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member dout 53) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_lut_4_ "f1/Msub_dont_write_past_me_lut[4]") (joined + (portref S (instanceref f1_Msub_dont_write_past_me_cy_4_)) + (portref LI (instanceref f1_Msub_dont_write_past_me_xor_4_)) + (portref O (instanceref f1_Msub_dont_write_past_me_lut_4__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_24_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[24]") (joined + (portref (member DIA 25) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member dout 47) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_19_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[19]") (joined + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member dout 52) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_lut_5_ "f1/Msub_dont_write_past_me_lut[5]") (joined + (portref S (instanceref f1_Msub_dont_write_past_me_cy_5_)) + (portref LI (instanceref f1_Msub_dont_write_past_me_xor_5_)) + (portref O (instanceref f1_Msub_dont_write_past_me_lut_5__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_30_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[30]") (joined + (portref (member DIA 19) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member dout 41) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_25_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[25]") (joined + (portref (member DIA 24) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member dout 46) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_lut_6_ "f1/Msub_dont_write_past_me_lut[6]") (joined + (portref S (instanceref f1_Msub_dont_write_past_me_cy_6_)) + (portref LI (instanceref f1_Msub_dont_write_past_me_xor_6_)) + (portref O (instanceref f1_Msub_dont_write_past_me_lut_6__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_31_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[31]") (joined + (portref (member DIA 18) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member dout 40) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_26_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[26]") (joined + (portref (member DIA 23) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member dout 45) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_lut_7_ "f1/Msub_dont_write_past_me_lut[7]") (joined + (portref S (instanceref f1_Msub_dont_write_past_me_cy_7_)) + (portref LI (instanceref f1_Msub_dont_write_past_me_xor_7_)) + (portref O (instanceref f1_Msub_dont_write_past_me_lut_7__INV_0)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_2__rt "f1/Mcount_wr_addr_cy<2>_rt") (joined + (portref O (instanceref f1_Mcount_wr_addr_cy_2__rt)) + (portref S (instanceref f1_Mcount_wr_addr_cy_2_)) + (portref LI (instanceref f1_Mcount_wr_addr_xor_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_27_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[27]") (joined + (portref (member DIA 22) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member dout 44) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_32_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[32]") (joined + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portref (member DIA 17) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt__n0074_inv1)) + (portref (member dout 39) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_lut_8_ "f1/Msub_dont_write_past_me_lut[8]") (joined + (portref S (instanceref f1_Msub_dont_write_past_me_cy_8_)) + (portref LI (instanceref f1_Msub_dont_write_past_me_xor_8_)) + (portref O (instanceref f1_Msub_dont_write_past_me_lut_8__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_28_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[28]") (joined + (portref (member DIA 21) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member dout 43) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_lut_9_ "f1/Msub_dont_write_past_me_lut[9]") (joined + (portref S (instanceref f1_Msub_dont_write_past_me_cy_9_)) + (portref LI (instanceref f1_Msub_dont_write_past_me_xor_9_)) + (portref O (instanceref f1_Msub_dont_write_past_me_lut_9__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_29_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[29]") (joined + (portref (member DIA 20) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member dout 42) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr12_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr12_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_12)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr12_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_12__rt)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_12__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr[0]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01216)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01215)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr[1]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full921)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01217)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01215)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01218)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr[2]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_2)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01217)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01215)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01218)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr[3]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_3)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012113)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212111)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012111)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In1") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In14)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In3 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In3") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In31)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In34)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr[4]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_4)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o41)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_write_AND_42_o_inv "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/read_write_AND_42_o_inv") (joined + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_0_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_write_AND_42_o_inv2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_10_BRB1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_10_BRB1") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_10_BRB1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT21)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o1_SW1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr[5]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_5)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212111)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012111)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012112)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr[6]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_6)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01213)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o61)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_clear_inv "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/clear_inv") (joined + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_0_)) + (portref P (instanceref XST_VCC)) + (portref CE (instanceref ODDR2_ifclk)) + (portref D0 (instanceref ODDR2_ifclk)) + (portref CE (instanceref ODDR2_ifclk_dbg)) + (portref D0 (instanceref ODDR2_ifclk_dbg)) + (portref CE (instanceref catgen_gen_pins_0__oddr2)) + (portref CE (instanceref catgen_gen_pins_1__oddr2)) + (portref CE (instanceref catgen_gen_pins_2__oddr2)) + (portref CE (instanceref catgen_gen_pins_3__oddr2)) + (portref CE (instanceref catgen_gen_pins_4__oddr2)) + (portref CE (instanceref catgen_gen_pins_5__oddr2)) + (portref CE (instanceref catgen_gen_pins_6__oddr2)) + (portref CE (instanceref catgen_gen_pins_7__oddr2)) + (portref CE (instanceref catgen_gen_pins_8__oddr2)) + (portref CE (instanceref catgen_gen_pins_9__oddr2)) + (portref CE (instanceref catgen_gen_pins_10__oddr2)) + (portref CE (instanceref catgen_gen_pins_11__oddr2)) + (portref CE (instanceref catgen_oddr2_frame)) + (portref CE (instanceref catgen_oddr2_clk)) + (portref D0 (instanceref catgen_oddr2_clk)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_0_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_2_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_3_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_4_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_5_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_6_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_7_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_8_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_9_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_10_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_11_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_0_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_0_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_1_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_2_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_3_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_4_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_5_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_6_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_7_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_8_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_9_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_10_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_11_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_12_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_13_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_14_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_0_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_2_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_3_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_4_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_5_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_6_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_7_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_8_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_9_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_10_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_cy_11_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_0_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_0_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_1_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_2_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_3_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_4_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_5_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_6_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_7_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_8_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_9_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_10_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_11_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_12_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_13_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_14_)) + (portref CI (instanceref f1_Msub_dont_write_past_me_cy_0_)) + (portref CI (instanceref f1_Msub_dont_write_past_me_xor_0_)) + (portref DI (instanceref f1_Msub_dont_write_past_me_cy_2_)) + (portref DI (instanceref f1_Msub_dont_write_past_me_cy_3_)) + (portref DI (instanceref f1_Msub_dont_write_past_me_cy_4_)) + (portref DI (instanceref f1_Msub_dont_write_past_me_cy_5_)) + (portref DI (instanceref f1_Msub_dont_write_past_me_cy_6_)) + (portref DI (instanceref f1_Msub_dont_write_past_me_cy_7_)) + (portref DI (instanceref f1_Msub_dont_write_past_me_cy_8_)) + (portref DI (instanceref f1_Msub_dont_write_past_me_cy_9_)) + (portref DI (instanceref f1_Msub_dont_write_past_me_cy_10_)) + (portref DI (instanceref f1_Msub_dont_write_past_me_cy_11_)) + (portref DI (instanceref f1_Mcount_wr_addr_cy_0_)) + (portref DI (instanceref f1_Mcount_rd_addr_cy_0_)) + (portref CI (instanceref f1_Mcompar_becoming_full_cy_0_)) + (portref CI (instanceref f0_Msub_dont_write_past_me_cy_0_)) + (portref CI (instanceref f0_Msub_dont_write_past_me_xor_0_)) + (portref DI (instanceref f0_Msub_dont_write_past_me_cy_2_)) + (portref DI (instanceref f0_Msub_dont_write_past_me_cy_3_)) + (portref DI (instanceref f0_Msub_dont_write_past_me_cy_4_)) + (portref DI (instanceref f0_Msub_dont_write_past_me_cy_5_)) + (portref DI (instanceref f0_Msub_dont_write_past_me_cy_6_)) + (portref DI (instanceref f0_Msub_dont_write_past_me_cy_7_)) + (portref DI (instanceref f0_Msub_dont_write_past_me_cy_8_)) + (portref DI (instanceref f0_Msub_dont_write_past_me_cy_9_)) + (portref DI (instanceref f0_Msub_dont_write_past_me_cy_10_)) + (portref DI (instanceref f0_Msub_dont_write_past_me_cy_11_)) + (portref DI (instanceref f0_Mcount_wr_addr_cy_0_)) + (portref DI (instanceref f0_Mcount_rd_addr_cy_0_)) + (portref CI (instanceref f0_Mcompar_becoming_full_cy_0_)) + (portref I (instanceref codec_enable_OBUF)) + (portref I (instanceref codec_reset_OBUF)) + (portref I (instanceref FX3_EXTINT_OBUF)) + (portref I (instanceref LED_RX1_OBUF)) + (portref I (instanceref LED_RX2_OBUF)) + (portref I (instanceref LED_TXRX1_RX_OBUF)) + (portref I (instanceref LED_TXRX1_TX_OBUF)) + (portref I (instanceref LED_TXRX2_RX_OBUF)) + (portref I (instanceref LED_TXRX2_TX_OBUF)) + (portref I (instanceref SFDX1_RX_OBUF)) + (portref I (instanceref SFDX1_TX_OBUF)) + (portref I (instanceref SFDX2_RX_OBUF)) + (portref I (instanceref SFDX2_TX_OBUF)) + (portref I (instanceref SRX1_RX_OBUF)) + (portref I (instanceref SRX1_TX_OBUF)) + (portref I (instanceref SRX2_RX_OBUF)) + (portref I (instanceref SRX2_TX_OBUF)) + (portref I (instanceref tx_enable1_OBUF)) + (portref I (instanceref tx_enable2_OBUF)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_cy1)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0_)) + (portref DI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0_cy)) + (portref DI (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4_)) + (portref DI (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3_)) + (portref DI (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2_)) + (portref DI (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1_)) + (portref DI (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0_)) + (portref DI (instanceref f1__n0161_inv1_cy1)) + (portref DI (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4_)) + (portref DI (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3_)) + (portref DI (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2_)) + (portref DI (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1_)) + (portref DI (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0_)) + (portref DI (instanceref f0__n0161_inv1_cy1)) + (portref (member DIBDI 15) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref ENAWREN (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 29) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 28) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 27) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 26) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 25) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 24) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 23) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 22) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 21) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 20) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 19) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 18) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 17) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 29) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 28) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 27) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 26) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 25) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 24) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 23) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 22) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 21) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 20) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 19) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 18) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 17) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 16) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member DIPB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member DIPB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 29) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 28) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 27) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 26) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 25) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 24) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 23) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 22) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 21) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 20) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 19) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 18) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 17) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 16) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 15) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 14) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 13) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIPB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref (member DIBDI 15) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portref ENAWREN (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 29) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 28) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 27) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 26) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 25) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 24) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 23) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 22) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 21) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 20) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 19) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 18) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 17) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 16) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 15) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 14) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 13) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIPB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 29) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 28) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 27) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 26) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 25) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 24) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 23) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 22) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 21) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 20) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 19) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 18) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 17) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 16) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member DIPB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member DIPB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member DIB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 29) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 28) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 27) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 26) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 25) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 24) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 23) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 22) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 21) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 20) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 19) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 18) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member DIB 17) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref ENA (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member DIBDI 15) (instanceref f1_ram_Mram_ram33)) + (portref ENAWREN (instanceref f1_ram_Mram_ram33)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram31)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram31)) + (portref ENA (instanceref f1_ram_Mram_ram31)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram30)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram30)) + (portref ENA (instanceref f1_ram_Mram_ram30)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram32)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram32)) + (portref ENA (instanceref f1_ram_Mram_ram32)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram28)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram28)) + (portref ENA (instanceref f1_ram_Mram_ram28)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram27)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram27)) + (portref ENA (instanceref f1_ram_Mram_ram27)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram29)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram29)) + (portref ENA (instanceref f1_ram_Mram_ram29)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram25)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram25)) + (portref ENA (instanceref f1_ram_Mram_ram25)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram24)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram24)) + (portref ENA (instanceref f1_ram_Mram_ram24)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram26)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram26)) + (portref ENA (instanceref f1_ram_Mram_ram26)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram22)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram22)) + (portref ENA (instanceref f1_ram_Mram_ram22)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram21)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram21)) + (portref ENA (instanceref f1_ram_Mram_ram21)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram23)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram23)) + (portref ENA (instanceref f1_ram_Mram_ram23)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram19)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram19)) + (portref ENA (instanceref f1_ram_Mram_ram19)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram18)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram18)) + (portref ENA (instanceref f1_ram_Mram_ram18)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram20)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram20)) + (portref ENA (instanceref f1_ram_Mram_ram20)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram16)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram16)) + (portref ENA (instanceref f1_ram_Mram_ram16)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram15)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram15)) + (portref ENA (instanceref f1_ram_Mram_ram15)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram17)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram17)) + (portref ENA (instanceref f1_ram_Mram_ram17)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram14)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram14)) + (portref ENA (instanceref f1_ram_Mram_ram14)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram13)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram13)) + (portref ENA (instanceref f1_ram_Mram_ram13)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram12)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram12)) + (portref ENA (instanceref f1_ram_Mram_ram12)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram11)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram11)) + (portref ENA (instanceref f1_ram_Mram_ram11)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram9)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram9)) + (portref ENA (instanceref f1_ram_Mram_ram9)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram8)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram8)) + (portref ENA (instanceref f1_ram_Mram_ram8)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram10)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram10)) + (portref ENA (instanceref f1_ram_Mram_ram10)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram6)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram6)) + (portref ENA (instanceref f1_ram_Mram_ram6)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram5)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram5)) + (portref ENA (instanceref f1_ram_Mram_ram5)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram7)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram7)) + (portref ENA (instanceref f1_ram_Mram_ram7)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram3)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram3)) + (portref ENA (instanceref f1_ram_Mram_ram3)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram2)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram2)) + (portref ENA (instanceref f1_ram_Mram_ram2)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram4)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram4)) + (portref ENA (instanceref f1_ram_Mram_ram4)) + (portref (member DIB 31) (instanceref f1_ram_Mram_ram1)) + (portref (member DIB 30) (instanceref f1_ram_Mram_ram1)) + (portref ENA (instanceref f1_ram_Mram_ram1)) + (portref (member DIBDI 15) (instanceref f0_ram_Mram_ram33)) + (portref ENAWREN (instanceref f0_ram_Mram_ram33)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram31)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram31)) + (portref ENA (instanceref f0_ram_Mram_ram31)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram30)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram30)) + (portref ENA (instanceref f0_ram_Mram_ram30)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram32)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram32)) + (portref ENA (instanceref f0_ram_Mram_ram32)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram28)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram28)) + (portref ENA (instanceref f0_ram_Mram_ram28)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram27)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram27)) + (portref ENA (instanceref f0_ram_Mram_ram27)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram29)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram29)) + (portref ENA (instanceref f0_ram_Mram_ram29)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram25)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram25)) + (portref ENA (instanceref f0_ram_Mram_ram25)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram24)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram24)) + (portref ENA (instanceref f0_ram_Mram_ram24)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram26)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram26)) + (portref ENA (instanceref f0_ram_Mram_ram26)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram22)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram22)) + (portref ENA (instanceref f0_ram_Mram_ram22)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram21)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram21)) + (portref ENA (instanceref f0_ram_Mram_ram21)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram23)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram23)) + (portref ENA (instanceref f0_ram_Mram_ram23)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram19)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram19)) + (portref ENA (instanceref f0_ram_Mram_ram19)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram18)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram18)) + (portref ENA (instanceref f0_ram_Mram_ram18)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram20)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram20)) + (portref ENA (instanceref f0_ram_Mram_ram20)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram16)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram16)) + (portref ENA (instanceref f0_ram_Mram_ram16)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram15)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram15)) + (portref ENA (instanceref f0_ram_Mram_ram15)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram17)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram17)) + (portref ENA (instanceref f0_ram_Mram_ram17)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram14)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram14)) + (portref ENA (instanceref f0_ram_Mram_ram14)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram13)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram13)) + (portref ENA (instanceref f0_ram_Mram_ram13)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram12)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram12)) + (portref ENA (instanceref f0_ram_Mram_ram12)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram11)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram11)) + (portref ENA (instanceref f0_ram_Mram_ram11)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram9)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram9)) + (portref ENA (instanceref f0_ram_Mram_ram9)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram8)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram8)) + (portref ENA (instanceref f0_ram_Mram_ram8)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram10)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram10)) + (portref ENA (instanceref f0_ram_Mram_ram10)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram6)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram6)) + (portref ENA (instanceref f0_ram_Mram_ram6)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram5)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram5)) + (portref ENA (instanceref f0_ram_Mram_ram5)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram7)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram7)) + (portref ENA (instanceref f0_ram_Mram_ram7)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram3)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram3)) + (portref ENA (instanceref f0_ram_Mram_ram3)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram2)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram2)) + (portref ENA (instanceref f0_ram_Mram_ram2)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram4)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram4)) + (portref ENA (instanceref f0_ram_Mram_ram4)) + (portref (member DIB 31) (instanceref f0_ram_Mram_ram1)) + (portref (member DIB 30) (instanceref f0_ram_Mram_ram1)) + (portref ENA (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr[7]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_7)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o71)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212111)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012111)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_i_tvalid_int "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/i_tvalid_int") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_write1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_i_tvalid_int1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_full_reg_glue_set)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt__n0074_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/wr_addr[8]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_8)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01214)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_state "slave_fifo32/fifo64_to_gpmc32_rx/fifo64_to_fifo32/state") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata110)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata210)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata33)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata41)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata51)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata61)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata71)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata81)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata91)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata101)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata111)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata121)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata131)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata141)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata151)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata161)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata171)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata181)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata191)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata201)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata211)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata221)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata231)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata241)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata251)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata261)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata271)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata281)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata291)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata301)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata311)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata321)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_o_tlast1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_i_tready1)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_state)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_space_xor_3_111)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_state_glue_set)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0121221 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n0121221") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01212211)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut[10]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_10_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_10_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_10_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut[11]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_11_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_11_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_11_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_5__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<5>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_5__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_5_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_0_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata110)) + (portref (member din 71) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_12_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut[12]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_12_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_12_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_1_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata121)) + (portref (member din 70) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f0_Mcompar_becoming_full_lut_0_ "f0/Mcompar_becoming_full_lut[0]") (joined + (portref O (instanceref f0_Mcompar_becoming_full_lut_0_)) + (portref S (instanceref f0_Mcompar_becoming_full_cy_0_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_2_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata231)) + (portref (member din 69) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd2-In") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1)) + ) + ) + (net gps_ref_enable (joined + (portref O (instanceref gps_ref_enable_OBUF)) + (portref gps_ref_enable) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_4__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<4>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_4__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_4_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_4_)) + ) + ) + (net (rename f0_Mcompar_becoming_full_lut_1_ "f0/Mcompar_becoming_full_lut[1]") (joined + (portref O (instanceref f0_Mcompar_becoming_full_lut_1_)) + (portref S (instanceref f0_Mcompar_becoming_full_cy_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_3_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata261)) + (portref (member din 68) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f0_Mcompar_becoming_full_lut_2_ "f0/Mcompar_becoming_full_lut[2]") (joined + (portref O (instanceref f0_Mcompar_becoming_full_lut_2_)) + (portref S (instanceref f0_Mcompar_becoming_full_cy_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_4_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata271)) + (portref (member din 67) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net tx_codec_d_0_OBUF (joined + (portref Q (instanceref catgen_gen_pins_0__oddr2)) + (portref I (instanceref tx_codec_d_0_OBUF)) + ) + ) + (net (rename f0_Mcompar_becoming_full_lut_3_ "f0/Mcompar_becoming_full_lut[3]") (joined + (portref O (instanceref f0_Mcompar_becoming_full_lut_3_)) + (portref S (instanceref f0_Mcompar_becoming_full_cy_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_5_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata281)) + (portref (member din 66) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f0_Mcompar_becoming_full_lut_4_ "f0/Mcompar_becoming_full_lut[4]") (joined + (portref O (instanceref f0_Mcompar_becoming_full_lut_4_)) + (portref S (instanceref f0_Mcompar_becoming_full_cy_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_6_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata291)) + (portref (member din 65) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f1_full_reg_glue_set "f1/full_reg_glue_set") (joined + (portref D (instanceref f1_full_reg)) + (portref O (instanceref f1_full_reg_glue_set)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT311 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT311") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_7_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[7]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata301)) + (portref (member din 64) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f0_Result_8_2_FRB "f0/Result<8>2_FRB") (joined + (portref D (instanceref f0_wr_addr_8)) + (portref Q (instanceref f0_Result_8_2_FRB)) + (portref I0 (instanceref f0_Mcount_wr_addr_cy_8__rt)) + ) + ) + (net tx_frame_p (joined + (portref O (instanceref tx_frame_p_OBUF)) + (portref tx_frame_p) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<6>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_8_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[8]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata311)) + (portref (member din 63) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In11 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In11") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In12)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In14)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In12 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In12") (joined + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In14)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_read1)) + (portref rd_en (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tdata_9_ "slave_fifo32/fifo64_to_gpmc32_rx/i32_tdata[9]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata321)) + (portref (member din 62) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In31 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In31") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In32)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In34)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In32 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1-In32") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In33)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In34)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_12_BRB0 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_12_BRB0") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_12_BRB0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT41)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT51)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT61)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT31)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT21)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT161)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_12_BRB1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_12_BRB1") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_12_BRB1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT41)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12_)) + ) + ) + (net (rename f0_Result_10_1_FRB "f0/Result<10>1_FRB") (joined + (portref D (instanceref f0_rd_addr_10)) + (portref Q (instanceref f0_Result_10_1_FRB)) + (portref I0 (instanceref f0_Mcount_rd_addr_cy_10__rt)) + (portref I0 (instanceref f0_Msub_dont_write_past_me_lut_10__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_10__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<10>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_10__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_10_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_10_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut[2]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_2_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_2_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_2__INV_0)) + ) + ) + (net (rename slave_fifo32_EP_READY1 "slave_fifo32/EP_READY1") (joined + (portref Q (instanceref slave_fifo32_EP_READY1)) + (portref I (instanceref debug_24_OBUF)) + ) + ) + (net (rename f1_read_state_FSM_FFd2_In "f1/read_state_FSM_FFd2-In") (joined + (portref D (instanceref f1_read_state_FSM_FFd2)) + (portref O (instanceref f1_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut[3]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_3_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_3_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_3__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut[4]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_4_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_4_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_4__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_5_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut[5]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_5_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_5_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_5__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_6_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut[6]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_6_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_6_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_6__INV_0)) + ) + ) + (net (rename f1_Result_6_1_FRB "f1/Result<6>1_FRB") (joined + (portref D (instanceref f1_rd_addr_6)) + (portref Q (instanceref f1_Result_6_1_FRB)) + (portref I0 (instanceref f1_Mcount_rd_addr_cy_6__rt)) + (portref I0 (instanceref f1_Msub_dont_write_past_me_lut_6__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_7_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut[7]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_7_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_7_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_7__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_8_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut[8]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_8_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_8_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_8__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr8_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr8_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_8)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr8_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_9_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Msub_dont_write_past_me_lut[9]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_9_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_9_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_9__INV_0)) + ) + ) + (net tx_codec_d_2_OBUF (joined + (portref Q (instanceref catgen_gen_pins_2__oddr2)) + (portref I (instanceref tx_codec_d_2_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr3_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr3_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_3)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr3_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd1") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker__n0227_inv1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int11)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In11)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In14)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tlast1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In13)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1_G)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1_F)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0154_inv "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/_n0154_inv") (joined + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_0)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_2)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_3)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_4)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_5)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_6)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_7)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_8)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_9)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0154_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd2") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker__n0227_inv1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In14)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111_SW1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In13)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_0_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_1_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_2_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_3_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_4_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_5_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_6_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_7_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_8_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_9_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_10_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_11_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_12_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_13_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_14_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_15_)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1_G)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tlast1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1_F)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_0__inv1_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/a[0]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_0)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_4_11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_3_11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_1_11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_2_11)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0102_SW0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_0_11_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_full_reg "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/full_reg") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_full_reg)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv31)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_full_reg_glue_set)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd2_BRB1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_write1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_write_AND_42_o_inv2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_2_1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/a[1]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_1)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_4_11)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_3_11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_1_11)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_2_11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0102_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_3_1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4)) + ) + ) + (net (rename f1_becoming_full "f1/becoming_full") (joined + (portref O (instanceref f1_Mcompar_becoming_full_cy_4_)) + (portref I1 (instanceref f1_full_reg_glue_set)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<1>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/a[2]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_2)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_4_11)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_3_11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_2_11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0102_SW1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_0_ "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/a[0]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_0)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref (member A 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_2_11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_1_11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_3_11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_4_11)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst_SW0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set_SW1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_0_11_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/a[3]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_3)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_4_11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_3_11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0102_SW1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_14_BRB1 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space_14_BRB1") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_14_BRB1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT61)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_1_ "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/a[1]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_1)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref (member A 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_2_11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_1_11)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_3_11)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_4_11)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT821 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT821") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_F)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_F)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_G)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_G)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/a[4]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_a_4)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_a_xor_4_11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0102_SW1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_2_ "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/a[2]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_2)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref (member A 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_2_11)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_3_11)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_4_11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_6_1)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_3_ "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/a[3]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_3)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref (member A 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_3_11)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_4_11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv_SW0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_4_ "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/a[4]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_4)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref (member A 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_4_11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv_SW0)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/full") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_i_tvalid_o_tready_AND_73_o1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_o_tready_int11)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full_glue_set)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0_lut)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_GND_56_o_read_OR_123_o1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_state_glue_set)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_write1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_lut)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_10__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<10>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_10__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_10_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_10_)) + ) + ) + (net (rename f1_Result_12_2_FRB "f1/Result<12>2_FRB") (joined + (portref D (instanceref f1_wr_addr_12)) + (portref Q (instanceref f1_Result_12_2_FRB)) + (portref I0 (instanceref f1_Mcount_wr_addr_xor_12__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy[7]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_8_)) + ) + ) + (net codec_reset (joined + (portref O (instanceref codec_reset_OBUF)) + (portref codec_reset) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy[8]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_9_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_9_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_9_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy[9]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_9_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_10_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_10_)) + ) + ) + (net (rename slave_fifo32_rd_one_BRB0 "slave_fifo32/rd_one_BRB0") (joined + (portref Q (instanceref slave_fifo32_rd_one_BRB0)) + (portref I0 (instanceref slave_fifo32_rd_one_rstpot)) + (portref I1 (instanceref slave_fifo32_state_FSM_FFd1_In3_G)) + ) + ) + (net (rename slave_fifo32_rd_one_BRB1 "slave_fifo32/rd_one_BRB1") (joined + (portref Q (instanceref slave_fifo32_rd_one_BRB1)) + (portref I1 (instanceref slave_fifo32_rd_one_rstpot)) + (portref I3 (instanceref slave_fifo32_state_FSM_FFd1_In3_G)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr8_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr8_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_8)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr8_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_8__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_3_)) + ) + ) + (net tx_codec_d_4_OBUF (joined + (portref Q (instanceref catgen_gen_pins_4__oddr2)) + (portref I (instanceref tx_codec_d_4_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_4_)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_7__rt "f0/Mcount_wr_addr_cy<7>_rt") (joined + (portref O (instanceref f0_Mcount_wr_addr_cy_7__rt)) + (portref S (instanceref f0_Mcount_wr_addr_cy_7_)) + (portref LI (instanceref f0_Mcount_wr_addr_xor_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_5_)) + ) + ) + (net (rename gpif_sync_reset_int "gpif_sync/reset_int") (joined + (portref Q (instanceref gpif_sync_reset_int)) + (portref D (instanceref gpif_sync_reset_out)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_0_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[0]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata110)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr1_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr1_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_1)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr1_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_1__rt)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full421)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full411)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_1_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[1]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata121)) + ) + ) + (net (rename f1_Result_4_2_FRB "f1/Result<4>2_FRB") (joined + (portref D (instanceref f1_wr_addr_4)) + (portref Q (instanceref f1_Result_4_2_FRB)) + (portref I0 (instanceref f1_Mcount_wr_addr_cy_4__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_2_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[2]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata231)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy[7]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_8_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_3_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[3]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata261)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr6_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr6_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_6)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr6_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6__rt)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_6__INV_0)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_31_rstpot "slave_fifo32/gpif_data_out_31_rstpot") (joined + (portref O (instanceref slave_fifo32_gpif_data_out_31_rstpot)) + (portref D (instanceref slave_fifo32_gpif_data_out_31_1)) + (portref D (instanceref slave_fifo32_gpif_data_out_31)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full421_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full421_FRB") (joined + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01213)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full421_FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_4_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[4]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata271)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_5_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[5]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata281)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_6_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[6]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata291)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_7_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[7]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata301)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_2_1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_8_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[8]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata311)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_3_1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0003_9_ "slave_fifo32/fifo64_to_gpmc32_rx/n0003[9]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_Mmux_o_tdata321)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_4_1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__0_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][0]") (joined + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 71) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Maddsub_num_packets[7]_num_packets[7]_mux_13_OUT_lut[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__1_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][1]") (joined + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 70) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/dump") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tready1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_clear_dump_OR_131_o_SW0)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0076_inv)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv4)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump_glue_set)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1_F)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1_G)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int14)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16_SW0)) + ) + ) + (net (rename f0_wr_addr_10_ "f0/wr_addr[10]") (joined + (portref Q (instanceref f0_wr_addr_10)) + (portref I3 (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_)) + (portref I2 (instanceref f0_Mcompar_becoming_full_lut_3_)) + (portref (member ADDRAWRADDR 2) (instanceref f0_ram_Mram_ram33)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram31)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram30)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram32)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram28)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram27)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram29)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram25)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram24)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram26)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram22)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram21)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram23)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram19)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram18)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram20)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram16)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram15)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram17)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram14)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram13)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram12)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram11)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram9)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram8)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram10)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram6)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram5)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram7)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram3)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram2)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram4)) + (portref (member ADDRA 2) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__2_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][2]") (joined + (portref (member DOB 29) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 69) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f0_wr_addr_11_ "f0/wr_addr[11]") (joined + (portref Q (instanceref f0_wr_addr_11)) + (portref I5 (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_)) + (portref I4 (instanceref f0_Mcompar_becoming_full_lut_3_)) + (portref (member ADDRAWRADDR 1) (instanceref f0_ram_Mram_ram33)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram31)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram30)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram32)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram28)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram27)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram29)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram25)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram24)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram26)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram22)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram21)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram23)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram19)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram18)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram20)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram16)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram15)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram17)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram14)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram13)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram12)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram11)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram9)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram8)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram10)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram6)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram5)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram7)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram3)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram2)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram4)) + (portref (member ADDRA 1) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_slrd2_1 "slave_fifo32/slrd2_1") (joined + (portref Q (instanceref slave_fifo32_slrd2_1)) + (portref D (instanceref slave_fifo32_slrd3)) + (portref (member DIPA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIPA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__3_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][3]") (joined + (portref (member DOB 28) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 68) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f0_wr_addr_12_ "f0/wr_addr[12]") (joined + (portref Q (instanceref f0_wr_addr_12)) + (portref I1 (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4_)) + (portref I0 (instanceref f0_Mcompar_becoming_full_lut_4_)) + (portref (member ADDRAWRADDR 0) (instanceref f0_ram_Mram_ram33)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram31)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram30)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram32)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram28)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram27)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram29)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram25)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram24)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram26)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram22)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram21)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram23)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram19)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram18)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram20)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram16)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram15)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram17)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram14)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram13)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram12)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram11)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram9)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram8)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram10)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram6)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram5)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram7)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram3)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram2)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram4)) + (portref (member ADDRA 0) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__4_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][4]") (joined + (portref (member DOB 27) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 67) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__5_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][5]") (joined + (portref (member DOB 26) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 66) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr7_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr7_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_7)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr7_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_7__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__6_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][6]") (joined + (portref (member DOB 25) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 65) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__7_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][7]") (joined + (portref (member DOB 24) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 64) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__8_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][8]") (joined + (portref (member DOB 23) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 63) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net tx_codec_d_6_OBUF (joined + (portref Q (instanceref catgen_gen_pins_6__oddr2)) + (portref I (instanceref tx_codec_d_6_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__9_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][9]") (joined + (portref (member DOB 22) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 62) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_lut_10_ "f1/Msub_dont_write_past_me_lut[10]") (joined + (portref S (instanceref f1_Msub_dont_write_past_me_cy_10_)) + (portref LI (instanceref f1_Msub_dont_write_past_me_xor_10_)) + (portref O (instanceref f1_Msub_dont_write_past_me_lut_10__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int11 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tvalid_int11") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int12)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tvalid_int13") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int14)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int14 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tvalid_int14") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int15)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16_SW0)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_lut_11_ "f1/Msub_dont_write_past_me_lut[11]") (joined + (portref S (instanceref f1_Msub_dont_write_past_me_cy_11_)) + (portref LI (instanceref f1_Msub_dont_write_past_me_xor_11_)) + (portref O (instanceref f1_Msub_dont_write_past_me_lut_11__INV_0)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_lut_12_ "f1/Msub_dont_write_past_me_lut[12]") (joined + (portref LI (instanceref f1_Msub_dont_write_past_me_xor_12_)) + (portref O (instanceref f1_Msub_dont_write_past_me_lut_12__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<2>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_2_)) + ) + ) + (net (rename tx_codec_d_10_ "tx_codec_d[10]") (joined + (portref O (instanceref tx_codec_d_10_OBUF)) + (portref (member tx_codec_d 1)) + ) + ) + (net (rename f0_Mcount_rd_addr_xor_12__rt "f0/Mcount_rd_addr_xor<12>_rt") (joined + (portref O (instanceref f0_Mcount_rd_addr_xor_12__rt)) + (portref LI (instanceref f0_Mcount_rd_addr_xor_12_)) + ) + ) + (net (rename n0035_0_ "n0035[0]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_o_tready_int "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/o_tready_int") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_o_tready_int1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_GND_66_o_read_OR_144_o1)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_In111)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg_glue_set)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0146_inv1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt__n0074_inv1)) + ) + ) + (net (rename tx_codec_d_11_ "tx_codec_d[11]") (joined + (portref O (instanceref tx_codec_d_11_OBUF)) + (portref (member tx_codec_d 0)) + ) + ) + (net (rename n0035_1_ "n0035[1]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/empty_glue_rst") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst)) + ) + ) + (net (rename n0035_2_ "n0035[2]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[0]") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In12)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW2)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1_SW1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_0_)) + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1_SW0)) + (portref (member dout 71) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename n0035_3_ "n0035[3]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[1]") (joined + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd1_In12)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In11)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW2)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1_F)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_1_)) + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW1)) + (portref (member dout 70) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename n0035_4_ "n0035[4]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[2]") (joined + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_2_)) + (portref (member DIA 29) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member dout 69) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename n0035_5_ "n0035[5]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[3]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_3_)) + (portref (member DIA 28) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member dout 68) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename n0035_6_ "n0035[6]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o5 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o5") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o41)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01213)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[4]") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_4_)) + (portref (member DIA 27) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member dout 67) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o7 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o7") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o61)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1)) + ) + ) + (net (rename n0035_7_ "n0035[7]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o8 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o8") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o71)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012112)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[5]") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_5_)) + (portref (member DIA 26) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_F)) + (portref (member dout 66) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net GPIF_CTL4_IBUF (joined + (portref D (instanceref slave_fifo32_EP_READY)) + (portref O (instanceref GPIF_CTL4_IBUF)) + ) + ) + (net (rename n0035_8_ "n0035[8]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref (member DOB 31) (instanceref f0_ram_Mram_ram5)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[6]") (joined + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW2)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_6_)) + (portref (member DIA 25) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1_F)) + (portref (member dout 65) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename n0035_9_ "n0035[9]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref (member DOB 30) (instanceref f0_ram_Mram_ram5)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[7]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW2)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_7_)) + (portref (member DIA 24) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid61)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1_F)) + (portref (member dout 64) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f0_becoming_full "f0/becoming_full") (joined + (portref O (instanceref f0_Mcompar_becoming_full_cy_4_)) + (portref I1 (instanceref f0_full_reg_glue_set)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0144_inv "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n0144_inv") (joined + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_0)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_2)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_3)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_4)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_5)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_6)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_7)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr1_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr2_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr3_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr4_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr5_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr6_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr7_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr8_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full421_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full411_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0144_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[8]") (joined + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW2)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_8_)) + (portref (member DIA 23) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid61)) + (portref (member dout 63) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_n0008_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/n0008[9]") (joined + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid61)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1_SW1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_lut_9_)) + (portref (member DIA 22) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tlast1)) + (portref (member dout 62) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Msub_dont_write_past_me_xor<8>1_SW0_FRB") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0_FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_empty_reg_rstpot "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/empty_reg_rstpot") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_empty_reg)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_empty_reg_rstpot)) + ) + ) + (net tx_codec_d_10_OBUF (joined + (portref Q (instanceref catgen_gen_pins_10__oddr2)) + (portref I (instanceref tx_codec_d_10_OBUF)) + ) + ) + (net (rename gpif_sync_reset_out "gpif_sync/reset_out") (joined + (portref Q (instanceref gpif_sync_reset_out)) + (portref S (instanceref slave_fifo32_slwr)) + (portref S (instanceref slave_fifo32_pktend)) + (portref R (instanceref slave_fifo32_state_FSM_FFd2)) + (portref R (instanceref slave_fifo32_state_FSM_FFd1)) + (portref R (instanceref slave_fifo32_fifoadr_0)) + (portref R (instanceref slave_fifo32_fifoadr_1)) + (portref R (instanceref slave_fifo32_idle_cycles_0)) + (portref R (instanceref slave_fifo32_idle_cycles_1)) + (portref R (instanceref slave_fifo32_idle_cycles_2)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_0)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_1)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_2)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_3)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_4)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_5)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_6)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_7)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_8)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_9)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_10)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_11)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_12)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_0)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_1)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_2)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_3)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_4)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_5)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_6)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_7)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_8)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_9)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_10)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_11)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_12)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_0)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_1)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_2)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_3)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_4)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_5)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_6)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_7)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_8)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_0)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_1)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_2)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_3)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_4)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_5)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_6)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_7)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_8)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_9)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_0)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_1)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_2)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_3)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_4)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_5)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_6)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_7)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_8)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_0)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_1)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_2)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_3)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_4)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_5)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_6)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_7)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd1)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_0)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_1)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_2)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_3)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_4)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_5)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_6)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_7)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_8)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_0)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_1)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_2)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_3)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_4)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_5)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_6)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_7)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd1)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_0)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_1)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_2)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_3)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_4)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_5)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_6)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_0)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_1)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_2)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_3)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_4)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_5)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_6)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo_rst_gpif_rst_OR_155_o1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT17)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT81)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT91)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT101)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT111)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT121)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT131)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT141)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT151)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT17)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT81)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT91)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT101)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT111)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT121)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT131)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT141)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT151)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_full_reg)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_full_reg)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_full_reg)) + (portref R (instanceref slave_fifo32_wr_one)) + (portref S (instanceref slave_fifo32_slrd)) + (portref S (instanceref slave_fifo32_sloe_1)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr1_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr2_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr3_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr4_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr5_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr6_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr7_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr8_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr9_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr10_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr11_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr12_FRB)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_1__FRB)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_2__FRB)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_3__FRB)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_4__FRB)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_5__FRB)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_6__FRB)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_7__FRB)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_8__FRB)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_9__FRB)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_10__FRB)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_11__FRB)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_12__FRB)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_0__FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr1_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr2_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr3_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr4_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr5_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr6_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr7_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr8_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr9_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr10_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr11_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr12_FRB)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr1_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr2_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr3_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr4_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr5_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr6_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr7_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr8_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr9_FRB)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr1_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr2_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr3_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr4_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr5_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr6_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr7_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr8_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr9_FRB)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr1_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr2_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr3_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr4_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr5_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr6_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr7_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr8_FRB)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr1_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr2_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr3_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr4_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr5_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr6_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr7_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr8_FRB)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr1_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr2_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr3_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr4_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr5_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr6_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr7_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr8_FRB)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr1_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr2_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr3_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr4_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr5_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr6_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr7_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr8_FRB)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full421_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full411_FRB)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full421_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full411_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621_FRB)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621_FRB)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_12_BRB0)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_12_BRB0)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd2_BRB0)) + (portref R (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd2_BRB0)) + (portref R (instanceref slave_fifo32_rd_one_BRB0)) + (portref R (instanceref slave_fifo32_rd_one_BRB1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0129_inv1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv1)) + (portref R (instanceref slave_fifo32_sloe)) + (portref R (instanceref slave_fifo32_gpif_data_out_31_1)) + (portref S (instanceref slave_fifo32_slwr_1)) + (portref S (instanceref slave_fifo32_sloe_34)) + (portref S (instanceref slave_fifo32_slrd_1)) + (portref S (instanceref slave_fifo32_pktend_1)) + (portref R (instanceref slave_fifo32_fifoadr_1_1)) + (portref R (instanceref slave_fifo32_fifoadr_0_1)) + (portref R (instanceref slave_fifo32_gpif_data_out_31)) + (portref R (instanceref slave_fifo32_sloe_33)) + (portref R (instanceref slave_fifo32_gpif_data_out_30)) + (portref R (instanceref slave_fifo32_sloe_32)) + (portref R (instanceref slave_fifo32_gpif_data_out_29)) + (portref R (instanceref slave_fifo32_sloe_31)) + (portref R (instanceref slave_fifo32_gpif_data_out_28)) + (portref R (instanceref slave_fifo32_sloe_30)) + (portref R (instanceref slave_fifo32_gpif_data_out_27)) + (portref R (instanceref slave_fifo32_sloe_29)) + (portref R (instanceref slave_fifo32_gpif_data_out_26)) + (portref R (instanceref slave_fifo32_sloe_28)) + (portref R (instanceref slave_fifo32_gpif_data_out_25)) + (portref R (instanceref slave_fifo32_sloe_27)) + (portref R (instanceref slave_fifo32_gpif_data_out_24)) + (portref R (instanceref slave_fifo32_sloe_26)) + (portref R (instanceref slave_fifo32_gpif_data_out_23)) + (portref R (instanceref slave_fifo32_sloe_25)) + (portref R (instanceref slave_fifo32_gpif_data_out_22)) + (portref R (instanceref slave_fifo32_sloe_24)) + (portref R (instanceref slave_fifo32_gpif_data_out_21)) + (portref R (instanceref slave_fifo32_sloe_23)) + (portref R (instanceref slave_fifo32_gpif_data_out_20)) + (portref R (instanceref slave_fifo32_sloe_22)) + (portref R (instanceref slave_fifo32_gpif_data_out_19)) + (portref R (instanceref slave_fifo32_sloe_21)) + (portref R (instanceref slave_fifo32_gpif_data_out_18)) + (portref R (instanceref slave_fifo32_sloe_20)) + (portref R (instanceref slave_fifo32_gpif_data_out_17)) + (portref R (instanceref slave_fifo32_sloe_19)) + (portref R (instanceref slave_fifo32_gpif_data_out_16)) + (portref R (instanceref slave_fifo32_sloe_18)) + (portref R (instanceref slave_fifo32_gpif_data_out_15)) + (portref R (instanceref slave_fifo32_sloe_17)) + (portref R (instanceref slave_fifo32_gpif_data_out_14)) + (portref R (instanceref slave_fifo32_sloe_16)) + (portref R (instanceref slave_fifo32_gpif_data_out_13)) + (portref R (instanceref slave_fifo32_sloe_15)) + (portref R (instanceref slave_fifo32_gpif_data_out_12)) + (portref R (instanceref slave_fifo32_sloe_14)) + (portref R (instanceref slave_fifo32_gpif_data_out_11)) + (portref R (instanceref slave_fifo32_sloe_13)) + (portref R (instanceref slave_fifo32_gpif_data_out_10)) + (portref R (instanceref slave_fifo32_sloe_12)) + (portref R (instanceref slave_fifo32_gpif_data_out_9)) + (portref R (instanceref slave_fifo32_sloe_11)) + (portref R (instanceref slave_fifo32_gpif_data_out_8)) + (portref R (instanceref slave_fifo32_sloe_10)) + (portref R (instanceref slave_fifo32_gpif_data_out_7)) + (portref R (instanceref slave_fifo32_sloe_9)) + (portref R (instanceref slave_fifo32_gpif_data_out_6)) + (portref R (instanceref slave_fifo32_sloe_8)) + (portref R (instanceref slave_fifo32_gpif_data_out_5)) + (portref R (instanceref slave_fifo32_sloe_7)) + (portref R (instanceref slave_fifo32_gpif_data_out_4)) + (portref R (instanceref slave_fifo32_sloe_6)) + (portref R (instanceref slave_fifo32_gpif_data_out_3)) + (portref R (instanceref slave_fifo32_sloe_5)) + (portref R (instanceref slave_fifo32_gpif_data_out_2)) + (portref R (instanceref slave_fifo32_sloe_4)) + (portref R (instanceref slave_fifo32_gpif_data_out_1)) + (portref R (instanceref slave_fifo32_sloe_3)) + (portref R (instanceref slave_fifo32_gpif_data_out_0)) + (portref R (instanceref slave_fifo32_sloe_2)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_6__rt "f1/Mcount_rd_addr_cy<6>_rt") (joined + (portref O (instanceref f1_Mcount_rd_addr_cy_6__rt)) + (portref S (instanceref f1_Mcount_rd_addr_cy_6_)) + (portref LI (instanceref f1_Mcount_rd_addr_xor_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd2-In") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1)) + ) + ) + (net tx_codec_d_8_OBUF (joined + (portref Q (instanceref catgen_gen_pins_8__oddr2)) + (portref I (instanceref tx_codec_d_8_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_lut[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_0_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_0_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_state "slave_fifo32/fifo64_to_gpmc32_ctrl/fifo32_to_fifo64/state") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata110)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata210)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata310)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata410)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata510)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata65)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata71)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata81)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata91)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata101)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata111)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata121)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata131)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata141)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata151)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata161)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata171)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata181)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata191)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata201)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata211)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata221)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata231)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata241)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata251)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata261)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata271)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata281)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata291)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata301)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata311)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata321)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata331)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata341)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata351)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata361)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata371)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata381)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata391)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata401)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata411)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata421)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata431)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata441)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata451)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata461)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata471)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata481)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata491)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata501)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata511)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata521)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata531)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata541)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata551)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata561)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata571)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata581)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata591)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata601)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata611)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata621)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata631)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tdata641)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_state)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_state_glue_set)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tvalid11)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_write1)) + ) + ) + (net cat_sclk_OBUF (joined + (portref O (instanceref cat_sclk1)) + (portref I (instanceref cat_sclk_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_lut[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_1_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_1_)) + ) + ) + (net (rename f0_Mcount_rd_addr_lut_0_ "f0/Mcount_rd_addr_lut[0]") (joined + (portref S (instanceref f0_Mcount_rd_addr_cy_0_)) + (portref LI (instanceref f0_Mcount_rd_addr_xor_0_)) + (portref O (instanceref f0_Mcount_rd_addr_lut_0__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_lut[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_2_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_lut[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_3_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_3_)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_0_ "f0/Mcount_wr_addr_cy[0]") (joined + (portref O (instanceref f0_Mcount_wr_addr_cy_0_)) + (portref CI (instanceref f0_Mcount_wr_addr_cy_1_)) + (portref CI (instanceref f0_Mcount_wr_addr_xor_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_becoming_full_lut[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_4_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_4_)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_1_ "f0/Mcount_wr_addr_cy[1]") (joined + (portref O (instanceref f0_Mcount_wr_addr_cy_1_)) + (portref CI (instanceref f0_Mcount_wr_addr_cy_2_)) + (portref CI (instanceref f0_Mcount_wr_addr_xor_2_)) + ) + ) + (net (rename f0_rd_addr_12__wr_addr_12__equal_11_o "f0/rd_addr[12]_wr_addr[12]_equal_11_o") (joined + (portref O (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4_)) + (portref CI (instanceref f0__n0161_inv1_cy)) + (portref I2 (instanceref f0_read_state_FSM_FFd1_In111)) + (portref I1 (instanceref f0_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_2_ "f0/Mcount_wr_addr_cy[2]") (joined + (portref O (instanceref f0_Mcount_wr_addr_cy_2_)) + (portref CI (instanceref f0_Mcount_wr_addr_cy_3_)) + (portref CI (instanceref f0_Mcount_wr_addr_xor_3_)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_3_ "f0/Mcount_wr_addr_cy[3]") (joined + (portref O (instanceref f0_Mcount_wr_addr_cy_3_)) + (portref CI (instanceref f0_Mcount_wr_addr_cy_4_)) + (portref CI (instanceref f0_Mcount_wr_addr_xor_4_)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_2__rt "f0/Mcount_rd_addr_cy<2>_rt") (joined + (portref O (instanceref f0_Mcount_rd_addr_cy_2__rt)) + (portref S (instanceref f0_Mcount_rd_addr_cy_2_)) + (portref LI (instanceref f0_Mcount_rd_addr_xor_2_)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_0_ "f1/Mcount_wr_addr_cy[0]") (joined + (portref O (instanceref f1_Mcount_wr_addr_cy_0_)) + (portref CI (instanceref f1_Mcount_wr_addr_cy_1_)) + (portref CI (instanceref f1_Mcount_wr_addr_xor_1_)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_4_ "f0/Mcount_wr_addr_cy[4]") (joined + (portref O (instanceref f0_Mcount_wr_addr_cy_4_)) + (portref CI (instanceref f0_Mcount_wr_addr_cy_5_)) + (portref CI (instanceref f0_Mcount_wr_addr_xor_5_)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_1_ "f1/Mcount_wr_addr_cy[1]") (joined + (portref O (instanceref f1_Mcount_wr_addr_cy_1_)) + (portref CI (instanceref f1_Mcount_wr_addr_cy_2_)) + (portref CI (instanceref f1_Mcount_wr_addr_xor_2_)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_5_ "f0/Mcount_wr_addr_cy[5]") (joined + (portref O (instanceref f0_Mcount_wr_addr_cy_5_)) + (portref CI (instanceref f0_Mcount_wr_addr_cy_6_)) + (portref CI (instanceref f0_Mcount_wr_addr_xor_6_)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_2_ "f1/Mcount_wr_addr_cy[2]") (joined + (portref O (instanceref f1_Mcount_wr_addr_cy_2_)) + (portref CI (instanceref f1_Mcount_wr_addr_cy_3_)) + (portref CI (instanceref f1_Mcount_wr_addr_xor_3_)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_6_ "f0/Mcount_wr_addr_cy[6]") (joined + (portref O (instanceref f0_Mcount_wr_addr_cy_6_)) + (portref CI (instanceref f0_Mcount_wr_addr_cy_7_)) + (portref CI (instanceref f0_Mcount_wr_addr_xor_7_)) + ) + ) + (net (rename n0036_0_ "n0036[0]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_3_ "f1/Mcount_wr_addr_cy[3]") (joined + (portref O (instanceref f1_Mcount_wr_addr_cy_3_)) + (portref CI (instanceref f1_Mcount_wr_addr_cy_4_)) + (portref CI (instanceref f1_Mcount_wr_addr_xor_4_)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_cy_0_ "f1/Msub_dont_write_past_me_cy[0]") (joined + (portref O (instanceref f1_Msub_dont_write_past_me_cy_0_)) + (portref CI (instanceref f1_Msub_dont_write_past_me_cy_1_)) + (portref CI (instanceref f1_Msub_dont_write_past_me_xor_1_)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_7_ "f0/Mcount_wr_addr_cy[7]") (joined + (portref O (instanceref f0_Mcount_wr_addr_cy_7_)) + (portref CI (instanceref f0_Mcount_wr_addr_cy_8_)) + (portref CI (instanceref f0_Mcount_wr_addr_xor_8_)) + ) + ) + (net (rename n0036_1_ "n0036[1]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_4_ "f1/Mcount_wr_addr_cy[4]") (joined + (portref O (instanceref f1_Mcount_wr_addr_cy_4_)) + (portref CI (instanceref f1_Mcount_wr_addr_cy_5_)) + (portref CI (instanceref f1_Mcount_wr_addr_xor_5_)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_cy_1_ "f1/Msub_dont_write_past_me_cy[1]") (joined + (portref O (instanceref f1_Msub_dont_write_past_me_cy_1_)) + (portref CI (instanceref f1_Msub_dont_write_past_me_cy_2_)) + (portref CI (instanceref f1_Msub_dont_write_past_me_xor_2_)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_8_ "f0/Mcount_wr_addr_cy[8]") (joined + (portref O (instanceref f0_Mcount_wr_addr_cy_8_)) + (portref CI (instanceref f0_Mcount_wr_addr_cy_9_)) + (portref CI (instanceref f0_Mcount_wr_addr_xor_9_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_0_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[0]") (joined + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member dout 71) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename n0036_2_ "n0036[2]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram2)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_5_ "f1/Mcount_wr_addr_cy[5]") (joined + (portref O (instanceref f1_Mcount_wr_addr_cy_5_)) + (portref CI (instanceref f1_Mcount_wr_addr_cy_6_)) + (portref CI (instanceref f1_Mcount_wr_addr_xor_6_)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_cy_2_ "f1/Msub_dont_write_past_me_cy[2]") (joined + (portref O (instanceref f1_Msub_dont_write_past_me_cy_2_)) + (portref CI (instanceref f1_Msub_dont_write_past_me_cy_3_)) + (portref CI (instanceref f1_Msub_dont_write_past_me_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<6>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_6_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_6_)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_9_ "f0/Mcount_wr_addr_cy[9]") (joined + (portref O (instanceref f0_Mcount_wr_addr_cy_9_)) + (portref CI (instanceref f0_Mcount_wr_addr_cy_10_)) + (portref CI (instanceref f0_Mcount_wr_addr_xor_10_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_1_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[1]") (joined + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member dout 70) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename n0036_3_ "n0036[3]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram2)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_6_ "f1/Mcount_wr_addr_cy[6]") (joined + (portref O (instanceref f1_Mcount_wr_addr_cy_6_)) + (portref CI (instanceref f1_Mcount_wr_addr_cy_7_)) + (portref CI (instanceref f1_Mcount_wr_addr_xor_7_)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_cy_3_ "f1/Msub_dont_write_past_me_cy[3]") (joined + (portref O (instanceref f1_Msub_dont_write_past_me_cy_3_)) + (portref CI (instanceref f1_Msub_dont_write_past_me_cy_4_)) + (portref CI (instanceref f1_Msub_dont_write_past_me_xor_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_2_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[2]") (joined + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member dout 69) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename n0036_4_ "n0036[4]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram3)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_7_ "f1/Mcount_wr_addr_cy[7]") (joined + (portref O (instanceref f1_Mcount_wr_addr_cy_7_)) + (portref CI (instanceref f1_Mcount_wr_addr_cy_8_)) + (portref CI (instanceref f1_Mcount_wr_addr_xor_8_)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_cy_4_ "f1/Msub_dont_write_past_me_cy[4]") (joined + (portref O (instanceref f1_Msub_dont_write_past_me_cy_4_)) + (portref CI (instanceref f1_Msub_dont_write_past_me_cy_5_)) + (portref CI (instanceref f1_Msub_dont_write_past_me_xor_5_)) + ) + ) + (net (rename gen_clks_clkfx "gen_clks/clkfx") (joined + (portref I (instanceref gen_clks_clkout3_buf)) + (portref I (instanceref gen_clks_clkout2_buf)) + (portref CLKFX (instanceref gen_clks_dcm_sp_inst)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_3_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[3]") (joined + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member dout 68) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv3 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n0129_inv3") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv31)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01214)) + ) + ) + (net (rename n0036_5_ "n0036[5]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram3)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_8_ "f1/Mcount_wr_addr_cy[8]") (joined + (portref O (instanceref f1_Mcount_wr_addr_cy_8_)) + (portref CI (instanceref f1_Mcount_wr_addr_cy_9_)) + (portref CI (instanceref f1_Mcount_wr_addr_xor_9_)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_cy_5_ "f1/Msub_dont_write_past_me_cy[5]") (joined + (portref O (instanceref f1_Msub_dont_write_past_me_cy_5_)) + (portref CI (instanceref f1_Msub_dont_write_past_me_cy_6_)) + (portref CI (instanceref f1_Msub_dont_write_past_me_xor_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_4_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[4]") (joined + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member dout 67) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename n0036_6_ "n0036[6]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram4)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_9_ "f1/Mcount_wr_addr_cy[9]") (joined + (portref O (instanceref f1_Mcount_wr_addr_cy_9_)) + (portref CI (instanceref f1_Mcount_wr_addr_cy_10_)) + (portref CI (instanceref f1_Mcount_wr_addr_xor_10_)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_cy_6_ "f1/Msub_dont_write_past_me_cy[6]") (joined + (portref O (instanceref f1_Msub_dont_write_past_me_cy_6_)) + (portref CI (instanceref f1_Msub_dont_write_past_me_cy_7_)) + (portref CI (instanceref f1_Msub_dont_write_past_me_xor_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr[10]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_10_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_10)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_)) + (portref (member ADDRBRDADDR 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_10__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_5_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[5]") (joined + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref (member dout 66) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename n0036_7_ "n0036[7]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram4)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_cy_7_ "f1/Msub_dont_write_past_me_cy[7]") (joined + (portref O (instanceref f1_Msub_dont_write_past_me_cy_7_)) + (portref CI (instanceref f1_Msub_dont_write_past_me_cy_8_)) + (portref CI (instanceref f1_Msub_dont_write_past_me_xor_8_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr[11]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_11_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_11)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_)) + (portref (member ADDRBRDADDR 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_11__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_6_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[6]") (joined + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member dout 65) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename n0036_8_ "n0036[8]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref (member DOB 31) (instanceref f1_ram_Mram_ram5)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_cy_8_ "f1/Msub_dont_write_past_me_cy[8]") (joined + (portref O (instanceref f1_Msub_dont_write_past_me_cy_8_)) + (portref CI (instanceref f1_Msub_dont_write_past_me_cy_9_)) + (portref CI (instanceref f1_Msub_dont_write_past_me_xor_9_)) + ) + ) + (net (rename slave_fifo32_Result_0_ "slave_fifo32/Result[0]") (joined + (portref D (instanceref slave_fifo32_fifoadr_0)) + (portref D (instanceref slave_fifo32_fifoadr_0_1)) + (portref O (instanceref slave_fifo32_Mcount_fifoadr_xor_0_11_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_12_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr[12]") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_12_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_12)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4_)) + (portref (member ADDRBRDADDR 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram17)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram5)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram3)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram4)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram6)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram7)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram8)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram9)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram12)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram10)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram11)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram13)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram14)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram15)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_ram_Mram_ram16)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_lut_12__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_7_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[7]") (joined + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref (member dout 64) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename n0036_9_ "n0036[9]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref (member DOB 30) (instanceref f1_ram_Mram_ram5)) + ) + ) + (net (rename f1_Msub_dont_write_past_me_cy_9_ "f1/Msub_dont_write_past_me_cy[9]") (joined + (portref O (instanceref f1_Msub_dont_write_past_me_cy_9_)) + (portref CI (instanceref f1_Msub_dont_write_past_me_cy_10_)) + (portref CI (instanceref f1_Msub_dont_write_past_me_xor_10_)) + ) + ) + (net (rename slave_fifo32_Result_1_ "slave_fifo32/Result[1]") (joined + (portref D (instanceref slave_fifo32_fifoadr_1)) + (portref O (instanceref slave_fifo32_Mcount_fifoadr_xor_1_11)) + (portref D (instanceref slave_fifo32_fifoadr_1_1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_8_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[8]") (joined + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member dout 63) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_n0005_9_ "slave_fifo32/fifo64_to_gpmc32_rx/n0005[9]") (joined + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref (member dout 62) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr10_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr10_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_10)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr10_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_10__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_1__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<1>_FRB") (joined + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_0_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_1__FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0_lut "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/read_state_FSM_FFd2-In1_SW0_lut") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0_cy)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0_lut)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_3__rt "f1/Mcount_wr_addr_cy<3>_rt") (joined + (portref O (instanceref f1_Mcount_wr_addr_cy_3__rt)) + (portref S (instanceref f1_Mcount_wr_addr_cy_3_)) + (portref LI (instanceref f1_Mcount_wr_addr_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy[10]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_10_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_11_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_11_)) + ) + ) + (net (rename f0_Result_12_1_FRB "f0/Result<12>1_FRB") (joined + (portref D (instanceref f0_rd_addr_12)) + (portref Q (instanceref f0_Result_12_1_FRB)) + (portref I0 (instanceref f0_Mcount_rd_addr_xor_12__rt)) + (portref I0 (instanceref f0_Msub_dont_write_past_me_lut_12__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy[11]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_11_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_12_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In1 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/state_FSM_FFd2-In1") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In11)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In13)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_0)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_0__rt)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tlast "slave_fifo32/ctrl_rx_tlast") (joined + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1)) + (portref (member DOB 17) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref I1 (instanceref slave_fifo32_state_FSM_FFd1_In2)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt__n0074_inv1)) + ) + ) + (net (rename f1_Result_8_1_FRB "f1/Result<8>1_FRB") (joined + (portref D (instanceref f1_rd_addr_8)) + (portref Q (instanceref f1_Result_8_1_FRB)) + (portref I0 (instanceref f1_Mcount_rd_addr_cy_8__rt)) + (portref I0 (instanceref f1_Msub_dont_write_past_me_lut_8__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_0_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[0]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata110)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_10_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT[10]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_10_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_10_BRB1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_1_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[1]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata121)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_11_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT[11]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_11_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_11_BRB1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_2_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[2]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata231)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_6__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<6>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_6__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_6_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_12_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT[12]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_12_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_12_BRB1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_3__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<3>_FRB") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_1_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_3__FRB)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_10_ "slave_fifo32/gpif_data_in[10]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_10)) + (portref D (instanceref slave_fifo32_debug1_10)) + (portref (member DIA 21) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 21) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_3_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[3]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata261)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_13_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT[13]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_13_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_13_BRB1)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_11_ "slave_fifo32/gpif_data_in[11]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_11)) + (portref D (instanceref slave_fifo32_debug1_11)) + (portref (member DIA 20) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 20) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_4_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[4]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata271)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_14_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT[14]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_14_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_14_BRB1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_5__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<5>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_5__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_5_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_5_)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_12_ "slave_fifo32/gpif_data_in[12]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_12)) + (portref D (instanceref slave_fifo32_debug1_12)) + (portref (member DIA 19) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 19) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_5_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[5]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata281)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_15_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT[15]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_15_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15_BRB1)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_13_ "slave_fifo32/gpif_data_in[13]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_13)) + (portref D (instanceref slave_fifo32_debug1_13)) + (portref (member DIA 18) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 18) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_6_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[6]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata291)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_14_ "slave_fifo32/gpif_data_in[14]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_14)) + (portref D (instanceref slave_fifo32_debug1_14)) + (portref (member DIA 17) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 17) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_7_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[7]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata301)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_20_ "slave_fifo32/gpif_data_in[20]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_20)) + (portref (member DIA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 11) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_15_ "slave_fifo32/gpif_data_in[15]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_15)) + (portref D (instanceref slave_fifo32_debug1_15)) + (portref (member DIA 16) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 16) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_8_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[8]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata311)) + ) + ) + (net (rename f0_Mcompar_becoming_full_cy_0_ "f0/Mcompar_becoming_full_cy[0]") (joined + (portref O (instanceref f0_Mcompar_becoming_full_cy_0_)) + (portref CI (instanceref f0_Mcompar_becoming_full_cy_1_)) + ) + ) + (net (rename slave_fifo32__n0237_inv "slave_fifo32/_n0237_inv") (joined + (portref CE (instanceref slave_fifo32_fifoadr_0)) + (portref CE (instanceref slave_fifo32_fifoadr_1)) + (portref O (instanceref slave_fifo32__n0237_inv1)) + (portref CE (instanceref slave_fifo32_fifoadr_1_1)) + (portref CE (instanceref slave_fifo32_fifoadr_0_1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0121211 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n0121211") (joined + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012114)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212111)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01217)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_21_ "slave_fifo32/gpif_data_in[21]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_21)) + (portref (member DIA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 10) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_16_ "slave_fifo32/gpif_data_in[16]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_16)) + (portref (member DIA 15) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 15) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0003_9_ "slave_fifo32/fifo64_to_gpmc32_resp/n0003[9]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata321)) + ) + ) + (net (rename f0_Mcompar_becoming_full_cy_1_ "f0/Mcompar_becoming_full_cy[1]") (joined + (portref O (instanceref f0_Mcompar_becoming_full_cy_1_)) + (portref CI (instanceref f0_Mcompar_becoming_full_cy_2_)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_22_ "slave_fifo32/gpif_data_in[22]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_22)) + (portref (member DIA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_17_ "slave_fifo32/gpif_data_in[17]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_17)) + (portref (member DIA 14) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 14) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename f0_Mcompar_becoming_full_cy_2_ "f0/Mcompar_becoming_full_cy[2]") (joined + (portref O (instanceref f0_Mcompar_becoming_full_cy_2_)) + (portref CI (instanceref f0_Mcompar_becoming_full_cy_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<7>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines321 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines321") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_1_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_1)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_18_ "slave_fifo32/gpif_data_in[18]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_18)) + (portref (member DIA 13) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 13) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_23_ "slave_fifo32/gpif_data_in[23]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_23)) + (portref (member DIA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines322 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines322") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_2_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_2)) + ) + ) + (net (rename f0_Mcompar_becoming_full_cy_3_ "f0/Mcompar_becoming_full_cy[3]") (joined + (portref O (instanceref f0_Mcompar_becoming_full_cy_3_)) + (portref CI (instanceref f0_Mcompar_becoming_full_cy_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines323 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines323") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_3_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines324 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines324") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_4_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines325 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines325") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_5_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_5)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines326 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines326") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_6_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_6)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_19_ "slave_fifo32/gpif_data_in[19]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_19)) + (portref (member DIA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 12) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_24_ "slave_fifo32/gpif_data_in[24]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_24)) + (portref (member DIA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines327 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines327") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_7_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_7)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_10_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy[10]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_10_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_11_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_11_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines328 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines328") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_8_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_8)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines329 "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines329") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_9_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_9)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_25_ "slave_fifo32/gpif_data_in[25]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_25)) + (portref (member DIA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_30_ "slave_fifo32/gpif_data_in[30]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_30)) + (portref (member DIA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_11_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy[11]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_11_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_12_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_12_)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_26_ "slave_fifo32/gpif_data_in[26]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_26)) + (portref (member DIA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_31_ "slave_fifo32/gpif_data_in[31]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_31)) + (portref (member DIA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_12_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy[12]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_12_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_13_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_13_)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_27_ "slave_fifo32/gpif_data_in[27]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_27)) + (portref (member DIA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_13_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy[13]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_13_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_14_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_14_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/full_glue_set") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_28_ "slave_fifo32/gpif_data_in[28]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_28)) + (portref (member DIA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_14_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_cy[14]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_14_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_15_)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_29_ "slave_fifo32/gpif_data_in[29]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_29)) + (portref (member DIA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename f0_Result_10_2_FRB "f0/Result<10>2_FRB") (joined + (portref D (instanceref f0_wr_addr_10)) + (portref Q (instanceref f0_Result_10_2_FRB)) + (portref I0 (instanceref f0_Mcount_wr_addr_cy_10__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_11__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<11>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_11__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_11_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_11_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_state "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/state") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata110)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata210)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata33)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata41)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata51)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata61)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata71)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata81)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata91)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata101)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata111)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata121)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata131)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata141)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata151)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata161)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata171)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata181)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata191)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata201)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata211)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata221)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata231)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata241)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata251)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata261)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata271)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata281)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata291)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata301)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata311)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata321)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_i_tready1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_o_tlast1)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_state)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_space_xor_3_111)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_state_glue_set)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT41") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_dont_write_past_me_9_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/dont_write_past_me[9]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_9_11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01217_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT51") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT53 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT53") (joined + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_5__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<5>_FRB") (joined + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_1_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_5__FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_6_)) + ) + ) + (net (rename f1_Result_6_2_FRB "f1/Result<6>2_FRB") (joined + (portref D (instanceref f1_wr_addr_6)) + (portref Q (instanceref f1_Result_6_2_FRB)) + (portref I0 (instanceref f1_Mcount_wr_addr_cy_6__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_11__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<11>_FRB") (joined + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_3_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_11__FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_0_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT[0]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_0)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT11_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_1_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT[1]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr9_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr9_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_9)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr9_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_9__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[10]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_10_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_10_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/becoming_full621_FRB") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0121111)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01213)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621_FRB)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012112)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_2_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT[2]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_2)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[11]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_11_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_11_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full "slave_fifo32/fifo64_to_gpmc32_ctrl/dead_lock_fix/full") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_i_tvalid_o_tready_AND_73_o1)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full_glue_set)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_state_glue_set)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01211_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tready_int11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv6_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_write1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_3_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT[3]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_3)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[12]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_12_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_12_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr4_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr4_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_4)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr4_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_4_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT[4]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_4)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51)) + ) + ) + (net (rename f0_Result_1_1_FRB "f0/Result<1>1_FRB") (joined + (portref D (instanceref f0_rd_addr_1)) + (portref Q (instanceref f0_Result_1_1_FRB)) + (portref I0 (instanceref f0_Mcount_rd_addr_cy_1__rt)) + (portref I0 (instanceref f0_Msub_dont_write_past_me_cy_1__rt)) + ) + ) + (net FX3_EXTINT (joined + (portref O (instanceref FX3_EXTINT_OBUF)) + (portref FX3_EXTINT) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_0_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr[0]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01216)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01215)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[13]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_13_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_13_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/full") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_space_xor_3_111)) + (portref I0 (instanceref f0__n0161_inv1_lut)) + (portref I1 (instanceref f0_GND_14_o_read_OR_37_o1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_write1)) + (portref I1 (instanceref f0_read_state_FSM_FFd1_In111)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst)) + (portref I2 (instanceref f0_read_state_FSM_FFd2_In1)) + (portref I2 (instanceref f0_full_reg_glue_set)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_5_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT[5]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_5)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_1_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr[1]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full921)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01217)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01215)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01218)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[14]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_14_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_14_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14_)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_10_ "slave_fifo32/ctrl_rx_tdata[10]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT210)) + (portref (member DOB 21) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_6_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT[6]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_6)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_2_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr[2]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_2)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01217)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01215)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01218)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[15]") (joined + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_15_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[0]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_0_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_0_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0_)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_11_ "slave_fifo32/ctrl_rx_tdata[11]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT33)) + (portref (member DOB 20) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7__num_packets_7__mux_17_OUT_7_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/num_packets[7]_num_packets[7]_mux_17_OUT[7]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_num_packets_7)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_3_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr[3]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_3)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012113)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212111)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012111)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[1]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_1_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_1_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1_)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_12_ "slave_fifo32/ctrl_rx_tdata[12]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT41)) + (portref (member DOB 19) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_4_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr[4]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_4)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o41)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[2]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_2_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_2_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo__n0154_inv "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/_n0154_inv") (joined + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_0)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_2)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_3)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_4)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_5)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_6)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_7)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_8)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_9)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_10)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_11)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_12)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo__n0154_inv1)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_13_ "slave_fifo32/ctrl_rx_tdata[13]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT51)) + (portref (member DOB 18) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_5_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr[5]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_5)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212111)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012111)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012112)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[3]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_3_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_3_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3_)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_14_ "slave_fifo32/ctrl_rx_tdata[14]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT61)) + (portref (member DOB 17) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<2>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_6_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr[6]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_6)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01213)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o61)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[4]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_4_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_4_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4_)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_20_ "slave_fifo32/ctrl_rx_tdata[20]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT131)) + (portref (member DOB 29) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_15_ "slave_fifo32/ctrl_rx_tdata[15]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT71)) + (portref (member DOB 16) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_7_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr[7]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_7)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o71)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212111)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012111)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[5]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_5_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_5_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5_)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_16_ "slave_fifo32/ctrl_rx_tdata[16]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT81)) + (portref (member DOPB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_21_ "slave_fifo32/ctrl_rx_tdata[21]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT141)) + (portref (member DOB 28) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_8_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/wr_addr[8]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_8)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01214)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[6]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_6_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_6_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6_)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_17_ "slave_fifo32/ctrl_rx_tdata[17]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT91)) + (portref (member DOPB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_22_ "slave_fifo32/ctrl_rx_tdata[22]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT151)) + (portref (member DOB 27) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[7]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_7_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_7_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7_)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_18_ "slave_fifo32/ctrl_rx_tdata[18]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT101)) + (portref (member DOB 31) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_23_ "slave_fifo32/ctrl_rx_tdata[23]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT161)) + (portref (member DOB 26) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd1_In "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/read_state_FSM_FFd1-In") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd1_In11)) + ) + ) + (net (rename slave_fifo32_debug1_10_ "slave_fifo32/debug1[10]") (joined + (portref Q (instanceref slave_fifo32_debug1_10)) + (portref D (instanceref slave_fifo32_debug2_10)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[8]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_8_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_8_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8_)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_19_ "slave_fifo32/ctrl_rx_tdata[19]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT111)) + (portref (member DOB 30) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_24_ "slave_fifo32/ctrl_rx_tdata[24]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT171)) + (portref (member DOB 25) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_debug1_11_ "slave_fifo32/debug1[11]") (joined + (portref Q (instanceref slave_fifo32_debug1_11)) + (portref D (instanceref slave_fifo32_debug2_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_lut[9]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_9_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_9_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9_)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_25_ "slave_fifo32/ctrl_rx_tdata[25]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT181)) + (portref (member DOB 24) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_30_ "slave_fifo32/ctrl_rx_tdata[30]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT241)) + (portref (member DOB 19) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_debug1_12_ "slave_fifo32/debug1[12]") (joined + (portref Q (instanceref slave_fifo32_debug1_12)) + (portref D (instanceref slave_fifo32_debug2_12)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_dont_write_past_me_8_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/dont_write_past_me[8]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01214)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_26_ "slave_fifo32/ctrl_rx_tdata[26]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT191)) + (portref (member DOB 23) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_31_ "slave_fifo32/ctrl_rx_tdata[31]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT251)) + (portref (member DOB 18) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_i32_tlast "slave_fifo32/fifo64_to_gpmc32_rx/i32_tlast") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_o_tlast1)) + (portref (member din 39) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_11__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<11>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_11__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_11_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_11_)) + ) + ) + (net (rename slave_fifo32_debug1_13_ "slave_fifo32/debug1[13]") (joined + (portref Q (instanceref slave_fifo32_debug1_13)) + (portref D (instanceref slave_fifo32_debug2_13)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_27_ "slave_fifo32/ctrl_rx_tdata[27]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT201)) + (portref (member DOB 22) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_debug1_14_ "slave_fifo32/debug1[14]") (joined + (portref Q (instanceref slave_fifo32_debug1_14)) + (portref D (instanceref slave_fifo32_debug2_14)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_7__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<7>_FRB") (joined + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_2_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_7__FRB)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_28_ "slave_fifo32/ctrl_rx_tdata[28]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT211)) + (portref (member DOB 21) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_debug1_15_ "slave_fifo32/debug1[15]") (joined + (portref Q (instanceref slave_fifo32_debug1_15)) + (portref D (instanceref slave_fifo32_debug2_15)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tdata_29_ "slave_fifo32/ctrl_rx_tdata[29]") (joined + (portref I4 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT221)) + (portref (member DOB 20) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_debug1_21_ "slave_fifo32/debug1[21]") (joined + (portref Q (instanceref slave_fifo32_debug1_21)) + (portref D (instanceref slave_fifo32_debug2_21)) + ) + ) + (net (rename slave_fifo32_debug1_16_ "slave_fifo32/debug1[16]") (joined + (portref D (instanceref slave_fifo32_debug2_16)) + (portref O (instanceref f0_i_tready1_INV_0)) + ) + ) + (net (rename slave_fifo32_debug1_22_ "slave_fifo32/debug1[22]") (joined + (portref Q (instanceref slave_fifo32_debug1_22)) + (portref D (instanceref slave_fifo32_debug2_22)) + ) + ) + (net (rename slave_fifo32_debug1_17_ "slave_fifo32/debug1[17]") (joined + (portref D (instanceref slave_fifo32_debug2_17)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_o_tvalid1_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_full_reg "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/full_reg") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_write1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_full_reg)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt__n0074_inv1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_full_reg_glue_set)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1)) + ) + ) + (net (rename slave_fifo32_debug1_23_ "slave_fifo32/debug1[23]") (joined + (portref Q (instanceref slave_fifo32_debug1_23)) + (portref D (instanceref slave_fifo32_debug2_23)) + (portref I2 (instanceref slave_fifo32_rd_one_rstpot)) + (portref I2 (instanceref slave_fifo32_state_FSM_FFd1_In3_G)) + ) + ) + (net (rename slave_fifo32_debug1_18_ "slave_fifo32/debug1[18]") (joined + (portref Q (instanceref slave_fifo32_debug1_18)) + (portref D (instanceref slave_fifo32_debug2_18)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1_)) + ) + ) + (net (rename f0_write "f0/write") (joined + (portref CE (instanceref f0_wr_addr_1)) + (portref CE (instanceref f0_wr_addr_2)) + (portref CE (instanceref f0_wr_addr_3)) + (portref CE (instanceref f0_wr_addr_4)) + (portref CE (instanceref f0_wr_addr_5)) + (portref CE (instanceref f0_wr_addr_6)) + (portref CE (instanceref f0_wr_addr_7)) + (portref CE (instanceref f0_wr_addr_8)) + (portref CE (instanceref f0_wr_addr_9)) + (portref CE (instanceref f0_wr_addr_10)) + (portref CE (instanceref f0_wr_addr_11)) + (portref CE (instanceref f0_wr_addr_12)) + (portref CE (instanceref f0_wr_addr_0)) + (portref O (instanceref f0_write11)) + (portref CE (instanceref f0_Result_0_2_FRB)) + (portref CE (instanceref f0_Result_1_2_FRB)) + (portref CE (instanceref f0_Result_2_2_FRB)) + (portref CE (instanceref f0_Result_3_2_FRB)) + (portref CE (instanceref f0_Result_4_2_FRB)) + (portref CE (instanceref f0_Result_5_2_FRB)) + (portref CE (instanceref f0_Result_6_2_FRB)) + (portref CE (instanceref f0_Result_7_2_FRB)) + (portref CE (instanceref f0_Result_8_2_FRB)) + (portref CE (instanceref f0_Result_9_2_FRB)) + (portref CE (instanceref f0_Result_10_2_FRB)) + (portref CE (instanceref f0_Result_11_2_FRB)) + (portref CE (instanceref f0_Result_12_2_FRB)) + (portref (member WEAWEL 1) (instanceref f0_ram_Mram_ram33)) + (portref (member WEAWEL 0) (instanceref f0_ram_Mram_ram33)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram31)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram31)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram31)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram31)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram30)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram30)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram30)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram30)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram32)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram32)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram32)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram32)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram28)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram28)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram28)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram28)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram27)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram27)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram27)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram27)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram29)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram29)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram29)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram29)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram25)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram25)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram25)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram25)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram24)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram24)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram24)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram24)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram26)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram26)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram26)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram26)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram22)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram22)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram22)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram22)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram21)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram21)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram21)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram21)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram23)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram23)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram23)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram23)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram19)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram19)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram19)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram19)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram18)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram18)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram18)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram18)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram20)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram20)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram20)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram20)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram16)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram16)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram16)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram16)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram15)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram15)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram15)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram15)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram17)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram17)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram17)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram17)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram14)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram14)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram14)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram14)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram13)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram13)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram13)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram13)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram12)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram12)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram12)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram12)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram11)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram11)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram11)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram11)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram9)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram9)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram9)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram9)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram8)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram8)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram8)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram8)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram10)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram10)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram10)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram10)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram6)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram6)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram6)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram6)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram5)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram5)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram5)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram5)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram7)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram7)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram7)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram7)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram3)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram3)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram3)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram3)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram2)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram2)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram2)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram2)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram4)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram4)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram4)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram4)) + (portref (member WEA 3) (instanceref f0_ram_Mram_ram1)) + (portref (member WEA 2) (instanceref f0_ram_Mram_ram1)) + (portref (member WEA 1) (instanceref f0_ram_Mram_ram1)) + (portref (member WEA 0) (instanceref f0_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_debug1_19_ "slave_fifo32/debug1[19]") (joined + (portref Q (instanceref slave_fifo32_debug1_19)) + (portref D (instanceref slave_fifo32_debug2_19)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_8__rt "f0/Mcount_wr_addr_cy<8>_rt") (joined + (portref O (instanceref f0_Mcount_wr_addr_cy_8__rt)) + (portref S (instanceref f0_Mcount_wr_addr_cy_8_)) + (portref LI (instanceref f0_Mcount_wr_addr_xor_8_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr2_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr2_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_2)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr2_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_2__rt)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full421)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full411)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3_)) + ) + ) + (net (rename slave_fifo32_debug1_31_ "slave_fifo32/debug1[31]") (joined + (portref Q (instanceref slave_fifo32_debug1_31)) + (portref D (instanceref slave_fifo32_debug2_31)) + ) + ) + (net (rename slave_fifo32_debug1_26_ "slave_fifo32/debug1[26]") (joined + (portref Q (instanceref slave_fifo32_debug1_26)) + (portref D (instanceref slave_fifo32_debug2_26)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4_)) + ) + ) + (net (rename slave_fifo32_debug1_27_ "slave_fifo32/debug1[27]") (joined + (portref Q (instanceref slave_fifo32_debug1_27)) + (portref D (instanceref slave_fifo32_debug2_27)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_0_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[0]") (joined + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member dout 71) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr7_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr7_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_7)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr7_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7__rt)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_7__INV_0)) + ) + ) + (net (rename slave_fifo32_debug1_28_ "slave_fifo32/debug1[28]") (joined + (portref Q (instanceref slave_fifo32_debug1_28)) + (portref D (instanceref slave_fifo32_debug2_28)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_1_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[1]") (joined + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member dout 70) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_debug1_29_ "slave_fifo32/debug1[29]") (joined + (portref Q (instanceref slave_fifo32_debug1_29)) + (portref D (instanceref slave_fifo32_debug2_29)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_2_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[2]") (joined + (portref (member DIA 29) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member dout 69) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_3_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[3]") (joined + (portref (member DIA 28) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member dout 68) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_4_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[4]") (joined + (portref (member DIA 27) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member dout 67) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f1_dont_write_past_me_0__FRB "f1/dont_write_past_me<0>_FRB") (joined + (portref I1 (instanceref f1_Mcompar_becoming_full_lut_0_)) + (portref Q (instanceref f1_dont_write_past_me_0__FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_5_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[5]") (joined + (portref (member DIA 26) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member dout 66) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_6_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[6]") (joined + (portref (member DIA 25) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member dout 65) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f0_Mcount_wr_addr_xor_12__rt "f0/Mcount_wr_addr_xor<12>_rt") (joined + (portref O (instanceref f0_Mcount_wr_addr_xor_12__rt)) + (portref LI (instanceref f0_Mcount_wr_addr_xor_12_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_7_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[7]") (joined + (portref (member DIA 24) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member dout 64) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_8_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[8]") (joined + (portref (member DIA 23) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member dout 63) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_n0005_9_ "slave_fifo32/fifo64_to_gpmc32_resp/n0005[9]") (joined + (portref (member DIA 22) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref (member dout 62) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In3 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In3") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In31)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In34)) + ) + ) + (net (rename f1_full_reg "f1/full_reg") (joined + (portref I1 (instanceref f1_write11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0102_SW0)) + (portref Q (instanceref f1_full_reg)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full_glue_set)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111_SW0)) + (portref I4 (instanceref f1_read_state_FSM_FFd2_In1)) + (portref I4 (instanceref f1_full_reg_glue_set)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111)) + ) + ) + (net (rename slave_fifo32_gpif_data_out_31_1 "slave_fifo32/gpif_data_out_31_1") (joined + (portref Q (instanceref slave_fifo32_gpif_data_out_31_1)) + (portref I1 (instanceref slave_fifo32_gpif_data_out_31_rstpot)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr1_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr1_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_1)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr1_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_1__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_9__FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/dont_write_past_me<9>_FRB") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_becoming_full_lut_3_)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_9__FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr8_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr8_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_wr_addr_8)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr8_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_8__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_0_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_0)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_cy_10_ "f0/Msub_dont_write_past_me_cy[10]") (joined + (portref O (instanceref f0_Msub_dont_write_past_me_cy_10_)) + (portref CI (instanceref f0_Msub_dont_write_past_me_cy_11_)) + (portref CI (instanceref f0_Msub_dont_write_past_me_xor_11_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr1_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr1_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_1)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr1_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_1__rt)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full421)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full411)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_10_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_10_BRB1") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_10_BRB1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT21)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o1_SW1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<3>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT[10]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_10_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_10_BRB1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT[11]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_11_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_11_BRB1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT[12]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_12_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_12_BRB1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT[13]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_13_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_13_BRB1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT[14]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_14_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_14_BRB1)) + ) + ) + (net (rename f0_dont_write_past_me_0__FRB "f0/dont_write_past_me<0>_FRB") (joined + (portref I1 (instanceref f0_Mcompar_becoming_full_lut_0_)) + (portref Q (instanceref f0_dont_write_past_me_0__FRB)) + ) + ) + (net (rename f1_dont_write_past_me_2__FRB "f1/dont_write_past_me<2>_FRB") (joined + (portref I5 (instanceref f1_Mcompar_becoming_full_lut_0_)) + (portref Q (instanceref f1_dont_write_past_me_2__FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__space_15__mux_33_OUT_15_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_space[15]_mux_33_OUT[15]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_15_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15_BRB1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_10_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy[10]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_10_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_11_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_11_)) + ) + ) + (net (rename f0__n0161_inv "f0/_n0161_inv") (joined + (portref CE (instanceref f0_rd_addr_1)) + (portref CE (instanceref f0_rd_addr_2)) + (portref CE (instanceref f0_rd_addr_3)) + (portref CE (instanceref f0_rd_addr_4)) + (portref CE (instanceref f0_rd_addr_5)) + (portref CE (instanceref f0_rd_addr_6)) + (portref CE (instanceref f0_rd_addr_7)) + (portref CE (instanceref f0_rd_addr_8)) + (portref CE (instanceref f0_rd_addr_9)) + (portref CE (instanceref f0_rd_addr_10)) + (portref CE (instanceref f0_rd_addr_11)) + (portref CE (instanceref f0_rd_addr_12)) + (portref CE (instanceref f0_rd_addr_0)) + (portref CE (instanceref f0_Result_0_1_FRB)) + (portref CE (instanceref f0_Result_1_1_FRB)) + (portref CE (instanceref f0_Result_2_1_FRB)) + (portref CE (instanceref f0_Result_3_1_FRB)) + (portref CE (instanceref f0_Result_4_1_FRB)) + (portref CE (instanceref f0_Result_5_1_FRB)) + (portref CE (instanceref f0_Result_6_1_FRB)) + (portref CE (instanceref f0_Result_7_1_FRB)) + (portref CE (instanceref f0_Result_8_1_FRB)) + (portref CE (instanceref f0_Result_9_1_FRB)) + (portref CE (instanceref f0_Result_10_1_FRB)) + (portref CE (instanceref f0_Result_11_1_FRB)) + (portref CE (instanceref f0_Result_12_1_FRB)) + (portref CE (instanceref f0_dont_write_past_me_0__FRB)) + (portref CE (instanceref f0_dont_write_past_me_1__FRB)) + (portref CE (instanceref f0_dont_write_past_me_2__FRB)) + (portref CE (instanceref f0_dont_write_past_me_3__FRB)) + (portref CE (instanceref f0_dont_write_past_me_4__FRB)) + (portref CE (instanceref f0_dont_write_past_me_5__FRB)) + (portref CE (instanceref f0_dont_write_past_me_6__FRB)) + (portref CE (instanceref f0_dont_write_past_me_7__FRB)) + (portref CE (instanceref f0_dont_write_past_me_8__FRB)) + (portref CE (instanceref f0_dont_write_past_me_9__FRB)) + (portref CE (instanceref f0_dont_write_past_me_10__FRB)) + (portref CE (instanceref f0_dont_write_past_me_11__FRB)) + (portref CE (instanceref f0_dont_write_past_me_12__FRB)) + (portref O (instanceref f0__n0161_inv1_cy1)) + ) + ) + (net cat_ce (joined + (portref O (instanceref cat_ce_OBUF)) + (portref cat_ce) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<0>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_0__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_0_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_0_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a1 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_a1") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_0)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_0_11_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a2 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_a2") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_1_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a3 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_a3") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_2)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_2_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In11 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In11") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In12)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14)) + ) + ) + (net (rename f1_Mcount_rd_addr_xor_12__rt "f1/Mcount_rd_addr_xor<12>_rt") (joined + (portref O (instanceref f1_Mcount_rd_addr_xor_12__rt)) + (portref LI (instanceref f1_Mcount_rd_addr_xor_12_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a4 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_a4") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_3)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_3_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In12 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In12") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_read1)) + (portref rd_en (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a5 "slave_fifo32/fifo64_to_gpmc32_resp/dead_lock_fix/Mcount_a5") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_a_4)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_a_xor_4_11)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_7__rt "f1/Mcount_rd_addr_cy<7>_rt") (joined + (portref O (instanceref f1_Mcount_rd_addr_cy_7__rt)) + (portref S (instanceref f1_Mcount_rd_addr_cy_7_)) + (portref LI (instanceref f1_Mcount_rd_addr_xor_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In31 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In31") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In32)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In34)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In32 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd1-In32") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In33)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In34)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_0_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_0_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_0_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_1_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_1_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_12_BRB0 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_12_BRB0") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_12_BRB0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT41)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT51)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT61)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT31)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT21)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT161)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_12_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_12_BRB1") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_12_BRB1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT41)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_2_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_2_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT311 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT311") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_3_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_3_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_i_tvalid_int "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/i_tvalid_int") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_write1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_i_tvalid_int1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt__n0074_inv1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_4_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_4_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_5_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_5_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_5_)) + ) + ) + (net codec_fb_clk_p (joined + (portref O (instanceref codec_fb_clk_p_OBUF)) + (portref codec_fb_clk_p) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_3__rt "f0/Mcount_rd_addr_cy<3>_rt") (joined + (portref O (instanceref f0_Mcount_rd_addr_cy_3__rt)) + (portref S (instanceref f0_Mcount_rd_addr_cy_3_)) + (portref LI (instanceref f0_Mcount_rd_addr_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_6_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_6_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut[7]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_7_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_7_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_7_)) + ) + ) + (net (rename f0_dont_write_past_me_2__FRB "f0/dont_write_past_me<2>_FRB") (joined + (portref I5 (instanceref f0_Mcompar_becoming_full_lut_0_)) + (portref Q (instanceref f0_dont_write_past_me_2__FRB)) + ) + ) + (net (rename f1_dont_write_past_me_4__FRB "f1/dont_write_past_me<4>_FRB") (joined + (portref I3 (instanceref f1_Mcompar_becoming_full_lut_1_)) + (portref Q (instanceref f1_dont_write_past_me_4__FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut[8]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_8_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_8_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_8_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut[9]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_9_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_9_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_9_)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_10_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[10]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT210)) + (portref D (instanceref slave_fifo32_gpif_data_out_10)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_11_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[11]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT33)) + (portref D (instanceref slave_fifo32_gpif_data_out_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o "slave_fifo32/fifo64_to_gpmc32_ctrl/GND_63_o_space[15]_LessThan_2_o") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_i_tready)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o1)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_12_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[12]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT41)) + (portref D (instanceref slave_fifo32_gpif_data_out_12)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_GND_50_o_read_OR_57_o "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/GND_50_o_read_OR_57_o") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_GND_50_o_read_OR_57_o1)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<7>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_7_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_7_)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_13_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[13]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT51)) + (portref D (instanceref slave_fifo32_gpif_data_out_13)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_14_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[14]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT61)) + (portref D (instanceref slave_fifo32_gpif_data_out_14)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_15_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[15]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT71)) + (portref D (instanceref slave_fifo32_gpif_data_out_15)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_20_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[20]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT131)) + (portref D (instanceref slave_fifo32_gpif_data_out_20)) + ) + ) + (net (rename slave_fifo32_data_rx_tlast "slave_fifo32/data_rx_tlast") (joined + (portref I3 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1)) + (portref (member DOBDO 15) (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref I2 (instanceref slave_fifo32_state_FSM_FFd1_In2)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt__n0074_inv1)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_16_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[16]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT81)) + (portref D (instanceref slave_fifo32_gpif_data_out_16)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_21_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[21]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT141)) + (portref D (instanceref slave_fifo32_gpif_data_out_21)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_17_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[17]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT91)) + (portref D (instanceref slave_fifo32_gpif_data_out_17)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_22_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[22]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT151)) + (portref D (instanceref slave_fifo32_gpif_data_out_22)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_18_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[18]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT101)) + (portref D (instanceref slave_fifo32_gpif_data_out_18)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_23_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[23]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT161)) + (portref D (instanceref slave_fifo32_gpif_data_out_23)) + ) + ) + (net (rename slave_fifo32_ctrl_rx_tvalid_data_rx_tvalid_OR_56_o "slave_fifo32/ctrl_rx_tvalid_data_rx_tvalid_OR_56_o") (joined + (portref D (instanceref slave_fifo32_write_ready_go)) + (portref O (instanceref slave_fifo32_ctrl_rx_tvalid_data_rx_tvalid_OR_56_o1)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_19_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[19]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT111)) + (portref D (instanceref slave_fifo32_gpif_data_out_19)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_24_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[24]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT171)) + (portref D (instanceref slave_fifo32_gpif_data_out_24)) + ) + ) + (net (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0_ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy[0]") (joined + (portref O (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0_)) + (portref CI (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1_)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_25_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[25]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT181)) + (portref D (instanceref slave_fifo32_gpif_data_out_25)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_30_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[30]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT241)) + (portref D (instanceref slave_fifo32_gpif_data_out_30)) + ) + ) + (net (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1_ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy[1]") (joined + (portref O (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1_)) + (portref CI (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2_)) + ) + ) + (net (rename slave_fifo32_pktend "slave_fifo32/pktend") (joined + (portref Q (instanceref slave_fifo32_pktend)) + (portref I (instanceref GPIF_CTL7_OBUF)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_26_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[26]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT191)) + (portref D (instanceref slave_fifo32_gpif_data_out_26)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_31_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[31]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT251)) + (portref I2 (instanceref slave_fifo32_gpif_data_out_31_rstpot)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr11_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr11_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_11)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr11_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_11__rt)) + ) + ) + (net (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2_ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy[2]") (joined + (portref O (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2_)) + (portref CI (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3_)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_27_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[27]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT201)) + (portref D (instanceref slave_fifo32_gpif_data_out_27)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_14_BRB1 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space_14_BRB1") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_14_BRB1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT61)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14_)) + ) + ) + (net (rename f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3_ "f0/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_cy[3]") (joined + (portref O (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3_)) + (portref CI (instanceref f0_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4_)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_28_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[28]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT211)) + (portref D (instanceref slave_fifo32_gpif_data_out_28)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_data_31__wide_mux_20_OUT_29_ "slave_fifo32/state[1]_wr_fifo_data[31]_wide_mux_20_OUT[29]") (joined + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT221)) + (portref D (instanceref slave_fifo32_gpif_data_out_29)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_4__rt "f1/Mcount_wr_addr_cy<4>_rt") (joined + (portref O (instanceref f1_Mcount_wr_addr_cy_4__rt)) + (portref S (instanceref f1_Mcount_wr_addr_cy_4_)) + (portref LI (instanceref f1_Mcount_wr_addr_xor_4_)) + ) + ) + (net (rename slave_fifo32__n0223_inv "slave_fifo32/_n0223_inv") (joined + (portref CE (instanceref slave_fifo32_pktend)) + (portref CE (instanceref slave_fifo32_slwr)) + (portref O (instanceref slave_fifo32__n0223_inv1)) + (portref I0 (instanceref slave_fifo32_gpif_data_out_31_rstpot)) + (portref CE (instanceref slave_fifo32_slwr_1)) + (portref CE (instanceref slave_fifo32_pktend_1)) + (portref CE (instanceref slave_fifo32_gpif_data_out_30)) + (portref CE (instanceref slave_fifo32_gpif_data_out_29)) + (portref CE (instanceref slave_fifo32_gpif_data_out_28)) + (portref CE (instanceref slave_fifo32_gpif_data_out_27)) + (portref CE (instanceref slave_fifo32_gpif_data_out_26)) + (portref CE (instanceref slave_fifo32_gpif_data_out_25)) + (portref CE (instanceref slave_fifo32_gpif_data_out_24)) + (portref CE (instanceref slave_fifo32_gpif_data_out_23)) + (portref CE (instanceref slave_fifo32_gpif_data_out_22)) + (portref CE (instanceref slave_fifo32_gpif_data_out_21)) + (portref CE (instanceref slave_fifo32_gpif_data_out_20)) + (portref CE (instanceref slave_fifo32_gpif_data_out_19)) + (portref CE (instanceref slave_fifo32_gpif_data_out_18)) + (portref CE (instanceref slave_fifo32_gpif_data_out_17)) + (portref CE (instanceref slave_fifo32_gpif_data_out_16)) + (portref CE (instanceref slave_fifo32_gpif_data_out_15)) + (portref CE (instanceref slave_fifo32_gpif_data_out_14)) + (portref CE (instanceref slave_fifo32_gpif_data_out_13)) + (portref CE (instanceref slave_fifo32_gpif_data_out_12)) + (portref CE (instanceref slave_fifo32_gpif_data_out_11)) + (portref CE (instanceref slave_fifo32_gpif_data_out_10)) + (portref CE (instanceref slave_fifo32_gpif_data_out_9)) + (portref CE (instanceref slave_fifo32_gpif_data_out_8)) + (portref CE (instanceref slave_fifo32_gpif_data_out_7)) + (portref CE (instanceref slave_fifo32_gpif_data_out_6)) + (portref CE (instanceref slave_fifo32_gpif_data_out_5)) + (portref CE (instanceref slave_fifo32_gpif_data_out_4)) + (portref CE (instanceref slave_fifo32_gpif_data_out_3)) + (portref CE (instanceref slave_fifo32_gpif_data_out_2)) + (portref CE (instanceref slave_fifo32_gpif_data_out_1)) + (portref CE (instanceref slave_fifo32_gpif_data_out_0)) + ) + ) + (net IFCLK_OBUF (joined + (portref Q (instanceref ODDR2_ifclk)) + (portref I (instanceref IFCLK_OBUF)) + ) + ) + (net (rename f0_dont_write_past_me_4__FRB "f0/dont_write_past_me<4>_FRB") (joined + (portref I3 (instanceref f0_Mcompar_becoming_full_lut_1_)) + (portref Q (instanceref f0_dont_write_past_me_4__FRB)) + ) + ) + (net (rename f1_dont_write_past_me_6__FRB "f1/dont_write_past_me<6>_FRB") (joined + (portref I1 (instanceref f1_Mcompar_becoming_full_lut_2_)) + (portref Q (instanceref f1_dont_write_past_me_6__FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT821 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT821") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + ) + ) + (net N100 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_27)) + (portref O (instanceref GPIF_D_27_IOBUF)) + ) + ) + (net N101 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_26)) + (portref O (instanceref GPIF_D_26_IOBUF)) + ) + ) + (net N102 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_25)) + (portref O (instanceref GPIF_D_25_IOBUF)) + ) + ) + (net (rename slave_fifo32_EP_READY "slave_fifo32/EP_READY") (joined + (portref Q (instanceref slave_fifo32_EP_READY)) + (portref D (instanceref slave_fifo32_EP_READY1)) + (portref D (instanceref slave_fifo32_EP_READY1_1)) + ) + ) + (net N103 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_24)) + (portref O (instanceref GPIF_D_24_IOBUF)) + ) + ) + (net (rename f0_Result_12_2_FRB "f0/Result<12>2_FRB") (joined + (portref D (instanceref f0_wr_addr_12)) + (portref Q (instanceref f0_Result_12_2_FRB)) + (portref I0 (instanceref f0_Mcount_wr_addr_xor_12__rt)) + ) + ) + (net N104 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_23)) + (portref O (instanceref GPIF_D_23_IOBUF)) + ) + ) + (net N110 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_17)) + (portref O (instanceref GPIF_D_17_IOBUF)) + ) + ) + (net N105 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_22)) + (portref O (instanceref GPIF_D_22_IOBUF)) + ) + ) + (net N106 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_21)) + (portref O (instanceref GPIF_D_21_IOBUF)) + ) + ) + (net N111 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_16)) + (portref O (instanceref GPIF_D_16_IOBUF)) + ) + ) + (net N112 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_15)) + (portref O (instanceref GPIF_D_15_IOBUF)) + ) + ) + (net N107 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_20)) + (portref O (instanceref GPIF_D_20_IOBUF)) + ) + ) + (net N113 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_14)) + (portref O (instanceref GPIF_D_14_IOBUF)) + ) + ) + (net N108 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_19)) + (portref O (instanceref GPIF_D_19_IOBUF)) + ) + ) + (net N114 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_13)) + (portref O (instanceref GPIF_D_13_IOBUF)) + ) + ) + (net N109 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_18)) + (portref O (instanceref GPIF_D_18_IOBUF)) + ) + ) + (net N120 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_7)) + (portref O (instanceref GPIF_D_7_IOBUF)) + ) + ) + (net N115 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_12)) + (portref O (instanceref GPIF_D_12_IOBUF)) + ) + ) + (net N116 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_11)) + (portref O (instanceref GPIF_D_11_IOBUF)) + ) + ) + (net N121 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_6)) + (portref O (instanceref GPIF_D_6_IOBUF)) + ) + ) + (net N122 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_5)) + (portref O (instanceref GPIF_D_5_IOBUF)) + ) + ) + (net N117 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_10)) + (portref O (instanceref GPIF_D_10_IOBUF)) + ) + ) + (net N123 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_4)) + (portref O (instanceref GPIF_D_4_IOBUF)) + ) + ) + (net N118 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_9)) + (portref O (instanceref GPIF_D_9_IOBUF)) + ) + ) + (net N124 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_3)) + (portref O (instanceref GPIF_D_3_IOBUF)) + ) + ) + (net N119 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_8)) + (portref O (instanceref GPIF_D_8_IOBUF)) + ) + ) + (net N125 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_2)) + (portref O (instanceref GPIF_D_2_IOBUF)) + ) + ) + (net N126 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_1)) + (portref O (instanceref GPIF_D_1_IOBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0144_inv "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/_n0144_inv") (joined + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_0)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_2)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_3)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_4)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_5)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_6)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_7)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr1_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr2_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr3_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr4_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr5_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr6_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr7_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr8_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full421_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full411_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0_FRB)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0144_inv1)) + ) + ) + (net N127 (joined + (portref D (instanceref slave_fifo32_gpif_data_in_0)) + (portref O (instanceref GPIF_D_0_IOBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i64_tready "slave_fifo32/fifo64_to_gpmc32_resp/i64_tready") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_i_tready1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix__n0123_inv)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst)) + ) + ) + (net N200 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_11_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_12_)) + ) + ) + (net N201 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr12_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_12_)) + ) + ) + (net N202 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_0_)) + ) + ) + (net N203 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr1_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_1_)) + ) + ) + (net N204 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr2_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_2_)) + ) + ) + (net N205 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr3_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_3_)) + ) + ) + (net N210 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr8_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_8_)) + ) + ) + (net N160 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_0_)) + ) + ) + (net N206 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr4_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_4_)) + ) + ) + (net N211 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_9_)) + ) + ) + (net N161 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr1_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_1_)) + ) + ) + (net N162 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr2_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_2_)) + ) + ) + (net N207 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr5_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_5_)) + ) + ) + (net N212 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr9_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_9_)) + ) + ) + (net (rename f1_Result_8_2_FRB "f1/Result<8>2_FRB") (joined + (portref D (instanceref f1_wr_addr_8)) + (portref Q (instanceref f1_Result_8_2_FRB)) + (portref I0 (instanceref f1_Mcount_wr_addr_cy_8__rt)) + ) + ) + (net N208 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr6_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_6_)) + ) + ) + (net N213 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_0_)) + ) + ) + (net N163 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr3_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_3_)) + ) + ) + (net N164 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr4_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_4_)) + ) + ) + (net N209 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr7_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_7_)) + ) + ) + (net N214 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr1_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_1_)) + ) + ) + (net N170 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr10_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_10_)) + ) + ) + (net N215 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr2_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_2_)) + ) + ) + (net N220 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr7_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_7_)) + ) + ) + (net N165 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr5_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_5_)) + ) + ) + (net N171 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr11_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_11_)) + ) + ) + (net N216 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr3_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_3_)) + ) + ) + (net N221 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr8_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_8_)) + ) + ) + (net N166 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr6_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_6_)) + ) + ) + (net N167 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr7_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_7_)) + ) + ) + (net N172 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_11_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_12_)) + ) + ) + (net N217 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr4_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_4_)) + ) + ) + (net N222 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_9_)) + ) + ) + (net N173 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr12_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_12_)) + ) + ) + (net N218 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr5_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_5_)) + ) + ) + (net N223 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr9_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_9_)) + ) + ) + (net N168 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr8_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_8_)) + ) + ) + (net N169 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr9_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_9_)) + ) + ) + (net N174 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_0_)) + ) + ) + (net N219 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr6_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_6_)) + ) + ) + (net N224 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_0_)) + ) + ) + (net N180 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_6__FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_6_)) + ) + ) + (net N225 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr1_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_1_)) + ) + ) + (net N230 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr6_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_6_)) + ) + ) + (net N175 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_1__FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_1_)) + ) + ) + (net N181 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_7__FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_7_)) + ) + ) + (net N226 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr2_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_2_)) + ) + ) + (net N231 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr7_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_7_)) + ) + ) + (net N176 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_2__FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_2_)) + ) + ) + (net N177 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_3__FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_3_)) + ) + ) + (net N182 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_8__FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_8_)) + ) + ) + (net N227 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr3_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_3_)) + ) + ) + (net N232 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_8_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_1_)) + ) + ) + (net N178 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_4__FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_4_)) + ) + ) + (net N183 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_9__FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_9_)) + ) + ) + (net N228 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr4_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_4_)) + ) + ) + (net N233 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr8_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_8_)) + ) + ) + (net N179 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_5__FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_5_)) + ) + ) + (net N184 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_10__FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_10_)) + ) + ) + (net N229 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr5_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_5_)) + ) + ) + (net N234 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_0_)) + ) + ) + (net N190 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr2_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_2_)) + ) + ) + (net N240 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr6_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_6_)) + ) + ) + (net N235 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr1_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_1_)) + ) + ) + (net N185 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_11__FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_11_)) + ) + ) + (net N186 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_cy_11_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_12_)) + ) + ) + (net N241 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr7_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_7_)) + ) + ) + (net N236 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr2_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_2_)) + ) + ) + (net N191 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr3_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_3_)) + ) + ) + (net N192 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr4_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_4_)) + ) + ) + (net N242 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_8_)) + ) + ) + (net N237 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr3_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_3_)) + ) + ) + (net N187 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_12__FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_12_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_2_)) + ) + ) + (net N193 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr5_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_5_)) + ) + ) + (net N243 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr8_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_8_)) + ) + ) + (net N238 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr4_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_4_)) + ) + ) + (net N188 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_dont_write_past_me_0__FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_0_)) + ) + ) + (net N189 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr1_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_1_)) + ) + ) + (net N244 (joined + (portref D (instanceref f1_Result_0_2_FRB)) + (portref O (instanceref f1_Mcount_wr_addr_xor_0_)) + ) + ) + (net N239 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr5_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_5_)) + ) + ) + (net N194 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr6_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/full_reg") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_write1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv5)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv1_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg_glue_set)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump_glue_set)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int16_SW0)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB5)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0154_inv1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_F)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_G)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_F)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_G)) + ) + ) + (net N300 (joined + (portref D (instanceref f0_Result_0_1_FRB)) + (portref O (instanceref f0_Mcount_rd_addr_xor_0_)) + ) + ) + (net N250 (joined + (portref D (instanceref f1_Result_6_2_FRB)) + (portref O (instanceref f1_Mcount_wr_addr_xor_6_)) + ) + ) + (net N245 (joined + (portref D (instanceref f1_Result_1_2_FRB)) + (portref O (instanceref f1_Mcount_wr_addr_xor_1_)) + ) + ) + (net N195 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr7_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_7_)) + ) + ) + (net N196 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr8_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_8_)) + ) + ) + (net N301 (joined + (portref D (instanceref f0_Result_1_1_FRB)) + (portref O (instanceref f0_Mcount_rd_addr_xor_1_)) + ) + ) + (net N251 (joined + (portref D (instanceref f1_Result_7_2_FRB)) + (portref O (instanceref f1_Mcount_wr_addr_xor_7_)) + ) + ) + (net N246 (joined + (portref D (instanceref f1_Result_2_2_FRB)) + (portref O (instanceref f1_Mcount_wr_addr_xor_2_)) + ) + ) + (net N197 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr9_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_9_)) + ) + ) + (net N302 (joined + (portref D (instanceref f0_Result_2_1_FRB)) + (portref O (instanceref f0_Mcount_rd_addr_xor_2_)) + ) + ) + (net N252 (joined + (portref D (instanceref f1_Result_8_2_FRB)) + (portref O (instanceref f1_Mcount_wr_addr_xor_8_)) + ) + ) + (net N247 (joined + (portref D (instanceref f1_Result_3_2_FRB)) + (portref O (instanceref f1_Mcount_wr_addr_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_3_)) + ) + ) + (net N198 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr10_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_10_)) + ) + ) + (net N303 (joined + (portref D (instanceref f0_Result_3_1_FRB)) + (portref O (instanceref f0_Mcount_rd_addr_xor_3_)) + ) + ) + (net N253 (joined + (portref D (instanceref f1_Result_9_2_FRB)) + (portref O (instanceref f1_Mcount_wr_addr_xor_9_)) + ) + ) + (net N248 (joined + (portref D (instanceref f1_Result_4_2_FRB)) + (portref O (instanceref f1_Mcount_wr_addr_xor_4_)) + ) + ) + (net N199 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr11_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_11_)) + ) + ) + (net N304 (joined + (portref D (instanceref f0_Result_4_1_FRB)) + (portref O (instanceref f0_Mcount_rd_addr_xor_4_)) + ) + ) + (net N254 (joined + (portref D (instanceref f1_Result_10_2_FRB)) + (portref O (instanceref f1_Mcount_wr_addr_xor_10_)) + ) + ) + (net N249 (joined + (portref D (instanceref f1_Result_5_2_FRB)) + (portref O (instanceref f1_Mcount_wr_addr_xor_5_)) + ) + ) + (net N255 (joined + (portref D (instanceref f1_Result_11_2_FRB)) + (portref O (instanceref f1_Mcount_wr_addr_xor_11_)) + ) + ) + (net N310 (joined + (portref D (instanceref f0_Result_10_1_FRB)) + (portref O (instanceref f0_Mcount_rd_addr_xor_10_)) + ) + ) + (net N305 (joined + (portref D (instanceref f0_Result_5_1_FRB)) + (portref O (instanceref f0_Mcount_rd_addr_xor_5_)) + ) + ) + (net N260 (joined + (portref D (instanceref f1_Result_2_1_FRB)) + (portref O (instanceref f1_Mcount_rd_addr_xor_2_)) + ) + ) + (net N256 (joined + (portref O (instanceref f1_Mcount_wr_addr_cy_11_)) + (portref CI (instanceref f1_Mcount_wr_addr_xor_12_)) + ) + ) + (net N311 (joined + (portref D (instanceref f0_Result_11_1_FRB)) + (portref O (instanceref f0_Mcount_rd_addr_xor_11_)) + ) + ) + (net N306 (joined + (portref D (instanceref f0_Result_6_1_FRB)) + (portref O (instanceref f0_Mcount_rd_addr_xor_6_)) + ) + ) + (net N261 (joined + (portref D (instanceref f1_Result_3_1_FRB)) + (portref O (instanceref f1_Mcount_rd_addr_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_4_)) + ) + ) + (net N312 (joined + (portref O (instanceref f0_Mcount_rd_addr_cy_11_)) + (portref CI (instanceref f0_Mcount_rd_addr_xor_12_)) + ) + ) + (net N307 (joined + (portref D (instanceref f0_Result_7_1_FRB)) + (portref O (instanceref f0_Mcount_rd_addr_xor_7_)) + ) + ) + (net N262 (joined + (portref D (instanceref f1_Result_4_1_FRB)) + (portref O (instanceref f1_Mcount_rd_addr_xor_4_)) + ) + ) + (net N257 (joined + (portref D (instanceref f1_Result_12_2_FRB)) + (portref O (instanceref f1_Mcount_wr_addr_xor_12_)) + ) + ) + (net (rename f0_Result_3_1_FRB "f0/Result<3>1_FRB") (joined + (portref D (instanceref f0_rd_addr_3)) + (portref Q (instanceref f0_Result_3_1_FRB)) + (portref I0 (instanceref f0_Mcount_rd_addr_cy_3__rt)) + (portref I0 (instanceref f0_Msub_dont_write_past_me_lut_3__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_7__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_wr_addr_cy<7>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_7__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_7_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_7_)) + ) + ) + (net N313 (joined + (portref D (instanceref f0_Result_12_1_FRB)) + (portref O (instanceref f0_Mcount_rd_addr_xor_12_)) + ) + ) + (net N308 (joined + (portref D (instanceref f0_Result_8_1_FRB)) + (portref O (instanceref f0_Mcount_rd_addr_xor_8_)) + ) + ) + (net N263 (joined + (portref D (instanceref f1_Result_5_1_FRB)) + (portref O (instanceref f1_Mcount_rd_addr_xor_5_)) + ) + ) + (net N258 (joined + (portref D (instanceref f1_Result_0_1_FRB)) + (portref O (instanceref f1_Mcount_rd_addr_xor_0_)) + ) + ) + (net N259 (joined + (portref D (instanceref f1_Result_1_1_FRB)) + (portref O (instanceref f1_Mcount_rd_addr_xor_1_)) + ) + ) + (net N314 (joined + (portref D (instanceref f0_dont_write_past_me_0__FRB)) + (portref O (instanceref f0_Msub_dont_write_past_me_xor_0_)) + ) + ) + (net N309 (joined + (portref D (instanceref f0_Result_9_1_FRB)) + (portref O (instanceref f0_Mcount_rd_addr_xor_9_)) + ) + ) + (net N264 (joined + (portref D (instanceref f1_Result_6_1_FRB)) + (portref O (instanceref f1_Mcount_rd_addr_xor_6_)) + ) + ) + (net N265 (joined + (portref D (instanceref f1_Result_7_1_FRB)) + (portref O (instanceref f1_Mcount_rd_addr_xor_7_)) + ) + ) + (net N320 (joined + (portref D (instanceref f0_dont_write_past_me_6__FRB)) + (portref O (instanceref f0_Msub_dont_write_past_me_xor_6_)) + ) + ) + (net N315 (joined + (portref D (instanceref f0_dont_write_past_me_1__FRB)) + (portref O (instanceref f0_Msub_dont_write_past_me_xor_1_)) + ) + ) + (net N270 (joined + (portref O (instanceref f1_Mcount_rd_addr_cy_11_)) + (portref CI (instanceref f1_Mcount_rd_addr_xor_12_)) + ) + ) + (net N266 (joined + (portref D (instanceref f1_Result_8_1_FRB)) + (portref O (instanceref f1_Mcount_rd_addr_xor_8_)) + ) + ) + (net N321 (joined + (portref D (instanceref f0_dont_write_past_me_7__FRB)) + (portref O (instanceref f0_Msub_dont_write_past_me_xor_7_)) + ) + ) + (net N316 (joined + (portref D (instanceref f0_dont_write_past_me_2__FRB)) + (portref O (instanceref f0_Msub_dont_write_past_me_xor_2_)) + ) + ) + (net N271 (joined + (portref D (instanceref f1_Result_12_1_FRB)) + (portref O (instanceref f1_Mcount_rd_addr_xor_12_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_5_)) + ) + ) + (net N322 (joined + (portref D (instanceref f0_dont_write_past_me_8__FRB)) + (portref O (instanceref f0_Msub_dont_write_past_me_xor_8_)) + ) + ) + (net N317 (joined + (portref D (instanceref f0_dont_write_past_me_3__FRB)) + (portref O (instanceref f0_Msub_dont_write_past_me_xor_3_)) + ) + ) + (net N272 (joined + (portref D (instanceref f1_dont_write_past_me_0__FRB)) + (portref O (instanceref f1_Msub_dont_write_past_me_xor_0_)) + ) + ) + (net N267 (joined + (portref D (instanceref f1_Result_9_1_FRB)) + (portref O (instanceref f1_Mcount_rd_addr_xor_9_)) + ) + ) + (net N268 (joined + (portref D (instanceref f1_Result_10_1_FRB)) + (portref O (instanceref f1_Mcount_rd_addr_xor_10_)) + ) + ) + (net N323 (joined + (portref D (instanceref f0_dont_write_past_me_9__FRB)) + (portref O (instanceref f0_Msub_dont_write_past_me_xor_9_)) + ) + ) + (net N318 (joined + (portref D (instanceref f0_dont_write_past_me_4__FRB)) + (portref O (instanceref f0_Msub_dont_write_past_me_xor_4_)) + ) + ) + (net N273 (joined + (portref D (instanceref f1_dont_write_past_me_1__FRB)) + (portref O (instanceref f1_Msub_dont_write_past_me_xor_1_)) + ) + ) + (net N269 (joined + (portref D (instanceref f1_Result_11_1_FRB)) + (portref O (instanceref f1_Mcount_rd_addr_xor_11_)) + ) + ) + (net N324 (joined + (portref D (instanceref f0_dont_write_past_me_10__FRB)) + (portref O (instanceref f0_Msub_dont_write_past_me_xor_10_)) + ) + ) + (net N319 (joined + (portref D (instanceref f0_dont_write_past_me_5__FRB)) + (portref O (instanceref f0_Msub_dont_write_past_me_xor_5_)) + ) + ) + (net N274 (joined + (portref D (instanceref f1_dont_write_past_me_2__FRB)) + (portref O (instanceref f1_Msub_dont_write_past_me_xor_2_)) + ) + ) + (net N275 (joined + (portref D (instanceref f1_dont_write_past_me_3__FRB)) + (portref O (instanceref f1_Msub_dont_write_past_me_xor_3_)) + ) + ) + (net N325 (joined + (portref D (instanceref f0_dont_write_past_me_11__FRB)) + (portref O (instanceref f0_Msub_dont_write_past_me_xor_11_)) + ) + ) + (net N280 (joined + (portref D (instanceref f1_dont_write_past_me_8__FRB)) + (portref O (instanceref f1_Msub_dont_write_past_me_xor_8_)) + ) + ) + (net N276 (joined + (portref D (instanceref f1_dont_write_past_me_4__FRB)) + (portref O (instanceref f1_Msub_dont_write_past_me_xor_4_)) + ) + ) + (net N331 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + ) + ) + (net N326 (joined + (portref O (instanceref f0_Msub_dont_write_past_me_cy_11_)) + (portref CI (instanceref f0_Msub_dont_write_past_me_xor_12_)) + ) + ) + (net N281 (joined + (portref D (instanceref f1_dont_write_past_me_9__FRB)) + (portref O (instanceref f1_Msub_dont_write_past_me_xor_9_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_6_)) + ) + ) + (net N332 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + ) + ) + (net N327 (joined + (portref D (instanceref f0_dont_write_past_me_12__FRB)) + (portref O (instanceref f0_Msub_dont_write_past_me_xor_12_)) + ) + ) + (net N282 (joined + (portref D (instanceref f1_dont_write_past_me_10__FRB)) + (portref O (instanceref f1_Msub_dont_write_past_me_xor_10_)) + ) + ) + (net N277 (joined + (portref D (instanceref f1_dont_write_past_me_5__FRB)) + (portref O (instanceref f1_Msub_dont_write_past_me_xor_5_)) + ) + ) + (net N278 (joined + (portref D (instanceref f1_dont_write_past_me_6__FRB)) + (portref O (instanceref f1_Msub_dont_write_past_me_xor_6_)) + ) + ) + (net N328 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + ) + ) + (net N283 (joined + (portref D (instanceref f1_dont_write_past_me_11__FRB)) + (portref O (instanceref f1_Msub_dont_write_past_me_xor_11_)) + ) + ) + (net N279 (joined + (portref D (instanceref f1_dont_write_past_me_7__FRB)) + (portref O (instanceref f1_Msub_dont_write_past_me_xor_7_)) + ) + ) + (net N334 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8212_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + ) + ) + (net N329 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111_SW1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + ) + ) + (net N284 (joined + (portref O (instanceref f1_Msub_dont_write_past_me_cy_11_)) + (portref CI (instanceref f1_Msub_dont_write_past_me_xor_12_)) + ) + ) + (net N285 (joined + (portref D (instanceref f1_dont_write_past_me_12__FRB)) + (portref O (instanceref f1_Msub_dont_write_past_me_xor_12_)) + ) + ) + (net N335 (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8212_SW1)) + ) + ) + (net N290 (joined + (portref D (instanceref f0_Result_4_2_FRB)) + (portref O (instanceref f0_Mcount_wr_addr_xor_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_6__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<6>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_6__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_6_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_6_)) + ) + ) + (net N291 (joined + (portref D (instanceref f0_Result_5_2_FRB)) + (portref O (instanceref f0_Mcount_wr_addr_xor_5_)) + ) + ) + (net N286 (joined + (portref D (instanceref f0_Result_0_2_FRB)) + (portref O (instanceref f0_Mcount_wr_addr_xor_0_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_7_)) + ) + ) + (net N337 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror5_SW1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror11)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_tlast1)) + ) + ) + (net N292 (joined + (portref D (instanceref f0_Result_6_2_FRB)) + (portref O (instanceref f0_Mcount_wr_addr_xor_6_)) + ) + ) + (net N287 (joined + (portref D (instanceref f0_Result_1_2_FRB)) + (portref O (instanceref f0_Mcount_wr_addr_xor_1_)) + ) + ) + (net N288 (joined + (portref D (instanceref f0_Result_2_2_FRB)) + (portref O (instanceref f0_Mcount_wr_addr_xor_2_)) + ) + ) + (net N293 (joined + (portref D (instanceref f0_Result_7_2_FRB)) + (portref O (instanceref f0_Mcount_wr_addr_xor_7_)) + ) + ) + (net N289 (joined + (portref D (instanceref f0_Result_3_2_FRB)) + (portref O (instanceref f0_Mcount_wr_addr_xor_3_)) + ) + ) + (net N339 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tlast1)) + ) + ) + (net N294 (joined + (portref D (instanceref f0_Result_8_2_FRB)) + (portref O (instanceref f0_Mcount_wr_addr_xor_8_)) + ) + ) + (net N295 (joined + (portref D (instanceref f0_Result_9_2_FRB)) + (portref O (instanceref f0_Mcount_wr_addr_xor_9_)) + ) + ) + (net N296 (joined + (portref D (instanceref f0_Result_10_2_FRB)) + (portref O (instanceref f0_Mcount_wr_addr_xor_10_)) + ) + ) + (net N351 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11_SW0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tvalid11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy[7]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_8_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_8_)) + ) + ) + (net N347 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71)) + ) + ) + (net N297 (joined + (portref D (instanceref f0_Result_11_2_FRB)) + (portref O (instanceref f0_Mcount_wr_addr_xor_11_)) + ) + ) + (net N298 (joined + (portref O (instanceref f0_Mcount_wr_addr_cy_11_)) + (portref CI (instanceref f0_Mcount_wr_addr_xor_12_)) + ) + ) + (net N353 (joined + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid61)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2)) + ) + ) + (net N299 (joined + (portref D (instanceref f0_Result_12_2_FRB)) + (portref O (instanceref f0_Mcount_wr_addr_xor_12_)) + ) + ) + (net N354 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW3)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tvalid61)) + ) + ) + (net N349 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_o_tvalid11)) + ) + ) + (net N356 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int16)) + ) + ) + (net N411 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0102_SW1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full_glue_set)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_cy[8]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_8_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_9_)) + ) + ) + (net N407 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73_SW0)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73)) + ) + ) + (net N357 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int14_SW1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int16)) + ) + ) + (net N363 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01211_SW0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n012110_SW0)) + ) + ) + (net N413 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst_SW0)) + ) + ) + (net N409 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0102_SW1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full_glue_set)) + ) + ) + (net N365 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv1_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv2)) + ) + ) + (net N415 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst_SW0)) + ) + ) + (net N370 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW0)) + ) + ) + (net N421 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT7 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_num_packets[7]_num_packets[7]_mux_17_OUT7") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73)) + ) + ) + (net N417 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11_SW1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tready_int11)) + ) + ) + (net N372 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg_glue_set)) + ) + ) + (net N367 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71)) + ) + ) + (net N423 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror21_SW1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_tlast1)) + ) + ) + (net N369 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW0)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW0)) + ) + ) + (net N419 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW2)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_tlast1)) + ) + ) + (net N374 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73)) + ) + ) + (net N375 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73)) + ) + ) + (net N425 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01217_SW0)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg_glue_set)) + ) + ) + (net (rename f0_dont_write_past_me_6__FRB "f0/dont_write_past_me<6>_FRB") (joined + (portref I1 (instanceref f0_Mcompar_becoming_full_lut_2_)) + (portref Q (instanceref f0_dont_write_past_me_6__FRB)) + ) + ) + (net N431 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + ) + ) + (net N381 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_0_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_1_)) + ) + ) + (net (rename f1_dont_write_past_me_8__FRB "f1/dont_write_past_me<8>_FRB") (joined + (portref I5 (instanceref f1_Mcompar_becoming_full_lut_2_)) + (portref Q (instanceref f1_dont_write_past_me_8__FRB)) + ) + ) + (net N427 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n012110_SW0)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg_glue_set)) + ) + ) + (net N382 (joined + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1)) + ) + ) + (net N433 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216)) + ) + ) + (net N384 (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01217_SW0)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01216_SW0)) + ) + ) + (net N434 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216)) + ) + ) + (net N429 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int16_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW0)) + ) + ) + (net N390 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0_rstpot)) + ) + ) + (net N435 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216_SW2)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01216)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_1_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_2_)) + ) + ) + (net N441 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10)) + ) + ) + (net N391 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0_rstpot)) + ) + ) + (net N386 (joined + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst_SW0)) + ) + ) + (net N437 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In12_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In13)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<8>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_8_)) + ) + ) + (net N443 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + ) + ) + (net N388 (joined + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst_SW0)) + ) + ) + (net N439 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In12_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_state_FSM_FFd2_In13)) + ) + ) + (net N445 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_2_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_3_)) + ) + ) + (net N451 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int16_SW0)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511)) + ) + ) + (net N396 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531_SW0)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_F)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + ) + ) + (net N397 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531_SW1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_G)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + ) + ) + (net N447 (joined + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror1_SW1)) + ) + ) + (net N453 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW2)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_0_rstpot)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_clear_inv "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/clear_inv") (joined + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_0_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_clear_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__GND_50_o_mux_35_OUT_0_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_GND_50_o_mux_35_OUT[0]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_0)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT17)) + ) + ) + (net N460 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr3_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_3_)) + ) + ) + (net N455 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11_SW1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0_lut)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_3_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_4_)) + ) + ) + (net N461 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr4_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_4_)) + ) + ) + (net N457 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_0_)) + ) + ) + (net N462 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr5_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_5_)) + ) + ) + (net N458 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr1_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_1_)) + ) + ) + (net N463 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr6_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_6_)) + ) + ) + (net N459 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr2_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_2_)) + ) + ) + (net N464 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr7_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__GND_50_o_mux_35_OUT_1_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_GND_50_o_mux_35_OUT[1]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT81)) + ) + ) + (net N470 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr3_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_3_)) + ) + ) + (net N465 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_8_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_4_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_5_)) + ) + ) + (net N471 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr4_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_4_)) + ) + ) + (net N466 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr8_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_8_)) + ) + ) + (net N467 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_0_)) + ) + ) + (net N472 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr5_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_5_)) + ) + ) + (net N468 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr1_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_1_)) + ) + ) + (net N473 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr6_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_6_)) + ) + ) + (net N469 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr2_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_2_)) + ) + ) + (net N474 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr7_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__GND_50_o_mux_35_OUT_2_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_GND_50_o_mux_35_OUT[2]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_2)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT91)) + ) + ) + (net N480 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full411_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full411)) + ) + ) + (net N475 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_8_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_5_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_6_)) + ) + ) + (net N481 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0)) + ) + ) + (net N476 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr8_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_wr_addr_xor_8_)) + ) + ) + (net tx_enable1 (joined + (portref O (instanceref tx_enable1_OBUF)) + (portref tx_enable1) + ) + ) + (net N482 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1_SW0)) + ) + ) + (net N477 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full421_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full421)) + ) + ) + (net cat_mosi_OBUF (joined + (portref O (instanceref cat_mosi1)) + (portref I (instanceref cat_mosi_OBUF)) + ) + ) + (net N483 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621)) + ) + ) + (net N478 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full411_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full411)) + ) + ) + (net tx_enable2 (joined + (portref O (instanceref tx_enable2_OBUF)) + (portref tx_enable2) + ) + ) + (net N479 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full421_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full421)) + ) + ) + (net N484 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__GND_50_o_mux_35_OUT_3_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_GND_50_o_mux_35_OUT[3]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_3)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT101)) + ) + ) + (net N485 (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_SW0_FRB)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01218_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_6_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_7_)) + ) + ) + (net N543 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv6_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__GND_50_o_mux_35_OUT_4_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_GND_50_o_mux_35_OUT[4]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_4)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT111)) + ) + ) + (net N550 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_G)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0)) + ) + ) + (net N545 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_F)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_7_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[7]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_8_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_8_)) + ) + ) + (net N551 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_F)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1)) + ) + ) + (net N546 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2_G)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror7_SW2)) + ) + ) + (net N547 (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_F)) + ) + ) + (net N552 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_G)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1)) + ) + ) + (net N548 (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_G)) + ) + ) + (net N553 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1_F)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1)) + ) + ) + (net N549 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_F)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0)) + ) + ) + (net N554 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1_G)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_terror51_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__GND_50_o_mux_35_OUT_5_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_GND_50_o_mux_35_OUT[5]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_5)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT121)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_8_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[8]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_8_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_9_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_9_)) + ) + ) + (net N561 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_empty_glue_rst)) + ) + ) + (net N563 (joined + (portref O (instanceref slave_fifo32_slrd_rstpot_SW0)) + (portref I1 (instanceref slave_fifo32_slrd_rstpot)) + ) + ) + (net N559 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__GND_50_o_mux_35_OUT_6_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_GND_50_o_mux_35_OUT[6]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_6)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT131)) + ) + ) + (net N565 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_SW1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_9_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Maddsub_space[15]_space[15]_mux_33_OUT_cy[9]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_9_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_cy_10_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_10_)) + ) + ) + (net N571 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9)) + ) + ) + (net N567 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01215_SW0)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01215)) + ) + ) + (net N573 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_SW1_SW0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_SW1)) + ) + ) + (net N569 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_0_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_0_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT17)) + ) + ) + (net N575 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set_SW1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__GND_50_o_mux_35_OUT_7_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_GND_50_o_mux_35_OUT[7]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_7)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT141)) + ) + ) + (net N581 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o1_SW1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_GND_63_o_space_15__LessThan_2_o1)) + ) + ) + (net N577 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set_SW1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set)) + ) + ) + (net N583 (joined + (portref O (instanceref slave_fifo32_state_FSM_FFd1_In3_F)) + (portref I0 (instanceref slave_fifo32_state_FSM_FFd1_In3)) + ) + ) + (net N579 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o1_SW1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_GND_49_o_space_15__LessThan_2_o1)) + ) + ) + (net N584 (joined + (portref O (instanceref slave_fifo32_state_FSM_FFd1_In3_G)) + (portref I1 (instanceref slave_fifo32_state_FSM_FFd1_In3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_1_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_1_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT81)) + ) + ) + (net N590 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_G)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2)) + ) + ) + (net N585 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_F)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__GND_50_o_mux_35_OUT_8_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_GND_50_o_mux_35_OUT[8]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_8)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT151)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n01212") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_full_reg_glue_set)) + ) + ) + (net N586 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14_G)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd1_In14)) + ) + ) + (net N587 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1_F)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1)) + ) + ) + (net N588 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1_G)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01215 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/_n01215") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01215)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01217_SW0)) + ) + ) + (net N589 (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2_F)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81_SW2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_2_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_2_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT91)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_12__wr_addr_12__equal_11_o "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/rd_addr[12]_wr_addr[12]_equal_11_o") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0_cy)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo__n0146_inv1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4__inv_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_3_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_3_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT101)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_4_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_4_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_5_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_5_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT121)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_6_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_6_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT131)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_7_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT[7]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_7_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT141)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_8_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT[8]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_8_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT151)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr5_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr5_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_5)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr5_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_15__space_15__mux_33_OUT_9_ "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/space[15]_space[15]_mux_33_OUT[9]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_xor_9_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_space_9_BRB1)) + ) + ) + (net (rename f0_Result_1_2_FRB "f0/Result<1>2_FRB") (joined + (portref D (instanceref f0_wr_addr_1)) + (portref Q (instanceref f0_Result_1_2_FRB)) + (portref I0 (instanceref f0_Mcount_wr_addr_cy_1__rt)) + ) + ) + (net (rename f0_dont_write_past_me_8__FRB "f0/dont_write_past_me<8>_FRB") (joined + (portref I5 (instanceref f0_Mcompar_becoming_full_lut_2_)) + (portref Q (instanceref f0_dont_write_past_me_8__FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_0_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_0_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_0_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_1_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_1_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_0_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_wr_addr_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<3>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_2_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_2_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_2_)) + ) + ) + (net (rename f0__n0161_inv1_lut1 "f0/_n0161_inv1_lut1") (joined + (portref O (instanceref f0__n0161_inv1_lut1)) + (portref S (instanceref f0__n0161_inv1_cy1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_3_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_3_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_4_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_4_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_4_)) + ) + ) + (net pps_fpga_out_enable (joined + (portref O (instanceref pps_fpga_out_enable_OBUF)) + (portref pps_fpga_out_enable) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_5_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_5_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_6_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_6_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_6_)) + ) + ) + (net (rename slave_fifo32_debug2_10_ "slave_fifo32/debug2[10]") (joined + (portref Q (instanceref slave_fifo32_debug2_10)) + (portref I (instanceref debug_10_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut[7]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_7_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_7_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_7_)) + ) + ) + (net (rename slave_fifo32_debug2_11_ "slave_fifo32/debug2[11]") (joined + (portref Q (instanceref slave_fifo32_debug2_11)) + (portref I (instanceref debug_11_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut[8]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_8_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_cy_8_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_8_)) + ) + ) + (net (rename slave_fifo32_debug2_12_ "slave_fifo32/debug2[12]") (joined + (portref Q (instanceref slave_fifo32_debug2_12)) + (portref I (instanceref debug_12_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_wr_addr_lut[9]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_lut_9_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_9_)) + ) + ) + (net (rename slave_fifo32_debug2_13_ "slave_fifo32/debug2[13]") (joined + (portref Q (instanceref slave_fifo32_debug2_13)) + (portref I (instanceref debug_13_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_0)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_0__rt)) + ) + ) + (net (rename slave_fifo32_debug2_14_ "slave_fifo32/debug2[14]") (joined + (portref Q (instanceref slave_fifo32_debug2_14)) + (portref I (instanceref debug_14_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_15_ "slave_fifo32/debug2[15]") (joined + (portref Q (instanceref slave_fifo32_debug2_15)) + (portref I (instanceref debug_15_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_0_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr[0]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01216_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01215)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 9) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_debug2_16_ "slave_fifo32/debug2[16]") (joined + (portref Q (instanceref slave_fifo32_debug2_16)) + (portref I (instanceref debug_16_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_21_ "slave_fifo32/debug2[21]") (joined + (portref Q (instanceref slave_fifo32_debug2_21)) + (portref I (instanceref debug_21_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_1_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr[1]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full1021)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01216_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01215)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 8) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_debug2_17_ "slave_fifo32/debug2[17]") (joined + (portref Q (instanceref slave_fifo32_debug2_17)) + (portref I (instanceref debug_17_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_22_ "slave_fifo32/debug2[22]") (joined + (portref Q (instanceref slave_fifo32_debug2_22)) + (portref I (instanceref debug_22_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_2_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr[2]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_2)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full1011)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212211)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 7) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01215_SW0)) + ) + ) + (net (rename slave_fifo32_debug2_18_ "slave_fifo32/debug2[18]") (joined + (portref Q (instanceref slave_fifo32_debug2_18)) + (portref I (instanceref debug_18_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_23_ "slave_fifo32/debug2[23]") (joined + (portref Q (instanceref slave_fifo32_debug2_23)) + (portref I (instanceref debug_23_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_3_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr[3]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_3)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212211)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 6) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0121211)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_i_tready "slave_fifo32/fifo64_to_gpmc32_tx/i_tready") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_i_tready)) + (portref I2 (instanceref slave_fifo32_ctrl_tx_tready_data_tx_tready_OR_55_o1)) + ) + ) + (net (rename slave_fifo32_debug2_19_ "slave_fifo32/debug2[19]") (joined + (portref Q (instanceref slave_fifo32_debug2_19)) + (portref I (instanceref debug_19_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_4_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr[4]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_4)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o41)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 5) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212_SW1)) + ) + ) + (net (rename f0_Mcount_wr_addr_cy_9__rt "f0/Mcount_wr_addr_cy<9>_rt") (joined + (portref O (instanceref f0_Mcount_wr_addr_cy_9__rt)) + (portref S (instanceref f0_Mcount_wr_addr_cy_9_)) + (portref LI (instanceref f0_Mcount_wr_addr_xor_9_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_full_reg_glue_set "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/full_reg_glue_set") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_full_reg)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_full_reg_glue_set)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_5_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr[5]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_5)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n0121211)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212211)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 4) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets[0]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_i_tvalid_int1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT11_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr3_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr3_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_3)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr3_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_3__rt)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full421)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full411)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621)) + ) + ) + (net (rename slave_fifo32_debug2_26_ "slave_fifo32/debug2[26]") (joined + (portref Q (instanceref slave_fifo32_debug2_26)) + (portref I (instanceref debug_26_OBUF)) + ) + ) + (net (rename slave_fifo32_debug2_31_ "slave_fifo32/debug2[31]") (joined + (portref Q (instanceref slave_fifo32_debug2_31)) + (portref I (instanceref debug_31_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_6_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr[6]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_6)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o61)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 3) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets[1]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_i_tvalid_int1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_write "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/write") (joined + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_0__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_1__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_2__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_3__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_4__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_5__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_6__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_7__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_8__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_9__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_10__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_11__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_12__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_13__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_14__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_15__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_16__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_17__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_18__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_19__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_20__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_21__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_22__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_23__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_24__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_25__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_26__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_27__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_28__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_29__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_30__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_31__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_32__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_33__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_34__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_35__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_36__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_37__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_38__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_39__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_40__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_41__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_42__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_43__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_44__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_45__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_46__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_47__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_48__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_49__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_50__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_51__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_52__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_53__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_54__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_55__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_56__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_57__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_58__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_59__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_60__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_61__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_62__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_63__srlc32e)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_gen_srlc32e_64__srlc32e)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_write1)) + ) + ) + (net (rename slave_fifo32_debug2_27_ "slave_fifo32/debug2[27]") (joined + (portref Q (instanceref slave_fifo32_debug2_27)) + (portref I (instanceref debug_27_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_7_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr[7]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_7)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o71)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 2) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets[2]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_2)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_i_tvalid_int1_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__10_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][10]") (joined + (portref (member DOB 21) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 61) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr8_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr8_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_8)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr8_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8__rt)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_8__INV_0)) + ) + ) + (net (rename slave_fifo32_debug2_28_ "slave_fifo32/debug2[28]") (joined + (portref Q (instanceref slave_fifo32_debug2_28)) + (portref I (instanceref debug_28_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_8_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr[8]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_8)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o81)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_F)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 1) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets[3]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_3)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT41)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_i_tvalid_int1_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__11_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][11]") (joined + (portref (member DOB 20) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 60) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_debug2_29_ "slave_fifo32/debug2[29]") (joined + (portref Q (instanceref slave_fifo32_debug2_29)) + (portref I (instanceref debug_29_OBUF)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_9_ "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/wr_addr[9]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_9)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10_SW0)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref (member ADDRA 0) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01217_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets[4]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_4)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT51)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_i_tvalid_int1_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__12_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][12]") (joined + (portref (member DOB 19) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 59) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_5_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets[5]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_5)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT61)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_i_tvalid_int1_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__13_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][13]") (joined + (portref (member DOB 18) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 58) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr1") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_1_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_becoming_full "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/becoming_full") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_full_reg_glue_set_cy)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr2 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr2") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_2_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_6_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets[6]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_6)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT7)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_i_tvalid_int1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Msub_num_packets_7__GND_55_o_sub_15_OUT_cy_6_11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr3 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr3") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_3_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__14_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][14]") (joined + (portref (member DOB 17) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 57) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr4 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr4") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_4_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr5 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr5") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_5_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_5)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr6 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr6") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_6_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr7 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr7") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_7_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_7)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/num_packets[7]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_num_packets_7)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_read)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_i_tvalid_int1)) + (portref I4 (instanceref slave_fifo32_ctrl_rx_tvalid_data_rx_tvalid_OR_56_o1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tready_int1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tvalid11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr8 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr8") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_8_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_8)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__15_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][15]") (joined + (portref (member DOB 16) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 56) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__20_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][20]") (joined + (portref (member DOB 11) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 51) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr9 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr9") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_9_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__16_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][16]") (joined + (portref (member DOB 15) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 55) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__21_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][21]") (joined + (portref (member DOB 10) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 50) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__17_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][17]") (joined + (portref (member DOB 14) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 54) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__22_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][22]") (joined + (portref (member DOB 9) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 49) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__18_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][18]") (joined + (portref (member DOB 13) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 53) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__23_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][23]") (joined + (portref (member DOB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 48) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/state_FSM_FFd2-In1") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In11)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_state_FSM_FFd2_In13)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__19_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][19]") (joined + (portref (member DOB 12) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 52) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__24_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][24]") (joined + (portref (member DOB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 47) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__30_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][30]") (joined + (portref (member DOB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 41) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__25_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][25]") (joined + (portref (member DOB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 46) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__26_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][26]") (joined + (portref (member DOB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 45) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__31_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][31]") (joined + (portref (member DOB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 40) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr2_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr2_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_2)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr2_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_2__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__32_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][32]") (joined + (portref (member DOPB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 39) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__27_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][27]") (joined + (portref (member DOB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 44) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net codec_en_agc (joined + (portref O (instanceref codec_en_agc_OBUF)) + (portref codec_en_agc) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__28_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][28]") (joined + (portref (member DOB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 43) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_full_reg "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/full_reg") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_full_reg)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv31)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_full_reg_glue_set)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd2_BRB1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_write1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_0_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_1_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_2_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_3_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_4_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_5_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_6_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_7_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_8_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0129_inv1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_15_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_9_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_10_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_11_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_12_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_13_)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Maddsub_space_15__space_15__mux_33_OUT_lut_14_)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_write_AND_42_o_inv2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_n0006_32_0__29_ "slave_fifo32/fifo64_to_gpmc32_tx/n0006[32:0][29]") (joined + (portref (member DOB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member din 42) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0121211 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n0121211") (joined + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012114)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212111)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01217)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9)) + ) + ) + (net codec_fb_clk_p_OBUF (joined + (portref Q (instanceref catgen_oddr2_clk)) + (portref I (instanceref codec_fb_clk_p_OBUF)) + ) + ) + (net (rename f1_wr_addr_10_ "f1/wr_addr[10]") (joined + (portref Q (instanceref f1_wr_addr_10)) + (portref I3 (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_)) + (portref I2 (instanceref f1_Mcompar_becoming_full_lut_3_)) + (portref (member ADDRAWRADDR 2) (instanceref f1_ram_Mram_ram33)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram31)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram30)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram32)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram28)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram27)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram29)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram25)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram24)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram26)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram22)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram21)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram23)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram19)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram18)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram20)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram16)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram15)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram17)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram14)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram13)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram12)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram11)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram9)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram8)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram10)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram6)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram5)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram7)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram3)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram2)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram4)) + (portref (member ADDRA 2) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr2_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr2_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_2)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr2_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_2__rt)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full421)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full411)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621)) + ) + ) + (net (rename f1_wr_addr_11_ "f1/wr_addr[11]") (joined + (portref Q (instanceref f1_wr_addr_11)) + (portref I5 (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_)) + (portref I4 (instanceref f1_Mcompar_becoming_full_lut_3_)) + (portref (member ADDRAWRADDR 1) (instanceref f1_ram_Mram_ram33)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram31)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram30)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram32)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram28)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram27)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram29)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram25)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram24)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram26)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram22)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram21)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram23)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram19)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram18)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram20)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram16)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram15)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram17)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram14)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram13)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram12)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram11)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram9)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram8)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram10)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram6)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram5)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram7)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram3)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram2)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram4)) + (portref (member ADDRA 1) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_dont_write_past_me_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/dont_write_past_me[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_0_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_0_)) + ) + ) + (net (rename slave_fifo32_wr_one_rstpot "slave_fifo32/wr_one_rstpot") (joined + (portref D (instanceref slave_fifo32_wr_one)) + (portref O (instanceref slave_fifo32_wr_one_rstpot)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_GND_56_o_read_OR_123_o "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/GND_56_o_read_OR_123_o") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_GND_56_o_read_OR_123_o1)) + (portref ENBRDEN (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram17)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram16)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram15)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram14)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram13)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram11)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram10)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram12)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram9)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram8)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram7)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram6)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram4)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram3)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram5)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram2)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_ram_Mram_ram1)) + ) + ) + (net (rename f1_wr_addr_12_ "f1/wr_addr[12]") (joined + (portref Q (instanceref f1_wr_addr_12)) + (portref I1 (instanceref f1_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4_)) + (portref I0 (instanceref f1_Mcompar_becoming_full_lut_4_)) + (portref (member ADDRAWRADDR 0) (instanceref f1_ram_Mram_ram33)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram31)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram30)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram32)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram28)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram27)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram29)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram25)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram24)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram26)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram22)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram21)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram23)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram19)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram18)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram20)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram16)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram15)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram17)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram14)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram13)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram12)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram11)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram9)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram8)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram10)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram6)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram5)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram7)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram3)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram2)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram4)) + (portref (member ADDRA 0) (instanceref f1_ram_Mram_ram1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_dont_write_past_me_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/dont_write_past_me[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_1_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_0_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_empty_reg "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/empty_reg") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_In1_SW0)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_empty_reg)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tvalid11)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_read_state_FSM_FFd2_BRB1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv6_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_empty_reg_rstpot)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n0146_inv1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_GND_66_o_read_OR_144_o1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv2)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01211_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg_glue_set)) + ) + ) + (net (rename slave_fifo32_state_FSM_FFd1_In "slave_fifo32/state_FSM_FFd1-In") (joined + (portref D (instanceref slave_fifo32_state_FSM_FFd1)) + (portref O (instanceref slave_fifo32_state_FSM_FFd1_In4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_dont_write_past_me_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/dont_write_past_me[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_2_)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_0_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<4>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_4_)) + ) + ) + (net (rename f1_Mcount_wr_addr_xor_12__rt "f1/Mcount_wr_addr_xor<12>_rt") (joined + (portref O (instanceref f1_Mcount_wr_addr_xor_12__rt)) + (portref LI (instanceref f1_Mcount_wr_addr_xor_12_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_dont_write_past_me_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/dont_write_past_me[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_3_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr[0]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01216)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01215)) + (portref (member ADDRB 8) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_dont_write_past_me_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/dont_write_past_me[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_4_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr[1]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full921)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01217)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01215)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01218)) + (portref (member ADDRB 7) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_debug1_16_BRB0 "slave_fifo32/debug1_16_BRB0") (joined + (portref Q (instanceref slave_fifo32_debug1_16_BRB0)) + (portref I0 (instanceref f0_i_tready1_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_dont_write_past_me_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/dont_write_past_me[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_5_)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr[2]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_2)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012114)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01217)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01215)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01218)) + (portref (member ADDRB 6) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o64_tvalid "slave_fifo32/fifo64_to_gpmc32_ctrl/o64_tvalid") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tvalid11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_full_glue_set)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix__n0123_inv)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_dont_write_past_me_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/dont_write_past_me[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_6_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr[3]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_3)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012113)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212111)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012111)) + (portref (member ADDRB 5) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_dont_write_past_me_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/dont_write_past_me[7]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_7_)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o") (joined + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_read_state_FSM_FFd1_In11)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n0144_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr[4]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_4)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o41)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012112)) + (portref (member ADDRB 4) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_dont_write_past_me_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/dont_write_past_me[8]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_8_)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr[5]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_5)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212111)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012111)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012112)) + (portref (member ADDRB 3) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_dont_write_past_me_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/dont_write_past_me[9]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_9_)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_becoming_full_lut_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr[6]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_6)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0121111)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01213)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012112)) + (portref (member ADDRB 2) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o61)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr[7]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_7)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o71)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01212111)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n012111)) + (portref (member ADDRB 1) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr[8]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Msub_dont_write_past_me_xor_8_1)) + (portref (member ADDRB 0) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9)) + ) + ) + (net SRX1_RX (joined + (portref O (instanceref SRX1_RX_OBUF)) + (portref SRX1_RX) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_1__rt "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy<1>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_1__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_1_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_1_)) + ) + ) + (net SRX1_TX (joined + (portref O (instanceref SRX1_TX_OBUF)) + (portref SRX1_TX) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_0__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr_cy<0>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_0__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_0_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_xor_0_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_2_)) + ) + ) + (net (rename f1_Mcount_rd_addr_cy_8__rt "f1/Mcount_rd_addr_cy<8>_rt") (joined + (portref O (instanceref f1_Mcount_rd_addr_cy_8__rt)) + (portref S (instanceref f1_Mcount_rd_addr_cy_8_)) + (portref LI (instanceref f1_Mcount_rd_addr_xor_8_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr_cy[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_xor_7_)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_lut_10_ "f0/Msub_dont_write_past_me_lut[10]") (joined + (portref S (instanceref f0_Msub_dont_write_past_me_cy_10_)) + (portref LI (instanceref f0_Msub_dont_write_past_me_xor_10_)) + (portref O (instanceref f0_Msub_dont_write_past_me_lut_10__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__GND_50_o_mux_35_OUT_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_GND_50_o_mux_35_OUT[0]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_0)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT17)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_lut_11_ "f0/Msub_dont_write_past_me_lut[11]") (joined + (portref S (instanceref f0_Msub_dont_write_past_me_cy_11_)) + (portref LI (instanceref f0_Msub_dont_write_past_me_xor_11_)) + (portref O (instanceref f0_Msub_dont_write_past_me_lut_11__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/dump") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_clear_dump_OR_154_o_SW0)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0076_inv)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv1_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_dump_glue_set)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int16_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv6)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_F)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_G)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_F)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_G)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__GND_50_o_mux_35_OUT_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_GND_50_o_mux_35_OUT[1]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT81)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_lut_12_ "f0/Msub_dont_write_past_me_lut[12]") (joined + (portref LI (instanceref f0_Msub_dont_write_past_me_xor_12_)) + (portref O (instanceref f0_Msub_dont_write_past_me_lut_12__INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_full "slave_fifo32/fifo64_to_gpmc32_rx/cross_clock_fifo/full") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_write1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_i_tready1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_space_xor_3_111)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_fifo64_to_fifo32_state_glue_set)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_full_glue_set)) + (portref full (instanceref slave_fifo32_fifo64_to_gpmc32_rx_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f0_Mcount_rd_addr_cy_4__rt "f0/Mcount_rd_addr_cy<4>_rt") (joined + (portref O (instanceref f0_Mcount_rd_addr_cy_4__rt)) + (portref S (instanceref f0_Mcount_rd_addr_cy_4_)) + (portref LI (instanceref f0_Mcount_rd_addr_xor_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__GND_50_o_mux_35_OUT_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_GND_50_o_mux_35_OUT[2]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_2)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT91)) + ) + ) + (net (rename slave_fifo32_state_FSM_FFd2_In "slave_fifo32/state_FSM_FFd2-In") (joined + (portref D (instanceref slave_fifo32_state_FSM_FFd2)) + (portref O (instanceref slave_fifo32_state_FSM_FFd2_In3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__GND_50_o_mux_35_OUT_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_GND_50_o_mux_35_OUT[3]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_3)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT101)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__GND_50_o_mux_35_OUT_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_GND_50_o_mux_35_OUT[4]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_4)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__GND_50_o_mux_35_OUT_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_GND_50_o_mux_35_OUT[5]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_5)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT121)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_state_glue_set "slave_fifo32/fifo64_to_gpmc32_resp/fifo64_to_fifo32/state_glue_set") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_state)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_state_glue_set)) + ) + ) + (net (rename f1_Mcompar_becoming_full_cy_0_ "f1/Mcompar_becoming_full_cy[0]") (joined + (portref O (instanceref f1_Mcompar_becoming_full_cy_0_)) + (portref CI (instanceref f1_Mcompar_becoming_full_cy_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__GND_50_o_mux_35_OUT_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_GND_50_o_mux_35_OUT[6]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_6)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT131)) + ) + ) + (net (rename f1_Mcompar_becoming_full_cy_1_ "f1/Mcompar_becoming_full_cy[1]") (joined + (portref O (instanceref f1_Mcompar_becoming_full_cy_1_)) + (portref CI (instanceref f1_Mcompar_becoming_full_cy_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_10_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy[10]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_10_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_11_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_11_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__GND_50_o_mux_35_OUT_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_GND_50_o_mux_35_OUT[7]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_7)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT141)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<8>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_8_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_8_)) + ) + ) + (net (rename f1_Mcompar_becoming_full_cy_2_ "f1/Mcompar_becoming_full_cy[2]") (joined + (portref O (instanceref f1_Mcompar_becoming_full_cy_2_)) + (portref CI (instanceref f1_Mcompar_becoming_full_cy_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_15__GND_50_o_mux_35_OUT_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/space[15]_GND_50_o_mux_35_OUT[8]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_space_8)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mmux_space_15__GND_50_o_mux_35_OUT151)) + ) + ) + (net (rename f1_Mcompar_becoming_full_cy_3_ "f1/Mcompar_becoming_full_cy[3]") (joined + (portref O (instanceref f1_Mcompar_becoming_full_cy_3_)) + (portref CI (instanceref f1_Mcompar_becoming_full_cy_4_)) + ) + ) + (net (rename f0_Result_5_1_FRB "f0/Result<5>1_FRB") (joined + (portref D (instanceref f0_rd_addr_5)) + (portref Q (instanceref f0_Result_5_1_FRB)) + (portref I0 (instanceref f0_Mcount_rd_addr_cy_5__rt)) + (portref I0 (instanceref f0_Msub_dont_write_past_me_lut_5__INV_0)) + ) + ) + (net (rename slave_fifo32_state_FSM_FFd1 "slave_fifo32/state_FSM_FFd1") (joined + (portref D (instanceref slave_fifo32_debug1_23)) + (portref Q (instanceref slave_fifo32_state_FSM_FFd1)) + (portref I0 (instanceref slave_fifo32__n0230_inv1)) + (portref I2 (instanceref slave_fifo32__n0223_inv1)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT110)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT101)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT111)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT121)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT131)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT141)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT151)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT161)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT171)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT181)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT191)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT210)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT201)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT211)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT221)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT231)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT241)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT251)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT261)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT271)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT281)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT291)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT33)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT301)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT311)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT321)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT41)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT51)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT61)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT71)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT81)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_data_31__wide_mux_20_OUT91)) + (portref I3 (instanceref slave_fifo32__n0237_inv1)) + (portref I1 (instanceref slave_fifo32__n0290_inv1)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_xfer_Mux_21_o1)) + (portref I0 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1_SW0)) + (portref I1 (instanceref slave_fifo32__n0279_inv)) + (portref I0 (instanceref slave_fifo32_state_FSM_FFd2_In2)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tready_int1)) + (portref I4 (instanceref slave_fifo32_wr_one_rstpot)) + (portref I3 (instanceref slave_fifo32_slrd_rstpot)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_write1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_write1)) + (portref I4 (instanceref slave_fifo32_sloe_1_rstpot)) + (portref S (instanceref slave_fifo32_state_FSM_FFd1_In3)) + (portref I4 (instanceref slave_fifo32_ctrl_tx_tvalid1)) + (portref I4 (instanceref slave_fifo32_data_tx_tvalid1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_o_tready_int1)) + ) + ) + (net (rename slave_fifo32_state_FSM_FFd2 "slave_fifo32/state_FSM_FFd2") (joined + (portref D (instanceref slave_fifo32_debug1_22)) + (portref Q (instanceref slave_fifo32_state_FSM_FFd2)) + (portref I0 (instanceref slave_fifo32_Mcount_idle_cycles_xor_0_11)) + (portref I0 (instanceref slave_fifo32_Mcount_idle_cycles_xor_2_11)) + (portref I1 (instanceref slave_fifo32__n0223_inv1)) + (portref I0 (instanceref slave_fifo32_Mcount_idle_cycles_xor_1_11)) + (portref I4 (instanceref slave_fifo32__n0237_inv1)) + (portref I4 (instanceref slave_fifo32__n0290_inv1)) + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_xfer_Mux_21_o1)) + (portref I1 (instanceref slave_fifo32_Mmux_state_1__wr_fifo_eof_Mux_22_o1_SW0)) + (portref I2 (instanceref slave_fifo32__n0279_inv)) + (portref I1 (instanceref slave_fifo32_state_FSM_FFd2_In2)) + (portref I3 (instanceref slave_fifo32_wr_one_rstpot)) + (portref I4 (instanceref slave_fifo32_slrd_rstpot)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_write1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_write1)) + (portref I5 (instanceref slave_fifo32_sloe_1_rstpot)) + (portref I2 (instanceref slave_fifo32_sloe_rstpot)) + (portref I0 (instanceref slave_fifo32_state_FSM_FFd1_In3_F)) + (portref I0 (instanceref slave_fifo32_state_FSM_FFd1_In3_G)) + (portref I1 (instanceref slave_fifo32_ctrl_tx_tvalid1)) + (portref I1 (instanceref slave_fifo32_data_tx_tvalid1)) + (portref I1 (instanceref slave_fifo32_state_FSM_FFd2_In3)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tready_int1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_Mmux_o_tready_int1)) + ) + ) + (net codec_data_clk_p (joined + (portref I (instanceref codec_data_clk_bufg)) + (portref codec_data_clk_p) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_0_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_0_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_0_)) + ) + ) + (net SRX2_RX (joined + (portref O (instanceref SRX2_RX_OBUF)) + (portref SRX2_RX) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_1_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_1_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_2_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_2_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_2_)) + ) + ) + (net SRX2_TX (joined + (portref O (instanceref SRX2_TX_OBUF)) + (portref SRX2_TX) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr12_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr12_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_12)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr12_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_12__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_3_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_3_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_4_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_4_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_5_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_5_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_6_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_6_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_6_)) + ) + ) + (net (rename f1_Mcount_wr_addr_cy_5__rt "f1/Mcount_wr_addr_cy<5>_rt") (joined + (portref O (instanceref f1_Mcount_wr_addr_cy_5__rt)) + (portref S (instanceref f1_Mcount_wr_addr_cy_5_)) + (portref LI (instanceref f1_Mcount_wr_addr_xor_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut[7]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_7_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_7_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut[8]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_8_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_8_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_8_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut[9]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_9_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_9_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_9_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0121 "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/_n0121") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n01219)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_full_reg_glue_set)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_0_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata110)) + (portref (member din 71) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o5 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o5") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o41)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_1_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata121)) + (portref (member din 70) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o7 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o7") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o61)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o8 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o8") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o71)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o10)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o9 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/rd_addr[9]_wr_addr[9]_equal_11_o9") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_9__wr_addr_9__equal_11_o81)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_G)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_2_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata231)) + (portref (member din 69) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_3_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata261)) + (portref (member din 68) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/Mmux_i_tvalid_int1") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int11)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_i_tvalid_int13_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_4_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata271)) + (portref (member din 67) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_5_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata281)) + (portref (member din 66) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_6_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata291)) + (portref (member din 65) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_7_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[7]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata301)) + (portref (member din 64) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_8_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[8]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata311)) + (portref (member din 63) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_i32_tdata_9_ "slave_fifo32/fifo64_to_gpmc32_resp/i32_tdata[9]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_Mmux_o_tdata321)) + (portref (member din 62) (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut[0]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_0_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_0_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_0_)) + ) + ) + (net (rename f0_Result_3_2_FRB "f0/Result<3>2_FRB") (joined + (portref D (instanceref f0_wr_addr_3)) + (portref Q (instanceref f0_Result_3_2_FRB)) + (portref I0 (instanceref f0_Mcount_wr_addr_cy_3__rt)) + ) + ) + (net (rename debug_10_ "debug[10]") (joined + (portref O (instanceref debug_10_OBUF)) + (portref (member debug 21)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut[1]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_1_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_1_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker__n0227_inv "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/_n0227_inv") (joined + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_0)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_2)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_3)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_4)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_5)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_6)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_7)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_8)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_9)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_10)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_11)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_12)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_13)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_14)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_lines32_15)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker__n0227_inv1)) + ) + ) + (net (rename debug_11_ "debug[11]") (joined + (portref O (instanceref debug_11_OBUF)) + (portref (member debug 20)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut[2]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_2_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_2_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_2_)) + ) + ) + (net (rename debug_12_ "debug[12]") (joined + (portref O (instanceref debug_12_OBUF)) + (portref (member debug 19)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg_glue_set "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/full_reg_glue_set") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_full_reg_glue_set)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut[3]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_3_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_3_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_7__rt "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr_cy<7>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_7__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_7_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_xor_7_)) + ) + ) + (net (rename debug_13_ "debug[13]") (joined + (portref O (instanceref debug_13_OBUF)) + (portref (member debug 18)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr1_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr1_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_wr_addr_1)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr1_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut[4]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_4_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_4_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_4_)) + ) + ) + (net (rename debug_14_ "debug[14]") (joined + (portref O (instanceref debug_14_OBUF)) + (portref (member debug 17)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_5_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut[5]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_5_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_5_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_5_)) + ) + ) + (net (rename debug_20_ "debug[20]") (joined + (portref O (instanceref debug_20_OBUF)) + (portref (member debug 11)) + ) + ) + (net (rename debug_15_ "debug[15]") (joined + (portref O (instanceref debug_15_OBUF)) + (portref (member debug 16)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_6_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut[6]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_6_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_6_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_6_)) + ) + ) + (net (rename debug_21_ "debug[21]") (joined + (portref O (instanceref debug_21_OBUF)) + (portref (member debug 10)) + ) + ) + (net (rename debug_16_ "debug[16]") (joined + (portref O (instanceref debug_16_OBUF)) + (portref (member debug 15)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_7_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut[7]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_7_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_7_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_7_)) + ) + ) + (net (rename debug_22_ "debug[22]") (joined + (portref O (instanceref debug_22_OBUF)) + (portref (member debug 9)) + ) + ) + (net (rename debug_17_ "debug[17]") (joined + (portref O (instanceref debug_17_OBUF)) + (portref (member debug 14)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_8_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut[8]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_8_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_8_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_8_)) + ) + ) + (net (rename debug_23_ "debug[23]") (joined + (portref O (instanceref debug_23_OBUF)) + (portref (member debug 8)) + ) + ) + (net (rename debug_18_ "debug[18]") (joined + (portref O (instanceref debug_18_OBUF)) + (portref (member debug 13)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_9__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr_cy<9>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_9__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_9_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_xor_9_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_9_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/Mcount_lines32_lut[9]") (joined + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_cy_9_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_xor_9_)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_Mcount_lines32_lut_9_)) + ) + ) + (net (rename debug_24_ "debug[24]") (joined + (portref O (instanceref debug_24_OBUF)) + (portref (member debug 7)) + ) + ) + (net (rename debug_19_ "debug[19]") (joined + (portref O (instanceref debug_19_OBUF)) + (portref (member debug 12)) + ) + ) + (net (rename debug_30_ "debug[30]") (joined + (portref O (instanceref debug_30_OBUF)) + (portref (member debug 1)) + ) + ) + (net (rename debug_25_ "debug[25]") (joined + (portref O (instanceref debug_25_OBUF)) + (portref (member debug 6)) + ) + ) + (net (rename slave_fifo32_state_1__wr_fifo_xfer_Mux_21_o "slave_fifo32/state[1]_wr_fifo_xfer_Mux_21_o") (joined + (portref D (instanceref slave_fifo32_slwr)) + (portref O (instanceref slave_fifo32_Mmux_state_1__wr_fifo_xfer_Mux_21_o1)) + (portref D (instanceref slave_fifo32_slwr_1)) + ) + ) + (net (rename debug_31_ "debug[31]") (joined + (portref O (instanceref debug_31_OBUF)) + (portref (member debug 0)) + ) + ) + (net (rename debug_26_ "debug[26]") (joined + (portref O (instanceref debug_26_OBUF)) + (portref (member debug 5)) + ) + ) + (net (rename debug_27_ "debug[27]") (joined + (portref O (instanceref debug_27_OBUF)) + (portref (member debug 4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr1 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr1") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_1_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr2 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr2") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_2_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr3 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr3") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_3_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr4 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr4") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_4_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_4)) + ) + ) + (net (rename debug_28_ "debug[28]") (joined + (portref O (instanceref debug_28_OBUF)) + (portref (member debug 3)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr5 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr5") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_5_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_5)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr6 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr6") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_6_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/_n0074_inv") (joined + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_1)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_2)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_3)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_4)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_5)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_6)) + (portref CE (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_num_packets_7)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr7 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr7") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_7_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_7)) + ) + ) + (net (rename f1_Result_1_1_FRB "f1/Result<1>1_FRB") (joined + (portref D (instanceref f1_rd_addr_1)) + (portref Q (instanceref f1_Result_1_1_FRB)) + (portref I0 (instanceref f1_Mcount_rd_addr_cy_1__rt)) + (portref I0 (instanceref f1_Msub_dont_write_past_me_cy_1__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr8 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr8") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_8_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_8)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr9 "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_wr_addr9") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_wr_addr_xor_9_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_wr_addr_9)) + ) + ) + (net (rename debug_29_ "debug[29]") (joined + (portref O (instanceref debug_29_OBUF)) + (portref (member debug 2)) + ) + ) + (net (rename debug_clk_0_ "debug_clk[0]") (joined + (portref O (instanceref debug_clk_0_OBUF)) + (portref (member debug_clk 1)) + ) + ) + (net (rename debug_clk_1_ "debug_clk[1]") (joined + (portref O (instanceref debug_clk_1_OBUF)) + (portref (member debug_clk 0)) + ) + ) + (net rx_bandsel_a (joined + (portref O (instanceref rx_bandsel_a_OBUF)) + (portref rx_bandsel_a) + ) + ) + (net rx_bandsel_b (joined + (portref O (instanceref rx_bandsel_b_OBUF)) + (portref rx_bandsel_b) + ) + ) + (net rx_bandsel_c (joined + (portref O (instanceref rx_bandsel_c_OBUF)) + (portref rx_bandsel_c) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_terror") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_terror11)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_dump_glue_set)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73_SW0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer__n0074_inv6_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_dont_write_past_me_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/dont_write_past_me[9]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Msub_dont_write_past_me_xor_9_11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n012110_SW0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr1_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_wr_addr1_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_wr_addr_1)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr1_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_wr_addr_cy_1__rt)) + ) + ) + (net gps_out_enable (joined + (portref O (instanceref gps_out_enable_OBUF)) + (portref gps_out_enable) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr6_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr6_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_wr_addr_6)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr6_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets[0]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int13)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111_SW1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT11_INV_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_space_xor_3_11 "slave_fifo32/fifo64_to_gpmc32_rx/dead_lock_fix/Mcount_space_xor<3>11") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_2_11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_1_11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_3_11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_a_xor_4_11)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_Mcount_space_xor_3_111)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix_empty_glue_rst_SW0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_dead_lock_fix__n0123_inv)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o") (joined + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_read_state_FSM_FFd1_In11)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff__n0144_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets[1]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT3111_SW1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT511_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int13)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_0_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets[2]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_2)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_2_1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT411)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int12)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_1_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets[3]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_3)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_3_1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_clear_dump_OR_154_o_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0076_inv_SW0)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int12)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT531)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11_SW1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8212_SW1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_2_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets[4]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_4)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0076_inv_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int12)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11_SW1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_clear_inv1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8212_SW1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_clear_dump_OR_154_o)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tvalid11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_3_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_4_)) + ) + ) + (net SFDX1_RX (joined + (portref O (instanceref SFDX1_RX_OBUF)) + (portref SFDX1_RX) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full61 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/becoming_full61") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full611)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_9_11)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_G)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_F)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets[5]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_5)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_clear_dump_OR_154_o)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0076_inv)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tvalid11)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_5_1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_clear_inv1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_F)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8212_SW1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW0)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1_SW0)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int12)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8211)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tready_int11)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6_SW1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW0_G)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_F)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT72_SW1_G)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full62 "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/becoming_full62") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_becoming_full621)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_xor_9_11)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01212)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_G)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo__n01213_SW0_F)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4__rt "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<4>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_4_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets[6]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_6)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Maddsub_num_packets_7__num_packets_7__mux_13_OUT_lut_6_1)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0076_inv)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT71)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_clear_inv1)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8212_SW1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_clear_dump_OR_154_o)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int12)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tvalid11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tready_int11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_11 "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/Mcount_space_xor<3>11") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_4_11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_3_11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_1_11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_a_xor_2_11)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv)) + ) + ) + (net SFDX1_TX (joined + (portref O (instanceref SFDX1_TX_OBUF)) + (portref SFDX1_TX) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets[7]") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tready1)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0076_inv)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8212_SW0)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer__n0074_inv6_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_clear_inv1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT8212_SW1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_clear_dump_OR_154_o)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_i_tvalid_int12)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Msub_num_packets_7__GND_65_o_sub_15_OUT_cy_6_11_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo__n01211_SW0)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tready_int11)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_6_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd1") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_GND_56_o_read_OR_123_o1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_In111)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_full_reg_glue_set)) + (portref I2 (instanceref slave_fifo32_ctrl_rx_tvalid_data_rx_tvalid_OR_56_o1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_Mmux_o_tvalid11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo__n0146_inv1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt__n0074_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy[7]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_7_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_8_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2 "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/read_state_FSM_FFd2") (joined + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_GND_56_o_read_OR_123_o1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd1_In111)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_read_state_FSM_FFd2_In1)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo__n0146_inv1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_o64_tvalid "slave_fifo32/fifo64_to_gpmc32_tx/o64_tvalid") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_fifo32_to_fifo64_Mmux_o_tvalid11)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full_glue_set)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy[8]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_8_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_9_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_9_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_9_ "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy[9]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_9_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_10_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_10_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_10_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy[10]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_10_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_11_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_11_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_11_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy[11]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_11_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_12_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_12_)) + ) + ) + (net (rename f1_dont_write_past_me_11__FRB "f1/dont_write_past_me<11>_FRB") (joined + (portref I5 (instanceref f1_Mcompar_becoming_full_lut_3_)) + (portref Q (instanceref f1_dont_write_past_me_11__FRB)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_12_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy[12]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_12_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_13_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_13_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_13_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy[13]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_13_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_14_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_14_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcount_rd_addr") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_0_)) + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_rd_addr_0)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_14_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/Mcount_lines32_cy[14]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_cy_14_)) + (portref CI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_Mcount_lines32_xor_15_)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_0_ "slave_fifo32/gpif_data_in[0]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_0)) + (portref D (instanceref slave_fifo32_debug1_0)) + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 31) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_o32_tvalid "slave_fifo32/fifo64_to_gpmc32_ctrl/o32_tvalid") (joined + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_i_tvalid_o_tready_AND_73_o1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_o_tvalid11)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_empty_glue_rst_SW0)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_state_glue_set)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_fifo32_to_fifo64_Mmux_o_tvalid11)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_Mcount_space_xor_3_111)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_dead_lock_fix_write1)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_1_ "slave_fifo32/gpif_data_in[1]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_1)) + (portref D (instanceref slave_fifo32_debug1_1)) + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 30) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_2_ "slave_fifo32/gpif_data_in[2]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_2)) + (portref D (instanceref slave_fifo32_debug1_2)) + (portref (member DIA 29) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 29) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32__n0279_inv "slave_fifo32/_n0279_inv") (joined + (portref O (instanceref slave_fifo32__n0279_inv)) + (portref D (instanceref slave_fifo32_rd_one_BRB0)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_3_ "slave_fifo32/gpif_data_in[3]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_3)) + (portref D (instanceref slave_fifo32_debug1_3)) + (portref (member DIA 28) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 28) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_GND_66_o_read_OR_144_o "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/GND_66_o_read_OR_144_o") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_GND_66_o_read_OR_144_o1)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram1)) + (portref ENB (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_ram_Mram_ram2)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr4_FRB "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/Mcount_rd_addr4_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_4)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr4_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_Mcount_rd_addr_cy_4__rt)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_becoming_full621)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_4_ "slave_fifo32/gpif_data_in[4]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_4)) + (portref D (instanceref slave_fifo32_debug1_4)) + (portref (member DIA 27) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 27) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty "slave_fifo32/fifo64_to_gpmc32_tx/dead_lock_fix/empty") (joined + (portref I0 (instanceref f1_write11)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0102_SW0)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_full_glue_set)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_empty_glue_rst_SW0)) + (portref I3 (instanceref f1_read_state_FSM_FFd2_In1)) + (portref I0 (instanceref f1_full_reg_glue_set)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix__n0123_inv)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_dead_lock_fix_Mcount_space_xor_3_111)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_0_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_0_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_0_)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_5_ "slave_fifo32/gpif_data_in[5]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_5)) + (portref D (instanceref slave_fifo32_debug1_5)) + (portref (member DIA 26) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 26) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_1_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_1_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr9_FRB "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_rd_addr9_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_rd_addr_9)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr9_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_9__rt)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Msub_dont_write_past_me_lut_9__INV_0)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_6_ "slave_fifo32/gpif_data_in[6]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_6)) + (portref D (instanceref slave_fifo32_debug1_6)) + (portref (member DIA 25) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 25) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_2_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_2_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_0_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT[0]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_0)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT11_INV_0)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_7_ "slave_fifo32/gpif_data_in[7]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_7)) + (portref D (instanceref slave_fifo32_debug1_7)) + (portref (member DIA 24) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 24) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_3_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_3_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_1_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT[1]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_1)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT21)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_8_ "slave_fifo32/gpif_data_in[8]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_8)) + (portref D (instanceref slave_fifo32_debug1_8)) + (portref (member DIA 23) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 23) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_4_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_4_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_2_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT[2]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_2)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT31)) + ) + ) + (net (rename slave_fifo32_gpif_data_in_9_ "slave_fifo32/gpif_data_in[9]") (joined + (portref Q (instanceref slave_fifo32_gpif_data_in_9)) + (portref D (instanceref slave_fifo32_debug1_9)) + (portref (member DIA 22) (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_ram_Mram_ram)) + (portref (member DIA 22) (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_ram_Mram_ram)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut[5]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_5_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_5_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_5_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_3_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT[3]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_3)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT4)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut[6]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_6_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_6_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_6_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut[0]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_0_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_0_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_4_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT[4]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_4)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT52)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut[7]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_7_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_7_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_7_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut[1]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_1_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_5_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT[5]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_5)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT6)) + ) + ) + (net SFDX2_RX (joined + (portref O (instanceref SFDX2_RX_OBUF)) + (portref SFDX2_RX) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_8_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut[8]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_8_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_cy_8_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_8_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut[2]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_2_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_2_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_6_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT[6]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_6)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT73)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_lut_2_ "f0/Msub_dont_write_past_me_lut[2]") (joined + (portref S (instanceref f0_Msub_dont_write_past_me_cy_2_)) + (portref LI (instanceref f0_Msub_dont_write_past_me_xor_2_)) + (portref O (instanceref f0_Msub_dont_write_past_me_lut_2__INV_0)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_cy_0_ "f0/Msub_dont_write_past_me_cy[0]") (joined + (portref O (instanceref f0_Msub_dont_write_past_me_cy_0_)) + (portref CI (instanceref f0_Msub_dont_write_past_me_cy_1_)) + (portref CI (instanceref f0_Msub_dont_write_past_me_xor_1_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_9_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/axi_fifo/Mcount_rd_addr_lut[9]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_lut_9_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_axi_fifo_Mcount_rd_addr_xor_9_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut[3]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_3_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_3_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7__num_packets_7__mux_17_OUT_7_ "slave_fifo32/fifo64_to_gpmc32_ctrl/checker/gate_xfer/num_packets[7]_num_packets[7]_mux_17_OUT[7]") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_num_packets_7)) + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_checker_gate_xfer_Mmux_num_packets_7__num_packets_7__mux_17_OUT81)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_lut_3_ "f0/Msub_dont_write_past_me_lut[3]") (joined + (portref S (instanceref f0_Msub_dont_write_past_me_cy_3_)) + (portref LI (instanceref f0_Msub_dont_write_past_me_xor_3_)) + (portref O (instanceref f0_Msub_dont_write_past_me_lut_3__INV_0)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_cy_1_ "f0/Msub_dont_write_past_me_cy[1]") (joined + (portref O (instanceref f0_Msub_dont_write_past_me_cy_1_)) + (portref CI (instanceref f0_Msub_dont_write_past_me_cy_2_)) + (portref CI (instanceref f0_Msub_dont_write_past_me_xor_2_)) + ) + ) + (net SFDX2_TX (joined + (portref O (instanceref SFDX2_TX_OBUF)) + (portref SFDX2_TX) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4_ "slave_fifo32/fifo64_to_gpmc32_tx/checker/gate_xfer/axi_fifo/Mcompar_rd_addr[12]_wr_addr[12]_equal_11_o_lut[4]") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_lut_4_)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_tx_checker_gate_xfer_axi_fifo_Mcompar_rd_addr_12__wr_addr_12__equal_11_o_cy_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_full "slave_fifo32/fifo64_to_gpmc32_resp/cross_clock_fifo/full") (joined + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_i_tready1)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_write1)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_Mcount_space_xor_3_111)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_fifo64_to_fifo32_state_glue_set)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_dead_lock_fix_full_glue_set)) + (portref full (instanceref slave_fifo32_fifo64_to_gpmc32_resp_cross_clock_fifo_fifo_4k_2clk)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_lut_4_ "f0/Msub_dont_write_past_me_lut[4]") (joined + (portref S (instanceref f0_Msub_dont_write_past_me_cy_4_)) + (portref LI (instanceref f0_Msub_dont_write_past_me_xor_4_)) + (portref O (instanceref f0_Msub_dont_write_past_me_lut_4__INV_0)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_cy_2_ "f0/Msub_dont_write_past_me_cy[2]") (joined + (portref O (instanceref f0_Msub_dont_write_past_me_cy_2_)) + (portref CI (instanceref f0_Msub_dont_write_past_me_cy_3_)) + (portref CI (instanceref f0_Msub_dont_write_past_me_xor_3_)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_lut_5_ "f0/Msub_dont_write_past_me_lut[5]") (joined + (portref S (instanceref f0_Msub_dont_write_past_me_cy_5_)) + (portref LI (instanceref f0_Msub_dont_write_past_me_xor_5_)) + (portref O (instanceref f0_Msub_dont_write_past_me_lut_5__INV_0)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_cy_3_ "f0/Msub_dont_write_past_me_cy[3]") (joined + (portref O (instanceref f0_Msub_dont_write_past_me_cy_3_)) + (portref CI (instanceref f0_Msub_dont_write_past_me_cy_4_)) + (portref CI (instanceref f0_Msub_dont_write_past_me_xor_4_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o5 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o5") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o41)) + (portref I4 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01213)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o7 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o7") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o61)) + (portref I3 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n01212)) + (portref I5 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o9_SW1)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr3_FRB "slave_fifo32/fifo64_to_gpmc32_resp/buffer_whole_pkt/axi_fifo/Mcount_rd_addr3_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_rd_addr_3)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr3_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_resp_buffer_whole_pkt_axi_fifo_Mcount_rd_addr_cy_3__rt)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o8 "slave_fifo32/fifo64_to_gpmc32_tx/min_read_buff/rd_addr[8]_wr_addr[8]_equal_11_o8") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff_rd_addr_8__wr_addr_8__equal_11_o71)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_tx_min_read_buff__n012112)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_lut_6_ "f0/Msub_dont_write_past_me_lut[6]") (joined + (portref S (instanceref f0_Msub_dont_write_past_me_cy_6_)) + (portref LI (instanceref f0_Msub_dont_write_past_me_xor_6_)) + (portref O (instanceref f0_Msub_dont_write_past_me_lut_6__INV_0)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_cy_4_ "f0/Msub_dont_write_past_me_cy[4]") (joined + (portref O (instanceref f0_Msub_dont_write_past_me_cy_4_)) + (portref CI (instanceref f0_Msub_dont_write_past_me_cy_5_)) + (portref CI (instanceref f0_Msub_dont_write_past_me_xor_5_)) + ) + ) + (net (rename slave_fifo32_write_ready_go "slave_fifo32/write_ready_go") (joined + (portref Q (instanceref slave_fifo32_write_ready_go)) + (portref I1 (instanceref slave_fifo32__n0258_inv_SW0)) + (portref I0 (instanceref slave_fifo32__n0279_inv_SW0)) + (portref I5 (instanceref slave_fifo32_state_FSM_FFd2_In2)) + (portref I4 (instanceref slave_fifo32_state_FSM_FFd1_In3_F)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_lut_7_ "f0/Msub_dont_write_past_me_lut[7]") (joined + (portref S (instanceref f0_Msub_dont_write_past_me_cy_7_)) + (portref LI (instanceref f0_Msub_dont_write_past_me_xor_7_)) + (portref O (instanceref f0_Msub_dont_write_past_me_lut_7__INV_0)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_cy_5_ "f0/Msub_dont_write_past_me_cy[5]") (joined + (portref O (instanceref f0_Msub_dont_write_past_me_cy_5_)) + (portref CI (instanceref f0_Msub_dont_write_past_me_cy_6_)) + (portref CI (instanceref f0_Msub_dont_write_past_me_xor_6_)) + ) + ) + (net (rename debug_0_ "debug[0]") (joined + (portref O (instanceref debug_0_OBUF)) + (portref (member debug 31)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_lut_8_ "f0/Msub_dont_write_past_me_lut[8]") (joined + (portref S (instanceref f0_Msub_dont_write_past_me_cy_8_)) + (portref LI (instanceref f0_Msub_dont_write_past_me_xor_8_)) + (portref O (instanceref f0_Msub_dont_write_past_me_lut_8__INV_0)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_cy_6_ "f0/Msub_dont_write_past_me_cy[6]") (joined + (portref O (instanceref f0_Msub_dont_write_past_me_cy_6_)) + (portref CI (instanceref f0_Msub_dont_write_past_me_cy_7_)) + (portref CI (instanceref f0_Msub_dont_write_past_me_xor_7_)) + ) + ) + (net (rename debug_1_ "debug[1]") (joined + (portref O (instanceref debug_1_OBUF)) + (portref (member debug 30)) + ) + ) + (net fx3_ce (joined + (portref I (instanceref fx3_ce_IBUF)) + (portref fx3_ce) + ) + ) + (net (rename f0_Msub_dont_write_past_me_lut_9_ "f0/Msub_dont_write_past_me_lut[9]") (joined + (portref S (instanceref f0_Msub_dont_write_past_me_cy_9_)) + (portref LI (instanceref f0_Msub_dont_write_past_me_xor_9_)) + (portref O (instanceref f0_Msub_dont_write_past_me_lut_9__INV_0)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_cy_7_ "f0/Msub_dont_write_past_me_cy[7]") (joined + (portref O (instanceref f0_Msub_dont_write_past_me_cy_7_)) + (portref CI (instanceref f0_Msub_dont_write_past_me_cy_8_)) + (portref CI (instanceref f0_Msub_dont_write_past_me_xor_8_)) + ) + ) + (net (rename debug_2_ "debug[2]") (joined + (portref O (instanceref debug_2_OBUF)) + (portref (member debug 29)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_cy_8_ "f0/Msub_dont_write_past_me_cy[8]") (joined + (portref O (instanceref f0_Msub_dont_write_past_me_cy_8_)) + (portref CI (instanceref f0_Msub_dont_write_past_me_cy_9_)) + (portref CI (instanceref f0_Msub_dont_write_past_me_xor_9_)) + ) + ) + (net (rename debug_3_ "debug[3]") (joined + (portref O (instanceref debug_3_OBUF)) + (portref (member debug 28)) + ) + ) + (net (rename f0_Msub_dont_write_past_me_cy_9_ "f0/Msub_dont_write_past_me_cy[9]") (joined + (portref O (instanceref f0_Msub_dont_write_past_me_cy_9_)) + (portref CI (instanceref f0_Msub_dont_write_past_me_cy_10_)) + (portref CI (instanceref f0_Msub_dont_write_past_me_xor_10_)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr3_FRB "slave_fifo32/fifo64_to_gpmc32_ctrl/min_read_buff/Mcount_rd_addr3_FRB") (joined + (portref D (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_rd_addr_3)) + (portref Q (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr3_FRB)) + (portref I0 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_Mcount_rd_addr_cy_3__rt)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full421)) + (portref I1 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full411)) + (portref I2 (instanceref slave_fifo32_fifo64_to_gpmc32_ctrl_min_read_buff_becoming_full621)) + ) + ) + (net (rename debug_4_ "debug[4]") (joined + (portref O (instanceref debug_4_OBUF)) + (portref (member debug 27)) + ) + ) + (net (rename debug_5_ "debug[5]") (joined + (portref O (instanceref debug_5_OBUF)) + (portref (member debug 26)) + ) + ) + (net (rename debug_6_ "debug[6]") (joined + (portref O (instanceref debug_6_OBUF)) + (portref (member debug 25)) + ) + ) + (net (rename slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5__rt "slave_fifo32/fifo64_to_gpmc32_rx/buffer_whole_pkt/axi_fifo/Mcount_wr_addr_cy<5>_rt") (joined + (portref O (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5__rt)) + (portref S (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_cy_5_)) + (portref LI (instanceref slave_fifo32_fifo64_to_gpmc32_rx_buffer_whole_pkt_axi_fifo_Mcount_wr_addr_xor_5_)) + ) + ) + (net (rename debug_7_ "debug[7]") (joined + (portref O (instanceref debug_7_OBUF)) + (portref (member debug 24)) + ) + ) + (net (rename debug_8_ "debug[8]") (joined + (portref O (instanceref debug_8_OBUF)) + (portref (member debug 23)) + ) + ) + (net (rename debug_9_ "debug[9]") (joined + (portref O (instanceref debug_9_OBUF)) + (portref (member debug 22)) + ) + ) + ) + + (property TYPE (string "b200")) + (property BUS_INFO (string "32:INOUT:GPIF_D<31:0>")) + (property SHREG_MIN_SIZE (string "2")) + (property X_CORE_INFO (string "fifo_generator_v9_3, Xilinx CORE Generator 14.4")) + (property CORE_GENERATION_INFO (string "b200_clk_gen,clk_wiz_v3_6,{component_name=b200_clk_gen,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=3,clkin1_period=25.0,clkin2_period=25.0,use_power_down=false,use_reset=true,use_locked=true,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}")) + (property SHREG_EXTRACT_NGC (string "YES")) + (property NLW_UNIQUE_ID (integer 0)) + (property NLW_MACRO_TAG (integer 0)) + (property NLW_MACRO_ALIAS (string "b200_b200")) + ) + ) + ) +(comment "Reference To The Cell Of Highest Level") + + (design b200 + (cellref b200 (libraryref b200_lib)) + (property PART (string "xc6slx75fgg484-3")) + ) +) diff --git a/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.ncd b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.ncd new file mode 100644 index 000000000..2ef54e4b7 --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.ncd @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6 +###4184:XlxV32DM 3ffc 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###3820:XlxV32DM 3ffb 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###2656:XlxV32DM 3ff6 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###4980:XlxV32DM 3fff 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###3620:XlxV32DM 3ff7 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###3356:XlxV32DM 3fdf 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###1956:XlxV32DM 3ff2 78ceNrFW9GO00gQ/KRN94xnbIHygNChk25BAu54WKGRk3ghIiQocbjl7y/jZIF4XWnHPfI9obi6qqfL4/LuMtmtyu9VuF/ebwzf3H7dP4RdXdbVHX0M/26b62FR1uWdiReWiyrEEp6EN3+/92QWz3bD+bmSX5CZ9OFXm/twG1kcNmTs1ZTw7sPEUB/aw321PfIotsqu58Re5M55b6vdflU/n0wBQFPy50BYT5hNWK6/Uw6RLpKZINIJ6SR5SDoiNHsKZXmEmnE7JH3REGcIaXitzTOvt6uwfQh13C/RreoSTmIBSQUsFRipwEoFmVTgpAIvFeRSQTG97LTkE0tOs6ggOc2S0yw5zZLTLDnNktMsOc2S05INRnLakNDBCLgV8EzAnYB7Ac8F/OBgCfBVuavbgfIT/F6ulgvDl9DmNfTbxzdvQ+bCxpgBJOLLrb6V8y/BdK6nPhRtq3Lx4yj962OUzsB6JBJ1GlM/rofmF1HmS/BxGGLTo2jSq8r2qsr6LMv2KeqlVLQ35s87D15H5ziJBSQVsFRgpAIrFWRSgZMKvFSQSwVPQ7JVIAiw5DSLCpLTLDnNktMsOc2S0yw5zZLTLDkt2WAkpzteR60CAbcCngm4E3Av4LmAP30d/cS7XkfnL4t26rReJU3qcKcEDO4W2s7RcxhlcmeV7VWVdc7UquI+RbZPUa92Rfu3qkU123+imNi+EyCMEEQYIgYiFiIZRBxEPERyiBRTYA4clDECLWBoAcNxGI7DcByG48ClxYTqphgEWARkCHAI8AjIEXCYMOsCwgRcp26hw2OPAMhgBBgEWARkT2LtBLjw4u2LyZPH+gw9ZQ2S8Bcl/JkEWF6OgAK4jKxh1IIhA5nJDgEeAWgMRmOg3oYAwYLrGbjuwHUPrufgenemM8p0hpnOMNMZZjrDTGeY6QwznWGmM8x0hpnOMNP5ORyUMQItYGgBw3EYjsNwHIbjwKWhTGeU6YwynVGmM8p0RpnOKNMZZTqDTGeQW4wynVGmM8p0RpnOKNM5ZjoAHAI8AnIEFMARNAajwRky0OCMxmA0BqMxGI2BeoP8ZZC/DPKXQf4yyF8G+cuhaP82EP9xNtSb8Onb17nhEP+EcmO5T9Wr1y+DM2ETdoe3cnVH2cfwV7Xbvf9crgOHjTUJRMj6FCrh3QfiWR+l+edq/qXa3pjimurb+Wa/rsNqua52hk05nEtmpiBPVGxdb1axjYptVexMc8NUd9toyFZDVs3sNGSvIecacmHuh5PD/Mfhx1Q70SlQAgnSS7Bewugl7FR7Q0itwGoFo1bQ+5CpFZxawasVcrVCoduUq308zWFJKUEpNCiBBifQMAk0bAKNTH9rSS/Begmjl7B6iQR2Or2E10vkegllajxsttrUiBKUQoMSaHACDZNAwybQyPS3lvQSrJcwegmrl0hgp9NLeL1Erpcopu1zpJclmhOezblL44bx6Drip7KuQl1tt5ut8QOJV/5J4HdmczpXwyaTD2RnZOaDqc26VXQylYoe/tAKvNINwIPvm1fddd+Yp2Fz++T6deyD8zr+K9XqzWB2mC0Wrt+fbRH7dMhjsAFp+EbJt0p+dl2oH/nxzNCQbG7OGg15VCPx0W8V3ero2ZCIPp7ppcFUNygijieLDhudhzwmv9jHwX0KkUI1R65aQv64fa7f7vGLMTduPox3Wy4Wu/0srPdfmxVU9e7Of2x/jF/IIRO/S3T6ZdUtRm1H3oza7/Q0/S9d3ai30o17K92U7FBTo9TydCC/5/9DSirxpyOt0vERXq5rsnkqpYRSbO+TST2eYU22OGPnycxv7mVSuYSDunQrc3FQVyrkejyxPj6xROHP1/+EibMjNGMapY0hl43Shpwbpw+Nshdio9E23qkZOTNCMzvKvrPj7Dt72A6zUfqc3gWjdvPOj9Gt2ef22X/kEcji###1336:XlxV32DM 3fba 520eNq921Fv0zAQB/CP1Jx9thOB+oAQPI1JA8QDQlaWpKWibao0Hdu3J3HTMTZeFv/PD4xt3X6XO5/juMmO2/Ku8avNqtVqMf5n2fetXx92lVa+6rvtovrZVL+abrEu+8bfr4bPrnane78/7fyhHF7pj9/dj+dfjj9Bzl9//WLImjfHBGHIFmni+M/fMnubJFb4vqek0bTlFNFUmjA6TfdpsjpBHGtdiiihw1NFSlM5l6TdHNk8SZgwQklCqRCqTBbKf0gZ7GO6IlK6vChlEWkoYpK5pZMsg25ac7MkSeVkbYowarg8qhMFulxGlGnipTtBTcGIY84Zre+7pqwf/GbfEzHHUXfldlMPY6tmMsfT7fN8P356760Z8PE1MmPivnp4a5ezJ8RrgtD8FfR1YaJW0FeHmr85eU2o6dSlV/Ni+X2WOR4a825uW/4VQn05i2UUu2jiXBWOPxgTfzDmfDAm/mBs/BiF6/yoZrGhWXSsEHrFRivn0upmHlTeb8KvLAxHAmF+2mF+jid6f33jh9O8b40RYYmrSPeqak/73ne1L+u64xrKEdhTYE+DPQZ7BuxZsOfAXg72CqOg3rjQZksBlCRQJYFqCZQlUCOBWgnUSaD50mgsuj31Y/cLqCSiKhFVi6gsohoR1YqoTkSVmQUFXL1vO4G5NaokoioRVYuoLKIaEdWKqE5EzUXUYona0/zuoHuaiSOwp8CeBnsM9gzYs2DPgb0c7MH2NJOH3dM8QUkCVRKolkBZAjUSqJVAnQSKW3EuKHZP81QlEVWJqFpEZRHViKhWRHUiqswsKOAqdk/zVCURVYmoWkRlEdWIqFZEdSJqLqIOcyv2vlS4FVy3Ad4Mrx7KY+93zcQTcRkZwO8zUkRZuB1XYLCYW3vPLEVz77++gOJ3cBfpfNMxRx2Zmv1wyUuJ2EAoC+oFC+yr8OAo0FKgWrnody4m59xXFnRYOahUeRjCGmf5DzfvQDkWkJnD50caChiFmdCGYYd1pohjl6Pbpmp3m/3ar07bLWWKV2Aw/iT9j2jBKVui6Ec6noGXR0+jn0D5r+vA9VTgeip0Pacnv4ZxYgm3iF70q21TdmFi5yiJoi9eX15hjm/Ix6ba7A79g++adXTTPErT6ALB7tgf2j76cnVskpDqCgT59fbU+GPTR8/gRzHUToE9DfaYY99A7Mrdgm8ByNXwwQ//CKqp6Ik/3Td7my2ju+0vdekOtMiwdAmXLi0vzYsWDSxdhUtXwUdXPaaLFi2sgBpXQA3vl4voYOkyLl1+nLtoETe6BpeuufwRBVzEnQ3smO4f2MxRlg==###1436:XlxV32DM 3fd9 584eNq1m11P20oQhn9RhXdmdtdWq170AomLcyr186KqVsZ2OBEh4SQOpf++DtguFCqRmXevCIJ53pnZr9mP7Fb1TZcWy8WG6eTwI0jqN+ni+qphSk2/XZ00/3XNZbc9uaj7Lt0uhk/17fLO5GTbprptt2/C23RdN5cpyOL1DkospQQR41uYc3F0LsKcK3HOlaNzBHOuwjlXjc6x7zDEb9X39GM7f+z+39er5FzahCKzgCuCZJdIH7+67JEE5xeZJWL2IGL+IMrsQZT5g6iCz6xwP8KdRIxOKmAknE8EIzGMJDCSh5ECjBRhpBJGqryzkrq6Tbv+8IfTj/+k09OWvMczX52tna/ycIclqvABz07vPrzLBna+zQQeZ79cjlMux2msGnM5zrnAkgvszQX0uDy+KewF9G/UtLyiiQIL1+HCdbNzaCKudQkXLsFbl+adOSpcxoXLsH30RBSccwIfFx7nnIf34oBzLsD7XMQ5F+F9rsQ5hzu7mYgVzrn57MZcP49EwM5uIjkYiWAkXJ4ERvIwUoCRIoxUwkiVsJm07DsRBMXJOQIzTi0MpQmU5qG0AKVFKE19r9Gsunqb2v3VdXr/YdhnStpIA0MdNvPaBeM5nK2a/SuR4ERm5fHIgSWkt00Xq32Xdl0vhQEy5tmMIDuC7QgR5bnaMvU39WrZpuW61w6whwxjHfsUFbXrynp/dUfo+t3hOKFGUKZeA4QxEiaIZDlIstxcmANhHhEfQeIjZGcgZGf4fYABSBZDksXIZPHc2YEwSLIEkixBJkvmkQOEQeZkD0mWRybLI4ehR3ZTj8x8gGQ+IJM1wSpEfBES33TsRdo3DA9g3+L39MevV/vb5GJ6//nTsHpnl3D5JSi/BOeXkPwSPr9EyC8xDDIyS6QCAXEICCEgjIAIAuIRkICARO3WdJP6wz3zT8vW9CFjOs076rXIarnudkzDHM2Nzm6sAjhozN2g2yoNx8JWKey0wtNeLSqFSStMxlSzVnjaQ3ilsGiFxdjGXis8l6O6weSUg2k+BtDJklJ23lDrZFkpy7Yki1J2vsXXyXqlrLe1bVDKBlvbRqVstLVtqZQtbW1bKWWruW1FYZ4KnZlTBZlcobTT6pHSjpV2orRTth7pzFhnJjozZWxBZxZ1ZqXOrOKj7vjvnnUe6tbl+oY7raVLZ/9+GUZudTRgelHqjptrHtu+OlsfV9o8MXeK2B/ZO/FGwPh9PqsjZHWEpkXD6IgYm4SNDrC1SdhNV99GR6xNwmOTWDspmyOR4x7sPAVMt4TOhEnnbXvkZf5jhuo9wHMIb0dEw6xJhlmTbLMmGWdNup81yQagw4Mcqxds6NX3X/Ex9wWy90hSvVB5DsF2RKAX9qzL8cjupcXO9P/TjPgys+1mt0vNajOY3L00G4bcLyY5EMQ=###968:XlxV32DM 3fbd 3b0eNrV221r2zAQB/BvZFunB7tsFNbl5dZtoWWDUYSrOaY0tYNssvTbz87kqGWiL9pj3L1JHCe5/lqfLn8ROmzrfWM3d5teQj7fGWXH3ra7ByfButFvc+f7YbBu27v74wvz5mE3Pirxbnjte+2unh4LoYq31gClXlVivrFqer/b3ueXolAOpUz4xQCnnBVFdqE/XV9hlRPZB8xygFtOZivMcir7iFlO414Kg/u3K3HLVbiX4gz1UgDuqgDcVQG4qwJwVwXgrgrAXRWAuypgWRW3KOWWRYFTbVkTEqGaQTGZ5WPZIFS7LvLDMB6fsG3TNb4ee5+3ru/287nMb/LWb+a7yvzPn9Z249723g6PnTu+PmvdIZtqHPLbggjk82E6v17Zr5dX65/FzelQ3NgfX9ZWg+3toX9fnAuaZBHJEMiCOBkiWQZyQZwsI1n9Jasz4mQVyTqQK+JkHckmkEviZBPJZSAb4uQykqtA1idyvaFE/r4+zeXlMMzlirhYRHEYyyVxMURxmMqGuFhGcRjK1PtYRfEyk4mLdRSHkSyJi00Uh4kMxMVlFIeBHBNcrYiIX47I5JjpWEyOmY7C5Jjp+EuOmY685JjpmEuOmY625JjpOFtLIswXIyw5ZTK2klMmoyo5ZTKeklMmIyk5ZTKGklMmoyc5ZTJu1oKIsj2eG8a6baZplPlf03Fr77phzGtN37iaQjsPp2DiBCZOycSpmDg1E6dh4iyZOKvzuqLv/GZ909qCD1XwoQIfquRDVXyomg/V8KGWfKgV1e3Ib09/O/LUSHk78twpmDiBiVMycSomTs3EaZg4SyZOutuRp07i25F/qYIPFfhQJR+q4kPVfKiGD7XkQ6W5HQEG345A4tsRx8MZ/kGDZJemuH7k0QGCSQeI0AHAowMEnw4AJh0AoQMkjw4APh0gmXSADB0wh9U/JZiH9Q==###728:XlxV32DM 3fd4 2c0eNrVmt9OgzAYR99oQP8vGq72Al54ZUyDlTVmE0whc769GxlTM7nvuYJAs518OZTS7zfsm0Prt2/bXorifDDKj72PH+9BCh/GtC9C6ofBh30fdtPAaZhXOy/Cflc8lsVxGKcbPrZdm5qxT0UMfXc4X1ulbRHTdjp048H3yQ9fXZjGr2I4rk6/cSzidG0Ym9g+iedVej2dR//WDWOxuZe1T2Oj74b8UVXN4NQQTgPhtBBOVzeOwXl+5Nf5oz741Mb7suawVvVLyWH1H83p/yWnvAJUXnEpr+CUV4LKKy/lrXjImmOEAhmheEbMyIKHrDgSa5DEen4p85AtxwhTv1Qc1su0VnKUmJkVD9lwLLagec3Oax8esuMY4UBGuPmB4yFbHvKasDU1IfuSg1pxUAUHVXJQFQdVc1ANB9VyUF2T6TfPZ/pBzbXT85txc25JBAbn5dsxV0tvcPPtRf9FrSAGVNcuhIPgUgwQEAPEtc3nILgUAyTEAHndsncQXIoBCmKAYq0DFMcADTFAs9YBmmOAgRhgWOsAwzHAQgywrHWA5Rjgso0o/ubMPU55y5pvnPI/1szjlLfIAlTe7OOUt8gSVF6ZexJtGVlzjFAgI7KPUy4jSx6y4kisQRLr3INoy8iWY4QBGWF405rJPTu3jGw4EluQxDb37Nwy8ppjhAMZkX00cRnZEbajfqKJ32he7Ro=###776:XlxV32DM 3fae 2f0eNrV2t2K2zAQBeA3iq0fSzK75B1KKb1YlkFWFBPiWkFSs+nb13bTNjeF9O7MlcBRwsdw5MCMyuSvkY6nY1KyWRejqSYaL9+CkhRqnpqQUykUphTO28ZtG+kzyTCdmy9tcyt1+4DGOMfsa8rNGNJ8XZ/t8rEZ83Fb5nqllKn8mMO2fzeG2275jVszbs9K9WN8k++7j2VTHek0l9p8ohxHEt69FB5UyYeq+FA1H2rHh2r4UC0fqus1CDUf6DLXTMNpfm33kCyByZKYLIXJ0pisDpNlMFkWk+X2vcJjUQupEpAqCalSkCoNqeogVQZSZSFVrpdgqjEsL1FAlEBESUSUQkRpRFSHiDKIKIuIcj7ioXxZmw2TL/XNvVOOh+8h0m35mjCU/JEZWAwdMzFd/ILoeUXDcouGXaOhOYmdWNbXdi94JcNRGgwz8K8jKFpeAel5BqRfAsKpzrJlWWfZLnVWnMDiXmdeZRbcyizvZR4sRzV9/tryCojkFhDF8hwqSjBzh48MOS99ZAlMlsRkKUyWxmR1mCyDybKYLKB56QMLaF76qBKQKgmpUpAqDanqIFUGUmUhVTjz0t8qqHnpA0ogoiQiSiGiNCKqQ0QZRJRFRLlB46H+3dnGaxQ/xwZqUD0FhmsUP6fmVma4RvF/qaEaxc/JuQVEsTyHipI/MAKvt3AiL69g9b/95w6OY5ULyywX2w0cTu+3vxdwOMVivX/T8fLer9/wSkfPMh09JRdffgJa3PqP###988:XlxV32DM 3f54 3c4eNrV2k1r20AQBuBfVEmzK8sSDYFC4tCDE0gTeghhWK8+MNGHWa1d599XsrMlSemlp3cutrEEfjSzlt6VdmzNoeJ6Ww9axfNblrIfuNl1Viu23rWxdcM4sm0H+3La8bQbpy+sbPsSPybxcfSnDdxUfeWMH1zc2KE/zN9Fro4bV5/een/gwfH42tvT/lHTJpErp+00bf9V+9joryMUaN3tj3z/bc33V3x9y6ufqweiwoIpT8Drm7vHhwlJJgPj2b1zPH/i0Rtf8erHmlerkkwhBPrle2+MHCuZUgiWd2b6cS3Mm0n5hykpo1ZJGrXqPAqkeVWxAfNW3c6/nsH1xihk3bmEeWFwkdtNDoZrhm3f8DtiW5nDp6/u7jnnYVOIpdOmFmt/O5HZRPoRJAbtEPrq6N+diy+Sy7wCIZoxcqMf44LAQDaJDaCpmeaAkTXOvfaVv9CXMFeqz8ame0qep1eKOkJG0owco25ERioJSC0BmQZkkeEhDzSdmoslKIxAS0aoJSPYkinUkinYkmnUkmnYkqWoJUunksFlOwLMmyQgb5KEvEkS8iZJyJskIW+ShLxJqHmTYPMmoeZNgs2bhJo3CTZvEmreJNi8Sah5k0Le1Giyodvh3Kn+oOL5leab6hkPeKH4LyJmc3FWBgWVM114aLLhrdHQvPBcXYJyUaQQSlfyrveOd+1+nBNcjvGE2s2oGOSJ9BmzNmXJjU0iO+x7/5Q/883tFatiwQPPmxKe188dBzeHOhJKV3LpWi49lUtfyKVnM70QS0dZOPI/9rcrtfgj0EKH/lLuvzaf6CCT2TP9j3q+y1SCwsISTmzfAtxXgA48dVlUoLCwwA60swGowX0Z6MjTqCNPh5FHoJ0NwCVoZ1PUzqahs6hXswDMQTu7QO3sInRWg1YuQw14GXjAC74UtLFL1MYuwa//OWrh8jClMJC+f8xwp5nG5P0NmYFgHA==###884:XlxV32DM 3ffc 35ceNrd2tuK2zAQBuA3sqWRfKJLrgq9SnvTQGFZBq1imxDbCrJz2Lev7SbQpYfb/tObGBKFfJ6xyG9ZY+cuNTeHJhhKl0NueQrcnnpviP0Uu9THMI7su+CP68B1GNsjk++O6U6lt3FaP+C2HurophDT1ofhsryXxCZtY7MehunCIfL4Nvh1fNJ2Kon7NJ6GafmKSnw4D9Nz+cKfPn9kqjIO7PZ7Vvxl9/WJNm7/YZTjNcK8Vpg3E+bNhXkLYd5yU2WIXtagLgJ1GVCXBXVloK4c1FWAusqqgnTt9ZPaOIVr45Obf0/jEy1uh/UG10bAVx89rj7c8hng1lrg1tp7awm3fBlwa3Pg1ub31hrc8hXArZ1vtUpQGytcmsalES7N4NIsLi3DpeW4tAKXVpb239OuMS1rCEZ7dWNyHacxdWigbX++sQ/9Sa/rlEZrDrzdfWOtFAetKw0G9jp1gKZ2rmPiXYxvQz0tj1MI1Nj2z+plftVJr5GRekGOST8iI0kC0khA2geyyvGQl2VVrCpAYRq0ZBq1ZBq2ZIRaMoItmUEtmYEtmUUtmd0A5k0CzJskIG+ShLxJEvImScibJCFvkoS8Sah5k2DzJqHmTYLNm4SaNwk2bxJq3iTYvEmoeZMeedOgyZa1WFcgqn63Qgy3oP1H6WPrC2LDqXoFU0XXc3PuOm5e+QAX5N7pHhtfSlTkoVIQtAV0jVwPfNClgyBd12eHr3sgzHbZpd8evEp+2cVvVPXzLn6+hbiEOy3ZT8L9RrjfCvdnwv354q9l+7W3sk/g/hf+f5yGlTwdCuHTuZz9IGH6h/89fY4LziDr7ndJKEv1f1WSBKSVgCyQpwwtU+Y72P2MKQ==###780:XlxV32DM 3f79 2f4eNrV2s2O2jAUBeA3Ivb1b1SU1UhVN9NNp5uquvI4JEKEGDmBad++ISL0EeZ4gSJBEJ/OCeaSZBrC7cDdsUuKqvvGap4T95dzVMRxzkMVc5omjkOKp3XHdTfWJ6Y4nKo3Uf2Z5vUF7g/jIYc55aqPabzdn9vlrupzt27G+cYp8/R3jOv+u34Qu49cfVzGeXnLMYpdvzxiuo7znhq+hOUTJQX6MqErTQlIX7/jIlUTFLLucTQq5KI3ZY1ctIYuWm9FiyKUGrlpA9202TI0yBnaBnnBsY8MVQlI6J4ddM/uEaFDjtBDR+i35aYEpH3HRf7yv/nr6wsrUXPi0LYs+Pvbj71sCkRTiWhVIlqXiDYlom2JaFci2jd1gEWzRMYRMk4h4zQyziDjLDLOIeN8fcDFtXIvmmDAgdu/jzKcqhCnBT8wZQMOJPRvznbxhIJEd+YZvGyFXrZ6Lj8S3Qlftm7AQ9QFhGjQQzQFhGjRlx37nM4kuhO+bIdetitkoHAFlO3Rl0e/htgiG1mA+yS4j8B9CtynwX0G3GfBfQ7ch31ykdBPkdD/W3XBg1ToQW6nHwg8SN2AAw1609vNhwo8SIvetEMHevRD8XljGvTPNIGP2QQ+ZhP4mE3gYzaBj9kEPmbTMmZ7DF/mu5Avw3VaL+Qex1vdwdIkf3v9yQIwPNpL1PA22iM8/8knCc+Hc+Xrzze06TrzcckDxyJ9wLEIJAxUMoSEUUgYjYQxSBiLhHFIGL9g/gFpCWyF###1000:XlxV32DM 3fff 3d0eNrlmmtvmzAUhv8RYJuL0aZ8aldVaqqqXadJ03REzEUogCPjpt2/n026LdLWr/j07ENiAybneY9vLxHzUB0baPtWCx77Ik/BaugOoxIclDVDrIyeZ1CDVvul4dIM0j1wNezjxyR+me1yAbpmakxltYk7paejPxeZNu5MuxSTPYI2MP+Y1NI+GpsxrvWThR5YKcsPMxIYLis8LAkmGIYJBlU3CUwwKSaYDBNMjgmmwAQjMcFg2g0wzWyBaTcQmHYDwRENmRQRS4aIJUfEUiBikYhYSsXDs3S7MXKfbvmqoqlrlIpc1TWvbH9s/KVTDXbDHtwtPswiYQf9x2SjxLsXwWioYCRUcBIqBAkVKQkVGQkVOQkVBQkVkoSKckPAgJDY9DgJA8Jp9AUJA8JJGBBOwoBwEgaEkzAgnIQB4c6A5BRUgLEUuqMk0R3l0h3v3xKS2MBFQmFMORU0prhgJLqDUekOTuHZVVAQkVIQkVEQkVMQUVAQISmIKDfN+xVxrIa+jtRsTWyqcdD68C35HrlqZOI5h0n3U2/9cdwW/4HIh4u76OF6e3dzCXf311sm/VnZhFduxwM4FDA1NJNskQGxIl2T6HfcQq4etun8Swku9OqKn40Pq4KEhUPlfirjYcOLsOHTsOEzmawavobDZM1H92TaT0cG17dfIClW3enMbN0yHpd5gKDb8enFLzLuEKrZTXu4ur0AxkHD9vEruJKxcGBuXLwBxjxY0QQAu3/4DJ8eb27g6vJ23bfHXgE6V9Ys8gW0T8MQ+UrNygQPy2kqM0Tp4YjSw0/p4YjSIxClR5zSI6RChGSsrAPgTN2zqV19AYpe12m3GLrtChcPQ8bDN0GGz1s8kODCYbhwOILB8+o10EyuMx6GYTD/4UExmM9wwvjAc9O87j8V/wD4y7VLFpqoZlKGZ/jlRMOng8ssNMMpF0UdjkPpcRdytvr4YZaL80dZt1z8BBdM634=###1360:XlxV32DM 3fe1 538eNrNm91um0AQhd8oZmdmF6xWvqpU9SK5qdJWqqoVAexaISYC4rhvX8DOTxUlcmaO5F7ZMj6fZ5f5+DN0db6t4nK9bJhm40uQ2DdxdXtTMMWib+tZ0TZdF4u6Ka6nL05fi3IdqaivZ5fJbNf104K4qjZVm/dNO1sVzWY7fnbWLmerdjm+tF1/NQTu2zi8i3nXVqv4+eJTdBSbeH75I7rYZO5Dd9qKSpdlp68h3uYD1/0H00GZP3UN+7lIy9PVUTQ3V2l12t93rOzLu7qWRB09zD7ZEcxLI4LMBHsNqZmQ8VxFaKu8dMrsfbvuK871WcdHbYrKocZ4iO5mx/3gv5nzornbDOY7S5gsYbaExRL2kunDcde0H5OFc/HLxbeYCBlRbkCZIYSAMAIiIySoId2gbvVUTQoCOblCkeLX74mUINphkw3mEStGGzeJS2gcnSnttGniuN5sj9vqv5aeqvfvJ+SD0Fzocg97XVOcbXFviwfdnDnlnLnFQ5ua4mKLB1s81c0ZKeeMbI1Cj1Wb4plu0KwcNNvWND9WbYrPdYOWBZe63GGLkujKFptd8rhJEUU8JrqY08VIF2NdTFhxXFPd3PZ/NHu1KRhX9V01npoKWwnTvrHSUvYt6TQt/QxAxrxqRzWeEWoGPp1JTrPXVb1Gpufn5KY42+KiOYxeVZvYtfWwtPqZ/Do7vNWcOD0nOSDK4VCEQzEOJTiUx6ECDpXiUBkONX9CGb3BdSjhvCFgVThvCOcN4bwhnDeE84Zw3hDOG8J5g2srxnnDOG8YOECcN4zzhnHeMM4bxnnDOG8Y5w2uFwTnjeC8EZw3ApwrnDeC80Zw3gjOG8F5IzhvcCvQ47zxOG88zhuP88YDpx3njcd543HeeJw3HucNbtYDzpuA8ybgvAk4b4LAVmCAkVIYKYORnjV68n5SE/ttXq/Lw//8mmu/+7tBUmXwyGvi+4Xjp/vls+MuFb+Ind/c7eIw6DLvc5c4BMUlEAqkFoJQGEIRCMVDKAFCSSGUDEKZIygEMYAgBhDEAIIYQBADCGIAQQwgiAEEMYAgBhDEAIYYwBADGGIAQwxgiAEMMYAhBjDEAIYYwBADGGKAQAwQiAECMUAgBgjEAIEYIBADBGKAQAwQiAECMcBDDPAQAzzEAA8xwEMM8BADPMQADzHAQwzwEAM8xIAAMSBAejdAejdAejfIkY8KvE3xAEiKqCRDQMwdt7/g5I67W+0F5XdTl+vNaryXfWkCODvBmQlkJrCZIGaCXxz3MMxbhHc9lPc6KJgHk5oJmZkwXxjtMHcmme0gew1mO8hsB5ntIG8mmJuazE1NU1P/BYbC04c=###1232:XlxV32DM 3fdf 4b8eNq1m91u2kAQRh8Jz87O7qJESK2q9qqNlLbqRVWtAJsUFXAEJk3evjY/BaRcEM83VyBgz8exZ22PFzaL8VOVZ/NZzW7QPQSfmzo/PC6n7PK0WS8G+ze7V/fvD37Xi3K+erh1wxFXNxsNYcQzFYALNYG0EqwFeC1AtICgBUQtIGkBbS2WGkAulONJJ5Cp0ALU38BpAawFeC1AtICgBUQtIGkBQ2Ula8vAaSvZaSvZqRW0ley0ley0ley0ley0ley0ley0lazdi6ytZCalgVeOF+X4oBwfleOTcvzQ99uB89w8jRfzMte5WVfj8iW/+/Khtcm1n4GBxMNexE0zbirv+o/ND4ttlTdV4wsF5HE8/dNeOkyuQcwP7kzXfHo5X+Xu43mync0GPrx9zKd2E0vRbvLdS3f3WWK7AyMIRFK+nfR5XJab7SRv2u1W/ST5dfZsuX1uD1n57vu3PH25LUZSWfLJPICsA5x1AFsH+JFtEZEx3xnz2Zhvvf3FmB+M+dGYn4z5Q8sJvNg23VF6ZhpA9glknuDME9g8wZsniHWxknWAsw5g6wBvHWC+k4N1QLQOSNYBpmeF53pte1boAsg+gcwTnHkCmyd48wSxLlayDnDWAWwd4K0DzHdysA6I1gHJOmA48r5HwLTerpq8LnObtKb88f69n0Aw+1trrs/9qtdo60av5zB67qTHej0H02OMHp/0vF6PYXoeo+dPeqTX8zA9wegJdO4JTC9g9AJ07gWYXsToRejcizC9hNFL0LmXjnqsJe3sxgjKUS5AYK2bqEG7xRgMh0AcB+IwiONBHAFxAogTR4BC3N8RAIEIBXIoEKNAHgUSFCigQLA6Spom5e8a0qScY/QXShc01an2QHIYPVCTckFD6DFGD9SkXNAQeh6jB2pSLmgIPcHoCXTuCUwvYPQCdO4FmF7E6EXo3IswvYTRS9C5B2hSDiRlk3JG0Tcp5zBVk3IEaZuUMw6BOA7EYRDHgzgC4gQQR3VxeeSom5RzEKFADgViFMijQIICBRQIVkdpJH1A3XrMaXXm/29ud8s00i3TUEFGYLICOyswW4G9FViswIFETMDRhuuMvi8bcb0RV4y4VvWQjLhDkqIHt1vWLuvdoXe++yPGpsnL6nAAJvFwZP76o5Bkgu2ug3v9kOY69KHX4h7NSF4V7UGcU9+RV/6959WhxOP+Y8kXN/8Auu8Ong==###1496:XlxV32DM 3fef 5c0eNq1mltv3DYQRn9RYXGGN7WBgBqFgzykBZIWfTAMYr2rNRbdS7qXtD+/WkvUJm/195EPcdYGzuHMcCRRXJ62i699Wm/WB5W763/epvMhvXzZLVXS8nzc3u02+3TsF6v0fFmv79K+MWKMSV8Wy7+S0/anE6gQa3B2HN4YYnwlWGsbnB1jbzWiCtEFjA55PxOwsULQ06w1uoYlo8LjxVMctTjqcNTj1fJTwfHBA45GHG21R9ExY4GbvE2b/VddMrThcNUVhcMXuLVM7iMND+5ecasMPc69tUUsrojFIw+55355GP7yktaX7dYO91zHO9LDp3s+FikQi4yxLMt4xjornZovkJovlJr/PrWG1LVCV6cdquPf7lgd9uf0z3Fz7odcTue069/Fzq4KiYgl6DWn4dcXZDWT2fSyvfTp1J+R6s6S6QFdwAGtSb93KK8oEEXkFa0C1/JxsbtDnr1X7uPwIw3/kMffcZUWq9XxXdMxtKFo6ZBL4UbnPiZCUCoEzSGUkCiTh6XysCXyyBIpIaGK4ahiuBJ5uPlBQeThqTx8iUnNEs/kEag8whRCYEKInTMw/RifhnXA/LH/+7LYpmF1e3Ba3mmNkwqRuraCdOrxGmXwVcoQaoQaTI3ihvxKWKEMsUbAcVpI1Qi4db6CNH3+07hYXpzXJQtYnRoGpkYWBlYGtgzsGNgzcGDgaC0AXz+dzovhxfTh88f08LAyNhTR/PBhb9tSJmPscxFXXscsS9oK66RormVtvqgtlOkQSfef7puCLmMj6Br3eH7+9ZdkZbh7X7eE22IqQbaKTkOl++u7+RJn0/GM3JlG3Axj47BhYGFgZWDb4XNlOmQDKbPEruKokA7ZzMvs/BUpPL4S48+7KET+lij/vPuBD++I4d38ig0P74nqz9sERPqBGD+/3hsi/0iUP/Kz30KbC6/so3FP6f3wuHDN8LjYXf5N6tJvf/w+3L/LO00Fp1RwagWnreB0FZy+gjNUcMYOec+/OW+fXqWam16LS00dq6lilSpWrWK1VayuRmOZGlKpIdUaUltDWmWifA1pqCGtcgNsO+T82qsqNThqkKNvE9qM796eFEyLsEBEYthIzLeRRCKSaW/DkYK87+XLeEyhgKSQRwt5bCGPZ2ecnikzvwrhkSgbiZa6Hi0biS11PTo2EvdtJC1+oxUcVRy1OOpw1ONowNGIHKYa0XbsEsfx8yUM7LlP3yaCh7IybSgaO5R1o4mz8FmiHXIM+kbPX8kIbykioZrBUhOSNzctE4KjQsgbnLaEhCqlp/LwzEGkLAlUCHmzNJaQtEweEfqiZaKx4w0ZNgwsDKwMbBnYMbBn4MDAUQMCb8690f+1ZblvmsY/qvzYPF2fmvJWxkCQQSBBIEUgi0AOgTwCBQSKCNR2b+8iZG4F6SKBRkK6SJAuEqSLBOkiQbpIkC4SpIsE6SJkmhTpIkW6SAVISQHGXpn/AGuDsaI=###1464:XlxV32DM 3fb6 5a0eNq9mV9v0zAUxT9S43uv7RRQHxAC8bAh8fcBIStrWqjo2ilNx/j2JCVdtwmJHNfXT1PXe86Nj3+xnXS3rm4XYblabpkm/R8nod2G7zfXc6Ywb5v1ZFMUhfvK9Kz49sLO2DzfYRoXofERmjJCM53R1VhN+aKYMSHV4aaa/wxC8/EiU8ygcjNjhsr/XpOBmhDWhIYmBDVhrAkPTRhqIlgTiZpCizWxQxMLNXEYJx4rL7Fy7DYy0G10RJZjRNDEEXbvkcHKCStnrFywcouVY7QRRhthtBFGGxY7YwwwxgBjKynT/SIHDJiheASqtlC1g6o9VF1C1R0yyzHV3Tehrau26vf6AlOYCInBJYRLGJcILum2PQ9LhuMI3Mzh1+dxSYlLcNJwBggnjSK64KQRThrhpHWbFyzBeSGcF8J5IZwXfFoY56Xf2MDrYlghsMLCCgcrPKwoYcV05LHhoFhXu3bcseG+/PiUV49W3VbrVc2C1R/bjBt9/y9sb71XmAiJwSWESxiXCC6xuMThEo9LSlwyesU7SeAmhPNCEV1wXgjnhXBeCOeFcF4I54VwXgjnBZ8WxnlhnBeOuDCcF8Z5YZwXxnlhnBfGeWGcFzxjwXkRnBfBeZGIseC8CM6L4LwIzovgvAjOCx6YLcY+HD+UDK/R4WY4aRYnzeKk2YjgcNIsTprFSbM4aRYnDR+9w1cmh/PicF4cw6N3sMLDihJWdLNYj1Ygj1X39cffg/73zNcsdjeT/5ofqq72y+WiCb9+bNeLcPOznYiLkl1c7+/C6nidq01rpEpkFD58Kdw03myzvz4Et2h3X/23px/7CuPDu08fjQlvLz+HwrF+LzI5urBxkqOLcTZLmyyhSZbQJM9gbJbB2G4wVY42w3sld5WxWZb1wHKWeeI888TDj+w5knPGkX4X71yGJoedLkNmZZZVoaQs+0LXJsuC0PUZnrvOOSJtQ9ssqvr33yMSn2V0OCJ1gzdxLrv91dPRvrl8FZztvPvvjO2HHea/u/N2LJVIj24kpX6X4QzNi6hWYVMUXrrJu+XlmQYmEqOTw/FXFq6jjKq71UExsXye/pC167LuyQ7v3gcjErZWNFyNLc6zvZhv95s2NHWo6rox4fX7l9YntxxWinOT/Zdz06aNgNJHQKcIOG0EpBIBp4+ATxFI2ghYJQJJH4GcIjBpIxCVCGz6CKzaWmBVInDpI3Bqa4FTicCnj8CrrQVeJYIyfQSl2lpQqkQwTR/BVG0tmA4RyDKl6yEBl9rxyAAlN+4QMElNu8eFYpbe0yh4koInK3iKgqdV8HQKnl7Bs5wlvpHutk0PfXpTo2FKGqasYSoaplbD1GmYeg1TFfins0QHiV9N8hcMDy3THiQeOSc7Sw2ulD4ChRcMj5xTR8DpI1B4wfDIOXUEkj4ChRcMj5xTR2DTR/DkBcMfmRC1pA==###1284:XlxV32DM 3fd2 4eceNq1m9tu2kAURb+o4LnPqBVS04qqUvPStOpDVY0cbCgKtxqTpH9fG0zoJX2aNQ+QSCGLs/e5GNuH/aq8r+N8Od8qOe5/WB3bbVzs1jMlY1Pvd+Pbw3xeN/Hh+3ZVx91dOy4fl8f/GF/PtodNGx+aWFZVY+L041VsWlO83HNU21ONw5FxV87uojKKJ9MWON4Cd7FAsxa4LBZ43gJ/sUCwFvgsFgTegnCxQLIWhMECPSepRwcsTTzXgMTBXQkIFDr7+aqY8EyRgSkzMFUGps7ANBmYNgPTZWD6CdxIj9umL3oeKnJAZQ6oygHVOaAmB9TmgLoc0CzFHyamSoTuD7ex2h65y7b7Y7lv47oe6EJoncaPm0JIIbVBMEJbhiP0jAGdPiF4KK5OoINAQlcQ6STRaI/w4s0Xyvwe1T0KJjJ1RJUYKk5J2DumEaEsGs52y6HcEQU0kLZxubkngjqRknvxtp5t18vNIs4Pq5XosDXLE8awwOHEqYCFS1i4TB5FfwAtm2grkq8+/MWDTuifpWrWSslaKWErJXSF7FmqSb02MlvVZdNPnq4FR6/Nh8+fUi84/U7MgBQ8UvJIN3pLI/3oDY0Mo6seCdaQwHVnKMscUUo8O0KdskMiNe+l4b20Jy/BsuSzowseKWjdMgzEmiKqU7a5EFWBE4cjBEiU9JxUik610riPBvfR4j463Ec/+Ii1jKZD1IpOjDZ4jHiqtcNVe7plND5vDW2jKXAiPm+NpBNj8HlrNO4jPm+NxX3E563xuI9h8BFrQksnxuLHBIsPCsurdnQ5Wo/7eD4lxooHF+0KOjFO4DFKOjFO0QXuNO6jwX3ET4Wdw3309LzFu9rjLePxDz1e4kR89Hg+M/i8xa9pBrx4Aj5vAz5vAz5vAz5vAz5vwzBvUxdw/l2T6RdwQgbosIGeujXT31PqXrNI7cMzJy5Whzru6zb1Vt8T8HSbmMVZnbi52JTrceruRs+47p5i9xAkTKYuODTVcX/sVTFJLYsL6ZxHGGgprQLTKobQJA3UlFY5oUgKI2mMZDCSxWrCPtU/FJrDQnNPhxIoNI/5n767OpC+hm/npdj+1/rHoVxFIeLWzPPyRWFV7nfoV9py69DC1JkzkVuCzS7B5ZbgskvwuSX47BJC6qL18AaxoECCAkkKpCiQpkCGAlkK5CiQp0Ahdeekqcsq7tuyO5Gc3lzH6bQSRuPIF+83IvV7lv/BCpH6FdZnwOeN11xkxacteT33GWTnb1cMvwCsiGtY###1292:XlxV32DM 3fd5 4f4eNrVm39r01AUhj+RTe7vBGWgjoHgps4NBRmHuzSNZV1Tkmx23960u2lEg0j7Vk7+Wbtsee5zb+45fe9g9cI/5jSbz0olo82L1dSUVKzuMyWpyutVdPswm+UV/fheLnJa3TWRX8+3d0RV7qdUN77J6ezzOZ2dTeWLd0th3MsajKWVz+7oeGStDyT/qMhPp9Wr+ETnKNKzmkOpCZiaCGoKDTSoucoTFEnBSBpGMjCShZEcjJTA9mkStpVEqaUwtXRXQhYCpBgFEiiQRIFga6RRIIMCWRTIoUAJCpRqeSho3uRaASBCewAl9BKFhGkkzCBhFglzSFiiUxhM63gv1pyaR7+YT2m+bPb8cPkVEeItjOT2rL3lw/0WkDf1JsmmAEg3NRxLAVmIhRKIhRK7qIJjaSArBSyURCyUBO4oCVx0uTvC4FgJYNEVYtEVcEd1LAuYnEZMTgP7igaWi0FMzgC3uAFuy/bg6QGQ0AsEwMghltt1RmZ2KOubu6Hfvr1/WJNw9OH6qv0AO/YI4ugjyKOPoI4+gj76COboI9ijj9DWljh0BIoBDAFgSABDARgawDAAhgUw3J7nsJKazd/0nw44h/2KOOwc9idJKv0vpKwq65qyRdnesj2hqnSv2/L7VfP0bys5fG8XhPaz3nwh3c46W9xFFyLWGQQTHorE4EjEkzfm/fUVCicmr5E4icWpySkSpydvkTiDfRQWu3YOi0uwjyKFPgqJrQqJrQqJrQqJrQqJrQqJrQqJrQrZVcUtBNcVBYbW1YQC0CzEyXYnVAugXcfRum62P6AiX+aVb8oqKrJy+bi5NqlmUVHNNi+J/Z+jFcvmkcqK6qdltv39SZGtJy1jHd3GTETO1+31y1P6eHF1+S2+2b0VN/T1wyUZSSWty/ZcLXgqi15ZBmXBXFn2yioox8yVVa+sn5V1ylxZ98omKCfMlU2vbIOyY65se2UXlC1zZdcrJ0HZ7JT9jJPyl8tdX+7ehr6cMDcWvXFoy465seyNQ1e2zI1VbxyaMvd9rHvjriczNza9cWjJirmx7Y1DR5bMjV1vHBpyn+C8ZmL894jMTnM4FrPTHI7C7DSH4y87zeHIy05zOOay0xyOtuw0h+OsV0w0/xph2VkOxlZ2loNRlZ3lYDxlZzkYSdlZDsZQdpaD0ZOd5WDc9IKJZbG9Vje+yNtuNKk2/5dX0HxZN5E3/B1P29A+Dk8xEk85Ek81Ek89Ek8zEk87Ek83Es/kxCf8PT+1EgXF41EVrepPZQdySA==###720:XlxV32DM 3fd1 2b8eNrV291u2jAYgOE7IvFfnGoTR72BHuxoqqzUC1YFTZAdUXr3hYxs3cIFfO8RFY3gUfSC7eCUQ3fqw+51NxpdXR8aG6YxpONbNDrkvhyrmMdSQjyMcT8fOB8W7D7oeNhXP+rqXKb5HyH1Q5+7acxViuNwuj63ybsq5d38MEynMOZQPoY4H79J8by5vMa5SvNzZepS/1M9b/Kvy98pvA5lqp4uiBR0134rDKrhUC2H6jjUhkP1HGrbKZnU9/yX2jn5xsfv9ZbhVBCnhjgNxGkhTgdxNhCnhzjbrdRh86vz97BZc6iKQ9UcquFQLYfqONSGQ/UcqszliP535SRyOP3POC9HIsMZjt3lvWWOU/e4eWIUoCAFqFsBmlGA4hSgIQXoWwGGUYDmFGAgBZhbAZZRgOEUIPSyz8rpIM4G4vQQp9DLPnec14/8g3zqvJK6Tv8xVrV9qTnWZaqCOb0adHr1n7UA5vQa0OldplmKR3acIiyoCMsrYiFrHtlyInagiN0yKPPInlNEs31RHOvta63mJLGYLY/ccCr2oO81v8x9eOSWU0QLKqJdPnA8sueRHwiXpiTvSLpLVRyq5lANh2o5VMehNhyq51DF7kgSf4OEvnODRGQ4he9IWnHl/ha9uvUkMpzCdyStuJQCNKQA8TuSVlxKAQZSgPgdSSsupQALKcB+mQd8AvXJ8JM=###768:XlxV32DM 3fe9 2e8eNrV2l2OmzAUhuEdBf/bqBFX3UBVVb0YjY6MB1CUFEc2zaS7L0FQdQnnu0IiTvLo6A0JkHqLj4HGy5i1al4bZ2jJNN1/Ja2oDPXepJJrpXTL6bot3JaRuZJKt2vzQzTPumwP0DTMQ4lLLs2U8vx47TuVsZnKuG3m5UG5UP0zp239aUrP0/oaz2ba9tUlTsObej99rouWiS5zXZqvZ9NRWaL9UvlTbRcThpPucX1vFQMIF6UAB1KA2wvQGAU4nAI8SAF+L8BgFOBxCghdbPk7v62I6SyArLLrBY71OL7CjFcBjVf9+wEDM14NNF59jBePbHGKMEBFmH28Eo+s8cgGJ2ILFPFx2mvwyB6nCAdUhMM7rB1ki0d2OBF7oIiPM3mHR25xighARYTjWwOPHBAuR21kEjhUiUNVOFSNQzU4VItDdThUj0MNrWFCLR90n5dC/WU+i44lS/JkKZ4szZNleLIsT5bjyfI8WaFrNT8WCZYqyVKlWKo0S5VhqbIsVY6lyrNUhVYxU01pPYgyREmOKMURpTmiDEeU5YhyHFGeIyrEgR8q1tfFhlusy1t4XwEfv9NAz/Vp0lGOIxhY9hZMfNwBgkrDo6XhX2kYJHGQ6/YsOolVRqDcOzDw/ucHgRVIixlIuwaCNGclIOesxDpnjQSW+5yxxizRxqz2MfceUU3ffwqsQBRaIBryc6gps7nv8FlY3i/9nyV5shRPlubJMjxZlidru1/6F7wjAyo=###960:XlxV32DM 3f9a 3a8eNrV2k1vm0AQBuBfVGB2MR9KZKlS46qHNFI+lEMUjZY1IMsGrF3iOP++C7GrNKfe8s7FWICth/GwvIvxO3Ooudk0g1bxtMhSHgdu953Vil3t97F1g/dsd4PdzjvOu3G6ZWV32/ghiY9+nDdwW/e1M+Pg4tYO/WFaF7kmbl0zL/rxwINj/9bbef+otccofMcxfnW870fH1aa/zJdleuHhWMWy1HgsTiBVBKlSkCoNqUohVQtIVQapyiFVRanAVK0NgyggihBRChGlEVEpImqBiMoQUTkiqqhSPJTxrm55Z/z4VDwHwPrF1nwMH6OEwvIyWZKpRbF5qLQkMJ3qLKvMJK3M6lTmKpeo5rvHRFaDKGkNokWeh5oHsxYEzoK3luUlUdftAOa9CYZCVF/kwvoin/pC0vhW/I1zktqiCFeRhSzv+9lHsrqjFNkdJQ/FVw8auyRy67CdwvbXZoyNBgNddy9Hvv1+zbc/+Oo3rx5X90SlBVPOwKufNw/3AUkmA+PZF+d4esd+NGPNq7trXq3WZEoh0G+/emPkWL9+HPpf7Gm4F+ZVUs4wJaVrlaSuVe9doIV5s7IC89bdfnx7BzeVUci6cwkNLnJTFWC4dtj0LX8g7mpz+LTq5naeppRi6VQ1Yu2ny6/4I1iYBOwI+vo4fhiKw+QQZq5lfOT86OOSwEA2iQ2gqQ1TwMga5976erzUS5gL1Wdj2z0lz+GVoo6QkTQhfdR5ZKSSgNQSkOkZWWZ4yAOFobnMQWEEWjJCLRnBlkyhlkzBlkyjlkzDlixFLVkaSgaX7Qgwb5KAvEkS8iZJyJskIW+ShLxJEvImoeZNgs2bhJo3CTZvEmreJNi8Sah5k2DzJqHmTTrnTY0mG7p9AnOn+h8VT6803VOfHjEleCLmj4vzYNBZ5Ux3/s+k4o3R0LzzwyD64g9tSbkN###884:XlxV32DM 3fc3 35ceNrV28uOmzAUBuA3Ao5twKijrEbqKu2mkSqNRkeOuWiUgJFNbm9fYIYsqnb/exOkgJQv53fiYy7hbK4Ntx+tkyJdNoXiyXE39lYK9k0YU+tdCGzPzp7WA9fDWJ1Y2PMpPWTpPUzrDu6aofFmcj7trBuuy3uJb9POt+tmmK7sPIfHYNfjk+6cJb6e95uQ+DCF1Juem36cHtwe+YNHM39kXqlvAUDpax6HyfN4vgR6yXbaYLAWVHrUQJi9qWvubJZYdxmmN/3O33+8sqhydrzsyvjn4RffnX+hHVGkdBEvXcZLV/HS83jpxUKvoqXTsY3W/jkHxv8NZKRDv4z3V6tnelUA0Z/qed6valDY53AV4L4c3FeBDjyxqxpQ2Nc/fQaa7AaU4L4CdORJ1JEnt5FHoMluwBI0WYWarNqSRZ3NNqAGTTZHTTbfkpWglStQG7wCvMHbfAo02BI12BJ8/teohdPPJUWF6vOTgazdf1bf8yooLq+IzCsj86rIvHlk3iIybxmZd567ckQvE6hLgLokqEuBunJQVwHqKkFdGrO35Xq5kcRkuLav0wz4RIWbMO1wbQJ49D0vieCWTwJHq4CjVc+zcLDly4GjLYCjLZ7XCWHLVwJHOy+1NKiNM1wa4dIELk3i0hQuLcelFbi0EpemNcATBjef6gaC0d1MSG7L4xgGDbTvL3e2rh9pPU8pidjx/vCbKcvYEVUEBraUGkBTN9cxscb7x9AsN9gYAWrs+rfsfX6lpCdkJC3IkPQBGSliQMoYkGpDItxs8DfyupwVq0pQGIGWjFBLRrAlE6glE7Alk6glk7AlU6glUzvAflMA9psign5TxNBvin/2m38AJBR9Tw==###856:XlxV32DM 3fa2 340eNrd2kuP2jAUBeB/lMSP2ImmYjVSV9NuilRpNLoyJokQSYzs8Oi/bwIN0iza9bldABKJxMc5tjGQ1LtLQ+2hDUrmy4PRNAXqToNXkmKTTrmPISXyffDH+4n300gfSfr+mG+L/Jam+wHqmrGJbgox73wYL8tzWWzzLrb3h3G6UIiUfo3+fn7W9UV2nU++upRd05RyL/NuON+ybniXH/N9yobk5EtCRSoOSL0ia4OHvIgvxaa2oDABGplAjUzARiZRI5OwkSnUyBRsZBo1Mj1HptBkYTgJZxFV9PXbKykhKNDb9ieJoqDgGi5SOrn51RVk4bLegamiG6g99z21OzrAbeQ+6R7F6rpCRR7qAoK2gK6RmpEOonIQpOtpnGK+2wNh3tx+T93BF1k333w4j9N79fFYUYp6XkeW4wV93/6gW4jL5k5w9kvmfsXcr5n7S+Z+s/gb3n7hNe838PgIl//H29Ccp4NlPp2r2Q+ymX74P9Pn7YJTyLrHEBYCZMv/T6XkgNQckBZ5ykjoKSPXKQM9GldlyQFZIY9GBT0a1ToaFXLRq7JGLlpDF63XogsWSo3cdAnddLlmWCJnaDbIC475k6HigDTIPVvoni2HHUQFHWG1LjcckHaHi/zLrxTzdy6GaMkRrTiiNUd0yRFtOKItR3S1qR0smgQyTiLjFDJOI+NKZJxBxllkXFU3uLj9cvG2K8GB67cPHk7FxGnAB6bYgAMl+syRzz9FBbozTuBlK/Sy1XP5EehO+LL1BjxEzSDEEj3EkkGIBn3ZMc/dmUB3wpdt0cu2TDYUlkHZFXrZ1fP6IIHunMvev/wGPZtm+A==###752:XlxV32DM 3fbc 2d8eNrdl8GumzAURP8I8LUNRo3Yd9Ndu70iDiAUAsg4vPf+voam/YVM74KAAogzd4AZtqndO+7HftGUH6vScFx4WB9eE4duW3Mflm1jPy3+fh54HsbmzuSne/6zyD+3eO7goZu70MYl5INf5v34Lwt9PoT+XM1x5yXw9jX78/hsmIrsI+Qf6xzTKaMvsiEtfnnOkW+Ki/r2bQPmU+B8BM6nwfkMOJ8F5yvB+SpwPld3wHx0oaa14IC8tumiCnyQGn2Q+jVIAh+kacABLbrT9uW0Bh9kie50hQ7o0G9F9+/tjRzTBF6zCbxmE3jNJvCaTeA1m8BrNqWa7TD4Ah+EvE7PTV2Khsd5r3tYNMXff/ziAnB4dFGow/uL9hqeU+8lfHSP3NXvZ7gtz8hjmgcOi3ItDkuBBAM1GUKC0UgwBgnGIsGUSDAVEoxDgqmB0gDpNUNIaUBIaUBQNiGlASGlASGlASGlASGlASGlASGlAdKTrZHSQCOlgSagW8YAsVgglhKIpQJicUAstaf3swzXR5aW4fxps3novM/SZjq8jePeHbv+bPF1unM65bjMKeHK46VovP7vRaikopSggkOUYIcSYYeSYgeJsIOk2KFF2KGl2GFE2GGk2GFF2GGl2FGK6IeVCBVOhIq6EfDZpCRYQSI+/kiGFyRChRahwohQYUWoEFFASEQBIREFhEQUEBFxoUUUEC2igGiS8FjoQ8Rv5pvCvA==###1484:XlxV32DM 3ff6 5b4eNrlWm1vm0gQ/kfAvmCwrvKntlGkOIqay7VSdRphWCMrvFgLdtJ/f7sYx/b1ckqHkZe4HxKw4XnmmWGf2V2Spki2CparZS24bw8TCW0N+bpMBQetmrWf6rppIC3q9LG7sbsN5CPwtHj0HwL/uWm7C5CrSumkrbWfp3W1td95eunnetkdqnYLtYbmR5V293ulKv18UXrmJ+9+JV6VqzT1zKm5PWlXW2Uv7c5gUTyCgdgwflZv2gWsPshZyv9o3nkS4SUkMbmEJKJLSCKepeEFJAG6vYCHMb2EhzG1D0O934exTYpV5qVNq32dlEVdr78Hf3vm1NN+M4GqXlWr1n72l9FvkOT9xzvv/np+d/MJ7r5cz1lsv42V+8zbcg1GCugMVBUvRyaIRfKcil7iRvHZw6rcON+GPnvGT9qGTZ2EhXViqELuNrxwG166DR/GwVnDZ7CuWv0hmMGq2jK4vv0LguisM51uWtPG/enEQdB5uXm2TcZ8hKQxtoer24/AONQwf/gG5siYO2FmXLwijFlhkXIg7Mv9n/D54eYGrj7dxlMHAnJzzJhnD7DcFIVnTzI2DcajZWdlNqLy8BGVh+/Kw0dUHjGi8ohdeUScjkiSbuPMgZwqf9KZOe8EeX2fNs3QTFfj0sNGpofPnAyf1/RAMC45bFxy+AgGT7/WGI25jvSwMQzmg55RDOYjOW7WgceL5vO+qfgPAT+t2mPmWlHG4ti9hv1K1H05eBy61rCrRZS505HW5cKlW218N+3ieCvrpF38717aiT9OFDlqF//S4LJdnEpx0y5ONDhsF70OZ+3iKD4TyHFpdo9iiYb27wiQwbVKMpGioXD/NUBq7+Cddilw7zee9KpVIsFjmQzw4H7Uizd1gMwm2xM8+2/TfIqZp/WmMoZjQ8B8CFgMAcsh4FDGeDA81/alPevf2Es+kIoZqsEknIJEUJBISzJBkzTGBeqgJiIiYlIRMfU25TIjJaTmC8Xi1/mgChgX9s9Rb+vBr6G7Lh7+OkNifPW2yeNn3L59ZoPgwbDwYhh8gisam+GyZvusGU72Hs+HweUweIQrGkcWjb+MNJxsPmyo7OExLmuBzFrss0Y+azHsWe/hU1zWEpm1PLSFYXhk2nt8KCQCDgEOxnAwjoMJHEwKxBpDlev2B2Zq64CQFxtld2dSDGXoJsgMy4Kf6Y7wAmOlbmupcLhd8o1qMUvMEwJTPdSMddjdimFwiVmXmu0/NLowV5X978j+FLMTOWZihFSMjorTUQk6KklHFdJRTeioIjqqmI5qeqAa6Bu6EcrpfMMJVdH5htP5htP5htP5htP5htP5htP5htP5hm5YCTrfCDrfCMIE6Xwj6Hwj6Hwj6Hwj6Hwj6Hwj6HxDNxYknW8knW8knW8kYa3ofCPpfCPpfCPpfCPpfCPpfEP3AEM634R0vgnpfBPS+SYkLDudb8IT3/wDWEhyKg==###1604:XlxV32DM 3fcf 62ceNq9mm9vo0YQxr9RzczsLlg9+UVVteqLu5Ou/15E0QrbkKIjxgWc5L59F+zI6vXU+IFhX4XYM88wu7+BGUNX50+FL6uyEV4Nf5zxfeMfjo87Yd8W3XG1L/K9r5vd52D2snooDr5r6/BtcWfT++8uxyb7vpsllelJra9S6UwptZNyiZ4U6UmxnpToSRm1DXRqSqmaUqamdAVdHK703FZ9IelERxJzi+f104uZkUlu7x9PL77x/T7vc0pIQ4USFRWVc2EVFVFRMSoqVkXFqaikKiqZispaQ4VVKoBVKoBVKoBVKoBVKoBVKoBVKoBVKoBVKoBVKoBVKkBUKkBU2JXALs9XEQURo3EmVkPEaYikGiKZhsiaZD9JpPJ9G7qnLyS7Sf4hfp13Pcl6knvX530xMf/R1z/Up8J3RW+SGSLHPPSOobOYLQFsg2+7PnxYlePBx0+erPWNFPP8ictbBKpwMILzLtlIgnnQBBfCXRh3EdzF4C4Wd3G4S4q7ZLjLeoPygu8k47zwhCg4L4zzwjgvjPPCOC+M88I4L4zzgm+L4LwIweclsIeBPSzs4WCPFPbIYI+w67ubPYZ2gG+6C1bDR2P3cduPNVf7893W8PYWt0OSJBLuc7flcLYm0Jwwc8bMBTM3mLnFzB1mnmLmGWYeyAQYwHaJMQYYVMcYYIwBxhhgjAHGGGCMAcYYYIwBbNkFY0AwBgQ8GYwBwRgQjAHBGBCMAcEYEIwBbB0NxoAJvatA5pfZEQqCkWPAjDFyDEaOwcgxGDkGI8dg5GALYzFyLHb1sBgDFmPAgqliDFiMAYsxYDEGLMYAlqnDGHAYAw5jwGEMOAMtjIOsU8g6g6yRDbXQaGCx0cBio4HFRgOLjQYWGw0sNhpYbDSw2GhgsdHAYqOBfYftEmMMMKiOMcAYA4wxwBgDjDHAGAOMMcAYA9iyC8aAYAyE0eD2LnI0P3eRFklYoOUxkLWFrB1knULWGWS93sibj6NeVttTWRatf/6rqQt//NyvjJngND7Bq3z/lNfV3leHnt5+N+0mGf/rn4lLp0odTo8jTEXf3aX3X/87WFDqP/7+G5H/5cMfPnG0dCSm5WMIOV4+BjmJECTCcpkIy2ViJGIjJGJDItnyQS4/JLh1tFARat9KhP2RGPsjl8cWy6+ZI5csHSN1ZvEQ431s8dXKIlwBMo5w5Q9ByOURorxW//Sup3l9kjev6/mXzECLoRlSYwNFZMspGt1p+/Wa/fzhRz+8lOOH78gOi+d3X0KzO41pJEIAwS0d43I5e/vNsW8E8qHbTk3Ytqe335j6X3eaxODV//XHd9lOkMlfqtF+ZWmO97jCLqzwwPL4KheLbyzra5J1c0Tf75rHY976bREOqsODL091PcCQbBYSpqWEeSlhWUrYbGyqL1yf+mH3FlKmxZR5MWVZTNls3FZDud37fL9v74jv/XN7PS7+PuW1D2N5c67JmNEoajSOGk2iRguU7CJFOxd/1HAUNxzHDSdxw5mNKWeGOx3612iU+J8+/TD77vUfxXOLJfP6mW8Kt71q/qSeP13zN6r50xL5s3r+fM2fQv7/ALvIf4Y=###1060:XlxV32DM 3fc6 40ceNq1271u2zAUBeA3qnXJyz+08NChQId26NCVkG3JNSJbhiwl7ttXdmxYRrPpnClDgi/nMCJDUvCpKV+rXO/q1prF5YvX3Ld5e9yvrcndebEa6rrq8tuftqny8aVflOfd9ecXP9btcOhzt8nlZtOJyd9+fc1dr9XnEwy9mM6BwXws1y9ZnKDdrncWb34S5JAa9JCax5Aa5JAynieLLm8f5S2yvCWUV3R5JU0mJZR36PKO9Ng7QnmPLu9Jj70nlA/o8uFRXpHlA6F8RJePpDkfCeUTunwizfl0L7/BmdfuivXuf/cCzI77JgMnx21TDUTXf78US2jzURQCKUt0b7xo4KKFiwoXHVz0cDHAxQgXE3bSnNvuMrUFTArDFIJp8MMpeJKQ0uJJxZMOT3o8GfBkxJMJsxa9de8XNMV1k+XR4v1gZeDwuMVE9hd4f5merQwcBvc38P5mus02cBh0vrqjsPPVFESer55cbHmDLm/QdypPLra8RZe36DuVJxdbXtHl4feoTy62vEOXd6Q57wjlPbq8J815Tygf0OUDac4HQvmILh9Jcz4Syid0+USa89B71JsJu0edeMh71CmL3d4CrzwnohBIWaJ740UDFy1cVLjo4KKHiwEuRriYsJMGeOU5JYVhCsE0+OEUPElIafGk4kmHJz2eDHgy4slx5YizyNOwypv2qu768Zvlqc/76rY5SCRamLbwhoRIGx5tebTyaMejPY8OPDry6HEVKRl0M/SXue4LIp6///ydC2J+YeYXfn7DzG/u+RPrV4wLY82z2ektMb2lp1dieqWnd8T0jp7eE9N7evpATB/o6SMxfaSnT8T0iZr+dnFRsmyh4sLEzZI35EK0mbkt0Vai7Yi2J9qBaEeinZY66/VWPhSiPu8Or+oxjuiso9yqWrf73WGb66Fp5r2++n/IxhV4/hvBD1hhuUJyzXL+28GPXA5LSms5rHJYx2E9hw0cNnLYdGV11kegL+tV7qqtrhFK3jZDlU9VryWEe39vj8S8nbUT78r9YvxP8Q+sQcGf###1368:XlxV32DM 3f6d 540eNrN2fFr2kAUB/C/aCZ39+4uYUPYVgqDtR1dywalPDKNTqqmi2ln//tFezFlyCi+r+N+qFqrn37zLvd8wdW8eCx5MptURiebO0fcVDy9X4yM5nqd/HiYTMqaf/+s5iXf3zVJsZ5tX5/UxSI5a2+4/VGUv12BqBRoIXNpoGWAFgEtC7Qc0PKUoSyNowyOIhxlcZTDUcAVzHBUTlZEjbkYj+t36ZAcwlEwSKEgPcSUSIEcVB4DcgjkWJDjQI4HORnIyYd2DHBulL7l33X/uPz1UMxZKa6IAD6nGEZBqsbtMIVxUHk0pjwgxmAYwjAWwzgM4zFMhmFyKkVMWYx51RRNyadfz/j0dKysBoNvPi3b+fkIqFLWglm+L0Z37S4CF1VbBQbb40cvlN4uFLii+rmiwjYZPpjE82TnKBikUJB0fttBIAeVx4AcAjkW5DiQ40FOBnLyoeyDKTjSea9jMI1DPO/tHFQejSkPiDEYhjCMxTAOw3gMk2GYnFIZM2tKUmJCkRcbz5OBg0keJmUwKTeHTJIzbh6L+WzMs2VzUL95CXTFOeS8WT4stm8vm9Vm9vJiopsGUZKBSfICKXmBVAhDMCkXH5aWH5YedtdVKMnCJAeTMnGpjbzUBrbFDGyLGdiimd2iSUtN8lIT7KwmWKkJ1kAI1kCsvNQWdlZb2KJZ2KJZWANpL0ozMRFKLa+129Vaelxefgp52CnUSflBX7y8kG78Lf/16+JhzcrzxfVVO5gc11dH9vWRfXNkn47s2yP77si+H5qJzOdULCixoMWCEQskFqxYcGLBH3StW3Gz+dbg6eBr3ZdAaPHmFd+MjOpqteLRvGrfsL1qN/6AN5WL++bpNce9/53dXHxI3s0NU/vpOprfJecqpQKAhAJqBMYqHXywn6+vMJgavMdhGomZwQkOo8FHHGaRC+CQNfNILEMuQA5cAI3cARq5AzRyB2jkDtDIHaCRO0Ajd4DudkAOwLoNgLC681+JLQfI47qrUSe2rtNkvWq2f+BpuSzroqnqZDqqlo+b5wb1JJnWk81dRv/vf02XzSNXNa+elqPt6wfT0XrQGuukKKOIcbZun7884S/nV5c36e3uobrl7xeXbDVXvK7a61UVY2DVB9YhsIo6sO4DmxA4jTqw6QPTc2DKow5MfWAbAmdRB7Z9YBcC+6gDuz6wD4Fd1IF9HzgLgW0feBxP4G+Xuz7cPQx9OIs6r+rzhjbso86r+7yhC7uo85o+b2jCcZ+/1OftenDUeW2fN7RgE3Ve1+cNHVhHndf3eUMDfjGl6Sjy/nsEjizk/rE3spD7R93IQu4fbyMLuX+kjSzk/jG2DfkHMlwxsA==###804:XlxV32DM 3f6c 30ceNrV201vm0AQBuB/ZNjZL6JGOfmctlarVKqsESKwiuyy0YJc998HA4ZUce7znkAY2Y92hmGHNd2xPNXcvDRRU3bZOMN95PD6p9LE6ZxVKXYdV8dYHcbTxpPYHJiq4yH7mWfnrh8/4FC3dSr7mLJQxfZ0ObZJTRZSM27a/sQxcfevrcbzN6E6b4bvOGe7LX97/LH77fZ83fV7/vV1x8ZxLOlLJwjpV2QxI+2AVCKQT7tJlu/5uqsmZCHOqFYjTUYvzkirUU9GJ86oV6OZjPLy0axGO1804ox2NbrJqMUZ3WqcKySJM/rVOBdIxfGuEWEM47GuL0M91J9Neh72A7+0XZ+VWrpwe58/ICgVhJIglBpCaSCUFkLpIJQeQlk8lE668junOnCOAlUoUEKBahSoQYFaFKhDgXoUaCGz0fibpDca74VyG43/lQpCSRBKDaE0EEoLoXQQSg+hlNpovFeKbjQ+QhUKlFCgGgVqUKAWBepQoB4FKrHRIPErGnRjRaNEUPJrOfy2xHvSLWzqEWKvIGKv5tgTQuwVSuwJIvY0x14jxJ5QYq8hYq/n2BuE2GuU2It8gEMQK8UEsVJMECvFBLFSfEN5ucy9dOjYIV2m9iDSYSJao0ivExKQoSWYoaVlng8ytBpmaPXSPoOBLUouGJhcMGi5YJa6AAY2KMlrYZLXLrdfMLBHyYWhp2lQpHMhy1GSwS2FAQzsULLXw1Qyv8xwwMAFSi4UMLlQLJcZGNijge/kP2SS+y8hQnkdgT57HeENCMi3PQ==###756:XlxV32DM 3fde 2dceNrV2s1uozAUBeA3CvjfqBGreYFZzKqqrlwHrCgpRDaT0rcv0JQu+gL3rBwRknzyOcLBSbmGe0f9uR+VrNbBappGSre3qCTluYp5LIXidYyX7bTtJNIXkvF6qf7V1Vym7QlK3dDlMI25SnEc7uuxQ+6rlPttGKY7jZnKxxC38w8pzoflPeYqbcfKFFL3LF8O+bQ8TnQeylT9pdwlUsE+FQSoRoEaFKhFgToUqG96jtD3/AMNirvwz7FuQ0BQ0i0sny14tvMXNk8I2QuI7MUje4mQvUDJXkJkLx/ZK4TsJUr2CiJ79cheI2SvULLXENlrpPVeo2RvILI3SOu9QcneQmRvkdZ7i5K9g8jeIa33DiV73wbHXblt6Kw7ESDS5b65Q5F+X09BplbCTK3cv6aATK2CmVq1Ty0Y2KB0QcN04edOEAys0MAapbwGprxmn1owsEPpgoXpgkW7kNl9UQMDW5TyOpjyun1qwcANShc8TBf8vkaAgT3/jaWvv7bUKFCBApUoUIUC1ShQgwK1KFCHAvWNZAHNJ7oNU6bX83CsW4YowRElOaIUR5TmiDIcUZYjynFE+bYR3FBUMzQJhibJ0KQYmjRDk2FosgxNjqHJNzUrU4rLJZMdSfAjSX4kxY+k+ZEMP5LlR3L8SD5EbqRQ1k2DayjTs3+h3J3+x47m5WXC0hhOUFzxqqC837/WAJXCYZXCraWQOF4vlvFYt2LpxCfmq/ez###956:XlxV32DM 3fbb 3a4eNrV2l1ro0AUBuBftOqZMX6wJbCwzbIX3UI/6EUph3GiEppomLGp/fc72nQpZReyV33PTQxq5OH1OB4n+q051Nxsml6reFpkKQ89t/ud1YrdGFvXe89229vHebd5J04fWdntY3ybxKMf5g3c1l3tzNC7uLV9d5jWRa6JW9fMi244cO/Yv3R23j9q7RiFY4yxW/O+Gxy3lo13dctb44f74oFdvX6yNY/hZ1RwX6VfvSAu701AUFIpOeySwvIsWZKxgtChNORkrBKBGaskZExyuHTMWFLEJCtidYy4Wsgz8/VdIqk0lKzS0AKvPs19iXETeXav3GrThQwBUYSIUogojYhKEVELRFSGiMoRUcWyJDQUJ4AmAjQpQJMGNKWApgWgKQM05YCmokygTKE/TvBIhEdSeCSNR0rxSAs8UoZHyvFIRaXQSP+ej0ab4D0NDTPFdBIXbIL3NLOsiMEmeP/LDDTBe5pbVmlogVef5t5UYrhZ0FpJWhJ0h87e/pwvBFVELqoi8qki5IxoxZ+WTU5BTG/EaEna4wsxkuqiFFgXJffF5w4U2yRy67CdwvbnZogNQXEudk8jX3274KvvfP6LV3erG6LSQBln3vmPy9ubQCSTQuHsk3M8fWM/mKHm1fUFr1ZrMrkI5pefnSmkSD975DmVehzcZVSqknH+lZzzr47nvyyhtPVuP7y8cpvKJLi21/h0WaASN1UGRWv7TdfyO+C2NocPqy6v5iY5Fwqnai1UfhwMhPvTsobyd/U4vBt6w2MJSJ9vfOT84OOigeLYJC7hRG14+Iisce6lq4czvQS5KX0Utrv75CF8UrQjXCJNRB/tPC5R4RM1PjF9I5YpGvFA01vaC0gWQcZFmHERaFwKMy4FGpfGjEuDxpVixpUuCa2bJLhukuC7ScLvJgm/m6S/dpO/ASdl1eQ=###912:XlxV32DM 3f90 378eNrV212LozAUBuB/pJ4kRmWXuRrYq9mBZQsLZTikqcow1ZTEfv37Nc5Y9mLnet7cKFShD+dNm0STcDDnlrvXzkmRx5NWPDnuj4OVgv01t96FwPbg7Nty23ITqzcW9vCWb4r8GqblAvft2HozOZ/31o3n+Fnmu7z33XIapzM7z+E22uX+rD8Umd/P103IfJhCbinvh9M164etfJmPIRuCKb4FTKJaiY1CI57pe/HQlJAsgiwXYZaLQMslMMslQMslMcslQculMMul5nIRlssNx6Jp8Uwcj8TPv1izazpwIGKs1BgokzcDt8NxunG341dDwDg+mvkrqREARr/n4zh5Ph5OIY5+6hoBFUn5TsNQnsx+z70tMutO47StX/jHz0cWTcmO46WCnze/+ep8HBBRknCRKlymClepwstU4TrCq0ThtNsnKv/o7xL3yySbfJXqb7We4RCTv3f43RyfxOwgWe/NVEDrSmhdA9ngxENjIVkf/+sFZKYrT0LrNGSLk5gtTq4tjiAzXXkVZKYKM1O1ZorZb628GjLTEjPTcs1UQlZNYw7gNPQAbtUpyEgrzEgr6F6+xixavU4VDKDukxnrPINISSuS0sqktCopbZmUVielrZLSzr2BxNMyQaoEpEpCqhSkqoRUaUhVBamqmwpQtV9WtraosnXpCThQoWZLD6gyAdvq7i8KUEsnYUNVsKGq+9Mq0NKVsKFq2FD1/Z0ZaOkq2FDn6ZOGlHGBCiNUmECFSVSYQoWVqDCNCqtQYXX95evlLz6vLQCiv5iQXeLGAoPFeRpO14+dK/EZoyRix0+bP0xFwY6o7qC4lvIGTrRs37XG+9vYxkUlX7/B+L/CftgWcYMxZQPhEglnm/ZnRIFP/Hez+19Db2Np###880:XlxV32DM 3fbc 358eNrd282OmzAUBeA3AnxtwFarrEbqatpNI1Uaja4cBxAK4MiQn3n7AgmLWXTWx10kSMFSvtxrwyEkY2evFddt7SWly6ZQPHluzr2TxOGeuuDHkV3n3Wkdtg5idWJy3SndZ+l9nNYd3FRDFezkQ9o4P1yX15JQp02o180wXdkHHj8Gt45Pmi5LbvPgmx2T2ziNqRNp01/uSdO/qff5eUz60ahvIxbxKr5nO5NDsgRkuQRmuQRouQizXARaLolZLglaLoVZLrUTusZyUWrgROv50dkQPoZqmueYzSCF8xk8W87gIukFLlFsIQOXSPhEiU+ETZOEmSYJNE0SZpok0DRJmGmSQNMkYaZJAk2ThJkmnyxhBJbL92dhczwT//j5wlII9vy6/8Miy9hbF4eTz3Z+dxEXVwHOTDIGyhRsz/Wl67g+cAuWKz/ZHi2VpsAktroCgC2cW+Bq4FZoDQC6nYcppIcDDOXVHo/ctC5Lmvnh/GWY3vT74xiSmfnIsezP+Nf+N999WLKmiFdPUetl1HoVtT6PWl8sehezXjiKmf84WdP/8CFUvMugjHoR61kPEZYf+s/wORhYgWt7Xq5h3BH40kj4RIVPLHEXCgEvFNoWCvAs3Iw5PlHjzkIJPAvlNgslbos3o8FtsQJusdpanEVgVLg9zoF7nG/1y3HrV+xwDzHFs34Snwjc4RK4wyV+WtXA5dPbAQafWBxQif/4xmG+koqOTPGRZXxkFR85j49cxEcu4yPrndGgZBa4NMKlSVyawqXluLQCl1bi0rRxqLTj8vtuK6F523VFDEoZhbKAnpBiB80j7PWy3e4giD+tfaEME3SbJXabt1secm7zXwy+Y44=###772:XlxV32DM 3f72 2eceNrdmM1yozAQhN8IpBEgUUtxzyW33esUloGijJFLYJy8fYB18gruzIGfAlF80wOthnls1pa7oQuG0n1TZLwE7m9Xb4jjR+pjmGf2Y/CXY9gxiLMLkx8v6V+VfszLcYL7dmpjs4SY9j5M634siV3ax+7YTMvKIfL8OfljfNKPKnnE9HGblu2Swauk3xYf7tPCZ12ZmuNS+j8zLGFWlx00HrqAObaAObyARd0YaDy+NdtNNXSbC/g2W+w222ebCbrNFr7NDtsO3SHgCZeQFTSdhqYjaDoDTZdB0+XQdAU0nYWmc8DTGVWEnFp2vO9wiiyiwRbR/EQ/ZBG3D3VkvBy7x/mzxwZaxAK7xxYbz2E/gu7Hq3GnY4KO0AQdoQk6QhN0hCboCE3QEZq2CF0g0EXe+fg23mddqZqHaS3PoGCa397/sYITjiqNKdw32FM4+9KffNf2mjr7aoJzuC88sIIh0c6hkCgcFCBVCAfF4KBkOCg5DkqBg2JxUBwOSgnj+zi2Qji+Tzi+T0ANwvF9wvF9wvF9wvF9wvF9wvF9wvF9nHfZ4Pi+wfF9QzCPSgZDksOQFDAkFobEwZCUXr2apD9dk23pj1WTTH3rfbLtbsObZVjb/dT/PT6NF94u2W9zFHDioVK117+8BC2hBi2gBhJQgxFQQyaghlxADYWAGqyAGpyAGsr610cNAVMcCYgaJKEPAqIGCYgaJCBqkICoQQKiBgmIGiQgapCAqHFMD1/de5CZ###1480:XlxV32DM 3fc6 5b0eNrdWm1vozgQ/keAPSYQ3Sqf9kWVmqraXu9OOp1GBBwUlUBkSJr992cTsklur6vGjDDZDy1O4Jl5Zphn/NLWRbKTuFwtK+C+uUwENhXmm3UKHNXeT1VV15gWVfrSPtY+hOIFeVq8+M+Bv6+b9gbmspQqaSrl52lV7sx3nlr6uVq2l7LZYaWw/lam7fPeWq79fLH29E/e/kq8Mpdp6umhfjxpVjtpbh1GuCheUEOMGz+rts0CVx8gmKXst/q2Y2C/QAx8lga3HsPthyBuP4Tw9kOY3H4I0e2HEN9+CNOZvNUQdkmxyry0bpSvknVRVZu/g388PfSUX0+wrFblqjGf/WX4y4f49PHRe7qbP95/wsevd3MWm2/j1HXczXqDmgiqDGUZZ6OiwyI+HJ/vXqPJwE5lrqVuHA8c7asyThMHTnGTaFMhd+kcXDoXLp2HkRzQeYabslEfghmuyh3Du4c/MIgGnNFU3eiG7U/F4C7n6+3etBT9EZNayxy/PHxExrHC+fNfqK+MuaKl6+ENWszQitLBaX19+h0/P9/f45dPD3E0uPtcXzPmmQsut0XhmUHGYjkWJgfxstGkho8mNfyQGj6a1MBoUgOH1ECcjIaQauLF4GTK/FVletzS8bqerFufnpbGxIaNig2fOSibt9hgMCYybExkuPOi6VYTIxHUGRvmvoRPbEZQwmdkXKzxzhfDQ541/I/7H9bi0dItH73WnLhm0K0xnaeCx+CWQZeHhSsWabVeuNOn8e6iPZxvSh20h5/uiR1o4oKPk/bwHwbu2sMlERft4YKBs/bQsXDUHs68M7CqRr0PhMwSeDy3tXGsZJJBYgnEpz8DK9Yt+HA2ATZnE69q1UiIbZEMpC20Y83fofTMBNnB9/572F4i5mm1LbWwmD2U20PBHirsoaGY2EJxX5nDdNadpIuglyGmDfU0wfubgP4mhDEhLE3UutrliUlIYoaJBYmdToqk1kKYXmsNy4BxMH/DeU8rfAvbtlK4Fp/ogn9P9/4R1c3UsOgBDvq4hj7giU2q2MwmWnaMltkQPqJ5H7DoA45sUsWtUsW/V5UNYd6nMI7g2CZasIoWjtFavVvo826P4KlNtMIqWnESfR+0VbhHdAj8ajAGNiBmA+I2ILABCbh6QpfrTfPt+gmqhWFebKXZ4AjWD99Ocgs7G7bz1RmaXy+Xdl+W2qAOQdeyuX79dgHXObOYeU7bQt4HDH3A4vr1ot5sY60KfU+a/xbshtfvCc7tMDJDjMoQpzIEVIYElaGQytCEylBEZSimMjQ9GeqlEKp65FQK4WSMqBTCqRTCqRTCqRTCqRTCqRTCqRTCqRRCVUZApRCgUgiQhUalEKBSCFApBKgUAlQKASqFAJVCqN6+oFKIoFKIoFKIIMsRlUIElUIElUIElUIElUIElUKoXlpIpZCQSiEhlUJCKoWEZMm+UMi/GORWDg==###1548:XlxV32DM 3fda 5f4eNrFm1Fvm0gUhf/Rmnvv3AHUyk8r7cumfWhXfYiiETEkteqYCnDX/fcdO65SVdvCmTvyPsVxzvkY5hzDGMi4a7504WH70AuvTj+8C1MfHj8/bYTDcFy1XdOGXb/5FEXH1WO3D+Owi3/rbtXf/XF57fyr0QAqc4GqXKD6BaQmUKYB+SIXiHKBOBdIcoFcptB8Jk6ZiVNl4ryUWhzK+XfYTp1oko2E530v711EjhJMN0+HY+jD1DZTQwXZGVRkYGQYB2dgSAaGy8DQDAyfgVFmYFQZGLWdwRm6zhm6zhm6zhm6zhm6zhm6zhm6zhm6zhm6zhm6zhm6Lhm6Lhl6KrGnhZUhZoSzj0LtCG9HlHZEZUfUJPcJiG2Yhrjy+UrSJLjjtnfNOJGUCeZxaqYuab/PzvC4O3Rh7CbpkhGfm7jeI27nAdv44zzPr4s1d4ieYAOhBkYNghocalDU4FFDiRoq1FCvsWaguTHaDIa3gDaD0WYw2gxGm8FoMxhtBqPNYLQZaAyCNkMIHJGAegfqFdR7UF+C+grUx4SbhfrTGZAXnHu3pzfOJ9sl1xVe1M9nKeF63rQvikJOZ6hmqZYgMSFiRsSCiB0iVkTsEXGJiCtEHNu3OG0kE0bSZoiMpM1I2oykzUjajKTNSNqMpM1I2sg0C5K2IGkLNAwkbUHSFiRtQdIWJG1B0hYkbWTmHJK2Q9J2SNoOGjOStkPSdkjaDknbIWk7JG1kMhRJW5G0FUlbkbQV2kEkbUXSViRtRdJWJG1k/zyStkfS9rwWAsTfL5ks3wDSEO+ACfSAtgS0FaBdHrgCy3JFluWKLMsVWZYrsixXZFmuyLJckWW5IstyRZbliizL9TWSCSNpM0RG0mYkbUbSZiRtRtJmJG1G0mYkbWSaBUlbkLRl+cH8LH4+mOvy3RRgShygVUDrAW0JaCtAG6sxN8/TcTV7byNq/nrzZ3B16MMYs+huSe/C3904vv/Y7AOH3pEZQbMP3yxghHcfaHZ6Imfzsdt86obV7A2hH7Q3m/6wn8Juu+9GYalSnSR1srUweC3bZYNXDF5n8Gp6RIZ0Jd3q0q2GffXp1jLdWqVba2lTrWHzNS5vZ2/E/tZPZgBZAWwFiBXg1rYQyOhno1+Mfuv+q9Hvjf7S6K+M/tpSwN1hOn2KH0wAshPITGAzQcwEZyaoNUyyAtgKECvAWQHmSfRWQGkFVFaA6ahw7AfbUeEEIDuBzAQ2E8RMcGaCWsMkK4CtALECnBVgnkRvBZRWQGUFxKOCLAeEfUFCYbv/MvtPOP/pIsT2eHo4c+qGoR/EJ9nit/I0I88/CfsrY3j3obCYSTTJrMiX+R995wGneynRG+7btlhyZetX3stNqGLJBbbfM5wd4e2IyjCRHvkQP3tPD6zhn8bnB72bFNvlCTZ4pMeH+Mo3Ka6bpm3Hw33YH57OW++m8ba8+/nX0/PzJOHtP+8vy11/f8WNUUlX3NrlYv8Vp1OuOZ2ypivumrvmrrmrNsVdmsL/wzbLGOE3nrpBag==###1196:XlxV32DM 3feb 494eNq92ttu2kAQBuBHwrOHWaNGXFWJepFE6vEiqlbGNikqh9SYlLx9fYqKqlYq9j9zFSLk77fXs8t64LDJnsu4Wq/21szaP+xivY+PT9vcmlifZvm3Mv9eVrPHrC7jadW8us2K4nBcxt1xG5+y5s368BC+/vnv9niKZOP9p49xc6yv/IKXbw5qYeRoVFoLrWNdlVnxQs5PN+KHL8k05znbrIu43tXkGOPAIIOCrMtAUDfeQIxcDsTiNZa7Qd0A5woQ1E3L6FAn5lEQo6qC2xJjMxr7j5UstCuZIYUQS2wVQprR10ghzjRi+hJnJvEwp1ACTqMEXHNv5gop/a0xilmevXyW0pLjNUrBN6UQNFK6QcsUkvpCoESh6l7DrGKWU8wKGlVuNEKsxlSyKlPJ9lNJK0njk8JbxXlk9TYMrPBp1G+A5a9FYy0IGpvsYJkVQpQ2CSkpXE3aLzlKQWpXZDhVCorXelFTuhD7oVE2NKbMFKh7Rm92l8ko5C9dxpu7t9H7hm7fI9/1F/OXK16MnGaXRNDYorwsZMI0uzho7GblkqBhL66W5OyoxlncJUlwTdE/23La8W4qwOMm3RnQ9VwBCCEQM+GGcDegNO34bjTcRKOrrdSOahZnp3V3wMybSYd3lc9N5bcLdLx/H8nYuPdWACUfJqm3+X77lFVxWTYv1rvHuDpuNu00TRZSMonJRky2YrJb+FRAbr/MS+RokqONHG3laLfgHEJXRcyKonog8zX+rH6/Ln8cs01sdgP7fnaqxpFunNGNs7pxbhES1bj2czGwemR8d/c5JlxoBfdLnm4eKecZ5TyrnOcW475sPs877urXOLcEYiN/0PAvLcFy4LMz0KHDahaqOajmoRpDtQDVUqg29wlQGx5gCEyShNlspdGXLkAaPGnxpMOTHk8yngx4MsWTc/D0GR5yDdokEZQkUCMwpCRgSpynFTCdgOkFTBYwg4CZCpjoZeS0r+DLSGuSCEoSqBEYUhIwJc7TCphOwPQCJguYQcBMBcw5qNcwtDMwj0MDBnqaf9USLAc+OwMdOqxmoZqDah6qMVQLUC2FaqBew6Ahew1nJEmYqMbAuYknDZ60eNLhSY8nGU8GPJniyTl4+iB7DecmiaAkgRqBISUBU+I8rYDpBEwvYLKAGQTMVMDslpFfTtJxOA==###1224:XlxV32DM 3fc7 4b0eNq92ktv2kAUBeBfVOE7T1utWGSBlEW6aKR2UVUjYwxFMY/6kZJ/X9tg0ih0Ned0kQQJ5ePM+Ho8vqap8ucyrLfrg1az4Y8zoT2EzXFXaBXa06z4WRZPZT3b5G0ZTuv+VX7ajv8weygO3b4Nv+uQr1Z1OB3qT8ncqo8N1hQKKgxUza3AUYLJyKkJpiGYlmA6gukJZkows7nN4symW4bVYWS3/bvHvGnDrgzFy7Cc5CxbqLgQZ4VpK6KtibYh2pZoO6LtiXZKtPsVZUmxq64dTnsnTD3cf/4aEuYIhDoC+Q8jUNQRqGkEOe0z+gEkRJyeXzPza35+w8xv+PktM7/l53fM/I6f3zPze37+lJk/5efPmPkzbv5Ln2NJw4WrC1VXc+K0CxOnJtdM3DBxy8QdE/dMPGXi2dy4KDzsEzEubPfPxoMgAUSyBhTpDImxUVJRlXk9JnIYRyLba+/LIb6jfsMUCioMNLpTfQslmIycmmAagmkJpiOYnmCmBLNf/ePWtXJ3bF9CXW5MjnHC3Ze72LXtLdYPtngKChlQIwPqc0CNDGhMBsKGS1aOs8QmOOw8cWIKEHkpFChnYVzdtMdDa0wUt+6qajxdVxAmbKquDE3ZRh7Wd14oXiLPsVukwM2qayO3IjdNMUsMOtagM3FHp853s8gFZSAe+l+h/5HIBeWNlSAxaDKFxDQSM0jMIjGHxDywZhXQ0kDLAC0LtBzQQh7HFGhlkffy9Wr8akt/3x15NX6Fzut9dFG8enUb2UKZLOlHWaKk6bIGiiawaHKJ5lHRFCyamk/bZUzVCqpqpzmzoKqVsWoxg1SoQaprzWKCaVQwfa1YTDCDCmauixkmmEUFs9d6xQRzqGAOXGMeFcyDayxFBUvBNZahgmVTjVnI6v9d1I/pm7Tj6/JXl1dBJBwi+3+XDwgJyAFdnUJ/XwiCYIkUaIpQjgY5BuRYkONAjgc5KcjJzDrOKfNVaNrhjcXjQ1gsVspqtPjhfi+RT6v/oYbHb8nQFVzS8KGXZ9D60I1P8KFH9rKh54QWTujpcQxVd5wpIYVWlwdAVN1zpkRzWMNhLWeKLWYXedmgxfeDXqEpmId48T2cvyRMD+cKCiwaqodzBRUsGqqHcwVRlSage+LJU6hgqL7L5GlUMFTfZfIMKhiq7zJ5FhXMgmvMoYI5cI15VDAPrrGx7/IHlXBvhQ==###1588:XlxV32DM 3f70 61ceNrNmn1v20YMxj/RbB3vTcKCAOuKDAXWZEiTdkAREIoke0Zsy5AUz/n2kx2d3SZBa5H0oH/il/j58RF5POmkq+fpusDJbFJqGG9fnMGmxOlqkWnAZjPO/imyh6IaT9OmwM2kfZduZjvB+N8K0zyvzuJzXKXZAxrjfq0FeMm5yYVAz8assRI8jIQ4SiZRqCIpkJgjEEqRFEcLcYwQR2ooOiGOF+LEQpzEKCZn1hQG+AxlYj7kefpxcigvh4rlUAlt9sjmRVph/rhY4dU1Kq2wNKkQCD99iWhnkbdgu6NUwjwQ5mlhntWewtuSTERV4nT+WGBdNLogI56rxQVoLsBxAV5PKIAZNut0Pstxtmxos+nycbFzUDT1WXROmye+Z4QOEkOBHMrIoRw/30og30ou30ou3wGl5VAxP98gkG+QSxLIJQnkxndAJfx8a4F8a7kkabnONQJHZuQ61+wXv2IoL4cS6FwrkG8r17lWrt2sXOmsXOc6gXy7/fhOxFCRnC3Dz5IXyJKXG5VebqoMKGsLJuqrv8MXHxePG1Qer25v2pP7iQPAqQPoUwcwpw5gTx3AnTpA24cRMwBGxrMRWNXNqmz4ZhQfAXyE5iMMH2H5CMdHENfnJTZVkeZP2/W51scT5rNlUWtoF5s6pai6U5w2/cWqjXlPkoVbIZSgihY0LA49KSjQggIrvZoWNCxeLCmooQU1rJpaWlC7rymhYRSpYdQ+uYSQQAoJ+9QSQmpSSM1JrCGFNPtWIYS0pJCWU0tHCuk4tfSkkJ5Ty5gUMubUMiGF3D/U19BbjBFFpAgH1y5LSSpaLCCpNEllSCpStYAi0hSRoYhIx+QoIk8RxRRRons8x6+b9qJ2e2U5W651RtMp/HD5ue1L31OOF58+4sVFrvrMId8rf/mw7O36W3HbrCw5GM2SdzeJeCZMn6eUb8jxggv4o88V4esKsg5f80qoeSXUEB41s0ww5abPMvaVHO/zXBnPJXT3cnvtQ/sRCeijMuwfmHABXAvsY2A7sFyA4wI8+cQA5BMDbLviniGmTyo7da87fa/lsN37xHOg++ygey0PDZBQIchIASrCBqAXCOYMEADAtcAGaC7AcgEJHNVJD91N0eOuWcOvQ6EIouMuqauyrjGbl61gt+nxqNnopahYrJqnowbTm8rwmPOoSvyAAFyA4QKsoeR8+wdN6z+bP4wvVXTUHtGfQUKHSsDa2oze2T9vb2RgavSbHAwkYXr0Xg5mRr/LwaxkAZxkzrwkLJYsQCJYAJDsAJDsAJDsAJDsAJDsAJDsAJDsAAgdkAjAQgNIsML4V2yWE/DjwgrYsVm30XhTN7t/4LRYFlXalNV4mpXL9fa7UTUZT6vJ9iU2/1+s6bJZY1lh/bTMdr8fTbPNqGVsxmkxCBsfN+331+/xr8ub66/R3f6tusO/r67RApa4Kc+iczVEw+pgGDrDatCG4WBYd4ajQRvWB8Pm2bBJBm3YHAzbznA8aMP2YNh1hv2gDbuDYd8ZdoM27A+G486wPRjOh2P4y/V+Hg5vu3k4HrRfdfDbTcP+W7//AbHyyDo=###872:XlxV32DM 3fda 350eNrV2d1um0AQBeA3MuzsH1EqX+U2TWq1aqXKGq0IoMguRIBc+vbFgFlX5QHmXBlhZH86g2dnTXcOl4LL97LRlFxfnOG+4erjV66J+yHJ26brOD83+Wm6bLqIzYkpP5+Sb2kydP30BldFXbShb9qkypv6cj23a8ukasvppe4v3LTc/anz6fpdlQ+78TOG5HkYz38/8Ovnr4efdFwP9ZF/vBzYccND8yndq/D22Enz6ug1s9eK9protbPXiPba6HWzV4v2uuj1s5dEe330ZrNXRS+J8B6eZl965NuhWn5qY7bCkCoiaUEqcUiKyKXJ2lQcUkfk0lnNgzikichbO83EIW1ELj3UeHFIF5FL4zROHNJH5NItzbjcByUCeevjaWzpS5/MxBnV8X7iuxq9OOPmKCrMuDl+CjNujpzCjJtjpjDj5mgpzLg5Tj6UIozVdK7rQ1WM/WfXvo3HFb/XXZ8ELV34NA7kCEoFoSQIpYZQmn1wCEpue4Q4LUScFiVOBxGnQ4nTQ8TpUeLMAOL8wm1RcYoCVShQQoFqFKhBgVoUqEOBehRoJnMz/LuVvhm+F8rdDP+rVBBKglBqCKWBUFoIpYNQegil1I3GvVL0RuN/qEKBEgpUo0ANCtSiQB0K1KNAJW40SPxTN9p46hYQlPwRxu+WuCZtYUX+O0sbzzIDgnKuPSHUXqHUniBqT0vtNULtCaX2GqL2eqm9Qai9Rqm9gai9Wdd7gEgtRKR2XUYBInUQkbp1dQKI1ENE6temDxBptg9eunLaLF93eSDScU9SoEhvv36QaAkmWlrXKpBoNUy0ep2swMAW5V4wMPeCQbsXzNoXise/gCzLyQ==###736:XlxV32DM 3fe5 2c8eNrV2t+OmkAUx/E3EuY/pIarvkAverVpJlMWiNGCGYhr374uWrbJ1vvzvcIg0U/O7zgjw8yndOlif+gno4v3g7dxmeJw/tUaHZdr0eZpnmN7mtrjetl6UbTHqNvTsfheFtd5Wd+IQzd2OS1TLoZ2Gi/v53a5L4bcr4dxucQpx/n32K7X74b2urt9xrUY1nPzkobuRf/Y5dfb6yEexnkpvsXcDXvbxHO6fb9N4ctMALsmdRTpvbSGBg6UXvBN6inSe2lVSWkGvw0MMLCndG/AjGThUVpHA1eUXqgwvVBtPzMYONDAdfIIcCwpUEWBagrUUKCWAnUUqKdAAwVa1SLvZt7yBzQZ6cKv+7JJiaB83BHK7M5P2LwQsleI7NUje03IXlGy14js9bYq6BFYRvYGkb3Z1tQ8AsvI3iKyt6T53lKyd4jsHWm+d5TsPSJ7T5rvPSX7gMg+kOb7QMm+amQ+1flXeV/JLzFSJfT50/+kf8dTSGk1prR6+5sCKa3BlNZspYWBHaUXLKYXPu4EYWBDA1tK8zpM8zrZ28KegwOlFzymFzxtIPOy97E9B3tK8wZM8wbZ+9ieg2tKL1SYXhC+RfA5uJK/sCR6i+BnqKJANQVqKFBLgToK1FOggQKtai0Cml/jeVxy/HkY92UjEKUkorRElJGIshJRTiLKS0QFiaiqqZU0VCwFmpRAkxZoMjfTH9+599Q=###936:XlxV32DM 3fbc 390eNrV2tFumzAUBuAnWsA2AaxVlSatmXaRVUpb9WKajowDKGqCI+Ok5O3nkKyNJk3KrvqfKxCQ6NPhx8DB/drsa2pWjVMyOS7yjIKjdruxSlIYEutd35NdO/syHjYeRNkLSbt+SZ7SZOjDuIPauqu9Cc4nrXXd/rht4puk9c246MKenKf+0Nnx+Elrh0n8jyHxS9p2wVO16ijT4nMPZpoCmnJAUwFoKnUKZWotpXgkgUeSeCSFR8rwSFM8Uo5HKvBIpbFoJNP7uqW16cPP8hf5ermzNQ3xZyInZ5asuKJSrLy0NRGhOYWi4BWK4hgKycdbiri8SW8Fp0yU5KqMFfd04YmUUzQ0x2joGA0+NZYpwxrLNNZY8OGKc405lVjwKrE8l7ia8jPTw3PKKRqSVzQUw6tPkdMYN5FX/9b2ijUERAlElEREKURUhoiaIqJyRFSBiCpvQb4ZXKAoBTQJQJMENClAUwZomgKackBTAWhC+ab5xwT0TfOCJPBIEo+k8EgZHmmKR8rxSAUeqawkGunf/Wi0Bu91aJgW01VcsAbvdWZeJQZr8P6XGajBe52bVzQUw6tPkTMVG+5xXozlpBWM7tBvs2JKRokoWCVinBPDZ0R7nxLDJxDHGTGKk/Y8IYZTLjTDXGhy5ccOFOt04pdxv4j7X5uQGAHFmW92Ay2+zGnxle5+0Ox59iiENlDGkXf37f7pMRKFyaBwduc9HdeoDybUNHuY02y2FKZgwfz0vTMlF+lHjzzXUs+DO4+kSh7nX/I5//J8/rWG0tabbTicuE1lUlzbqXxKl6jEVZVD0Vq36lq6AK5rs/9r0/1ifEgumMJFtWQqPw8GzP2ZrqH8XT2Ei6E3vpaAPOebfuL70CdlA8WxaaLhRG18+ZhY4/2hq8ONuo03pd/bQfN0###864:XlxV32DM 3fac 348eNrV2k2PmzAQBuB/BIxtDKhVTiv11K5UdU+r1cgxH1olYGSTKPn3xWzIper99SVIASmPZkzmdUg4m2vH/WfvpMjjQSteHA/zaKXg5ZZb70Jge3b2tF22XcTqxMKeT/lbkd/Csp3goZs6bxbn88G66Rrfy3yfD77fDtNyZec53Ce7XZ8N5yLz7XrehMyHJeS2yIfxcsuG8b34WF8pG8kU3wImkSIxZGPAJQp8osQnqp3YKDTilb4Xh6aEZBFkuQizXARaLoFZLgFaLolZLglaLoVZLnWgusdyUd7Aibb5aI3396lb1jWGNsEJP00Sfpok/DRJ+GmS8NMkYaZJAk2ThJkmCTRNEmaaJNA0SZhpkkDTJGGmyQeLGsJyuXEumg7PxPGV+PU3a3ZogfcfIGJbqTFQJm9G7sZ5uXN/5E9DwDiezfqR1AgAo295nhbP8/kSYvqpawRUJOVHDUP5adqWB1tk1l2m5b3+4B+/Xlg0JTuOpwp+ffvDN+djIKIk4SJVuEwVrlKFl6nCdYRXicLp2CYq/5p3InG/SnLJV6neq/UKh9j8fcGf5vhLzBGS9bjNoHUltK6BXHDi0FhI1mMfU0D2dOdJaJ2GXHESc8XJfcURZE93XgXZU4XZU7X3FHNu7bwasqclZk/LvacSsmoaM8Bp6IGlnwEOsaUVZksr6IlQYxat3r89oHW6qTB1fjGAdfvPTn/deaWkFUlpZVJalZS2TEqrk9JWSWnXKSrxtOv0RFQJSJWEVClIVQmp0pCqClJVIyZXbrd/BHeosn1LAg5UqL2lA6pMwK665wMW1NJJ2KYq2Kaq52Na0NKVsal/Ab0iZ1I=###900:XlxV32DM 3f8a 36ceNrd201r4zAQBuB/ZEsj2ZbY4tPCnrJ72cBCKYOj2CbEH0F2Pvrv13biQqHd677qJYHEoU9mRu5rmQxNcSm5OlS9onh+SjWPPden1ini8RY73w8Du6Z3x+Ww5SDWRybXHOOtiG/DuLzBddmVvhh7H9eu7y7za5Gv4tpXy1M3Xrj3PLx2bjk+qhsR+X3sT904f0RErj93I+/lU5rb8tuAKeNTMf09ZTNMYJajykxuU0gZC1SYRIURKkyhwjQqLEGFpaiwDBVmDP1v2NXHxgEg6msxRNdhHOICi7Npzzd2fXuS/OPnd1ZScs+b7R+WQnAvpamguE7GFk5UTzWMXOH9a1eOTyovBKSwbp/Fy/Qoo1biEuVMHKJ2wCUSPlHhE/VKtBqNeJFPIrcJJEtClktilkuCloswy0Wg5VKY5VKg5dKY5dI5XJokuDRJ8GmS8NMk4adJwk+ThJ8mCT9NEmaaJNA0SZhpkkDTJGGmSQJNk4SZJgk0TRJmmnywpJVYrnkXtUjwTB/t7IJtQ3/qvN/eRmw1WQtl8kXL1blpuNrxASyovbPdWwpwy/Zj4sGUALCZc/VcdnyQxgCArsv9vd0OhrIp9nuuD05Ebzcdn83L/Rwi7HTmmN8X/Gv7m2+9n8ObDFdPQetV0HodtD4JWp/OeheyXjoKmf/4Z/0VvoQOdxlkQS9iM+khwvJd/x4+BYNC4toe1z8YW+z/NBI+UeMTM9yFQsALhdaFAjyFqzHBJxrcKVTAU6jWKVS4LV6NFrfFGrjFem2xCMCorQM2+hF3BBPgEUzW9ia47U2w25vmuCfot5/04ROBBzDFHsAMeAAz/EsRA1w+s56e8YnpDpX4yXbSdJkcHJnCI6uZ/Bd6C1vZ###820:XlxV32DM 3fe3 31ceNrdmcuOm0AQRf8ImmoejWKxihRlM9lksomiEm5jhIzBAuyZ/H2AjP0Lc/CCh6ARp241lyoY2/JW6bE59lbCZZPGOvVaX87eik7voR/6cVTf9v60DlsHaXxS8e0pfDXh+zitJ7Suumoop34Ia993t+VYMBzDejium266aT/o+Lfz6/igbk3wNoRvl26aL2m8Cep58f21m367P/rt5atak2uv5eGgRn+8/tzFxd58GTeFnGwPOd0ecrY9ZFfkDoqsERdNuGiWixZz0RIuWspFy7hoLvdUtEO0M0Vp0Xh6KeebRpugtJugTNETMirQeMJ+XuQjx5If2ZTDhE6zZafZ3g0HnWaLT3NcoAWM8QImbAETvIAp22jSh9GQRczYImaPlzJZRMcW0d07gXzPpVSDpmNrJ2g6i6aL0XQJmi5F02VoOvLHLWE36/Jo1iO0iJYtot1AcSVLo0nGS9g5TjbQhcjcK6HxMjaeY0/BLbQfgi6hBV1CC7qEFnQJLegSWtAltMwldEqgG3Th00t7HddfhU13yw9QsEi/v/xSgxNOdhFTuDvYh3DZp36zP1fn0GWfTXDor5M2ajAkkXMUEsNBAakiHBTLQYk5KAkHJeWgZBwUx0HJMb7PsRXh+L5wfF9ACeL4vnB8Xzi+LxzfF47vC8f3heP7nGfZcnzfcnzfCmaqxBiSBEOSYkgyDInDkOTefDZJvT8H81KvqzLo6sr7YN6dh5dTc6uWU//3dN+edL5kuc0awF6bnSl8tPEQomeIIXqCGOQJYrBPEEO8xPAPh+2j+Q==###1332:XlxV32DM 3fd7 51ceNrdWW1vozgQ/keAPQ4Q7Sqfdm9Vqamq7fV2pdNpBMZBUXmJDEmz//5sSrvp7vXU2BEGPiR2Ep6Z5xk848FpiuQgcLPd1EB9PYQM2xrzXcmBYnv0uaybBnlR84fusu4iZA9IefHg3wf+sWm7HzAXlZBJW0s/53V10N95cuPnctMNVXvAWmLzo+Ld9V4pSj9PS0+98u4t8apccO6pqbo8abcHoX96mmFaPKCCaDd+Vu/bFLcfyWLFyYdm2hrCGWiIZqAhnoGG5YoHU9cw/dtAgxlomMN9oDPQADPQwGagYQatBp1Bq0Fn0GrQGbQadAatxgy2B5hBqwEzaDWATj8dYPoS2PQlLKYvIZy+hGj6EuLpS1iuxFQlHJJim3m8aaUvk7Ko693fwT+emnrSb0Ks6m21bfVnf7OYvcS7T7fe3dX69voz3n69WpNYfxtz17rbcoeKCMoMRRVno6JDIjocnxevUTiwU5GrVNeOB1b7KLXTxIFT3CXK1IK6dA4unTOXzheRGNB5hruqlR+DFW6rA8Grm78wiAbc0WTTqoLtL9ngLtfl/qhLivqISaPSHL/cfEJCscb1/XdUIyGuaKn18AYtomlFfHBaX+/+xD/ur6/xy+ebOBrcfa7GjHh6wM2+KDw9yUgsxsLkKXnJaEJDRxMa+hQaOprQwGhCA0+hgTgZDSHZxungZKr8UWZq3tHx+pqsSp/alsbEhoyKDV05WDZvscFgTGTImMhQ54um7yZGklAnbIj7JfyTzQiW8AkZFz3eaTM85FnDf7j/rRePNm75qF4zdM2g7zGdh4LG4JZBH4fUFQtel6m7/NTeXZSH04dSB+Xhf5+JHeTEKz5OysMvDNyVh9dEXJSHVwyclYeehaPycOKdgNFqVM+BIAyBfdSprQGAzMoAtcTb+o8s8TGYnJNIkWTECPkot62A2BRJ6DvKTabYYQ88+u9x9hqx5vW+UtlNzKHUHArmUGYOXbDQFIrHWp/ok/44nwVWhogyZGmC2psAexNMm2CGJhqVnOInk8VFzBC2vIwdvPsWsPQitvoqelFr7KLWQjg7algFJKA6ShZYYoaloP9ae8+u8Ba2u7uBBb7/SxXONZGoKgKJCep5M08twIGNa7ABhyahIisTteRZLTEh/IymNmBmA17YgCOTOFOjONPVS4OamKPBBhzbgJcmoQKjUMFL9poQBptVBTahAptQMaNQMataxWxyiL3kED0bjIEJiJiAqAkITEAMzu7tRLlrf5y/M3cwzIu90A/cjNjhu64kNbPRF38bNFihDXKtO2XgH/4FqiqdAA==###1220:XlxV32DM 3fff 4aceNq1m0tv00AYRf8R8XyPsS1QJCQkVqUSghVCIyd2goXbVLVT2n+P0xQVVqW+d1Z52PdkPHOulVjxODR3Xdr1u4PK6vQQLU2HtL+52qqk6X7Vdk2bhsP257zT/Wp3HIa0H45dGrtJm7fjgvhNM78KugHCBfLRioSj+WvD++46jbfDvK37Vnx/8/TUIsIJNFBggYQFUhbIWCBngSILVLJAFQtUP4OghrB8FFZDhDYiVkOE1RBhNURYDRFWQ4TVEGE1RFgNYWmkrIYoqyFKOzRWQ5TVEGU1RFkNUVZDlNUQZTWEtfrGaoixGmKshhhtjlgNMVZDjNUQYzXEWA0xVkNYi+ashjirIc5qiLMa4rTJZjXEWQ1xVkOc1RBnNYQ115HVkMhqSGQ1JLIaEo20aJHEKUmcisR5llrttZxft/3UqS+KBZWXc+dNp/fOW1cWFoQuro736ZCmtpmaUAScEQoCgzAOITCUwDACwwmMSGCUBEZFYNQ4QwiuC8F1IbguBNeF4LoQXBeC60JwXQiuC8F1IbiuBNeV4LoSXFeC60pwXQmuK8F1JbiuBNeV4LoSXDeC60Zw3QiuG8F1I7huBNeN4LoRXDeC60Zw3QiuO8F1J7juBNed4LoTXHeC605w3QmuO8F1J7juBNcjwfVI8DQSPI0ET+PsaYEyHEaU+CgqHAH6ddcMfRuCbhcwfhyGtr/evyvW2gLxgOYDmBcwr2DewLyD+QjmSzBfgfl6DekL6iPF2gzLn//nJ9gw0MMAWyBgCwRsgYAtELAFArZAwBYI2AJw+RU8iWvAhq9Y3LC4Y/GIxUssXq1Nofif0xcyiNnezfJ4KqA09PXn9NdwKA5+umBxxeKGxR2LRyxeYvEKi9eQs9iyC+asYM4KOHjMWcGcFcxZwZwVzFnBnBXMWWzdFHNWAzR2g9IOpSOULqF0BaVrW7Jk/dN1idMFituuaR/S+08f5uNIB2upuKDlAt44NVO36MLNY/L5ZrZuMeJ8T5rULwP6p2OW3cv7XvXX6bRz2hx3u9X//Kr9N/FxnlYv5ml+fOvyc/JyXjKnYIJvXsu5aNp2PG7SOM/W4y1Vfz07Xf5STZdfv6Ttw7ti7dt89JAZH/LiJS9e8+JtnVOckJUuWemalZ533j0rPWall1npVVZ6na+sw3E6nYfbjPiQmx8y8yUzXzPz55NC+xu/EqpI###1356:XlxV32DM 3fb1 534eNq1mk1PG0EMhn8SmfF4ZlagPVRVe6KVSisOCI1CskGoCaH5oPTfd5fslkQ9tGu/PgAByY/9ejy79gzn2+X0uSmLh8Wa/Fn3I4ayW5f7p9WMfNm9nK0eHsummc7L3X6xOLuczufb/V3ZPk1nzY3j26NPq/1LISqfv30ty/3uwnHNs3NDvi3e2+LJFh9s8cZLG23xyRafbfGVHf5lvbmY1Dw3xDtrvjPme2M+GfODMZ9ty9PZ4r0tnmzxwRZvvLTRFp9s8dkWX9XBj8bP1vvHXdnMS+tn48qHL+9CBYCUNsjvxQNZITCCtdlpk+QRSfJvwhyQRdokeVCSCJEkAlYSASuJQEkKiCSF40piBEsvjBHC+Hj1GcHSC4sIYRG4XyNIWEIIS8A9lkDCMkJYBu6xPAhzOs6rrqxnDLJgKAoBgGoTRErM7Fc7qSIoDkLxEApBKAFCYQglQiipVpfd4WgDgnEYjMdgCIMJGAxjMBGDAdVNls9ePzeA2esYou2ZTliKN3DP8QhhkBnnhKUXRghhkLnkhKUXFhDCIHPJCUsvjBHCGLjHGCQsIoRF4B6LIGEJISwB91gCCcsIYRm4x9RzSc9RzSVHjEFWAKAUw8SA0Q0TRxQHoXgIhSCUAKEwhBIhFEVTOFCUw8QxxmEwHoMhDCZgMIzBRAwGVDe55vGY7jLo7Wro46f3hSdlfbgj4u6OyE2cCdbZYL0NlmywwQbLNtjomAywyYLqTWIlE2owobIJ1aYGsgm1cqEZTe1uzefr10frw65p28ntrqya/gHr2IOB5ep6wtEA2nWwgv/H+T9wP8fS6OGhPE7aRzRFmZ2jJDR0lKWWjhqpaT88iqP2YksSB039BCV2HcSuw5AvYXl46SJ3siuxqaO50Pagl6V6Seq4X+ModSxeIbFUcahJapilhhXNZIbDxpNVYlUeHp9pKrd1GmOiO4WxUHMIcs0HW6FjfjUef+T1ZjscKwIYDGBEWoxl3DWzdfuX+7LYL5ehe+xrCa9HgFMEpT+N1oryalEeIsof388pRUW1qHgIZ/xb/oRSaYVUXnDI+3dn23a14zudzn/7632YSC3L/XLflG2zG5+FP4j+daEmCBq2U4LXAkgLUEvIWkBFo3fVZro6G//a7Kwu22+l/Rr/9ur/+aA7Y57IbYecid27Wm7rFaH7WtxkDQhSuCe9+6BwH+pht4jds8I9691HRd0kReipHkY1sfuscJ+HugkLIeIm3w53Bd3H5sd+uixts7RmhyYGxxN4lJzgyD6lZuSET200SK1BmMkgqanvFPAJyPhgc98X4YOtxl/s/BNZrq4dx/Pf+Rv6Vg==###1504:XlxV32DM 3fda 5c8eNq1mt1v00oQxf+iq3pnZj98uYoEQkU8wJUul6eqWrmNgyL6AUla+POxmzgF8YDP2c1To2p+Z2Z2jtf2Jtub7rHPq/XqXuVs/BMs7+7zpy+31yp59/3sdn2XN323zFcPq9XZZpm75XJzkS7zt83xY//1obvJzuX7/KW7/py9phdbSjg3POp4VHhUedR4tGCFA49GHk0mMDp+2u66XZ/PP7zL5+dLZ76CyF9v7yzW0XHO2gpK+6vGWVdPq6qYVOyyplaoqBVreELyq/9eNdbXUtqXptVKc9VKO6yaWaAEv23Wg+DL96+zyXDXWN89kj3+LiQKK22HXvp/moV2LJk3O3xv3MNuyMuijkeFR5VHbcFOZ2i1Z8npqYRMPSzViiUPO2jD5lY6t0656b6NXnKbcrOpPZ3aH1IHNnWgVzxMXdNtRzp3nHLTfSd6yVPptNuFcW1fOH+Z3wybv2+Gzf/24XtWn//9+P+wI9dWdNUVpbqiVle06oq+umKorhirK6aFb3jF509PknqwuHeVJd0pNN0JNOUEmnoCTTuBpq9vJVdfUupLan1Jqy95gvGE+pKxvuQJtrh2oYGSzA0LOr0mwWb/gm1F+OFBKtJVuLIq3M9VJNMKMkr3cjhN0SJ8OluzGiquSjFSRSWUrWvhirjj6wZbhZZVoXWuFyurwn65XugqfFkV/ucqWnbrExZUFjQW9CwYWDCyYNIrDmz3ntASerpI8S9fflcxhQ/bD18Rjm/jDc9O5/PFEi3fwfD273j2eAhI55eC/MdDSMcv4aQhfAta0MLxLFP4FrS8BVvwrC+4BqYzTeXTh4LSY0Hp07mkL5cIfAeJ+MbiwDK/SJhQx6PCo8qjxqOeRwOPRh5N6nF0veudzDgbvGuaJlyo/N1cjt8rNhjhCMThiOCI4ojhiMeRgCMRRxKOtAvUL/gkBfeLEFlwvwjuF8H9IrhfBPeL4H4R3C+C+wUfi+J+UdwvKnArChMGEx4mAkxEmEgwMRilnUek4RYk3dxYBwU7JFiQYEWCDQn2SHBAgiMSnJBgZNrITASZtkDKyLQFmbYg0xZk2oJMW5BpCzJtQaaNLLMi01Zk2sNmrw4Inn4xgjNGMIFg0vwRKDAuA2I9EDv/gSYdf6ik8+UjID+9sMt8+QTIP//cCEba+RW1gJ/b4wmbLP/M3I9/lt2uG2/LPRLvYMChgKCAooChgEeBgAIRBRIKDBs65Ax0buMboAeB6UgVSwRXhjpKUEcJ6ihBHSWoowR1lKCOEtRR6BgU3WvGxwWoIgXjDYz3YHwA4yMYP9zjDIufrlAoTTvrqe0p/qbb7uRqZvBjd7NeqiDRSP3jP5Cb4jHewYBDAUEBRQFDAY8CAQUiCiQUmLmFPQNgAkGdIXAG1BmCOkNQZwjqDEGdIagzBHWGoM5Ax6CoMxR1hsIloc5Q1BmKOkNRZyjqDEWdoagz0FU11BmGOsNQZxjcw5MzfgC1ECbs###2220:XlxV32DM 3023 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###704:XlxV32DM 3686 2a8eNrtmstuwkAMAH8ptqGF5ZSQpY1UIAppEaeolfoXfHzD45BwWVTmUFXmtLvZzCFYI9vysczbvNtXZfva5UFmYbAvzvtt3jXxJWT9qriu4qZrdm2Tr/tX2uY9Dg6Ky8Fpud6WMbRlHfpH7aGOYXfYLE+brm6qbVO1h/71ZRyfFKeTfb+M5/f7G03My25V9beG58V1c35wPFabqq27LAvZg79wJQlGUoxkGGmCkaYY6QkjPTMkLJywaMKCCYslLJSwSMICCYyjGQWaU6CcAhUUaEmBSgoUKdAKAgnlI6F8JJSPhPKRUD4SykdC+UgoHwnlI6F8JJSPhPKRUD4SykdC+UgoHynlI6V8pJSPlPKRUj5SykdK+UgpHynlI6V8pJSPlPKRUj5SykdK+UgpHxnlI6N8ZJSPjPKRUT4yykdG+cgoHxnlI6N8ZJSPjPKRUT4yykdG+cgoHw3+tMvB4OOHXfORv42uXE4Gd74mujiO+7M37Vnvzj5K+nPdWe863gPyrmMa5F3HNMi7jul2gXcd0yDvOqZB3nVMg7zreG9WPcqox9n0OJOW6fzzJo3WcRqtnkb/uzTahxy83PByw8sNLze83PByw8sNLze83PgVyIcc7gD5kEMa5EMOaZAPOSRBPuRwB8iHHNIgH3JIg3zIIQl6dMhBpvPvxQ/P9RC1###2568:XlxV32DM 3ff6 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###4320:XlxV32DM 3fff 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###4108:XlxV32DM 3fff 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###2784:XlxV32DM 3fff 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###2320:XlxV32DM 3fff 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###3568:XlxV32DM 3fff dd8eNrtm9Gu3CgShl8mD0BhCnAf7auMZGMszc3sRS6jvPtSptrm9+naTHZHO6PZVqQmnS82UGD+8l/nuMfHr7XSwx2t13bSNmqbtM3aztJ+/+oXL8R/+4ef1/VRq/v4RT6+f41rHoErDbQPAQRgFbAKWCKALCALyAFAEpAamFzeBhLZf/wiHwcpQEgIHTebYcCzDHg+AAy4Sve1d49TlO5rOgAMuEYBsUelAJmETJ2sQLwQ38kChITIiH2uY8RiWtpc2sf3r8uUAWQBMuTFQ1x8G5l8SPAhLJ4F8BGw6EfihDgl0L0LQkIjdR9vxlsbl3wIWAFkATIuP291JLkFRj4OAjfLXojvZAFCQqiTDMQJcZ2MS8Npb6R9HCQAqUJqJx7IJmQ7SNmBFCGlkw3IKmTtBGIgi8bHojUyA5mFzAepDojELfW4FZxPEpKObUNAolwTcycw0yjXRL0GZhqjkL5xCUYQWQgfxMHKxSAkdAIrF2VNY9/sDlYuyprGvtkdrFyUNY3UCc5H1jS6TmA+LGvKeycwH5Y15drnA/2wrClv/RqYKcuato/v39f2DzKhyT2ofXz7Uqk+eKaP9pf9Uefw8WUp/Cg5fvxWVv+YpjB9fCnz/qCwtP+00YP36ePXdrfjrGz9aVu13Xsbnbakrdd20jZoy9pGbZO2/Sxu+0Db5/dZ20XbVVsdT9LxJB1P0vFkHU/W8WQdT9bxbHr/rd83uqBtH187R7Q9xvnPdtxq2+8TdXxRx9WOcG1VU9yqbVGNcdr+R9o0LGP78+1YoNoOtN/Kkh7LXj7+zbp+qXN8tOvbP5Wl3cJz1IUt7r2wf/LC3pKOYiUdxUo6ipV0FCvpKJh0zG4gmHTsQF4mHcVKOoqVdBQr6Shm0lHMpKOYSUcxk45iJR3FSjqKlXSUW9LBI4GkIwB5mXQUK+koZtJRzKSjmElHMZOOYiYdxUw6ipl0FDPpKGbSUcyko5hJRzGTjmImHcVMOoqZdBQz6Shm0lHMpKOYSUcxk45iJh3FTDqKmXQUM+koZtJRzKSj3JOOY1HnlnT4+dsgRapSz1wjaK6xrM9cI4hkqDRElYao0hBVGqJKQ1RpiCoNSaUhqTQklYak0pBUqpJKVdbv+fldpSurVGWVkqzjyTqerOPJOp6s48k6nqzjmXU8m16/rSo9KkmBu/ToeKNKYkzrTXrmm/RsKiFBW9ZWJauuz5xBV2HIGeIzZ9g+Pi/L51SBn6kCvdflD1qXQ3Sv82trqiPSmg5pXZcBtAtEwQ5lX2cAi4Clix4SFsL3xEJIEBJu+UMDbpNsYLvlDxskFvMKQCTfzbfEYhsTi1Em2xSDiGE4hpUzEC9i6O9iuDXNEyXY1psYCpDjfvt03Dcyy1E3u7tMNpLlqMv7XSaFyFGX610mhchRl7d7/iALtsqCrbc0QcAsYL4rq9xMTsdc7soqROaZ17uyChHFy8tdWYVICPJ8108hol453/VTiKhXTnf9FCLqleNdp4WIemW+66cQUa8c7grRSJJrEt+VVYhck8JdWYWI4qXprqxCRPGSvyurEFG8RHdlFSLbILm7sjYSZRvE/a6sQmQbxHrXTyGyDeJ2108hsqax3PVTiKxpXO86LUTWNC53/RQia9o+mn5SDIJ4afrJy+95aWcV0rTCS/uP3ul+9h3uR+9uf/C7movaJm313UwP3uie1+kBTk7b5/eq7a4HvHKvB7vXdz4/vXo3bK3267Vfr/167dc/haMLTpz0vtPzu/YbtN+gPGi/QfsNT+HR/oL2F/T+obx6V23tpG3QVoWLn++2Sdus7dbbqN+7gLdW7x+fvGq7qxA6bbVfFe7/tQlyPRavTJD9hyYItQU5M5sAJsj7QXk/KH+bB0XyNRW345EZLZpn5qngsmjmDOCyaOYE4LRoKC4eyGnRNEJAToumEQfktGgozhXIZYPN0wgGG2yG/sdsFbp/YYMpOLNVKtvYe5R8JB75SCMbEDHIjnyEys5AnBDXydhPlKwjHllHIxOQKqR24oFsQrZOCEgRUjpxQCQtPbIOKnUHIr7W4Wk0UkYi7/ORez/bCkSu4X7NtgBJQjRuMxCxyTh2koHICwBzJwmIvABw6CQCkVXgvgoVYnDZlO0aWIXLpiQusAqD6echBKPpBxEIEulQDgABCBLo0AO9QgCC3Cv0oK0QgCBBC+m4GV4i8w/hAHiFTD9MB4CIBZl98AeAgAWZfKADQFSC7M3gDgBBmWRrTvsBYGdOMvfpmHtAIH1MRx8BdqyXK3y/AhbLS7T88bYUYL96WRHfbVjYrl5eo/x8AHguvYTX59G4VSDR9Wl8I1Pw2bhVcBq3bQtBTLzE3evDD3P3Eniv2w4nL1Hx1AnOXkLv+7GwwfRJYk/9WCgwf5JjgfqxUCAAJLGkvvMKbCOSfqj3s8KukIMxOt2tMFMnC+CWTmA+8oYfjzf8RmDUcppG13f4AmOT4zTqcbrAIjhZBNePBVyFyyRv18DD98IkP8Ark1zBaZJTF/6TXCZ5+74DOU1y6snDRU6TnHqacZHTJKduFZ3kMskpwsMxmORtbNDPZXg3sgA5DW/qiclFTsObeipzkdPwppjhbpetTWedRslpa9NZj1Fy2tqNTEBOW7sRB+S0tSmmDchpaxNK92BRNwJ3uyzq1k8FclrUjUBET4v6MKL556rfUV+kT+fzXf3+S1S/2ax+1+1d/f57VL/Zqn6zVf1mq/rNVvWbbz9y5wcC1e+UgLysfrNV/War+s1W9ZvN6jeb1W82q99sVr/Zqn6zVf1mq/rNWP1muNdY/Q5ws9fVb7aq32xWv9msfrNZ/Waz+s1m9ZvN6jeb1W82q99sVr/ZrH6zWf1ms/rNZvWbzeo3m9VvNqvfbFa/2ax+s1n9ZrP6zWb1m83qN5vVbzar32xWv9msfjNUv6nbV5zEvU+/J+lIT/d+ebv3b1Pykyn5X5mRf4ZLr9v/c7K2Ovd26d8PxP/VAyF+9PXmSujGbwAGN74AGNz4BcDoxjOQ0Y0PQEY3fgLy2o0ndOPjCEY3Hvof3XjofkjLZ+h9dOPrPBBw1mseyeis1wRkcNZrBDI465WBDM56DUBGZ30CMjrrfiSjs77DCEb/vFYgg39eNyCDf15XIIN/Xhcgo3/ugIz++Q7ktX9O6J/DJddrw1h4odE/jxmuuPzz0dSh0T6PGab/2j0nyz0nyz0nyz0nyz0nyz0nyz0nyz2n0T0/f9Srg9fuOVnuOVnuOVnuOVnuOVnuOVnuOaF7XiCKo3teIIyje45ba3TPC8RrdM8LPF7gnkPEwD2HkI3u+Qoxu9zzsUZIYJ73n3e8yGCeFySDeV5glUfzvMASjOZ5gf0K5jn2M5jnuJtem+dkmedkmudkmudkmudkmudkmudkmudkmudkmudkmudkmudkmudkmudkmudkmudkmudkmudkmudkmudkmudkmud0N8/rz5nn+fmrY+ltnv+VzPNqm+f1bZ7/Pczzapnn1TLPq2WeV8s8r7dfHRvvhb86VoG8NM+rZZ5Xyzyvlnlen29C/wIemv2d###2292:XlxV32DM 3fff 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###2600:XlxV32DM 3fff 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###2368:XlxV32DM 3fff 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###2524:XlxV32DM 3fff 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###2404:XlxV32DM 3fff 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###2836:XlxV32DM 3fff afceNrtm1Fu5DYMhi+TA9gaWpJn0KsUMGkP0JftQx6D3L2ixGlMRX+BAC0aBIMFrA2+HVljOeZnkvv+GnO8Ttfw9ts8yXY9jnj7XQ/vr/McZkcuSi6NTI4EJaGS+e7IrGQu5L7nE4hpu/2uh/fX7eJBVpAVhP0MQlmYHt5fI4sDi4JFz5HSGUwKpgaiA6SACjju56mWvaxKDwrYgaxAVzVPx3muJZeroodKFkeCktAIOTIrmRu5ODIpmRoJZ5LuhZRDJbMjh5KjkcmRXcleyX53RJRII4cjrIQb2R3Ri1O3rBB32dKqZG0rSI7odUvtuu3uiqakJNV7ZnLnifqZmBtx54n6mWifcbPFqKTdtdPmyKJkaWR1hJRQI9kR3dPY7vTJfZ+oexrbnT65+yDqnsa5EXcfRN3TODXi7oNF93S5N+Lug0X3dDkacfuz6J4ueyPuDll0T8vh/Z3LFdXpLtN1Loe3l2M+rss638pf7tdjpdvLJstVcrz9Eg7XyyXeby+y3q/zupd/tM/X5X65/VFmK7PouNt42HhvY5xsnG0MNl5sJBsXG6ONycbcxmQ/p8fPq42bjWyjrSfZepKtJ9l6sq0n23qyrSfbenabf2/zxolsbOsrTxEb6zr/jMtsY5sn2vqireuYko3ZRraxrfM4JhtnG4ONFxujjTbPYfMc9fuftrH8easbdJTn2S/Z0pXLE+8f9vXlWOO1/JKsZV+3MkVYom2sTM+N/Z83tsbJv59sZY/LfBonpxrc8hlM5ZdaDwpmB1iBPqfjFh3ICvTxGTM5kBTUp2f4eBYXUq5CiYdLaGRzZFYy18lWt+BVF7xW4BZ86OmPdvrkgJ7+SBW4BY+No5KhcVQyNI5KRsahX2VoHBWMjEPB0Dgq+DAOd1XOxuGuytg4ChgbRwVD41AyNo5KhsZRydA4Khkah5KxcVQyNI5KhsZRydA4KhkaRyVD46hkaByVDI2jkqFxKBkbRyVD46hkaByVDI2jkqFxVDI0jkqGxlHJ0DgqGRqHkrFxVDI0jkqGxlHJ2TgkfMk40mTGUe69p3F8H+N4bOPAOC70NI4fYRxlj8fGoWBoHBWMjKOCkXFUcDIO3k7EGQevjoyMoy54ZBwVjIyjgpFxVDDOcQSY4wgwxxFQjiOgHEdAOY6AchzBGUd0U52MIyYHhjmOgHIcAeY4AsxxBJjjCDDHEWCOI8AcR4A5jgBzHAHmOALMcQSY4wgwxxFgjiPAHEeAOY4AcxwB5jgCzHEEmOMIMMcRYI4jwBxHgDmOAHMcAeY4AsxxhN44+GvGMZtxxPlpHN/JOBjnONancfwM42BkHIyMg5FxMDIO9sYhfCLOOGRzZGgcjIyDkXEwMg6GxsHQOBgaByPjYGQcjIyDkXGwr6qcr4qrqrhzjI2DkXEwNA6GxsHQOBgaB0PjYGgcDI2DoXEwNA6GxsHQOBgaB0PjYGgcDI2DoXEwNA6GxsHQOBgaB0PjYGgcDI2DoXEwNA6GxsF9VaWeaC3GEda3UxyyEPUQjWCisW0P0SCNFxYXosWFaHEhWlyIFheixYVocSFZXEgWF5LFhWRxIVmcShansv2cHz9b3MoWp7LFkWzrybaebOvJtp5s68m2nmzrWW09u31+Z4s7Fo9oaXHH1hstHsbEXdxZu7izW/wgGxcbLV4d/CiK2C6chCE+hCHcPm/LZ09YHp4wP/flX9qXWjv4yJ1SiTkaV1ONqx8v/wWUD2j4qmH9492/gk3B1iLe4ciiZOkrJ0pICXUFkgKmXVVg7wok5KxiZQc03k9rVzmhs1WcCwHlK5LGQmr5/vN6yzXX6Nnn+6mEPA0EO3f5fgX6tN8/ZbQLWfVJt059JaCQrE+6fO8rAUr0SZePvhKgRJ90ee/qI7pdrNvFXRlEwapg7WsHOpc+G7P0tQMl+jUz97UDJRrv8tbXDpToFchrXyFQorEr575CoERjV059hUCJxq4c+0qEEo1deekrBEo0dmXqc+CFJP1MWvragRL9TKK+dqBE41269LUDJRrvUuhrB0o03qW5rx0o0bsgTX3toJCod0G897UDJXoXxKOvECjRuyDufYVAie5plL5CoET3NHJfiVCiexq3vkKgRPe0HNr7+td6Esqla2G0mM7zff0bva/jnoTL9Hxf/xnv66gnQVBPgqCeBEE9CdL1JPD57L5CwI4M39dRT4KgngRBPQkCexIE9iQI7EkQ1JMgqCdBUE+CoJ4E8T0JcTuDc4VgdWD4vo56EgT2JAjsSRDYkyCwJ0FgT4LAngSBPQkCexIE9iQI7EkQ2JMgsCdBYE+CwJ4EgT0JAnsSBPYkCOxJENiTILAnQWBPgsCeBIE9CQJ7EgT2JAjsSZBPPQnyNeOgh3EcT+P4TsYhuELAT+P4GcYhyDgEGYcg4xBkHNJVCI4T8RWC3ZGhcQgyDkHGIcg4BBqHQOMQaByCjEOQcQgyDkHGIb5C4MC5QsAODI1DkHEINA6BxiHQOAQah0DjEGgcAo1DoHEINA6BxiHQOAQah0DjEGgcAo1DoHEINA6BxiHQOAQah0DjEGgcAo1DoHEINA6BxiG9cdDXjGN5GMf6NI7vZByEjeP+NI6fYRyEjIOQcRAyDkLGQV2O4zgRn+PYHRkaByHjIGQchIyDoHEQNA6CxkHIOAgZByHjIGQc5HMcDpxzHOzA0DgIGQdB4yBoHASNg6BxEDQOgsZB0DgIGgdB4yBoHASNg6BxEDQOgsZB0DgIGgdB4yBoHASNg6BxEDQOgsZB0DgIGgdB4yBoHNQbx/4144iPLsjn/7v4VsaxY+NI/5lx/AWFbwe3###3496:XlxV32DM 3fff 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###2432:XlxV32DM 3fff 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###3756:XlxV32DM 3fff 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###3684:XlxV32DM 3fff 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###2348:XlxV32DM 3fff 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###2848:XlxV32DM 3fff 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###3396:XlxV32DM 3fff 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###4000:XlxV32DM 3fff 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###4400:XlxV32DM 3fff 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###4148:XlxV32DM 3fff 101ceNrVm0mO3DoShi/zDkBSIkVmwvs+Qy8McAS8eW/hZaHu3sFRERpykKsa3QacovWLYgSH4EeKFvP9/ktKc2O3v7w1tyimcsPCjfs/0kz5+ksavz4Q8gMmrjfcHZ607Ukf2jXVa5DlGk25Oj5JDon8l3385U2C/PL+L++mO7yN3Sbhxf2vGPhNuuX+j9e54Pun4zLmPDPOt9z/9na5zRODHEbduPT9LZOd+1t0dkd3a2101GEbLXLY69DKUwuUdJO4PN3Kk3ZXnlC8lQfmr5UQWL0mXd4emcCVUbzKdyZUysS7V9PeK+8ee2XXG+ZOveEbbybRvXFf6E1uLYVL8fd/eydv0weIDsoI+lYe+yyFp/onYgtKuTauXUgx1tpGt6up5bLYruW5z9+JZSenjx+l8cCs+4/ieE79zD+fv2Ny6BHwqD2SUz+hr8IjYOMCzwh4JhsKVoACP6AooXBuH3puSP3MPzl3sVp8NCXpe30PWF3lOGRGZF1KkAHlhhqG99qpZCw9pVol4PHQMoL3JaPVSIaeABlNrK/0RNFZ8VVxyJZamGmF8ZGHk8LyK31UTc2DGYZoU6GhqikKZYahBq+VphZoiZJNkdlvraslP7PhXbX3z08nTJhvArrGLdwE//jbOwHjwKkcMsy9dLIZmq32miTJqIhCbIMbPwxuy1mQC+sNM6IeDnZrWdOSH/BrWZMqNywNizUc/iNLFeZrKzutZc/FyLSWPZeQnGrZatkGVO+vBFRhuDgJqAK6ahmQQkf2PKCabUDVRwFVmDidBFRRGpCWdzUETeVJUhvptdpQm9rogVhA/36jNnbTy3JSG/NJQBZBfWFtxE1tTOa12li2teF6baQ/qg17XBssj/94MHHoDzAm3eAJKNKIKadMTs05ZXNK3kr+oxmlGtlnFBhtbdxGi2cWuPJ2Fe06Hc04cO2Gu6MZ6FfkrF35aGa9bebQm3n5wmZOm2ae1WvNrDfNPPchacQ7zaxfbOZoNrUxm14b+otqo1GA/PhRIk6hgDLaekqPlMFkABONrJNTVfJsCLG2KFx3BfoZNG7ODffyvJhnQ4tU6DowgeUGyfMiZLYT7zJkqbNhCrXAmSh5Nkx+QEr3oEBK8aCn9EgZDC7wwml4oNt8DuEnKzriN2ZwqW9sKT1SBsMMDPaEfINu359JusqRyLa/rMujZnJZmXXag6bKZsgTkUvuGhP6y2HoZm9UbY9lVNxS6aS1DCvtYTqdQPQrdNJaRtT2YChzbQ9vavUJouT28NWUwJAjiMratFHzKGxKoTIf9TAlEFN4MUUklLmaYmrX8FQZzCaMEaRWCufVNvYLqk5Ec22mr28TxMhKc8sw0hMjWTUyoMyI5qBARRREcx7RXFNtEUITAE27b1WITfA1xzKE1IQU732gdDD0EPK2YLjkuKcJHaozOry69A1k6fsmFc5HVEgXy4/pUGzpkD+kw9J1r9ChOaNDI7+DAEoUPaZD83UTZeAX6dCe0aHRf1Qb5qQ23Ckd2i+sDXGRDt0ZHRr3R7VxsnIoeHVGhyKDIfMZWbIBLOSUzqmYUxkWIf9zOuyjcFDif4MO/Skdui9s5vkiHfpTOozfskAMp3Tov4MO7SBBN1J+pMKGDsc0mBVCh37MyGnQoR90KJHa6BAa5IAO/YYOPVEO6dAOEnQj5UcqUDpEPJXwthYUNZSyx3PMjXYwohspP1KBcKNhEyqrc2PoYGiYILLtL+vyqJRcVgPD1LnRsJXGLJFb7oT9JNw4/ORucFAa3Dh3DgpicFA64ka34UZLFMyNBjlCuXHNY7EpjRunYQonpuy40VJuDJooiBvDgiqN0uEwElLYlEqHYpjCiCk7OjSUDoMiykqHhlE6NJ31DKd0aIcgKB26IUyUDv1Kh94d0KHe0+Gyo8MNFIr/ByjcbRmKx1AoL0JhOINCy1+aEV6kn3jKguELp0V1kQXjGQsWh7+eBdMpC8YvrI3lIgtOZyxol29hQf2IBfOGIGAWpFxO8ZzK/2RlSyv/E/L/j7KgPGXB9IXNrC+y4HzGgtb+0U7hWadXZywY2XewYBzcl0ZKjpSiLOgHmmWFsODY0oN+1llwPmBBPVhQH7HgTFnQO6IcsmAc3JdGSo6U2rBgQpYQFvTrnp08ZcE4uC+NlBwptdlD9KiszoJq3SR0RLb9ZZ0F113ZNGBPryw4KjwbheSWW2M/CQsOQoVUpx59wILLoB59xIITZUFviIJYMHLkCGXBsYvKIzZlx4KKmLJjwUhZMDKiYBZMqNIoC644F4gpWxaUxJQdC4YNC0aiIBacKQuGQXaSsmAcgqIsOA1hoSw4IxYMEPKW23QzgwRNJcEGgfpsi1DRYxVli3ClwCdnXcAIuTndsfLSHmQenO7w29Md9k6j5XIGTJF/1dwB8+furEqbevlHET+ba4+n1DZV9imxh+HaHZf9YY0u0Pg1xjWUS+KXjjgPiUMBKzVUCDFChccZ6aAYIYjLPiggYx0UpNvLdvKi9MjS77DSuz3UJYOZtZxqsDceR6e0aGXC3UQ6pVr7ICu9Ydmeanh07gHtbMPSpF/ddhHjt4sYtKrx66oG2nI1Z5Jl8bJsVzMvHo3o6xroeHZ74sFtFzhoxTM/WPE4Hv1yaYXDytBWByM26f5FlMEU1kbsspARq7Zf/Zct+DzaFTs+hxb9tWUKK+NWHSxT0uwPPNE7TzYOuMsOyEsrC1YCujpYWaTZveQAsfNRU7z4jYKVnQt7EADnDzAlZHti/km38uzhOqOYfPVEwvN1hI+7Ex+pV4yA8gr21Ga5tivMjNk0S18JpNm+0yz2/WY5Wiv8Bov6ZJArvUJ3trJBd1JIrdCdfW/QzTwfssHQzZNZiDKgG/LMRFmy4moeTRSVFVvQKSEr8mfbH7mloDnKC7Un6oqpzKzW90/d1cuKqf20YB5kFciqlwVTmfMoM8JUsFMSZcVU5hJRsm9+qXkUUbJvvhm51ofERjaA9cNIRYzktUCJMiOAhQInoqwAy5whSjbStAaYiZKNNLYaKYYyESMr2rph5EKMLGjLgkGZ8ZHGZDhRBtqCkYwo2UjZalIQJRspVWFYjXG4qhVuDcbh6lsVLMbh2jJVcBiHa3esOMwyXQAtTrdlkIdDOMzd/GxPlJE9UYEpOEcWfXHudSe7i2kO+8hi1LPdxeUkim8PkiOMzWIN29Glo4iNdoYYidgDZ8lo5gROc80jJWagNXWoGjsUN3on770z8NE79eidfPROr1Bm2jsNUdDCy9Oe5kb3UHnXbIemHm+aS3GGptMWTdkWTfn9fH+9oSlBUsSo9imjmpcZVT1l1OkZo85bRp22jMoeHNVwYpbXjmaochztiFGj6uNEcfacUXcz8HK/tls3z+kSo6ryFeyIUXN77D05ZQn3Pm0vJ55cOzCruD+B1dzV3vBkB6v2fu2AsSqr1hNYVVxke6b8M9/Ks4ewWkz+TlhNL8EqNEu4BKuqbK0dwWoOCX/SwXbNsrzy/eI3lNb3BHKlV1hVbdsPw2pWC6wW3xusqnkZciQ7xFIwoqywqmZLlAGrkIcT5QBWsxUNVlOHVcU5UVdYVeP7umrnH7qXBFbLIKuTWPVSVDsFyoz3VOXYH1XjJESFVTVLogxYhTyJKCusqvG5W40v78VICqslpmEjC6yqyaHMeLdVjlMgavPlXU2JKANWIU8gygqriq8NrYmRBFbLFIKNLDigZEKZ8T6s5JYoK6yqSRFlwCrkcURBsBowQqh+NBOEiGFVoe/4CcOq6p/rf2vLMKyqvtubR7CQO1gNeO9WTmd7t+zxf/6h1ApVya/NxmXkHVFrnPQ+xBxQ6zsBJIc0dY6vWayBPIzPciSGv4CveHwrgq+5LZCC8FWtw02w0V/VFl9LJff+qkZ/nT3KTPqrEERZ8dVy0veKWjuMt9MBvkaEr5K9sLP6jFqnM2r197d3Vk+pVQ1q3cDqPGD1CaOyp4w6P2RUWxa+748Kb+0JowbZR4W34p191Gv/5cTuPni/hqbe+hM0DUIcOPD6Npe7iKb24gkNb+MJmgbBr3ly2hRHdueSTkHU2zmXLvNPsWM5BtFi4DeCaGKvgajdHRp6DUR92Qw6AtEg2DuNsLy/PjgGUW9zXfwH3bM03Q==###4652:XlxV32DM 3fff 1214eNrNm0uS3DgOhi/TBxBJkRIzo/dzhlk4gi9F9Ka98NLhuw9A8AEolVXpiqqe9iKL1i+SAJ+fKEj//FOnsN2KUndIxeWW9nz/9SMd7rbcdFPdYkAN2YGaQNUphy5DFmv9/Zs9MJ+O8H+u7Ki0PEUoGyqR8iihOFQCWlEOZoUt4f5nOuAOZWqBwQjVQLbiSMlDKdWj7uVSS+1qyFv1qHupyU7DMpNvyVc7QxEK+pZ2yrMJBX1LG+U5hIK+pWZkHEriRi5HNTINI3dhpKqZU2KZyUhPHRCkgkb61gGLUNBITx3A2is1I30gI/1QgjCyVCPjMNILI5ea+TAsMxlpW0sGoaCRlloybUJBI21rySgUNNJiS+5BVwH+G+5dDVUwTfDl3n0jYW1CohxlCLYJR7n34QjCrwhpd1M3ezO37effKeqbMfG4/yfF/f5H8sfNLuv9j5LhlsPe/wJvoCD8i+33BzZj0eqOF9Dz+3frNd2QcHzcI7QgCjgRlp+1wKItFm+g+LDcjE66lR+3+3doa8xW7cKCVp5vu/+NvZA15C3e3cBB3Uox3vVS9ksrQ9mZldDhvZqwn6ox6f7fFO1N/azir1pn8pbXWWsKZbj53Zal1ggjpP6FcVQrkLN5v8H9OFGOqkTHlbLg6KSpyhYOPUbn3kdnVmN0hjE69zE6y8Iyi9EZtVDG6NyDEyOtqnV4KL+Azzdlb+GmSh8gacEe9DRAVq3FAHFzYOja5Nu8YO69c9qF5f58LP0Fc3UKGQUf5wVfL6R5IdYLeV4I9UJp43HaZTYU0rTLVLvStMvYemHaZVy90MZ4cVTmMe1baxHHtG9d64Vp31pdO6Z9a22eo9oX1bbqj8wT6CAs0V3Mk7S2eQL32D5Ptk3MEzfnh5edFUqQnRXKdn9lSoEn6uzJ8Zonx8kTo5onS77wZH/mSTx7sp098a96spw8Mf4lT5Q6exK7J+mLPQmXnvgFr4SLZW79CeKG9uz442/1Xlr1DvpXuMl96YNZYGgWlCCWPtjJ21/d/hq+JMLfg/6qtlTWxgIj03EeN+boDaOhPmV6t5jjdOPqXusWc+qWde/dEn+nW8K5W+K5W/Zzt/iLbvkBFrUVuTZ6hdVq5QlWq1phtfpOsKq8iV2GLAxW1T6LrcqAVchThDJgFfIYoTzCarWiwapqsFr3B64OWIVillGgok2seSlgtU6yuok1LzXZ6VhmBqtg5yKUAauQxwtlwCrkUUIZsApVjvZYDm6khNW6pnEjVc2sD5aZwaraRbEMVsFII5QBq5BHljZgFf6fhpKFkQJW6xbCjVxqZmtYZoYDUGESysABcCwIZcAq5MlCYbC6MYRoKqHnzmC1+UaCZ7DaeoaEwGC1DcdGI8qfYTUpBqtrRUMJq4JF/Bk9rqkVmtJ8bDdW9gm1pqU8LjEX1PpsXd+erOvxKb5WkRbyuC9Xa/j7+Crmd+T4WvuCKRNfQVnHdLNjvMYzvtZG7uM1jvG6LiyzGK+iWIGvUYy9qtKAUUYjvmZY9bUeQ0YzfDX6EEPGnoeMO+PrdqZVNsrc81HWLlQs9FsDXHZnup+I15+I1yzPibeDLiffOr+wj+0jAbszAYczAW9nAl4HAU9GnihcWmWHPwNvOAMvY+TlzMjrmZHNZOS/3OZodiqDI2G7mGVrWdtAV7o/G+psxCyz51n21s6+n3f29HxiThhgM1QZe7K1U+1a9O/YGs62bmdb03M4PJm4n0x0ZxNjN3F5ycT3WvHBsvgcwKftwkT9nF8V7MNwx4I/6lbvveRXpfXX8ut25tfSW0ohv+rhzHZq786hay6/MyT2+3vPcNu7DX/1vPAjmL0ttdiYSJ7fYPLhIky2d4WIFa8RsSpt1ZC3ugrXXRwV7YUyaVXbRSiTVrXehTJpVdsolEGrSg/AIsWi4itNc58ax8IdCvesoLNwWCPGenJYi2wTcNVgVEzRNkeNspCJgcnUFKmZqIUy4VZbL5QJt9oooUy41XYXyoRbbRahYFMkW73VwlsFQmje2qFY7lPlYahrYzL55Fv3HkKZLKytE8pkYa2LUCYLa2uFMllYs46yzSePpruYhE8VRzbyaR3KKnwiyy2XySfb6kpCmSiirRHKRGeto1AmOuv1EMpAZ8izCQV9gp/KL7B5A78stwIQM/hl5fxSz0Yez2c72LZFjmNDnudi321oNzzu/e0QLjW+yJYffsHfxjB8+2YnWt/dRjtD8ZZ2bUDod5jaXDK1cpjHXOz2QeWH5dKE/fIk+EzOKmCplpfaFuG9ny/zRdh12wAeWZssrQ1qLd9L2zKay1CL2eyHzsJUjCefTX3OaY7Pja35/PQ5Il4+NqjoT84b3Z2/ACinPuj8+qHjM5XOHQ488onO10W2XLDEDizhYLopWBIghY0ACx6k8AcKhhT+QP7P5wswcG9/ffvbDY+v8Qf06nHu1dx71X5Wr+ZFvUY5ZjMfOqVT9bHESDr6SO/7696vj0O8kVbfG8l9UiP9OGojWVzRYZmBy7jlwJzrqaOnwBhMfcMf3KrS2CtRQQyBZZSUsUfA8GvclTp35fGUjiqdFGL7t5NC8pmKFYSVF83yVSbC/iUmKvVJrTsBPjcneuroKSgdU99gi6DaBnago8RStAU6z0tMuZfYUkdPYYmQ+oY/v37ELTArYT70W469ql6ooRfV1EFHWBFc6/f5qo5WRYOYWjdzZb1oHsZ+aeBY6oeb1DFLbdbeArgE0hEHdUw9H6F52jNzylMxMGup6YIhZXAFpFiFdFB5HKNCKypUlNmzzBzBVNCsAahCTxW60YtOeEiHOEdHN9zVeYULFatYZnFUk/hRDal06Jf5MSHZSULhx4TUYiQc/JiQRnY79cn5hg+Ct8ypydJBIUen8s+gE52yvYZQ61sIZbaPvSRU1ZITQv3Wmnq9lManCLV9IkV87HWiqvEuX4dQWT1FqP0Tnf/YG0iV/dciVH4LoTxCUkBcwh94VIcU/tiEKfyB/O8jFDueNv8UQtWAp2uE8p/Xq+5jLzBVPj4HjZ4N6fwUjcIXoBEsHw2D8oAkaP+eyhKN8jgsQIWjUR7bKQyrhkb5GGgUmNrQyI2XqOQzFXtwNGL0A3Y20smDg8DOnsqSfhgzZPHCROWBIujlNRdhXcRAeRAS1tVSWXJRYVU1LsJbGvlkoYZeVFPH2Uae5JMHFw3aQIOY2riIFy24KA/va+RcvvdeIS7yA1OWQQ15cFHeWGbBRVkzawUX5XESkw2vsHJRXvZRoRIVEheNV1GYWXBRSqwBBBel4XvKosJSK9xGhVpUSFyUIsvMuaiFl3YuSuP1aQsF61yUzRC04KLaYiQYwUX5mFwE9PXIRe6Ri45/Hxe9ebRkXPkYFxX7FVxUt6trLoqfuImkj3FR+dqjpfL8aCl9ovPxY1xUoxG+kIvcW1yUkXwKMhD+WHxr5QymLKbwzAny/zu5qEaIXnNR/sReDR/jovqM+HVHRvWh9ZqLyhdwEfRqY6Ayjoyg/XsqSS4KYz9ChXNRmHjgOhe17wYkF7nBRWFwURgb4Pgm4MxFYGdjoDJOhcDOnkonLsqsPsFFYR7CuGdchHURA5VxXoR1tVQSXLRrVlXjIryFyGdXQg29qKaOY48yz4vc4KKBmmgQUymv4S5yLAoD/cI+oME9YpGLAxrcwKLgWGaBRSUwYwUWjaAwTLEKz1jkkqiQsKh4lllgUVmZ/wKLyjhiLFZUeMIiV0SFhEXFsMwCi1aBRcUOyLECi8o4LopOYFFtMRI2gUX924h6bq5h0XGwgOwDijYWVWbU8iyqzN0ZEF1jzaQURd48o401jXdYKT1boZIMi6gQeE0ax6cty8qdKYkFmaH4a9r/xm7VdqEZXEZLHh1Lar6o0RrTBbaKsBnmrFhEaKkYWfhisHKBpqyz41EmGm4DH9FxZhyvjZ3tr41V1EyeYxbaK6qML20LBp2ZMaLaYNK7fjnebHn3+4n1/sq3OCPcLJzDzV6LLhMBaUVQP9B+q2SEm731oYU7h5mt5zCzcBlmlg/55cUINwvnYLL4+OiwnYPK1HiWmFFm351dZJRZUsd5ulo2XU1dHghL1oklMkJnvXNOifpZ3JqP/bVrVGMV2MLLcWvbux9vxNc+eYg1fuHqIwwf3aOJurwcI5/ur4e4XkbSxRqOcfVVhY/775gW322sh/D99HZ4Gs74p9wfzYqGwWoZTcQU/piEKfwx+VbzX3J/9ef/+Eo56vzkkwkfw++0+Ryg78VTPkQChjv/ZCKN2HRsNB6aFkdoECrEu3ithaatrhMtXuShaXEcIJIyQ9PCeD9KygxNS8oKZYampRHoRsoMTUsjnI1Mn0FmaeUKEus3WI5I2YSCVueDFCcUtDpUYEzmEMo+IrNpnHaFE2rUs3XaV7utGasZ67YwmQezxcFupMxgtjBeSpMyuTWpXSgzmC2NUChSZjBb0k403oxZiyPkClPMdIpZW11hMo9Zi0UJZcashWUVyiTgpKQyY9aStkKZMWtJJdHqYYSm0YZAeVZheqGMvSMxRdhM3lOnuJ3l5vFpcZxqkzLj08KihDLj05KSyoxPS6o+XjczMDSt39Mj1YCudw7qpBJdR63w0BFwm4fg+05D5tnHow/B9uvrH4/+D8C6TSU=###4064:XlxV32DM 3fff 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###4352:XlxV32DM 3fff 10e8eNrVm83S5KYOhm8mF8C/obtmf64hi6nCYFdlkyxmOTX3fpAEWHK356fTX3JOqmIzfg1I4BYPsj91u//hq7opOO8Rzn9tysD522q2kltpaf+rr7+VtN82F+9/lrzc0u7uv20p3My2mvtvJaubtWpt16q++TW21rxv9ZqSbnlb73AhUDd+6efYz+m4ccEbM9pRYiU7rFK2lRy3I3U79PJghwmq21FMa61U6ubCyy+tdWjZfP2E/bTr989h8U3p/jfFbDXfNq3vn/Bas6zJ2qU45HaxmX3/7PcNKu7RCCWBUqkzK5QISoE6NVWhLKCs2NoahBJAyajsWSgelIRKCcz0AE75DRRtIljtm7Lv9daG5Q6lnUrNPj1LFkuffaXBWBfWoi97U9oBfTJzADUNoG8zCVaEzPsqdfQFJeqrlyyWPsMB6m28rxLXcc8eSQ5CzqOxIfsuY1/t4riRhmZzU65CjjTpaY5pwqke069o0gOTadILTUZchAKTXhINkBYKTHrBzmqWCkx6oaFbk1Bg0kugSS9CgUkvnibdi0nXTcndAsWGhKYoW3L3eIgjd1ft5O7KZHI39WfcCwXcTTR7rQuugLupP+NSAXdTf8YXoYC7qT/jSSjgburPuBPuwrOaaPD2jU0xuZu6u0c/i3B3I3cdk8ldT2Ysu1DAXU9m7FUo4K6n2WWDt3R3fZ9dLRRw1/fZjUIBd9vhWwvHcW/CTddbC3fm659lNS3otl/of8qa7hgXXQsyFPp2L0LwZswMwf2CvY9Y3C+4+wjK/YK6i+i8GX1E5z/aSPczuyHDDSkfFzDw4yjQBYttptKr1uPOhMJ2XKhwISe6sxyeWFwjyuGJRU/K4YlFM8rhifV44TDUBrxwGGqb83/5ra9QW+91P2o4HL/9qOHQk/1wzaEZ+NvsF3C49r784BN5/yMs2AdMpjutrWahNc2q2Ne0uKmxplV7tbYmObF5y3Jix+obz6ssW3bjs2W3mehPJlo9TMy/YmI8m5jOJpb7D4HgcEaYGM4mrsPE8ismrmcTl2sThRPpPPBZ+C1shRicua3l/ntZ/c19bUZWsHSDw37De7+hHzv9t3Fn0IW8wRNr+xNLP8qgVD/rfjb9TPe1KNfPO5216mdNRhZ85DW3cRtDplt/2kxnlgs0tGr7W4/vw8Dn88DHOfCPT+2XbEf8hDFsjgPNYWQlk4dCNAfXiOZMHhiIFznNpbIKZdJcq2OEctBcmhRBykFzWUehHDSXNiWUSXNl5z61yb5/golqswEOmyocnqTXH7ijmgWlD0WYSuirIA2KIhO5zBkn1U0ok3FaHSeUg3FSLUI5GCdrI5SDcVLNQpmMk40R3k7E6dGKFM99QpBpfSkmc5BJNQrlAJmsNqEcIJNqEMoBMlkVoRwgk6oTygSZsBbh08ExtEiQ4oRPG/WVmcxpJVUjlINWsopCOWgllV0oB61kpYRy0EoqQSiMVlJbCttu4OZv+oAVDbASB6xsAla+Rx6WLVA+mUfyKGfySCfyIJ5I5cwm9UwvG3WSe2SdBLL3baTH9VtvGGJ5pDQenLMj5JUZ8hYIT2UGznjay851X6uHwGlTEIHze4t4frqIp91eLOItyDz2t7qrQJ1/GKjXH66Q8cJEGBzLTTR9e2/c41oit/eXyYu0m7Pjfbk1bbnd9Q3v+PEiO6a+Lbaqn/XTxfa0uH77su1j3QAP+V76iMxgA9tLF6d4Fdyb4i2bphXC8HobRgojQggIPCymvbAW+c6PngxSbA8uUJsCZjGGqTxeZq+FwuKlD0I54mVclVCOeJmybO2Il7XuQjk2fpGth1FYDgZW1Ycdf6Ptl3cfo0KLmwqsMg+NqVShzNAYV4qzGF2HmiHKabVk2JO1kNw2ZjPMGbYns4u+CnNORLUecHiIqs8iEt/YLHMfwzNdvj+noZ/7To3vTbBr2pP8FRZPD27qsa1iAuWXY5tWyA32SWzLpm8Y9rqMUJPjVWhbn4UJrfDp8rz1jpzRPbRugv35JODwvHVicXERru/SdfPc9UWdYxiudd3/I8B038Mv+h62k+8jREa3PvquX/T9vKTZ9HO+n6e9bcLe6DvG1e1JII9f2yO3tF+fSu2gVygVKMFBVSjBodV/FuZxwF7dSjX7Yj+nfh52rz+31WrD5s5zWsecljfNaUVrf7yf0zbupxtd+Lm5P6/dbR/4xrlf8mmMRuY/uvqeMfqy4wz7tl5AhGmX75/w99ZLbZZGKWPpMxy+fWn/HusMKsd7gz4oqLSnAHeaeI12mnUsQKjCDvUTDj+sVFg5z2YL31PWsdnEergLhOmlXSCxxnACM/joRC81J0YpcxJp//azN8dz91rrwluEPD21SCVosZcyz92vmXuHqXu6BXPza/ZCzaOprrqhQkdAP/2+hKodKhjE1IiejM1aH5652+3xiXzsu90+MQqHtaMJxj/EhT4xhio7Vpnta5uNO7OWkVVfDKiO4h0SWY30OC42vENNzW6sMoOo5vbCBoBltVucm8OqhYcIRGVkw3Fh5x0qajawygJ7VoY9Xc0olC40Ihx2klC7UGhmjxpbF/btPp7sTlBtEPStRcXKCcrSRpFjlPmHMUru+P4GTtm4/QCnLmIrvkU84dQbY2tUlzy1vY0psLsXeAqX14/jqRgueWp/n+/lNZ7C5+XjeAoX/Uue2oCYdmAnPDQHlIFD+4W2Ehy0+TFPsV2z/ad4CgfwKU959b45XV/jJHyx+HGchNuWp5zk9fs5qUWOzkRxElNztZeaLYKT0ly3QeGclOba2p6pzknJTU7KTB2ctE5OShOHkuOcxFCo2dmxJ04oimWUWgMChQ6A0CIp0+rUocRyBUnQFwFRnLgEfVEJ+uKQxF3rkAS3dAxKQs2jqa7GaczEILyPIGkyAhjEVGIWbbiPHJLi9D5uAyFwVgiShkkQ1DpC4KwQJMXCKgtIiguzVkBSPPyIvEOEpDrS1LiC8A4JkmJglQUkRc0GQEBSnL5HIzqkrNEyO9xEhwRJUbHKApJ2AUmoIvIUJSApxiloAUk4YiQYAUnJHZAU9BNIco+Q5P5/ISm/BkmY9P84SMJvvJ5CkjfvW1TSa5CUPzbplC6TTt6+z/f4GiT5+rFJp/U7kGQg5astABEctINDBHDKUIJsVKv/PwlJfr2EJPe+OV1eg6RgPxSSgr6EJP9+SGqRYwDRTCa10R8JJi0hKczFKWgJSWHiU3umOiQF+whJTR2QtExICnM1DPYCkpqdA4hmvqjZOXJIWkLSARCtPwFJfjIAePkckqCvDkQzkwR99eySlpC0sa46JAU9MagKNY+mujqNSUcmaZ2QNDN3YBBTqe7OXeSM5Gefvs40y/qEkeJMs6yTkfzKKgtGSjszVjBSntSRFe/wgZGS6JAYKW2ssmCklJj/gpHSfJxSFh2eGSmLDomRUmSVOSMVKxgp5Uk8TjBSnomk/iXrYCQcMRKCYKRgJyPphk+Pr+ICxyP9mEP6197Ame/RUOO1l97AaXf5Bk73dwpaG/OdV3DPAqd+AIEJQVY9tPryq7fwGgVp/HT4wyhIr/6Kgqx+4vyLS2Z4DYP0+qG5Im2/8+5Nu6W5bhvtaLdCqUAJDrZCCQ72+bs3GrF/8eWbXi+TRda8a1arcj/59i28Bky6vCmrlJ7PfrnMKln7pkFixKQnJ8FvbpRGWgmM4cSky+AiVBgx6fF3Afj4ETHBtfPrN1A7MYVJTLqY2ax7/voN6vXXb+7h9ZueEAVOjNLIOUHrHKf0OogCHeXQtBXeIgIStthLI7OELTJoiolZSdCEtxAWzZwLqXk01dU5MtARYhHdh9A0/t6DDGIqQgxFimN4DmrS61TWkVmiiTm9fguDmmhiDFUurDKnJr1yazk16fG3JFhiHZ5fv4UkOtRU2bPKnJr0xDQ9MY2oSbtZxwXRoXz9Bqs671BRsxurLKhp4dREKjFQ5NREdpKQODXRiJGQOTXRk92pqeYnmaXlIbOk7T+DTu9LKIXwUkJJl7+bUHoeSi/zSNa9kSL8awhVPzSRpMtlIsn6NzpvX0Oo+qGfL2lnvodQG0DSDriEh+aA9nBw8KP0cHDmJxDqX0gl6Xr5/ZINb5xV8xoa1Q/9MEnXyw+T7PIBaFTixKAJSdXNkvwySdeRakCFo1Gdy6kbb9zg2jmZBOpAIzPRqE65lufJJLBzkM7koOpmSX58xJjByTduus4VtborLipxMtAkpOpmSX6WNF/hQVedi+r8LCkWoebRVFfncJZJPm6+cYtzVEoUKmGKM9xHzkV1Akyd1OCevHHDZF+5j1khLqqOVRZcVHZmreCiqmYdyib9Fz/iUzo=###4712:XlxV32DM 3fff 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###4172:XlxV32DM 3fff 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###4320:XlxV32DM 3fff 10c8eNrVm8uO4zoOhl/mPIAuli0l6P08wywa0M3AbE4valnodx9RlGTSsasSV/XBTAMdu/KHJilR0hfZ+f2mtLM3cVPvP8qZu0Wb7uVs8bcs1vvvtyQzqjKLpaixqbOQRVVaUmNj3P2ncakqwXSlnGWhQNFVcfOwWZjDXB2m7lAq5lCgQ0OM0WF5+f1mV4yk/OnvXfVVcE1w+d7jRME3IVYLa4YQmrBWC9su9Tso7dNN3uabvtn3v2NQN61zuP8rBnv/K7r1puZ8/ysneTOruf+nWJUL/RW9u2Ul7/dfpQXKG0WIqR1XPCZTj9nVY/Fja9Dlv3ivF85quf8d/XLzYi4eShMqldbi1IsSQ+xeSxzUq8+2eC1NDFdt4YuboZe1eNklrw+XVbNul42KxJwEHmuD33+VrqWxgxcHn9TEi473f8dSBvK9ir+rSyk9dVkd+TwapYRt8cIi1wu/SWVj7/ySSHF4/wkvVbFEKSGWskigBB1GvRQhrkWIa62kEgIxiQmUVE2ol5LmHY1LPGiXaBC0sLcg7BhJLrXCVmqNRIaK+tnLSghdOruUtr/JPAorQmE5LCwZF1ZY81ZY0x3eWLY3RH0DG68MDlaCrTrK0ZHaDCC4sL3h6htxe8PXN1oFuNyqd4tCa/hA3KLQc33Dbm+Y+sYWjq6BxxrOL5PnVlVbWFP9QB2McNyimWoia9reqCmvNawgc4SPSlrlBppS97ou5dyGywJlFlvdCgG+54NBtxrZSlWU/m6DbrFs0M3boAu8R3xejnukDs/eI9swLQmEfQLrcwnEXQJa9gTEKwm48wRY4K5l9JiA3yWg3XMJ5H0CoSUwra8ksOwT8PsE3P2Zrije4CL+YC6b3os4QTwGXmpkS5vaVvyXach9fiul3haBjCOxrGztKNtRtaOm81854mKRpWhHiUHG5Pat3efToIo/qUe37D84zU91S/VIu2WyvVvytYFxWk6sA3lvvBUna5tCoa1LA5QZFoKDufb3W0yJqKX57j9qygARZY1YY0cHMEF0WCuriNkzxYLSbGamLKAEtIlMmUHxNYqZRFE6+f4DOqj0AmagmaqLWZ5R2aLPbfXALEW96tSxqIwtxCLMUmGcmhhjbtFhnAtTILdo0WZiCuRWVplq45gCucUWZBhKpEFWWIxJjyADC1KiQ0mMKSyKeWIKBOlaByimQJCudcDMFAjSeQxyC9+zIHMNUo0gIwtSVIdhJcYEMItDwRQI0rSW5AoEaVpLaqZAkGaujBkprqKK8JkormJuKGSKq9gzKKwUV7EcG1eoqQx3U1hsGVSRCK7KaBlV2D0rEHjQZEQapyi/wsySri25Ei40HS656nFmcTObWT6aQPzJdG53/giagojzd47T0dQ90NRkwabugahsfFvGm9AXRMmAqE5VRY5xUb/yYL3aUa9y1Gsa9WpHvcaFGPN6TUwZ9eqEYLVXVSwYY/0BiGYColOYH0D0U/48wc50jp2dNhmgHmCn+RQ7p4Gdn9CmGrTJ8PMj7NQEO9VkzKUxYJw8wU4oTixDY90Hq+untHk0FCYzXYJM/A57BJkAa8+E+zIlu/txAvoSZJr6RfUIMrP2ryTgPoXMDym5OMnnbGlsgDAivKRb/ewhW9ZI/yRb+qfYsvSGusSWxi0nbAnd+ZXeCPcra8RbiaiDBTQ6QqZpO1UUMkGtkFlzR8hUZspdHvtTCJkmr0wZkFlsuLJBplklUw4gE6JokOk7ZMIsTtUNMo2bxgVNW2owSwaZdWzhUoNZKowzEmMKmSZzZUBmsUlM2SDT5MyUDTKRLlDRNEgOmXUGo0FKdOiJMYVMkx1TBmQWm8CUDTJN5soGmcaJoUgWJIPMuirQIAU6tMSYLtomG6aMRbvYOKZskGkyv9qATCckXehR9VVQFDIxNxQ0hUzsGRQmCpnGbXuiUR4wwyoYM4SzzStx/I3wY/7kFOH2FJH2m1fhvsMJ/4ATO4pY9hSh95tX5nTz6mTPSgx4IMzgU77EDFGdMUNSS5s1o3SvbJRcA2qf0iWKiOqMIpKaryVwNu0/udPjU7yEE1Gd4UQqfflMJp8lsNyf4qEoP8CJKAOEEeEl3epnD3GiRvoncSI8hxP+4YPP4URUZziR1PRSb5x2whEzRNXXY2hZZAYIZc8MoCIzQIKNGULqKyGYUGaIVjJlY4aQVqZszBCtZsoBM0AUjRlCZwaYxam6MUMcX1yh1HGlwyw5M8AAwpUOs1QYZyTGlBnikpmyMUPYmktxZohWMGVjhqjUUDQNcscMMF/RICU69MSYMkNcAlM2ZgiJKxszxCUxZWOGqLbwJQuSMwOsCjRIgQ4tMabMEJeFKRszhOSYsjFDXDxTCDMYygyoIgHMlBkwNxQWygzYMyhYygxYjo0ZdNhvTK2SbExNIZ5tTIlXNqZKU64XF1h3sjGVlH2cR843ppbn1tEo1/ONKRBxto7OH03UT2xM0fG9so2pOG6RVoVsTEW1lZwb9bruN6ZqI/d6XUe9ZkGMWb3aiSlkY8rx2nO9YKQts8UjZCoKmerKHdIz2lRP3yqNL98qXcaeVYfMHVvq+6tbVeJ8q4rcGF2m5cpgkNaaE9qMsu3Slg5KX9ryOcPOQ9YpmcxXsLNkspxgZ5TylUy+uPdTEjBXaLMk4E5oM0pxrStONt2Ww4a3Vp1CZnG8Fu9ljS4v8lY/ewSZGOCfhMz43P3QZZquQGZJLJxAZhTrl6ropfvsbyWQNqnXtq7YWYPbYWdV8X4opNzuh9pJDDlQ7JQ2T0zZ7ofaSTJlYGexmZnyiJ01ioadsWFnndepOrCz/G2H0hafliW/HwojqS4+Lct6P9TqTIwJdpY4JVO2+6FWr0wZ2FlsNFMGdpa/t+QWGuTufijMVzRIiQ4jMSbYKW3KTNnuh1qdmDKwswQpmDKws/y9dadhQfL7obA80CAFOvTEmCzjJUiubPdDbSeKpgzsLDaJKQQ7PVn6m4oQGQh2ttxQiAQ7W8+gkAh2tnJEihA+AUWIW77JNChiohQh+B3R46entgf56K6S23aVfhmvybo+lnPyFJ2hy3s5tqmYr9m/5oU/GSi1my6t4aLendJ8DS92/XbrmKFxzvILm7M+fWRJ1Kfpjp4stHP73r2m5WsPFpbc9aVVH++Ear7qX8l9Ock97HLXquduHnOXl3JP9VbAR8Cgj3PXyz73BgxePwSnvXtI/TDj+u0oH1CAfZeizHWyPnkgJg9nC5wFOLNwFm/V/ogMaiRXwaA/MFqOrh173OE5cCg9Gfc9mXpPzt9UxUlNz9FJWsUndHLW427X451OvJ4u9/jDk8OT6y2zfE/LvK21X01ZHGA2gSd7f9Sx1c/iOOMP/4r+RC4q8ERumTarojtDQMUhH0HzIB+lvmlXVeQjaPTGR6Jvq1QTwkdJGWJXiQY6FYkm169lPQl4EhmT6GdxnLHnlFvpYRKxPVacF1Ros8Azyu2K7SyOM/4Yc38krEZZxkD/yGqrqpjq+6WaKkcwAR+Bbp9zVRVDXZhaV3+cHLbm2ZBO6NHgutMSdoyozdoDhrkOQQQ7RmF3SGJMkQ7n3x4tNp2vdDke0qtLAHGIv23oe4Z1YaEOJRp7YkzxTPiFNAB5BLytslUxhjmsv21QcjicmEOBxpoYE9RyIlM4QhVRZ6VwhHFWQQoKR9hiKEgKR1jZDY5C+c52K3qicGRwV44SkvtnCIn/NuJ1Ukr5s62/s/kzPJJSmz/1M/PnJ6CUT0HJfhcopZw/AaWz1A9AqaWuXkj9jJPWU05y35d6ushJ6yknyeuclD7ipAQkBIg0ZTgDRJpWOANEMuJW7T/lJLL5q/8pTgrilJP89/VkvMY/dY/imH/E5Z4M8pR/wh/gnzxYZ+1npc37meT8E8bKCArln7HFA5XU+GeSg3+o2vgnx8E/tu+HgAnhH4o4eeDM2s9KnP1McsQhYMB+i9XqCTMQp/CTB+is/Qx8tTPJ4Wchrhr8wEca3sxM9f1STR1rODhqeJMG/ExDzUxt8JNojgx+8uCXdaBB6vAje2PDBNbRIA34sY4Yc/hZSbQMfsb2EkzrxOHuh52wWFCHCD9WE2MOP5k0AIOfsVUESyh1yH/YCQszdYjwsyRiTOFHKgY/OgyU0Qx+9AY/E4efdQiGwc8kyc6QO4Cf+RF+/P8L/IRr8DPpM/hR69fhJ6hT+Inft264a/AzmTP4Ufnr8BP0Kfyk70vdXoQfeQY/Kl2Hn/kD+DES8Aa4x8COrALumWCnqHy5LmewU1Ts/yfhx9tT+Mnf15PLxc0ffQY/6mGE6f8CJSM8Hg==###4004:XlxV32DM 3fff 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###4568:XlxV32DM 3fff 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###4260:XlxV32DM 3fff 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###4012:XlxV32DM 3fff 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###3960:XlxV32DM 3fff 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###3984:XlxV32DM 3fff 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###3396:XlxV32DM 3fff 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###3492:XlxV32DM 3fff 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###3312:XlxV32DM 3fff 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###3068:XlxV32DM 3fff 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###3012:XlxV32DM 3fff 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###4076:XlxV32DM 3fff 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###4604:XlxV32DM 3fff 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###4660:XlxV32DM 3fff 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###4308:XlxV32DM 3fff 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###3776:XlxV32DM 3fff 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###4464:XlxV32DM 3fff 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###4200:XlxV32DM 3fff 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###4632:XlxV32DM 3fff 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###4860:XlxV32DM 3fff 12e4eNq1W0my3CgTvkwfQBJiqgrv/1M4AhBEeNNeeOnou//JlGQi6dXz6+qF/Sh9iCFnktRjeTx/xmV7wN8f0cr89x+/+mOFVv61/P4r2PSIenn+HZx+KLs+/4pWPVa/bs+/glseYnP5GbwivX7+kFLBe4DYh4v7Mz/Q44EoD8x4sJUHdjyQz+fPYAKuREBL0ZVsfSXLaSXCHn0lZlqJnVdi5pXoeSWuPHB5JbCiA1e0z7QRdUV2CWfaKNFWFDYYLZRRfshjqX+TeVxx4Ne6FQqI399gvu0Bz5/fysy59T3/V/oY0gfGbH1y67s8Sh8fPO0Tjt4HWt/zf7lP2dH2u82wbIBYAUgQFgHxABL0l5MpqEN0K8++FcEB5tVRNwK3UbeC7DuuCQgj7bNOkFvf83+5jwysj8E+JvcxdRzH+mjso3MfXfto1kdhH5X7KOjjVlEWCj8dou75D/B69/qxPkT+9/vv4LeHAIY/C9e33TTGJv38CfSqDLW6MbgwEkYoDXEhLSI2YdmXISwLFZZrIUHGZ9Lm4Qm7xbooiqRMLFsIkbcykMFk2KVNK+zQwCpt36Vb+i7t2GXfFazIdy2JpuhRCg04BlDUJ8WydiWX+ldLKuh5bjPRx8RKn7DsjUD2CGhpLLM0erYjpilrNx/2yAvayfB26cNvp+GFFcx8mLopahPspU3IFJymWes0IH9kmv9JC5tyQK14HMj07TXTh2XYNiEbI/Os1B7YpCiSWQz0rkjXxUyQqsi5T1VkaGp80RT9/Q4Mq4ggL1YFTUdF1qZY+Z2qoLVPlrkUah9Jxq1qmTwgsQhQXykRYLfuTRdTxAGLLm6r2U9SunYpdVRKgx5CuGZ+BTMeFDkJyNGoY3ngqNr+lEeqf6Nm4gqL8LO47pXRaW/iuq1KvxZXOXsfRd0ejGEngTKqz7Od5rmS2zasn52avhLgQtxpPl3ny40x31sFuMxKBBilogBEKuC3pa8U4xV1RXAwIFmR7Pp2kWxoOnzRV8kG3lZEkRerZAdbkeaeyjtFslufLNmhjWvIuFWygy4CLJsAB4fvNQEOYAUeKygCGAMU4a2JsGCG1hIBVZljtgmoHZZXF1baMB4UU2yHCdaF+zaOBxCP/ZROVOEOex0zyKEeRS6DGg+WJ9eopi9Do3SaNKrpnJt0rqtWalY12dlVuNmpEC8T0Mu0B8UQU3fjz+6G6G3ZgaZuOLSgLctxle8s2lWfhGL6JGf7r5pj0HOw+JHmBdS8oYpUBQvVqQrqoy5R+3Ra4qbWP1A6GN3tEwG6QbEhnAmwijsChOerwFrPlDD3gfWgFaOEmWL+HhMUVz1TolBnGFk5nz7UHCRoZnX5WsWHxxEgo5zI2OMJvcgzGY17LUeManqWn8+RcVDvV1rWbplAoIh19SJ1ADaRLeX3FsdLBALz/tBRdUsYTA3Uy8skDoDfnvUx2AfjgG31C+ujsQ9GBNBnZ30U9smBenK1j2F9JPaRuY/lPgS2z3yI23H/e9t/2AthKJCtdTHe+RWbGEJ8RLAMGZ5hk4Yh6BnWZSytIHlfQdXR+ArybkJddHCUm8XxOVERjYjuji9vufE0ErTuyca6Cs2QvCdbORkUQ8rZofKvyP9A8p6sr758ZUjek620CxzJe7K2eEhFQrzGv+IhxbLG7CETRHlrQg8pepAX6IFra85rKFVUkStVVI4rVVSTUkW9cqWKarLSUR3P0/EOfjcn9qGzVcPZ/pDO3jvdbXK6zcd+FMYucxibaBiLaQbJwlgZ7eSDL49rw8PieU1pxR1qKkTUF3kZK3rAGD3mZdbtzhD6l4bwlKgJz9tD2bCMw5+IZVM3GZtNmNNaJ8/6yYwNUMSt80m/hRhqC2eK6JVR5KM4wU670fNujr4b/b7dSH8TL+jVXPA3fZ2/p8jJzvw1V2cWIISZCNHPLFuJ395FCDuxFT3+eiHoQd+x9SSkZmKrnXbTUwhwXnrTbn6BhT2qVS7UoweppPO7siCqJgqLqPWWwZalyUOgT/ddWWSIW20i1BHqVytNBzL8akK/VZHhVxP61YqgX92SORgy/GqSkiHDr6ZtQTrolidztlIoEIR63IQBRFb1ulNr6juRIMTbbskqhgxvmwgnFM3UwWiOICQdC7twuB/TVrBVWmtPkLoCWWltV4ZgihUQwRBMrAIiGYLpVFgbnweTqLA/PlqmtZQjld0lq6SyK21by2DL0ngVeKSQDrZSCNxQXUUiCEl0wdwBV2FpkAvvaIJUCqXKI5MYMmLbtAmiLZVH0Y4MLGpLTr1XSWotgy1LgtBsTmCy9eHhnOcxwNl7gHPcZ5TnQOKlj7/33M7cekPxRm9ob73U9sZZ3K0LWN84i781zcu7TPNQk8KhohKFir3lsOWZmsSIIg8IURMq2PkdoiYxojGFcS8Fu6yiCHFZRW85bHl2uiJGOK+UOhYV6YjZidQRW8thyzPHEuMwt5aaaJgrEISa6JjGjg01qvCOIwi9/ii2E04d6yPfxaBSyq6Ukebl6OHiwECGnynaqaOpaQtbpN3aX3GO/9c56SZfJd1I/M9zbe0ccG0bhg0oxoFKtEr9DtOfJVpjULP8kd7o/e4WebuY5f4WWV5Tml4e0yRN0vruznhz55Dt/s7Y3c2rLzg88ldJm9sbYndhL8TXrJJ2NxG6sWerJKS8i9DdHKGHlxk9e9r/VUzbopIr82zXdxvOPas2iHUzkhpNqPYXl+a9dzNmGk2dnszaiKu0vzBrOE41YRoNnGbGLAi0jsC1mqwpXeotRUwLgWmkHKNiCImUMc9XkREpx3gwZETKMVqGjEg5JsEQEilrdBXa8OIADKi0xj0ZLA4Yr9WL6G/FHtTigGaAOkyKA5rZF79r95pzzBPQyLWa+dHHYJ8Rw1a3OPpo7IPRbHODo4/CPqQ4QJPigIbW4gB/5OIA99hIKKe610jMa8jZa6g5aaXnlJSZU1J2djCOpbWIZ2HGf1y1+0PdpGzMRVHPnxgMPxuM8PJIrz88DRfa3pjQ9aIA6V9Zjlqioi+sREfOBTWIsDIaTUejmhIRUFhGo/vtu98PApOzWyuUGchcHjOQuShmIHMpzEBQxmG0hSH97JYZ4Y4cHLl8aTnEXDcxlwsT85NDYNlTkjW9vN0cV5T8mlPiNeerhGuWoZFgbZd0H6ZR5V0YFa/CKHZ12W8sX11Uug8uKolyujBnD5ty6nIzPCmniqc000cp0MLGu5AkvUmf8iz+5pZVC3fexBq/fjs2ksKvsqeeE8Kn+W6x5zXFfibEoU+x4avsZbiLjfS0EHt3k9iP4ZRW/nwhe7Mg/9L2Xt4bgmHDYj+gEQlC4HdkCAYhbRMDwSAEDIlnyLgGCzoxBIMQeAeNmvM0wbb6GAlCr7M8VjZVBBNstKrReXqdRQ218/Q6q6nJQPA6C+ZxDMHrLPg91nZwsz+2E7rZhy7d7EtBYGb2pWLIMPvEYeW5iMPyHlfuWeKL1mF6lviCPR0MGcVdYVkYMkq6/CEZghe4MJpgCF7bAvcSQ/CylrrZTJhrN5sR6mY9lZ+RcAadyqK1Zk/1kOiqTHdV60flmncxUzB3RzkRxH8Qh+T5ruOQjHACHRShBOolURUhNaBD9co8tAY0y/dMOttJt7HqOu4U7/KMa1iXm6yZ8O6/IB3MxzSiXzIUhOTFiC6Xd0idJCF3fudSHguC5IZTbq5nmwsTXSeduLyz1nP4f3Jk9CIas0cz8YHIe5qKhnoiZ1/imchafT6RU/y5mIMS/AxAnUcPy121o5pd0M6qajZxKn1qwY88uqgc4sCEzf6VKl3GXSAb566mCMlCjmLFvEhy5bIGoeg7tfzdiV7+HvqdUIFp+Tsp7XeinXDz4MTQj8N9BUZ4HwRaP8cq3d1q6EG1vudafXfRazioDt32XUD3P7lzOLBqW9xUtXu5vKx3/kyRu2/Fw+LiBsHv7i1ztGKhWsMs2gcUeVoqGqQCOkmahmbVzZKmoVtt9NbHJRbYpp0gLA2dS0pnLoXOJfkFLrUS1ysuRbu9LOr9FJdaIewVl6Jxb5ljcKlsqObpVu4NaTUv+jxn50pdwyuoDFZdGXYDC781QTiXvKlFvOAuD+TT0dM+np2HeZ0RYdzFYXa/PMy6821By/Cr+VTbI5lP17rPp9up5p0V6PrnZ4+7aT7umrmuyD3P34Fsa0kBXJ1/HdbokMLKcNwVvIdxyuouoLAt3MRx6XhVW/vpjPxashb7VQXQcq7gFSq9cmP6RZ2sP27uWFN436ZKuM1y+J1y7jzJF2+K1qIJ6uKzCoUXBXSW24pf8fzs1dEpJNl4oW/R0as8geqBFSv0FX98h/TZLzPK4fvqDiX5N0puvElGqJ5uZeXx7nVq+FVWIrzMCNupKPzuOJHsm+iQa4S37mrs0YuQbeDFuh7dRulDinUdlsn6g7saLAPKNqIV6/oDv1IZdcaWpTdgSM0QUq3rPENIta5DjwdWj9YPrVjXk81tX0bAZThFYBJ11nBU9RHLvVrZYmslbMXeCuwwBsioY2ZHLpgKK6lBCHsd84Jr8prAtGxn9YIhpCTdG4aQQnSXGELKz51jCCk6d3yeqdS8U6XFJf7AVsJW7K2w8KjFY410phz9rKnUmuHYAQWmtRK2Ym+FhR1cVjsIF5DXcYjcEJJ2einy3O7n4PRM4Lqy9t1USXcNFbGoIqQ6ftT726N/E1D6kC+oSrQ6+mjsQ76lsuxbKhvwWyodU7l7g389CFt6ugKjyqTmOvHZOM1+Fw3wXWYIZlZZHByxQ3LpmfRmh3S2mc1TYd2z+8IaWjZKFfUbYU2skRr92CGvKgtVlyyNH8xlBF7A7NCWwkD+DxPuTQQ=###4388:XlxV32DM 3fff 110ceNrFW0mS5SYQvYwPIJCYvqKv4gjEEOGNa+Glw3c3QwKZSLS73FXVi+5P/SdBinw5ov+SGzt/F0ad//zFVYiv7cX//saV3F9O+4TwoyD7gRAhbELSfwXZCGIyYgrCHUF0RnRFFEFURqoEnBNEZkQmxDJbgPSnOBsqzn/+ubjejhd72fzv7z/dxV+7ctv5mzPxxSU7fwuevUSU51t6ljTH+Ue6ET4lfCr41PBp4NPWTwO48/XTl/svrlS+IV+0/V3WC2I7/3RWvZRP6xr54oolCZzdXnt6NpDlsv9DhrrmH0ld+fMtKaf8HXxWWdqgEK+2c0mqdHnaufRf3lNtEJJuSIjzCWE7YwjBTMh7OpAFE/I6z0woyCMTCvLIhII8MqEgiAkXYUJBGxPEzITgGxP45zLBrJjgfiUTzJIJZmICRwhlgkDIiglmyQSzZIJZMsEsmWAoExxlgmlM2PlubkwQjQn7ZzIhbH7BBBY1UMFb8dVUyGJhKgQuEYKosG/uQAiiQtnUgWAqeL/hdRAVvCMSICp4JwkyqOAdnW1QwV90NkQFj6lQUaDC4ScqWOEaFY5PpUIh7iMV/PYLqZDEWlAhIYQKXiOEUOHwCFlQIa/zTIWCPFKhII9UKMgjFQqCqBAIFQpa44PyYaKCO1ijgsFUcEAFB1pwoAXXVA1acKAFZ58o8IcIBuKDuFEhViqY+NXxgfHQA4Roag2GRg7BV5FDEI6UPR1IZYKb44NoTHBzfBCNCW6OD6Ixwc3xQTQmuDk+iMYEV5kQgQmuxAfBUXy4OQXec0Y7mDBr9E1E2PUIux5h18vW5U8Hn3BfDFUbSoJTKDkXZoLU4BRc+GqnkJx9t/AkV6FCkrQ6e4+QqteoJwtPSNVrDJOFFyTrNfrJwguS9RrdZOEFyXqN12ThBcl6jZa6sgw8u7KEIJom7QIVojnbhC0+6ESDlP/w9F8jwy4bGeLHRYg3YQA3gJuGA3kMkMcAeUwlj7BmlWwsI0z4ajJlartZGtWoHX9NvNtLqlB92bci4J0u7ZpMl3oNjYF7p5QDZ2lNjYEaIdVCDFgIR0i1EAMW4gmSLcSAhWiCZAsxYCF0tmwhBizEESRbiLFF6mukalPk5gEhqyRuGbm3ZeTelpF7W0bujUZuzmgSB/76L3m17M6YpkYI6UHr4siPEdJtANtVW7NdlW1vp7Zo9+/Z1psICofwN6nE5MhtHhyI7WqvbK8VRmZ74LqxXTZhHMeLbRAlCsvfqj0XW7Jqnt232Y8PmF1Ps2vVZhcfMLuZZjeszS5/cvZEbdMK0rxOjkkQsgoXBpLuyIio9/SaJj15uQdnPKIAMvmGLdMrCdpGuo9MGXXPoRWasCyVCFMQ6/CMyYnAjDDSfWTKqPsZ0/1MvrqIWPxMWksipK5l93pP9wx5rXJPrdqDFgip95i9xjs+pz5uN81i2GcmwWFjiySYBf/1qc/V2bKx5yw4A6uimaQXZVMHgrNg7FUZyYKxV2UkC8ZelZEsGHtVRrJg7FUZzoLlFXAWXNHqPKNyiQbJLF+qO8/YqMCx8+yJTxYs6SeZV0qTmxrgi+ts+oAvwtkUA1/4s2soOWReaRFlnkNgVwdJjFHd1V280eJyjRaKCGQDpwLZsFOBbJBUIBvEmanhwHFF5Weny6skkvubJLuRTRI9rXPN61j04EDFpLO8/1VneWUci+ueFCSNkoTZfnmhbenU7YBk1tS7cVSO5YnGNbpf0+NzmudAK+D4HLUnSI/P8mr1VGJxm9FWJl0sJ9HJCb1Y59LVKiq1P3JJzEyRM1OwjRPWmZl1FiXWFVBF2SXDhi/U2VJt+EKfLeeGL46zJd/whTh7Fp4yBk4zh1tJOKbWRao4ptbxnItCAEwCgoGEPto8UA8MNL1lhGzBc8JAMTNQzgy8bagNauaqfuBqttKsfIkls00ycZfMCGKlSLJjlkzMZitns+WziDs122ufN82BaM7czVYfq00z600j2/o/du9iq3SO2/vu9WOrkhI9p0KNNDnhuo7Jg5rmQWW4b8BOWaPm50WP5845tI7HxI5M2+YyEoGJm9kiQZCbMZog3c3sG2cEyQG41AGM6+4w04amDTh/z//l0Hz19CltBm5XxMsjBLcr4giZBUHtChMIMtoV8ToI0tsVSTaNZMPJYrwwghK49DfvSD1whbtBdBm6J44QBSyvNw4PH1sUuHZSUVbOjWt0v2bUlvGy5BrVrxlVZjT0Gtmv6fVm2rCLXCP6NVl5pkYlq5BaUbRzwhB9j8IzikCQHuKka/2cVFe3pSAUJbaz15WM7eqBqDV51fF5FSF24m5OaFplyON/Gfv36x9k9NH6eZXuUsIHrhKmVXql+JAS/f9V4uzAWsXI3Qet0ryHKGxyxXt8K7vYRqGPIvUtcfAzkoozc20gqOJM9wwk4IoT/ESTopaXWYo2Cn0UqRfpdW+RFJWc1U30GUt5WWaEUeijiEvOJOPeZ/Sk5IwGI9hjhbh1xJGSMxqGEFpy7vO5yxXbubzSn3oEx5YN0uh+4REcWx7BsekIzhmE0NPYgJBFI48tG3ls2chjy0YeWzbyGGnkSUcbeQwfvMRb90E1KpjPpcK+pIL/lVTYl1TYJypYhFAqRISsqLAvqbAvqbAvqbAvqbBTKnBKhf7eFrt8bkTlL17s6KGaNzL4x5rxsZkAX6RkdWpaQRVXai83qktVyk03Wheana2fBV+UosSN1fR2tg4XfFGKktbiiggoFcD3jwPfpNhIDtFyB3YV09kfCkC1Q5nFLtZTeadIKv/YcRjFzShI0jpzoallW0fe12FmVTPpuWZS548XFaTusqTMQrLazU57okNrEF0ga5EaGkT6vxtEqHxMPAxTZduqJx2P+1ZouuWPT770DFgD3i+65Mq527LvKwlRNVLWQXlE0rxsiBO4Vcku6O9UBLUqE7IRpLcq0987QXqrMv1N7+mtyvT3QZDeqgT2DyS7RQdS844w7OLYFRRCkItjTnqCdBc36siyOyjXS7MxjIyMDmhSkVBrtbq3pVZLJIVAXehaarVk5OVGG9GNqMwECgykl5lpDqjdymylLoNrRsHpGL1G9mv6SbkE7ZSKt6GlTGJuLx27FInTBby73x3cr2bD/dIur527vO47HbnW5UXF1jU35L7Xf5Pf67+RcwjslZHfBt9vnyu6Go5HZYc7eG7u4JnewSOO/tGhp/2Vx2TeEg47DrbdzVv1XvL2A0dsiVOzS2w9c2n8fXZ7EZeoZpeoSXsO+8bbUV7viJu7k9rNseqImx/qkzFXtvHpUPXY4oNTfNfBZNLJ7cjWNJ3wn9dJIe7+0CGVJr5HJ+Kh/4l1UizmeGh3ShPeoxO1jsNEJ1IsepdHfmXtp3VyO4puGmfHB+gkzqnDAXtl2Q/p5H6CRFQRZuFlm357jyquHzQPqRbn9gfbP0AVdp69K1p+gMtiiyxO2v095nE7HtgnlzW7kJbOScvfoxM960QtdLJ62+Fg4id18lcJ0zVFyOvgfMTtPXlIE9d8JF8D+Yhr7YGi1vzlt6KCnJkUeEdwnbemK+5waF6crnAdIeHIE5WGMlwzEhd3DKnYQ3/clBWP2uMq7h5GUvaR6CPdR6qPbB+RVzKYa0fw5WrUH0vZn8IrO99WriMp+0j0ke4j1Ue2j8irG2kvr/bMJnRNqKEJ1wXTTRMmdk3wiOAqd6r6y15aNC/OurkOTRMmNk2YQPJvdwypIsm/HTd9RYG7e0lU0+9x/UnEeBLbb5T9Sfx4kgvBMC+vsmg0LzqkSE/i+5P4/iTkBbh095DK46OJtKJoKyZ9jp5jFrVXBda0J8k6b0+iu6hHtw47nkQhGOaFJ5Fo3ntNUa3DdusgP3JJdw+pLG6gSCiJ6tE63FczeIEOOmBrKiDbax22a78CiuT8xUDr0QhX8y/lLtX6sEJ+5lvPLIjFW89eQe+NZU/8Ne+/X62RlsVCLz2XDRoIPkVk/QwvI/gUkV0HQcYpImtVMyDjFJHZiyDjpWdmJUEeXnouAOoY8s0hBHUMJbxSCi891wnrmz9cspkJh21MULgN+9GvLDPPFy8JB/3VTEB76vlqTz1pyDPeTzEzgt4HLns6EPw+MCKP5+R9YEQez8npLSKP5+TMFpHHc3JSi8jjOT6flXCKAC/QVhSYoM3tNzHtvR2hP9UnRLPwCU58vU9w7TQli0V8Qv/pS0YWPiGalU+IZuUToln5hGhWPiGahU+IZsXfaKhPsMQnRDOYIET9IcQ+fghxqfYytfjEw5mJS9BGKnk+aqxLcdIWjeRTi0buU4tGHvcWTQ5GYXES1H+m8FXES7vO55JOgj8UV3OIfAu9fOjliqGN+MimRnzc5ka8J29N8XzQ9C8bJUl2###4352:XlxV32DM 3fff 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###4684:XlxV32DM 3fff 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###4680:XlxV32DM 3fff 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###4604:XlxV32DM 3fff 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###4216:XlxV32DM 3fff 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###4732:XlxV32DM 3fff 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###4956:XlxV32DM 3fff 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###4536:XlxV32DM 3fff 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###4968:XlxV32DM 3fff 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###4288:XlxV32DM 3fff 10a8eNrFW0uO3DgMvUwOYP2lMrKfUwwgyxIwm+lFlkHuPvpQMqmyksog3b1IV6Webf0eH0mJfmyP/R+Vjkf7DPB5wmesn9ro8nnwyMoXn/9t378Elx5R2/3f4M2Db9v+JTr94KdX+5fgt4fghuffTvZQh88PU6o9VGn4NPBp4dPBp2+fZ7teb6x8vgXbOhXPVD5/fBNbKL/w719rv/J1+9+5pwXhkiMk37n/rZLNCD/PDSG5rYLEioSDIK4gZ0M0QWxBQkPo00xBjooc9Gm6ID4jMRHgVBnIf/ItkeNm8jAzEkoHjEgVyE9we39gvuXHkQcqHiwvSP73/d9w8IewLO51aUS+pM1+0nk2w4ln9S1PBywxzHqCWX+dCmZJBfYJVIhj7sxEBYGQFRXMkgpmSQWzpIJZUsGsqGCWVDCECnKjVDCICttEBWO3TgV9UeFNBdlmNcAqBFiFAKsQYBUCrEKAVaAU+kdF16kgJyqo1KjA8nx+PBWuWZWNCrmn03TL5XRLPN11Ui+ksSfImT0S2BP8zB4J7AluZo8E9gQ7s0cCe4KZ2SOBPUFXKjCgQlB7RzsV2EyFQ3YqGEwFDlT43VVw5o4ShQpqpsLWqZA+mgp4wdVywRVZ8C0EhDT2ONOowBDSqMCfqKCACuqJCgqooJ6ooIAK6okKCqignqiggAqqUYEDFVSjgupU4CnrPHvI8g+ocArRqWA7FUymghM/X9pUPYZES2tEW1rHBCxtPHhfWr3BwwPHD9vAm9QlfYsbb0vFk/YwttJOWQxYEcZtwEiyfUWgRx3JzyqIaPdgJLcKK59tg7mz2gYftnGKYRvumpBfeMo8SveI1u/dZcIPbu++E35I++xEATj2/U2bRuHo2iQLVgdr0CQ7sB8XbZtkJrc+yUL2ST7sbD+1FR9rf6ohwQ927xYFP4R9Ni0A8ti6TcG80eV3rPXMK/nUM64FXv77Ze/Dxotc28m/73+XP9nsmIsYKYucZ60i9R5RkPytGGS7plGk+njB4tbvztcgHy/YqQkyfDyM9EKGj2f86ktFho8XzCSCDB+f50QRpIhQcoSkrdeIpDxbzyTgB1dAUnG+Z1jHTrYI66IDI2dOfox+M+67SJZuoaiuTtCF4KiOHRYhOKpjhyTIFdWxYyPIFdUxfxDkiuoY6dttVFcB5HX4FhBCojqBo7r2wMqEIouUCGGolYjv6Mnz8NjCkwsTwN79dnywJ6/dQnPKvDUIQXOaJ1EjBHny4WoqgBw584LhZi5Hzjw/CTIceUYcQYYjz4gkyHDkzLNEEOTIJXLkgIIkODsxwauzMyG9pyTwzS4kIfGPl4Sz5/ylW0QSnEXIvSQU5F4SGnInCQ25k4SG3ElCQ24koQK3klAQIgkKS0J7IEhCmojgUk/5RzzwTpKgV5KgPlUS9FIS9CQJ+B4iCQkBK0nQS0nQS0nQS0nQS0nQVBI0lQR9SYKZ07xjs50J7F2jhMgXknD6j5eEw3S3mrtFJMEwhCyihIwsooSK3EYJFbmNEipyGyVU5C5KKMB9lJARIgmGRAn1gZUJ7MwBdXZlOTwPI6np8aLkOMsbmnA36y0z0cc+pj+nhbx9jk0jc6UwfO+7RfDDtvdtI/iB7WP/6E21Fcs64tOcSYKO6JC6juRMCujjBn3MTzvuo6gZS2gZC/PhKWHl0IwPT82Iqxm7eLxF8zISo7z229SMldDMub00Gni8njMzuU8dUNP43Nyw7uM7XxofyREdyfyeBvqNHWxr+VYdcuH/19oHtI/F/DkErl4z9rEyRYeQBksk9rxEMRMjj6+oMi9IqM5eAFJssd2NxfbYIrnGjmuQ7J4CtYBl99z46JXD+3u5VwqNpPUq22LtVSTz4MY8jJ0+I20XbT/64yvg+qadH7d5sOGylV/29vOfrudp6Lm49ezYGJrJyUBXM8p4Z84O+O1AbV33/eABHHgABx7ANQ+gvLuLDZBHufZCtN5nVwKA2IdPedNqA1U4xERnmbodiWc70v1043C3E+FjnGidNmo+9Zu8iWa0v1EhHYkTu9pJYm5H3euEmX1mpmCLnuzxwdFTmW0+jV6bPtv8pdmGwbJ9oZZxmm0/t2f7bMeXZnuaZHGrUcWIwGpzg8jjZ3u2w555s+dMvWbPadgz7/ac70a+n2gf7ypTr7l2h/ypUAsoCkChquGrUNVwGqpyjLRQ1RdpSQY/rI3Q2SlUNT2mcXEKVU2Padw5haqmxzQuTKGq6aNxxxSqmh7TON9mf9wDO3KXxo92spkTjY/XzIox+2Gl8fUaO67BGq9RC0jjjfREi2EfsAAHAK42aiCeykDogVYc61xFOmX7yxrNHznc4l2jc6wJGi2xRr+bwv6/mJ35Oqpb/dHu4/VHnosMQmj/0bkkDgNyv1AKkZRGANYTLyRCUAaRLScS5FIRzz1BkHZc0UZFhnZkZCPIlEFUo8grWwQFLnnSl35N0Zd2DVGaKzDL12ClUQoBC6WRYaU0MqyURoaV0siwUhoZsNIYeRLTlWGYbkQ5EkxZz5FMtt2cmT70yJGG5apf5kgQuvj918lS8a5qleGc6rcyHHCmfP95qmPTKtU5+O+kAmZ/Ief5VueyC32ahH64p0NNQi+GiKsh9Gkp9GoIfZqE3qEWiNAnKvTpkm0+750eIz3W77plVuP92y0zrT9xyyx3axGHZASrQ0gRIXjLTHEELLbMpFttmUm32jKTbrVlVpHbLbOKXFtmaiNbZhWFvVPxVBjBOxHsuxKhXnB/nPKZRMi3LIiQEeomEkLI3qlAwIIIyq+IoPyKCMqviFCRWyJUBBGBESJUtBFB2adALlzuwL0fFf5EIBeXmvIJiWRV3ftA7sMPBUgclZZxVFrFUXHEUWkZR8URR6XJQIYd5GuIUhoErJQyLpUyLpUyLpUyLpUyYgPBGVseDgl8LQJWgW9aBr5pGfgmHPgaxalUxx7IKUEDuXSZ7vFkul6lbrr+z5nun87hihdYHqrr8+NNVy13pHT8HJ9UTUyxboaKr8xQsW6Gato4uUJTRTdO1IEAms5IhNB0JhIEpzOeIDidUQRB6cwlQWreOBlppqK1CsojYOVcl7UKalmroJa1CmpZq6BorYKitQqKDdNVJDlT/DLdOB9Yyl7NIo/3Db/UMvxinxl+qWX4pSZae4QQhkQErBiilgxRS4aoJUPUkiG0LFXRo2t1laWazRURZ+Wwg4103PQ6zIjT8XHyeHuu2H4wdbO/R1jR4AL1KeIilZue1GPSUk47l3KGnZ5jQLEnOccYJZ0Qro3Szjzkus18c1ynzl4lL2UvjRbBkhz99nTuStqvcwaua20zORYEVT91L8EubrnvtPPfKNQ8eBuuxk+PUB/Ij+enH3RnQ82Hm5ocbtLzUD6fh+qfboLkkcznob12NnI/uhbGJohYbYIcr2yClPbsoiL27O7zf0/0N66jb76uLmgphv1aW0S1sfn//d2WgtS6V6h8MF0u2j1X1SzXZxyIIzURult4XWR0QgKUEoDUrZt6N66OMH3rBq6x45qrTkJzhlrAdRK6O01ARnSe58EQZNTP5nv4GImGql/0okefulJYCxM0SmzLzQbd3GrHY60qN6yXeRSLxa98FNG6EPzKhxEnQa6DYGXQUQJMSN2TygML1RmqxzEEsJ/2qoQL0fF247njQ1xBw1UvaPh5r4eXJLW5JYdycOR6mv46n45XHU/fKju2V6RCx8o0ugn6VzgMaqI9OBiiEquKh/zE1SsIp4mov3+pUnzkU9ny/r9C1+yPtHX2tvQfbyusJNuIP9rWN85F+aYK8aPpuhLt+ObHt0C1Jg5FyciTtfUHgrVFO7758S1QC4wneiC2wLbMDfHQlG8mHw+EtHt8eeNDWzkA+pKIjg4h6CWRzs/e8VQVKzblI8JTJ6rdyBsSBoKT8x+H2Lx/OmRwYNTjjZga1dwUTEAIEuYQhOwDjYIJHtV8yNBdsR7WG7n9deGR2penDdj6Ik8L/6rl+dTeS4cMN2cLdQrhLSdOdh7w+75KYQclNtsdVISAtt2NHVT0kVxjxzWXg4rGoRaQgzLKYh1v93lYcve05L4vOSNvWFm0ewBxa11hso0AQA122n5CFnbel1zOouRhCUZs99KS61XVhtzpkse5vdCXPLy05NPj3X6/5GPaecSpOX4dT0k4TvK8LbkayynHkkecpJMll2PJI07X85Jb1AJK143qpVoujvugVIvppyU/uuv2y6PEy4X/4kwx3J4pejXlD1fVpH4+U/TyFXP/D7c9Q1A=###4796:XlxV32DM 3fff 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###4924:XlxV32DM 3fff 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###4340:XlxV32DM 3fff 10dceNq9W02S3igMvcwcwAabn881+zlFqjDGVbOZLLJM5e4jBAiBTbo77WSRbtqyJSzpSQ9wlPWv9Zi2v4WyB46+rMe6/fgmzLy/ppf4HiX+FSYBkqCjRB0HSFaUuNfqD3x6p5Gn0YGjL/FHfG6vGuHu04DE2WTLMUmy5WR6pkpcfsbq9IxlkvSMhWd+7CAyr/m1v5bX/v0/v4uXPNZz+8vb8yXtsv0VjhlU6e0rPAA6tn9BZfz9FYymv51Nv/2Rfh9rkged/g4o/6o0Xv9XaYW/g8W/d5h2HMR/03e0G7Tc/vNOvw6Y/V/BqhdMe4U5ueklhZrzpLzgRqf0+zRoDF6RG/kRrajeylGsqOesWNNZMbpYWR+0Yjsrdi5WloesQDqFs6QuRAiuxzQFL+YRvGkZWRx9iT8wDWuy2ZSGEPOUhoZJMA0hK9IzVWJy6oaY7rMwhs0iww9mUYBoaGQ7SGqmcQDJqDHBL2rMQDQ0si0kD9IY7+aQlFzSQPJQJFlbSEouaSEpbA9JNRVIrn8Ekk6PIGkehKQzI0iaByHp7AiS5kFIOjeCpPktkATNGX6OgOgsjVwLSU+dwbkWksIySQNJXyV2BEmYRYafIyA6SyPXQlIYpnEAyagxwc8REJ2lkWsh6atG00JSaCZpIOmrRLeQFIpJOkiGCyTnAkn1ZyC5DyEpH0xjP4SkeNBKGEJyftDKOYTk9FsguRP8PI0Cjc4Okicl29lBMjBJC8kqCUNI7gQ/oqvx7jw6O0geTOMIkkRXHdHVqDGPzg6SnjT6DpI7k7SQrJK9g6RjkgaSh1gBkiL+I0iKAknNISmWFMM16oJoQ+1RYduiCgQ8z3aRM0T5nCHehJwh0oesdTeNNhf2bfvqzZFTIs4sTToagOswabFEiafaEyWgAiTwA15HTkrBq8RXWul1ZHkdM64wfUWpFeNYxKBieOXy2x1CfzL/AbHllcAey/Bw7kzAk+5QJ5ew5IEIcklNBHQPM8MSQU5rohHcc0vxnOWe+3ktZp4TdlAFvbG/w3PCDjwn7MhzoiWnx7pwSYVddg+ZIdhhzk2XrrYWz7k/0dUOaUc5qsVDno5W3Cieen7Qyj7oal5PD1rxg67m1flwbsbqHyOUOlj0YhntNPJNVzvWUv2jhHU1zLUq4V3tWCnd5c67WsJBmURqYHESZbTTyHOUQMafTCFvagk/pBEbGGrMo51GvkOXI42uQ1dgEt7UjtWSxLa1bD2YpKtl+oJIVRC5/xlETkNEugezeB4i0j5oRQwRaR60IkeI1Pq3IHIi9M00EjSSHSIlJZtsEblqJmkRSW1YihEiJ0LfTCNBI9khUjGFI0ROhL6ZRoJGskMkNc94d4PIlUlaRNYSNHWIXJiEI3JeZNwfXeI/QqQuiPS3NNMQzYTuEi/YK//0wD9Bd5QsN/xzDXnTYF4mUfin0g3/NJV/+nszDoLGiCm+C74mWmbEVM5lnwollZiCJEyNxEZJWnPY0EiiO+EHOm2KdEzGf+Q0U5x2/IzMpnKVNMA735Qht84X14i4P1ZBdQ8mWibhlKeVZyj8Lbmk5kaeSZE0S5CgHbziPL1gMhO9qC0vGh6s1/ld4J12SiKzx7Cfvl7APDiPesHihZBKPqb/pfTDa9hLGmZfC122FoIw977+eQGLTrKu134U7csD2vdOeynxQq+f147Fs9EeknalwlW7FQWgOuJQVxyuW4dYRYjNFyQi1RfDuC7nhu2UDS/7xbCshvvKsPd2zFZKRK0M0Y2+tzcXN6pPuhHKK63vo0PhLaHTrBNKTLQpsyQWlr/x3VOdObHUB9wtqvcYuieC9PR4j1bMArg+SvZkgSR2wC6DLewy5moZ7TRq2CWYskwh72UhbZ4Vjdi3Ap36BTr1C7ZhlzDFlTQ27BJsGSZhvQyekSRp2CU8o5mk1qv4TJU05BwLWZUwKiAnRzMAl6eWAQ/++KZVIrHwZ6CA5K2MsCKJFYzEulIUz/dvZbCiVzunObdSBbviZ8bFj9XLQnRxlvdE1636psP8EjmcFzzyUjdAXsNxbfFru8Wk+have4rhIPWGCM8XHCcBcvZz6WcwNxbReVlOJkkkAIIbsyDMjYTAmb1YJQTJedGttgpJRjZQoqLENf0Z9Tb9WXouqegBieYSzuqCYhKGBLDDtVW6DMk77wckb9yLU5S8e05eN/HkbZLzw5357JPTISOcl2G62HelS9d43PZWQ/Dbzzgj+qMEa+nSZWeSUbosw3RZunRptd2ny8LSJQbLy0uwfAnW3FSaHCxbK4lG5NgaNY2+sTVqGtu2zVi3NVhQNTFYrguW9iVY50ew7bYuar6PmnkXwUd/FFe5HCybgrXOTJKCZS/BcjlY9hIsl4NlU7BM+0wMlr0Ey+Vg2RwsPUe2bF+woPIUrqOES9yGyzY+fyN+po/fTvHLF5atCyRG2K+5/agKR4ECXS/gUs6ztR0i2NcJmgkvuPtWdpwtr+ctzW1d+TCfIvbU4uYQq4m+SVA9iWuC7lOToGuTZW9k7L4Nl6RNn3JNTlcGCnO97NUsZa43Cz7a2E9UOweQT1Ftb3HwhnKD8rPn+qqg+ToB6dYR5Tb9m9s7yg1wWEabU0+xD7DRcxyT11771Yam7YXpYwxnGqyR1qCuVvalCRwL2NIHTPx0jQSG52GvlNeA0WbNpfza3rDefmkVBe5Wg51A91BIC3VZgLrEBMI9PwxzGSm++wd/54aQJJXYw0xo9wb8GFtFuqc09+mgBxOr+hsjHRdvqHdi4qQ3rehm3NCT39PtuKJDC5wFzFow24wFwNO+eVrT04wPYAmt9yi6pyeSxUu4kEsvkkeq2Z6cVX3ZhS/pgFw6rscfRY8nf3EqOnnaKjrJp0v2KUycggHFDpfB0BaSRLEHk6u8TVPb08viM7j4zfdEp/mkVwWmN7nK62aLLkuig7xKIZgbyRolyRlhIcnCOQT87ZmEcYi6R5YllUPMZRWQJcQhcsGvEsYhwtRICodg7CJL4qytxdVoyKtR78hDDgUnW6bmPCnkUV/IYyhsRN7u7KpmA5cXjbLVm3nL3Zbvnjjj2XPGsuV7Lh/hjHbMGQdU0XRUURMGT74XDFRxZRK+F8yp4sn3ghuqeLK94EgVW0kM86p7qnjmMMMPDI2K33UcL/k6KDTlo2TXfJTsc2gYMcvUjTHHwIlZu8F6v8fge0Jmt7c2G/ZKyBgBU8uAU7hpvUZ7Wd+9jafvGxB+P3G3G+pW8VYLervTCzlquKf5SO7ufe6a7a1NDXfzvnwdH9+8aXyeSrGQ7bK1nMuiZLBsFXK0bBWyXbaaVtvtshUld7sccdZtI5L8fWojypmEfUAtpbWocjjn0yFO2WbFe9Kbedcc/GQJ7y+ikZSuAuGOzu1OvnT5DNmxz5AJUqMz5cJZbnhRKXnCOEpK8YGk/DZLWc6nohWWAvD3ziRsu7OmTXqGsaJ6QITPUGjAHVrHjSFY075o8arLJ6BOcXc0u3RNHmMToDxerci/5f2ZUPdd0mzWHt5QFROdP0o50askQq84oX8T3hpToTlyy/D2wly10zvv13dmLF0rOTjj9EJftNbv22sJfA/1BtfIwRnWfizXyb/nvJC5xqzL4AxrP+QntX+bbTgSycQAI5HH9ymjpUtrw+5GQot3l9HS7JtaXbbvUFLPFjCfq4SdLYDEk0Q2+6a6LCcwrFiFku1UWjXxepwaLhpiVqVFA4h3Js56RZqlZ3r5IbPVmf+iosR/0z2VYthyepHvIYqh8yoF+Ut5ziU0O4doXl6O0Fy+HnX6Iwe3H/zAZtZGjDKVtni1XrZ/1vh/eRyQjnAcv5i32shR3gbzuK1lwD32oB+3tQ4ayk57Ds/Y4miIVnkb0c4xCW8jNdOjV+4OHTENEmRjkMpooVF7/KGdZQr5ClXX5DeyBXg5ukNJC/CqrfnMFp7RTMKhb4NhM0+lCfXm0UKj9kAlnAFXWfKlCWjlu2ZnmrZpms+z+/bYfyNCiDqnedAVM+UNJ8Vem4+0xHOa+u/Dp+0fv2tSn7T6thW2x/y1FwZcHtwhX14m+kssCMpkdAYGIhq7fFOcb8AcizewFFMmL/vxek2JcJ71OkuI7JusMJb6eCVV+hSRLGN1PjkAr0/tB0PaRZ45Q6t4zbQa1+Urbmd/KU/4SUBc/K5ODL696RePo037YK/HzrBWHH1aw4o+rrZvmRt96aWtuy9YH+VxeOAxzNpo8NbMO7MYqkcYNrDj6UKPoWls2WJLPu03d0G7a/wmP+u3c9iM/eN+6z/pMhQj8bjfZOc3szR+E5/0m5mGxGJ/3G/9x2p0YBL+Bw7+F6A=###4524:XlxV32DM 3fff 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###4864:XlxV32DM 3fff 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###4664:XlxV32DM 3fff 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###4684:XlxV32DM 3fff 1234eNq1W0mSHCsPvowPkEAyVYX3/ykcwRjxNs8LLx2++8+olMjMLrddb9NNpwCB0PBJ0FI9f/1gSujH9uA/v5aWf0gpn9/qj1+/PItbfrCHevCH+vlv8Pwhoo3PL8Hmh/Dp+SVF9pBZP79Lvpc5nv9IWef6Epx9JJWe9YM5Pvj2wR4fXPvg6tDv0vL62zPnc2nU+bafjVXS2/Pf4PRDxcrTqgdzxpZluO0huOVjHV4T/i4pyt8lQfm7xJ/P78GEyrfs1lmzMuaDsXcnxuJgbBY+ZuWj0T6DiY3fjybdLvjKuXwvgud7o4h9UoowygoLpbArlJB8oYhBKUyefXRtfas/Sh+/JdLHQB9T+5jaxyWGOBSxVYouFG1EI5Q/HYxzVRu41LFog3uIhwdtSEMbimxBG8pW5dAGdZx1eC7q4Vf1SKt6xLN6lN+izx07j2Tbb89VrJJRF2qTtOinx5WVU22MIGpzWrBL+3PRJ7Hqk1rPWWJ9KvKq5yAv9CntdqxIajX1ySSiT3rVJ8TYr4zdhYL1A1sUWvQFxKBOC+BqGwsIvMp36yLJps2aNo7l/esHV3moUONTvj+/1R9FubgxiFJmKsoVK6X8nfGYjVfFFo1iNFBSM4YxOpvGLLpBrueMLILrbVhEozSLaFMgixiCOPoY6AMWUf62pI+GPmAbXKWMVlG0pVJUG+0oZTrRYk87sqcxY7MnwYJt3pUh75qnPe2X3vUje3Kv3K1moCWHxXm2c7YazlBTmYeWsL2c1FBTSdUUGYxdDUY/XznGQFfUNPvwkE1C3UvVRWIPuUuNKFWiTcBlzAaerVNACwpFEsrhDXdDZ4MTFywqQpknXqwrBl+OjoXiEfk2D9Bt8wAlcYiGnMLhxSw6HlmFYY/j0U1c1h8fmkuy4fjQPJCNx4cmcpu6q3TDZbrBLEjoaHjtGA59Mlv7cOiTbu44mDEUrTM3ghuEiN3xdxlz/510/53HBPmYwDTFzGOCfOzPtJnzsT/T9CMf+zNNJDkdH6rWQwyIrvmCC1V2wg+HF92MASLEuwgeDjWcfrWeeNxXhz78KQvyND1XDPtTENTHfrVw8Wrl4joXzbYzF8BhRyAj8Uqt8UoT/EP3vZMAFl1YVxIGIOLqLE7L7gLYyRHY3wtgsWkVWUCcAlfvE3hcBW7gWPWZi9Zzm9unuLi4ctmnMC+4WHF3rOr5CqjwVbpiOdZqPxqvRM2VnNVYGIpz5QpDfi8CLCsyN+etVxnpeRLmjQbGl/3bgRSNy+f9S3W3fwf7X7YdXgI2vQY+iwNf3moMkwVNVJdTUdXXZguzpaClMfAKmxggpG6xh8sOn2JwiNLDZU6dkgilhsscG6UJ8qDUcJlDw3fbTig1XGbfxvhMKDVcZtf50DEVIOUallMLAHOvFSz2vc6WgpYmUDKGMCesUmhQMum+CINnDHHOOFoKWrq1vtUfdZyzc0Y3IWjrM2ToDDBU7ePXZtQVjLb1aETu64m5U9RAlrV7R6iVQxd2sL2PR7y7sMM4OkFGaxhdxR7GjhPpo6BPPYCgeh86j4Q+9SjCkCmDPcixByf65mF3JShM2cghG5/hLErw6ujc8c51Q+P6jm2XdtjmesqYjsl7n7p322XqA+mjoU/du+0qFy3i3Xdsq8oxljOh1H3aLm0fYZ87SUOiU7BeD/vcQQe8R2QEIbWRA+aXlHgu0jWCGoTgQOI9n64GVgCkKRkaswAg2QSQ6jIDkGu+rNZ8Wa+A36w5gl2zCEeShu8y7GfAKFfAyAAwTpxIoKVdkaRbESRBjhQhBkCICOYRqOhXqJhXqGhXqFhiznell7JBdxb6AjJqxs8hpzjA3w2G7vkqKug1Ktg1KpgnCY+e3QRuo9NF4LJ3aw33a/104FqW2PLgq9iq+H5eonB/ji3c8wza70DGsXiCNsyCNgqCHWjDvgltjFDeXY3Bwbr8LTClOqGind0JQbR2GZyQASeEYkVegrkilMtg7jIO5sMPHxQczCkfFMz9TihLMJ97IuHaG0zBYddLcNMzyQ97S9itQ5S+0dCTfGsJ5QikySVCQYE0CUJB4TMGQoGgWZAOIxQUKv2xNlK8xSHPG1qaQHxIyTZslhCOqlSMmVCgMkGimyG1KBwRDSnoi002YFnCjoSAw2fA0TjgzJpBw0Rnb334Tx5vUrSg4zSimuD8jRHxaOAcOKk1IoXjtNQYVcYUrHB7xJRcpe16hUgSNoB/quT2WvymkhNTcgZLDko7+pXkzE01IRj3n0jO3EnO3EpuMdXdYkqTnG11sg3J1CBE1XQuFKn5gnLg2sDtU3L2XnKvdHDWmP6RqdEhtiutFkkLeSdprd4k6cpF3VqCfCOXuxQ56P2NXO5CY4DLlHfpZkvUBCS3ApJboaG1RE4JsUQMNSxn3g04IAqJqRISGKGH6qYl/xSQdQrIOoWGFrGSwsqjCUn+2ewHZuy5poCsU2hoLdYlIXoLtfglhygkL5MQPIWkFiktomCL5MmIdpG3PxxYpJwW6f47iyycHbu5keJ6FmgTN9c3Uh/rVd1XK3jvFxbIdXjD7Psy+7Q8DpHub2aXy+zT4jhg+z+dveKliUkqH2QxTRcOCrIYsZkMlP3aYupxduuoop+tHVqSxJWSGaIJscWkXmieMzbraDOO1g4tiS2mLDHCjBxbTOG1IQqymDImAIVhi+FJZ0TBFsP2YrTsYR78YcBi1LQY/ypRD2ui7t5yF16XxW7uvNV2vrrj1tzVlPc12+J/efld2PqbxxQy2vOtopV3VzG/ddf9o53QuKjbPMbjgs00pokLXR2Pq0IxKP0xRR19vjQ8+hjog64Pd4s4YJC+a0oBkI4vFgWjF8YaP8DovOaF8b5eGDs9tTBgLYRbN7cWSD4qoTgooZwrJ+VE2frAYqb2Mm+fuScOLzP543rogwT+R5PHkCHzuN7NdskRBaXI+HqYeZwi4+th5km9ezd0NkiR8Skyj1Lkdlg1WQjFZQQ4LDMPK376dj8+z9fIVzJqzuU3FSDcK8BdDc33FwP89sWA/qsXAx/UdD4q5RTph1vdZH+lm/7l1eWpyuQWJT3UKixKKhCFKimlYCXVhIKVlI7BSpoIBeo4ZW0OXjfw5X2FQZS79xX89n0FX95X0Nmu31dw8r6CWZFqeVyVsIvK43aaULqNui+q4ud3ieHlQxn/vEjs8UMMQ95dzPcWl9VyUgP/vbL5y3cWkOsyy+VNPTvs0zYtS9MS/Lme/aqM/doiwoc1YmY1v0nHvTsv8VNQ90hhiyD29S3IeLwQ+HYWhPIfA5DlqppZud1k+97z921C8punCd6fN8G1+pOnCUVU9uZpQuAXezGawEhFIOBnUSN9gmtPNbF5wxE4Ox+aUX/+ZFLfHCu7Ka94z950rD+Ka5svfys/lAkx29+iVIoe+U9Ttdk6vjGcCTELELSKsF0Z9D491NjdAcOeKH1tp97vrguZIzK6uy4rHTewrXuHyJUDKrk3R437GOgDxffytyJ9NPSBMnxZBYM9WFKGtyLC+jaczZUxBsbssO8N9s13RO5hzKY+pScUuHQuU26TojlOEJlVBlHQtXGZQ8NskgRSKxSioEBaKIJQjgfcljtCOQKp5ZlQjkzDSjobZBqFjyUUyDSYTQkpW6u1dQGP1vGNXbzvBSWtyX4X+mgd3xguAOiBAvpV+Dh+12O9bG8p5SPiWO9mrM+Xsf6Pn47jB5PqefFQEspb9sM761MUHnWvE4b+rlq0uC9RF0Gub8VVnjFRvc/R9zoirRf8L3iNWHUGgfr42zTftucNVy8ugxxFb2YqBO8O25lPRlnlbqFCvnDH/M+ibCv8EC52cpFvFH5che+I8OVr4e9U+MrfYRCX3odBzHaHQdz+RunkRToFg2Dp7K+lIxbphLtQ7uIbpXMCDHAG4n3SUWyVTiLSEZ+XTry54fEuvA/oHPGqnAaqIY8YYlsMSTNeFGsfrWKR85ufLQMRps41vjH4Rq5/iz5ZxPkMsYBzh1OVc29VzuObny0DAKxyHt8YfIsUiknYs9km1pIZsJbWiEywlkyAcjJFWAcgqIs66tylp0YUgowkYKa6pbGMeCxDIvIYyPtAQEYy4id75W9AU0VeBP+YWSWtAWHAsCrTttSBhCRsosp9LMgdCxKIPCYfCwLYVboToNQAzDzKAVbq0nqrbmx887NlAN5UtRjfGHyj/8iEIJqK+NqkrCQgCro2mab6c6hI36ZixzYNIveBcusDAXcqhos+GNsZRV8dWlwr7tSOqLSriKrWi3fAU37gqbzh/00ZWOYWmvQXjFc3Uz7N6Kh1cdbSFh/tchFrjH/2P2XlQKd0Kld8FFpHRMFHoZ3HY46jgBcOfQg4hyqdsLXirHpEkE6Y0mHkP3foY8kLMHlzNfrJRwplSeu/vQICjNMha+uuxUzDystwott92z0OLAwv2fwuMNHB31zz+rS92sunVKbyCjeXvj7mt/OKN1fAHv41+X280p3ZxfhWXtPsVLWUMH1mPcPZCtCK0ErUPOeTxUYh5jnfF/YxyFPq+d6+zXu6YJ7L6fG9Lme2ArQitBKJ/toHNDWO/tqBhwmBhFLtLaLgUKrn4/e+ClQR0PPtYhcXiojDxOYeWhjQ7el86FIRiIyjHXJn8GK+RruiEiGE0xu0+e/4mX/6Ddr/AaJhSCU=###4588:XlxV32DM 3fff 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###4624:XlxV32DM 3fff 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###4616:XlxV32DM 3fff 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###4648:XlxV32DM 3fff 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###4500:XlxV32DM 3fff 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###4564:XlxV32DM 3fff 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###4364:XlxV32DM 3fff 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###4668:XlxV32DM 3fff 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###4060:XlxV32DM 3fff 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###4004:XlxV32DM 3fff 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###4744:XlxV32DM 3fff 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###5068:XlxV32DM 3fff 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###4724:XlxV32DM 3fff 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###4828:XlxV32DM 3fff 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###4780:XlxV32DM 3fff 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###4796:XlxV32DM 3fff 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###5716:XlxV32DM 3fff 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###6060:XlxV32DM 3fff 1794eNpVW1kSLSsK3JJTOdwXvRjHDfTnjd57l0BmnfeXQaEiIOBQx/15WvnnP6GeF/UGtIFyyS9qZ9pXQU//5z/elf6L2gaapB2htQC+ixppkzTlyx58FzXSJmnC5yf6E9RIm6Qp34J8ghppkzTl6w18FzXSJmmX7+yiqhJwdSFgGxA9edc5h845dJtDaH2ZZgVdvhA5uivlF5k+C/VZoKd6wHdRI22SpnzPBt9FjbRJ2uULLSeTRVAjbZJmfJV8lXyVfJV8nnyefNQB7BjicNDBRY20SZrxJfIl8iXyQb7YJ3U6wXfRJE34nIvGJ6iRNklTvoBxn9p/kbQQNEmTFtODT1AjbZKmfHGYZWrZv0hsJGiSpraEvyhqpE3SlG/Q/wb9b3ANDc/+6HX0eUefd/B570/F2jgVa+NUrI1TyTfJN8k3yTfJl8iXyJfIB1t2B1sKaqRN0pTPw0aCGmmTNOuPfI58jnyOPpQKfCgV+FAq8KFUwPfAhwQ10iZpygdLK2qkTdKUD7EqOM7IcUaOM3KckQuQ9GnjF6l3NowhSL0ugE9QI22SJjaqjC+V8aUyvlTGl9oRh0fJv0haCJqkSYtR2aIicguapImkh+vocB0drqPDdXRCBl/I4AsZfCHT67AqVqu/SCQQNEmTFqvDTwU10iZpxgdJo8u/SC3tIIsgtbQP4PMBfD6Az0MH4dgKVdRIm6SpThOynKBG2iRN+R7a6KGNHtrogXx7Y+UJaqRN0pSP8m3KtynfpnwrI6sJaqRN0pSvRPCVCL4SwVci+RC5cs2/SFoImqQdpW3ybfJt8iHGZtQpu5U/s5YLGsAAWApicIPIaPl1YAF9VYAOMAG2gpMCAJkTQLbRPEEF6ADWYfTnvGiLIIEoEiWihygTFaJK1Ii6oj7dB55zK55knV2glGUDXSCUt71jTx7IGy2U87Z8HqAmBadzRhP00abQfMTXi+RrWYu9JCKvLWK4QVJliV6/KjraM2lhGnID4eg0uLVLAzSHALbP+UX6NVtYfdtiabvJcD4ZuhNChSBtMZ9fJA55kAoUNdImadrfRsHlhsnyaihQG8HmW46DXmJX/b32qPi6A2jRUVeOfA39bWpNAvFt6wuCRqjoL9RkdhNktA7/OQYeG0uAMhUMFbI1PGXhY978uFW2Ux6ShrlFaI40OE1oMHyZiNqCrhJfwyPahYTKM6RKhBYhIX77By5wjhnvVTEkEDQfIDPAAW13OnqhYiOdtfJr+EVqitDptv2Xpm0DjSf5Xg1l6F3R7hfZ3OBI4QFfqPsXac6RSKlmpE7r84vsayb6+hukBdgUEw+l/yL92AA2vChXkOAV9IC8aG2OnQu0PphJ48JM4yEaRKj4/PS/SDMkq96xsCzbwV7GV1RofiKTnjI47gOfGdgvlX1o2UT0cOE1oMQAtzaX74K1UyVfpS8sU9nhsjzYDbxtN8cwCd4ZYf8wIyolQY20SZrqqnBJyx5PLdtAe+gVz8MWkR4wgRq9IlsAPqXC3q3TgBsl07G4+i5XM+qL+i9S1d9htQgshzROzcO8Z7DQHCiZUttcDoGLPiNMtMm2E7TOImahJBEktJ0Rz3dnjP/KI+QCvxskLXvRIaCq5DDL4Lk0pdjWkPmtJcbfwgWZGJ0LFV4Ybh+uvhxotv2LZLFVfszmN+cLCPnrg8Ebme/VD5zgLBZ56ws6OAZIAUVeX9iozoPibR4s3oSs+bbAZjg1bh7mgYUmsqEg649aPp0ZsjMsb4TvidK9RC7AuJBd3WehxQw5GW7ta/TDpIqSITWMJvpXy0xNqKIK1kH1/BaRKj1S5cHKSJh18ZPHIBbGoqcPpmgr4zgMXiIKiZBgFEGiTkHfV3PzDyUiDBG4B2ye54I0WRnMchepikfh10mjbBZnkdnaIXZ5j1LQZUYxZrlIA6TA+Micmlj8JKo2o5z9/Lchy8DL36VidYNfLP8E6c7E0aWdFaWKRN2PrVAB1ofth85jC+qgQjlP/QFq8gHKQEdYmcU1GoFFDA9zlmckQuRQpFGHm9XCI6GA7ePbC+rdwiPewoPdGB22U57bOJp8YZv+rjQcBE0e/3SuV0F66OMRUctAmtw4PH7XjSUfRdJLKXYYNj32ggtnKr5XBOOecKpYGAjyQihc67DyozcPeH2LOAur6EWRnnwU0EqECkpAi4e9CNK9ZWSKcAenNZhGWBPnMYI0DUXsm1vEXrU9PDfEWhwT8fHBodOrKBwEZFpt+UUvaL9Ill3GgYEijbIV5UvZX+3/lSrIM5kHAcV5ZsmFbJWwjHaepLGAfTyXJ3eNiUXQRbZkbWm/JRcyZ+ExVeExVeExVfmOqTZWQp3+F90WJ7NmqYWp8UuD5xdpWLBdzWHF8rQfYH1x91GZZps1fE1hiji5IAIURo7PTBY7T2VKmJABJXRN+ISS+FkQZjF8Mg+zstk4OIvf4XBFxhuLDuIdEU/G3MNUynDieb6G/PKiyT2Wxco3sCAQaNrUtjwV4ilYTg9bQB+Vp6FlMZcs5pKLNIWvhxkEZaMg2+QOuJR/eJhgNorfnmiOwuJl/yJx75C4r2ksd+pCCu8wyvMDxGce2OsCCeeomU/l7gbZgAVzzjzqnfMX6VHvwtXK8JW7f5w6qNoakKnoS548g9kfmliJOENQZEptjACN29XI/iLPEJi+N6pUQUYrjACOG1eOFr6tbuVXypzKL7KviW2/OELV0iDYXWZYJj/YQaIAyxnM5lEHgeEU1F8oCE4GhZugVHFJJkiP4NOGrVj7DR4DD7r9WOATZCnpS1Osk8/nnFxaDWllInBEt+AjCfFY0UebpFn9AF8qhzFfjncbkC0eLMF5BvcliV9R105eBU5e+60MHXT48Gs1LlrWyT3hMHkE7NIEqYZC//2qi/bB6hCklzwRVzGPZ5JF2fBuMTOvZrFtfwLO7ubC0ceqOCToqKRfbXCWCxdEY6Dt6BytqQT/+2+4BxbuT/2LE/XFM3NFoB1JYwtnCIpuoO7horttUNpNny/633/9PXdzf3wZf2/zt8tV/wGS3WU0miG9Op3Gp0j5Ovk6+O6JpPIJUlomLZNWSCukVdIqaY00yjI7ad+4g7RBGmWek/NYnMcibZO2STukHfbn2J8jzZPmSQukBdIiaZG0dxWsRjTu8co2XRnSw0NInxd0sLLN17dlEvh5q7p1y4Z5s/6SY5173LjktNrZV70uN5SAbro1tIBuzFT0sO0tng1tG00u7RTVQPRAglthKgqZqBmfXKMp6okILeQqTJHHjOQ6y9DEaKkDPZTlAZ8sIEVsu9lWrpUUlUhkfHKJsTJAsSuLS1FQbvm9qpJe1Ig60QC6h7ZGm6RZd9H3r8Xi14eIvdxIo8gl0g5plGU7okzkiQrR1+KT/vsaiCjf/qT/JKVUm7IcSnA47rH+osNor2kbaZ20TtogDcgdai0m8k3yTfI95HvIt8i3yJfJl0k7pB223Wy7yVfIR+QcUHJsy/4C+zuVbStpbBvZ9lBXkbqCTl+aJ436i9QfNe4jdX+o0/jplPqLn/6oq0hdLUq/qIPNeYRvHpFtI2nUXyRynEcicpQ5ETn2l4gc/SAROdo8ETnaNxE5WisRDUpQiTrXh+P6/doWrJR70SG3rD51RMaEqPoii6r+TETVtwQwJLeGijJayG2pIv+wRWGLzBaZPbOFeJgiRNoTHftLlCoSeetPTh8NGd+rA8gXOsb1Ff2lkcmH0VI74HsoC3KRn8VzXEfaZC/U2vj4BvKYR7weewAdZBG5cLG2hS028k6FVFIsWQv2RxvNctgzcpHsf7QtpNLyavFaRNtGfI2H2Yu9yK2EIebU9SFm3HlIc5SZ0j8ecwvQ0C6OCDqQ2wuTuZM2iEh7Pg97aMHPiwsR5lF/tAFr7RSIChHGkLsNRZ1++uVyViIBln5Hg/5qYNvCnmNif8zgWBV6Q6KWoQ5Ch/7khsTmwa8TEpzFOgDepPcNiiKrJ9YVNbBnrku5b9D+aMsNz4l+09sHtNYdv5avGoMXywm96iV2+jgkbbBl5Lp8e4EO2ubcsFKib4vSswVXgFzC6hgOa1rOdW1c9Fc9deAr+2tE9CHHOnQ/lI/zRb3q5cLPRoN8D+0mR7LWC/xejl8VwcP0uNS+QgI5ENVejidiW3piGo1f2cuHNvqTk05BckCltPVpKBBhXVbGXXmnYr3AXx5Es7AK4tVkvJczHP3awCenOYoYVR7ObdAelOVFoMnpgqLHsQX5Jr4megnjge7jVRYPXcn+V3uphTTGjRn5lfF5UlLKN5hnBkcbBTKvStQikY5xd8y1v9vaB5vacpAsZgbSSz85Ao4I5E+9je/LHG2sj9oqH7VFNim8qK/cblfdUM+3cXN/dW+1G/ZWht58BrSA3ghp6N1bGXprMaCtSPZWht69FdBjfHdvZShkomZ8d29lqCcitLh7K0Peepa9FdDEaKkDPZTlAd/VkSG23Wx791aGSiQyPqn7gTrRAIqJtEnaQ9oiLRMdft2kFaDk+JV8sRLxa6RUb24AonwxEH2SfvJBqlslA1GW8I0Wifg1cbTEMRL5ErWRqIPEmSfOcrCXStQ5boE9bgUGBOnvEjH77gF04EO3sgLfhq/VA1TYYrEXeLvUU+gP/qfnyc2WofXS6GGLbaMuUjwzmXxmQtqZVm2ZP3OMW20BcZWtD3ENzkOa44w4tweavNWWSnWrLSCsrVttWYuBVwIX4SvRrbZa4fuRZrWT9QdbSu1kKD9AnYi23FgpUgnZKoNvSCWkUt2qx3Q/EZv0lUW1Wsf4AjSUIqMZ13nCytO6Ri0o7ygm31FUvqOYVuHA/75ISI9dkDR9q6zSCvIrQbF6Bf7MVba5Fgq9PUOTNyzngmrh0iRLzN8sUR2zREOg75HvoSoeCLUuWeKto36yhMxYUGeWkB+fNEsQvV3LyOttXDiy58gFm5SbqbSbXShDhgw/0iyVpv5KM5mztLF080mTKU1Wac6/9BAgzdOAWoNcByfNV50y8vwd+UAPom1j5HgP8+Y70Tty8//SQ8QoqrC7pdKkK3OWxvKQqgEdnme3rbnbvR2mv3hoXvnQPHLkzq5NhvgrQ6mcPdE1rslV0VjNLNJ0yqC2OPFXBvWMi/onA3eMXf2hPf+qV6htm3OGIeWBGmc/1QJvtPsZb3LO+2vc+JLNxsv/Gq9zzqyP3rmYDG1ZN7D42/fPeAfzM4sLY+Z4Vcer1kTHG6y4yNgCUdNRrl/Fv3Y+a5ZkFSZ9i/3CggPKtk1uOGSLpuhu0Yy2ftERwe5uzf3Jf/XcPYeExnrXJY2L7ep0fvp27OtGhI2y/K4P6YO7gi2hPsITxs7nZhObvjP48IqoB+nwrfb1ykc7rNipippUwkEJuQOV5SD7zueTdVCEcSvUJGsuYcrF8zMCtPq7bDJ1mSbv/jW9RhmoYtWcSJMpzffWbnIQWSIpRVO7dvhN4BP2e57XoRsZRPV1uznvxtT9CX//4916/mSHu9qX7Z1n99e06dWhvhrveDWe8Wq844V4x2vwjPfFGW+JO94SZ7wg7nhB3PGCOOP9acZ7x4xXjh1v8zLe5mW8yMt4kdfxkCzj+Vjms7COx2AAh0/AMp6AZTwBA1CeAcpA821dyyMqSfT6sCoSDT6xEj4psjrfRC2hdUwd6pGnLRlPWzKetgAcPlnJeLLS8Twl43lKx/OUjucpGc9TOp6nZDxP6XgtkfFaAkDEeNBcXkt0vJboeC3R8Voi47VEx21/xm1/x21/xm0/KPopg7nA7AU9w7Zy299x259x29/FN8MtHlKBbw74ZoFvDvjmgG8W+GaBbw74ZoFvDvjmgG8W+GaBbxb45oBvFvhmgW8W+OaAbxb4ZqFvDvgmgE4DY4hvFvgmgPIMUAaaW64zP5xAnb45Cz1y0CMnPbLBIwc8ssAjCzwSQHmgymzhVz2ywCMHPHLAIws8csAjCzxywCMLPBJAxHjQXDxywCMHPHLAIws8csAjCzxywCMLPBIU/ZTBXGDsgp5hUfHIAY8s8MihHilJPcMjJzyywiMnPPIF/wdw4VT9###5436:XlxV32DM 3fff 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###5496:XlxV32DM 3fff 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###6472:XlxV32DM 3fff 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###6464:XlxV32DM 3fff 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###6536:XlxV32DM 3fff 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###6664:XlxV32DM 3fff 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###6680:XlxV32DM 3fff 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###5352:XlxV32DM 3fff 14d0eNpdmlmyrDoORaeEW8n3xR2MMWYC9VlRc6/EzQK9P8XZbnLZiK2jzPDPX3/l80928Z///Sccof05/vj//vW3lj85e+Qw5dPIDtlPuRr5QHZTLl853Vs+7imrkTtyn3I2ckO+ppyMfCK3KUcj1y1HnXIwcmH2OWVvZEWuU3ZGFuQy5cPIGXnu7W8jJ2SZcjdyRM5TvowctuznjUVzocKV+Hlj0V4oVxLn3tFeKFfi54VGc6H5Qj6mbC40c2Nu3ncUI3Njbt53NPedOXM3ryTaK2FvN/cOZvHEg3ykeWrmWBIP8jHSwB3PjYUtu9/i7ux5RkPtc+Bx32ZgY+AvGioDLzOwMrCOgdc7sJmBhYFlDGzvwNMMVAbqGHi+A6sZKAyUMbC+A4sZmBmYx8DyDlQzMDEwjYH6DhQzMDIwjoHyDsxmYGBgGAPzOzCZgZ6BfgxM78BoBjoGujEwvgP9d+DvXbQGPtFQGejMwM7APga6d6B5eg6enica6h7YzdNz8PQc4+np79PTn3dAZODJwHMM7GPgXxdr+OX4fC/0y0zhgTvGA9evz5TU53uqNzOFR+8Yj15vTHF/Ul1TTjOFh/AYD2E/mXL8SWW+U3o1U3gcj/E49rqnlPtlKWYKD+YxHsxemNI3izvkO8XzQD3RUD/4dU3JZgqP1hMNdU05ZbO4I5kpPGRPNNQ9JW8Wd0Qz5WDKMabEzxRYwneK4wl9oqHuKfFl8WYKz+oTDXVPCS+LM1N4xp5oqHuK515u81g6WJ5oqHvKwTN2G5aDV8cxXx3hswvPmJopvESO8RLp+p0yWLyvy+1d/9Ucj8f//f3td6A1TDkYWbZcHtl1eZ6dtOT0lDG/qO7op6anQJhRDUP9nXw55+Tnkch78lPkzMkjuq77T7oby+iOylgm/W4j1bnMekzWMp1lRvRTs6Q95e5zSjBTLqZca0rqT7L1n3OyizekCqnuKYPvaum3S90f9i5zsjOThcmyJ0+qeI/9nmV+f2tpTj7M5MzkEf3UyTd35rQX6ShLmCznnjyjn7quZZxx3Re0dh5FizC5MrlyQddeprzLcNrz7seCOhcUs2BhwbIXbJml5ycUc30XC+a54PMIKQtyKyOaCwoLFq60rKXXNY8Fyz72dWcxfj+rhr30iMaChWU+V39yAZzm3eaC4ftZ1bOg5+o5htL30uVdurEgn3UeQ9zl48xSGW/hs+5n90rLPJe873FFOf3KumOuI991npz7rVP2wxR3nblkGbK+cjJyHrK8snnTPE/JT877Q8Zo3jRP8f6Tf9htyd7Iw5tOXgIxH+YEhkNcr5zur5yHG1yej5a6kceb/3Lv7MvIo7K9Dj5aakZ+KhLX7nfx08jDI1ofdzzkauRx5u16Z9srGXu38p6a2TvNm+/vmTsju31qQ/69yc2hPiWS978bm4/DTzazn3JoyOm6p2zO/Cl9plz9kIs580O3XJZszvwYznKe7F3MmT/ly5D33qeRn1Jlymvv0xzLU5ZMee19mit5SpC5t6Qpmyt5yo05u0/LPMtXfkqLtfe0zNMk41NGrL2XbC7UceZ7b3Ml7tintvauZvZTCqwzn4sXw/2Y/TrzIYfxH5N7CoTwO6Fhdz4875YVZSIhUqJCVIlOItb7Xc2OOtHNuIPIEXmiQPR7u8nA68vXftE9X6s/EL+Rw/jn7mUa//0OphVlIiFSokJUiU4i1nuYVtSJbsYdRI7IEwWihylupgZTg2nfU7VMApPAJDAJTAKTwCQwCUwCk8AkMAlMApPAJDAJTAEmgWkQ33U/2GH8y/xhyjBlmDJMGaYMU4Ypw5RhyjBlmDJMGaYMU4Ypw5Rh8jAlmPJiWtkYxn/3H6YEU4IpwZRgSjAlmBJMCaYEU4IpwZRgSjAlmBJMCSa3mcq1mZ5KYzLtfBLLFGGKMEWYIkwRpghThCnCFGGKMEWYIkwRpghThCnCdMB0wtQ2086nbJkCTAGmAFOAKcAUYAowBZgCTAGmAFOAKcAUYAowhc00mouTiXdEme+I/OZTskweJg+Th8nD5GHyMHmYPEweJg+Th8nD5GHyMHmYPEx9MyWY0mYin6JlcjA5mBxMDiYHk4PJweRgcjA5mBxMDiYHk4PJweRgqjDx3ku6mXY+HdZzG57b8NyG5zY8t+G5Dc9teG7Dcxue2/Dchuc2PLfhuQ3PbXhug6nAxHsv5c208ukaTGEzPf9MTqYVZSIhUqJCVIlOItZ7mFbUiW7GHUSOyBMFoh+Tjju56r6dp3ZfJO22JBWSCkmFpEJSIamQVEgqJBWSCkmFpEJSIamQVEgqJDxn96ySbt4HrVuSAkmBpEBSICmQFEgKJAWSAkmBpEBSICmQFEgKJAWSDMm5SPZb4CqWhIpOqOiEik6o6ISKTqjohIpOqOiEik6o6ISKTqjohIpOqOiEik6o6MoBSdkkK/cvNSSK7yi+o/iO4juK7yi+o/iO4juK7yi+o/iO4juK7yi+o/iO4jt6U+lAsjNeLAluo7iN4jaK2yhuo7iN4jaK2yhuo7iN4jaK2yhuo7iN4jaK22iHZFSpF/9ghStbEjxG8RjFYxSPUTxG8RjFYxSPUTxG8RjFYxSPUTxG8RjFYxSPUSq1UYc+JORJsiQHJAckByQHJAckByQHJAckByQHJAckByQHJAckByQHJI1a5tokO0+izfibjL/J+JuMv8n4m4y/yfibjL/J+JuMv8n4m4y/yfibjL/J+JuMvyF5K81zk+w8CZakQ9Ih6ZB0SDokHZIOSYekQ9Ih6ZB0SDokHZIOSYekQjIy/gpvnnhLQq9A6BUIvQKhVyD0CoRegdArEHoFQq9A6BUIvQKhVyD0CoRegdArEHoF+laVm4Q8cZaECkyowIQKTKjAhApMqMCECkyowIQKTKjAhApMqMCECkyowIQKTKjA9K27ZJOsPGmXJaEvIPQFhL6A0BcQ+gJCX0DoCwh9AaEvIPQFhL6A0BcQ+gJCX0DoCwh9AY2QpE0yvziKsn9V8IB8+5bnlJ2R377lI3v1Rh5dMA1uH5O6bOS45bV3evt3dfep86cZrEYefepRr69ubzby6FOPgn3JycijT93kleNXnn3q9jbBU/jKs089ev/ro3kjjz71+Mpiyc7Is1cc3k7zYeTZp/ZvK/k28vy+/N07diPP7wbud/ZluK8t79mnked9v13uWI0877vRpz7Nqa1Oc3x7pubUVqc5vv1acyyr0xzffq05ltVpjvRrqzmW2WmulN2+mmOZneZ6v/1acyyz01zv9+vVZuRjy3tvc2qz01zfPnU1pzY7zfXtU1fznM9Oc3371NU857PTXN8+dTXP+ew01/zubZ7z2Wmu+z95F3X/HOp5Pa37Ht9qzPxWMbLjW43xyZVfiQ2Z/F57Kz9JmjL5vfd+5cze97v3aWTH9zHz3cLv24a89k68W/h925Tjlte7RTuy7L3HN0nro11GdnyTtLjTV2bvzc0v86bM3ou7HMjK3uHd+zay4zuwxS1fee7t9OXORo5b3u/z99Te76HSAvvJ3si8z9d9O/3K7L25nRiZvRe3vKf2vlvk9ZJoZMf3jmvv+pXX3u31kmLkuOXN/X60k73Lu3c2suMb03nmrn1l9ob7NDJ7b+731F6TrC9Y/8pzcX+8YJeR45Zn+tfxEx+Xfnp5Dmv8QrU8p7oiJSpElegkakRP2+0aUeJvneje0WjAzcgReaJAFIlY726r/Cv1Ws2eB+QTbbpo6MYPbAfdipSoEFWik6gRPXRt062/daJ7R6MVd2+6FXmiQBSJ9np6H8MQf1HPqwH0gIwfBoxoPTd1/KTpQ9eh69B16Dp0HboOXYfuhK5D16Hr0HXoOnQdug5dh65D16A7N13THU1bq+Nl8aG7oLugu6C7oLugu6C7Nt34qnHSXdBd0F3QXdBd0F3QXdBd0F3QVejKZir77lZaVvevvFPyTsk7Je+UvFPyTsk7hS6Sd0reKXmn5J2Sd0reKXmn5J2Sd7rpGnQNusTdrbxzNu/GV4iTTqAT6AQ6gU6gE+gCdAKdQCfQCXQCnUAn0Al0Ap1C9/ztAVl55968czbvxpeJky5Dl6HL0GXoMnQZOg9dhi5Dl6HL0GXoMnQZugxdhi5DlzbdzDv3ybvD0iXoEnQJugRdgi5Bl6Bz0CXoEnQJugRdgi5Bl6BL0KVNV3hnjsbTYCr77nbeHd3SRegidBG6CF2ELkIXoTugi9BF6CJ0EboIXYQuQhehi9DxzhzNqMGUuLvtd83SBegCdAG6AF2ALkAXcIQbugBdgC5AF6AL0AXoAnQBugAdb5Uy3yoNv2uv31VL56Hz0HnoPHQeOg+dh65D56Hz0HnoPHQeOg+dh85D5zddgi5Bt/yukXeH9bvsoHPQOegcdA46B52DrkLnoHPQOegcdA46B52DzkHnoOOdORpZg6nsuyPv7FslNdy84eYNN2+4ecPNG27eoCu4ecPNG27ecPOGmzfcvOHmDTdvuHmDjnfmaG4NpsTdzbwrs2EHnZybbkVKVIgq0UnUiK71deagW3/rRPvr0cLXo4WvRwtfjxa+Hh10K2K9tmvnEZVAZT3+VnYz6yeflq5CV6Gr0FXoKnQVugqdQFehq9BV6Cp0FboKXYWuQjejc71Bnmj8tnhVZ6Niy25Eg65YugJdga5AV6Ar0BXoCnQZugJdga5AV6Ar0BXoCnQFurLpmu6oU7+MenrQtTLous07oc4U6kyhzhTqTKHOFOpMoc4s+J1QZwp1plBnCnWmUGcKdaZQZwp1psBU9t2NX10vurLpyry7y7q54neK3yl+p/id4neK3yl+p/id4neK3yl+p/id4neK3yl+p/jdik7y7lx5N6sz6NK8u8u6ueJ3it8pfqf4neJ3it8pfqf4neJ3it8pfqf4neJ3it8pfqf43Yyu7dxP9Mm7UU+XrOTdZd1c8TvF7xS/U/xO8TvF7xS/UzoPit8pfqf4neJ3it8pfqf4neJ3M7q2c4/ozbtRTw+6lXeXdXOlr6L0VZS+itJXUfoqSl9F6asonQelr6L0VZS+itJXUfoqSl9F6asofZUZXdu5R/TmXbk23c47+9+r0FcR+ipCX0Xoqwh9FaGvIvRVlM6D0FcR+ipCX0Xoqwh9FaGvIvRVhL7KjC687WrfvCvnptt5Z/97FfoqQl9F6KsIfRWhryL0VYS+ilKJCX0Voa8i9FWEvorQVxH6KkJfReirzOiK5F385l2Zb5X45p3971Xoqwh9FaGvIvRVhL6K0FcR+ipKJSb0VYS+itBXEfoqQl9F6KsIfRWhrzKjK5J38Zt3CbqVd/OHXi8ddaZQZwp1plBnyq4z/w+mQjzD###5180:XlxV32DM 3fff 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###5888:XlxV32DM 3fff 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###6224:XlxV32DM 3fff 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###3900:XlxV32DM 3fff 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###1124:XlxV32DM 3fff 44ceNrdWwGWqzAIvJIJYOJ1vt3e/wiLRr+t1ViVEN6+3bd2NWWmCQwBK2CP/8CBpyd2+EPIxxaffBabBiPCcJ3PhfRqGrmM8OTR4YPPLWNbZDM4/EbyRMt4elIEoA48QMa6Eg/oJJiwLaJmzYfZEAa+1r4yYlSEyKiOQZvpbLvYYp5PfPDnYNyPT7g5mvww7g7ebAE7Ps+zxIjjWeYQ+NUwV8DXeNJOIH1pC5+CmF/aepmF7dHzfAT+r+G3Ea9Hz6PZADVbXjX60uDVcX5XbvS2r72888FcMHHbHnOZU87yXgTMiDPO3XgLfyXuxZjw3xIKdIGPAzQyM4nJ7Zmxs0a18gP2d/PDbOFd0/nqoCIC2WHP0rue38L7ytJWXljG/p+FWQk3ovDNb+KkvXueMNv5wW2/yWn1dQ5XMoCI9t9XfjGVSxEdDWQiIZWTmxlmMyy2HTaFducX2HgIEl5zqVoIYxTrZYMcnmQ2SDh62SDh1coGAZyBfLBmUSsjJO3Lz4m22pRS4mXccPQD3shtZXHKTkFiXm5xQGjUOPQ7HPy0f4O7+cgGCxHPwIL5+QwTKlIlnmEQqjNwY4xY4CBfJZ/j4A3Mgy+4VzzPxMKKWMhliQl7qYp39LssoNzO/QITG/MhwELEQ8iAepAZ9SAD6kFm1IMk9jsCHBz/dH+BhdiqaO3Li7MQnBGqWrM5E3viYEZJgxkVCwZULCjuO45YODOrUl/FQkkVO7w31gIq32XMIcreZ0xImncaE2Kt7vJR/tHqL3/ykOkwX4krCxlZa++4X+3FMiwO4qEbNU1PW3J4ksqScPR0JeFV+wZDtmui9h2GFYtyipKPIhs9pGiihxRL7uUOYsKP66CnLTk8SW1JOHrakvBqaUu+TtTSljWLGruVzkzVXOO7jqhchaBSDYLKFQhWrT/yXqMVzWsWtaLZRuepK9F5OqyD3ahkml2FHKJsVyEhaXYVEmK9rkJjIkt/8qgV2Vbum3ZVqvqhV6n9ZAKoPZsA6k8nQNXnE478Ry+6nZG8XauidXwMynGFSlXtjKQZV1i1sj26o6MXV2se9eIKlPeh7dj714unHJ5kNCUcsVj6BRuqZME=###1004:XlxV32DM 3fff 3d4eNrNW2F6wyAIvZIKGL3O2vX+R5iJa7+mTbBbDL5fa74ReaXwQETnJLDwJDeJcuPExBf+Kk+JmJJkiuSd41v5XxDhyKl8upQ3onCRIs7CLOQpFKn6btyW5cuvVBEX96yLp8enyPMbvOiftc+i7l1yXqk83Vf65sebK+krZ+aKZf3uQRTaui2sWzjnv2HWxpfylPl7sdczikCuaY2X9xRJHcN1F4MvGALRcAzT4pNjMXhyRy1RVhNxXbB4ygAWOYyio0UIIFY6oOhmkQDjrWTiq/tMGoytoSOxjRodCw1mEQLILwRiB4LgUgLItgSR4QgowxFEhqsoBMA7MNicoZCMzrJstl/RMYyPEwHIagKTUaSHX3RDMjq3CdBOUiDyrMDELMruTSDyrMDsIQVo1xQBMm0099WT7dENyej6IxrnOh1Jomm4NQ5j6GaNDlm3CwoPwR44bGqV+/dRJBgOSwAclmA4LAFwWILhsATBYcl8/9LCMppPE1CfMMPstfOZVmlMMHiKxKvphN/VlvmEK/tZ89v325SWUE/5j2i8r8H5eU6irJiLVHqfqvhQ14errSc5Dmv9cLWtyZCVdPjjVAZ91H22mA/ZQ3LejEgrykZ3CjJAvzPDdCsywHlihun+5jMq2gZXTZRM2V/R15n7qyZb5q86x/L+BML6EwjnHz7F6Bbb3fdnDW/MC5fYxbair3NsV022sV11jo1t3YPsYvsVx6jYtuw2tCpLB1DVoZyWZojT0gxxWpphTqDIcE5exzB2B0QwM79kOPOrYzipE9bslPjlV7DsPikau3efqi7r7lPVOrr7pGciy+7TKxL7aoWAbsUQxK0YAroVQxC3YsjwBtl+dg4g57tkeOdCxyCDvYJhapVTJqeb2Wz+6rYVgqKxe4VQdVlXCFXr6ApB537LCuEVyYgKgYHy4Ul3A7b88m7l4m+32X6P+9y+eOtmpb6O+5WE8rv9Q/cPHGmMDQ==###1392:XlxV32DM 3fff 558eNrNmluC6iAMhrfUkETKdubi/pdwKNU5HadC+arVmQetJvwJuYODqX3ah5/8bF+WzCy42/QU529UNAzDguryeaGXzPE9UeSnwjcMHswt+rnQjldaH9V09JRpTePaejb+WfFk5/yN3aP2MMu2B/G6hqWrBvOnWYqY31l+r/k7M+/C2rianR+KunG1xU6sU1/3JDP4sERc2P5imyJF/itS5JfM9Yf6Ys3rat+2tOy48Kb73rdXktraLXnXZJ1ew4RoX/kpe+y0bzeS2IY9ueFco8yruQ9/IqJflqC6T5IHyKCa3mQ3fK9dNsnweVcGP8widRl2W+QdZHiIV8TDdqMuw8O9olE98geH1uMK3oOr8Yx0bC2eMV9bietefFwdvpXjFVU4vlV+OabqPFmGjt24n/fHN6h/4xv0AePzLNLIVOHgOSwcNoWFF8xg4Q0msHo8HZf3b+U4Pu+bDi+feGYZnpVt59jRghQKUipI51+cK1438ayf4az7+I/dQl7bFnjZ79vnQr8oKn6wC/mIc6uTyqHZsoL34Gw5Ix2bLWfM12ZLf5PTKj/srOrzbqaSJ2aqK2fINpMpW5Y4njrRWGrmSrzmtM345A5fngAKn3bitfjqeKeyo/149/nqeA718279wmWSYPZzaL9TmePIfqZevJ8c1YVW5Wr5ZoS+GZHtJP8z69U472FKOXO1bvu1+No6KtZRgc/0a6hIP2XRjmJ9tkF/NLT4WrEuMNYF6defy1p8df0M5jLgK5ccH2FtiLA2KKwNrNay3SRxF1BdCP1x4Km/f6jw1HCIRiyuA4zrgPEc4sEejEUZwhLY77HaRiobrdv9nXObs42ZMCbXUzAmq3PTb0UcVboaZ0tPx70mra9EzzZnGzNhzERzAKzsNc62PRXbUyGm4fjs39v/Hi84VgT7kGAfEuxDuJLAeUJAZW5ztvQUHCuCY0Vx7lM4ZxPMNmc7VhKOFVo/B9wnDLiWBZyHwg49E9YzYR9S7EMK4zPg+hmwngH7LbdnwH4bdvitYEzB+VZxvuU+5NiHHPcmjnsTx34r2G8F6ylYT8H2FGxPwTVbcc1m/VDCiKy7oLPciM6XwIxS5arf2zi6t2F6KdKLnL8I2kOB8zOtxIHOsSzG4dm7w7N3OnfQqYPeLQjUj+0nPYHgswadbhgenaYU2o9O4zSvKMorrD8boW+O6P7E0M2Gwb6B1vAEewYrlOQ+PaB7r4TuvdjddkR32xHp5Ugv2j+xSX6E822EU3yEv39wFG8b9rLsxHg50xg66aWTPnTS6yZ61VC8VLqoQxd1nyTWpads8cEFfei0U9i8M7F07NpFfaPrxQ8/GlzehXHaqO/mDLKg7ls7bqP+B69y10A=###1552:XlxV32DM 3fff 5f8eNqtm22O4yAMhq9ksA34OrPauf8RtvlgI3XS1g+af630kIBjvxgwqh5adYhYN7U/9qVF6+Pf+fvxK+yvm3/7UFN50E1biq7aHnTZWkG+QL4m+W2smu7NQRdEP/XEv616ta8PrTRp/bJbP9ujsVtH1SDvkG+Q70k+Tl4hb5B3yGfHW5D/lCX/KcB/Jp2zz4PbvU0QXRBdEa1IFUraDybvkM/5ge90Q9+1L7Qpuzavtcr69Pa8lXfUfY6p4B2PaAN0VtMnTUZrST+atpGXfipP/Kb9kYyYSVdEK6IHogPQ76zyivdfer684A3yDvmW5H0poo5WPWkj3yOqIFoRTXqiqCea9suDDkBbWjc22pFN8jPKxXfEsy9a4DfdeIM8G68i65ef2dFHXiFvkG+QH4g3+H1/zFQfVWQtzzhaZeOs7/ogiDZEk54o6olBuiG6I5qM0pEFAz2b6FqHujb5AXnW/7xuTr5CXiFvkHfIN8SzGCG63KEud6jLHa3oL75Dnvnbqi6XdM75KW6e+YHWEgNpxIAx/L4vgvoit315He/PfKDZJ9B8EijzjYXdoNlK0TsGogPQhixp6agNNNcGytwDzZ5x+nqBPH2+Q75BfiA+Px8GnK8m3xHPYpDsKMvuaeNDFMrNLmtNnzIUsCNVzxMAW9gl3vYkOnjLxg/IB+ILstHGK+Q75Fn/K9rbz+d5Fz+WvnOF41A4DoXj+K0TE1k6MXluVdGpTD0tKoDX5F5kPfcK28KZYUnHpoI18jyPNEAborl2KTydUTQHTr6mtUIXY1PRWuniFfG2ZN+aXGlMuiG6I3ogOpK0gSqHg85b3vacsYKevMtZnnlf0ggHe3T13MOviB6IJj3JK4qDfbR67uGTfudrLRydUPuSEjrM4hxmcQ6zModK63AudajMvqjMDpXWYdWRLyrzbOfwPe1X4lhQHMuLb2FJviHFbW8V954mzw707ICVKdxDG8zrG1oXX3yF/IB8wLo+YldL5xltaVV/eFEB78jnBO3t7HFHD0gboh3RDdEB6EAWDNTv+JhTyYu6LzICqoEFZNdZNRGoJgLVRG7qPA1VnQ5UcxqoAjZQTwJn2kerjt4RqGJWYEVuwVWkA+6XsTOe6RH6K/5zTzuiA9CO+u3pWWggFR9Ilw8vE0QXQG8+SXmFvCO+4H3A8WH1cs/nd0piad0eoK6vnueVjuiG6AA0q7zP3/IINJ8E2hMIUFtTz9NBQXRBdEU0+ZYdjXKgZw/0bLInEfBkiZ3IXnxditOCrERmzIAnUoEqjy7eIN8hH/BuDbOPoVgkK8qAeyk5tZdb1RQwo2g6LwqURcXSmjjQKve9CsmtrjiiG6I7ogea9/P5zifFEqgkAm/RCbxFJ/AWncBbdPJ090t2H/PkTTFZiEM9z6lZrqaozmPSDdED0Jq+e3fQDmhP6r7+r6QokDfID8gH4tlXzc+jF++IV2hP6v9H1UL2G1dQfzfpAWPrfY/u+x+Azq7q9TyvDXBTtaI7s3lNMHDTSpMn3v8AkLltyw==###1352:XlxV32DM 3fff 530eNq1mgGO4yAMRa8ExgZynens3v8I2zaN0jRNgv20WmnVmf4nwDafmInaX+ulFLXp/n9KSZsWvelPyUXuP70+3z9N+sd0o5at2v6qmOjPBVVcY6hLXV3q5lJ3h1pdkdTnb8fV6lJXl7q51JNDbZ/1cqq+f+9aZ3ZW70Ofnfrq1DeXXp3zqRe7L7nqMrky9qm254xGq8Fc+8lcNX+u/px3G4pk/kLJsI81l4/NanOpJ4d63JlmtbjU6lKbS10danPN24Z3agvtvObaee30REiuLH1X98D87TD+39R1eP49tANnajRrs7o71HW4fnqoInooD/30zP2mPq7s5Fr1Vn2v5UDWZmo0slfqzxnly9U+Ppl1ve2It29WvfX7v9fPb5rf3ezevtnQMfYRhd8Ldo78OHvb5diz4tuunqL0XLtxugN6GzMvfRS1R8RvlyN3wDbAVhf7u/Nvb2W/nxQxdptjLyuAzYBNYTaDWG334qiDvD8fxVh1us/v7pkvyipgyXpTmC0bx/OyBth4rObOJsZGTybb5dfj0Gf0lVOuvWiMzQdn2pXL6skuvNr9ClxHgeu831P46+P9ViROV0SzmSdAS3BHKnBr3T1zedkG2ApYBWwGbDzD5eDJZYxtgK2AVcAWwApg4zkSkCMBORKQI0GuM4VOY3l6TgmexrJ77vHTDdAaPM1l51o+tjh7tSVTGZzIGZ1r+XUyGaIV0QnQOXi2LXRHtCI6nrFo35dBTzGz8TkLiHXUPTNwzwx6mYRum9IzwxZwEinT6TPyuZestCFaEV0AnUN3RyudAZ3AzDuYdz/wgjG2AjZeJe3AtUfYWMc8swrirKCuC2ITqEriA7H+baULohOgY53YQkvomWKlG6IV0STmGa07o5hnVGs51E1e+f4YnVDUEohaByN3EO8GxjUwroEsG8ixAS9SECsFTqLARxTEqoBxie8KqKtY97vsYOL2ke5CXn/ZlYMO4aq/WPhof7LygvgE55+Ct1MrnwE/QToBuqPIdRS36NsfC03Gjr47stCGaEV0gXstcp+50g3RAujkvMfY7pIJsA2wFbAK2BxmO4izBudcv/xN1FPb9Uu3FeE75CvkFfEZrX9Cs5/CnjjTAuiO1t3Rujtad0cZj5+/9flWHqENZczCzzwVvLu50A3tsqMTeNTjJkR3RMt/cOcxWtC6Bax7AvmaQMQMjGtg3OIcd+kj7fTW8Oqe10667jG2h9n4/fJCG6IV0QLoEryltS+7yk83RCdAZ5TvjMZOKGoJRK2CSqugUmrwFs+eThaPloJYkV0ZvT208LsJC0u8YAJs7PZw9ybrP993HNg=###1300:XlxV32DM 3fff 4fceNq1mldinEAMQK80owLDdWIn9z9CMMV4E5aih+wPl+VphEZtijf78D+m9mG/tJTibfxe/i5l+uk+PeNNRc0HdZXXT27R49cuXcf/fpywou0W+7mwOrHD6ycvWp+xDbA9YDvAepitOv57l/6y9Ocp/TrH92kB9Lu5ukr3gK5v5usq7WG6A/NF5loB+y4ir1mLzPK9WVozkBxkr/PcJ4t/lVD2m8euoexXJ1ZC2aD+N+499iuaYzGx0oboCuiof1aUh1baEE3eu6L3rsEMutKK6ALogt67oPkuwGod8BUSnXLT2msuK1PNaTfz6Dzy6Jugw9joAuhYj7DRjmgBdKxqbnSHaEe0IbqGaQcjO/BSA9Y2YGsD76uhDLqyDbAKWAFsBWw8B93tDj4Wtu10B9d72JkfXub4Pi2A/jeDXe2fjzW/xkqg9x57ocO8e9xBb7QDOhrP/VIpB0T3iHZEs/dWRAuiC6AlWDd61J30YNWy0YpoQXQFdEVREu3KerDeOsstV+gBvLUDTzEwrgFrGYgsAzFN8oEAW5FoFpTDSDTF5shRrVzpCuho/+tgl22jDdGKaEF0AXS02jmqdo6qnaN65ahmOKoZDlbEDnKog5Wpg5WpgxWiH5wcXmMVsMS3iGd1oVWtLjuoXXBlufIOeYO8puh/tro9Hv0KHV1Xz2wDLNFZAFtDewGyY+nrq3kBe3MS3ulf2RJmox3ozEqYjXaCBdiqhMeN964OTrS7nX3962fS3U43cJ+2QCzpdBuoC9W4lRXAVsAWcFZHzvkUsALY2En0gO4ODGD/cKMN0RqKyLazb3o9ptqO1e7TjmgL0LacY9fw7T5y44zcN4v6twaq6mvmtFAHs9EV0SUwUzbVxw7ckSN3+8jNPkE6e6ha9GFbrSwZ1wBLMp8E/bKh23VtZxf/Kq1Tt+mhvLeyClgBLLF1Cd7jXmlBNNP8mpeIi9XRZ9UGNxstPdvuex22fv7Nj78N9tun3Dp/ZvLVXY9P9uNYsj5pEpBeLkuvb6XXqQftVZK0P5L/hP4yZZg2VYEM/Y/kP6G/fp+qZPmOpPmOThmjS9R9X/pzdm+pdm+pMTt3XO+1lx/y2yZ9euJa1O6PUG6McBa3Q1reOZL/lP+czQDN+SVL92lF2vK0P5D/lO1rmue8l/6c7TVP+wP5z+UeTcv6R/Kf078m61/z6tYyv12y/+Tqb8m5Jzt31mT713T7W7L9LVX/XO/J9Z3ErvNA/nN1t0utu5n9vqb2DJraa3apa8Sf0v8CFz8Njg==###1640:XlxV32DM 3fff 650eNq9W0l2pDAMvRK2BkzlHH2AaqpqmV2v6uXubWwGAzZDwk9WeUGW5C/pyzLhIS8mbvkvVf63hp/CZKpKLBt+sCVDylZqFrGDJPvn/m9BQogbYRbyT8URSUNEmjwftJvD2u03tF/hu6Xa63fEIO+jfgbrNzB8DLHX35CF4m98hC0YIYLtgagJFixVqBrYtHBlHBi2h20L1+UqMg7bFq6NA8PjwPB6YHg9oPdgYLy0beHKXLLwmsbXg4XXAy6XJtZwcF5y8Jp28Jp24DjgzhrbFq6shwoWh20L19a0g9e0g8eB4XFA8xKfiIOb9AcfjlYEn4hE3saRulZ4XSt8DlL4HKTwM5PCz0wK79UM79XosyvBz674mZrhMzV+HmX4PMq/MI86+DyK7tUCv2MSeD0QnFvx/YHhMwTD5yCG323g7membFV4PSicWxnOreg4KPx+RuH9QeH9QeH9QfFvaXBnvu4tFvANHPxeCX2rBPUf2wuwcwL6lt7C/a/A/lfAmq2ANYv0m4F+IznSQhEH5krIRey5Gdtf0dMXevYS8OQl4LkLPXUx+L2NgG930fgruE8p+B5LwbdYCu1XOPYhLPdgKxdat8PUY+D3brjzfcxNBr+5/40YKDwGWP6sT+zg7FvKLQvXvKMkbAfA8mffXxy4f6HPnwo+fyr4/GnB508Lzh8G5w+D+QeLfw3+n6EanP/1z/P/6+vTmKpubtWN3p/tvb49Gv341971Zj/+tHe5NfXbJ+o9LBFxnaHgQASw8SacV8jSgZHI+N9eXHtHiF8inTOdO9GxpYa8rJD4AHnXabHOhXVulJAg4TdGhuN1wNqKGyUkSEwWO9mXX6dz/xdr8ztL1gYUjLgR0ruHVHtIq9cMUmv17ffoPKpZPHyY2uAp5T09hORcev13CcU7afHGwmcZ0uHaJVpEymNMxCtcB2kzSkzStiAd9xYlonTc5zrC4WokRGSZGbZb1UfaZPIirOolJEg8+ywyhfi6JHp7OWxP+RlRLCG3wNk/z+XvIK2jRI9cAYMmi8E6+pTamSgk+TynHrEr6bCLDDqewXZZU4ss3q62sLpnHXfyM6OZtriHkS6pSJeG/Y+ELAo7KubRjucR9e5ZHJ++i0Cs3e5ZIPmecf56xrlHxmkf7YxxqGre3rpZME6sGEqq0iYVb7O82yQVn2a5LfHumOU2yXKbrV1JWNombJKXXvjN6uMU8Dmie+wXee5JpY+ysI61N3hlEnzMTr2lfGAS/2zWSpA+gJTuIjVkWpusCB+f+QpzwVczl1pxnWRsxs/XMpJjduXRc0l2mWRfB7HexIzmOw/S1UF015KljC31pSPWyzqrYsd/7lgvS1bFzC9k+7gqz3+yeNJLd1nU/X6U7360zkReXKxrV/imTyZ7bmclfXtlzmboqsV1+m1f9aivfd9ofd+oY9+41zLrG+bh3uGfitZ9o4k51ff5/AmBwykih0izfJLtlnVEaHF6m+txu3okyLShW0pRT7Orh0d/aIXuoKf7eID2NY3saooedZrMaU3rKLnsfOUKM4HbxDnsfacbRN1rP+qEU6lwJq3P7WDkezq582c/d+SYesD9yc+Nsy9nLS4rIuro7PwHTkRvhw==###2788:XlxV32DM 3fff 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###2436:XlxV32DM 3fff 96ceNrNW02W4ygMvhIIyTbpc8wBUq5k2btZ1eu7D8aYgI0EDk6/ebOpjuED/X0SgkF60mTATGSNNZNSOOMTR7Ro8ElEaHT2m8UHPREMGXK/G/eFaML5gJJ8YWcv39CAUn/+/NZaTfambsPP7/k+3uzD/Pp3vg83+PXPfKebnh4/Rhtj7h4D/XraDG69ye/wQWA00oJWWE8bddjtNltVd+uG0OT+e6I2yOLov4bjpQlIUEACoxzSuLdQ0Q5TtMMy+qXbFOPgETs/ydH4vSzrGHZPKfbRxqpqY1OYNxq7zMOHwTBvxQD/93G02yWNNL5G+5n70VXdBIzyKi3+app0kMgSIujuIugrRNCkswgy9+HHxY/OIiiVZJEVHLIRIug40yR74mZi08wHzgXLbKMxjuB0RkIkNMT4ynM+Ng2Lgw0RhTGidFdkqi1izMDgkPfuGlcMkSuMIJlqQLIJ0tAhWyt/YYPVbNASsLIN3cycemxNMkx0pKUdbeuRrjBiKR6GjNdUEj2mOJoOo1deU8XRusBrUJk1JrvgmcD6mU+07t9HBNix0MZvpmm9dSY3GqsMZ87uTlyvzqjUyKjSOp4BHOWsWlCM1oeilVU+M/x90ifEWWP0NacF97thdrhGSouPr5po2eGGPTTk15rfF1FDxv1yGRe3mhWyjPu0Py5p35sLh4U6nEVZEb1rhBHBZCFAdM1xw0jyxLOtoTmTxTXKKlUniiEsIqAZO9LHOnvuTmgqIX1dLVzrRO2lDW4xO7eYVrcAO2ZuAZZ+DIRCTLbZWUvrvaVDcAqWDgSgGTvx1MuNPv7OF3Rlf3ksJV7Bz3RCrBQ1M2aaKXhlGMHLOJ3b3y5G2y0oRbbKR7Nef26fkmZM7isluxWP+Mmq7VFxyv6lBNFT2kMS5z180cY79SLR6zXsCLuO7xiP76arjG6TrKUcj35RLDrOWd3vKvDpt+PTcePTvDUEMC+toXKmNYX1Fp0RU1rqOIvSEkwcjfuCzR3+K4WhH8EVhigcrtTZ1lqHbw3RJ6Dn2JhEH3QdrabkaJXu/zuzhsq/FJHW+JMlex0JTZXjpmp+BaGJWsvna1ZIi21gDw6FncXMA2/uUp9ZjbNcIW4UoxPu8Egcits/bTpiEc9omTZ9BfZ5pOxDOftoXNhnLLJPie0gqwaguYaANku+Rjcee4RdFlnBdmXQ2AYWKu6WfGUjDvU0/S5q+0DSZENhR3SRZFuWrTOTdFqTW9AY2iHt3lJurWf1psgzcKJmgKxlIcWDl9HhP9hsS2I0YYw9qRklRzpmbPJ0bGJXNtEK8i49PL+Ww+Gwu+eiSAfJYcbfMhyPFK7ITUaP2QEEmdFDTBRYPHC2HPYhEilGNdWIDV+miXcmqngzA8nNTP3YNx1uSkaBOMfd3cLWZ2ocnbi93ELAarCe1HalQzo03bBsHjBWD4S021/7zKNVix7dNNO+7vWCd0PTTM436nJuM23S0z03c6zeXkHhhjqdXUtAr2aWK19YJNtwfNjuwSSc6W2c74MV5WJ9k4zDiX70Js689+ROTbcj7TS0JgerXm8g3L92jyCWzqH0CGJPEsRU0rrj8YHcNT3ziEEnl4DUdVUqXbm2P/PY94l6dKwkzWxSV6s34p4BRLqFho5rZfcx1bOPHCr3AcR0+MZwlkLx3kH7R0NjuMhKRvu/j6MrsrxmhYDSWUDlhzc9fy8BRfyroqQ9/ijedBVGCzddln3XUXh1FYWBosKmRGHAjk5qgd3ouWb0ptZFTWv1S4WKFqLexdDeAtexv/tea0mfWr/cEHmX9mxCeqaL9KQ2X/t+5OZ4O3nu23y1kG24NAoBDDd9I5x/fODqLRM+vr6Xg9K4pNriTZpTi1tWh7P5gMtB70luEQIkvsPkvgasPUZcI5sZO1POWdy35OtCA248roQgIlfnOnesjZA0AI0a8F0ZZp8gaGDt5ghSiKjiPElyRuolhPQZiRFSnOV2kSDH6pG8Af1iDVwn/yel/4zs3rPWZzE9MU/ZY6DrIn7F/Wy8627p9e6p1pWMpy/TwFzQwRJRp9juhfKa3eXvJbweH+ek1Eb5wqfZzoedBYReK7O4n85q6oK8rj6W1/9PGpDyuvpIXlcfYvYz7MZLLbPbu1JXma1D6vV02yc1ZrfNV0mNtSh5W+qxu24dP1C1jh+pWRdkaLYxx2ggWvh9PoPGTPAWm52oVlcJ3q1WW/NZR8X6V09rUl6Dj+U1+LgGlL8O7OM6lV1AXsV1K+q1sb9ehag3z2u+TRU9NGJddGLj0K/VAF0mP31QerpAdq6Wn/x10Re6n0gt+BUdWAHLeh+9CkudwlIX7kvC8s8VLpPRXohFl2EtbAOXoZ31MFXZ2Xkf4/jenrSlvhBLkpJO+oW+EIv7Pwu01/5ahbSiafaN6YL2H8MvwnA=###1108:XlxV32DM 3fff 43ceNrFmlFu3DAMRK9kaUjRuk7a7v2PEK2zBQIUtsT1Q4ogH/FuBhyRmpFIF3WVbbMPc3PfVPzhYd2r+Xj6yx42/jKN3z/+sCqpH8/7+KSPJ8/nNv5n1+ZduyyFtV1gFW3PL0Bo9Q2WLwSr43vFfh9YRTbQTJFA2+dY3sb3RUS1hEThOIZUjxUlkAqau0LlDozJ5VBUhtVBoPwMRGPXXthuNnTNmuoEzVMsA0Qr03z6qkpAOHPd8lSFsWg7xLKsxIWo13L+1MCVmuugQzromA46qlyOKpdjyrVeoRWLqWBI1+vkyVNpgGgzJT3QACVdx5kpaY5fhdF2iGVZiQtQ0kT+JkqaW6mZkq7HZVhdBVoJQvc0VVe2whJRUn/jLs0wLBjS9aofdzVk1deRZqueiemM3bgZD6SAfOIb2i2fyOKc+8Q7/CqMtkMsy0pct3winb9Tn3hnpc59IhuXYXUVaCUIRBNWV7bC8qZi5WOa6XHH9LhjetwhdsI8XpjHC8ydY7lzLHcOeamwfSds3xG5+0Ii9l0WacaO2Hd/kRxj5xg7x9gVjF3B2FFnWMPYGcbOwBN6YG4emJvHbXZSh84qWaRzdvmYrtk1QFWySDN2DVCVLyTHcudY7hzzO8f2nWP7zrF91wA3zyLNK/O+m+vAue8HOZwLZsl4ZmoZP440q8kyfgKZXLyHN+tJvfCArlQGadaXyrKsON6OcS1rsQH9qVQuJx2q7IrNelSZ2Ayss4DrQvBu5+rM1rj+J1XbQJ4FxJpN5zs2ne/YdJ661T9XSrCXCPMSgV4i2EsEe4lALxHmJQK9RLCXCPMSgV4i2EsEe4lALxHoJUJuNoRaC9JqQUotqpNA9RHQtwca9vZAw94e4O6jyE07iTTvIhTsjbzA3rcJ7H0bqgNgWAfIsA4QoievPmBgvcnAepOB9SaF9SaF9SaFzVEDm6MGNkcNzBE65ggdc4SOsXOMnWPsqDlqw6bEDZsSc+wMm/AbNuHnegENmxI3bErcsClxw3LXsNxxqmLYmc6wcyZ1euo/Hs/VTaxCs7MKzc6It0SOGx1zv2RulwgjJk9Mlv5B+QQz7Yiu###1068:XlxV32DM 3fff 414eNqt20ty4zAMBNArCQQRideZT+5/hJGcSWXlUHTewgs7dhdaAAE22em1bf13f+97Hz3P19967y17Hufn17t+va/Wo/+p9zoyc9TIlrFt9Xb+Is9Pz9+e33iGtCOkyMZimrErxq4Yu0LsFDfFTPGKTMTsPtKM20pMT9mdOOPnzBZQvmG1FMszRi33x7N5A7W4jvWc3StxzTkOyHFAjjKPATkG5PjziRDZH1gd1Oo61nOOr8Q1y2NdkaM83sea53ElrtmM6Gz+mTy+Etec44AcB+Q43KwHtbqOdWPeg1r9qvuE6zHhekzGscP52OF8FLX61aMDzo6AsyNgzwnYcwL2nIA9ByoMqTHAevysiQb3OQ3ucxqcjwFnR8DZEXB2JNzLJdzLifn4WROC4zrWvFYlRzuH3HzcoHbfoHbfoB5qcG/S4LNvULtvkONG62vAPpGwTyTsE8lmWoOar0HN12AeBcd1rDt7E7Uej6si+q9+/rm2jAnaDaxbUeUVP0FSOMWQ2qRrHQvrecDchcodjKmu2Umi6qwOdsqvQzT77JOt5k6f2dtkUh+PSr7PcodoMc3nXe3fEM68b8VShVm0A7GMO3GR7nU7f/kGn9S8Dwbqg8H6YNDOFbRzBetc6m5wJaZgSHO9WVBvFtSbUlMH5BiQY8DzxYTniwnPF6XeLKg3C+rNgndTBe+mCt5NFdTUATV1QE0dMI8B8xgwjwHXY8D1GHA9BrzTSHinkfBOw7j8iKdhCed7p5+4x7hwhM9vDed7XsLf9/F8EuUrUb5MHQaqw0B1GMz/5U7J3Rl5c64oNQFU90e8nGfPOfakX8+5EZwXYWe5C5a7YLlTlelciM6DKN0Hzl/h3BWKnXOPOO9IsnXnvGrOqeb2J4n2J4n2J+pc5WDd8mDdUpxwppoEag6gKfChAAfTpYOp0sE8oX3hFqYmSObmMdVOXu3j1S7++m9IpL0Kaa9CZwANnQE0dAbgzokP5qA7mH/uYK6rnXnnduac29E+oqOa7KgmX9SUj6wf/12T223P13U3/Q8ou4Ms###1280:XlxV32DM 3fff 4e8eNq9mlly3DAMRK8kbJR4naTi+x8h0kymkhqSiYCXmk+r3G2/FheAYvcf4fEVh6kd0U1ss23borm5nc9376Ee2+bf/cvPn87n/dR8uZ6/oOfzDhzi+k3o0BcOctPBzKHDOgfBOQjOQXEOinNQnIPiHAznYDgHK+ZgpqdDlMd0Vv9OkNXT///9Lb70CvkV8ivkV8hvkN8gPx2/Bvkd8jvk9yK/mP1aAaoEeYd3hrwDp5i/R7GG3uN9/fw93tfPx3FGP+ffy/zXTqKX60f0Y345/ZhfVv++m/dTv1uU9/KcftzJc/qxnsnq5/wN1jJSHkF5h1U1JOVRVHGYV4V6u89Y5aC4W1LcLSnulhRUlvvp0EBlktOPK1JOP65IWX2f6h3yO+R3yO+QPyB/QP6A/NUVWX7t6KSyU1zZKa7sFFZ2vx3qI2GDteEGa8MN1oYbrA03VBu6yam38o6W1b/nl9W/55fX96leYG1oH9KvakuDtaXB2tLL/P7I/6L65ufD2M438i+PwB5+ES88Vn9xloYmXJ5/c3zehndij36n3q/k9OOYyukD/v/jmHrqG+RvkL9B/gb5d8i/Q/4d8u/lqoCuKc9zt17e07ZXdVdekyoe72vSnx71NenvLnfXpJdL+w889XndHrWOg/476zDOjazDODvyDn3hIDgHwTkIzkFwDopzUJyD4hwU7RcGZoWhGj6rn+8XBuaDwRo+p1/xC+QXyK+QXyG/Qv76+PfHDHqMINhFZF3mfcTLhXYSa5/7vcTLR1CHJmh+ZB3mXX679LffTExPGhrI4apeHN+MMVDXZh1WXw+sfOZXcegLh8A5BM4hcA6Bc2g4h4ZzaDiHVj6B2x7d2oG6tft6n/ZH9/Ux7Ywy+jl/h/wd8nfI3xF/AyfQOb0v+uMN8bdy9fo87aj3tFn9+B0opx+/AmX1c36B/AL5BfIL5FfIr5BfIb+W+a/q/yiv/1n9/IbbUV7/8/o5f/12Vjx24OND+vELaE4/fgHN6vtEX18/s/o5f339zOvn/OwL5gFvxx3wdtwBb8cd8HbcVcGyb7gZh3kGGYd5CjmHVQ6Oc3Ccg+McHOcQOIfAOQTOoT4v6M0IgaeqAk9VBZ6qCjxVFXiqKvBUVeCp6lNvkN8gv0H++h1NR31pVj/WFY760rx+zi+QXyC/QH6B/Ar5FfIr5FfUlxq4oZrTz/tSA7dTs/o5f0D+gPwB+QPyN8jfIH+D/OwWluNzifiQfnWuEfBcY6L/CYKPYFk=###1464:XlxV32DM 3fff 5a0eNrFWwty7CgMvBJCEpjr7Kv1/Y+wgJOdxIYZi1b5VSqVzKd71EICCZgQ5I/skqUI199/dZfIyiWE/kh0142JWQtvnELQVN/H9dmK0CgaRnhm+YWvjyueeHsILxwhvHIA8eWEzxUvTMv6bfirfhv+qt+K/60/cunxkxf1W/Fn/Vb8Wb8dP9a/Gv/U/Z+aqkfwZ/9Z8Wf/2fFliGdQP4P6GdTPy/q3nn9lWb8Nf9Vvw1/1W/Ej/Z0V0H8fP9Z/Hz/Wb8Gf549Y8QzEf+mfrw/hr/6z4a/+s+LLEJ9A/QnUn0D9CdSfwfkjPISfzT8BnH8COP8SqJ9A/QTqJ1B/BPVHUH8E9UdIP1J/bGD9sYH1x+ZQf6SmCtIvoH4B9Qs4fwZw/Qjg+hHA9SOA+gnUT6B+AvUTpD+B9ReS/wXM/wLmfwHzv4D5X8D8Lw75n5br58ip7x/RsgftDNc9ECvDdRfEzlAmDLgnFfaDwn5Q2A+2jiTWx3t91y67qoqoxs6nla3ZuCu11174+mrlaO+coWXvyBnzEfkQt/7/WuWT3skShzYXved84frf93yxj/IbvvbfhJN+2V89Xn++9fd93zvMY4aDfWBxbLGzbu+AMX/ygNGj8slCIx/1HPAc8fAs34cI+vODM3zF+C3GF/ID48fxHTItj4c5AhVjRHLwTf6Fd8zHSCHca7OROTYT5NnXyqOdr7H9I/UpDUwfVp54WrvsDNz2nX4wZNiG/BdsOObDnzVhgHWscJyVHBwM2hEdtBzrWoR8enAUA4deeo1jrzzAWmwcMztwn0pnRjnunj3SJDbC7e6RLt3bcXtgvXtr+ALsPhz4+BB+Zv9698/GEZgzKOQDC8PsDsntKIDQsxjcHsLHyyxtiwGF0GPrLfgC4cfqW/Qgo9/waVmBOqxwB0eGVgXtKxxBq+TBwVDVcHDgWtikZWwHt7wCx8XGMbOjwHYIWHlo76QYHpcNWC/1tb8FWkHGfBnHOrlkHRnzbs7CLiziMBfZWOa2qIMtaL38zRJdWNhFET7H0ld+4bYkF1s2B1vElEdzFo/YTYsVhQ0dh/6U29XwtSJT4xlCnIwo3b7VM2OI989FT/jvU4z1lSb1lSoAn39/naPhrU5098VjJ8nOocMbrmSqX8Z2RLB+OTjEgaPA/mAHLfI4xzjGBOxd7BwedozHRUzV3ExLgTnUIU6tdfJbFuB2vOU0ec6QXZRsXizAbXurHbMZlRzizNp5+LDMFEWwws4LXdBcEbvYIi4s6qIoPc4yU4R2ZHmhI5tVN+wy0uwy0mwa6VnNxy6zJTvUOXgvlaFeKn/tzq53ItnYScwZIsyQwX6CgFMGO8P4pjaBN6Wxm/4FvGlfwG+aEfBdXfN31QbovHzOsRk7chreVEX7r+LQOxXz2cCMIzpwsAPHBq2CxXy+oMNb1OIwti+O/wBf8Hqd###1492:XlxV32DM 3fff 5bceNq9W1uOHCEMvFKDbR7XSZS5/xHSNENGCUbBlDVa7cfOThXGNn7RLXJRkJdkrhJZrot/8ovvv5ju31/y4khE+f68/cX3NwsFqlKJKd2f/uD7ww0OJlE5bmZJ3P79PxlYxZdNPFNU8EJk2EOkqnIwzJGadFv7EBWf2z4APe7jdT3u4+X+IobX9h/aj8EKomqhsVhsqZ+LxiIusiTYr94soGaLw27i4zc4i7iwZAfN0uPJWPxrLATv6JFkz8YqetdDgnr2ucl0vPqjly+g4yKH5e29Vyj/6OsLZLnUYh5gOUPWgdC67sr23uMyziLae0f7IwkiXaMCOsqaA18OY/PAVwgv2/h/qwYrXl8/79tvgWdI/3k/9oDrC7T67H3hxkdT/onTDsKI/cfZp3PsnwJS8QmqnzvHHQlMOZ1UjTaW4iJLhbX6jm4OLAGyTmMgFzmyC0t1sE809QprFv46y0ov0cVvo4t2CerEPizsIkuCWfbjmyho2e5s9Si/X+Npq+fjGuuvuHq0frTpbtJ9fPvkBTPIcQQcDNgu9ruUMNUpNi2u8PnYC6KpztPXt+ArhNflt3jRzEAPAxZH7Bw8nafOUaAqh566MR7O6ga+wrqIhs5BkwHNDnYOXZfsIEdy4EA6KTL14ro9LHWmLE6HbWoalyxYR0MOE8IPS3FgIWhy+mEhB1kYrFb72S9QLI5ANiDT1GTOZv28ErR+3o6/M54fK+Tjk8Ym/c9nnZ+4Scf1lA0/T21seFR+XX+GefcCj9lPwPUTMPVj6K5x+C8B1Zg4VGN2DlrLcWgJGdUchMfmTDKqQZjjfA4rIyIBlbE4VKXiUM3JON0gh0A3rp0jgVMYGZkOtEty0Kl1uqvvBp/uistcVhxu9wdLdJFl//wSzDDnZPlU3KAM2SGiRyA7y0HVv5ajOFiWgOm/uPQN8u4bogsLf51lpVkGu9UTlpWvsEMsQbqyPzkLwO93VUGtIUxPOi08/foSflXV0vGsP4HVaAL7smS7qZhyQHpmUOdzehteX99y16FLEEy9uS5DBLorK8NaBoY8wVYP1AVDgWUooB5oe1KzkiEB5xmZMyXTnCmoNkDmVP08RiiaYdoLhnuOsPTBBGnA4oNzVivGmH4p+AjMego46ypPVZBAfIb2n4FYWEw5hVX7FeAJs4av2zlVX7+C/lOBe7pivhfCGeqCIYMMSHdabDN39RQSFEUseG3/58+gFNNTkvrq+fAJcesJmmN4xxdo9Qqt3mrSC8wgwfD0yMr7ER0gnVWBO6sKZtEKdlbVdGM3R4/6RA9s1tA5kgMHdt/Q3zYJkC4IqEg6XqD1GXx21PbGzEqG7CADbksBp8+dA9enjWO+p6ijUgXlSMD7EP0tyAr5xT5+rhZteD1OZnD/BXiToOPrl/C6/qw3TPqJwN8fOHkjNi4yVwAn9ycs6x0V+Jzj7xDUz5TtyywrvQBvIvwGU6Vmcw==###1584:XlxV32DM 3fff 618eNq9Wgt24zAIvJIkwJ/rbLK5/xHWtmKvY0sRaGhfX19f6zBiBgRIrkyUaJaZ4vKTQ+A/LCwSKMpLRp4lsSx/ffCLl9+Ylu+/8uJEsn16/Y2XT55RRgMKk1RQphBk4PUD3xGo6sds8CN/GkWhjfsdhSiBbPQIUmFCq6KwHjaUWo4wBRcUcmBkQ6n7Mrj4MsC+GDKlYM0UldapmOu8+tS9uqyYKutYVHBSV41YiUBY/er2Py5fA2g/Q/qvFSvACBFGwFRMsAq9u2ApBot9UuehbJXsai/d1Trbj2r9eF3pZm/JoxqCIYZFDfQ7IRY1ECiCegXjjX/cve+u5z0Y19mhB4NvWsQtm5Fuu2MMnRPMyR72YYK1oLW2gXGlDRnjQupOJUUftqkFiIeo9wdqX/ZfTKeE2v6KDtFcURiO54oyufgy/zpKeadEY92o+WJDqVXB5BKj5LBzo0sNsaLUfBk6e2S2nn7F+t5hk23123yRTBX0Pl/wtv7cXQEPeyCv7Rj3fcrm7p6KWiRw2uF9ZoYxJmhP8JYXuB4ETky8n8NhP5KDH+TgB8G5TtA9y47BDn6wgx+Dg6Z4rjPYiewYZU3ZQVN20JQdapANo8ZldvBjhv0Qh/phnb1SpdsmhxyJLtXdilLr3R5dAp8md5QBniSsKHVGowuj0cEXdlHXo0b2z+oM3qZl+7F7WrfZp0rXHQH21P1eYK+lAWLP3Xfqp/4I2Eu3egKedGS/7+o8qck+LQHrG94p3aIvm3rcfRcu0DlZwHOy1X6G7O+5Z4v+ff3R4SZ9dLhJHx1u0sftfEowF1LXkvtuGM3nlzIP2w12mQd3/0+D1V4q6zNo3/+ObTSfV6SogRg4hKL9CNnr+5pA1rGiYIAqC9KVjRkIWZfZD91v2Ykm00R4z98JrEPT5n/qzj2r/QzxjyD/WFyfgb5q43+15//v17treMbQa3CNIb/fyM6dMeD3W9AA2ttOJbQ8EZn4ccU4P6lZy7R8vTjX/TJSaCJtPN5IqYqUo9WL9LxG+PykGtv1GVVx4vVJNcfWZ/m97CezU9aDap/2nwuSLm7zgURVpHh90pEBep1yXXx85abDCW8cgjTKfeKxxR9RKPerRyWvT92zmY85+ld9ntcu3sDZI/bNHw2OvFHiF5TgxCooWM1vHAJxNOoEB3Xko1L31LLT1O2Aw4qamNd6btGKVRxp4uT8ejZU1vCaD3+gXHbCoUOfetxJoU+t9zxvJ+eWznLEvY4TXHjl2wScl6Y3a3hpcPK0lPMQwWnNCnKLe29fPu7A4FlBi+TnU3tW0CLJgROrOJ910dabH9f7WnB2Oe6NwZlDm0etGei4R+/053m9zYe6ISurfWtWYKeZg51mBb6dx/pmFy1OW+WoQNGoE1Ws2upoaqtGHQ2ORh1WzOGtnqFHanXD85tjbC7TI2l9Gtx8wrv9+T8PfNgFN3bBKZ9IVdc07JJqrtawS6qa3fZJVBN62yP+6Gg/i6PhxU47l532LQOTrLVX63j5VFuvWksfk2c/L3LSmZx01uBodCbFCU/nD/2QP/8ALr2cXg==###1468:XlxV32DM 3fff 5a4eNq9mw1yozAMha9kW5Ix5yHb+x9hCeAklIAf1pvOTncybefbZ6E/S+ykPzroqDJ//bMfTZJkDEEf8+cocf4kOqlZeX7HioiojfPfaf+T+dNvjoluHHVxMD2BpCdQ9CQpFD1JBpKeTOJw7BOX37nmqNjGERdHFhs+ls8+jr7O9WnNaeOkxX/K/idfOMu/ZWX+8zzZGSkCJFuexnTg1JPFA+dxoigtip6fPRzbKPGCkimUg0d0xBdOann0SlqUUzSl5bc4pOCOD5yE2YkR+zgJ1VRoJNaziyTPNEINWTmIf4+vk2UH5yzXfnL0WawJHIFqdftcQop/VkZCehAkzpDeATkXwkGeVyL0emgtwvJQJuXY/h6tdg5h5gw7P5wuK/6x5n+SbNedTxfVupx2ReFwst6uKHypsvf6oj1pXz2mk+efNtK5lRB7IxzI2ovm6ZCHPjn7O0NP5zh72dYbJVe3VjkJqtPDRtJLkpEURZqiQCJFmpW8XXblBIq1C3QDGTfOuT8ifQzCUaRDa55KSR7EijF1V+k7pOv+400SmiahaQokTeKe8dwhYafD5nJXvewd0nW3dif7X/dH9+oIYiekN0ZPNzi7yDdJSf6EkFA7CU0TK4IjzQui+4b0JiWSnaJ7Iv4mRRIpABbHThdIObNAM6T0yinZwUHyQHHfbVfOAFm6nb8xTnh5toeD2Hkg2TmT7GOkHseAGEMyiJFi1UjdjZIiXt2zR7xnR/xHSXYW9wyzcpTiz8jMENGTSM89keILue9jNWwg9XuZ1F/n7vw8bZyy9emhOe2p99ByMje6w7qe91VSQraXEIkxO6ysAJyvNRldWSMwO8SsPpIsNf6eB3crQuaZiKJhl9s8Ty4DZ0MU7acIHkUYCfEkXqQgc981x03NmBuck+g3Kf4p6Wruj0cuxskkTnRuD1ZOgfYibUsX9zajRr9Q7GOObcaekyn2USCrIZwE+CEWrX4/zHDNbue0ysqEalRZiZC1M9xNtLJtXqIkUTgDwMHsNNCslIHIRZ8dx9q/p9F9WQn3cl68oJr8OQUnoZqEZidxZ7p8oy9BNAVCr5ThqttWhHAQPZnQJeVlniAkP+qvuvW+bPgbn5d7Uvtyx+3b2+IkRJF/W2+HrN3znu/KMWgX2bZPInE4++hKMvcmEiehmvxbP5yEakokkhC2fnj8tyZ4Bu9+MYtjW2TEn5AtcmuuiJN4mlCLq3sbhZNQTYHkBZGWnyJNUyC8UWJfOqV+TZmUnZA619q42aFT6j+XkbIJY1Nm4KYM4TDetTHKW+iVo+5N9MpJpBgbSZxCyvz5zzhY/fC+zZ62/5nH2XApOJNs3bL08BZCP8cI93495A4Ph6NHoNkBi3N9m1XSbRblIM+dMYPSw1a773Yth9lh311NwNqMcJC3T1p3WYFrWFsP4y4rtNue0G5WM+k/RdX5gQ==###1480:XlxV32DM 3fff 5b0eNq9mmt24zAIhbckBMj2etLp/pcwfilumkS6Nrc5/dHOJOc7gJDgCqmoak7J1G7mPtqXf89/fdtg0/x/k/2b/53V1ebvLJ+ZyuO3fdSs6pPK/HvskrJOO0mapIFEEi0k70SdZpMANk13m8ob0qgJ4KSdo285A8Tp21PWOMYjZCSOAhxdM+1r/TvCQezZvhNfL4SD2ZMp9giw75E4I3vV1l242RPhvIvPbefk/RxKj5+9JM2e+Tj//N4bP1n+sGZ/TZLlOztJg6R8J+W3JHs4ryPePX0SsMlJpCUP+lZt0bw1vVtIfau28+a25mYJ5SZikwV8q7tOVosSULV9jfdvz35ykGpUc6llT0GqbNcaV6VYY0gf0rVm6dZK+OyvJKORJNxjyZ7TE8kmRi9aSUbzzmg2CYkktIgLsvuhOAmw51CSAJ2J3b0rDVIi2ZSgLhAjsXIcObl7HZyQFAB6WiLrpgT1J2unnMIdZVprmxP6pI1k/ap950iD4+EuArXHVr1xe9trpafqf90epLdlcXr9WlozmmOPPez5CKdcXq9tZ8yVYz8ZLdghHaQU6pE2zhjuHzdOAdRx1Vmv1THO6XuFdLNVzbTWyykxNqjy9GNsQN1BYmzQ3Rxij1OiYwQKUruQFVfKii9dfrQrO0gpeM9TSfF74oPkJO9y+D79OA9HGqkEb3jPkLCICy3iEr7nPUhCsWmA9ko/3hindUOLc7Zq/9U8SxBOuy8/UROB+HhYb1SOUjhIXUTibJAe68fZwjfqeEVDdpiR1kvDGrpyRkp8lJQ/yCwW4wiJkyicyM3XbeeML26+rk0/NlZcQW8cSNkBnN/1/oq220gTpDXTztEGJ1PsKQCnraErRy77VbNxgDurnu44Q2p36QN8f4qSclgNDXA/hJES1KkjpBJUMxvFwrf6lcSoRZUUVzOV5OF6PcBTItQ7pZFyuGoPsBLFbMrhm+YzJCwLcvjF0kEymnf6QRLqXabZJB8kYd7FJ3xnah3mHUZq61qchHo30Ejx25bhxB0Ji4R4x1Dcw1PXHOEMJA7n/MYml/3Ka6QqYECFw+xJFHuUxMmkriuT8jmT/EJOa6y3YfUj5WP2vMvDqgHLOt2T8Ku+Skph3V5eqKRz+rbGyPH+oalu/EQta6stP1E3+iRkstZTtw6/7UU8K5RIM3RkJcU7Pt9VzUgjxauZk14bHiSl2aQ0mzIpCxiK1Gnqz2nqz0kvIA+S0mwSEin+AvIMqVd1nTZl9ae6e20i5eCkDbGH0Y86OEnCOCPlVGKd3QqtV9+eTMrpTDq1kd2K7QzWXi3hyZbuN+SFMNmqrPhsS9cJkIbfq+IkzDuM1FMAKAmJ0gjM7bAoISTMtxHIAB4JidKSlR6eJ+Kk3kSxkjT4bhnPJYyTw7NblINEaApo29NZ1Jnd5hcrdk1JZvCtTm8GnElvWjL43hPj4AriP3mL9vc=###1464:XlxV32DM 3fff 5a0eNrFm+2aoyAMRm8JSKJyPXZ7/5ewGO2s2hYCeefZP/OMWk7xyEcI9slMMQQmXllk4Yc8ZaFISTIRheuV8t+TZ87lXOY/5ThRolw+s12LXzn7Z+ocIT44XOGkJodJDg595USa3PcV1A+GY6kP0XxwkotTr89spNQtz5B7miF3JJC6yGBd1nLlyVkZQVvwdvY4dy2vddhKF6flG558ul7Kl88Ib+eFPnDL8986a4UcWuQP1EX7pItZ/r7KP0X0DpLyiwmtd64aidrOyohQ9+H8lnHvoiW/c2O99gNEi/N0YrKOeOVZ+uo5wBxpce12PPqkFu3f+NqmX6lt1nb/G9z8K9yp0RbG64sf1bKWQjP/T6s1co/em069t0RC23z27wo/t1Hgelw+H3Rum78y0q1MuB+fGXxlbHcYzmf38mws31v6wx3oaOt0EPsYxzNO/2KC87njHtavBu6ltzHoXiLejw3PMQDaQuhpC0aX/QyNTV2Mua9VfyCktzrU20SrXcf78UDP7H+iOhcP9u7yJD/cB92Pv5aX7tKvyHw9Ir/paFHT9drH2H+Lc1eN2qcKSZqkPXpbdRXrJYWDRE4S7yOM/u8jWT0xzBPDPDHMEw97epx6xnTx/ahwHg0OG3Ir+Se34uOEn1W/h7ObfryZ7uXY/BDID4H8EMgPQfzIPdsx6MfGafuxcCx+LBybnwDyE0B+AshPGPazHpzlrZ+OjPY9nNpYb+fUR3o7pz4fvjgE8kMgPwTyQxA/fMnpj/uxcdp+LByLHwvH5ieC/ESQnwjyE0F+AshPAPkJID8BEmcKzaB5cALNgxNoHpxA8+AE8iMgPwLyIyA/4owT0rGXjJkvBNTfBdTfBdTfxdHfr+NGBo2HGTQeZtB4mN3j4QxaD86g9eAMWg/OoPXgzkkgPwnkJ4H8JKefpOPhojtdCM7s9NzDqXm2c+qe7Zy6H9Lnxe68RA+n5sfOqfuxc1p+WDORi9uPnVP3Y+W0/Fg5rbwEaTuc3PPpzhH3fGrn1OdTK6c1n1o59fk0KYfd4+EeZxJofUGg9QWB1hcEWl8QaH1BoPUFgdYXBNoHIdA+CIH2QQi0D0LufZB9XPXHdT2c9nzhj+vsHJufCPITQX4iyE8E+QkgPwHkJ4D8BHc8H9/yG2PxvJ1Tj+etnFY8b+XY/CSQnwTyk0B+EshPBPmJID8R5CdC1ssREAH1kdpr5giIgnpIVk8M88QwTwzzxDBPBPNEME8E80SgPJWA8lQCylMJKE/l3dcgfUfRv4/54nj3NXo4tTyDnVPPM9g5Fj/XvNC4Hxun7cfCsfixcGx5qgziLKB81wLKdy2gfNcC2U9nwP6IndPOMzBgf8TKseRhGPQ+IYPeJ2TQ+4QMep+QQe8TMuh9Qga9T8judTQfvyTz+unh1PzYOXU/do7Fj5h+S9/2c3D+AqSaB38=###1368:XlxV32DM 3fff 540eNq9m212qyAURafE5V6QjMe+zn8Ij6CmSUzhIKf86FpttZuw+TqgtdVCSPYVvm21b1vsZpq//uWfvQZ1zpVrqjF/p/a4OyQ1deGW77m9XvnAMfU7xw9xVG3nSIWTmpytrPs1G+QgfhLJT7rsZy0crylzRPX92q+G1pOhKyS3k3SQtFlaT5b6SZuntekp0DwFmqdA8xRonozmyWiejObJhj3dx+9W2gjHVDInqkzjhIchDelDvWw3JMOthpNarYaTWq12kFyTFB+1s6onRzPuAE96eBokbTNF8RTSaXXK1zNJX3r3lVW3h1NbdXFOfdXFOfVUcnCE5EdIfoTkR0h+HMmPI/lxJD9uOLXFMlKR9aO1yvaQ6vM1TmrN1zipNV8fJKF5EponoXkSmidH8+RonhzNk7vs6XnXFd+vXNyVIpxtxf46rdivnNDkxMf8ZkOc8JjfdIjDqteWQ75OOeRoeX86RbjS7qK3zFleUv/1dc3rQlnXME57XUM4yLqGcDA/keQnkvxEkp9I8hNIfgLJTyD5CcO5yE75/PruTKFM1N6bKZSI2jszhfJQfV/Gmsc2jg73Q5xT74cop9UPUU69H26nKUo6ldHhVNbDqWUynFNPZDin7kdLTpCXdWeEM3oG3sOpecY5dc84p5V/fOG4gRn6nWSDc0cfqTZ79JDq80cPqe5JdYETec1SD6fmCOfUDeEczI+R/BjJj5H8sPqPkvwoyY+S/CjpuacnnJ0cJEd64uUJZyc4CXvi5YfX6oMkwG4c84SREE8ICfMkw2cNRwaNpIweSBk9kDJ6IGX00b2ilhbzw72xh1PPfCinlflQTisTe1K29qRs7UnZ2pOytR/M1uueGfVDZrxiqI9Uc9RDqlvqISH9KAzXDecg7R9o9VLK+MA4iB8l+VGSH0/y40l+PMmPJ/kRkh8h+RGSH1Y+d8Mjo4eEpUU3PDp+SJ6Uqh3hTQCchHryNE9C8yQ0T0LzxBstjubJ0Tw5mqf+3awP3iTvJvIdwSzP29ssVd7itKfrb6zymVL+7Z1b3tTY7su/z9z8V0v+DJllId8rQ+XcTx/b5Vi+Y9vNj9RogUv6vU5SnmotpcX+1h5WEscfWiuGQV9Ow1L5L4C/NYiVxDGI1ophcHvuPGf8+mnj108Zv1ryWZzirlUOz127Rrx+lyb1uzSt36Vp64a9fJZzWfGpVvpUVvopSQWez1ul5QzzsWbvpSGz7G3CioiVxJzPb1NWRAXaipfH3LQ85ma4KyfraYY9qCSSP7BWrN4nE0YvUg6v98mckVvaSWfYg0pi9j6dYlD2twTjpP2UTslkaK14BmWaQZloUGCD/wHMg9AU###948:XlxV32DM 3fff 39ceNq9mlFu3DAMRK9kiUNZPk/bvf8R6s0iSNoCFRUy7ycIHG/eih4NaYqydhze1fRT3ZoNdT/l3v0h6XpeO4772tsdbrpccrv/6tPMLr/un+PTHQ/90EPn/cn7Xv26Py+b99X7d9d/WH7fmyW1591L0mtVR3pVZ5i1Wpf++DbfGcEVqTKC61XVRbBhGmygBhuqQWEaFKhBYRGk9jC3g7n9221C0VuRKuO3XlVJBCn/49yP8763TN+R2K04dbFbr6gqdoPIGgFOXewGkzGoupmrmrGaud//5ekPxL6NsmpiGF9ZZeXcscplzaqtnjtSwbw/NeItLsqq1SPzJndzMD1GWTVxjK+sVo8C9ShUj0L9UaA/CvVHMo6NqLODrNo4NiRfv6u/g3mmo3mG9ccO+mNH/ZHZ1y/axNS4JrXYqvwIRHGCyp+g7lcsCz2vF6snWTctzLIkyzdiqOzzCpM8vSoPs0b6aY0w68yqMEya6X18hVlXOoLxvdXSrtE3VtbSvuFbtLRzbLAsrRBt0JT23w2vT/vH+BRJW9JGYR5b087CTLamzcJctqZd6d2mMC1ff/jGcyuoGDdYvTB/rmlWltXWrKyPtA2WF+bQNS1fh+yo8SzMa2ta1kV2WFfaH+M0S3vI3GBF+rYT7BFPtEc80d7mBHubE+1tTvDMgjiLjLJq9Whob/MA9Bhl1fbkDkSPHx3ACfY2J9rbnKgeBepRqB4F+qO+qJH5wbJjw7X0Rf3/zYs68gDdf6Duz070DHCiZ6ATPQM9aR3gSetAT1oHOoki8C1D6FsGefJv4Mm/oSf/7KSewEk9oZN67ISZwAkzoRNmgifMJjhhNtEJM/Itw8FJZkcnmR31RwPrHkPrHrZ+FNgVFNoVFNpdFTg5KnRyVOCE2dNFBuiPA/XHgdY9/zy135M0OiE=###916:XlxV32DM 3fff 37ceNrNm2ty2zAMhK9EEQuQOk9b3/8Ipe10mnbaCLKQb/IjmYyleM0VHoslraFdtn5+qJts+u3+t8u21rxr0/f1+mah7kPufV33de+6ul55XPf13y65rWs+173y/f7b9O6em77ppvdY2/3+QyytO7q1y2iykUb7/9r6epc7Wvzxif6Fdp3HLFYNj/mV1fI4QR4nyuNEeRTIo1Aeiby2hfX5kXiMUsNdZjUlrNn+eEb985lLIRWxl1xVHYOC+BPGnjDuOsZeB/nrKIMNY7CBDDaobzSkbzSob1CsCWFNEGuURulQtHUs3qA8fdSECdW5iVU5QuU9J2y9GBHzN5K19DyvF+P8b7TMRMPNoOQESs6fnBtCeiGkEyIsBgXGoNAYFBaDAmNQWIUnGMwhVTrDLIMTY3CCDHJZbBZQFh8hVWbx8arqGHTAjcshVTLooBvnQAzmkConBUdi8M39wyYtgbOWwGmLiMEcUmUnIWMwMFczQFeTmUl+9f2GqZkGqhnK4SQ6sWF92LguTHUQrn/As/CG7MlmsWrPAzD7slk0S/H4ROuX0RZeGs0uo/kJJnX9uaWxvGBlnkaLgqcWabRxPSLTWLMgs/c02l7AYz7XtoJK0k+sbiuoJX4Kr6CanECzgljRCTwd7g9RTptAp43WCAFqhCOsLbcyb0mVEAd9u53Au76+ag0UXyhOqjVQoBooUA0UoAYKVAMFqoEC1ECBaqCANVDAGihgDRSoBgpEA+Ur84k+8EFNeXqP48Ued/YEUA6t5gSQUU4x5xNzHuebIz0xn32CPvsEd2wD27ENcMc2wB3bju3YdnDHtoNZLCyLBWYx9y2KgcXgAGNwgG77wOrgAOvgAGd1YedwBZ7DFXgOt2MMdpDBj7B+AuQTPmY=###1708:XlxV32DM 3fff 694eNq9WVty2zAMvBIfgEg55+gBXNn+7F+/Mrl7wYcsyZVMUIQ508mkFogFdkEAcu5gLFiHDxjhjmC1UmhAw40+13YAgw4QDT0HsjDhOX0WLdDCiABo6Sl6a8jLSD9hZfGACR7g6KQN/iOWZ2Ah2bYi6WDNzEo1ZyXJoO/GoO/IoO/GoLWmE4MlJEkGy1lJMKgtdLrFPCQZBrlZyTHYowZ5SJIM9q1B360Gfcca7NcHXbc+6Dr2QdelBnlYlsVgwjKNWITGxrKNWFjBIbTqxUbC5qyQjTU0qzWwsVxrFbKRfPM9HtlYYzOD/Lulm7uGqchMN/cNrEJr7hwVWLa5QqACDYrTUnXbAVTHHUB126Kw2x5aRtK8rFAxOMTiZFYVaK25SVYHdtyxsct+w8OS2W94WDL7DVMvgf2Gm5XEfsNVS2K/YVahwH7DvccS+w2XQZn9hosms99wK1Fmv2HmJrLfcCtEcr8p99+KXl/sH9DtW70ykuQuAB2/Q4SO3yGWsCSncwlLcjqXsCSnc1EvselczkpuOpfVkpvOxSoUm87leyw3ncsMSk7nMprkdC5XouR0LuYmOJ3LFSI5ncv9t+d0HlZM2iLaIDjHymhOcJKV0bzgLCujjc23Ddho7fsHVujWvoHUZGYE52cZzYpNtTJWax/RFVgoOEPLaO17SE01OsG5VkZr7SI1WGNzf+Sj2eYe4iuwqIP8/PzRWo32oi7j95/p6i52Gr7+TtfhYr5+TVe8mIf7DrVrr8lvjmWMsQQdlggeiGGaxsq7o7Mmv0+sz/l4bnxaYLTYZEERBrzXkwVEYmuyegcxnXNPi+iZuEjWZjc+97RIb/n3lTV5IR59YP/pH6Nuqye7GYWOHZ6RkId+tJAfc9rPtGHCb5/s+IlzDz36gqexwdNto+UoxFFZszmi2c9rXSUf91WlHPjYqcixeDJlsX97jm4MB39R9kzk+UagqYp8qe473OO9rzupmGztV4zP1WDoJz091H3dwaq63UE3WSmd+bJF63c91bzpcK/1lHjeR/lfidm3ec4EoJmg3ZSmwnYmTNrhd3iZpRcba68YBgqNHaIyhpvGTPyzsyYwgsyiJhsHA42l++azIMdvmwPN50ObrvN84GX7PH41aQMd2V5nK5/+nz+POLE8MnkUdSiVRKONf1Cnq2S3J93i8/Xcnh2GrFS0XqzmaBfRZrSU7RNjEXaP20Putt7KquhDPpcIYaMHJ0L1JkJ4WzfqfYRwO9ByOtByRuujZT1T+jRTZ7VU2ac6pWVYRO+rCNfepLS8HWqpumpZy5Q+zdQ5LeldWLRzYGO11dzLGa1Xj0XBDvaeKU7V70UIohHCB3rs8byErlqCaNVDW9XTaziCo9/DH3aeOcUb77PneSX9HW1yxBBePG4Q/b3kvGuLJn+VfRoteYi5GKJgSJ8RvqPfArfzVxJ8HJYvYlwOkeVrlf++bWLC0e8qSEkqhD5Fh1FFJegBhH+eVMYYhbNDjmKcT747sXcLVuduFAuk2PYsmmI69r1/M2fEGef/+1nuAKbrlmVEtyzTvGUteSRLc7i/mPh6hl22l4Q1VEwTM3/6cv7T8yMp4FpY4dYMnw0wB5vc4qnPvvtZXvJeyr9Hh7wsnqS2Wyek2OLp85uQ+7hidbzoU7ycVcyLKeZRdrv2Ypx5/NyuqJ67yz/Ly5B2###1396:XlxV32DM 3fff 55ceNrVWw2aqyAMvBI/QfQ6r7u9/xFeEKm1VWvIiN3d/b66Csk0GQIMbRfuFMnTjf5Rz9c/ZGmgX2/5uqM73ydj8vPQLdsG560xwVGgyP+lp/3U8h56T74Pg7fe7Penge+6ENjbeI+9R74ivvb8jCgc9nLIEt1h/g5Zenrv621zFCJfG+4SOP43bsudgxlzwA8o/fWB+48Y+Icx9KXXXusZe4n87G3M9kCUca21qMazbXcFT7qevBUfhXdz6/TqkrcRDTM0xa60nFCkzMRNHy99NtvNzwe+GuaeDx8p98/vqVgu7SPbsGkM8TP3uHvnaKWAuhdLa73KvclH9jD19avIupGVQ87dMWxscR3bs60adJKsZV8cD03eQsJvPmavvC9TFSNuxb+vMcJl0AozaHYyaHcyaPbR0Y8wg7ZhBi2Q5VbJ8nd0EYgunjAGfzYyGBtmMAJZHpUsf0fngBl0cH45YP1y8PolRWcq0dXWry32u4bsd0D2Ozj7A5D9Ac7+AGR/gLM/ANkfGrI/NGR/ALI/wNnP1pArsIU1NELEGFhaA61hxQhNNUL0SCjeGq1kxWyz1WyryyUBRwPBxwIBRwLBxwEBRwHpxsBHJSsrN6sKnUQNpNsh3WzP2+1dD+RnSUOSqnPZywFLSw1P5e2ApTUtcG45vf+igq1UlkW96j9Wq2Lpl9Yr1rZKp8MgVf90uh81rNoErNmEr9jjnmBSYg9WnYc++dIfVKWnXYoR1el3TMUCMk7JplOjcvDsDSpMuf81fLICPh1A9LHu2nHMq2eug+dYO96gJ1nZT8uzrOwReZp1dP7IJ0dpHF05i22hQM5jmetuaw571BN/6vmVtMYYQY2pVFy9CaJ18uaJ2mzp7BOP7Onck0ZZXGxVXBRKV1W+VlSuRgrXubmSRMRWRESlxQAyNVtqo8Gcmy1ZXGxVXBQnKIB8uQA/EwNEy9XHqv4zRicoGKaBfmEaqhfmIu2iv1i36BtoFntnjievH1CrKsWaamv9GTl0mh1l7o/c40blHjfW7nGFO4S4w0igyjX5csqYoLWRTrlv6YD7lmJRx5vub/DmIGM6pfLYwZXHXqTUviPq4eosvyoR0WWIjACRLmtRGaPYZFT1J8/h0mgYQTQ0+RkOz99b+lG4DJEVINKp5l6JyMMRkTJrBM+aUSIylyGyAkRfV3lE68BBOWMN1TOWY2t23EP1T7u412+4LPWjdKoaxtGMqsu1KBxUy5ejcOPno8/YMdRGxI/r7z+eF1BEcna+Cct3ZAe7U6mNxdUVBIICyo6r8wKpINBqdsYcfFEd+Y4KAo0Idm8mO8PCjxf5Nyq7E9gp/05ZKxRbsRi+Ihb2tDW76Hua46dcXnj5H7vHNUU=###1048:XlxV32DM 3fff 400eNrVW1l2wyAMvJKNJJbrNGnuf4TKpkviYuwEIZGv5nnRjAFtA8WAgBf8gJlumPCTkP96vPE1nCaM+S5fu3+udH/56+hGES//LS3XYQagBBHCrt03wGdLRNMJFtddFsQsAswDsOg/F13xS6NADgkD3/F89fcNRkSIjDgvq4Bt5ev+zxZG/n3FecHdfFf52csyg01oqwVM/MsRMd56je355bsJ+A4P1hMoJyzhTQzthKW77y48+f39/ChN90h/s/uwYjL2tGKXV8yPpU8sr5orzy1mJvdvNnPYt1vn2eI59ZHoHUe9YhztyqIpgoUhssnCYllQ9ixE5+IwCuGKphXHa2hycTyjaMXxjGYTx6GyYrXi+JaDdhwPhyMh7zUeUNFramhyXpNRtLwmo9l4DZ1Yjb29ZsvBxmuorX9qqjviENXPwgIgDcCCJCOYPr7QKATjFWmvKKT2FWmNLzQK/T2iK77QKPT3iK74L6hLaR13neqqhiVVW2UMncoqY1nUVbVcrlNVbRlo11TJWElJ35X1mzN4IWI4RRXDKWgYTlHBcGb6hTNXoZ2KBr1XcTqYDkahb8Wb8e36jowvWt8c6g5xs5L7Kjc1NDnlJp61JKLcxLOWOig33rzG+M/BImZY5FhU1DxRQfFERb0TzdTOWiev4zFbBhb+IqqnHMy1V8wwXiG/eMXs4s1yC5nvpJHKPlrXWvCFrBIgqnlLDUvKWzKGjrdkLAtvCeY7aEFl/6xr59KsDzt+V6N/rONb7xVkFtZafWZht3vlwBn38m6AnVSRURBYC2C6b+OUTojX8e1270Twx1gFQiysz1k4QOPohAOccMgs7FcEGUcnMv3/FTfEuevMwlL7F8AXGoU3VGfItakz+f3HPpGtJX4mtusze5Yee8UmvFOWSn3nw7Puqb4PDvN6/96zzEG7+/RDZDNv3PF4xWzaNZs04cch4ngcorJJxnpIUpyLOgvL+u6tTw2eyuwVLMHMXjg32DWzF84OqmZ22xOEZQ7nMvsXr/CNEQ==###1440:XlxV32DM 3fff 588eNrNW1l25CAMvJJBEobrZOn7HyEC7MQbNMgY8uYjPW6J0lJaTGYAP/EDFL0QCWjinwZf/AynCS2C/5af+Z+aXmTxk//m8JvwT9I/B4CJHFiYd3pz/BTOP2hdyfFJRFOQ/pUijYQzf2P46a8GIyJYRpzB8knxqfk7CS1//kLlUffnXcuSBnUHK+qj46eaiNHCM0af+ZOPEPB3PsSlKEUn4asZXtFJG9+vZWMUViZd5PfAGQj4KsmZ9aRvvObNF2NjtGWreduG9Ll5O+/UTi4Owtq5tOIraYViKzQbc8OK2/gArksU0rnQXaKQx78ZhVtZwMEswC7+p+NPg/2f7+M3qAL7b6wYVwsY+nKvKOStUGDa71YCO5pm42ozWacu7xwvP1MZWS8RwJCJ0/613fR23yen+E3c9HaQtW3dmt5uZIr3aNVtq82iNdxrV5x+m+2KOG63zVdtr+32bEXf/RbDZvdIB3vDAB0Q+9RRBqthFUWUfjUU8cZVUG769qqfow0jqueJLSTyEzazzrGhMdt/eqcMe43r2Zubq6j5XNxg4fVtSttp/hb16VnOD7p1oAxWww4UUfp1oIg3rgPlNt5eHehow4gO1PY9bNXQnB/l3zkYR4V3fww96FSJ3P4kWiqrRaGr1mKltPJYkXu1WCmtFJYO9wdzpV95rXd+OZFfToAFonyB0C8S+UWCfIEoX7V+6eVuyQmwavO1apEIi0RYSoQlqS8UYaEIC8IGXs+NWcB5I6plI6plI6ovI+KGEfFQhoWi+kJRfRkRD42Ih0bkl4wbKJqVsvqyohjaSqxooRN1bFVZyyujSOTXW63gjQv7gnln10Z2LpDV4bdfBfzcyOpiGzB4USqrKmRrbIBiWQhvPqWyVCFrKmTnItm5IhdRFipkd3FYePuR1LFl/fRXlgp5EWXLeUEVOaGKnFBhTmwF520F520F520F520FL6yQF6YqJ1OFbA0vyvuFqegXtfFw+XiEm5OXv+kJdwA6zK/wb5Pi01UynolE2t9MHL9H5M8Qps0ySfiTvwXwNwMu3CWyDuuvWv6mcjktdPn4uwO3WJ9E9smqRfY6SeQYnwLk9j4P8hgH+au64fJp/Oec4enxSO+RcRBuscfNY627ebznFnWrpb2/NCjDult+D71jWBXTIEav/y6nf6z1oD4NwyaEGVTF5n93rVGTeNQ8fIDR86BdCzpOJmGnbp/l0o45LtrjemZzn+f/jdu+d5W+r416b5Liok5yy99otKklNwQ15+u+dyydugmn3JFTXVBfbVEb5PU51HPtXN0l0TMePohVztfl3qozX1uinjvvOa7x/3s+4OGDWLk6PN49TtRqJ3VDUEtyaBrd6bqOWCV+KZiemo2PopXP/yKmNJ//LVHL5gR0nBPQzS/PGN2VnxdoPxVpNqA=###1564:XlxV32DM 3fff 604eNrNmmtW6zAMhLdkW5If26Hc7H8JN41jmtI0nUnclMMPyuGTJXlk+QFa9J+pmgUbTJzTooMWG1T0ol+q42cxbT+LGz8N46dB00hKtbHQrCyLSrAi4/ffY4nXhS/9hnyVpa+rzRt9DYCvcmJe5dS83Il5ub+TF1jzBaj5Xr6Q9RUln5ZXL18DNIflRL3KaXllSafl1csXplc+Ua8z61BPzEv/zvrq2ufLaX0+iz9xDu09eemPr+to41eN/OrXjX79eyryFK8D7FUkv/a6t0pP8Tr09dpd155ecV3tA6raBzS1DyhqH9DTS/mAoj29Dn29dle1p9ctXQezn9HytMO5t6v6Lp+4puED1Rs+ULvhA5Ub3le3K+ex9Oo81tVXPtFXOM2XSfw773Vd3y7edAepY0ye4rXaZ7VS8zF+nq3v2LTJ+ju2EOP6eWYzxMo0MwmIobJVMZTFx61dH2UNZp/l5ojc1lkjWFyLZ/OwznqCDQQrBKsEi82Z/dziX2tc2QCz9SUCY9H1thWvW43BEWyB2LihhV9h830MYycLY8/82hwfzTFN6w7TL03jFpiNYG0mMt6xa4IzXWkDNbzerxXsg3nKzxGsJ9gAs4WIQcH+WtlM1Vy1KfD4EdQ7E3VUWaXjjmCvy1OfwXpoAebQr9pg66sQdVKmuAVmhchRwLmrbCTYRMyzI1hPsIFgpVtdrNkYkaMRORqhnxH6RSKGSMxzJOY5EvEmii0wy+7d9fbARO7BnhSmvwsEqGdUlutf1SZCfaaxumN8g8dP0N7YWCVYJoZMsAVmPRWxQKumsgZVd1PDw2wiYmAqOkEdrLGRYBPE+mmlREgLP61Ad3jcNRbVwm+sjN9sIHIL8Om5sVx3CfBO31g7nOMai66PQGgi8MmvsmhnE/jkF+bXD0dpIvCpP8wvPAKz2I0+EC8bQZSYD520xsdFtVaiF9rGKcavsKx+cdobsFqKxC4ZiZ0vwi+VjTWYLcS4aF+urMGsgDUU4dtUZSMRb4ReNZtugWATweIxZDCGPGmB1VllC8wm8KSciZ6SidPjVm5udVwlWKzOCtEfKuthNoJazHccIl4PsxHcuwpxZipwrQtxmxDiNlFZ7GWwsULEYERuiWCxOfNTPWDz4DdecdZZgVlPxIu9Dkn9CzyYW2ULwWY4BgFZra8VxJmj2SQoboXXfmPxuAUc14h4jYjBNvT7zSa4D9f/EuzBulUW2WN0fnNAYlDqNUOJ1wydVyk6st84H6yNjM1bYz3BBuLFsNlEYvxEsM9r+frJLOvlgV/85sZbHr/mn59ap0PW8ZB1OGTtD1m7A9a1Zvdat/XxaH/N6LJi/f2wEhe/ufO9bfvb8/ed5++Xnh1le3noYvyMLftlb+vt2V7uAHzOt51mT9S3PW2/ddqVsz70BLTClqeBvbapu+12XS9PU7zG4UD3XZ4P91sXSmPE86v6uJ2seZ38gfrY8vtK49uNZJ/ts5hf1cfthrVH4dtdbr+1HbAud7ONV9ftHrzPNpGViWS8XdXL94PnlfkfL4Tu5A==###1304:XlxV32DM 3fff 500eNrVmQ1y4yAMha8ESMJwnbab+x+hDsTbdZ3Y8J4Xt9OZJk3y9SH0g1BE3/XNOZX50Szph90sSZBsWSZx63fK87f7q5aWv16wUTLMiniY9TKdvub7aj7ANbexrot9f7Cp+MjW76zsTYe0EvT8m9J+vvK71e+HrBBsgNmwipBeNkFsnNn0Ys3HOx2LxYmiJ4pmVh6plcdVXvXSAmdWpZWgA6UdKLvzi5W3xakRLK47Ebk1EbryYqdfsUvVt2Kvg04MK5EdoRNDd2rncXRoUfYEbXBWKFD1lx2TTVS39wWyqWF9bFytuY9VsIcSIrpks8+9LK6rnf3XEhuBistQ4jITtK6ysZ82inYUjdXNyk4EG6FM9sStxG+ipI/VFzW3hQ3EmhWs9Y7q3SodKdooWilaCBqvJY6qJa50FMzKPdzN1F3LBJsI1giWsdfBbCTWHIk1R/Dm64hq70oFC0DF9stMBuq+FjpClbfSCaq8/jH/ygSLrzlSrBBsIFgPs0bYa6s87GWZNeNxtc7DXlYINhCsJ1h8rwSa5y5sJFgjWCXqBnL32s/fFlah2fd+PO93qJ6aQFc6gj3mQnPaQtGeoBXsMRc6UfRE0BnquZY9CwTrCRZfc+8tecmrqXQ+6ClT6QD2L1PpmzzMoj1I1cXq0LTTgxzVobixtyeq46bL7KV7J+6LzVZYzMe2ycU+Fo0Pg+ep+/YexYdtvkXrZbHYqrNn9JSSnehopYWiA0V7inYEneAzTna+x2qlGY9F+Hzdi7Wjs0qIs7naPBGswmwEz9ewiZHjOlR1l4lGb2ZU5ekJ3VpNYp+yBc1601xYfXxLcq+ej1fr51Tn52L69X/mZ7f52U2n+ZMy//Xx0HvynslMq9pTterXY7W8/o8rtfxMrX7qiWKdJHQqfrMvN9tXPdG5m9/su3XZV6esYxXtfyrqX8X58c/8Yxbq1NHq6fGTlcG4Pdvmtvw82962rPlH9WZW9uBL1Q2wFVXlbF3v8GOOfUk092ifHc+w3WREwzaTfrbLvGyX+dgu8bBd4l8pE51rPNyjfbaPYbtJL8M2k37W+l36JX7u0T6vb85Il0fcC/zgLjYPvfe0qp1zC8mNt6wzd1OHx2cabmMabGOdoIy0sVXxTD/mH5r559kYh8dqHB6r0N2N9KP7DTYSZ4b76WcGYZsOtC0NtS0Ntc2LGz5zbNc8M/dkeH2RQXerbxOp0u+7QXcNXPs8397jyV0Qw+439AFwHYJ6AHaGi8YuO8VF45Y4U6ahZ8o0aubxCeQ1S0U=###1904:XlxV32DM 3fff 758eNrVW0mWozgQvRJSREjgOkcfwIXtZe16la/u3poACSSMJGTaLzdOE9P/MaAhE4k4vagHDowGYF2HA75woBcCjvgbUX0Gwul36NSnl/r0QqkkQf32UDqJZwRKG5GUBJ/tDvhUPyV+hx2/Q9wvzX6VLwSufA1A+SgJ3qO0UhUehx2PQ9pjklsAWZbT42hP8f2/wV1Zy8WYj9Wy5/dFZHiY/PbKb/ehPJf7Lsyz+hT3jZptaFzhSe/K86X+Zbn3Y3XewvMJuOHivAPQB5kPJwwqLeu7uwQ72rf1d3J/iv9P1/4q/+XZr+z5a/N+XceJS/tNfO+kraw3/p0zttI3XdbfVZO9EjX/Rr6vnWonvEv4h7GH3pfV8+ezjt+L/Ix9y2Uz/SvfJlfvFGuRZ+SbBWhZIVr+obVi7JytP4LRY5TtMFpmv/RMSUsQ9fjwKkbA6skUtfp2/i2pixW6UKxLwCt0WYVul6U7Ot1+w/MY6I5vdbFCF4p1sUqXV+iyCt0uSzfMr6yoDVGhS8V1RRVcUSZXIc9DEc+yYm7IirlhdcvnBlTUBlTUBlTMZ6iYz1gxn7GCZ6yYz1g0n7l574qieTXpsuKZIyvqSh6sK67WGUx941YCMK2hdE/0pkYmifAm5UkmG/YZqjUXCCUp7SrMrU3YG/vY2D4zHKzts8P2edI+M3uJAXgj+xP/3QX2z+Df8oMZ8feLdRPBsQxgBoK4h3c1JMyau10O4vbP6wHRuIZEsxqaZkRb/rE5/9iYf2zaw+3i37N/Zvx94/jb1g80e4ft2T+zf7vG/dt2/vDG8fPm8WPj+LFx/H3j+Fv3L2/cv7zp/OSN5z9vPP/b8b9n/0z++8b8t61/2bj+ZXP+u8b8t92DUeP6p8b8Y+P5c8IZxLGbGuisRaf9OHpT9Na6RKHie25vc7ce//79w1g30K27iZ8/413e4CF//TvexY3/+me8043df/8onAB3qxuc6mqv8+2RvXUz2Vf2gWl+ui7QGmYtK2HPoxcLWval9Pj6vNjzKVenZ1ttF5dGvb4LybJDsx0ESp4i9qtTtRQa/YxBbnUH1gw2OVciT1YiU7WD6hl3f+dvMrBY9byptT5JUjMT7fl+jE25yuLMn6rOvSyiq8qDVeOs8fX5aKhJvfpRCIkl87fUYUnUtIlazEyBYgotU4417rrsOEZtwWi53hN+74mw956j7j2x6r3ldnHtgenqUT4gGtlcr07C4cDRsA5RHMMsQYqdp5HacmbPVXNilIkJMd80OQmTpaAy4jHu5zTOhNGz2IxHSGT0Ld+OwW73JvixqdpHpGqNxRzvEbTgd33SRigd+56nKtsxlbIo9vr3zcwVXof3h2/WU9HbmYuHbyUTle0igvUNd5albkGmq9R1v1TdPzDb/uGLF0aGP3oaqfeH3/8K23TKavpYzyPwqjDsYytNQa2DV+trab5I2xxndD03f6c6TUo/Mor6YkaaZgkjjeRw8Ehk9j1MDoeWeLrJwCORCDdxvUiiqKe/MeGFqIXZdZA3V8GbwhCVhrV0Inti2tH42bPrOx1NhCMZvNPBm/A8wr+TXhidpVmE0VDan8w8wqj0vNtsxdYIQ1ITFgzRDpfe+naxYFfEvXkayx5MUb/Vfu5GzlyuSv13UW1y/68n3Yq9342Wu/90W0t3h+piWotANNPorXdg7qOU9GYa7UiL7YTYleYZ0jSvb45JY5Z0DkrMQolZKCELJWShhCyUPAslz0LJslCyLJQsinKZ15tOM1p9OLWjez27anmYHReaT+p7tWJb2Zmf6c7VHbrd6/FATs98bvb+MO/nc32lbEzPe2+OKIyo9poklu/dfOtn/qyMkiDNxRhacDrCnEjYEw29+hl3rf8H74Ldog==###2420:XlxV32DM 3fff 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###2368:XlxV32DM 3fff 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###2932:XlxV32DM 3fff b5ceNqlW0mW3CAMvRKTDO6cIweodlUts8uqX+4ejDEIW2IokkU6biEzSF9fQt7M21izGm3eAGC01FII0KC0NEoLIfzvXmDgbRQoI80T3uC8lIFVG714WRtkYR9ntqRtH+XHaNDWPz/H+udgvKwqtBgk8S51HBr80zALrfz7gpx/LvXiR1kD4LX5Z/6P/53SzmvTXguAM1t8jwvvseVviNmqfS7g/N+3MVpPaNJhHtuhdUqPiXrkvtv//v2RUqzPL/G1/PzZHvZLP8Wvv9tj+VK/fm8P+JJu+/H6tX6cJ4fet4aztVr5M9hXB/dzDtLrKR0lIEi8KtJY4viZsoUFrfd5G41+w45+Nk5mbe6oRGesg9XSmlyHtbhsLeAm5qSSHhnWdj+5/U1eR9hdTe5uafn33Q07B5Ld58P/1e39WQMpG+1JMfO+WFxcQ6c0vVMfjQunHr3n5b3HRe/Z3oX3qG/xo3fpB+EPNrxFh7fQFr6QfmRZ2ftTw3ridkNqk+bDefBlxh4ta7tvk0Tv7jdm5/H7xc4uIkaQAP9cM3u6Dr6TQXXSMw49ux/IpmdIfDZcNCQRHJhZwWRk9BqGIuM6gb5rQKh3tB1+L+tazvh6xfBRPTIi5ulHtB7b1HPORoaz+Jg10OjN2ghUo+RhL9jideJaO8aZRuyGFC/sIX36VhxNI3wNY2wcJ6Y9MZxbROG3R2E4UfhVchjrdg6jCBS+8swSCy2JNu608RQjws+7t0Vp2ivkZ9jQM9euc6d0tFBF8xgdV1y3u0898hh97Jar7mhLjzr1FKg9zvIAsbw2axCMvQIXuRIbExXLa1psjMfi9IqHwNzkWXKTLXATTXIT2gst8np5mZtFDOFAFYlQRXEMIaGXGrU/H7PCjg3EelfMUR1zTJxHktKAOI9E6KUayAwZMYPsK56NnIgM5jj7I3+Z0AMowiwTXtqO4j1cwCYtcpLBmCH+Iou5jWfSKmXSPUwuWiuDvyM83SJrEk0mqjqija3w6a3CLSw5a5xbSoRmcmyNCc1kjxez3lLPS5jKQjP7kSj7EaPryngTUVpi7rKV3EXJH71yGN01nxyd6qvm7ED2rKTBeT63QvbtXSdO7hQ5ciZ/OEYf63RTLMMilmEmeI/JO79bc7Qzhep823fJBsRr58i2YmnqiLY+w+ZqIku3J6jIcxRZP0A4EtmBRtamW97ZnGMdMXVHVaurkl1FfAj1tblKthuMd3IqR15inNYVX7Ef6xmNvxJxGEXmrAvyeoXwUbIZrs5cNFkbrXst2V2yZDXoAQSbZGIczY9lMwIrFIFHZ3dG4JRlPzSOVI9LpNJ0pKIx/EQAzc6sOi7PrJFPMXlFM2INokDPrEmcXpsRSzH4oSa8GVJerMFNcHedKl5z0SphL4pWBkertbS1Te3RynTnrsdMLVOxuvu0RpmuIutnONNVqP7T9mTB17I+GmkaljBzU1PbTV297cN4KhrVtJ5V6q6Ye9akGLSczPhEyvjUZOz2MxmM3TO3vhLVlMsK93gFQqUKRLo/9g6JooIrPXX5Hqu9qko12v8/jQNsW2Tmc0pfq2vcrVWa08ncKjyydvcsP757HkVf+r5hNBYs6D7XNaMRvR9LR9176rYYY0ln/aRiX1Xsqo9LOUi0/QXbvi1tf91426duwLlMoecOvZTm4gttI+7jOvqoxV5v2ubuK3O9T3dhaOs2zQ7tqKrvxOGZ/jmAa9U+KhG0xgE1z3+pd1Bsm9uHRt4BDIdyBIfiMw93zdAyP4veZb13mdO7oPCu5/OHLFdcp1xe6SkyBczQr3P7WTWpK0FJse0NtHmo6atANXQVOOOyKgUZXV1PC0BMApBMHFym+PLSOiNfzx08l+KE/WyI1ohj9Ssqi6sLEVD5Kic3pCQicJWW+QIHJZF13dyMFu9cYQdupAuNPJu+WPqV9XW/mWmNYvaCbQAjR7LSxXNCg7xdhzCec6Nyea14RylgkuRI23wnN5Jqw3lR60UzZ0+62QIocgHYAKup44ovXRXW9Cwf63neTrTVqnOGxKAn+v/q/d8e/r/aS0HahKaNlfX/+mkaxoIAtZXqLq9uWSo9kgzBxQUy3TQnLgHUMgF0KaRzAK018QjUXi2QtGTtQ6CGVNlAJ8n4D7sToVnvshMkHRHNtnCq4WrE6xRq05YTmiQqS/c05IlGkSA35AlEcF2mt6GMYLyMrOBOT1q/nF6u1cT6TSqRXFOyEodflVTSXK6ReM99EddBp7RJ9hWlyaumfj+X6Lr2kZtq/OGVqKVCyucuqKXZUhrN2By3dwOMxHVEPDOB6PXWqhHvs8j7lgkr5v34eTuHVoEmFU6JQk+/HpeSXd6rXEcMPj/aaKzqeFdXymm6LKwn3ulbc0SNW1c9gbniRTbZSEs14wuXdTFpKWbkjc8GLs0K3C7QHzOM40Gwx4g+3x591pMzldci6uX2lj64oI9k8wRZSdKP8unZAJd3HRgkTVlTKs3WcBdlAkiaOlFJjrRo5IGFUDlRlyR4LORzFXLX0spU1/yAvHzG2Ab1BjHic5wRrE7Nx8Slez9W66NElq6eP+cbLvENPnbAcKv85zFIo8gxh9UuYfUMJ10Rj9TVk3/2lxkrXkjLQpM1QdV71w/H6Ru+KhJdWp8U4VoObgiAJioxSNnKFnHjI2dnbf/HrY8R9bd8zbC+y0801ap21FcE51wQU84fSBniquyQtog1C/aDq1N6vepmLu12advxQaepZeH4w1H0qQUZgxPWt1YMKA/tkYYh6XVoNyVxUrz09Vy5Vrdm/lnJKt/x7VSGmirOOENNWXz4d9cVqnU6NOmXWW9F8voWP5+yxbpjTMfndaLymSGQHygdNReVJLI1GraxwVykgZTGWStg3YxH3TPitvTS9D9F5oPZ3tqNff8BIBaqiw==###2588:XlxV32DM 3fff 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###2364:XlxV32DM 3fff 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###2332:XlxV32DM 3fff 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###2352:XlxV32DM 3fff 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###2448:XlxV32DM 3fff 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###2700:XlxV32DM 3fff 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###2524:XlxV32DM 3fff 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###2544:XlxV32DM 3fff 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###2156:XlxV32DM 3fff 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###2780:XlxV32DM 3fff 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###2184:XlxV32DM 3fff 870eNq9WkuS5CgMvZJByJjqc8wBsrOqlr2bVUXffTBf2ca2MIqJ2mRlSg8JCfHRc+gAYZom84UalEGA8lmHz87/Z/AbF9DgvLQBt0p4SX0jvQRp4799m29j/W9gvhHRgALlv/WCYA56ALroRYk4CoYR+0f5Ml+NUbLeUiSSL09HQdscZQ56c5EIo6A17zBjaieNWTpJYPKgLR2xA16Mnf9+/Xf93za9MNmLJOE1vO8V4TjG/ey25ytmCu4zJXmim9JzkcAgceb3UvyGlLOrz5AsmZrYukhk6Yg99flbIkc8MBq1UeYzIdg6x9WXMr9TM4amxjDF71E00nx0e0W+XbW+V//A+u/9LPkcWcx7j4OL//v26ay2Uif41RYVVrdea0iJnm5agKHO7DWBRC/WraMmBE+bmg3pkEns8aP3EFcPe3wMPsOt5Wa3MrKmHbQcg//LQ8s5mm3LIcTZdkYLw54EtUqxM8TWDOn009bKm7JS9WqGCt8zQ3TMfj/NmhUPrXVp19Odmkj3DvbcuhAV/SAToiY81nSD68YdannP+BzNY1a4QyaeWTs1NPOJzHbF1uXYdmp6+7zmHDW78sl/Q/aBnnyKmts9s2fMbC12WRvr8ELiyYtKPtM9sRbzKi+noh5NjGfbmxxyDc05nWifaAJDc2pqmgd+xrWiuk8EWdM+HnN/B+LMkGvetfia6qG1yIrn1NScu8eEUBMgnUd7VnbUxBAVrGd1lqYJ63MOY0K3Zj4zPNfsOclmTejOW77mfl/ZavbkbdZcyJg9mobcTPr8NPGV4FKz7Wd9PdDd1j7JePOwmlDN5+eUjNJ/qttodtWkqJnu6WmVczUtuQ31rfJ4vo+x7dmFsyY+qCzH8/2Vn/ku/HnQJr+caq+/HaR39l/jRPvX39QFjmHYMyUcOMWJrz5j9tQsGpufmsf/Dw7HL7N5QxmxxwrZMwvhGCG/1C1OrO+f4fMIDsevsMcJ+AWMdSGFw5kfEFqn8QVNwq9JxB4tkM/1xDmOA8N5SE/dEjhqsM7zcXj2jOYPvX1J4FgRv0bqz+Fl/eQ1vfoVXvGDX6phT71Lj/lVb/Nj+VPfE8biVV80xnEk4o7MfZCDo1l+Xc8zCMUdhPwqr3uDOErEL/rCKYEDIji8e8FV3Mk789A5gY/D8QuG6zN5+RexRw/jWKH8sSL3C9IBEsGZh+PFxbnLQytyTyGdwEEcZK73u/lB5rrg2MO5p9zNMxeHY48evueSDvmgX1qojmmR+w4fh2OPHqirvefMENPM8rhAAgbSTJBw97qGpLPxRRhlLf4SpFPXgX8WNI/S5o7h9ffvH6Wm358f0wf8/Hm/7Ie35Ne/79f8oX/9837hx+/pxx8/Xs10Dc4Et7T/3v96GpxIhWnRHOKENCRDM+JIKMtaqkggIULpQ0s4Sk97qlRodLSlM9njuhWCQyUFc6qvc5aC8LUGwaYoTHoTBfUy889KX/NmvRqtYEykBEMocGdUKCAknuvGAjRa3ZmYFtNpIuTHqSlN26FXxK6JUF5Kk7hI63NyAWnX52WgLxrQRTrN11FabaRNoa/FBamahJvcxC9UzZNsXMomSJs62JyTfHSzzEyP0vMh06+wkUgrsi5UcxXNZE4mQk9sr1DaDILSsDjGci7SSOiCmcyom/OttvNdyIxwTocilsxGxRznxPIGe9cUbNEji5ewoU/oUxospf1sI2+bFfFkTppbSLaEUsSu7MYifWP3hgSqSGuYEHlPVlFec7aShCuR94QwO1UCGHM+t9LqYk1vyUDmsnLFNY17estN5WrX6/Z+5072u3PpVs24kj7k2UnGVxobdzXpZEmcRUUsUU1pask+Qs8PhCo/IBgcOuje4/AaQ9c43IsAD8eK4PAaQxwcJ4KDAvNjheLOf0Dg4FghHCeCgwL5zH2A4uGAEI4TwTFC8yORP05oXTiRuPMfru9wQKTO8x/2OTgSfvEbH/c4Rsie8frT06i6x+E1zjg4IIQzPs/chikPxwrhOBEcHN5Pexr3HJzRusonNnBwcDhefOIHB2f8/NND+OHgLCI4RiBeRqSu8olnPBwrhONEcHD4XMcnUnJwQCBeXKIpB+dJ3NvU20zaPSPYqwu67/uSmq9uKcY9mvUOf07CPmqazctyj581m3utjZr/ASq8rJM=###2440:XlxV32DM 3fff 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###2556:XlxV32DM 3fff 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###2288:XlxV32DM 3fff 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###2240:XlxV32DM 3fff 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###1904:XlxV32DM 3fff 758eNq9WlGO3CAMvVLAGCbTc/QAq2z2c//6VfXuTQhJYAYnNljbVaXRDH7YxjwbgwtudLD8n/HLWVj+DYP7XD4bwOUTuMkhPtZv8AEWRhzBwABj+dvy6cuVSAiPhOSqSBiR7DKdDpJRQxogdCNBRAKwSkgGvBoS3iLdRYFJ1nk1JKeEZBmRyUVy3R7nI3F1MkpIRs1PRmG37EighjQoIWkw3Y70UPI4h59cjJTPTb83JJN4HLo9viNZhk7X1p1IqKaTVUIyjLXjInklPxk1PxkGP/HiyTD46U6nEJFcN/vuSNC9g08kUEKy3dlcgnQXT0Ep351I8INIXOusmk7mB5F41vVn8xPpoWSd6c53J1JQQ8JuJFTjpx3JKOkECh5HdmV/lxGQzZl6SFzrBqW1s2rxpMEqqFSPS5BcZJ71N7hEaq9VpoT0qPhpqiLFTIaP5W/Fgi6sqHnCKk/zJVbJdhNh4coZU9TKdPLmeOyY1b71e1fJdzVJ//p9+o7AwOAmMIsuX+vIC8xT3sKQnQnmRc46XC3GgItH3aZbbTzG8YuftvFJNq7Tv3/fxgyTew5P5/9+Tx/huSD9+jN9+Kf99Xv6wKf5nIe/ECMJPgr8vTpd512+jPrUbfFxXkqWGl9ba+hc4XCssOmMOn9EHXTuq5DtK9+528djt/tOLhsOBupF4ujEY2oeEid7uCN7+M6MxtGJl2V5OnEyvz2i3HdWIzykh5J1vKqNh+S6105S3V7rJKm4eUiPH0Ti+mlU0skqREFQYxXJSZeHBGrWgZpOTg3Jq1nnlXQCNT/xul4cJA1+knQHeUiuOyOMarWKpLN7HU+jGtONalwg6crzkIKSn/p3i+xG5SqeZLc8V9bJbp441pnu6lB2Q8dDCmpIo5LH+7O57MZXC4nnJ6vmJ1CzDro5U/aqgYfkuz0uef1xjSR5kcJB0uACycuda6RRjen4FcZdFOT9np9C4lmnwSqjGqvws/l1hwYOHu9duxNJbt3Z8StR5stu4YZSk127nZBkB6Jr6qqdxtQ1xbDKLr8AIb/h1uRddbxj2lDgpI4tEl1OygZOp5Wal+q0Itl9pnQwKjiRnZa1jF1wti05R2KTrEmyMh9m+UKsc5a1cHXTOq8VycZdecQ9CGXXDI4p3rh7Jq8j5mSvEcq6zjjJ66udL4xQtnfP5jjY5Iet+m3z/1aDt8q2xGp2HtlvqNixmp2KxLFqOjghOyEu+6vFV+W+HoiYsS+3eflpWcYn5Zldtr/KzsGc7JXOa8U5uOyiyLi36OXc+Jmyd7sxlsVk0ddqXN+t5pDOm/f49ptaEMlunUbp+ub9zjZZmzi/RWfbkC/KDnTrvNAt26azPWtK8bztcWU7a7DixkbImcW9kZDnX2WnpnlDhyw22JvfJ0rXOr/VnJvmjXerwvNCecO768zlPSw4pFm2WeehYS9jkRODoFYs3h8sOgdBfV68ghDv5VwWbuJqIGRRXC+VL1PO8V+I6MjTXdmPqLxx2d+vIP3eJZ+hlQ9BaktCtG+Reu+FLY6sfM5UWdFvyF4sTVH3rmM4T7v7bto7F4nF7FtkMDxCSBY5LVuHOXmQu24z4XF6/Hzh5zLPUD0bz8tvCYeyvTZ+qvrKF+NDfFkHGb48TjeU63eHefahvMbPzttbOI7HMXkBeNmc9NpIVHpzikhgnlaubd/fO/Ij+E2fmwh+H1/XZywqlsOfpH8I/xNRe77vNC8nUGzlhoTybomvrDQceYse/74/6pHki1wIuyUke5P4UZbCt0UNl3O8YZ4Nrsen822mP1xy7dsuJfTfV3qvX+Gwt+6fc/yY8HP/uDZ+iij0HvXMPUd1L6g9N75YblkcU9lzybMDz1PkHsJipcO5ckVk/wcx4aui###2332:XlxV32DM 3fff 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###2528:XlxV32DM 3fff 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###2540:XlxV32DM 3fff 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###2528:XlxV32DM 3fff 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###2288:XlxV32DM 3fff 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###2652:XlxV32DM 3fff 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###2608:XlxV32DM 3fff a18eNq1W0G24ygMvBIgMPbvc8wBMomz7F2v8vrugwGDAIExYVbJS0qyEFIhQJYKBGNSKQFcCgDzfZO7kuqtVhAg1QYcloBQFqHk06JFhgaDNijGFCgNUlrd5juY7zSagw4IjyZ1c1it7s2jRVP3iVaFbvNZoMGOUsAaEC1LWqMU1VEWdld0K6sbcrvtc/ot0aQlwqJZQDi09pbwzCeHbnCWYJ+Q/gZvydbl73OU0Q9tDypk97UHN4teUrtNxFKWuDiBzrmsxSDtwZruGloHtPY+sd/9XOZoYdFLQLTQFZ9ceHANXqt6UAolJJcvL6eTLLqKAedLXdjUipjtVsToLq6o5lyFhw60tNGoURbVeEiFecK6iRhIfMktI/n5vYwG8NEgu3xZzeyK51lEXzJSNZ+aunXnelGzBBq6eVeuGpxFqzy+Kh4k/V3hGOFjoOQvlY+yJ5+qT6GzdvfruiDHu3h00E1WARDZJqsCnCVArKZRt/DoxYxsP8ZIrEqn51NfUjGwnOjE7r2Lm5xN++VTKt5p1gN09slqNIicJRtMBp1rn1tDLDeZ3/Tp+cAaXUx2MU/5Cp/qfsvNSJZZuBVsSVu0WfSaVigDFskiKk9m2gLCReXuLYEeT1Yt4ZHlOxiVjPgEbebXZNoqn6gKXw7bj19sVJt/U5z59pbaPAfk2/wrzRioWt5robDVKlNYuV6WdmiKpWtoVdaNTbS6heY30LLcjTTRd0YJxCh1Y53TXWuoCvszHJtxfjf3m/MDktODctxax/PKs1sShiTF8DNF2GmMSI5Zq4Z9q8KOGFV93ZIC1Yj3JGFY0nHk3XGG6EvW/H7JbcBDOuwixyTl4DjFsLVjvt1Oa2/7dou1xpAkHxhnWPFvjtOtuSPzWVmtuyQBxW3YJdyQXAclYWA+BeIhdfOZKp5ZDUgWJzs3JEeeucWzviHJkUjYTmv9DqtX0u0tRrgPYsTfzJVTcsn2hv2S66AkDMwK+DPnbcBDMp4UDjzzPI0akVwHrQVU292VlAOzEvfmd2NodM2WqGLs8e25p3nl0vifqvTxn7Gv0IPs/1JP9OAMPXKSHjFFj8v0GXr0JD1sih7LJl/qCXw2Qc8MP0dOn6GHTdHzfX7hlXWGnln2qK/1qEnjChXWBD18kj0z5h2m8CGqtKfo+X5cfMp6ISblKd5xzdDDJ+mZMy7xdfzgnff3er7Pd3z6MEPPnHHxSeP6Pr/wKdT3embEcziJm6CHf11H8Un8jM5DJ+j5fv1CZ8Jf6mGTxjVnnzInK0bnvOzoYZ09BSJ2InWgl0rnVx0ddcuLTiR5dnNkd7f0TS+jej+q98Is7G3UpSXC2627dJ83232jFH7XB4QHPfrv39+cs+f7h/3A5/fzoX/Uqn/9eT6WH/Hrn+dD/XC5fY64hUelppO26n35MwI6ks77Q0WeIDoNNHr3tvLmnT13d3Ie7eJ1l3uXJEbflVTDktug5OLuo4ckdSHp+gPYpeQa0MqiS444dJ+y5ldlY43QEvSnOg4NZ0+AZROLOkYFi5HRR0eJ+V8aBD9sd3H7YjhulzRumf7AVo1aCJzGL6NWkFEL2U0CRh9eFqlnE0kIGOfPcw5reDxz13iZRDS7tEeS9rTwKsOX8bB0ZTpGl786u1qs0163nLxlpqMPwscMRzGjM67jhuvWRswAipkX2WNRlaCQHd0YWA+N3rs6xLg/ldqTfqi9UxKzVaVfrMLKrPPuo80dTs8k7uiwuGYlyXs+rgSOq5SLnq8PaBRWT9Twwf2x0TOjomcXFSUaKlSkSKqQXhIvmy1qkYj0UmpRTaoTqJmOodBpUqMPGHoqiuPVQr5NCxAKFo5oAeL0gXgl0/deaFbgtodJDq8jUZ7Ehh41Rtz6W7nQo8YaaLiB3m5gVejg8ljzK6A+waRbDuXu8e1fVyknTxOHBhNYcLO+CFom1xYSB8QzCYiHyeelskw4l6wd5TBF9QtJ9NBo2odkGkKLf1naZW13GpVze0V3DNC92fRDZ2SUHslHhdzP03zkK3wsVT8q1y+jpR2Wp7GKZFNAx0IqcmnYaDHiklSEq+uA9ps4Go3bGRnaBufotWjsaelek5dm2nafl6xrl90nWua6m+jCEh+ZtS0KWMraUCtw5RmEVOnRmDMVqrFy8n8uRcJTwkU4uyg9FpwrGVW9Kao6A331iSIvEqX2JsRKJIpAvbRl1z+PfUre9e50gioKTnREKNTjXkPjdzn6Cwic+iN0peMU8EdWPrzojSj3FZgcnIRUQ20a6Db3zUsK1D4M1Wk78T73k4kDsjH+xC9oMnr0i0y/brzmeeI1ehVHk4F0XiNwhKkFR3GJkstfhIfj3mP9t+u2D48Vh0eaoXxjHzsXj55NUJVR3n79ppgprGCYmwL32M9Dj232AVvI5RuiBjZ/jnqn62qPTCbRszHDhTKQBWrcE6woyutrUMT3rVkRz27hRfKiH0P0xyt4hQiQo1WRV/Z0Eq2HrRc+BcKnL4jSr3nzBB9f8SIOdPKrtoKv2lnk5C0rIpLdUBZtWU0olzOL6NyVwzybariz3PEkc3PerOPTV0F78OIWXpA83sD/B8fyZ2g=###2808:XlxV32DM 3fff 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###2836:XlxV32DM 3fff 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###2588:XlxV32DM 3fff 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###1444:XlxV32DM 3fff 58ceNqtmQGS6iAMQK9ECIFyHv96/yN8pK1atbXyMjs767gJvKZJCMnVzJKKWgjpL11UUtTQPtd0TdXab/qzZFebNGq02iRr//8sa/NnKxoP9GTRk7vsrHdpsqVJape+thVEc/te23/MpnTp+jdt03qTv8msf9O/Rj59k/4k9bTvdXn63MlX4qc1PklaWezUWT48cVj1F0lbJF+fVvv3W/7Ud686PT3vMbtt2Df6H2XN4p3+nT31tzzrz5LH9HvPLxpU+/uOdz/Ze99Ha8Q3X4t3H6V728Eak5YdLR2wRl/NyqE915W9eORgtbrxzjme/3oMyxe7yl3Wls+XU+/j9T2ej/1VeyQaNvqfZO+xfIJ/Y69f/anOGRZEQ9U0EAvn9n23Wt3Jf3b34+P8t3jYocfbdx890DrrP3VzdvzqPYd2OOE775F2zv55P4Ofsn/XR/Z/JRi1fx4+y77a4YT9FzugyNVH3LVvdWeV/MWb9VDrt/Okr/aSv8/44rkn+XZ2NC3TpY7TIVuEAVt8imz5oSp8kh6uCusL4XNVqEsVrNB2s/Vf91WYjRVnY3XKxoqyscJsrEPZ+LX6+tX+Z+q/Y/vv1X+/2n9ZZ9D+h3Y4Yf/FDuftn6LFJC1eO4GmfrO61UGl5bHbuk23SVj/JjfJv+foOdB+yO7loaM1DBEYJpi9bZRg1WYEiggUEEQtbY0y6AdbbUZgiID7wXyCj76FVZsRCCIQB4KECJIDgSECj3yQUTRmB4KCCAqORkX5QB3ygaJ8wPxgXSMgguBAIIhAMMFoLGy1GUFBBMWBICKC6ECgiIDXBwnZIDnYICEbJJcaaUIVyuRAUBFBBQSqFdSJW21GYIjAMEEezIlbbUZQEEHBBIb8wBz8wJAfmEN9YCgfmEM+MJQPzCUfBBSNwYFAEAGpkbRH09jJ+KxLdlewuzpYf0LWnxwIKiKoOAql/ZBM8NCnFBVSVIduXkDdvOBAIIhAXN5Egm8iuVAYpDCYnUa9QbEvKPAExX6gpELi9RGpjpx66hn11LNDTz2jnrrH2ThcGWy0GYEiAnXIxhPKxpMDQUUE1eGmEtBNJTgQCCIQB4IJEUwOBBURVIfbWkK3teRAYIjAo6c+oY725EBQEQGvkQuqkYtDjVxQjVwc5owZzdiyw4wtoxlbdpgrZDRbyQ6zlYxmKx42MDRjM4cZm6EZm8d9MaPZSnaYrWQ0W8kus5WAJhvBgUAQAc+JCd1YksONRVClKg6VqqBKVfCtPYJubsTd3Ai6uWzK2/vB451kuHMZ3rlQi4/bG+48/qZ5nEfUmYgOnYmIOhPRxQYZEWQHgoII+BQXzbH55IbMbRxOmoROmuRAYIiAducFdOcFd+cFdOfFZUrD7l/icgMTeAcTl1uYwPpbXCpwgTW4wCr8YdHB+9h/ZMqQLQ==###1152:XlxV32DM 3fff 468eNqtmluO2zAMRbckvkxrPW2y/yXUiRHM1AHaic79GCAJTPOMRPEhMrpmWGzhY2Tnlpa3sOPzr7wf32fG8Xere/rxzOP3x7d8fC8/nv1d99rD4/WWeL7llscTdUgfz9TlvT+RX6OwyOdbMrYliqs8pWhI0YIdqdjRjrzkKcWEFBNQRExkF1d5StGQogUUdRw0QvGSpxQGKUxAEYtn5CpPKSakmJKTmvCkpoSiIEVJKAakGBIKgxQmiWYOo5lLKAJShMSDO/TgLqEISBESr5XQa6WEoiBFCazTYd7pkrzTYd7pkvzCYEw1SUw1GFNNElMD5uAhycED5uAsv3hZ1+paXOUpxYQUirVYzS+u8pTCIIUJrHMs+s6rPKVoSNGSkzrgSR0SCoMUCrswuBYmWQuDa2GSHHw117rKU4qCFCXJ+AbM+IaEwiCFxi4G3JEhoTBIofAXDuOIS+KIwzjikjjii3XqVZ5SBKQISSWQsBJICUVBCoXvdHgP7pJ7cIf34JozktBfpMRfJPQXrCfwVfk7vDlwCUVAipDsiMMdcQlFQIqQnFSHJ9UlFAEpQlIVJayKUkJRkALFkeMdyz2zb7JE+wTaJ9S+rcbPb7JEewPtjVe+wMpTqzNgdYatzoDVmWCCgdW8ioqX1bsh6NaTSMSjEIlAPBKz+RXF9AqbXdFMrrC+kqKrxHpKU7ALjnbBBQSBCELgiXbkiXYBwUQEig4S66UpOmmsj8bXgPUTFd1E1ksMSV6QyBJTQFCIgOeFCTKzxNoLaOc3ejuKB7sgHuwoHuwsHpCIyOMhiYY4Fp71/ER3ElNwIzHRfcQU3EYMUJUOrN2AdoPaC9TEhWviAjVx4ZsYBzcxjm9iHNzEKLoXE81GTMFkxERzEVPQ/W40E9GCiYhG8xCNfV+CM5D4DCQ4A4quVaFuUb1F3+enx7P/lHM06+Bvdv9TrRNpnYtaC2mtD7WeviFQ5ys+3tdTztGM7Ocr/JIrpLUWtRrSaktaE2nNRa2N+uv95qf+p/WsaxpVRf2xDZ9yG6rFt4+t6ZQr9L/W4nkttK+1uK8buvnbPvYSZ+fOQNfPFu23kf320p4+ppwaTtv2UqRLFF9zaV8T1E9rGv8+qX8AQHZ2oA==###1308:XlxV32DM 3fff 504eNq1mm1a3DAMhK9k2ZKTXKct3P8IDQvbxYF0rXfCnxYediyNPdaXd/Etqkcpvnh385dm+8+bv4THq9f9b+Z/4jXW1mJr0drhk7ef3j55grLmO67fPrv4i+9/j2Xa5ojOWo22CFbv6HmrrW07ztuGrI7orFXKdUTPW61t+aSHrNURnbXaINcRndfwJml4g1ZDshpoh6nVEZ21Sm/OiM5zNYmrQaubZJWpyaU47Gmu79FFiYfpaLhjKmT5GZuzGNheAGucHTs/vJ8DOm81JKvB8qiSRZFFgzl0ROcUtGEFsRhrYkYxnFNMjLQGYu0DaaJlVvfu/0pVwwOf5xxSbWa46uacj3hieRMtb3i3m7jbDVp28VY5rGBMrGEMVzHc8hEPMoSaI2DfbjgLH/F5ziZ1tA98nnOT5gaGO0x+q474/H0uYn4uOGMUMZIUHEnorTri89quYsaomHMVFVaxwqpYDVUcPdUK24RzDvGcA+fnEPNzYIWZqDDDnE3kbPicTTxnMnWgeaqhKWgT5oINTQXf/WwCR9Ibm7CrRrsXRT1Qs1p1xSocrbJimjVBQTTyr9KJrigauDAXdBhzN6n/3ND9rML0qqJ5cggRIZBmqxBnK8zci1QNL+gsXeBJ5zVL6wfcb3/df9+83VA75mPy9nwNdr+/ejDfH66C78dVeJe5wtenRcLRV6tF2LP3SLVKcW79cjfyp+aSau9rfPa5fLuG33w957LgiaFB5P7/BednAvPxBM40UCT03MlR2/6DaJtC/+/04snOxxcFzH7/pwq8n6Et6XOed715mOd9xzHez9CW9DnD+x5j6tPbapNxikXsZ+gy5f/PoC2xd1R3K9TdKululXS3Ut63n34NfWYq3pziU/uQXsUgF6IHh3pwSQ8u6cEv0MP9G4NUDyOe6mFuFYNc8vE5Hx9GHIuLND6c+Ux4O+TtEm+XePsFvAvkXSTeReKt1yEOebvE2yXefkn91RHvBXZIc2hL+pzhfZ/LVDhRYvXeHNqSPpM8975Wz8W3UzzNc3OrGOSS10NAPYSkh5D0EBfk94D3P6T7H9L9jwvuf0/XNSOOnXeHdc2ZzynetxUKeglmOW4Gaylv8/p+m0X2Czq05Bz8FH+NF1qf6Jf0z4/91Sc4/Hs7s/iC2OT7v8cKV3mi9YDfMUJVg3QH2gXabZpy/73RVvQqXHEExDn+oslyh5PlLk2WuzRZ1iL/PVsWmOGLlOGLlOHLBS8oHb6gdOkFpUsvKP2yzkaIUgNe62zkaHfChXQ2H3v7F1TpdEc=###1280:XlxV32DM 3fff 4e8eNq9WlGW2zAIvBIgFOPrtN3c/whVvM1L7caxmOH1Z583yWAGI0Aju/pXUxG/+bjqd7dxvY4rf1x3G5/+6vcerbW1r6232/h+8S8f3/fF1/GLvn2y4ZtQaJ1Cv3770+/j/9XbhhqY8Zt2YsPastmwg40r3ntc1vOzu+Y9b/+wn/O8gU9sDq1JnzO8tfmwcWuR5L3HZXnPoTXp8zTv7erHzpZn+J/iU3FIW1GQCxKX79zC47LHo3GZs6IgFzwunlsvp3guLo6snwku+bp52zzO180nDqubV2hN+ozwDpB3ULyD4h10v/CJXnu7qN+ezpnvCSUIFDZRBTFPMbFuA2/5KP2FSvOdwOoHrAO+Ouypl/mJdIKHHQG7wAuLdoBrCwr4n8rOLb8NXMVwhk6hNekzwttB3k7xdoq307wx1gxnhjHPV9N72T0O46zgTvjM52zXCaCOB1zHA67j/OSq2T3OGyQ2xSm2r/noOcY/YP5B8g+Sf5Tt6DS7h/lggdvVKbavmWKEKyTjL6mRvCxwKsm1HYUZIeung5qhwjrvLF7TnkNz8J+eg+fH0QI8E0/aUZgRMj84uGNVTCVK4DXtOcI/r6kfkSj/Rp2EKKmsv1aZwfXByPpgZH2wAv4OzxdOzhdOzhdeoJQpoHMdkdjpyjVe055j9U/g+idk/ROy/klJ/TO4/hlZ/4ysf1aQ/3ml5IhE8x9VS849R56/wv1Pyf6nZP/Tkv7X4Pm4kfNtxck44v8RieYvyv/cc+T5CayPCKmPCKmPSOGJ76MaBKkPWMmp77UdhRlh+RFwfgSZH0HmR5TUB4Prg5H1wcj6YIX7f3x9HC2w+392fZwzYvQzPD5HC6x+xsbnnFG+fgS1xw1qhxvb92w9DlI3jhLVOEo04yh4JwaZ+I9ItCMYOTFYiSJs4NtQCp+Kz+I17TnTEZJnpx8ssB0BOk+dYgSdKIOn6Eq+PaDk+wPU+ngzfzr5dil/YjBrR2FGmGIisGIipGIipGIiJYqxwIqxkIqxkIqxlNQHgeuDkPVByPogJYqRwYoRd2LSyBOTCsV07q0lLbAx5wdWS/YeyFsP9NKDVsCiF9tAuXQqmtceOOmBJp/FXE964iruWpFFaOy8gAX67A2MvBXcFWVccXIcZTbQfhBE9j1tLAUs1mIbKJeViuZKrMM5DzT5LGbniqXsrhVZhMYuCljQ7zb+ByuassKsKp+yoQU25vzAVubeAyxDnZqznjaWYhsol4WK5tGD30Sge4Y=###1484:XlxV32DM 3fff 5b4eNqtWmF65SAIvJIKRnOd7bb3P8KmpukmeeEJAz/6fa9tBgGHAX354C9uvDJtP5/1iwsxUUrjN/7+vRbO/Ld+1U6FWl23/7ft/40/eft/3bDbE3X8Zdme/KQ8Qd+fHZ++n1XhIlZN2+ePl7jzNO65jXnuloAoJHSaoBnMPDt87j8R/3/2NWs1xAapbGC5u3qA8ae/MB+JogXbQGNprmw2dyV1lwp1UIW6S4V6gAp1l4J0l4L0iRL40FmFxphPmwV6UZHZnp9R1pg12GzyVh3v+PTn19Ji030BbYrfaCNDUaD5ICqOfBxoTz5mNjIUBZqPbKsLAe3JR0bqZBqFSR9o3WwU6laFuODMGqFCZ6PPEA+GrYTy4ISGeaCwkaEo7DywT8pXHMYDdM6WfMZ54FCEC97HBbcqCLEgfEggH5KLD8nFB38dABPTBYfFDU9Ngs9IHWRiVx1c8Wgd6KxkMBYLH3Ybdj5ccVY+6NDZ6DOui47J8YL36aJ7ehRisZ6nsi0bNxRynspI5KK3OA+6c1LqIbNSD5mWuq9PYN3R0xs9ndHdF/e7iNXM/CsOuz9ZQfZLPtv1n0EPdOikQmN3hoeN5PIguaL31hlwOvWcTT0nU9+59MdChe7rquO+rjru66rvnDEyxtD+smN/2bG/HPBNRAdv4bvrHrq77qG7W0ebuX9ccZh+NZd6N/e8yBC/2cFvdvDbfJ8yGLLfONB4XuWzAZUvKKY8bsWTYSUd5nkdW0RpZDAek28YUryPkADMfZ1iO72pMdd1MtWhMJZ1dJj7OvtEuJrWaYOlWf8N4shCHb1iNWGWoTfdjDF8P/mLWY27utgm8d/6JlPV6TBPOtJMGBrVzaYc0M9bIT0c86Q8vruj/X2bV+VeBEXf63Vmb9YVqsGGdJLhiQ0O8KPdevCTH1lhQ5/fMmJ/Z2/+hgRN9/xRobZ0lPBoqsIT4Zw6/EmKXZbmjqfMWFiTBNsc6tM8Rzxysc2XXIU8v/eoCh6hNb5HgvCnCvuN8mf35FShCs5UKqGcqYp7l6qyEceZ3d5Eud5aKIJHKGfK89yq4EwRcoByptxPVgrOFHFfMc6Uy10XxhmrT/O8JJgz+8m/henMYa84evjZhvRG7dPf28QeTX0ikw1rDz/bQGeTqx+WmjyQUTouRPO2Jmc7a63JJ7ZZaxLzqQSzF/MuC96tk++NU3CHWMEOQcKKeIdYgQ7RgztED+gQPbhDdOdUQcGTKMGTKAVPosOT2r7X5TF9yZx5efOEYpRMsAtxyO2jkC/Brm9SXYJ1qMKTagvWoQroEAfrEAfoEAfrEDsnVQqeVAmepCh4kiL9JHWqxQMbrUNnu14dwnyc69DZro9TKZRTyDx0eJJDOWWbhw5MD53OffMQ5tM8L93JmbjeddirIGfietfZEytnOJgzHMAZDuYMuzlTgjmTYc5QMGcywJkUzJnbzdw/npeSjg==###1340:XlxV32DM 3fff 524eNq1Wwtu6zAMu5IdSf5c5+2t9z/CEmdBPaCpbYrFsGFrKo51GFk/65c+NGtV2b+/7aGbmGgI7S89/rZNo/63hxXZJFvdf4b9+is7efn6fmUCL+2vtve/xHjPSOW1VZL48nVp73+Hd9hl/db9uu22+zv2z2BiW7vyzlKWmIzW5mTSrU3jEAY2a/dHhqsb2mo0FrfYSuU0XhevZrIUqmayZFAzWSpVMyeTNc1kSVTNnHg+zaxyGq9LgjUjUhuCkjRz4cmyZi5LI2mmZzKrmctmI2mmx0M1g3Ear8vm1Ey6UTGqmdQYI5pJzS/wNHMymdJM++3fH1tlaOcGF9KQm+PNet3gejRlZD9ksB8ysh8ywA8Z2Q8ZwQ8Z2Q+Zww+du5+R4x2D4x0jxzsGxDtGjneMEO8YOd4xQrwTyHtXheOdSN676rKfSTdPEOpnTjyfn1nlNF6Xgmum2bMy8RNtPQ8/7VhZ+JPFtFaaBSsDf6LBOgH4jNYjuP1Khv1AIWdgiZxH+X1uJTNa3x3j/sWNFg5ENF44bLkRw8VmfVWOu2v6JVHtdiV0CsUXK8xxmVmHG/0vKTeKtniDFS2ceApECxcTVrTQM1nNdC/bQs50e1xvpotxHGe6Pa4nazkUSsl1O25P5D7PizcrYEto7z/rmam8/7Qy5CSLKOEGJUyhDO7ehO8Wh983ut8X4En+e4+Zz/JrLaJPM8pzo6rcyzLesKxvYlLe3iPgziPUfUfgXUc+sucgqPYR1Ikqq3PnEW6FFa2vcqur67VVbmXVX1flVlWdNdUzvuFVOy68CEa8vIpHz2RWK5cNq+rR46F6wTiN1yW4+4EbuaYa4X6gkGuqh50d/1fbszVVUw3kmmog1FQDuaYanH6G17u58DKcWVeqn1nr3Vw2iepnfL0bjNN4XZK7R8zt3SjcuzFy7waMi39ty0fmDjjVGIzj7NyBKyb+vZOFPHeQYU1V8txBBuYOEnnuIBHmDhJ57iC55w6UPHcg8NyBkecOBJg72MhzBxsjJ4fxxmvknVsRcidK4D6UkLtQAvSghDy3IoRelJDnVsThc87oKZM7UQnuRGVyJ2ptbuWyKeS8vBDy8kLOy4u7lpPImjG4lpPJmjG4e8mf0+1xOd1L/pxuj+vZu3iauvAM3Lt4muqZYH00vqZ6XE4Pja+pHtcXTwfyWbcKx9ORfNatwpri5/U9LkdT/Ly+x/X5qY3spyLsp4Tsp9bPTyby+clEOD+ZyOcnE+H8ZCBrpsLnJyNZMxXQTCFrphA0U8ia8cbYCvc3ldxpVXJfUN09HiV3cOdOjv8AbBu70w==###1296:XlxV32DM 3fff 4f8eNqtmlF24yAMRbcESLKd7Uyn2f8S6thJGHrCGKT70XPauLyo5FYSD2X9a3fbRORmN8mSUtIvveuqN5X969vuWmSR/PF1kbK//vhJHz9b0dzo3fanq37r/tT2lftzS8nEyqHXX5c779eLo4hdxrHsrx2//Ywg/XfF9vF9TKSzD3qptp4RdHbYBhTG47naj63djw8K3Wj21UUKRcmhlucpOdYJxcg7imFGjhX70z3eL8lqnU9VLvYxRbh4K3B7kEJcLCAV5mJiBXfDJnlQKmM8tSJcKJUtnlqBXAEy4csTZJYYzhHHd3/e6xLBxgdNFyOh2Dr780HTycxRn8AK89TLzk4ErDL/RDLXixSGn196sX6kMNz80osxs8DMmJuZFWbGHMwozIwCzCjMjAaZYYnx8sLSMs8KS0qcE5aSKCO5E4+Xknz8Hb5TsaGknJHMsZI7ldlLy6kX42U2put9KaGeF3RRfB4K66DM+iekexL1TkjnJOSb7D3B8aksWM2pivN1p66lak8bzSgrdRVVg1pFLzfeuEb2R8MMrVh+qVHd3AytWKZpo5lnaMMZ2hCGNpwhIg8VnKEcyEOCM+Txaupq2rFplaO+jTfOa/emVfYxtnfMZ9+M1bqqOF/r6lqq1rXRzDJWVyvMWKscZcwb5zVjrXIsj1knu/rzmB2c+PKYHZWLzGNnNLO10Dr/ef5aeCpGa+FsXCP7s8TP97AP5MtTde0Kn/J9eaqupvNUqxy+w3DGOXCT0SjHGDN4suIRlX+6wuD5ilc0s16SwnMWL8Won6TwvMVLMcaQYLWuKq5uhgSrdU00tj7eW01GGRKs1rWKUYYEq3WtYrRfUrxfkkC/ZHi/JK5+qeD9UkH6pYL3SwVgiPafNOA/Ge4/qct/Utx/UsR/Utx/itSyevYruDeQA96A4N7AzJxHXUVNerSKXoa8cY3sTwLObQXvqXPg3CZ4T51dPXXCe+qE9NQJ76kT0FPjt/uB+33hb/hdd/yC3/ILcs8v+E2/BPqhVy4ruM9dAj53wX3uufmzukrhWhabQfPGNbI/cX8o42f7HDjbZ/xsn6d87LqKPttn5Gyf8bN9Bs72gt+FSOAuRPC7kDMaG/SH6ir6LmRW0XDFkb1awnWN46kqru66xvHURjNb1zieWsVoXeMYahWj5/yb+xPnfKYazYaf8+NeCOdgtbs+62AlfDYxBWYTEz6bmFyziQmfTUzIbGLCZxMTMI8huDdcAt6w4N7wEc3YHdWHqarCeMRd5WmmfgCF+6/F###1376:XlxV32DM 3fff 548eNq9W21yrDAMu1Ic20Cu8z56/yM8CrsDmZK1Y2vej06nW6IqiRCyQ+WPfknVKr/0SzeuvGpj2r9vpchv+ZJVmvD+9ff7Olbmx8/33+yff/8kLzyST8jL/vtj5COaPGHdMGgn8TRuYXr8nLkaiIXbfsUqf2W/QvfR+zX7jJS1Hpif2dAUm8Fa/WBzW6ODRzFHze0Zm+t8Iq4nk8GaqxMlraUfiDENEcuBcc4eoaELkac1dI1VkIZ6Nl4NXaMqSEM9YlRDUV6e9alhDTG3FwbKh96INeBDFxuUD/VsXBq6Of01GuJHQ+SQpgA87Wddj5z1qQL2qYjGLjYE9qmYxq7RaI31yFmNRXnaGuuRM3lqG3hrNE1tnePTYN46gfG8+mKu/hurAPjwYBwNuFEIb3wfLQYemevFRoJ8Y8xn4PnZqIPJ06qy0x/uO490hydFRb0hxtFbaZ24uTrrVB2yzjrZx+qs/Tu4zjqu0PX7b4uyt86qg8ohXmediNk6a5aXZ30EoKEK1xAlNMRwDVGgVq8YZ/qBmNdQgWuopOssgtXqFyKH6yyC1eo9m2idRZiafYiMqrMIU8MPkXMawz3rLkQNawz3rOvZeH3qGoV61vWIUZ+K8vKsT/5ZJ/C8JIm8JPC8JH4NPXT+BaOlITImj8/z9J99SEJjV71f4f0gCveDcHmqZzPbt8blqR4x27fG5akeMetTFe5TlPAphvtUJJMLPJMLJJMLPJMLIJPjfOhCpEReYnheolBeKvC8VCB5qcDzUt6HcGewFyKHfQh3Btuxme4v4c5ge8SsD+HOYHvETB5qAwXRcK2qAy96VvDGYHNWepzaWBj+eZGRkNrrvgicp0xhqAsDl9OaI/kvrj33c6Iw3kBPUDQBz9a+A9W8YzYHwuC051ETLYQ3uncalJ2C2Z2dIBw/cvH7vJ+E3c80H9uRde4egqKpawezvmpjzK306Ax5C64NDzSRXxvb39lUEJs8bAwKPvtG90WFotm+ZM+wQjA26LzIxQnnRjzp3kt6xRB5UwCJdU2nQ9/qZh2IwQ7E4HSooDeJonliAexBySsyOK9o57QF3odu4LehG+Bd6AZ+E7r99xq8QdHG+fjdZdhAHYI7Xu5tQjo4RzsEdwxEh+COF+0Q+DHUhYHsfm2JBPlZQ/PPgDuevvqCZaor4EcQ8Kzsfm4LdwLuCIhOwGe8WWeKsVMwO9vpGig5+/eTsPuZ5mM7b4N0AmJo6trBrH+2RA73Y3DwXp7P4X5Oto+viU6AH4OCz7jZTkAMzfalNdEJmMHYoPMiFyecG62gusu/YohcKYBkuqZToG91sw60gh1oBafABv2fovk8sZR/fCW2sQ==###1380:XlxV32DM 3fff 54ceNq1WlF24yAMvJJBEpavs7vp/Y9Qgp2afTUWiPFfGj8mQoxH0pSFN34JyxdHiRz4n3yJUqRVNgq0LguvnPL3L1ry57/8lf/emMqqvIaofG9hFIT380sMpmBgbJfr5P3jF9/nJ2MxCUm83kf59Oe/tWkoFiIewL3PtQxhTefrGlfWnKvAUs7s4iyNzOvlqtCIbIOixXLubTxu5C00zzZ24H3ONDTORAwMMd8fKXmwMPr3FYx3ese75yobTOjBkC6M6XexcV7XMaWuM++PKbjxGnyCojF4t9E8gdV8Y7QD4WonLU5sLjyfYo1GJ+DobAUciy90xXd/ngF7ntPx2Iq8jr1DUDTpOsFZXbUxxjLd6h3VmRtqcGI+N7a+i8kgMuOwMYKz9rXeiwhFs3XJ3mGEYCh0X6ErJpwayaB6p+mMIfpNBnSs63R32JfdWQUSsAIJuDscrEXOaothpM9B6GKkc19eB+FXTG0HoVrzfm9eeXKOzenZnlC4/NZ6gyEADHv/PK0SCdpXJ2gfrGAnQAFOgAKcAAU7AQpwAhTgBCjYCVCAE6BgJ0ChToCCnQAFOwE67QQo2AlQqBOgYCdAwU6Agp0AnXYCFOoEKMAJUKgToGAnQAFOgAKcAAU4AQp2AhTgBCjACVCAE1Aw8kHufaVn+u9BsPVnfuJXwMTfs5fQFcd9p0XQPsuaoxQw2ev0ZK+AyV4Bk70CJnsFT/YKnuwVPNkreLJXwGSvgMlewZO9OiZ7Le8NcvpgQOVmcF4Y2uskaC+Nmv4po1HjVkFq1vh4i7Z3Gi/OTyWvzM/lYFW4XbcNRdE+vzOKXlbvKxKI0yeal9GeeKx8JHctfK9PjWh8HEmHlo5yJDW0z8eR1K981c2gfSWD70SdqLM3ojzx2fehTlQ/h/YsyHGbKrq0hZzaIlBtISdviOIDvNlREbwZja+PNzuqnzcBWp+Csz4FaH3y3cikR+5jEuw2Jj1yF/NEdXKItsLCBcWigrf3aIM8OiIJKCadkfzcUjV7nWONorqdCs/d77hisvOik5yJUMYEL1+gbAke3SkrF7Tu/KBO644jvg7d+UGd4RDjOucDT5w8Ylz3fEYyqDsMcw5qvDndYZhzUOPNcAbY8Rx4q5MzwK6nisSnPw90PhUuRoMe6H4q3DkdimAdCm4dIrAOBYcOLWAdWgA6tIB1aJnumbE6RG4dIrAOlUgGOQN0CCu8Oc4AXcIKz8eZQAytXR+8cc58VqI4U0ciPZyp9PuzFl27atzZ2uWL0a5dNe4MpwjMKXJzisCcGvs/xWcNSodqPK8O+WKy8zLv9zC4dpG7dgm4dlFv7frVRz7gQFe4mB76ARf6xP0G+HrAnw==###1888:XlxV32DM 3fff 748eNq9WwGSpCAM/JKQBPU759z8/wmHoDMoICFkrra2albtJglNCHEWHRr8S2+004QbvnHGFcH/hmuA/ur+Gfe/yPpnX/SmBYBWMOFujnFgitcB7CMb+Lsz/kV/lzzS36dpIiAb+Oo46rLChuefrUhiEsafHhHlyFFgKkUBm2xztCCMW2JGRXta8bDXePRoBFbPsFTsEajk4DP9OjmQoKWUxBKWVsKnPxfspKGaCq9IP8M2VuJV4ZXnHdRTVGAzoryDemr6WNGTd1BHQRe2kbyDOmq5sMk0YmH2DKva7nTy9e9PJ1Jrh0ot4WrlxGjtUimfVC8ym9pxke9Vvpb5ZJa+Ob4iYzxq87o2OLRWdMonnaPUr0IcKzZR08eH3N3MeVDxQpb543z0Z36ojCbL/NGKnsxvYVHM/JFtJPP32tOKxzJUHVDFGplGCGaRRuI60NJItKJHIwROUSORbUQjvfa04uGGNGIr1kjzCIk0YsOs6uUR6s4jqJpHcDiPoGoeweEKclKtIBfBfnNaYlQryGAJzfu4SMCrIBe1XSflG6sgF7WdJ+UbqSBntVPHyQfCinRWO3WklnDzy4mxqhXtPHTqkNnUjosd7GY41W4GCbsZs2o3g7q7GajazcDhbgaqdjO69qKQj9bYjT66U0+eQDp2Jzae41pYU4mFFWNdqgaPRTAe6xgn1ru3fOTd1xKS5ykfWfNTMjNT0q3s0wMXyRmTqwUu8h4hm+SjXj+5yNzPHMn1k4vM/bShl2AFfnKRuZ85kusnFxn8JPR/v/3u896v+x8ie7wBoJAjYm39JuOfeH9Z/DOeyaNp/1TjwXcY237uvYkC5hxj35cb/DW8554u967227B+Dcf2Msdh+3be85zTp0f8bO+W8O17zGnrnSvuUSIusgkXhjm3Yc7bM2ULjOZy/fseCBM1PdpZZC3bGXsCYjuzKLK8LsbxSZuOmMqvqvOJPapTk/+u/klD/SOa4Krtw+jCqVtPFyacEXVWqtkzoSrb/D/ZGmtA4K1wVbEyflv3xew3K+uR2jNey3rpirrUWcarfN47OriFjk65GjBHHTHCcZ5THjny8+fnZHQi0xriy2JCdbAIaiI+8l4TlZC8msgcvblVYC0XmVs7HyuJmlh3q+C+XZzvs2ENHOuyzUDJW7OekV0YeRFUui7MDYpG5LyjluJMVlnnyFrnxBROzSA4+fKR5VM+CE6+/DGdEGeKts7CEWfBiBCqKBTkEgirExlKd0KcYYzI013sRADjzZ4T4qKt4O8QLbhl6ORODU2L/9l3wGWAyX14zIXnlUUwuVOLYOCBIZ7Ts7tfvTzuYCl79dXwM0vU8KtiTbqKRrzi8zx5lWaRcWvgv1kTs+irohw+D2euODxxJ3llfm3ZXva8ss4Vka+JXqbWaucz1Vb7llUU4zwzLE2eGOutqqFvTSVR4pbVdiO58FpdyldGWt+OKJrPU1P0K6vxR1Yqn6flF5cn9+sVzlG4f4L99Iu+hp9uZ7D9yfiEuZ21vriJIIz9p591j45H2sB+sflzQryOQ/hXeZSo0Oso+x3w1wih1M876p94/qzwAbszwWR1gdWKWLfktBzP03s3nPw1hy6+U+3kNTAd9WPZ3vjm7iEKIk77HIMHzoEIFFiXS+9Cw/cWY7/ny/HeecDv5J1JqTtsjm8TDWqWN0rylJaGz28Y68X8/K7mSMzzPt8aMtmAnTXOpDuosSpa61eaGdu8styokBsqzEvoXermh1k9P8zqeXGPqP2RAuyPFGB/ooD10iHXikOLVRaF87+K9GOw/iQG609isP4kBu4xd0tj0GKVxcAd34HV14F2pbiq14nrD6rE9fKmTcfvSd3vScnvf+Lv2Ug=###1412:XlxV32DM 3fff 56ceNq9WgF2wyAIvZIKJnqedr3/EUZMthmbTKjI29trTeL/CvyA2hxfMYGLGTKAc5jxhTm+8EGfK7WA/r8wgIdIdxE83avuUm96IiLG7Rs98fhBYKIuBTV8hPp7lXp90cMv6pEx0rUFCTdClOImWCfYoIf6mQ121GEbxFAQQsHdRpEhQq6v44sea9r09Dajr7heIgRYmx6+bdcI+IewW2Wl8dL40OMjYsEFQMJ19bOEEtr2CfVRjWuzmKe/XF/fx3VudxFWdQTXtsWzkCIkSf8L/y5vEfKvfy8QYPPdRxGSKLrcRSz4tv1Pfy+Opbr/MhyJQRJDlwpd1O0v17jMg60NYvUOl0VxoJdXnQuiCKcfCdxIkr2roG2f7IvVqOhWUWkuFsUzxrl9GhmUkSQaxz63Xz/Xd875h9qB1FwsRt8xpgGcuMc1fYchHNwjY/s+hAN7ZGy+OeE8DxwgHIT1fOfWPs83+0hxdvs83+wjxdnt83yzjxRnt8/zzT6P6i2LRQ1j8cPF6cUPF6cXP1ycu/h5HG9BXzLh6Hh+cNygnfk4/9uZj6M1r55Oc3kbwsnSnymVj9TTKh+pp1Y+UqvXQJnQU/uo5+HIHiW6sbr/vuagPFVQ8cj69NS+EqE+K41gQ6JPuuaGeMiObCY/xBQFcwpDTCBgAgnTtlbbapUD299iB3oS0at5Bu6ZaBYr9aG5iGfi2TMZ9Uf4ZQqMmdzbzZe6bT3Fxxzt8Jh01MPj0tEPj0tHQZdcahqSe2hMRfez0dAR1y9aSgqwlh2qNF1JPCYdJfG4dJTE49JR0iWXmpLkHhpT0v1sNJTE9YuWkqDstNhUc8Gsmgtm1Vwwq+bCxGoumFZzYWI1F8yqOfjZJzVQTo9HTzk9Jj3l9Jj0lNMwqSpH4plx5VzNREs5fX/o5pxklHOSWc5JZjknmeWcNDHnJNOckybmnGS6g4CnmG+9UjOkP/xyysRblTb4F5GceWzsdWmf8TqiW0bevkiPDURso9YMYmt+Eh9eEB+91Uk22MHiMWmuu7PJDhaPS3PdnSftYMk9pLHuzpN2sLh+0ayBem8GvVMUZ3aK4sxOUZzZKYqbeIriTE9R3MRTFGelnHKimSy0w2JSUg+LS0k/LC4lBV1x6WlI7KFBFd3ORkVHTL9o5iBvUMtxePRykDep4zhMejnIT6rhpJ4Zz0F+Uv3G84duDgIL7bCYNHMQ2OiHxaWZg2CWhsQe0shBMEtHTL/o7miBwTkKj0nzNzFgcpbC49L8TQxMOk+Re0jjNzEw6UyF6xddJXkzJXlDJXlDJXlDJfmpSvLGSvJTleTtziePHLiYVXeLYXW3GFZ3i2F1t0yt7hbj6m6ZWt3ZKgnN9urQcK8ODffq0HCvTnW/+xvT31nc###1076:XlxV32DM 3fff 41ceNq9mGty2zAMhK9EEktKOk9b3/8IpduOR3FtgwhW+yOZjEPpMwkuHtuBbq3f+m5mRz8MVkpB6w0VP/sNP3DDhgM2f36hWbM+P51/d9hc+nfd/LzamE9t6P3+toY+n7L5u53eNt/RHV63Pt/1INT3hLkS0bf/txu7r/7Hagu7qQ5vPveRhwetvKVt91P78r2/QzrHyWNld9UD+2pJlgVYFmP9uXtl+e5VYoQYSnq1G56S/LhwlTQXiZTkkZhK8lhMJXksppKeWGQlRSLEqUm4tCZBqqQi0lGRqajINFRkCioX6kfb0V3Zz2m7uWa7SDseiakej8XUj8diKuiJRdZQJEIMFb3aDU9HflxoSlJNRbqZSDcR6eahK6ch7Sx05SSknYMmzb7cjuuU43F4yvFIPOV4JJ5ynkhU5UQik1fOq52wlOPHg6mcofAPFjg85QyNd7BA4ilnXOUbBCOTV864yjNYige35gxRzRmymjNkNWfIas64sOYMac0ZF9YcVbfWbJu83c05m88D5opq9kE/a7QjwMrujJEVVs+QkRfWWJzMsMbi5IaXLFp2iEcolx8W795Kvrjf9F4I989WTvJBy5/l8M/yQWOepE+zJK2esu/HkyREDafM67Fq+obsy6yWvh+2zLJ0Nlw/Q9DqiUfq6V31ZdYgqtljbdlbuEza0zo+lllH+gTXtVUJvcYRoOW7jQgtnTkCrHymR4CGdP4N5Pp0/hinkzSXNoh1zKdtxErm03ZiLfNpB7G392j5/qMH4taI05jPasT66dOMVtV8VjaP1ACrE2uoTxtEH8KnbcS65tN2muvhs450flyn5f2cPcDKz51YcI/q5GH+jzGftRAv3WuFaI046a7wjNiTrPBA9K6qy6uEahrjpetpkJe/LyXEy0/2e4gHYs/QHJ8pvzu2yw+pyw+hyw+Zyw+hyw+hyw+hy49LXX6IXX5IXX5IXX5IXX5IXX4IXX4IXX4IXX4IXX4IXX7IXH4IXX4IXX7IXH4IXX5IXX5IXX5IXX4IXX5IXX5IXX5IXX5IXf73tN8F4S4y###1212:XlxV32DM 3fff 4a4eNq9mm1u4zAMRK9kiZRtnWe3vf8RVi6wSAK04Uxm0h9FAcfJAyV+yA+e+ZE9Is7xmTM/RkZs2+jZ8u+63mLPPo4co6/Pc91xXTu3bV39umdEzpE5Yn0+zuhxjBkZeXfHZ/7JzzzWd+MirF9YPII2Rdr6Ekzr6waVdhC0JtKYyLoc2SBoIdKCYKXIagRrqKyYBG2XK43JxkPOECY2tYswLLWHBEELuYecBKvJGXLrIf1HWlu8XJ/p/TGvuwmeGt+gaF2ubi46tUvuJC/lOrhlSyt5zTBNOZ48T0meni8bxQt5PU+Kl8YzQ3/Wy8ZmiC6QalhXx/rW2uissqU9zN/n0/VpdFdsZS1UtEmw1MhuVbD9uI7rPzAP6jUcMEuNahBxdZEVBCs41iqqjvSN9Rfrt5pxhwKoKnXl8u483oqeoedfQB34P01fyx07233RnCtZ00Kk3Z99WnFu3eTIDpjV5Aw5YVaX8yNgVsjdEF/DtM2TijTkqAbM2o3VXLEONQth0inX8YRZU15BvLaa4awxCZp+2mBocucgWHqnT4KWcv8ler3cP+6fqGs3vRvnWE07jJNMt/zMLNMtP3O21y0/Mzt1y49PT93yM/NTt/z4VNMtf9m1jJafmaG65Wc8hG75mbmmW37ceuiWv+6PTstf0ZyWv84Qr+Wv55rX8pc5abX8zJOuw/IzZxKH5WfclcPyM7PbYfnr7PRa/jpfvJa/Xk+v5WfODLrlr7PTZfmv55gZ82F/32f5MZrH8mMsh+VH19Bh+TGWx/JjLI/l/5Zls/z8DmmWH8w9k+VH98lj+dG19Fh+fiUVy4/RPJYfjcxh+dEMcVh+ND8clh/thg7Lz86T1y0/GpXD8vPV/LrlB7PQYPnROnZYfnQFPZYfpXksP5qJHssPxmax/GiGeCw/2n89lh+juSw/P8cUy89PMsXy87NMsfz82V6x/PzsVCw/Oz0Vy8/PT8Xys1NNsfxg17JYfn6GKpaf9xCK5efnmmL5WeuhWH60P3osP0bzWH40Q1yWH51rLssP5qTJ8vNPuprl588kmuXn3ZVm+fnZrVl+NDtdlh/NF5flR9fTZfn5M4Ni+dHsdL/LH79gw1GW9633+BUjjtK8b77Hm6z4Kzvlefv9u4gaHJFjf1yVdZ2kLuJjRbynslCWp7JQmqeyUJqnsh5o/wA48y3F###1008:XlxV32DM 3fff 3d8eNq9Wmt6wyAMuxKxBXXPs633P8LIHl33yHDA1p/9WEj0GaMKCSBVsOG53qpW0VJvuOKlQrdS3p9AdNMGqRfUKn2c9JFAf47PdxXX/p+q+1NT1Wu99nf61x7G3PCEGy7967oj9K+K1juaHqOh9re0/5WziG8Vbe6KZLki3cd/oImjouM5FL28IWKAiDteOcS77HP37TtzWI/9GqGtV1ZP1CbLaHoCTc+ihTFrplNrzPqvoghm+fsTxayO5eJyBLO8WDHM8qLFMMuLFsOsA7QwZs10ao1Z/1UUwSx/f+I1C0TNAlWzQNUsUDULyZoFumYhWbNAYtbX/jOfWV6sGGZ50WKY5UWLYdYBWoLPAt1nIdlnsZm1fVs1ucwaYcUya4QWy6wRWiyzfqCFM+tMp2KY9VdFkcwa9yd6NyjEBEOoCYZQEwyhJhiSnGAIPcGQ5ASD77OE6LOE6rOE6rOE6rMk2WcJ3WdJss/i7QbfEY2mWEbUKyOqlRG1ylKVysg6ZakqNe6LY+aA/uUSsOJE23ju7mirteFhHsdouswmB3M/0LblmcQDn2SIJoG1jdF0eU3Gn/wY8eTHqCc/Rj35MerJjyWf/Bj95MeST36Mnk8bMZ82aj5t1HzaqPm0JefTRs+nLTmfNrJmKSGf9mLFapZS8mkvWqxmaVI+PdOpGM3SpHza35/oFK0QNMuLFZuiFYpmedFiU7SSpFkznYpJ0UqSZvn7E59PGzGfNmo+bdR82qj5tCXn00bPpy05nza6ZoGoWaBqFqiaBapmIVmzQNcsJGsWyD4L7l8/+0LYR7j37Jj8/fuFd2LXjikl/ono9Y+Y1OK/8dZn9GiVHM/o3DrZTqwTjxNqRMffqI6/UR1/ozr+luz4G93xt2TH38i7JwazvFixuycOs7xosbunLGbNdCpm95TFLH9/4m9RN+It6ka9Rd2ot6gb9RZ1S75F3ei3qFvyLepGv7OQ4/hfAesFHe4=###920:XlxV32DM 3fff 380eNq9m21y2zAMRK9EgUuYPk9b3/8IZdI2k6RRBEvA++GPkSXtQNAbCgv4PqTRbTzG7FvXuK9362pNNkybfo6Hfuihm+7q6/VLtn4fa+v6PtRba3/2W9u37uuom8Z4Od/6fNn27kzr+BNq67Cwml1W60+o9WfVRh/W29v5t93z29pTun7t3meq76utaG7rmBXTqYi2cEQZ+bE3NQtEtH8Ne7+/KvYPd83/ivH7oV3WyiErqpZDVlQth6wdtTSyzmTqGlnfRZRBVjw/2WTpAxG1ZB1p5ZJ1pJZL1pFaLlmf1NLJeiZTOWR9FVEmWcf5ySLr3ypJkBXVyn0aZMiKquU+DVaRdSZTOU+DVWTF85NFlvXbX8VZTlZUK4esqFoOWVG1HLJ21NLIOpOpa2R9F1EGWfH8ZJM1gDorqpVL1kDqrKhaLlmjqM46k6kcskZRnRXPT76D4aCD4aiD4aiD4aiD4cUOhuMOhhc7GI47GBN0MCbqYEzUwZiogzGLHYyJOxiz2MGYOFkCyRJKllCyhJKlYrKEk6VisoTXWQ7WWY7WWY7WWY7WWV5cZzleZ3lxneW46y7QdRfqugt13YW67ip23YW77ip23ek1y4F+VlQrd81ypJ8VVctds7yon3UmUzlrlhf1s+L5ySdrgmRNlKyJkjVRsmYxWRMnaxaTNXGyBJIllCyhZAklS8VkCSdLxWRhE7lLD/DbD1WS/MBDnSQn8FAnyQP8rJPn/j2VkYu+35dRpDh+gTxku+jc5Do5t05OrZMz67UT6/S8eu20OjtDQfWiuD4U14Pi+k+VvSe271TZc2L/P2UYOwbSYyA/BhJkpQwZTJGVcmQ4SQ0jqYEkNZCkBpLUSklqMEmtlKQGOggNcRAa5CAU0/MbT6UgvA==###1024:XlxV32DM 3fff 3e8eNrFm4Fu2zAMRH9JFo+J8j3r8v+fMBndujaxI6o6XlEULRJbB4Z+OvXA+t2bmd+slILqFRve/I5fuOOKG6x//0Y1M/RX+++O/cr36/rrm136XVe4V7/vP/drP63U73fAbX/3QMfNq5WPlbfTlWu/EtjiKz9VUM0/dOxcp1dw7ff0Oiar2MJV1IUqbL/yr04NVPH6E8NLrXjHy4LK576MdFaq8Yl66oIOjxSkkQIhKUgjBUJS6oBLFit1Yhdbo2WkxOOlyrylJrpLlfpLTXSYqvMYu3W9lk9OQIdETkCJRE5AiUTOsxKPnMnOLJJzUgmFnFA/WORs/e3ucOH9rP1ff79i2JfD9cOdeVIL9CamePw8P+qN9oP56sZqq5/l2bNx/ll+5+nYJp6O815Vu/b1L4KdO6bE2btjWpzdO6bF2b8PtWg7+HyH1vbw82oYu3i0L6x9/J9ekZFUhCQVIUlFSFJJJamISSqpJBUpSZB5EoSeBKEnQehJSPUkiD0JqZ4EuSdB5kkQehKEngShJyHVkyD2JKR6EqR/pStIiilxSIppcUiKaXFIOtSikTTfoTWSzqthkBTtC5ukJiOpCUlqQpKakKSWSlITk9RSSdKe7swuotPdSIl5uhtpMU93Iy3m6e5Bi3y6m+kQ43R3VA3vdDfuC5ck//KkZJI0UmKSNNJikjTSYpL0oEUmaaZDDJKOquGRNO4Ldw7ABZ4UU2LOArjEk2JazHkAT/Kk+Q4xZgI8yZOifeGSBNlMDYRTNRDO1UA4WYPU2RqIp2uQOl8D8YSNwpNiSszEQeNJMS1m4pDlSfMdYiQOWZ4U7QvXkxQzDjElpidpZhxiWkxPyppxmO8Qw5OyZhyifWGn4EWWghdhCl6EKXgRpuAlNQUv4hS8pKbgyv9uUyR3JsvtTJbamSyzs8TEzqR5nSWmdabN6lT5gi5d0GULumQhM1fQpgqZmcIPzNVt/es1q7dx/4F+xb6SL6u9ftr+AL2iKCM=###1032:XlxV32DM 3fff 3f0eNq9m+GSmzAMhF8JWytknqdt3v8R6jRt7tpCIo7Vzv25IeAdI39ayYzdu9884Oi2LAu6dzR8d8PmgNv912Hdwjdr869/uueGb7ghsGHejR9zhG4+r87/HffRHvfN683W+dRU+aTWLqvNx9Jq/bKanVCzs2puPt//c/x2OH6fdwKNGik7VpuzifnMnNOXZtTSM7oeH2TUgDn2QlnrlonXU68R9CKn9+uaE+iK9+v99/wa4X16Jnc89Rjvsz/1eoKAY8U2f97m+huv12c6eywXlThZOKfFycE5LU4G3tWi5d/zEbqWfY9nw8i92biwSPpgdy1nKavFrmlWaU2zSmuatbimWeU1zVpc06wissy2qRjpCI2P8e93vI3R7vjpCP2nlohRTnF/ff+r9y5XnJ/de7Wr7/JobRy/y6+sjnZidbyI1Rx9/ev91uT0jA4nn2eUOLk8o8TJ4ztKtBx+NjLX8vfRTBi5OxcPbt5eBb1FTolET0qLxE9Ki0TQnhaPodMRukjR4WwoHCXjwu0tXNZZuLCvcGFX4cKewks7Chf3E17aTbi4l/B3+7E0T3LJV4ecFtOTXPLFYVeL7Eku+9pwPBueJ7ls5/iPB0JW3UFY3UFY3UFY3aG0uoO4ukNpdQfx/pbKk0LoSSH0pBB6UpR6Uog9KUo9KWSe9KgmQ9YnhbBPCmGfFMI+KUr7pBD3SVHaJ4WsT3roQbDvnVNikgTJ3ndOi0kSiva/z0eIQRKK9sCzceGS1GUkdSFJXUhSF5LUS0nqYpJ6KUldThJkJEFIEoQkQUgSSkmCmCSUkgQxSUNG0hCSNIQkDSFJo5SkISZplJKk/TJrgr27nBKTJBOeYTHhCRYrPb9i4tMrVnp2xcQnERTVXU6JeRJBU93ltJgnEaqqu/MRYpxEqKrusnHhkqTwpJwSkySNJ+W0mCRVedL5CDFIqvKkbFzYnjRknjSEnjSEnjSEnjRKPWmIPWmUetIQf5l9Te6WO0V7P0Nr/hNRtiUM###2376:XlxV32DM 3fff 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###2664:XlxV32DM 3fff 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###2608:XlxV32DM 3fff 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###2992:XlxV32DM 3fff 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###2956:XlxV32DM 3fff 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###2420:XlxV32DM 3fff 95ceNqtWkuW4yoM3ZJBEtjV63gLSDvJsGc9qtN7fzbYBAziI9epQdWp6Aqhv0QWfBHSm2bQYGgBtf1epglf+AKNBCr8rd3fS0YPEY2jJ0sb7UavL/T0oT9oyNGs+Ea7UYKjfu9IJ0OMXQ7ZaMPCjjtkI1zdWVNCDzB96A8acjT5WQj2ctZ8YK3DUqQHKurhvNfO/0U20JfuhUUdFnTu+Nw563qSPk4yTvsBScBYS8car1oLMqz5nBXRv4kIt/9PQa/5/eYIGen+sHlOz/lFWx+slQ99cJLNgab3pFMbkGoyaB5GtXfYL5cRGRtz9IxPFO5E7t4lKe1FHy3LXvVd8qdp2J84q3FS1nNaZqti3jAMFh0WmhFGEb0dzFHcWbaYD1n6oqVx2B89Ry5bndqv+5cV2NzePNV7GmyfEM245ifTvP28EfG5nTuntIxUY3co1QiIznle8pxKPyviycm9Se0sWeaknEx1TnDwURU+PvfX+XjbPjOJ1iQzZLao3G1mecX1tM7LS76y94u6lVt6ir1Rpqdnni9aErm7PTOZ1kve/wmNR32CUONroWerc/L5cP8MkmhJOakOL0AfhaFuy70cgxdQJX6XjviFEL+6wol+SKKpQyITJKJKRll+iJPvsVqcluDh6lZOmYJ/1zilMq0VfecaXwsdUCtSphAp+laWc1Y5pIIk5xeqXTMXQJQLanLpDrnmSC6q8MImL9+xrE4qdYuTx/tsUJMJOjiZkKH0LU6cLzwLE2ErZjBEH9ziVM8s9EMdRn8F5rLBeA32t382tN1TgzFU81KNSmavpn/Pwb/pVjW/cPr3749SCn9/TV/L95/1Yb/ouf76uz7Ml/713/qgL1jV965deBRmOXVM5n5ygWI3O3fsO/TRp2NhRsJ4x+Ro/OxsmdkZCjsmiCZg3SkPk7HY7v6cM8DxWYvzXjKLHlrT0Swqly3Zl6EmjSp4rI0yzc4Fg5T+VGgiKToforkLOvXB9AHVU9UF6WcmHWZ37JBcHZoev7NKdA4DZ2Z6Dp7KzYYfb6btE2CmQp8hzxO3/5OLhIvUOy9H4RBmo7cbV+0m2JzLu6Tf7b9Oyniq9r93Tmg2JDhJr7aq0F7PoTfoFn/G9kKfCftDKG6lvObO/SGErMbTtzPfNZpVkplsJZpNcSsRndzI8p/OShd7j35OOupoSx2D6q7QJtRVvO5Rhjva3s6xp6ONdjxVXj0dLUZyqVt39DTr2c8cdXrd6vTq6/Sy2rROv57f+5TK1GkTbf0mZhNGjKebCn1JC4qvYqEiKwZLYuwkRgLzMpBpzf2NhbOSHOJoTvraS4KKdsPsS0LWc5zYuSCbblSZhH77zd9FRzR1/ow8QztsM3gXvHf3Ic+bSxWl48x2xOnq/vKT4xSpxt5Zj8lemgsqVWK+uY0by6EU5dBa7TIdvFRUB+lWHcQwN8HNrXP/DmX5AW3182ptwUz3FowiTnf3TRjpCm5u+zFUVNPs4Zfw2k/He2v9dZGLtPMbA/Lq5PzlqP/Prf6bo/7/fiX1Xy1b/d87sQc/QTZqb+39rf/bIMf7ePJNhrru2rJBLbNneocOGXHwTlcdMO8ktcx3ZqJGLofBm3KWvJNVbJRV8GYE977X6R+UaxndqR7x9drii874eqfxZd7fjvej6fNx3OuhTFPba5UyBj93yrM3RNn7ZqVjZkdJzbxOaT02KN6tGt3YuY8rxaKJdkS6KxNAIxOYznu+mG+XlPKr5rdxRwy89xrz8EEASQjoeY8B972FJArA9VGmsEGh68Zso0b3NmuS+QKiiLlSK0etoijZKfYt0euSTX1kqbALmm++Yuyb5J73WghvD/q2n9vIzw3TsWLXO1b5VTvtfKdsz+SmUY/c/64glRipxUgYRIJ7GaFhWU+cFuKkcqJQM9n3HLqRWuwBekCrz+OW1sXydY944p4NHApxJMSZQdyZMUiIk55nhbi5G5dmMhT4zIlUYqQe9DZ/y+wtu1M72Ua7G6eFOBi0RlwZJfEL4myT79z7kUaMtMP2P7+5BcMWWQoaGkOSGDmeBZTQX5XQX9Wgv6a48SyOZ78owikhTgtxIMyqShCPJ5LESDMYVWx33dRPHem3j2VpFbeJbt7TI21Hv1yfelW15wmb0+H4LN+6HZ81bflvA4GgLugsrntvWJyUbvVLdc3w/VIPzgpxsxC3DFsQs/P6LIisBdu4wndlq7aPM4nkTGYjNYBchEgQTKAnchYjF3HnK9etEdqTs0svdhFgi5uNzv5wGegOU9wi3kWQeFa3YuQsQuJQTm/bowfJbWt6sSTGqmJ0tyrYkt22L8MvhbuOIJWgL1iG+6ieiagPiWIkiZGjdd534jTQOcV7HxJ0/h5nhXsmNdgf+H07FfNIPUaiTf35yf/ECJXe###2588:XlxV32DM 3fff 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###2992:XlxV32DM 3fff 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###3060:XlxV32DM 3fff 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###2700:XlxV32DM 3fff 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###2212:XlxV32DM 3fff 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###2460:XlxV32DM 3fff 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###2288:XlxV32DM 3fff 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###2124:XlxV32DM 3fff 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###2384:XlxV32DM 3fff 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###2240:XlxV32DM 3fff 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###2032:XlxV32DM 3fff 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###2920:XlxV32DM 3fff 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###2680:XlxV32DM 3fff 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###2772:XlxV32DM 3fff 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###2944:XlxV32DM 3fff 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###3040:XlxV32DM 3fff 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###2576:XlxV32DM 3fff 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###3172:XlxV32DM 3fff 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###2848:XlxV32DM 3fff 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###2588:XlxV32DM 3fff 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###2952:XlxV32DM 3fff b70eNq1W0mW5CgMvRKTjMk6Rx/A6YhY1q5X+erujZksBgG2q1cVlfElQLMEAXJhTEm1K4BV7fCxnz5KK2P/ZtTb/l9IkKvFHN8pCTkaVinlCsZixnxU4iOlQuhX4KMdn+Ibks9rwEdM8FkSH0HygSEfxhis9hOX/MFuQOqwG/XwVDzwEeXeL/GRx7ncd5yUjpqQDsUnWo+o9kNYj5Oyl/Ox6vF3VZ3pDUJyBU4GhIz//PnNuWDrF/vafn7vm/56vT6//t235Uv8+mff4Esu+sdyVXKrVnG6sUZhv1VuRdBqtysKtyJGLwntEX5Pb9BSWLQo0JCk6RGet3SnqdF4J/68Muzq4C2baJEQDt2QzlKdIek4UeJdyZldkeu07WkNviSBk5b1AQAfA+rV12zFhLRhZe9IUicEIDlR6EIealHcn6ypUyyPJL1pJGvugOWrNnkmrVMa6FCpW1QwvSs+4M9unYWRVlXGr4A+LO34TNLxm3TQoAtRuUunbtKJm3Rsmm4vLTX75pTLeoOS+6og0F3waReFKS9lk15aR8dxBChzQB/Nb0deeSnC17tKcTrkPHPmvH3f8pwn1iPniSznCWks59XVSe8zMoXP5XmFqwSNl7xFYukoAu1457s+/m2g/U4gIfo7MWgnKfsm+fEm7xXpqR2hVCH5eGJeyAeaNUHcFU+IsKsuuj7DeeLcx4SrOYyT4trIovswiyIORBYFUpOV3gdWUsiskS0icqF10aFq8WdNvS8TO/FIM8zlPZ7t1fU0ch3Ioc5/mPJKHsN7u0O33KQzF/NYb71eHsM2ci2PYZvs57H5Fan8h9d6ox5iPi5BGbeJSOPRyiHG/tuNEYGDowpZZ7NZR/qsA+yVZZ3t9WOT31aVJyo2dL7hG7QCZbmYqJtICMdqFeEhfKMmEogWbKnQdODHDSp0FSkftuwQW21Yk/i/T/F/A8vF/2mLn7sFZWQ2ED+vhHPSt7EQcnypOJnoIOT4nsLUiUYK077S66AxohYib05fTuq+CniYUxwzJZ5UsGMV8EwFL/Ej9VAFcqiC9YIK1iBgRQwiThWkUECgzxFZFji6XjAOZ5IY8CwddGvYQ/vSMjGOgzSOO0PZyyqSM69JsUGmyQXYz9GtnBW0EiCspcVcdSytU5QVg5jM3EZNQ4ELqRDhpmm+m8AKAQK9JHSu7HcHDQkxgzYX0NqZXY6ueoE5mdZBO6PjwZ+PbkKjWVW7R+SuyghoVInrMMvjBVoj3jp2EyQaCHRrxjawCrLTYmmN8eQyonXZEWcTtI8yVk411VJM0vQd6yb6aWpneI2y6krrxI5o0A8tl7ywFTbk2OYyO2tVc+oxD8AJ4SYPifPzlP+08iEb+C5MVLdqhgcqw67IY34fcu4s3X3wouYhYkvzBLxJudym1Lcpx7sVxDmXy5RtH8z9jeJCRoPBXRPqAu1f6zICnWZQRpy3gz0+8Jf46ImyJt4OPjvXHB+4zCd6lUWAy1OZfwmEKLh534o5yc1EHM79fbFU+ugSLDf7r/Je+GQteWEt/nAtG7um1xIP1zrUMLuWJNfiqAX/v/U1t9bf0dfcWn9HX3NrXdRXaGPeto35+C7GvFTWxSjj7r514x7AasFX2iHDsVCt1538iYeEgWPC5XCtzMoJekkMcS6s6/Gh+maNyVfC+/q7u09NTPYij35e8fS7rwQecfJ3jHucMxCcuOQTnEziBJ09yYmsCSlr1jd9186nE6enkuKu5gi5vDzFRVlJ9AZFPeLEw0uHtZL7q+EBo5kTpJmTeMRJpOmVKtGVd41GkTy9+pEPTxfHwKKa85+cqrdX5Aui+v3QONoQu+qd6sbg+t4+np9F4P6q9PnU31HV+sX102TjcsQPdJTMqsw0H/EJuajqbPO0+jYlr2YQyMIH2lDF2JQh34hzNVoHEc/8nWSYCDI0tZuVZZxOtvH5SagZiJmTQjqLuL2WvE3ponioqT62plJhxi9NVlNxtv5IU1RU/s7NoDpFIklL2iOTJiW6cBIdb4JzHkecvn1XGSsMNZiZUfeJ0PBkkWxREKc0wfpymdDoUoKtXPQsK6ZoTuZ8MzFT8LvYIx9vNZxhq8lf5HAhf9wOt8pH46lh4NHxDYm54DM5mpoMykeSkEkSzyqf9svrvbImV91aHgDrwJY5EXdmciEjoqm8EH0rzXZjbzhd8wwoBgdb49bWFm9rmi25rX2zw9ZUI0bFs6OXUemNFpXDBXqlxVHu5nT+yPmjd1qdGjt2CAOdduJ7C5tuUajdanSP0ntVRpxuYs5KSn5qXjq/ruhWoO9B5cce9hrtieb1Do+e1t7pYWd5wcTvZniKPZC8UJxvAbYlf45h3j+WaOu1XT7cVaGs+SagMxppYIln/VmBPfEYNQ+Ywfzs7t5EctaPjEin1jA9tuDyDHPbIvIwt+ojzAk6zBHDpr0pmRW3HqHIkggveuVytyhTcn04Hur91Opa+51+kvFwPMTRw9ReKz+3K540D51S+U4z3zhZHPoMEk0vmEI3mPJeArjwiu3amql54Qo90dteRfNiS4OVeKPHw0jz3jMxzIF+KKYapdWKKCErllp4FUoxOVlcRbwqSzdiCHHiiyFEq9RDw/zj03c4kTk5DMpMXzS3Lw0yPt2rF0jXBoy6NlDKIjgqIAFbyZ5ZyRtaRhLNUaRfbF41kpxDG/0mjIQHpbMppZ94VSqdeK0oAh7Q6yP6buLE6+JugnoNqQojp2dG7WejmP7Gw1G+IHWbPCh8ZOvV4qksE3+COlQ3EOo2TXXHQZOifCpddPIwgvZoQCOwGUr8yI1oYAjKpSic42NTNkWJ0dc8P+fztz1fY1PIPf97bb0hPhUZE7WaK1qJJCqa3RrP/JkTlJUyg39SeOyfM3hT4Kn3+T1nGf2mOz4EFsg/V6SUNffPt2r7J4TsEH/4/h/sYZjX###2996:XlxV32DM 3fff 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###2696:XlxV32DM 3fff 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###2468:XlxV32DM 3fff 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###2772:XlxV32DM 3fff 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###2828:XlxV32DM 3fff af4eNq9W0uW6ygM3ZKRANvV6+gFuJLU8M16VKf33hhjLLDEJ+T0e5OclCQE6HMlkVW/zI8G1KimST/8Z+M+oX5oY5b9G7MgIJjV0dj0L+7Tj5716r5boxwb5CDCgByDS5CjC/rMDXJ0lKMG5DgpZnH/f7Qa0ic/5/17fTubi+/HGON4vGRJmnzGfh2jRK2o9FFNkJWxU78MoNLm2Lmwh57VD3kg8DWsmPEpwjcjNPPV9dylQdP+0nMU/OHff/8oBcZ+TV/r75/HNn+p5+Ovfx6b/YK//n5s5gs2/buvgFujprtdz/rhzxPYc7GRwngKq9Whk0SdUIycomb5EVdiz8/b6uQvoh/nXvy8WUJZCkQpOBDjjp0csQAHYgrGmKKG5ACJcTgUu22MuSNylqgPlHPJSeVuy/29Eu10NWqgYHeqy3LPKIVvrgfVKNXGV9PyiFEocZ2+72S/xNhkM2703M7DXPo9IsdE4gyy1LsME05kipywf+7YjwmnPg1GmSvazi7abme0faXR9gl90VaJMW3pyC26cJb3mD1qJSqxk6lqlRNvI537w2KON5FCytXYvb+S3VBvnYQb1J0rcjJMB1I4qDlvNFVMqBow4Sf2o4eyiIlZBAZytYm52g5oo3xMOrORvC9Tz9Yky+qhrK9j1rdDKGSJKASG5PD69CK0FFuNeoO/txDJFxfJv49IbmFKIjlu5nfP8EkkV7i6Fa0/lRdBwC+XCeEWQ09qHSkCdYicOfXyhmwlZXEWwYv6FzVSGd4vyxY0itkbWa6JIAMUK5BTo6mpAiH6O208jZAbTIJKn/l+BCz5rGLJ2pmUd5mfu6T3nRNJ9pU4FcuZ22o7p3l7zalaIUqcLTermrysb03pJpjYleGH9huSOE0jZxq1KXel5iN5DbURJeHHJKmPSYIGDHFWxmMatcmZPiTHfEiO/pAc7JUT8u3q8q0N+RbTfKu+598dN2b59lpPqArYmpDYQ1Jv7m4KZeqrhogVhxydT2poqKga9sJWOIJ2rJesxRt7D+dX9W6oW3gZNaSGQna7blKuvUZ8EmOdofLeUV/UKnbP2mMWxK6gYrr77T6JlxXg5ZOb80l9+OQ3pL1jhT+7T6qsm7FjGoeivS47VtDBX2bWX8DrF+gDjeQx3OyCcNcqMnLeUJDUEL2IpLyTte9+Per/fR/BG49zuHcnFk/N1cgQMIiJEwFO3/XqnDb1MkHcOZF0k8jdFnZ3cE67oNhqKnSKBDuK9cVVp307GzWHjW6zTW3Uas5GqdXkZ2SbsLQko4b4piYpURMfybTgNd7OAoUhGQBYXU9qqHRnddE7Li/Ciq3ZIR9r9da6309EkuxHLZNYiH0PW/KKYn2k+u6f1vfB2h+Xta9Pw0XkSbB2nc0CTtkoeB6X09dsBpB3bQivP3NdqYjXvrXF2SO5gUpVrEQf1NVZiRHXteTmS7ySHbf0N0/7QwZ3pLv4jCTs73IGG306G8WAGtSW2Oi3/XVwZBMWVVdhUAWifGpSrCt56mIw3Td8D6dleuwIvxd9LQDPQ1cykwtR8UJepLSCdCil5uceNIAtrRQB00pMaO9CzvIjonYIjNGguRZ5OyQvp4r2EkFHKA0ZgEqKlBCmVNMDmXKh1f7cJy/5+p/7cCUnCIUW9O2hUlSX+JB5OiNYcWwLXP7xQ/0jK3MWn1Sx4B+lQZ+utgemanE/ZcU9bWqW7wWJ7Kn5TCHRCVtWER9AlK0Pq9bXrTfrB6vYWq41iGGofVdKtO1xqfyYsCdOlh7+9MTtNT7ajK0CO1Ef+k4fPszb/eGD89y4fwK7RLubc8+pFjH8jd+tZpfhCH2u54aIB99BcUJnnlqT8UGd2pKhCqXmYflBnQPNs7XAUc9HgR2K57Nkl/Se47BPV2Qf1DaXXaBe7poIuzyoIdulCY0QbpcHtQntFhQf9Qj2Jtq37PW+PdIQubDTBpnHVS4/g4tPZ3k1X/stZh2TP3TI9l959Oj3/zz9O+rgKIzHuok2E6HIpB2auG/9bnzO8HS+dLCOa973sccj7f4ZOB5Sva338QTkKTwB6dk/BDl5qd9uQ4ZERkUjY1oOqc3Kgw3IUUJxnKHScUa1JcdhkfpgA7qQC0q2XkElUMVVWKk8hn20gm1tYf06MqBxZAQZ5K3o95HBFJEBDA320p9h8BZRG+cvfbZE5QWPg6tJZmFJPU6v9yZZeXwvPhYSH0m8M47EwjhSHtiOje30B8Z2+iNjOzU0tlP/29iu3JhuH6Wz4zaLpLm7/WR16HqvQ7V/JmeSB7XSs4/09to584d1lFOivn9/R1TosbUhDxxbRgqXHoSfpTwRPWRcNnKdiB5EaqcSS/1KqB2+OTFO4Do0MwTZA3k0XOay5PGw/Pyu/f7u8YPy1obLV4bRTG+tXdJK+pI4ICfr0QW/0WRMrfOhiL2PANH7qTl6R+RhIbI/b0CP+kwYyNFKlaumXKTx1DpShEeLgmyM1HMmm6O+fAaL+JPrhCJBV8ttPPOojmcIPzucOfvlKKxsmn6AuUYEYUsxozqauLom+U9xhNsXtblzLqTzj+nz1WCRhlpkNpRezB3zl85WJehfsXei0o6ygOKRx7dCz+Xc7XrrKCJrm+RUQyaX6ms7YCHlnwz3WMhCLMQU/Kz0rCqfQ52cOsUzQp2EV8yP9iQ/RcOrGxUjy0T8LqeO3SgfWXS0jJmlrtnfHPhUS1xpeo5CHttbSx8aPRN/ebkAPguvjAyrLohHmE6oy4BTMU2UdOW9RaAqE251Gx/WdZ+FXzMtF2d8K6Xe0B2rLRAVBom7a5Ak8R/dv7eh###1852:XlxV32DM 3fff 724eNq9WUmW4yAMvRJIyOD0OfoAGZxl7XqVV3dvjDGBmMmxn6oWlXI0oelLWN3pSQYBDY0oUSAJoVDdFZFR9jv76am0Gu2zUU32f7BUWggy9vepEJUQv78/UsKgL+JCr5/7VV8GGP78u1+HC/z5e7/SRQK9cMSrk6GcRuk0Diitvq0OKzj7fH66laES2icRKW8lEiLMNmf5IFDQTEH23Cg9deSDT02zJSSLfor077E164W83W9bqeq//BlkM8Le++6c5oAcDHki3bnLPm3ZI1d75vz0+WZsvg1rvlGSbzBOL5vT0JFxSwTAmpr341iKQEGGJURlOSHhs/8HvoWCHMVUoFaOGgNFnXpw1JShhpTaxhOUVA/Ppx3fEGhXvulTS4ZPu9MutPv4VIYPOviGQNuhz326Rfwmay9svNmOcJ0PUytJE7ie8tm59ErtKajQ8aBaf3NNYLMXLXaXfWsifyy+2dpBOHeCldc+J+fHjYffEUhkLBLsU2eF84yjc3gyWC5trYe5Uyj7Q65nV/pwTw8bV/8kmPb49H/8TQXtPNZ9LQe8FFnOBPtMr1hUsiSPYta/bzRYpEAZO4p5HdDPd9gxRnSVIrp8lhAdI3SCCIOhGw+dPQGNi3UWaynMCnUU31k5PVbvw5AwfZRqDg5UgJfqzllGcWRE8aQifY5dYxTHFMWN2YviGCEwNhG4Rj1kqacCtdng9VrPZdkUKHpk64xsSKmz6G6ivoIRamIDbSFCyz18w4aPPu0Mp9LhVBXrArVZulssO/JBvi/LZl9WzoaHy8ojcsidfP5OVeR04MRcSa7aFjlHENfuczsRF3rmhCibciglsvgmo0mn1Cf1DsTCaLYSzRlJdXR6/NLuJfIFRPczVZ7TxbpD5zpxitA1bzEyQ4rMBl9WEma7pip3zciXMd8Y+OhtUcDmPHWMzTO19tTF7d1TkPXKVMirxcvfYs/Cfd9U515MHZZIuow6gqkqwlRq7vh5jwyVvFcH8j6ar+r1umMyeksrTVTxXQxGGYa7teCKCb5W7nGtyHTCmKdYWZtjuy2CNF72cw731gqRgaK29221UPb2SDanHuqcY1R21qDmZJLKLmO43mJ4lZoyiF+aqPw00TlRaTeNTp12m4iCOrGHQo2rjttCuS/ecZ5XERub+LVU8pE5Y86bdc7ArjkjNyGNnZNWukl/24HHEJ16B8RKjY6hxzxsj1G+x8hn0mMm/bLJdi0EV6vBOmYqrPxORTm93NFkR3KpHcml8st0AaaoQh2f7bvTm+rp6wF23KEAj0laF1cZXY5MNuTmusTc3G5JzHESt5cdaIX9vVbKU3pPrWNi7TL/qJSFplbm0gNrudBVT6HPf31xHtMWXxi2tMFhbRgtSy1t8rA2uUOb6NBmWONmWONmWONmWOMmWOMmWOMmWOMmGOIm3YAs57gwxK1X2zlx69V2Ttx6tZ1bb8s1AFe9tbSdW28tbefWW0vbOXFDtxRw1VuvtnPi1qvtnLj1ajs7boY1boY1boY1boYxbsjSJ3u1nRs3ZOmTvdrOxjdgxTdgxTdgxTdgjJti3d8U6/6mWPc3nj75nl4F6x4gWPcAwboHCNa5RLDOJYJ1LhGsc4lgnUuAdS4B1rkEWOcSYOyTwHpfAqz3JcB6XwKs+5tk3QMk6x4gWfcAyboHIOs9F7LecyHrPRfP/rZWN0/cerWd2yd54tar7ew94Lsdx7x1zRQ7puXvtpy8vnY/EazvhQXre2HB+l5YsL7vANZ7BWC9VwDWewVgfS8sWOMmWOMmWOMmWO+DePbTXm1n4zew4jcw7jnA+p4KWN9TVbX9B4DkYqs=###1528:XlxV32DM 3fff 5e0eNq9WluioyAM3RJCIrKd6bT7X8IoahUrEsgZvq7XkpOQtwGyzjnDHwr0ZpofDVsa6C9ZN7iRLHtitvxZ/tLgBmPmd3EFOwpMxG75dZpRAoeZxrrptOZDL/qQn9HdwiGiyrmZLLfBkYjb/CDm5tTc2E1iblbNDWM3KTeM3azzGzfqYDcpN4zdpNwwdpNyQ9vNdLWb6Wo309VupoPd9qyc7v9/2U3KDWM3KTdsfStxw9qtT56UcsParU+elHLD5knqWt+oa32jrvWNutjt6IJM137SdO0nTdd+0nSNN9M13kzXeDNd4810rW+ma30zXeub6Vrfesab69JPSrlh48116Sel3Grt5mYE5oleG5fxFNWn31I+8/+LFhdpl99m4z0gDTAkC0JycXaBQQqw3blqpGjlG5Q3vZ1dPGdZnUH5pY17Yc+zrzTQTs20q13bZF69q5XW1NEeUZfEI99S5t6vUVdG3OUaMuimUa7VQ+52OgHkWrvvO5Sj7ixZyT16Sl5HCQqN8/tIUamjGpS8XmpQ8no5+qgYuY16uaDQK6K0+o5ElrK/SGTJ6+X4LnCg+EoR/WqxuLpGRwnK14+HSh3VyCLxHQ/xHQ/xHQ/xHa/wnSMyPSTXeEiu8ZBc4xW55phJctSLe6h8Zb1EFLVeJChlvUhQynG0x3SbXhKUZr3UoJTjCFObtjjaorFdL/6hx2rTUVkuiY5WFG7qa/ZM7jKUQ6Z+levUiqitUxFFXackspTzzorCm71cY95ZUbR5R4JSzjv7jrS+w6dq1+4vmnxcgyLxF0Q+Hjbf1eXjQeUvNSjlXCNBKetli2llPt5RWPmdOQBysESWsl7M1u/p/MWo+r0alLJejKrf26ORkylJa35hVRwdmSEAZFlRMLLoc12AxHSAz5ECZI4U4HOkAJgjDXFaqf+GCZBvmAD5hgmAWM912Zp5iYfMSzxkXuIhfaim30pR9H0oQ/pQxGw2PT1o1QtBZrMEmc2S6vt39zqdXlIUbc3S6aUGpVyztr5COXfcuxPd3FEiS7k2SWSR6IUhemGIXhiiFwbMY3W9X4qiz7sBkncDYB6r689TFK1eGDIXYdV37pG9PaQeeUg98pB65CF5N0DyS4DklwDJLwFwVqjzlxRFX6c9pE4j/GWEnC2PkLPlEXK2PKrOlncUD+lfPKR/8ZD+xUP6lxFynjxCzpNHyHnyqDpPTu/e8SHLNve08f3drahU3g8z03ZWuaLY6522X+ot7u9vhp0Rq/hvs1abvc+Vl/z9S5nRlvuuPnc4EsrpZPPhe7Ms0XOGcq8AROcvglZpKUcZn/5czhGnKu+I6+MEa5eVf3cptWZEKe9SdmI6yOS98yHZxE9jz18feryHuK+3kGkpQ2abTnj/8kb2x/uL0r3a6/2P7VlCefGfCsrr3YpzVyO52Snd3X6flA/Kx7i648StnLaIT71z9sqb82/zfbYXj3DnU7HkdHlfby7r+bL+m1Fus/y87mH9Ux75B7P8dCk=###2132:XlxV32DM 3fff 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###2324:XlxV32DM 3fff 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###2008:XlxV32DM 3fff 7c0eNrFW0ma3CoMvhKDhHHlHDlAx121zO6t+svdHwZswAYbCw9fFu24NP4IMUjGD0gpGAMJAyBq+MYPasllj73sZJf+Yp4+0EFv3vXwNv8XhtJwo7bPqkEOeil8Q4ralRLkmJcNcqwVVo6UskFON2PDG6TUYFOBsOX+ttjIBjlgab53sKmRI7yctsgZjXBy+EYkq5PwUYf9Gt/DSkbg+yCi4ynI+vfvL+eiwxd7Cfz5O3x1L835r/+GL/USv34PX/jiSnU/Rj5KLb8mjSBQAPf2m1g0urn5Z9BCQygF4Oinee7Ms7A+B1uN7ZaeyX6m8fTm74I+q0lkNEkJFZxu9jnqCk779GchQWQkiCrdVKtZ1uoanTBiDG94W51jNL/RZAywCG5yxtRHOfmS0+qv4ZSeGg5z4tLPas6OrLMn6lRka9Vs7VFOyFoL5FEBMrZQ5Scnjoqara1FSNrcGecGUTnLAicQOfOZrE6nPshprPQ6gcwpyH7SETqkM8rYwWo6UoqoO1ivyX4rotXy8CgFTmo0SnJk0HXC4SwaOI9m0YAQfVSAjJAicx7VGXZYdM6KSLA2TuvSiDnanZ/fOZi/4M8126MoZuqJc9jcoQROOVMfG3/w0doRxl+T49wi5PdTx8ZfE/NXGE1NjgNVFQfTaUDa/cnq7OLG2OC9iAjLOY0Jn2lsHGBXoGeB3tNs0XNvWYbex5lc0GNM76OrcA4q7NGYj+hpLuB6Ltjx5Yu5Azv0uEEvMvSluYn+DCAW9DoTqSKi3/NcRtTH4uz4+lHUOaOxjNA+pvdoyOypWhazVhhjPJh7AmfFqpXMqPikKqI4FAt69PQ8ym0iivP8vJNJnPMsHsLO6pxtcXYQ0VlY1PlSHVnrOTWdQXhlDnT3fdNZpLDO1GUy40Fu/gROPdNgtI6W6RcoJqhMd0lDzrrxLsr4a35PKQsZ+GC+XmeV6rEZqu4Y1DwmhtP84m7qKPNRjZjTJWXiRUVzs01i2HWAXYFsXDR5624iz7At7E5aRyJI0idJWu2YiViJk2Ks3bPi/pOI9GJHSURZNKBcvI0g2cJPGXEbN2RcimdE4kj30VpA9YjR0a05DRBt0vNdfwvK4gTPivc0Tfn6HJzaZkaxVtKEE2+a88XbwKaonFaggbw6hnFjJyA+7Wro9hRvh4lZTVRmtYnbvEe7z87ICSORSHEyzFtribXb0rmKt+HqRgvGSqrZd8L42yixqDNB4Cad6fjdozOJvNv9hAfG836d/Ma4TbLu7TrhgbjVD8SQvt1P+UAMsRv9THZ4t/t5f9zCAT95pFMHjZIttIqKKFK3o3unzuQ0dnsmun9leWJH9MTuBB7ZndyfifCB8XxifsIDq/adu830tvPuuXK/n+qB+akemJ/qEmzTbty02rfXH8zn/uBcxSWqkxVqLGmdbLCSeKGqHHe7yLkesKxCBfq4x0FG1cJS1TpU/NDY8Y7497sbpg7RLupQFdkauVrfiDtNvgZfoldRpajGG1zKD7Vd3/WsXuwFvukZddr0zPRP3O8c1b1gcYPi6l6+A9Dr2K/kiugGlEV1PlZztzTfCLGoprtRi5zrBfl7KEw64Yect2NcIt+pFFoMjiGWnVOwY832THL8w+qbhlwVdFuSw2WYvvjwUdNFvfL9J40a6L7HVnkTyEnouHYKsdnsbtLWlLriloqKi/J+jz80Yi8aV9Y8neeJmleKOnPAdstQyn4AA/ajE/cJjL12nG2xoeHS9OwDi2xdJ/zREiPHpqzo9/Ha1S0h41OiY+R8Rzq8zxs62J6ORvmVPuCsw8g1KcTI7W2auw4d4RqNWtHJWK5tpJyFeRo3rtGLXWC12/ScLXW8Ajk7yo8j0hKF8iLJ2i8p5+LdXySVPSCVju1eLLfEQ39ZPHSX2XwdGvAQzi3rFrhm2YvXXn3x2qsv9wEv9QAvt999OHqdBzXym1e3M1b78h7oUnzEhbvD7pzdYXbt2M08/wO+0me3###2840:XlxV32DM 3fff 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###2972:XlxV32DM 3fff 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###2476:XlxV32DM 3fff 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###1912:XlxV32DM 3fff 760eNq9W02arCoM3RLkR7HuOt4C6lZXD+/sjfq7e38oiFFBseSlB/3ZVcck5BBIAg1ojGHH34TExvjfL2J29MXf7NDiwAMi9utv/NM39TT4zwZ6+78BGa3HfJ3I6RrJ4UZy6LIcYCDr//YIJmKEKI+8PBp9mRAbaV6WReM/HSUTemjA+c+df6f3NnhZI2J8JruS9Yk2vKTNFrUB9l5bh+6jsVnsFn2nI6vThRd03R0Xo6vWBTd1jVOsVhee6jKKfBlFvowiX0aNL1KML1KML1KML1KNL1KML1KML1KML1LgK+yWOnzV6WrDV52uNnzV6WrLl1Pkyyny5RT50lsPQ26vsx6e6Wq5Hp7parkenulqm28YxXzDKOYbRjHf0MsPQZEvUOQLFPkCVb5IkS9S5IsU+SJFvpwiX06RL6fIl2a+AYr5BijmG6CYb4BaPg+K9Rco1l+gWH+BYv2lE191ulrypRNfdbraxpdTjC+nGF9OMb6cIl9GkS+jyJdR5KtpPv/37x9r4Wke5mH558/r2T/s2/369/XsHvDrn9eTH68v/hmp8cN4BsFxO+2mdsto8Jt7HA0dJ8Cb3v4Zpuc9ukuIBQ1FtEuIGc1ZtPNo3qO9VRv04riUEDAOCVu0KfMehffohfbSe+FwtX5UkBDH/g3oWv8WPHaADgdDW/+Wmd7PCy7aHZqsAVHkbgoBnJcsxsgYjM/Rg1Dw/Bw6fcLG97yymvc809xLfdE6qLaOMmie0F1CLDaV0Qtib8l8qP+KnjXTLLXrb7LH/aP3x+/o9lK3LHS2tPj4z/zP+B3e3wpb6ztLX9qPj5T01ZU/rfXp8VfXPmmvz6jqI2V9Z+351vHQKcd7pzxftPWRcvx1yvNTe76cH+sMWY2bC2grnWZ3VQ+mTIKqriD28aoeTTOrnMWEvX0vYSoTMp/jlN+W89gu5r9LZrqX4U4kbDPhqxL2Ofh1G3iT/ddLmFcQ/tgPs4S7npwuq/oMFT72Q5itdyTAJEHkvR9I4NsShpsScCqaQ11wVcKc0fFNCcGG/qNRFCqWDyRcYjNdL6ZUJcYKJVW3kEGH7GKR/M3MlKpS2tQ1u2vV4Qo32+IquciTmjvB81Kl9YWarp8vTU9oOqkAD0cVJezf6kQELjr6aV0LGaasmJe67i1q2f5A9hwXS91YGm3eN/maNNTu4aL82hKY7MaTSBu9xEvlW5gpYS/co7lQVR/Pq8xbWdumGI77Sk2noDCmKCPvOUr7H5U9V/bzKka2/gq5A8cR5Hf+kDvINyG9KSOY4xhsFo2ic3NkVXbWpq5QfmaZ9UpaiLoZDRe7O4UVP9dNSlpiv6bQgakZAZbn3/T0e5e9z/4yKdfJ99zWnBsRUdsVhAU6yLaCZ1M1nzjKtlkvwQUv5Xya74Hh0isUmUq+fzqjcdulzcbYjAaBLvt7Rsv4tQJtMmhexZUVkWKzaLyAnvPgWjSL3uwRmkWEv9M8mfu+ebRNOxeLjnHektoO/4zuqvrV5Xly3J8XdVLa/Y5qpv3YQdQSctbbmv0+9bzrVs5CHzce6NiHeVA4zwHqVuc5798/2K+Oco42zTCZcy4YDv/j7asqNduHynVLeEfGIqOnztP7Lm6An74JaG/8v19IrkPy6m7ICRxMnh5DOlIPq7O85/osD4YfHLL0rzN3FBllfq4bMXtR5C7mJLqMqDOPdjQW50KLTbg/RcpW9SBOVVDkYXheiafVC0UEY2n1SisFioyjuHqlXABFnpEf+bBe6wpseD8udq/YyHPX59HZDM/GkzzpE1usS+xit1z/ExprzuaETXh4AthtPS98+fk5GKdzMLjVk+tSTw5vX1OwFzuYcPsiS2uN51ed2o+R1DTWXpdsrVGTx9or1+01/h/R8R/7ZD/k###2524:XlxV32DM 3fff 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###2968:XlxV32DM 3fff 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###3084:XlxV32DM 3fff bf4eNq1W0ua4ygMvpJBwtjV55gDpBxn2btZ1dd3HwwYC5AAJz3dm/oS8dJbv5Svp3n8+nd7zF/61z/bw3xtyw/M8MAVd4PmZRbQsJgVLJhpwg1faN13gC9jDLrvwH1qcAOFGrT7m65b07pAYTzFtcdB+3LrDKD7HNw3xiy41ac6KjQqpxHucufe9U0A1uZN+PPz1Vv4m1A/K36Qb0R+HN8huFf/+fNbKb1tX9OX+fm9PezXc1aZ0BR8/4CCiZUbMu9fpskd4uQhSg0ShSy1heW3cB7uzfNMovDnGWu01ykQ5eHOMYv7/0Lo6sa9m9ZvVcIOJt3UCBo1u4/f16iwOuj/0uJEZx9//5NbpQbmUu/q5pJ00yTdfBLdnL5z3Vwfh25CoZuzO28J/Gv6BvQ2FVeiNhpVurNNe8Alg7hfrWfjJzYlFrmo3S1MKZNKm3DYIyGxEGAtZCYWAv7vHVCgXvwbAsUINZbUxpbULO/nRBt4Dw2rTfzv6ComnddsXBjf5/TGQePP+zsKc9xXZy9BQlHshgeXXoEPhz8OVN6qZrfGuju4vdxn7t/xHfUVIxrL3H1NlrU7y8JgWWpal8y0vu2Ps0dqV87O3AlHJOB0TIEVvJut+Fzs5F/S87Jhn09vA4z95Tv1fJ0lvg4/2guSLqrDW0SZvC5v52Tyyt2d3Q93pxti2aP7UUJYtZV7dvsxV+YdtFce/50C9dHjw0188PmQjRjU3CvR0lCRNmewcF7XWiROXaV9tP+bM0TlMptrJVzhIAWP/kqf1kYj1mmXMuy0TSBQm+g09YAR4lDYqW9B9CclLHz40zTgjr0gJWvJRJ4TdVu4FiaySCayxigCPqIowlbFXGoljL+nNKsY+0H4fG4Ih2SiQ+KxshpztCmqKmEdkHiiIv90g/6iuehR5LEtJdKkLuTXVe61/EbkfojkWozkwTTXjKKO5J76Rixfm45gDfVv06AMMQt1mcVrgcwqXvOPSw0eVXKmwuOr4Im+rDZeFFMmCoiCnhOFCelgFAVPbROFp042rQpqfVInP6IGwvizepGPUFlK8WRTCmQS1hZPuITANG/SjqcqJv2n8uVKTPjxTirx1Fcq8VpyJEYZ7yaVpBPJ5CfBZVnmttN7t32be+EWT889+GCfkMIHnVkkjehwJOxRr7SxiHHu0H3uX55cbmkrF//OUmxKq0vqtq6upODrW0odWHhrWcX7MuBCw277b2b3i1oNxM0BFlqNtVYDKJFTVuRvdrMgv4bnItKmnovmLlWpnlNLsNQz3gaHPcqSPEqvMA37/f/h7DzNdgEtU3CKvts0I0IJopVx6tQsI0Seto4Y0ZbISiFJe/JJWtRmvLR5n/c8aE9l0AavOEAC7liA3MrVMaNUfdy7YNN1PkPpHBtvSof4feofKdqiWE7qTOR7g3qEI8jgRnT1vSLz3X34QG2uQL1bk7u0+buuZ6gkKUcl9OVaiV5dgTin01FVkstMGLyDA0JryOma0TZy0pvpwF3e9rCZ8Z1mYiGLpG8dzs8D9vdORSdIcCDYok8ngVgTF9Kcwz+dfrZqGkz4ZQ9h86Ii6v5MHKAq4K5lqtH9EBKgDMysPsxCIIUSBpNWR12CTiDFDsL7dwMpBjgrvn00kBr/boqpT43kx3pqitxPBLnnqadGKrqndaU8rrXvp6IhoTr7HFtKMM7XAUsNJS8iGgRMIojRamyXF8Fa1kFqXpcJdbQSe1nJ0+SI1wvr2l5uQeqiMmg3S2W25I2jrZHTcZVt3Wa9m4p038i6J9ok229XuOOtVUzoNjSa9SOVqU54OyZlWGizfspdJq6jzfp2pVYmDi1+WzGZO1+K3fxdN4YjPoNAezcfqeWvHSzOzh3vwyjA6Mr5gwZ8ma6838iXEqhnJc1bfaKotytxYlOBR8GD09urHXuEEP2utf4F7TESeuDvJfkZW9ycBsKSeondpV64PblShy/+JjwPTe3VpXZyGrBqpRqfNMDfSY/eb9xnnjlq5oM2XTFvhKvH61BNZDtKOu92sAcubC8Dqz6JZfsqmnQ+bDrHsMAS6ZNkfQNDgKV33hDmcvodqrEGoipUtN2jUrHWkvtCx8rpVh9pZqi1SI1MR0t327i5FMYnAsc7V0Eq2zkt9VHnCm5OoShxjmKs57YkI7zSmm/SrgAourpPDgXRFXh84hPIpCIUdLVJ9nbIEcZ1nUR3/RBw/UwKd6Fi/+Zgt0wb5g7kbFOljF2AFAcrvEkCgjs9+TJxPVdaAgTLvX9BpxIAPA4bqxiU+XWjr9EkSJEZ3V3lULE74LCPVUZKYuiXTjEMeA4RHUq2ktIXxVJTtEB13g5ZmalI+qLkCrn5BsWMNpXowpju6Qo7kfGNc0TFNvhScVGgDrieJmmd4M2IBzn++iYeBsh9RJkx/i0iQ5n0RtZhNgt6Sh2FQvCCH7Ap9ZMaC6nvbI504jkUFRv37JhN7b7r2XsI4N/z7CPYpU5jBch65HEMNPPs0Q9l89jF9NVmi1xZLCo6khKmqscnqO6UBCMTQGOlzN/Io8ZvXmaAPZ6Pz6TByGQ8leHAdNxZ5mLRq2tZ9ULmy1t9wLPgXvterFPUq6xQVwOwwhtVz5hVCMMDxCo6s2FLstk9K3BtYbT6MFrDFrh0QE/Hn+0gU3gaT20SRXtu1VyFFZmPPUtg/VFRlKZZPx7nW26ashLvPdIEVakJCv3CMoEBvOiX7kCrpDKmO3jZm0dmdCYW47ozMjpKz5ptsa4x63xipWNmy75QdX9kAQNDxTr/UUs01Rctg3XREFV1GdycBCMtV92d49QEF1TyHE6nYDHjc2rFzFNdrM/FxBBt6IkzbYJ0n13p9ji5Cw55rWZcdaMT1gEZOo3d5UOI4ROfdh9gaKWhlG9vdMH2iZbDz7wcnvzvZBYGLgI/H2dJ709qgauskas7mPw62iRmS5CBVjS7rm54a7aFnRJ+0ZrqsnXJdTFZKt/wLrnaG8eoR3K2oR/b3hk40ZkMSv83V+W2JokfT02LZE04yA9bUGvWoq+TpMPZkx0aDGntsXxUVtpkz7MwrQ7XCf8BBUmrOA==###3144:XlxV32DM 3fff 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###2808:XlxV32DM 3fff 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###2728:XlxV32DM 3fff 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###3156:XlxV32DM 3fff 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###2928:XlxV32DM 3fff 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###2516:XlxV32DM 3fff 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###2080:XlxV32DM 3fff 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###2332:XlxV32DM 3fff 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###2140:XlxV32DM 3fff 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###1968:XlxV32DM 3fff 798eNrFW92SpCoMfiUgCWrvc5wHmNMzfbl3ezW1734QUUBBgvbkbNVWOfqRkF9CoOmFT3zhgBOC+/+FBjS95idC0EqRQY2f81uwaGhAIuO+a3T/5m9ASrn3HkWOArnX4BA0goGBJjfOgEowEvxQhJ8GDPxGEX4Akyi/VT4AI2o/JSRf9JdRWD5Z/8SGfARjkeMY+YHKeCLDZ6yoTqX4rTGohfjFHIOi8knF/MoPhdaIGBOyaxIK5xgStp90/KHwmotC8RftZ4XjQVY+Kxx/Vjj+7Nv1CY4G0YjPwGddZ1X+Lefk/nZInwue/tkm6M9AaY1gnX8rUpq5fS7P7slLEKiMidxf+ATtdALzMw1gHB4qeI/BL5h1qLaxNTzBdMDPz8seo4Qnj6Em3gb8cT50gkf2fFY8BYxh4qFA31Tx4OcTLfcimn0sWOVMU0uErDPjWM7u8WFmwPWMBl51eVLJM849yXbSP3pSib6LkSSjtvUZ8SbQP9FnzCjZ2qQPmqVTTmPnzHiWPpG8IUlc9WYEJZIcc5D10nIil472KXJdYvga12P8t7jGit75k3Mqw+VaoWI9FfD8zUaxwt8//buTHhO/O4ubekaiNSP9/ftbaxjwoR74/fv5MTzGQf368/ywD/Prn+cHPV7mGwb4KBLWtdTlBVyT3n4hzEbPyybp6pKYpsOuGRSMAz4htKnk+NJ7qKfyIPmi6BrNskaWpeC8NAhz8lobG7ptUYKV0kwzOAI5RzAUPMFMmSdoO76+HfUBVPSHYoB62mmouBxMJ6kalkCIqbSKT0qfFF+Ub8jG6qCbNYxhDYfwvF9mI17v8ENIvqoZ6npD54FaLgVssuinybEW2LEAwNNlJEoydUpOlyWnTslVp+TIlJx2RVxIuht9U8FPOd7JYliLhB8Z0NylSW/xD532mS7bZ2LZJxYIPP1FPEN/WSlgO+2vOu2vkwKHY39izT9abgj6nPG6abmjpbmWW0b2WE53Wo46LWcKpSN2WZpYpWapcIK9JJWRpl1yJdZfrbnEsEnKYVPBTwXvMifetfPGho5rW8Toc+ZQ7JqkIsn9cihuKVUiOQS8qtKv+/0qieFptiI5pvPZSl2O9+rOvJ0dR2y1m/HbAipwcpVH0j6lsLk8wxMfX9wsHkeuEWN4Omt417q5bG/z1whWzDZCPUPwIh6SNbA34i0r4o9bJG6TZ2C2Luo65uoAc79k66CkPW4sHHkOBd82m2+bJGuYZPdXw6sd/SFob5/FkpZpwFBlr4bV7b7PCCHjtNtxJU84tyxetuzo9zDPLRcWtMbLVR0jj5a9uPI0KnrMmoFqs0Edv7dT2pY52y/7NjmjgzB0S0jHtayi1WlDB62GuZsLPsCLUbs1N+s7YJPKXKEyvYWKvkEl7pyouXNqz4Wy1a+XSmzQ3plLpDK9gUpeM13XC7zB0njLX6Kl9Vu0q9+iXWg2ddsSmRCNPo7dF6h0/9rzCVX9aWu7bae1jr8n1T3Pyzoi1S7I8b1uyKYYbfi2htbeyT0q6haVbE//Fu9ZpRpuxacJUX59PlHL77GVvkElRhbciKyoYbqh4ZhH6Q151KTHiLfstFRfdFs37a48J3dxM3LrguH5haoZ13OlwzKu+SohjtFuUhyjz8nLiOJ2lOaoxXyVe8X/JziiuK+O4p4zCssI4p6jxGTkXvf/CRmlffXa9dj6hX+6feH//VqV48i99P8TOUd67ZCvc+SrDvwfqg7pnEPidpSPRxRfkeWqR+4PAX4iOqRltOLxaMXj0f6AVu/8JEBvPwmgWz8JWM6HP097bTFD4OFkr3xevz8VPzk5ZJ5y0+kptw4dzu2u5XaGCBV82qlTuxuqR7zJTk8hkbyGxwMeCvdgIn7swhduSB7xvA536W5lpbtp26eFlZHjxRNKXTzz5428elKuizeLDOuegbrIs3QnicXzPz9HLZ4=###2232:XlxV32DM 3fff 8a0eNrFW0mW5CgMvZJBTM46Rx8gKzJyWbte1au7N8YYBEYMtovOlV/GR2hGgAAGy7JIkABCSODumwMTHOwPYhVvKeS3NCBgkSswgIDnFg/uW9tvGu9obrQDHip4FjAbP9tcWrwq/DDQiJ8oC9++C3gOaoh/DgbxQ/MPYDyee5oB72Sn6YsTfe34t99//vxiVkD1sXyI379en/rDqK8f/74+1Qf/8c/rU3683r9BwScibAV0hAUwO+FLfAttfwXxLaUUibq4Q4DFSGnEqzRafgsuM1SZ5hgH6L/bODuLR5+pAKzIYIwYC6QkbjzBfzp+l9Y0dNKiFPQGMhhQWwNy6S3IUgsyLX/+3rRiOU3tKDz/GjkURw7OM30deDgF9G5tCs+QfukEEPFLwMhdbyctSBx8VqdcMPHlv356atrr1Lq/eIv3wa0ds8/Oi94Qw7elDZWEI8L7cMzxppCuatozhXTIUTrJ8avD75p5W+zBjya0bSLeY9J0RdHP9NmkL9r0owVdolu95XhuOffdM1K1bU6M1AE9OtJcHAlupIwWG5CTX5YTRrhFcRUpiDaFxG/MoN+YIb9UuS4a9BXC9PnlkVGUl1wjrZc5Ux4vA4a0b4JfM6tKX6CwJmdrQFMrGThualREyCC8xx/7cm7Do+NIcxopi7oish2pWyJ7NfFmCK8KEam7JC94VbfVZUCPW/2IZ5aUocS6R4wUpxXw1ZWFCmtn95xmcM5DW6ywnkKXhZZzZdAtp7ooZ6m66JOTo9piTM6yJ/CukWpkzkLmYEWP4Nfs2mkdKGxQe63T4REWb/NIIS8Cyg6Q4QHXMXGr58byCt4EzIGXFTzskZvVoqLAP1CaOte6hI7FoDdWrNPQMV4RAGVsIGZiyT5Ix3U6bJTzmbjHy3zfVKz8K9ru1p4Oco/6tspHNuKpYrHuzCNPcvaOFIPckrYLNRnl+QbVZIDqDOj1qiL99EwgP5ng3pd1dXed78Z1MUfwcPylA2avYzWRU1QFz6/tU7p3OOvlXdUyOPLwJdhXMVTFymIlCcAI6Si87Mf36ZHIaNGXOiqW+glGI89EncEpzwyeZRD4FZ8EIZuUzrdWQgvx7GOsMihI1bnTO/lQdw0FPTVxX74Iazgf37ehWKmdXW6nidA8hc0PvLtyXbfnmYtrxr77oXY8pf/zrl30sxRZ6rtDVI41Tvh6I+bCK7zIsL5c4SXmZZnl5WtU1geonKqji3qBG3qJMbg+YmmWVSfXtMse0S7c0O4h0akmvMQLe8TS9/yFrjivWXpFObtsaY5vv4iTCfmAXvgt3428rI9oFx7wF/4ILwcVeUsv9yIgUmGPxNGdmI7afcLr7q0k0UbsRjRGXp7ImIeN7mapXhsdo+3/pas2MzoYk90o7zTsfx0njm+H206dQdlR2laD2+9M2L/tN5eLyjNG+ZdJM6aV74wZo7/Nl1FMt+PsGdk0X435dJk+o5juq2a655jJMsJ0z1mmyRg9x0yXcbavioaMG2elGU2cD5ZkTtXhO2qyXufNGDOrmp51Zq8e8yud+XWH+B/qjtlZR0634/x4FNPX5Hn1Y7Sjmh4ds2VU0+NRTY9H9Re0mt9GoM7fRv/z3vHlbiycfx3or/NNE/6NvGn6cpRk85xOpT18oYeZ6kLAPVl0j2ClM4TocoD+O7565wbR6aHwGQa6c9QE/wf+OK8Tgzf3pW6BcI/qu9sNfp7weet5AkeXqbWLsdhmf/1irMLB0MXnmpqiGBT81vMET9dJe/d5QrhQRM8T1uR5wnf6PMH+Y3uesL1Y+Sy+X8GuBehqkHrvwtHBGf3+Jg8l3Xg/FPHi9L6njuc5vtiUFd/3yJyfM544eBTpS6hwAUy9bDInTdVfTqkuySNedL2EKjdIAUpS0JHURjR1GrktUk5TC/0mzWP8GzDvg9QbMHzxQL8xi417EjXuLahpbSHwuEV8QS3iY+1I4BtRONkmD76lHNmEmMkkF/nV6Gs0nAna+n3W7B6ZLqO0h9ZjU1Ry0Sl3FT06Rsx68ujXYATXtV2+YOvRWXYdVn+vSIzUQ/mgkJkb8R2lM/E6yGLeSUnVHr2i4o3Io0SZiNvVRkZSHJ+yADEvz/LBUW5S+QNQObugxuKlOz/JgL9TgstQgrNb24K9QN/rDn57S7MObWjM7U3b8/MtU+br3Xg/LV/raOGp+XoPT56fz0ydD/6aPv8DMhAhEw==###2280:XlxV32DM 3fff 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###1764:XlxV32DM 3fff 6cceNrFG0uS3CrsSoAkbHfOkQNMerqX2b3VVO7+bIwN2NCAbONUpTLTrR/6IxGJ3/SmHhR0NIAc/5VCEBCAQgIlBD7xjR0OCOPfF71Rwfz59BtOv5NCaakADIaKBDBUukNURpiJykSBQWU5EU5UkPAJcqQClVRG/BP04mQ5Q7uzLB1Tu4ssYG2Ul2XBHj8nnGA3dHyYd0hlpjF+aiQxchu48XMJesTqkGj6XuL4Z/oOhiRHd37RiKOzWyuOzt/anxGb27E1R9nMV10+Fc05YnNf7Zt7Tt/4jNDcc0SzMzrP6ZufsbWvYuaMQ5Rf77iBCDj2BZ6jG2u1HUeXV3XznNO6drTvc9p3HXhD19E651BzO7aPR2xekdt1j86Ounl0tD6jbh6Punk86gu0CiMVoh6fltNSiUX4XeTGiwZ/+g7M3GCBXu7OS0TL8LsopW6E+TaUIHMXFxOsuYvjfBe393KVvcXLdaLgY2IRJoX3/wpMrrS7KUoxT7VOK+p5dmyeQwRzw9PAa6sXEU697OyKZ8UuyimYjHnwXQZ+sNLUegnsLWaobDkB9JaTrtSBu9Pt7bSe6d+/v3J0u+dDPPDn7/Ore/Qaf/33/NIP9ev384seb/UDHXxFzIKb4dabaEolJu28aHRJnC/pMUxYYcjAxEZkOpJwAs5TeiKZTD2+PHXS76WZk1aeSggf+xw+nAqyaXTWyvQdfqSkspRmSYwOJ1mtI3yPjqDIeoKkwBOkHp4/prBI5w8Fzj3xoYQ/9F7aJ88f5rGy8hP8rkzkRs8UCwzz059Ii+V748sGiIiG4jz4ftlQNPD4MqGbgh9WmP3p+IVwPuG38QV1qDjTWpwxaP54jQUkLvupxkIGzRivfbqGp2jGs7QVvuKcuYb/TJ6l15prePbNeUJD3ZZexK/g2VK3pUOVa3xI3OBDqrlu1Q3xKW+IlZbxWTq4viZWeOOH9ID++BLiCnuqG/oEcUPua5kTSpeD1+Sh/gYfusNvxQ359g4fwht6E7zBb8UN5xQ32FPcULOv8NvyJ12QmOwMRc/LuFQ2T90+zj7SVDbP7phUwieA02TjmF7oBO3KQzaKD9L5VI6cyNloOGAjCEfUB2WxEXDQX8QhWeLrA74sZ9home0dewQL84j+oHYhGPTz46g7xXfpBN89J+9iMF3mW3rODDMVxc6Yw4GM6SY8cELePcdf5hPRQUsvleRYBJBZDXP14iwd390gWy5tPPCo1fUpvqMP1WznxyqMKRvr+42Nt5EKomfZCMGHDeF+O9etVFR05xFgG9nz+8Eue1Z/W+kiT2WfH+y0tO5FIZvLNzVuXZFDbX9YgbmNnvJzEhMTtlW4WNpd9irGpF00lp9zyGNud2/jjeP5oWaQH2sGlzxc346djTCVgJd2tY/piCy73VgqJZiSbT1gW4/ra/uqGbee29vKopzl4JVnMbnJVDF4sxm3j0ekZzG5gcc4vP15Dy+q4Atybgyzdqee8UaHCV4/IZJvSZJaXLfrouoBU40PKnZ2ppIMUtjb5DBdn1crbbJzrtAQsHXLrQi6JL4L+7Ny3RJTt/z6pdl1WlfnTvDfozDPCUzM+vhMzjyKdSvZFU0GDynrMLsIJhbFSkxaLNIQ3yrc+BRsjxfsDCbZmIrt8ZKdE2S1hoI76/pfl8WH+6uroHKt+wvu0od00fdvau0rYvCxvgI37+Vy8Pk+5LXHTOjDv28merEEJnh9tPAwZaH2Jtz/AfUeK30=###2616:XlxV32DM 3fff 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###2588:XlxV32DM 3fff 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###2600:XlxV32DM 3fff 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###3024:XlxV32DM 3fff bb8eNrNW0uSxCgOvZJBkrGrzzEHyHK6lr2bVUXfffzBIEACnJ7u6EVFVGQKEEJ6kh4kzrgS0g9NAGBpBgI7DEhkwSDBMAwEuGz/2/1/5NImSm+SdvuDMPKQ/uuvP42Bb/M1fNHvn8vLfb3s8sd/l9f4Zf/4z/KiLwvTLxiY4YWlHmabbfUz76ss+INuk4ND9mdbA2FOdLIwbyMRpkT6h4iOz8iRA9zG2WwUXaO8xLGeKm1uSBtwt6ThhvR8Q1bfod1kTZRFSxYNvv1/3+eJJKP38zDieVD/TOpoh+M2ai28TfaJ1XubFaWjhOZB5tBl8/DNb6dd19SLaNqkgEwqI/lWvxce+qOg8xlPQ5Dw+h+7TaQbluUWlHZMbDdLYV32jRpx+3dwzHNpsknQoXGmS9Q0mevUY/v02NGBMofc8fm4jXI7jmzf4yZhjp3IGtumxsaf43Tp7FHJclR6J6hkFrejEgmoJJ+qAfQoiAJOjmEc+XMdGE6aTNpFae+5hknnPjMV0iceL+LcLCo2/7BekzUitiANLIZO3zznBlEag8Q5sjwPyM8st+0mZUj3RG7xO6dz7ljb5ZDbpCqdW1DyurEVrwwlyFvMVCJWiTQ2y78h1kYWa6DOhM2ZTi85fGGX9jELPGbXtJIY1j1mJ7GSANErzF7bVH3fBgn9nJ26S2jukg4v2b/DirV67O6Y3VH1ls2Wx4xj1Vtct6+I2T5dZ6sYavarWs/vCDvQwGR1FIV9rr5igaBLftrmQGg6ouyKaggjc6S7pIHVUsAQA7JaYJCkQ5WWS+Mt6emGdDsWmuO20z9wajuBVUF34rh3jIYYSR7lhjBTuRYEHXs6kMaOhF4EOYL8pFn/2+wIgt0IUusoypOpdRR4S3q4IS15q/W+jVpVySKYguyV9RqVRcBLUPASOyIemhE/dXvCxFBe92yvdcWz8bBFA+1C7XM/k/dh8z+XyZFlFPMgx512W655fBzSFofTGYffaNNM/p5/Ny8fszg8I8plNibfHaX+iD5GHOMmDKuLh0zaMOmlkq1sUXVOLHOwnq1SrV9ZKVbrWkzJO9a8Ku8hxrNX5usoWp3S7V5Z20+5e5d3rGGdNCdfdTSqWg1BomcPpt3vazqFOqV2Iq7zRCCJvbcfjQzlfhAanEKeR1FFuJHFgRV6T59POWuiMBDKeVb9M0O9UGPZLktrDENuu2h52Wap5d9H32CVeUYwzXmMP6XaLLY5y2GFs4YVMJTjTh1DLUPjtFN4F7FV1+i07jvwNzmqT50aHZgT8oMNuD5GXH/Bd4LrMNnfPW9kuE6RZWBIfaFC7nPEIvBEBT0LcK9hWSBUkzoWQANvjRibJss2q6IVMQ6BSZdcbBb3a5V7LXOTK2JfY21RXJNnhBozG6XrzKPrWqVutdxP5JO3jHPL0ZJYrZyPsgVLblRpE7m3QtoqPWbKoR+djSJ98vUuSNS7JsaNc85QsaTirYyPTFGBWdNHvG3W53TnPEI+MmIXxRlxw3hWozJ5xKTXkmcV+biz/vYn1OxznZrf21nB+Zxw6lTrBlq83j2m5tOcYUI2tEnOeBc4U5/nxJl3kQ2L+qiZVTFkVXhwlwHHKS5iXRjnuDBNq/RcVyyyWXymdFumnC8mAtIOaH3vHVB+/+AKBLQKnk9N1toyxspWmPz1xu1rWhFGDamab6nQSq5k5bl7splsN233slbCyavZjJK91+pyK9TlkrQ8t9YLYXFvo/VzY5ef0C2tJPwY1Bufi/9uMz4y++dnEGW1zjK98UHdHxKW57xpId9ttfvxz1FyCihJD25QOm9q2a7+PtZpKjLNUskQi5ohYmw2usDQveGjPDOGPEMP8v1hE58/413WxPEf007JuB3/nciAIcMx/d4hxct4pyHZnMSR5Tok3A5cTNvIajT9pkXqSYDhHvRpIt7LJMgd73w6OCAU3zFpOpV9CDCMhK79Sqcw92mlMNkl5oOC+a6J+bX9yFqV60xNxMcOxIcbiA8M8aGJ+ErkaIj/wS1mP+ZRwDz7KG/MIW+MD/H+/9FX0EOOrR+lXUDp8QFKTwGj7YOsm59mva7uRWQ5Rpn/+pwy85yS3qrA98/OvuW3myUHA4zxrVSWvgLSKkvSI5BF0oUyMqM0MJQZKu+rpqLv59XfUMkpa5QWeRgpp8gIQI/60OSty8cePAcPhkfxRCGe8EF0U4ju8SHL8Wn1eR9BKSCofcCXpEjSyzlB1b9MZ0TVXuedHUESI2KVZj2zOUt5NovDnCkMIz1T2H7JZ5ussIySc5PVrNVL/DVxfK2oVT3jrQpR3kG7Quyp6l1xg6zXX3VWQ7fOxT7PrO4xFa56ZNLu8q3QARjh9Yok7QS0tj4+eqWrfquM0nxBw+n22SnI4nP0a8vRrytHU5Kj0b1+91vBJEdfuyKVO19V7vy0RX5TpnHnKT4YlZfnsbYm0mtFmjo1iXfQDRZfeVGh3XE5/XUz00pbh9VuMqurSPewx5+/kU3568/5CRsy1viocoBQOTzrZMaQh+Hhy+d/ppPBUOvQg7pBrt/u95MudCDY/wadv87suLu1DF3aCDBct5mZNFWQizruCuXqgz6+p9RQoX6T2r5hb3EMF/IuzRevU4LT9XdtEDNzgqXabuQzpWoOON/1UrVytfob32THVPnFRXkiS5NfrPlz+U55FM9SWwcV6do7FMreLog4IuiEnS8k5B2sld8ZJbcZ2+egWGsWV3KdZ6LoFbHCV0bfkb2YV5eyFzTt7MWQVUb7zC5hVGT9R+E1wjlOlm3fqZ6/GZ1YpVt7I8OkG29k8ttLe0TLxHq72jmB8C6Bz9B6O+d83pXqif55MMwDAhNxzWNu1wE52mPvTVjsRgWe5vKG9pvo+Bsb2T7UaR/tHcRSeGcr008h05P4iwJMXxs1EcdGxKE9ZC3rt6zQb43xxdwmjeFNE6jSY6ZH7M7OGWRWIYz8kFW4bNr3K79rvSnnCKvSKEjjXStUR7nyl5sVjaZ8t2JH/j9ZZYBb###2972:XlxV32DM 3fff b84eNqtW1uy4ygM3ZJBYPCddcwCEtv57L/5SvXeB/OywOJl3+6q7lRyJAQS0hHGGjjMcgEFeprELnYQQsLkP3PB7edF7FLIj9QRLSLiRAsCLQ1aH99KJTkwg2b2syJ1A0KrqHsVH6EMDiz2Y+QEKFKSWUtWOw4tKWHuGtNpKc2eZ7O/jmPs+/v3D2PwXn+mH/H9s77Uz+v1z3/ra/7h//y7vuSPfPHvYRC8jNhHLEbwHEoAN0M5c0/1HymliIt/LDgkBjqpGblEWkQdLapo2rI5CZheqXPpeixTdva9aN2YNW2Rbsw+R2eztkFzRQPogPaIOlpQaPO72yY52oXsEhE1ux16QYiaJRyWgL5YkqEFl1wwsXk5ZeVkxNZHgYBujWLRzKJVl27mLVG5bnJ1HJrB5FPH3o2Xg/hlCM9yfzXxagjPB+fLB+fLB+cLg/OFwfmKwfmKwfmKwfnKwfnKfL7F6IcT35F5FhpdsMah5861DGg5hF4G0KpzFQNaDaB1PsvCCsoT3bGCAd23JgF9WRNLpUp1zUktrhp60gUkSQFrNQ86UtYhTVRbvVHOIIysNFbk4wopuflM8JSS9l75iKv91s2aqtXazbq3WvejJwpdrHXOEp3WuiJ6PtkesgSS+CDQHiHN2u2F2DhWEMz3UmqxXkZDv9CyUpu/H8HkwWkE9pT5a72dRerVzwfWx6gw+dv89qFj0RPtzRDt1RFt2JaEaQs1fw8iZJn2lb0w61HbVBitrn3ghfaBZflWW8nFrilYLsZQLPACXwojLigqOPIcKWc/vRN5ffrSyfu2hXdZ6T5zck4BoZroBaGxblVBA7KbIbtpNEd+yXWHSNyQxGz12/hLEZecMBHjzdmOiEg7v2uTG6xcIkJ2oGWOJhvOgBZoBSYUJ52re/VcKf6LMU814aKtr7mf9K/aBHj9btrELj4gd093dggeUzck2U1JP88b1vZIlqKUdUpSe1ZCea8m0nZfg+UgaX1C9jfqU9DzXJOfZ1VPOrMneqZf0eN6lTE9YSf5mgs82VMCIa4V3OynwNFsZrI4+/1spJRnA+Z/4Xbek7FgYCz2cCyTu7rH4g/HEp6L94wFkRHt59Ej7Ckj2t9f0xC96DR3KX7MFSBfRqZqYK2W9pVDHmkcGZ1M9rJDR4qmvodmGpwqGu+mDm9PIMm3t6qz3+qBkwx/sOtV4vrtM+Z6VWFzCrFQxBSP6M74GR0ovCNQ1ECgqAJTqVQ6a2uZ48ADF4voGv7IxSJmY366eJ2wi+UDF3NEUK6tw9XFHLmYN10MTRfzMuEqYKklWpouLlFQ/ogA8DjPZy6O64VczLCLIXExm7avaZ37fQzIx9D08YGQvsmgvaMjQqKjppovd4RuP5nrmQ3E2dRjUHTEYLsKFA5KKvWwHT9O2tmoHxG2Oeg5CcDKTfzIED88jZ/X/rUH6S961tcVQscarSYLHXYdBzVJy9qSlah5nYbqfsHq48CJfDY9nZFpERI9p6Zb63BgE1trsrmosoJ4MNaMyKE5Sn98AKTVKiJKUczbXhngVPIx4bVoT3hZifCa78yf47dkh2y5x5ptpfRtpbOK1qPaesKhE9qBgDM4yzI4+4Im9l8e9yUOTuZkzFmTQ6VyS/mEsVds7d659X0+yNirtz/mJ5k6PdS+3aKTfH0VKE62T9qqTTSZKy29apzspmGSn77Ww+QuX6/YOszX10ryZY/4OvPnxrrK2HucTDN2icrxtqfJQE9f+9y8txxrdEmp6OV4GYr5Isdrhc0jymuruktSoJKCaChmVObPJx8KtR+t4tluKfSIpZHoVopn9Tkqa56ES3S9jG5D9MOCqYfKJSs+O+kpmMz74mhWnhRMV9KcHhZ3yYxT4fYgFfL4GIYXH4nhVJg/QKunwrt9bcXW4b629hijdvzSc0TO0HZ7lgqpzlZhJ7/TVMjnr70y1e9nQCcSUOTt4RzgeoUif5CRXZ2IPUHRn7EnqPW29w/1k97ugU919KnrNutxVegUQDf3xv1+W6f9dtyPMLCTsrMBH3EaR5xOj8u2UlphRXIrq09gGaoZx2clZpN99woRZ4+JOBsi4qJoN7a1TqFHn9/NoUGqJBTWQaBFJNBzdO9i3AvOvXJNj8re76+9+pGazf1VOXtX2xrcuizAshqSaqDR8nr2QFycDTrkeQ5BnaeRV0FODbp5xqaKJCPVUqYZKtKMqUgzjus+DBX0F3ZNegr1mmjXyNw15l+ZRA3tICBePyg7yKZ2kkNzy7m8pMf4mz2FFwOAwPc8NqKCsb6LnPwW9pFf5Dda5Hd2VMykO2hIxwR7r5r5G1aHPtFY33yVUg00OmyA/FacRJIh7IHGJxsG/P3MqyRxh7Igeb19uZZvXSWSM+qpOm6IVbT032kpb9pU4+9u2hXHU7ppd52GE74eeIRwSM/ismlpJsCJa4pRD4kOJ7qMuDx5SM7oTJcV8e5qZsycGZ6LUrjzS7NMvy10bo8pey9pJ1lNup32KgubiYs0qXyjFFv5LTBB7/QNOf2VJpH19bVvKtGZerJLeK+IYg0kunCT7pQU6V26AjcLgTUh/uaZ2tV9ZJH2ktXs3i6xU6OTv7Nbd+y4dLeu/qYH7Th2krKhq5KJfPGyJC86DZ9m165Lnvip68LkGRRLfmWygdfN02O6cOPwvVO4P8h1S/6MV9CFW6CXWiwbbG46SVxhDxpotCzkTI0kZZIz9yoeF91CjiXLpYjZPGbb6/YuSCrEiAt5uiCpm2+Stsuy+H0uvU04WrKyTLI8HjkCv8nyUg1jLA+QZIOrJfi+9z4DXhBvflL34E88y3icJLuAdJvI6pOH9GiG2qj15ODkt/DkwbubIXfrLDko/rWJsZTa3YnxPY9jDTR6b/B0Ht+Mw2Ssh6fz+M5bx1vMBUlxW1LflJyJN5vrXcUpCVk07rW3QBJJgRLcaD9yaPkfdyeu2g==###3004:XlxV32DM 3fff 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###3276:XlxV32DM 3fff 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###3176:XlxV32DM 3fff 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###3060:XlxV32DM 3fff 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###3152:XlxV32DM 3fff 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###3128:XlxV32DM 3fff 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###2824:XlxV32DM 3fff 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###2980:XlxV32DM 3fff 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###2976:XlxV32DM 3fff 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###3072:XlxV32DM 3fff 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###3016:XlxV32DM 3fff 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###2964:XlxV32DM 3fff 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###2956:XlxV32DM 3fff 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###2956:XlxV32DM 3fff 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###3004:XlxV32DM 3fff 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###2308:XlxV32DM 3fff 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###2980:XlxV32DM 3fff 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###2756:XlxV32DM 3fff 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###1312:XlxV32DM 3fff 508eNq9WVt2qzAM3BKyJGzW06b7X8I1hqS0N2DhUfXR05TgkfWYsayq6JckTULyqV9amHXhPE3KypxEOU2TfMiXZFmE689jfZ+Jp/p8/evd+vqlzPVJe/ft+voRWq9coPXc2/+4/7xUhITFwIxxEQczBlt8geORHeKRHeKRHeKxYqhWr4fjgUcDjwUeiQzXBbHCkbBg9GJhwWCLL5BuEhOonBaEa+20IHDfC+z84AU9QQwInTPEgMAGLx7y0XSCB+IwYzphRLiOw4zpxAvhIY9WDzxUDwrXg8L1oHA9KFgPBPOCYF4QzIuGAJ4bjCmlGaN3bjCmlgcMrJ/Au02818Q7TbzPlF1rNo7RUCR2jMt89GJh2QfbfIHqAlQMM0a/v1oc+qvFoT7YoT7YoT7YoT4Yro+fPQoN6ugCx8OyD7b5MhgPYnnVWN73Md2MxwHjzbr5ZmTsO2KLV2/X6VCUeNuT5sbEsShhHbodg42+pLGubK88dWCROrBIHVikiKrgd3r8Ro/f5/HbfItlOamn0RrZ8HTdz3CN3N0Tm3y83tN1vQg8LxZ4YizwzPh5oo/dchPnirFAzLFjnMfCjsEmX0bjsWnzz5jS0DkjJ7bllAfXU4BttjV28r0QHLoD6256Mwly6gxWNIXnEwrPJxSeT+jei47PqxI8t0vw3C7Bc7u0z/fHOoGnCpCDopGDopGDolGrCwUULUMKb8fodc4ZUvgjBjLPFJgnAvNEYJ7I3fl2q6iNYXqzP+S3KPPhNkYXiP+vzMMry/DK1ldf3lHSSbT6fp6tzMaVdV+iWl68/1bSwzdnq7XUz5UapzizE4464ZQuzhatzw5OdsKZnXD0Ns6T0/UNlVXhD+yWw/e/sDZm16et4tr8ur3Xns91VV7n+hWr/pZNA8Yt8Q1LBFmqimm2lCBLa/itlvjU0vM8SgGZstnyyZXNlk+2bLZ88rX1U6Xpz1/ny2bLJ182Wz75stnyydemqlE6mMJ0MIXpYArRwe32OgflqWfJL089S3556lny5FMJ41MJ41MJ41MJ6yvkR3ztXpVvS0zmzqJn7cyz99Z6Z9US0jPZbHmewUtIz2Sz5acZvdrwvItMYXeRKewuMkXkaf+vWEimTLaccmWy5ZQtky0/XlGIClos+fGKQhTQYsmTVxyTKZMtT15xTLZMtjx7Jw65jdhsec5kOORGYrPlmS8KzBcF5osC80Uxd8idy3OgHs6BejgH6mFcviSwL5TAvlAC+8LIPp4C+UWB/KJAflEovySQXxLIr7+ZVP8D/xZ/lA==###2332:XlxV32DM 3fff 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###3100:XlxV32DM 3fff c04eNq1W1uW4ygM3RJv7Jp1zAJSjvM5f/NVZ/Y+vC1AMpB0dZ3TSdlXAiT0QKLUrk6t9EtvUshN75K7n50xdaiXsmpXUr201so9tdVTT/dSQro37rl0b7Te1IFx8jjdoAj+2Gw2xrSjlFxpP5a24buQjMCTs09cRJgxpDSJMmC0lSKORK420bpVCcXVM3GxcL4Xl/S9H3VivgTlyny3t2kp+apFfegwkpQqy4PQwow8PJdA+d9//3Cu5fnFvraff46H/TIn++vf42G+xF9/Hw/9JU7547hy+aDW70YwTn8nKTtDyM4/Px1dlt3pPhs9EXvDFjQldenlRc741CLLHZsxQalIe46roHdYt9IwvkBtvhpt3eZvJEZJqX8+1oDE6ajng3Xiq7t2EMuyCOg8I4fQ3hpEMzcBMA0/Pxf3LKwtWFFAhZkbR2PdLBw398z98++CfEbjmT88HiUpO5aUG2FzP6/POYVV+XcyyPN9TjLuvfl95eR8Z9lxjy3ZZ+JI26ciPJcknrNlD0Fxf8+nyi7KU94N8Em+/nX5+oeqXL1U4sexZpWr50E1WzORuDS/xTQatngIQ5FKpyDHgCJa9B7QDCifEcrXCKXxm9WFtbhsMXJpgE6CICxAUBUoWtRodH5sZn4hBAtyHFUQM7OS41mh0o660SDBKmlBh7YgcZhBywW08alKhxYk2gLp8CJXUbmpZ7t3g1OsQ+kTCaXt7hrt/cuttCOXHU2MV+3oMDuJrMCZepnBPZ9oMc/Ax5B8xvORIXF8xu/Eukw7Ar7nUiASJBf5R7iICRmzvAO65O6Sy3wAiDJCucCUfsrpy8oW75N7wnITZR/eAO/ke/D16C6giY5SlO+iWwPHZwXscm4NAh5KFHOBSsVA9c3rQ8nL/DgWj6EiZ3IAFRzW3uQdMENv0XEUGGVP4oR5KeAcnC/trck+p5xXf/YfyATdCvYDJxTXEZ2Q/MAJ6cJHeYNNG4K7DSHjhtjPrdoQj9eP8wSPgQjlUIDbggA3ENcEQmdT1I9xjY76Gd1F/Vu0qNGIEAUZT+yEzwzUwWcqYJPiUsF2yLpQIJUvFDCghQMYjSlFrPFxViBGZ4iChgD+DyudRLrsW1kqHPRot1+DZHhB3KNFznOm0NHJ6Em0yRnXFHrLDqxCazTTVmmVO/C+LBUnFIHe0ipPMJM7tF1A70nes2jdoTWKFgHdS/AEMnHH8nw0r6h2UKyZpXIZBsBq90YSOYVMZYDEqeOQR2jLCIHO26J7B976kWJZ4pbrLZ2zpfe4TtKFT1/meIUMSeTfW37Xmxbpf3f4qGNbvJG8MoTt4JU3UvLpz7JtkhDtkAG/LEG2JSm/U7I6OdRpu67qjfsUzm2ZejXYe1LuHJUsoFMq/A504v5XyolL8TRjT6X8udw93e9GWufVUwx3Er+nCgUMEWiT139rhAUutZWnEl0beW41NMsBsfb+HeZrsBOpn5F1aSYVI7KVRUzxUaF9obrdH6PQXtowxVYItApoM4mOnlZNoqPvF1PoGPV3sLJ7tAkxa5tEx/S/X6W8QYvW29ygLcgqZ9BqCc0QtCD93gYyzBFvszQTg86EQusqjx6jV+StluStllapllYpl1Ypl1YpllYpllYplnYVR3aVQNueEW1B3ZRNHFj7E8eoOcHzqaQ6sB7tLAZ8dGlywAOrgqclUZ+WxOPHN4FcfnKAvIGBk04b2znMGbD35emO8sLyhTdivI8b5Ejv8SJj8dpYDdV0xL8dZZXLTOS35Giz1FTeYBttZ3ti5AnakudnhZ6ecey2gOUh+s+jzQJagJXq4WlYNDMRBY2fByRAX+cBfePBEvrWg8mqILbuwVjxYKJ4Hn15nm9Td/k2+eNCU18q21OKmNsRo2pju1xAj6emhFA3MK4dHLN28o4Ua/vdJP2RWxtJVOYS1fO7PkQee11VPKqcUecGuROPezusau1o5qnRqtYOStAMpTOgBI3vK9PV0S5KCl09b3or/ervxR2zjngdQeltYvWB0mnfkBXNrajNArU9XpXavvmU2ob3aijRYyoToPkjCMGrqWZzRnetadB8wVcmhyox1/0QQiFXSuYCmtsCuCWaoobtUsN5mNp6DFaTlyl/tbnr2VnPk4x59WlTNi2MC4lbTqbahpYjmytrkJJC15ZDcbDofpekTbKugg853VfwTel7BOtLCtuhwurIsDuF6bHChn2obVpZG+idiYZKAmXZ0uag0CKg9waNKKuzHkg7iB/gdtWV5D8ugb6e37UF2B936sYFypMxfmIBvNk3BUncs3EyDVS6uWdD2YsuYwB70RZtjcJVWfwCEjH/+6tOtA3wiVsIuA18Q5XtdXbEsOyoU9lbNoCrK+xwtN0vwfHzvL1WIKtw/OyoR1c+dBISB0I6LiFx9qr77/tRe4ojpeoyF+o+SIwqLhi2REKO0nFQMqA3IEAPNmBIOoiV8vUkKEn3CaV7nrV0H1PSXc5f7iR7lXGwa2kSlFRhg5rKSADF2xlJPep8RnLCoouuBMu/mS+6aKJFza8izlCynCpXEdiTKCjHgv5eEPHsQ6FZKLjxGl2K/hI5OMcrVHYCLRbQ2wJWkVjkckOFzfvMouGJA+yVzOX8gN0UQgS8kTNq1XaFGlE1eN5o0xIcP2jREhwnaeqma1eOFEAKCIpozb6gFdbnAmGFt0Lfmq1bpl6jnnffDpVV6RN5X5769QS7i8UzhG+D+Kx1OjHqH22hvjPee63UiZF+oaU6MepvtVYzfwx/9m3V6u8XZKh+CeCXCaqA9ZeK1STWp+AGwQrqkhE4AdHXaXJuOHf5JqO7yzfEnMWVtV6zJlrTuX5nkMZ0jhH430kYECXkDfpqJNns8cksQldHivUmkClNoFJf1Ax6QtV4wg33hOyXPCH7LU+Ymh/jkX+nQfTGuB82i8Yj/lbjaDzyn2giYR6SER7S3lw8MZGm5H53fkNhPvTGI5kl78WW0GoJvdVoUhqstMDthGc0leeqPCNROdYXBagcW+IvhVWH5oRX3D7yilvxirp4RQ69om1Oad/eK6rxKW2qviDQ68TUWU0AfXDk9FXpo5xU/gdYoZ3s###3172:XlxV32DM 3fff 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###3036:XlxV32DM 3fff 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###2936:XlxV32DM 3fff b60eNq1W02ytSYQ3ZLQgPCyjizAq95hZhm9yt6DiNhoN6AkSX1Vr7zQNqd/OaDSSoPc/g2DmtVXjcop8P9W/VUSFAz+OfhftLZq0V9tAUBoBwKs/8U/UaBFPgbJ+WqtFcggZZOpNqlaKhFlSRiTrPvbDflUwIjeN0eddJKDfmFXNO9/+78ObfwIrTYcMr0MGnGRtmvin4Z1bfjt48Jz42eNXgsvzT/z//nfZJfeYtNbW///oflrSUmO2LT+55+/hND28zP86N+/5mn8+cL6x9/zZH7kH3/Ok/4Rzv16yQDTYcPL+/w6QXjsBGMvcVr/4j93T4EkL/OaME/e3riN2D34Plqdo6OPD0j2VafW1SgQ1YhQDREBzzDRox7DKvN5ElyY59II7d+2xhnUSiVYNCOtVEOU3xCpceyO5x0hHfy8J670w7iyXXElUFzprswiUmbRKa5mH1fmiKslj6tppOJK8p4Qraxu3n5Y1aYRwTqZD7xfl4nrglAl3spxCGfosphKkiCsrMfXvK0e+ZpriI+xmEF2L2NyT8xUwOQec5kJaOYc3gkoIwKbPwnPihJo26SZR+XQgrXTKfHNGt3LecErYswtZ8yJQas86NZhCzp9Bl1mRhHKhgsvWX0iVdGMK5lMRTCAQ+mWa6QEOdOGha3RdOE90XSCcbNdPxtgWiMgIulam3dbV0oQ8uW67s5yrEyfQVp1FktiowlHtVF/yehsQ/HZR+w6r9Gt2/AnVoi1IzFVyT/4FogviYcU+T+3msimnSWxXRKgVlOxnlJP+bsN9tJ6tqzr2bL6MIc8zD9qC3OV1dZDcYGCAFDQQcXQEgUdINeSTUE3pzDCLvkeEpcggU7n6u+3njjXdR9TDa1ieO7dJhPYMSiBCWxXTSCAUj80JymRPEw2pkhVTZGCLz2ZtgziTVGwEl0Di0osOJDC8ZtVXXmpuuOl6iJFFBI9XBqRmnPgtocgEOqg+efAbGQEdk/GtQYEHA3zcFldebR8NLptHY51wDGmENHgfop1C3rsSm7iOQypZndssD9nc67t7kmTb7YK79PkdZPzVlJ529VecFTyFjiD3g0+6GEPephcFvNW/4b6m79Qhr2ESSwceKj9r1X3c5f9LpJCjdXAsB/bPI1GlHdplO76alhu9pHbz52JExiu5QVcshEu8wAug+AaqnANDFyqCy6F4Dq9S3q41AHXlMG1LL8wZuUEL3JUxgfiynJ4pqTsoUgj1CxkzNi14JkGjdg9E6/kzVo1wdng2WXDxG1f2MTZDjkXDimaF7B5c855FSXzUi8oNXlVUz9uv8pmFmzbSJl5GxFMuGnMjsYjuPW/Nw8c+aXLzJdNSTSzOpOeGfJtmlV50jsK/MZqjyEDL2SNWBpqBJbC1Qggm6t9HqQR5RaF1h1YbfPZy6WgagzW+AIs2QiWeQCWQWBBFSxoSLrPwTIJrDOBmDOBmCHn+Zb5mkDwEtfitkFlSeOuar9PAueTbNu8z1O3rcdM7k1bV5pXh7tDlY2yz15u1eGpnB3v3bjp5MSN2Lg2rw5F41KKco2ArBv62fF2xcgy29XJgtnWwrG8vu1r360euox2ICQ7jX84UTjwj8a3Zxpcpk8xDR5FyoSNjDwpx7b+7VJwkxRy5ErS5ccsQNEpmTZZEOX1nF1rkwUi1W0Cy2Gw3DuwHh7olICSLG+RAVXlLSii8QlYFvV3J1jTCdY6DzlYhgJrP/0CJK5tzzoSp29Anr6NLGDHLPeAsKK1d88phwjYBwH2ybt0KxsBe3gCVALLsmGYgVUJQ9MBVJiddqtnwZpPoL6LzoD6eKDMrXU7bm8cfaBo7CnoOyA0FYfPN65wDelsdUW3wLZzVkWMzu/TqH20/2MmTaEOneIIvpRJ4j5Nmv2sA4mGWLAh8g3HdpVJ1w1RrflXPrRsBIVgHcgj/BHBynDgF2BqzPfQAes+e7lkzvWEVQzr1MBe4aOGub0kk0cQ7tLFoLGMEyIGvuKEssCwDq/L8jfDK+9gP0PuhwxeL3l5DiuOMZcNMvLR2XNWAlGmvMMa1gq2ywpkvZ8yhlo0lK+D7uhz2kwK6bQjeTFBhpuAZr+cUTz5vAc4mlsJ8P0MdjuWT3V+yrhp/QCog5tuI0zvzTPPTe9j13g1kQUqjmD3OR1AqQRUqvOTPO8dwHQ55xw+v747nRhH0EWDCvbIwYBo4N4ODq9PjsA3dwrkcYtGOuUxywaHrty+0azVqQ2aJq5W3GzObA+Xhu0hFdSX9dKWib6TUd4mZ6xsgfJOBYzjcVx9wXUioxlqybYolGlxiWbp8MoKb/TzI2IaJakuglsngludZMWECG79zckK96H6zYMdMV2NP5bC9EWRs5UcmZg4W1noohDDW2nlbQfDq8/r8yjXagzt9wUdrpqgLVFx5NgErajS4dy5nOoCSyWwzgpuzsKkv3NemDRsF3BEgRFf0WVSTRbcIbkbvnpa+0Ln7vLltUEiFulCNtzkcIVsRIVMdWmk08bQsiF4nMMOTYUMz6TOe4cqNVy6llwioDE9J6r0d1/8mNeFMmP/c5puhp1MKcPTXihvS378+U4Z8NLlula9qf18O8d+2c93HPi4lHbO8rdx9Z9IP4v8yBJGn6TlrSN26fpj+YAVyE1Oml0N2yElEsnKqbMhMq1akH3ljp77j/RpkSOTHNUlx6WTF+iQcznIfo2zTnstw0qxD6WUPg2y2Qeg9/t+6uG1aF38NMjGU/SxeqHgHinjg89j5e2qnGNvakpWLmvDl1uJpzpocn791qh+9N6VufVWnMVcG8HxUj50BwYd23S4/+Q9jvhIcLdi5dPPBhS4z0tTNmzK7ff3iTjz+ISxjPalhsSZZdus3RFInnPGargdxtpYDVVO+koZbsGPZDV0j1olRey12jPtzmv43/4FgvbzPQ==###2544:XlxV32DM 3fff 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###2832:XlxV32DM 3fff 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###2932:XlxV32DM 3fff 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###3048:XlxV32DM 3fff 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###3028:XlxV32DM 3fff bbceNqtW0uW7CYM3RJC4E9nHVlAle0avllGfbL3UMaAwBJgO8ng1em+UCBdfVErZdEiaqNRKfXvv38ABmV/1I/5/bO8xp91mv/6Z3kNP/qvv5eX/fkMvzjhy8xms8Z+7ISIys44olXKLOZjRvc7NB9rrftHpe3d/swqjAi7fx7NguDQOkNrnCPaI+yOSN/3xX7cOrufA91vrJ3MUp7QTu5/hzOrO9+UI7nTX7hn/eT24snX8t70N+Lq7+/Mvj+/j726z0GIgRJizAlhrhDCEkJAkxBAxKqahFCCWMceQlhokMF2k2FXtdUtMuwImQzTIzKMUYnmERmmuI+NZBgTGbbXkJNhLslgENyXua9kBPW9+naoN/cOfhXuKt0O9SIrKNx3ztVLV/MqzVcv/jNDkymevb6P8eTzn4Xz7PscdIMm3XRBt3iSBnEMNRRHa23gUDvuag97jLuBKZGqDb0dO9CgMRFazFtOi/UKLQzZHi9cxnuOkQ02SXweYd1+m0Api0OHCu+EkMatozHopjH0eI2rxuBXL8HabxuDl5/fByM9ZkqP5YHXsIQecIEeQLiOTevC7uyCocbFYNK4rcP5U4NMi+PUIJzaPFKnjerUj+hl4j4QafFKtPi83xktQMMvAqoiudDu+2Cn2EYMP6QJZVIBAR3ThBoPVEJXecBFHS9Rv/qJl/d3k3yuOaVCiGZfZSPCObf94FJO6v5LK4hD2QTnyUq8WypRpg9jsb63z8GzN+UZ5jzD6cszKHiGJ+bQuKdlnh0y0hVWKo6VlyyX8uxeNSNzTQjA+6rhdE+soA2PrrLyJHFBhvqRDHtZWXit26zkvd9CWQk5K+FT9X40D+Ti4cmfxSjYmTgwPLtcJMkcs5VTD9ypRbTh0WzZyHFMzoEFaXfLr59j9cRL39vn4NiaOAZqVRnJNvx1hSBlGBzfpgof36rY0jpzq2aDQzOqtFZ2/RQ9/LnXkZ+kXuD6k6ynmLOeZcFE9LUZ0fNbbV/+iI0Kf6trmrBFDbZRXS9Trmt7TdeGfAN23Aq7u1pnuZ4j2NqMYD2n5+IMz9T+NswVfhUNlAecL7LwQ9+fTN/DI9u2RN/QoW/oaLeJ+m7akb2ga7lGKnTdqJLGh7rmm253dD1GXZuga1BO1+h1Pa1FpWRMyGDL89v9W+G74y5505D7XMiPrOewe6vWMB4irMOIseSzhFdkzz68vYiHC/h5l1qO1kxWEdBDRPisAlOPjO1SwJ67zMcdUkcOz1xm1xnyfSB0A7/+J6x1P7ffm+hsF00QBRv9Du6n+yn2THPHfc+Gg1s1Oh7pL6scwtlKqrcAElvB4qkbOItUxegyWy4CTo6d7sCio7qVsNIQhasOvLqE1wdF+vH6Eh6+Ny/wvFukbqFOIT7Fom6h7hb9Haa88AFN6WEzeqzg41btK79fheFfLnfgV3RlGXDJB4L3WCkTy1YOx8ohYizp18n4kUQrWp/U1Dg21IgP1YhRjTH/AKQxaSm6d2uoX5sxqVMv6pJeqLlAR3SCJj6PTnB4bVPJl8aIqe+PJD/qOQ/unnu+hLYl+pzryVGmmvP5fOdZlDF9UcYqA4R/hvBvK7p6euL5p49enYr8awWa8umZrOewQp/W8fRYNzCd2sAkKW8xhElYwQcm6RMeRfychY022nRmRR6NZVZ09pUs73ThM8+88z2RJ7z7etzAO9XIblJuY0nwGj8Z6yZXdJkK5e7mNvkOUm7DBYmc7vVYj8ysACV7o5kSzIkULQMR1TsX1Wq4OO+HEw5f66upVsfldGG6A4vmrCfjoI62OiU7Ck/Ggn3rzOv3vcToWF+OXV3vXJn1vrd5pEwTlRk7iTASZS55t3p+/zqPIPF+N56byqQ7SMrknFW6LFdS6gp+zEfSuGTokWh1FG1yKRMNZKYo7nV3IOtLpC6EMyB010I4I3QnYUdOouwpKRo7EhEaBLWc8AgrVTtVImHi++mdhZGBWd+dPJFptt51Ol/HJuTqYfBzO3QHv+TRZ8LUT5HyqyWv7OlDiI5NLWw8IkHRCM/Wc9jIIG7aLtTc6VE26J5H04rbpyDyWOfhf4jbDvrVbEiaiVZrTn6KaDq0QO2E13sIW8P/29Kphsgpt0mpCXWw55XYs+Rl/9uV/cPJx/nnPdzleSd+0PXdqUB8dKOpeS0x9ui8u1N7Fo98Dk+WlWk0Iw4063KyVVy9Wx3pvryJFvJp3nni43gcp3qQkulaQsY+NIV7TqQUQGKTslyx+gBpmAm/KzIdokxTKbpQv7jmLzFFR0twi11THGV3qu4cZ7FlGNZhV4MxvGXPJ/TI9L2Cu5uKvvbY6IdTl0ImVC92HHqd4L2Ow5rUPKsiUQNda2wPj15gBv79xTgYW6nPcZVHeFPg0TpNRWRo/vVXH0nVWLz9WgE9RLSl6HPPgOXCGLGhe7DI60jiRlgQncH1LkIfl+51EbbEpE9eTC0D3wHXIUGJ/e96C4GbJ9BsKPTYwA7NdKX9TwM75DQq9LCnMo1iEyMgsz7txIhaUr32rXW7h5u97g+pfjFX2GvlcpdEnTvqGkWzrysLTqasiXHy4ykDMc4a2rJoToDDI/FHV5maD1pR8eet3tfCid9m4tdN8ecTB7YifkvEnzP07HVBxALjdYEIH5rCl7hvHwnfRuHHok8DjXp53r6Z2nPd8L888QzSA48o2iuK0JcUoQVF2NpzCh+YmopUDwPTrQpf6zRU9B7zmSIY1e/+Ll0ms6Fm9X3r5TC4RRpO5ld0JsADWy2DENj2sXVXXXFpz5SeZw9MSHz4mn+iz7ONwTHTc+PmXe2lu4YRLiOOAkMc4Qos39ix2pDCH3syg7UVWYbgXKlqganAcvk+GcvONVvfiQ44Y0rPNCYreNn8b6CXI95IVOLeD7kvtg9FkP2Z1SMRWCICXd8r/G1Lg7qqZjopnxfvdUW2ZJriUN73XXgIysvHYGHefvfRnX79ofi2nhlk8bpea+1B1jjk3X+PBEGcfEz4qTb5+B/R46yo###2960:XlxV32DM 3fff b78eNqtW02S8ygMvZJByNg955gDpBNnObtZpebug82fwBLg+KvedCVPAiQhPRDBt9EAME2TWc2GBt+4gIYFV1Dub3afP83bWPctmDcimh3skBqUk1SCnE0YPDBZx47ex8QDA+4bxMU8z+Pi4v4cskSxs7ky8/NMVG8mwviFfJgtgGnoWrq6vCf27xTohibV1TSlOZ3QJ0+1NXlP7d+ZfXX//fePUrPGn+ln/vzzfNgfO8Ff/z4f84/+6+/nA3/UGz675eDBeOaYWREb7VjSBOPx1sxGmU2MvXXHu+/1oP7pon7LRJY+vLU5DTqM1LL3YUvzcpJLJ7q93quz2NIsRuxz9ri8q1ZhL1/T421O7SzplOLhquxKdqD3kjRnP7fokxcjT76T5H0uMCjGgR7YwWGGXU3TH9LkvXtJU8gFs8sF4HPBsmGZC8z6OXQ/KpuqZNNoLyXa1kd3WaMKeQ6LFi0YnwkLOTzkpn21AYPumyPzIgQZqGQgyNiEOQTc/9DEZ0wfvx4zGkdjiXar8HmOrNdo1G6fRIubKBewGNYMR77oyUHCBjnzHBpPJyxWvspVb99XUdZ9jvuadK0lay91eA3u02MWx9wO3FGbZydl9/UeOXd11TDHrc1xq+xvEbfv348b9By0GDbdbsTXQNCqKoGXGli0MwCygWuDJCRMdASPX3OqKfEhrKQNZUlgjeCBwesGXtWBxBboMkFAk0yeU3aZHtopOyWgXXsIjYWExm8ZGqsLDTyFhg6O9QPuA5lOaJwrNDYymnejZfOT+46YypL8tP+/u0I3TOsxeGCYenbLtDqZFpJpV7rrXkOmVTllf2laqoFHb8FUtWknstgtmOowbUh/rai1Ie0J9OiWaU0ybU5oDxq1pWmfrw9YxrRxQP1lQis18AmNr8Q53jWJ2qmBVySBcJW7476AKd2nB9zH7wy45T5I7stnql/Ko+aSR+mDR00jPOp0smD9eIFNKcKMNFuUpr2CJ2akG/jIvuYTHpp4TYpMD7+G2YyiDYPWIlqT8qX5RCDyoHZC8DF1hwc5DcM8aE5x98xxt05l3L3eXNqIbpm/pO5JmkVik8/MCYENtA4UnENrMRXNCSGiifH3/36DvGXlr1FdquVPU91XdvFWFYYn5+E8k2+KghX965GSh9UFn6nKw7qDxkEPzQOxSrFjdT1ruV7VN1LVdeU8/Bz3bPJw0X0vqTJw+LEKcjqXYsPtIGQ8dcHc+pw3xzVw0iLDHXUXx2/fZKu9S3r74OhtHM0ScvtibwgF/JC3pMoq3iOm871o33SqHz+UjdqVOZLBRLdBadjng8theCuH4Vc57EqNUlKNOp+H2arjKUGQJ+fjKK/lDBjQ48R21HEMrQVF6YUtae20fWDp84uvKG3Dg8QnussytIjmWIYmNUh3WYaAHmYZun8B3tU1fqHfIqhj7OUrggo6RxD8rkUEzY6+AAmgeKet3WTQH/eP2+qRbou/AacOI1pY7NlEXC8xa2nfruc+oiZ5D+jiX18sXg8ufr6weD5u1huLX8niUzEF4xZv4uIfVe54+zvasTkboUU1t+YcZzRkQTNsP0N2fX1hYZJU3OO04c6h56KF6a/9Tq2AlK0wND4OdLo+4a9DqCXalyHItqtHfR+07hu1tvIlPd6bh55dY4ghpDG08fWn57c8U2ic67pxhKoTQzgcQyg8ZoABDb3VfKMltmQnUZIipGbx956HmO1uRZD34zOS+xBBpME5q/Kxw2I+bnD+Vs5C5PIweKJaGTJiq9RFsM3WiQ2NGd28cVqFW0xb00BR+lXxdEuNZb8wlh401nzBWDMx1tQ1lnR3M90wFqTGkCKRteTcNCvDnu35JW5NCm86fr1zys9aeOwmHD9G545iz8mC6ZoZUycD2LPzqB6TYhvyVQys1F1L4a5t8mfQXkRWGYv5fOq7DvunWXPBbYY8XpqYBooliNiiaSUekxlG82nQ986JltA3nRz3pCbn1b2Lht7JL6NKvoBbfM5St8AWXzmYfY+NR2VZkiKO/8LjRx5NEdKTxt4Mvfdt1bHnGoSHZHjUNJI0Lo0u2uyahbnPgdUyl+8PhHtd3g79G5B0Z8FEJl1HW0t8VFo/Kb2qB3LOIGnsl0Z49XjTPM8RDkcWWHyshHMANJqusr8Xcj9VSsUxFnI/BeQakkfXM5LOMWtC984xeMtraZ809NiBIhbfFxmmGF6IxfwY5YhGaS+ktrioY9y7glV6eaVb0ZYUvc/89PhlSs6k1vcevTCQn/Mpajs/ZKukPCKdltk1GjHi2tfkRtg99hJrQFhGxk8cWN+KziVF573dooL39U09Ju06dWO31NRR2i198joee4JVOrtFd3cLXpkFbfWEPfbKFWLD6ikKPOJTFJqP99tfQ/oP3hL8YSCi9cBhYBElhbZVqEmqkpvj/FK+k3sl0mq4I/CZK9A5ft+g665UrPb8bNTAcT5yF25f0/ncyQ/jenjustHIXKrIXOTI5O0IItumcm22jXXOvGT1P2UtzA1hhjFeiYKYZWrOQHRQziXp6O2Kbg47c76OJ0WumNfe8+TYiomWEJXvzEk2XKsz49TMl0lzi5GOWoE+zNfX5AbZcO1HGPDjdHsmproaHbefZurpld2gEkNdbu3OFqMf11NdS93IOsV8fBSbKefW9/xbnQtnLor10fNdLjRIzSmevY6VcAH5HReENw/rwEs7FCWv3CFodrbyijdyy1x2IQrZr5vSkr2kNdURkq3Qq77pSTjzo1Y6i15HBeNPWpnODLVJr8ODqbeXeKpRNGLL5rj7lrvJkP2n069pNJM9IT6XCojwdkO4yzBpFIydVrOJZ7dZ9NMysJPntJPhln1TXDb83dcT+QPPILKW9k84NXwriSdfdDwu6ujs3m4v95y7uJn0erHk5sPozDLec9XJfrz2aDcX8rOL5f8BcLPX5g==###2832:XlxV32DM 3fff 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###2236:XlxV32DM 3fff 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###3068:XlxV32DM 3fff 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###2492:XlxV32DM 3fff 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###3156:XlxV32DM 3fff c3ceNrNW0uW5KgO3RIgBDh7Hb2ASEfEsGdvlKf33rbBILAEtqOr602q8mReYSykqx92X+aPP+cHfk3zDyA8LNjZIgb7xDcGMIA4gV7+t0phwLcF1EpR1PLT23o7Lb97I6KFsPx9si+0xxVY7Mu+wFgDRpBzGYMb5oUGdAfvMybiyzNX9HuRxO250pt68f1q+fVvizqU+vvvv7R23n+pL/vz1/zwXy7Mf/xvfrhdufr7/bOsDYt+uR3D9obztmMgGgHhDZFo5AzeN3jujdY1DRqrl/dafo921V5ax2/r6FWbEbFIaHAL3i9aMqse7LqKImsc7ULDsq+4vw2Zdhr/X9exbpFcQMen9rDtc/C9PumaTCNR3mH96ZvZDy//Qg826eF4DhSDG2Y9kx4+ZMwZfPSIGj9vniLZxdHu5q5nWYLnPWuxi45n2aFnbfKJZz71Ucw+arKPhsVHMfroU7vaR/38s61d++i0PDGsay0LwuZBOv28noRuNBXRBVHQRkB7mOq1Wa1M7HM8Y4lxp559XtikXEbE3fl06orRd37OdiZmyP14cZ+yXg46Z/QC1LKL12Zvje/qk8Uqdg07ZL6w2S3Ha8sacYXMazJD6oUjLa5v6wQ9b5Yw9I8pWbVmPS1rbrBO1Fxcp0SwqXjHy3w3Ecyv3mEr74DNHzc2R18sKvNgzSMrfEUbwoImSVqGdaYdnRAJTay1tW1LEBh3dWRAxk7s+vddbkG8qqiqBrI0GiuSn1B/mlt90b+I5zwL5wzpyWp4znvWpodWbn+Blfe05kiW1vNMaj/lrY/x1/NrdHX3PMVpce2x1Y95e/gGab2NEZNPPopPvq1qItbrGLEgxcg1euJ6xuLubLM3neV4DcTVWraOXn18noQ+uztg4hHd492zG7wlPYEDG21y9MyXnw2bYQWCNnt+ldF9Rqnler7BsYuuaptZYH+V2cUM8373y6Lf1X1HNgtN1PouHqIVYu0ikz0WXnoz2VCVRZoQd330OzoQwuqlI/XLUenRoUA+FBTWmdonjJUkHO5ajK3rmf+E9Pen2cukv+sP+RQyB2ktah6zPmq6mIfpK9U5tZMRle5ympRjutnrCWtMxZ4e6BQ2Ki0p7utIMp13wZJOJXeaF3eC6E6gdO1NBn62wvTR6HqRz0F91asZ6FkfCgUiz2LXYMEVXDbLRUQquAS02xOdhOgXcy6H+baYk9CQiquzaHNA862tiC4IzJJGQJuqrDSkKOSKe7OFnL0olJpmRijITdtOE6Wfe2GfbO1ZbA2xLjimb74fOaUOwN4nsIMMYGLjuGkcuWClHshU9VhKD2THawFPeyxS2EChktvlR7Xc3unQRLWvolrnpkq13+4HnKjaGPDjcuP0yrKvrQVPjg0kbMuqLQlQSdJnTK/pteNNxbKKJFlaPvicXElpO3QORJ8o0mE3TtJ6epP2sPM1r+LjZ+Hmh6hJHOqj7E+1TUA2HtTv04/JpioNpeaw+y3NYff7msOHffByyNq7fL7XCEK37aQxQXxk2TZbNuyWHVShmoXdaxZ/1Cw+k7Adw1JMzewwNdNs6EehG0/TeWDlgJh9r/cd0ePON1a9hvkg3U/aI2beFJtr/6ALZSzYSrHGbP240JCG257nSYKjSBKimEQhVqZ7EqJIosBNGWgqceyw9SjCDwlCDwjCXCYIf4sezGV6MF168AI5GP5k2BUMc0bSJMgTRDl/6URdSv0gn6h0/nZD++b8JduK6KNtyWjMKWtGpzSet9ujlfvO2tjqROgjZ49NCImUkdpWw2mezNQ4Eg0iU5gTneFAWDN8xDkuc04hc0PyxvCuyfx17oqAPZE36guXBHRVPcOJawLQoX/umgAIcVd/NIKM8nHIUhQM9JrAq84Dn9B0q9hsYx/E0hzPdwfD7jB4nse66RoxnGgcji8M/PuUf/fCwL9E+hcuDBg+l+/kl4OrAIKtqNuS9mRl5Yj/k8qKG74d+OIKVd65ThAyJ4UPfdlkX7bZly3tldUJ2rwU2V5slO3TPTvIeo/KJvIcNsfMVulmk6Mxc2scCsUh7OiE6MdM2JtwNGaKWQeUJlzJOk6XPVn6TlckIClVbF2qBPOzjbfb4YTa04d4YMNCpVV9tQKL5Q8hjqqiHHbrc3lUhqeuAqhs2blbERwpPWxt2dpNa5RSzdgxvqUj3WhIxnaM2mR3CdGPwb04g7+hsMD/i8IC5cKi23XAQ88BWaaWz7SHRkID/Jk69mqEOtgrn7a6PLzkOP2K3XPZmSeM7kNl9y/1s9Xb8gO3xZZ/EcMwARYdgsdmR2rv1BhSryBp9gN7Ayei6UhDHiRAihmhHiRkugL2dk8gdNUjAFvFI3PSWJ6t9OCQXSY3S6JAoGOH16Wxg/pw7KDEsQNf3UzN1c5RdVONHbrVjRMv/J0bO/CqnejY4ftU+diOHa7f6hiNHbw4fC2SgRm/ms4YQQ2HwqY711EnklfMzdaScj7oGOHRXHbAfTx7p1lNfVuz91lSs5oMT1AYWE4MfsWswe7VDIjvaKZqQ3/QGrf5HqTB0HkHum9plV85sriXOnw6srmVOIle0hsuyR6JVeFqThfLUsGdO4rJn74JYU1Nv+vNDy9ivQspFtwdX5BVxI4XX8qFJGmIgoBkcbwzQsoL58sfxlD5Gx/GhJlQ1lSHW6P1Slk4oCxguhh8frvrRh+myL0pXNGlGTR8P+14TQOXUtml9AVKgRuUoi5TytjxgLVldeI2U1nDj29NCZLTxRtUpdMFh2fOpy5q1p/EXCGpul99pS/H+IIwsKEMEzGr3YBIxNwwga5xdzBxh0dc5pFSm9HbQk9T12aBq83qR27LnarO+hTKoxljqyQdY9Yy3laHPM5HbVUgyJdZCx6a66w+5jhdPMVcKyjs7YKC3GOC5outtsVKH0naf8NigjtsEEpxI44lYwkPuejtDzFjuQykZdvvxNgdfaoTE9HqYifm2UrfOrA3abEaqC+eKfniWezpPk99YcyHccsOD001d+a/MeL6IRK6vuJpyCGIZpQPwXRGabIR3xhMTIq0b01NlQtPrykXd91s78qXEQ4IX3Go/NUUlK84hMuuEQ3VdH+MxgYtffdVtNz/zg+qC2jcZXj8T6+wkWf+xgts/wDc263O###2656:XlxV32DM 3fff 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###2312:XlxV32DM 3fff 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###2528:XlxV32DM 3fff 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###2660:XlxV32DM 3fff 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###2568:XlxV32DM 3fff 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###2604:XlxV32DM 3fff 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###2840:XlxV32DM 3fff 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###2884:XlxV32DM 3fff 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###2524:XlxV32DM 3fff 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###2404:XlxV32DM 3fff 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###2444:XlxV32DM 3fff 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###2312:XlxV32DM 3fff 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###2228:XlxV32DM 3fff 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###2264:XlxV32DM 3fff 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###2196:XlxV32DM 3fff 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###2176:XlxV32DM 3fff 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###2456:XlxV32DM 3fff 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###2216:XlxV32DM 3fff 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###2544:XlxV32DM 3fff 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###2688:XlxV32DM 3fff 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###2552:XlxV32DM 3fff 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###2544:XlxV32DM 3fff 9d8eNrFW02a3CgMvZKRwLg655gDdKqrl9nNKl/uPjYGLEDix654sqp0PUASQqAnFSqc0OKnfuiX0ebbLIiozQNnhGnST/2t7fod6m9jjEZAXP9qDKDSsH6cknEmjtsRZkMY1M8KWkXEjrYejRk6yuQRxnzrl0MdMm7473WswTkZrXFaR1vU619fXjJ0ny1CupYGA1rpL7/qw40zEevHrStDIeO+yoyLR+i4CjAaBfSjkElGW2dDgjaW3Ykwtzml7+ysR/Q1WNXAWXVFamId3W+dCto6X+q1pSWIHrTusiU6tNn2abWD3SSJnvdy/89HAC7Bsz3C7PvmbMTPP3tbGIJ+VdCW2KWFtrsk3WiToyta2l0SqqX3se3zeq5Xay366e2unOzZN+z53U7F9p12vhjQX0V8It+IceDLzbPZN/j6ijCbvOA1sU6TmSCy2TYfWqX/3vV3Mcvh1r8rnNdRdot46/dKr/+279a/g7hiiCtw84r7Wb1zRXOjjvs+mtv3cY9pN60YT9C9nlq36cKutxyrrZElXVGJKyp3uvXNNr17vTvPhfLxcrr9XEw363j/2b/Vb3xEnW+PqH/DV9M7nb5YW3f6I97ps/CODXLvb1eM7/ZyNnSRSH4Lm+1949595+fQ8e14Zo7g2fayLiHXMhfk2OfwGdi4HHFXrsyw2/PsDOF+eVzeVxtf4fIcS0WPi7b0e/qImcual7hpxuYJZ8W6eeyls4KXbeoigM83z+8LvsUmR24DHfPIOYqLVUaJkY0yKzQbWw425ciu/fmt8CMSZ+Ozz65VYq6KXbyCFKU7uAIhQ1cxgzZHvPLxr81f5HHOsDbTPrNfUq/1n3mZAg+ADTTwt4c/YeUupJLo6tzr2uF1VaD1uGcI44J1tNdXN6wj2RLzudnoYwpdcMCqdQ2mIQ1KfZGZWx3sZWTKlMheri+huGM+LpGRQOOQQ1uCjsxK5KdUZpODVXklaJ61wbhPNmNtMjS7T8txSwQWjFuJfcE8GN8A0bJTejcKuyZYVtiH4wUT5JAZZ3PwzQSLhN06sDbB6iADi1V+v/KZgxVzX0CCJvqVc7OvNYYvF/xotzvVsm13423ZOlsBbXxc1p3RQXj7+jm43aXz1naXzHtwrlGe7Mzk/K+vanBsLu9hyJ5F+g7tkzfXjZeX8KyxstJ7Swv37iHTnz+/lLLz9DF9qPn3r+en/dA/7Y9/n5/zB/z45/lpPp5P/Ru3spJOykrc4wEEsUzmPhAS36g+kDIUMkdmT5MNLQawj4A0gFjyMLGV4KSyAknYtJHkSbG6a2bjdRKuK0WTmKynJRNeE4gzE5vGMJSiF04KAStIXJGisH50bOjxgwYaBtCFPao+tpM/bR8LBSF6Rcv2g4Ou67A2+FPVhxa8XUTDAHoRsVBYO5UCvK1foj/N5Pv0ooHmQ1qeWdiXdRf30wxyWc+fYSDFYB6NhCIAcnJ5NC3rted+DMxtSdzoQReSlPZmU+aFREYgpWMYSEomITI+GvSDyU+6v6KBTeSQXP5ALmlorKJS34rP2NY4mjgKcahPqzIiseN0SqTQS1wqnTd9KaAz74hPynaLg+zVOitWQxc6k1uQZPfqJH2p3AUBrQvLW1GSlNpoWTBtgwBChECPBQUtm6eK83GBUIAKmo2xQqSXLc/H75KOAkJ6wQDxc/VsdksXybJOv6jutHnPTke6r08D0xVT0tauPKbUCVDsIECnNh0bIxqM0e0lMTxK2BN6+soM1G6jM1CqHsm7aVyLx3ktigT33E7YpgQo3vekhONf3Ge08OOr5YqlVcg6OZ7kg2IOOL6r6rRnEaLlrEWTUiee9oyU7IFT5wO7vPNKyXsZLHiri0X9d6/Xalt433p9jRnv1q/VevJu/e5bD+/1zv/BV+5br6+5bUONtrdNlxr43n8a7rJoXwvm+6Mn3GxPfbOHwq37Z//C/p1t4dax3QuT5rDxlnIbW8r1pfYzE9vPoFJ2MaEkLMpS5n572SVWB2LWq8ayXj+HG+fLNSop12BSrvman3u5xhzlGvZxuDC/vXmVpJJU+42kqdD3wT6Qp/YvOpiHuR2lzEhZgSS3rW6ThCQCmWaTOr/qRFSXXj2j8JQ14JQ1prY10jJaWokXauAqsRmtr5doK2A50mUm2BblYgqsUN5g012VdQq+Kh1JNpJK0PUbqTkt+HG/Y2JlmokuE3+WSV+A7ao9BzQOoHtr4JLX1CWxXXOD9wVaZpJ9DDxhCl0eqQ7Sras/ZB7oPCElLNqdEAteqkfLSGAqdm5KYCrijTyaEtstdPr7vjZ6ztFl/1Srj7On7F4pp2DcveB1rZ7YqRhXRCKJossixqvrt6rq1Li0c29EP31SP5O/CLrknIuea/63uDWCXMVe59LTwu8r87MqdPCQ4jt58sVbT7Edhg9C0ylystXwDvVZWhXSGabrkCsNKHJTtR6hSO4sRW4T9hZyn34WKdXr+B24cBs19zUWPrq0O/XErkWQehEEmkUQlaUGbX05SXri4T7uPzdcH8M=###2228:XlxV32DM 3fff 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###2568:XlxV32DM 3fff 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###2492:XlxV32DM 3fff 9a4eNq9W82e5CgIfyUFjUnPc8wD9FSnj3Pb0/zm3TcxajABP1KZ7F5qqkEB/4AgZSwqpcxsZjQG/OfJzNbYbzsioLUTDjgmijq1Q2CoQaQ2iSJS2+PaBiwYbb4Cn/N8Q6KNfC/UCx8W+eyqi0Xr1v0WitnTz8u/z/ogjp4DPQfGncJnntrglFMvu4CXSwtyIU6RL9BaT/sy38YtHOi5vtcVqG5eozHTaN0RiXw8tSHyYbJF3W47rf/MyDdQHZNFBrRNeIjUjsEDFPCw2S9i1BK+Ex7ImbqOMz0hoPFMNzv1nemyh9cmp/621pqFdj07J2BVeT6VKBZ0ewEiNqAZPW14cH14YM9sRYb15xRsFc4MMklN0I1SA7ujETClPaetxC8Jr7YSWSRt2j3kIF8Fje4iGt39aAxSQ6PdqaS4rGjtmGTcd1q+MdoeaIT9e2Q964v+zGpr5NTc91DJVS7FIwkZ5bNzW5Z6Y4VBkF3StSWySfhpX43EkttkG3wmvWirdPpzMbLVTkvQh/3WR2B2Ne3RuN0oXDHulfSJN5JNn37+kBVCZu3j17s/XdUgnOn6d2eG5fu5ExWbDBLGJJ9uua29j38ame/zJuz2JjkW8xE4j3w+XvsIm69D83Z5nS1vf22fl09Rq4XCrrkDMv2AUBxWW/VZvvPW8ajzVN6Ww8Lj1gy0SmuW/9a/edn43eLZDA/uZnws+Pe7RZ94Ujd/N31Mt6d2wycR+ThCntot5s0yHpdMye437rstEYfuaIq2fAwjpEL997vFKDk8GiXhUUuaR1EJD56bu/3cYuZ9hZysE/LJX9jcvuL15T9Dw+2MVpb0pkbr+LR3uk8q4VY2sh0ATSrsfZV6b+goX6zoF76/f39r7Qb3oT708Of369N9mOnXj/9en8MH/Pj5+rQfXzD8WUysloU+mWJRMQUnkEvzuQVlvctMxAxbExLYhpVNu8xBBfRNHogq8DIFCsnEW4ColpykbIhNUjjsuMHWJgqbGsAgUtMmH4QmAYiNH0davUhaezz1SMo/SDqcJcmbPekUQkMDGyWZRWq3FZKhkVSTO7bNa3JDCLjjoaSK9kaGeiBt15LcQMJrLndp7aFjbUfaby3UJ0nOrUz5cWBvamaWv1qkoC/6tiIF3wqsUwqsKBZfqirP5sHr34xf5520Il2tpMRi3kyad+9XuxLcvZ99TL+269z99hyf2q/hIn4/OiuXLF9G9BQa+GYhda89n93tOV9oK+3v9wX1qH5P+/qDaGlqqd0fO+/HZ/2hHP19SHe2/ElDeFmj9FRXW2MfRLgiR7lpPlySKC/f4JJEUhO7W6LTSIO+sMIdNjZZHQiXLLvVU7b8RCMXyfsTTUAcXDzf6VAx9qzBjRVc9R5823tahg3qp4Jv2yN/Oi6tIVcRvkKoPqfzgzLxMRQqIxqRWpEH/1JPo9I5SWMFWG0godBAmgoNpMQpdmgk3W1xNAZJbR6jgkpYrD9yKtKmUmkNabjiaGvLdoTomE/sF2gy5qNbugvhM5zGV9ROvT+YJ+ojRoAdOHLngaO27ho71iT1dcq4RaaH0Y4LnjobWyLUFbQ2RSN79isWieY05tY2KnRGMDYMZdpVTpoPE4J1X9Sv8kU5ded+Qoao8nGDDEq8iewY0KF7q/PuaPIQdaB2qTPsaC+V7byCj4Yn6jQgCMy4rU05ukDdlJfnCh+5QV6wWD7kpgsW4+0b8aoP1Nu9T5HTB5IFgKXWB0miD/HUlkQ3XZCE1zLGtMPDCbmh7Ss7Vo5RoHWsFJBRR29nqFu8IUVydZI+RiKo5B9ziiJC1Eq03CAvL8PZKrWohtdiDFdbUK7w5DRmT04ue3KalX9yWv//LB6BOsHtBArWLaejCwTxjqAe/C7ABI2WcAkEWC3SYXb85oLBS6WYltNlvARkgZRxXWbfiZG2zmUZx2euY8R1cigZgVbl66aLQtni9OK5BcQXG+J4NGBjuFBMaISGyb2x0wFJG+0Sn2H4TAOfakoUEKyo6onYU8Nxrr9ArffSoOECUfJujhoyny7EAk+t2AjAU48crfAA6vYL+15aV68xkofXvWMglCXv4H5PIaf0DQURo67ZX+lzEPHYVGi3yoRs8TcKflNa254yyenUWA1MPpXcZ1XxSsz6Vnpk5qnpI3Odeuygzn8LV3rUt/zIhbC2zYYojDxEwbaldF0Dls8yfC37HYc9uBZWrTXiLsp80rXm8eT5ei77l1xY7o1YobQwoXECR2phxGYk+YVEr6bzzpsbMqow8zQkMmGBmjYYemTS5Nd67VjidWnhs1UM6q4GlBLzJPNLPOmWJDTz5Pu4eEbXrO75DINOnQ2omWKOoYMvc/EBAQ5N2aqFUxsYWmyWfinaiz0JC+WWOja01FWnvtwvI6aGB4LLTz/ZQ0Xp7HR1xtL2/Yalsl7Tr46q7Uh3g17TO2uktgOWf/1UPSFXyJscakzLo+Oi1f/cGTf4###2268:XlxV32DM 3fff 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###2256:XlxV32DM 3fff 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###2344:XlxV32DM 3fff 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###2456:XlxV32DM 3fff 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###2328:XlxV32DM 3fff 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###2396:XlxV32DM 3fff 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###2492:XlxV32DM 3fff 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###2644:XlxV32DM 3fff a3ceNq1W0uW5CgMvBJfg6vPMQfIcjmXvZtVv7772BiDIBEStufVJl9mIMCEQh9ci//+9e/ymr7Ur3+Wl/2Syv3RUgv9MtosxlpvFvu2Xivt7ayt1kJYv/29jbZSCIjaPr2NM/P23dtaa7Tffp/Nak1toYncYNoYpVUxSmuVRh0IGxArgjYBbRPiQGO2fUKXttcOek4IGj2FdRdoq7RE0SYhznUvAS0z2iirjDQ/8dN3HD+n8ccYG8bk57yPfu+Wwm5PG9v3Nqzsw0qepbBxWNi+DavZNicOXPh+2ka5jQ2bNWM2hNx///v3t5TOvb/El/7ze3m5r2UWBefeG+V8g3BTeNwyEU6RhLMV4ZKFJvIkhayOQqRRJylkB22G0B5BH8csWsecDgZibcCeZKLGKUAr0aSFI0hx2MBJ4diUkCclvICUkCUlDI8SkqSEYlMC6oQgKSE6aNNE73qkGmibjyggsu0W2iW07aNRIsBxV/SBosIlffASkkEVZPj5+aMdgwyGJIOs/LFHhwN7hhmNhrIzzJxCr1C0TYiIjt7bRk/AZ/eg6whfV5EaU8KO+zqw8bCvK3i8+tLxjucb+OF6cFhthdDgsBSJtjW6GeVP9NSJ8ncOZtDzKBpxMol4vBoerykzSmv3jFKhJxzyQVZ4l4G67bNqY9dmGC4dMofhtZsQuArdTwjcUEJQo8+cUjazRAlyShpta3TkZhsNuSlB1JLdyDKlp6PSOE5KM0VPXG6kJoeNZ+XKQD7bis8Lj8+SwWczwGcDGCpIPgsU3eIznc04Zu7jm+iToYLkM5LWAnSdzK6s3GdKwVrha+owu7s6nNndQMypxfz/kGtZyO6pYrfjsZtOtj4TG5zdKqY4rplspQ5ARJzpUxstsi+QaAn4CtCtlRRnLLNXwDUhO5DZ4xg7AF0G0rI5sR92VWf1OjBLJOQxg/5MR9ujInJn3lqdJkOz09zPKvYEOe1KTk+vndOa5LRmcFoMcFqAc1MkpxVghCI5jaNbnFbtlZCcVugOWpzG1/TJ6dOy+shEpoLTMZ/Yzn1pxASbsTmHSNhPX5nA74m/qbSSJPMdyIwG9ZzB+2tq7gDzv+eK+aKn5nMoFu8014KFJrKXec8x66Py3QO9d6MVK+PN+ImV82a8+8h61wYzM34G9R69Hll0gTl4V+ITQ1W3aosjE0sVUHVF1Jb72H51abnstMJI1JeK2c5IElb36U8WVJgesvxVslxL7M6iZvm1fmGb5QrNa49R+4pEnTsSeDOI90N4WfiRIOoJWdQcFuifYpyuqdSy4VE4LwrfavHCPcBmN8DmrLbzxkN38HBbRMFDteo/erfwaj77qbkXg2RQ7d7Rpx3Y7bBslZgSGvc9nhVsZPF9aY23m+1cl6ZmnyNtwhwaqTs9t5ORulBGjXb0oq9ETOzSgfy4pTNpN+O9LO7z1dhpafKGMFtV4Ox1x1rr/ncf79Gd5fH+fA5htt7T6lsyR+V8fGb4fP9mYtsXw+fl5vVm/y3G7Xs6c23O608sPPHzQgT1nTEtMmg8wbUIs96KMrAHKBFG+m6mZEGffmFGqFoDzz6SSlr/ylo/vZdS6+efXetF1no8H6OuceonesbN7ReNdI4082RB73DjLxnrI+aI9bpZcRU5bdLfuuq6zt9jZ6F/2rTk2ZZsUmPTteSHLT2nCJKlCOpR5ePP2We2BlW3HolKPf9gR6M64g5223uaAPaEXdGS3pbu9DDNm8ib+IN1o7O23hqolDZ2Vbizzg2lFaDLKJg7BH36qK/fm75Op776Ul9FqOlsJ5eGrDltazxXRHrOGjmnImvMjAgKeWkmFpfKPa3dmXz1OkEjF+j4jb3Zx+/vddp8K6yhMxJiWjz0PO2IDGs/Ac9SMU3auKe+flB75e0Yc2VGjCl0fMwZZz97tYxI61Okzb2fBeqEqXTiu6cTFuvLI++PfuaVuVfd9150JrLO1J06c3RPa3cmN/CWSo4WvS6BCp1QSmOu3qv0d9uqMOah/iiWb8wc1SBr6PmBrG0e9GPxQHZ6Zc4b2hGe05Hvy1vaYZIlDbTjB9ZwVb9uDm8mzYh2OLIPqZt3IhKtgHXF5qx6ZoD9/Pq6beV6tW/xXl3UOMnQONnuVDJncshIC7qCrqjq25poEU20uQuActEx4phJcUw+0KtWQz55X3muzHjHc8su3/XnrsD/pxheDftAH9yBSqdnhYqTV9+A7CsFdpfJ17nwVKOerkBP16on9pKVnnZveOhKsqyMQtXa6Ympmh+DLNT5zb0aPdyDdYCFU6f3RDPagNza3laSsdh+v/IZn49RrcU40o5eM7NqXAk2zUOxVKPf6yF/NR3rlHo80wGzZQcsRfbxfTQ6YFw9iIolhudcif5XO3NCbkMYNw4CtTe647o39oY6W/XGXt/V3QOq5xp/rwLrdmHdq8++V4dBsCtD9bHo9So06pnL3Tbb6bZhnmau9cxoHUJrSMXsXy2dDEY/cn8wlg+6B+rQ8Rnv5I1UxB7J/VNvtZv1meF7J/KNm6TcCnS21bDvWHpk/Q4ldZPAYC/y5mZvt/ntevS2krHbfCN7aO+8/2OqP7W36jf+vKh3fEY6bI7ssPWz9t6duUK7CvlZ/Af0x4dL###2416:XlxV32DM 3fff 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###2608:XlxV32DM 3fff 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###2552:XlxV32DM 3fff 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###2480:XlxV32DM 3fff 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###1972:XlxV32DM 3fff 79ceNrFW02W5CYMvpJBksE158gBOhX3cnZZzZu7BwOmwMbmz6Nkk35lIYlPQhJIg0gA00Rg/o8ot79xwZWQvkkDwkQL6PDd05LK0AJoQzuH7x++MkOrDK2MuN1Ta0stA8UlNUqSKPAfv26x68h8p3jdhf7XOmGFFHFCCSrWCVCBtm0dHNdlsXMWFGfsbmwozI81dkFDS5v+uOJqOU/hb2n/PtIuGVrM0s5Wlvte4jtbCyd8je4H2hRDswo3XzWrKNKGLiSg3yVVaE6bJ5w0v6Kl6l1mkD7v0tBKq2+dDo72rANd8IWgQw1fUcWXak9BoD16vvI45DwfN88PWkCkcZ56TqkNb3OyrzzJ/vV38CgIssoWAqeL/V5CMof6FZI+Dnm+JSRVA5Kw5YEGJGULkgHBqRxNWvDPnqZ5zyYWf6Of+RVCzJNZrM643mOFDVidkK3CSjpfPXiQLK7SLQibVcJGH7HF7n3/hmIN/nv2WWG9Vrrz77PI5BE7U+uArvrQXnCe9wwd872gxZD7j7SZ+OrtMDVZDctW206wx307w2/8RmW+AX4TkYmQwavOUgyvfQdpLs5SC1+FQCW1Sny6RE2JB8TUd/XX7jn6GJHwbXFqrNtC1MtZQybZvGy76SnbZetm6WMGpTWh3U2OOt3vPTV4nWqp1SX1jqWxhznT2qMf7Zm0sQySSGnySNxYcklrz2j9tuZ70+KaQxIdejnQoAbC58mu9Yf7wQiP44lo50HDWojo7PehOeoPEFfje+5u5jKH3DDCBUKsGeHiKrFuD/fesYQ41qmJs++wh9gbxROa+PpUDekiHtEFvIX6+ezZUIUM2L4nxwM8LrKLx74fGMUlxIIxv9W+TuzcTXTT7I8H0mbJZWg3wr8UTDZG39tX3Wa75YGI4l8LBqObHI6RDlntY9MIsiqqHXv9hBr8ZK943n4fGG6Y0ZfMavfKtX1zrwm7FoaCtupLRvpg9P3Ay+lhfrW7sVHM0pnfBcxmlTI6GF7mN/Pf9s298l3I2zGUbBJ3y2s2ic5jkVUeJTbm2J9m9hkX0e4kqiBxiiTqjzyrUyxTFLxmYfRTqNrjg/J81ptYdyg4EfUVhmT2VGA8i3s1N7Ojyi2R/2yI/2GPyCyRG1PJnIcFc14E5owxM9cZwIynZj/z3PkJ2U8gv0TeGoNXGjLX3ewV4h+Qd7zTyuo7LYU7rTz0Lj734vX2fu/uxcceCSRTAhB16PIdFXXqCa3Zjkqhb5P0P9Ju+1yeMtrqv+02XTWBI2x0O3faClM+ude1XH/u/CKd9ir83/dr6DQdBBfo+NmgKnwyu/7Q/v79Uwj1fr+ml6RfP99f6qVm/PHv+2t+yR9/vb/oJRZSv7aTZ25LX3Xv+KFPmJ94mEPn4L5rtgTacodNeC2O1HnPdNQq4/Xy2BONqOXhhXQtTb7ZlwnIdLPLq+JZqpuua3j7SHquhY5w9AqX2GstejWGV1FsWJXHoLxKdayazzatwHuO+0cFvGc/cdCGdxylZNSxlqfTEXerZRSjHKXJNnvG+UwQHXjvMzGy2IPeKCjr8/u0JwWKtmlPPPSv1lspcbQU0WyCuJlAdS/QItKppfcrstkRk058+5uzDvmZhvI8Bj5iqD7RjdWJGKq+npWGjLLY9lV1I3heHrLK45VWurM+6ymc56302vCstJn1xAGr1QQfklXvfE/L440nyH7CZ+b98eLJd87r+iVPywNm+3HHFsm6O81bhbFJq+s5P+2b5b7a0txXn4ZmB561H7HWEHyVZt1cy9Peolgjy5/Is/XvQed7qS5PhiXvIvUc0vm0uxlEXZ6T69LgNK3XJX/plh9FI2O7++m6pWp+shdFDP9iqAOFeJY0ek1r08C/uHsUZIcGMOCL8XRvH4rRjPHlG1P7jtSgVcbt6na0vwbKLh1oVIdBDUbOKFROjuuKf6mQyP8P11o8Iw==###2544:XlxV32DM 3fff 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###2344:XlxV32DM 3fff 910eNq9W12S3CgMvhIgAfbkHHuASY/nMW95SuXui/nHCBvsDlu1VZ3pDwn9IpAaYJUrcFCMoZACOX7Jb3zhN2pcEcz/GwoAg/reP0sEzpjDmb+bdWaVRimF+Z6j+W//zvydZfQMFWm+AIORi6W1giE9mSObzE/AMo/jbBsafgBiKj8102OsfHP1uUz2Tz7Tfi7mp0fgfI5sqs/M5TY3/sT0jP0vIhAMHSkXfFk+wvALmTP7puRl/i1A2uyzf4cABB28Scfu39Lg/tQwUkuQGoTRBuyfd63s8iZ00opdh3bdarAaX8DDOktDVFxkRJvNwK5zFlfSaOHpJbT77DwioRGYrSSk+euGm5VA7LSlIGgHNHg0OrRfKeznIxr2fRuEDLT9ygJdaMd5ElhfKrnIIxdyHRK76+G3a2bzVmysi1IJL1XGxdtOkBrjA/qlNdbWrx6iLa9pd2pVnuwp7UM6byE92+glxuBOD9sSdFpMBov9/fuLc/3aPtiHkH9+vT71h1bbj9+vT/Uhfvz3+pQffPna/uw50tRFn9muwMrgToZM4oZehd3L6iIySRzR7IBe9izpIt+hzd+hiOdzSyzOlzyntueB5yRKHRmumvBqsBlpyRDnaEahGxET0Oo6Ltvab0gZtM+PUnpdUtq36Jh3G5m00LwAbdclysZqZm8uD746fBWLuMvXiYt1KmI74tV++lmsX8rs0JkNc+zYOnVrnart3ZWXVIYd46cG8pnyOVZ2nQ06ZnvsQstrTyck0E6C8/PqxDMWIsJEh0dCsd92pnP5x6JjXmR0vGTo3Nshs8rVSbAO7Wkd2BNmiHpPd2tKtB61f2ePsQc1tcUO1NTroxvDe7nhRF7T5Oq6xb6fH07lN5fb1TvLez1lZrxdvZC9l5uaGnEw1Wp8nia73qbfzW9uPsHpEa4myzdXn/PiPLwtzeUHk+03O7eIqdItc6uwadyye/RE37ySL3FjGbcl8QJe8FsuKuiZ9YOcWj/MqzKdpyzTdOk8RU/NKv/ijL3bK0G72t1HZeuuHd6x4tvZTpfql4jTvoe2N16Z3ahHaATPWB/sw/my9K+X4iYFae/wd+WA0EV4qE2XteB0F+LiXRMOLxyjcmDxojqsy8a79uguuH/5uWlRX1dA9p4ItywCj/wi1G+aXKeslu7IpQ/vz+M0QhfrCQ0rl++P3rVR/ZZ+Yx+2Iyoe+GvqAIqbUeN08SQDgfP4B1lQeV3ej31wUjzYw5p1z8VNr4LH9pRv8Ihdl/IhDf6ERvTsB6faw/XCnQWP7LmO2cKeZI53mTW/pTS1U94byedJvo4r5bLXU5KXGJJezhmLHrbwPYXWm/9xz1B0i1jWX6B6F6WPJV+pu6g6oX1nnWVofkADjfb9dEb0XPvRMlZb0IE+taOnUKwio0hX3daNmD8IOlWH85roOmU6VVmdwuLKFvqopa3uTLXO/3ymqFkL1HNCSzb5czVVJJxWfQ8fsjmhI1qH+98BrUn0GjQV5gPilAO94sruYQ6BEfNXaQIGTy3C05lp+6Yp3jaStqSjs7ETmfyug7ZOMRH0E+YJSPrBU/lQ9PNyBqwZ/aSnUhNjZGXJD3UQEWtd9RMdoyl7ZDWGP1+OcqtCq2Eaj5irMVh96qFUvPB4Tuhk4aZnkv7QQGPSh9v32UxQ1Ml69DW/b0bIedg15WXk3ZKXmdHfM+nIwipWzuNQV+fe1vT8kNViTRBnLAWJDrOSwsWJX0mjq6jyK4s46fZ8bHgwH/BgfsjgtBfQ3k7nbywyX5+HQTHn2fawhjfW1iQrd8xkbViKXLdk90ieyc37/MF7Dx+bdTmxMD/eg04sHE73Pgvz6jxvW1gPWlh3W5jwhk4Ls5sWrj2DsrBMLz+duodu3dd2ause8xnEDt0jWVm0dK9v6V7d1Dzr0jwW2DB5CfQcdOii5XfLmGdFh0XbdP170IDmZbfmCSt1aV7c0rzq1nuOvNJ6bSGgphEPuz6nWvOnaIr4Sn59XxLV9GjjthSxoqvWRotlXVU8polb76VnNTzEXkZvzaOKOcUr2qr201M0H0DLzuoroGEAjUNS4pCUg/GYT73G+af8lWZr+qIiZKbeZZwv5neZtgx0PIR7DyOnT7GqQGTzJQOLX0Q06pWe+dnG7zWyfuLFi6/zgfqtS3Rn6ta7Wpar/W88vovfeLwOv/H4yf/s9Z+J1M/OV3Dh78H0yaTiPVlk2Dr3rQUW61+oVHfLNGV+Pjfu0PSUuWii4TAnT0uYZ7VCRvK3L2Bpr8VOILvlXv2egme3UshOyat1LLsLNm6lRLdWVT5+zi1085ZjbJdn0v/1Ejhd###2236:XlxV32DM 3fff 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###1900:XlxV32DM 3fff 754eNq9G0uy3CjsSkhCxu6cYw7wpvN6mV1Wqdx9bH6N3WAweJTFq1QjIaEfsoRQM6FSbNiQ1kiklF70N2t+8UxAipf1r4kQvEEUoKcc7Lqag9UWdonrHlZ/F2AxWQ/7IkEBVsf1Nw+4h9XIqEH/tFhEi8WbEzy09Nji4Z6GlQo67i1EgHbc56Bpx7+TeI7/FdtCvyHeEv/kBP3eGCHOtTntoE80tJMOWKmSl2qqrac9AVbwOMJ6OfmT1/CmozYqeEGLG966GCVNK7s6IzuK0E/90mZdI/1iZr3ZwYplslgQLMxDBBo5aPAcYSO0sdCqEZqtD80ZaLwupWbpmk6tzHW8ulY8x2Vq6GV4PF0Gz/IGl6C5FbrNLj/9J0qAkogSqDhoOEDrCM3ex2Anuw125Yec/FaamnmO0orS5nmFIoY9TFYH7dra+Meijt8QZ/GzII9c/MxIfdkgk9jzKRcq681yCftIfHkHtpp+3xJX8WEE31te3iKmwu/1/Thaby9PPKIXuwO4e3FAt8ugZsjHQnfv9tjG5OQwYF2U5Dd9O7iMbUybPhdKonuHPoctQvtM47tPFt6qzA18wDAf3rY69wCfX5uYD1w/S8jCzLBmyWew/VbufH3MRmerFR7wd6/XTlm4zGQZ8lenE71liBXbYOuR5VttGYwa7L/z+nWKzls7eXDSnHffYH3SNAW8jtsxxKAmuQTM9Xe2OU2yh07WX/sdHP76q+XARk0Lt2WGNK1YZs3dtnXQ679tzXpwnlqQAArRC1qbhehRrAZIUWObC8udbRa1FBd5yvTWqBPpqYTe/Ka2fnGlFKeKrSxitkkN57uRmr+TlODpQE6W/t5HUeskMd8L+dUkLE9ZetLeAOLn06L0ZKWJorcsiN57JHorTKIZBIlKchb2cNkbSAt7nDQ9yexBkpYWzaGFc77bqYV6/fPYQUxXsh0BW7ff6v5H6ENnobbPHPfR9Uo00+nX8ZR2OLJ7vHt6oeOV7aTy8tl3y/dzncWlteBcz61W2U93//v3F4D5CQ/1QP7z6/llHsZMP34/v6YH/vjn+cUPmF/PP1uHcP3+/jrpd6Dr6MR+B1b7xfnKFJQ7zUXoXO3CtFRkznvvFnqyX3fqUAMKHaM8NB7qXqE/T7VKVa3PlKnMw6H/9v3Zf8tgYdLrhgRLnWJRgqUSrOy57P/+PWQnAbv8MsL1i31X/W1XUT/QVAc2ES9nG9ywRwmvZIO9UYliVMJsdMPmfUzcB4Zi++YhV2L7NHRv3UtNC9ISO1dTFnU/PS1KT5ZaLcO/11Ik/a32XXYvtUnU40hUayAnyaZKyN30ZOOJFvfwSfh8svKU8/O2KvLd9EhYf9KxBUVPN8tmYWLU2rpvd9tm/XxX+4s81D+9V3ssmkHI5ZltXf27bcWIxpX/45Y9fUNyoSaDxZpM+sLFVwjW38l62ZV9SrWdK3vENz/xdV3PDlyoIUAnP8sAP0nMqtTesPgaa/cq7PQd0/lJdKEm0yGX5LXciM3QxxvdPk5o2HrPq0+9XgW+rjggod1Lz9F99LjGkhew1Ovlu5e4w7wMcxKmtEbt2AzGLSpg9cWtyb+dxAF+7oyjy7CX+xe+Q7rmG6yFb42ieF9UPryQ77o7B/FxjIM4j3NBR0k/TCX9GEg6RdA8kcRJ7weqs1BYnYXi6iSWKvQ75tMJp9Bh4VrvKDONA/XeX3U2DZLZNKhOwEEyAQfV6boCdHWGr0ylpvEatf09kfTFGqWfzkcU7LJF4rFrBw0zHWHWV+X5jLO+4S53vXKVzBHnoHE3a6aSyVmV6STu+/Aq6X/noadk6hhO9l6y0GGi+ZLuc1jlVwmDHpRKo+BBbZ7n5QLZ7q1uioIBOhczd3v/B8ewUf4=###2232:XlxV32DM 3fff 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###2376:XlxV32DM 3fff 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###2316:XlxV32DM 3fff 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###1840:XlxV32DM 3fff 718eNq9Wt2SpCoMfiUhiWjvc5wHmNNjX+7dXm3tuy/yo6ioENxM1VTNdOeHJF8gkHQESKDtr+o6fOMHDY4I9neiD2qwf9rP5/9w/p80KvymDw0AMNIIGkb7PTXJUE7CGxRbAgK1rwLMA+tQzeuAsA6eDGXDGWwhE2zpWDLAyyDj1tExbYEWfzhLrIRGjA4+KqRZ3oAYV+sLzcKGBmNljM4XE1OGjwm61d/JUBeWuHxlWzJLoIALvgQ9ZysbWd6bg9t3pqYsMT4iOLHRicGSe19ETvs54bzqREb6/WcrwfPbT90KHAodnf1cQW+5zIxr+71C+zN/B/pUW7RaC+mLkRqE9HlsoaA2gl7UtkEUKX63udKnFn1dom9YtTmKVaO6wcoohk0osu8xbeEc6gStU3K+DGe9FkUniOVerKl6YX/K6pPOBiVuH4rqk/WmFj1llei5B6KnQi9aQYCoJwfhDJc9gVA446T1SVYPkrpQtIYWrvke1wZWCtGA71A362WHTL7J3EPB+Xn+zu/eeznIlBPuxjsZ17dqSG/VCWe3u9N7KTp90XDUy0uGo8CFmiL1nz8/lTLf/at7afr98/1lXsaMP369v/qX/vHf+4teavgef1tPz5XB1+ULZ3yBwvBa4V+S9quy9VOkXt6alON8X1DrhSJQu1cRT82PkF4ihE2I6RY5bTinSpyrphx+VhsK6hKzq+hEeV4fiuqT1XZX7TyLFMl8u6tRn9XWi2YciEZNyXmy6Fb4tD7Z/QTFM7wXtk/Wn3J5Xvai9rQ+EI6f9N6iRa0bZKswMW1lnYinsXlnH1T3WrqmXtKz0SPRCkKuzizrcD6NFSO6r/yLU/ayn34z30CFXX1/p9aVMrbTBf4No1bGMuOQ4eqrrVpmLth+SV5Lmjwbpk+8V+zncDK7Aacx3kzCNHjXz7iw/ZFMBeX4gLGiMKdkmTV7RdCE3O3Ulm6Q4afHIMzncG0xDbak03RsnKxTfekLG2sd1L6O5lXESUumR5O4MjG6IrRhH+qbdnhYkdUgYWzO1DiV2BJPegAR1IKIIEO15HpEdgOmqJFft63AeWGsi8X2Ff1m/s+fkvvuReiSrDOpQUq+1wGbnXCdAdVZ2dvOSJf0UfLU20rlQ2QrOb1I8FyxU/C956Rhru5IbWmy8vKdoohfOPGeLvFekOK7Lys1wHDIE0io9Y7aJNS0+PpMNm2ol+5Q6EJ1O2p1So1b6kzFVMRXENE8l3KWqPkWY/NIZ3tRR+p0F+0Wzjz1usvgQg0ZL6lgLYT5YExWkrNWrf25RLYJ1KrGNyc6TjAR+oFH6uFwUt/jbZ+tZ3jLozPN0uvbSKjGLir3/mZ2nLZxCRL3XjA52pOoqCQvpgJqWHqsU7qOE+os9i6pY906JSvRmdhFajj0oKeLlYxV1BR62nfUJz4JCFU3lcwh64/96ixfzH88z/+SbnqQcYJf99f/hzfVeBJCgkF9cx9JZwsgOROhKIPjbAFc5gccuvmw30EzXFgbgWx+3fp/efVLa+epiMvsJjrKuI67xXTpjXiDHQOS9WX1EjNwSO6Z3cJ5Rm0OGXjYwbJoR2aWECtLctkF17t80sGJ9t1mR1F2+T0HLu+VuxtVIe5VDVe61kMFWIpJSPbRJvwfd+JsFDsmahQTNcREzf61M2acrnzjueXbrLIGLYe3iyKuNR90FUowE+8GZN7se96bPQsrhokUxUIKHl5uy5ByfMkrQ4piIQUYXJjU0jVI6Vk7g2bFGlixNrWRTnhqPDiyvI4Mr2didef1xHs16+PsVL7XWXsy0fLGjFVciuEJXM+zv0H6Q0g=###2360:XlxV32DM 3fff 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###2364:XlxV32DM 3fff 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###2356:XlxV32DM 3fff 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###2296:XlxV32DM 3fff 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###2036:XlxV32DM 3fff 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###1820:XlxV32DM 3fff 704eNrFWku62yoM3hIPCXC6ji7gNE2Gnd1Rv+79YoINGBxkfCKfUU4iJPTrCQgVSPgNSkttQKEFRIVP/53/QxW+l0L4XwIdapjQ/6A9DTqt9YSTp1BaZDRPuMMTLEzgqeHheaB2/lv/GUErIfCMTKVtkAmMMr20C/UENpnJnvwy5cyJGdsr7MmJbfJbd4EPOXY99QU+JBj1TD7kLtCT32+hoyc0JbokT4uNTEHwIcOOLafMlG/NBXmIv65w5oRF5hW9CVzSm/DnIbzAnlfEJ1xQszl7zWRPc0Gs8OtpLohPc0F8mo9gqz0fRAf3KGup1aL8rZTm/5/lzfuZf4PAKUief1l2WPg/eoqH1ynoNX9GvwPPRaWVgX7JfzrQ2EQf1hb0O5JkoH5otUqyxJVTXPlmj1TtApf+SjnLRO13GHfrWdwbuPgalM5VkSbSh7X79DLQ6GVnXf64pQ+f9+mnQ/TBsfweMOlb0zeR0rO3lZoHLvsrU985r7QJYzCeJvhwV6aMCPfQkxvtILMOTbvZgzDHpfb3DEf5QiPD3cadyR16F+kV0a61n+m3aKfTqT2EWfSgIcxq69ByxEs7G7VL+YKORob2v39/pLRPeRM3BX//3L/szTn48d/9y9zUj5/3L7xJY9zfkMGV/sr4+xqdY5b2s6JdWjPRY2ZNmWG2pdeRXmdIySx2ZDd2cqREhlR/pcrysCSsXOqcfKGda1f7dnOliL70FsedlXZQpom5/0HO/WllVW/IMuWwTDwiM3z61ejwFg46k607HvHqu4uVsb72V04r9SLz/rYypx7YDiMlD1qnPC+SESJjrEhImSzqNKHWpZsvVeUUWuyoOl5jbelHusmqWHdlhlTioBoc9jOnXvcKxEzr+nmhk8ntWxS3PeRj2dnqNfJQ3yqzuJLd6NCD0dGKK1p06MpD6flDDEeF6kdF4SVLx7jtaqletfQH4nB9rc9ZhnziOe45OOg5jbMS2XP0sOe8rJJXAZr92z5HW+kG82k8r8RTh1z9adtjqtij1T7XppfFeYhCj7v076tv6rPtsH/JYf8a7apguKtSazU6XgHh4MqEbX5iPIJtwypkbOUwtoTuMdDnp9qU0Z6ICOtJt86neo2FRPPSbY/etunXk+AA/kQUdXEm7Nq80bs0eguiv9Wybd1lBPq8PtkiF1Wxv739KzJt6/YPu7u025s4sp/JwRgOnlBoSu1FdXFTtt/pdX27ZQvaXlu3EGRk016XW9vf9X7RBY4bqmaEHtO4dZfr/bvQU1V3lv1zrll1eyFU+yF0eNjYNZ7hYTKMj/IoT2Z593B8Hxi7kZF9pJpssrP0GI94E3SKR+yshngkPOC0bfGEf5TnizHbJruob8AUhnmkSQJT9q0D+4i3zafsok/4etm9j9ll4VH6R5uH6tZC8UL1JCLiNI/qbnUIVdjc7I54qhj2suLsvHNePo5M1f0P8VDDPFLswLCV0/vGeAynHsycjj+VvdON70Odrnkqe4cajz4gYdqbR1MDc3f7L/ji9AT590mkzo9/n0Tq9PgndAR2O3JLlGy+Sp0a/4REYPdVx+45jllHze45gk1H6qT4J3Tk9tXepOR8v3RsTnw6PSX+/bjySaROiH8i63BXD/5Oh7/vgAv6Du6sg+x25I9HYK/JfP0jdRr8E9HBraNhj0fDHo/mA6iemQHHdQacOOucv5h1XnXKec2xlYRXnZ2VeGQ2qVg5Da6sXiN35k7SNLXsTxsV9Jv7GDIajZ11JkiT1XHQdrJ+jyevdKR52jTfaw/hGF9u3+L4P8MILZU=###1908:XlxV32DM 3fff 75ceNq9W0262yoM3RIgZHC6jreANE2GnXXUr3t/GGN+bMCAHXXSfPcKJKQjoaBzP1KgkFz+wg9qAJhxBg4CZsYQEEBKBL58Nv8LKZbPcpZvlPgprAQr/QLuVy6fW1bK4ZV4sNav/PfvN+fqIx7sIeTf36+nemj988ef13N6iB//vZ744JP8/DX7TCDhmdUkQFlNsO7uNDHzWTlNEGy08lMkr9yZFs8oVNaPe3kZy1uZVR5Q2P1ZQZ55GWuPfMmPVEYSrLQ5hTmTStZyQOc1bX7+NrqWswi7z/I58beVByc/eZmAiZw8JvHEXFSy8gfkOF/xNvvl+2T/2cvY/b08y8pb33p5G2sXi2Z7nD9F1p9u/8T+d1VeeplVHk/ygzuUyCVyVpPwJ39Xszms1F56W4mVmEjnYwzyxxgWNAkfcfRZ1raSeem2lVs2b4gWHnEqizjhIzxF2bnJHyNm1u/ktwxTBUSovHwRQbUMbvGZWGpC6u1q7khbYVWc+9VckGvuR549278h97MxtJb5rIhjso8hFE6iClVv7pQveKojA/ZZeqzlYE9r/CQRtXwd74L4d8W7YPmdFfd2GAm0GEp8O9mK421N91tQac78Wc9k0WfllpPCZFYpY4fZz/zM/MPFA3ODRk2ukRFpDHGm9ipaZFFoBJ81klyjJtYIZF4NcRTEGum8mtzmxMhh5MgRxF4V5PnIybODLh+5v3En8uyo5yMaX+Q06qAPWKJTn/qVkd9XgrwLYOR1jq4GhFcSTV51NDly6LHKyCsrPXIked8hybHKyM/IyOPIyO/k+7Faf5/dvTtkvgPb1+KT92SRvih17RLiu77gjNkScLl7Fxu0ZbpgS/DL5CcB47twF6Nr3t38Mnai4gvgUIwgeh0e94t7yx/0brBF+pfhcb/wZK4wugvcsgu7JUboX9vL3sV4UlLwrr4Bu+suV/HCV1su4mXL6ddF7MobKoOrmBdPJG+pmBtermEX15nN5cqwn8KM7OJOdDlG0wVbgl/0DXfjakvplXzErunSnb3tom7BznTpzg61BqOZFStOboTHWuq7D6LrzbbZWDxl+HVci3rp2JCnUrkd++w+nVmWZ/SZEzf7Cuysh532VzydQrm4tehUhwnrez9fKvQuavicRw8dzmlzXkeYwGSal5sCQ+xH04Pgtr9be5SHkrxjFrA+jObWtU0Nm+OVifRJvNJ5QTIbPyK65vXc7L2ADBhERg5TdWTw/ey9gIxNHs5n9XXkNc72NybCSITxPMKRjTKKZY0jEc40d7Ixyn3AO8tX2DSl0WyJDs9Ep6UWWa7SoLfnnnyyn35mZo37HVr8KaN7pTeXdWalaGe5dbBVit++m3MB/R3TG51MXE9yYe7KhZWPMJILxz703cgY4cP9Afc8w97+gGfrqmhYKZKc7NGZuwXqOusYbakD03AflMFoYx/EPJdJNnW4bOW2hm7D8x5ZP3JO+o1kGppW4uasZ5mVbbEY7XGm7h4nrJyHdfbdutwxlFWt82j2aJ71p+NaH30rUCffoOKOnkWVqbeb3Woh8yxmSFjMz5TFrJheWcxzYDFH7MX1jSb9dqOyXTaEt/tdXkH2HJC89vlKVej6U74aVr/frvPuWheQzIx3dbKv581zFa9w7bTn2snLXLvSZL80KdGXuXbf0MiINLZy7e4/4xnX7j6NrVy7b2jUxBqBzKutXLv7NdJ5tZVr9w3kMHLkCGKvCvJ85OTZQZePrVy7b2RHPR95N9dOXeba3R9JQd4FMPI6R1cDWrl236g6mhw59Fhl5JWVHjmSvO+Q5Fhl5Gdk5HFk5Hfy/Vg959rVWSxlRs2ea1f7C+byLjuuXZWVcM7ucbZUuTAttkwXbNm99g/6Zc+1K+7yPyI4KEc=###2224:XlxV32DM 3fff 898eNq9W0uW3CoM3RJIAtuddbwF9Kt0DzPLKCd7jwu7jMB8JOxTPek6VZIQ0kUfPgTocDKGFvpy5L4JHJCln+7bzQg4uQXt+h+McUgPtOTQrtQP+qZp5cHAt3KJpPgLUixSkIJoghSHMCAFceFSHARdzLAuVOEctZHd9ZrC7MygFLxFitn8NSjlZaON84u+Gv6yAkvPTym7v+ywXeauLn3s2KeUy9h5rYarOH4i0K/fB45BKfRc38NSXjPapFz1kQQvEil0g6c3vFy17jaj6z7yF6REu1xZAdHT/gYf+Q0vF6VMt+DFa2cUeOe4Bt0U48H+GYIcTr8Us8a3c46ecYVxPue0Zkpybj40ZdxuXjUiZ1OqssyixpZl4pgrtnFL9JBGvJ23To/RIusvPNsmPJUonfti+5zYs8LJPaHjzDLm+hlzixQ56YQ/+ZizkjON+m7PHZjFhegP5HYJ9LTTb7wQPnN6bNDTiV6A6BJnnFP49H9mTxq0J53iidye3BMVe1Y4Pct5yMbELqfLOff1gWrv9ziTevVYH9CoXaOP15yR8E4BEzxWQUa/VOmngIQ8JmFGD0cULdO7Bj2I4ksWJcScpF6xkdMPYjNFtQabaWTSYXPucwZfI88nzKK4xxdboYc92tIRbUsZs4493LFhuoifcrufR6pwhvXJutWex+qr7GvPlrZbncEgvpw6C6V1pRhfLGJHCa+ITUqclRFKVZzB05sKnMHeqWEXZ3VcoghndNic1DibCp4jkc/9MFpoEC3u5DF5NJoHo9EZoT3O2GNjuuN01K22y0lHDajlzPr6o5K0nfUUsaT1Tr0Db3IWqi8/XM06dW6MWs/52hFq3baYZA354WrTD8dqP1xF+OEqYq3KWH+D5W5spV8j8o5iw1YOsg4RK/SWRVpk66VG73L6PdLW6BcVPe46ODZfrPchrer6yDFY7HXNnpN4jmn10jaxVCXHJPRu16FH73f6Kc9hewdWk78U9K/TQ2JZSY6M+/+Uj7Rb1gijU8znILSd7fumggKrWi+YnJD010sBn+f1UrEinmogWXVZsH+nRonRwzFMtaxhOQbj/sGBKdTHqdb+AeOEA/fSCDcrI9zSj3AVzVCpGSk1c3XN/v79Ze30TR/mA+jPr8fn9DHPy4/fj0//AT/+e3y6Dzsb9yfspDr8FO2SbesPWOcMlR7dsB4dsv1NTk8JfetkhUJf1rY3xzkwe0s9BdHyYh9n61/dRaW9ik7raVhrr9E64TQnbbdIDN0V7gfn+YpC+nnafEV151mOmRJty3FaF+FHsVTOkzqtp2Gt/aCNLavPHJt7BUuF+drEYpr52mTnClWnFOZkY8xjodpL7TXUQqWU02hsnVhqOdm4Md+EcxqcZwEZQk6TZGvNPE1SF2iwZM5rp4OlWG2CxkLC7CGLx3ostXKANJIvw5F8Howy9Bzz2M8FtkMHsr1V1anJqxuLHTtR3N2lQsXks9PsF707V/eJfFDJP+tTlv/aeaeEvrVD67L9wVctWqv4fLZrJNUflPqDSv/TfEv61+9EdGpXL9zplJ85lHdXK5yJ3Ull97Of2nbHhF5idxi2O9xgd9u3RoXTnThl3XgpHpV7KZ9EoaOX6nqMlB7jZ2x9j508LPZY4LzosfTUROcxO+wx7TlE+dwY2ZggPKueko67dBMDy16s0JdP6Vv0rkov9Trd4HW+NyvJ4eXbFsB8BwNIe4h2zU7adivmdj0o48y6EkV9BBp0d2/u6NaH4Ay6e99oZreNQHCvCRr0U4Ne5occqZ2aUXBDTGdRM8ypvW9SjVXiMXE4sopubkmQc+xQ92fJ7who+uD22uzd9sTubc9ZOePC3q3Qr1JEbN2Ga774sMIbvleleFYDjb9D2CJVOXONaOX2qDWmVb1vGJFy7aVGfnfsmrfcHj+vSjGq9zlW+Mbhuv/z233XPKd9h2Rlr1PWSNOq1qzspUznzYIVvtoZlZK+ILruueRd0/BZVf7GaszOSWa5bGcreBNnh9/E+WFsm1u8by7gOTnjuGxnELy2kqwtukHKPTOiS1JizIEbfES3WPeaj5LKSSTlVdc9zufh/LdqjHn+Fu6sHHqsFC5UqOfqlXVxibxNm/XbMKdQ2wa68L1fuaand9bfLa1/7lk7TtURk1OCt4yY9j7vGDEi9/1zpLf78d0j2rdhNTkdffOI9HaszozmHzbWPRY=###2092:XlxV32DM 3fff 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###2148:XlxV32DM 3fff 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###1996:XlxV32DM 3fff 7b4eNrFWkuS3CAMvRJIAtuTc+QAk57uZXZZTeXuwTY2wiAbd7uVVKXKU/0A6enDR7rRgzoaCMP/u3sQIGJnDN2mbxu+wAFZ+nIB4Ygcgnu4HgE7N6ANaGCYB934fGEGP+KnuWlEzrhxZvRhVEfOjb9bCv/Cb4Akrog4TCsCGqUVFx1JbcWw1n/TkdTtqL2iVfPVhVV9O+qxmny1V/ecXllHVPcco6Zj8pxeXUdtX6UDHa2wYp/WQ5OtiQ2+45V51VsxZVavnnW0dw/9k47+uYP+w7lDO+s4dTvqxyOp78l658dkR68eHdo6evV49Orx6N/A6oQeb7DLrJmnjvfb8DOOsgR+w8cNLc1n9ThywrsNHmZ8HFvikeHvLkjI8LCDH/3YMfw8tsQDx0f5cR27xYdTC8Pf6Z7wUX7czL/ElWmUf8ngwzT/yqcov8TnXeCzj9mznzC3SR47ja3ba8F3DA/r9/yaUcNv+VnwIOBh8plZflzHlnwu/EPEQJP8FDlJ+Plbln/Lzz7ejngXzHzgPwseZn0TPn5L+sLI5wm8HSP2hDyF/IfygDz/37+/re0e/Yf5APr+ffvsPvqBfvy5ffoP+PHz9uk+rIdf33NmxM9qZknnbjut1E0rjat24RsKT4IYCTN+xiz4eiQvNwmzYlz4Zfo7z5fxBc9uxi/nEMzwD+fcdFJY18VqBpnGLevO32VECYwk9OKbtVi0kZHADMNjZBNyybKVsvtARMeRcaXjkQm9rFlYQRjpGS9C5LGdbfz6VXk7OYj16to4R0GU2kQPhwapcY1PkEcym1DUcvZqOb8kfKlTLd8l/MDy17x/dE2a0Br9XBNq4mBgOUE4A1Q9Otqc8d6V0rIYh5U9YHgq2At/b/CRbQFvc3kYHqvyhPzFIgy5PGWcZBHJ9A2c3FkukD2gQSbBolvpWr264guNFo3no7im3bEQCtpJFhpO4p2Ih+15ROCA5yOo7g1OyPH5LmmZXxgB73fwgfvgLf1YRdruX/w3ce8af7M7b2utdwd78u6AL9+P3rGiUVqx9Z57vY5Hd/nrVmx9r3jHir3yiqjGauvb2vUr6rHa+kb6Ds8x6p4DyqyCejxa9ejQi8fW2tM7omM/Ht3J+hq8XEW83o6gfgYw6llOLwO0VvXfkXN6dc/R91Wjnlf1PYfUTx2k7qtGXUejbkejviNf76v776G0easvb8BWvOcnnmCtIpyfJdkX8nePU7Mkv4T1xdQJ79EtsvgXZEm8+Bd4SfmLLmB35oW9Ez45i39hFpu9u/AX2Wd4wVgLfI6XJAvl73RP8WLZy+Xzs0j1E7epk7TPaC6xF38Fl2ZxZ+sDTzLUXxCXU8XuZc8pqy3PeTHFivMrGl2TOylWkl+zUe4t9ulZ6AJLX+Mvr2mE/N39Al76SyztL840/iUPXGbpLvEdf5bplpr0WgUEVjP4Kse6PsyPzuao6oy1yjb3emAVRCvgu22vRZRSwnu288q9KKI8a60VBLzZVGH25SdWj22RP/WiUKP8pb5zb4zUS4ObneEee41stdcIWf+SY6fgEo8i3lXkOfZGaZxUDavbAXO7xV1oT4+8swSTn0aeoI2ntY4Kgp3dtmZ/4BfY1KO043e7PUqRz2Z+YIzLlf8Wfgo+o70kfgYWBy38uAo/tKMvnNQXT+lb4WdXX97X1qZvwU9VX1h7uMyparPNbg3Hec5W41GWH1ivQcv8ZbW8Pv+mZ60hb/lMni6zr5xHC/+JY2X7dqfsW/GHXfua3e6AFn/Yz5/RH5r5XPyhlc/CXgd8esZJG5/dKT79mk/a5q/IczD/cHJ+1zR/OD+c2l8SHje3tKO+uM3NLJPMNY3EtW9tR6fGO+URG21s77C3O/9ySnV7bAua+GKlVvbo2GKZTvYUBxWdDqyTvfKst+yGrqjG3l6sdokf99qyTBK7j4es+xg33cdft+/ppgYH3ccQexrzPrZaDLuE/wcLnB+a###2156:XlxV32DM 3fff 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###2220:XlxV32DM 3fff 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###2648:XlxV32DM 3fff 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###2864:XlxV32DM 3fff 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###2144:XlxV32DM 3fff 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###2664:XlxV32DM 3fff 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###2320:XlxV32DM 3fff 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###2424:XlxV32DM 3fff 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###2296:XlxV32DM 3fff 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###2488:XlxV32DM 3fff 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###2508:XlxV32DM 3fff 9b4eNrFm0uWpDgMRbfkn7DJWkcvIBKIYc16VKf23gaDQ7blHwn0qQkVIQEZvjzLzwLAqAneYKSUAkYJUjKmpJoUuG/s0VtpNdrPRrXY/wsppGEMjP33Vgrs8d+/vzk3k/liX/LP7+mlvxY2//p3eg1f4tc/0wu+uHz/kaN8KQFCcTWDPRvYZHvN9dpCanttewl73u17exUuBxut7X2I9TpqvfJo7+04A76zNwAom8Hsp+td2mMbuR0dn6znUYPNlPYIXxPKkfFV4L1epy8nyvB/QXQXdJZNkWr9PT5n2EaL+zwXAVvEkomWW7T2EUf0ko3GEZ9jkY2WPmKLBg02cqUlivaU7RGwRVCU8ZDETka5Z1QiRkfM6BIx+n0Fo6bI6DOE9vJ5hk6GaGPBGNvnNKGNIdqo6JA2hmijozFtx1OSRrunxEW7iD16Z5Pn2Nwj3F2ldPGYwC42t+ydTYHYfCE2OYvYZH+k+TGbusimzfF0smY6VTedosY+dWcVQhVBqAg0TlJn2I6+gzMZxOFGGeiNLBlxOGzRo4/ATNLRWEWPczsO6WjhI1x0StKwjVPIIc4uc+iyp2gW/8YU8pBCIa+gcChSqB5hsDMjiG/lT1XpAYIeVWYtILNED4voKZMpIjJTWhKiuljbZ/loNp4wayJSvOEK1qBSMcpHaOOB+rRVjPIEcTwYaVE5w4DGXCD6ynl4Lj/ypng2JfO4j92P92eDF9RPH/UkwZQiZuF2Il32FM3BMyZSRur3voJIVSbyGR57aTzDIqoPBVkfDqg+zPCHKr6UuoRyFC0itilFktnMXHT6udwU5iBtTs6Evslmr99xxOCCGVSRKuorGJQVVdQPqaLuVkV9ShU1vdZtrgN5LR+pnIjyYFfHeB1jfDTsmnisqPKrHuEj1t9euvqN9mdOM3m4O2FV+MZMwh1VoSgyCY8Q2bnSDuJbaQS0/hQEEy4LkDdyMEGrKHyYqOqi8k+Cj0Z3QhGjYpYKXste2xFXNagWLbg9jrWZYdaGO/SPV/RPPKR/olv/xCn9wyPd4vUcY8VQdSebqskJrTemmHEyT6M8gapCQa6BDKoKRbM3g7NPeTMzx0zqkElm60L9YyZZhUn1EJOqm0l1iklVmO1MomwlR9AkyhZHxzQYv56uOCSeBZ4omwnW5MdVyXPsDIkPQ3O0PzK+/tiTvUhsjfsBV1CyN0zYZHF+xhyD2BQghtAEQyEzAo7NReoRMNmljz2HOwM2xzMPC7ePi1q/Qz+sxD9saOovL/dsUgTo9c86xrfy01LM6YIvTjvdLmuMne5d8VhTZWpQTtZXJwdRJQ57i7Ifgz8VfEj+w6HnXQMPfuDVZ+CnxURVqapXCqasysCqdenHPxKNqmy2mbJPlXvdo9qIDgS3suLIGKewyJGhdw9d9EjsHtLrIBctEGMZNlG0rBKZdyvHhh0a0q2cAdH2jp3x5RraapWp6d4/NKd2uE13FWAaVITOavMdTaCbR6VI+UXw4W+LKLtLLhoSdwmyVejonaszVajLPleFDpjAV0TgfA2BrFHvWDN/6n/XO5XTuyZPxxBe0NSwljqI9armeyJofR1RT8Qaobe/eCEV050bR5CVZ6ag7K58d/40nm8jBWRTfRVk6i5Qpcdn8PzJZv50N3+dM3QQT3GgCf6GgsoYRB12diaSHpOwVppvzaZBLJpv2+j55J6hx2B6or0Vzq+hx1Q6HNgj/IjuDpy6lmhyEccqjolJHJPcPIjrMDzH0k4lqsOKvh7EnktnHWaOpS+q+kfMUbQ/IsYfz4LJYrY0C95J0dWzoM7OgkBW/akKlap+k/CT2eMl7m1Eq49S9yAip9I9mLg7Xdy57K3+R9y9MHdwj34NFf0SD+mX6NYvcUq/cB3Picrc+A4rVeEP0Mqwvvv2IQGKs6D8kX5Jr1/bX7pz9I05ivc3xDX6Bc2d0ndydH2nNM0RR91OjdU8qrOJvmZSo1jY4Vx0S0YUUeqeDh2NpdDtIrO9fm0kHr1+HJE4YRL1PYqmmvfa7iXx6r22HIlY0VhV0UpucapoDCmarCpab89oC0dkz+g8Y47GqCIz1yharWdleMSJ5d1rQ15dHQ4kRwPiSJIcGcRRqXsUkspM0ls/iR59Nnw2n2G9/2w0jujp1Wurx4hevXnB1L0ud/836kSjG3Enc1e7EUPOjSiuI3XXOpJ3rSNFtI7MOR2p+88buvL650o45kpE2xvT9h3Spl71N4daaOPN7sWdvN3hXgx596LJ/R9b+j/JvKgT1K8sZY5Ev7Ksdc2Pfke15X2OMeiskQ2de1OSfeq9zIVhcqdIJ+EanWSNXc23zs2XdjVn5uXKvlM4K9f3nURjVzMkvNV3qaC6S0W9Y9nDG/mO5cIxb9F7wIpdoZS8+iawfqgavLqDOVcN6qpPYrp8ksSny3iAaTXI6ZV2rIp4fV2Mhii6by8Vzu6lLgJTGr0JrMZrKDWNPc13Mlrc/f8Pv3babQ==###2548:XlxV32DM 3fff 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###2616:XlxV32DM 3fff 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###2572:XlxV32DM 3fff 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###2772:XlxV32DM 3fff abceNq9W0u24ygM3RJIyJ9X6+gF5DnJsGY1eqf23tjGWBCERcip04N6nVw+lq7ElUyeDgicdXd60oQWHc04IhjjHjSic4RoDCEBWgfr3xGPbqb1e3gZGWd0i3u60c3OY93Djx/9Z/4vcn4is6P8pxYHP2Z0RH4u5zzCovXzbEj/ibDDsCvyiMe2s2S1dRyOxvz9+9vaGdyX+cKf38tt/MLh+evPchu+4Nd/y42+LNkfPy/gzY9c/HxTWAuQ/FqEk597fRrn/2UItt6TyD8T8F1n48vY3ca7Xfk49OOG1UaJF8Yi2uJ8oAOijqYS2nvnUUG7iNCgpxf0srEHiug5IijwDkT0GGwCJzrMbYtoy+Y+7A0pusp/CONemeVX6IyFdY/aaDCRx3TyeBlSGt+XlcaDp3F5Wyup3OpKcalnME1p0ztt7TrXueloxO3fdR43+JG4BiVfsYbL16DnukrbmGwEd+m5h/IYP2CjskkINMZR+/e0fV/G+mTVhKYS2v9RRu87gYiozQ1hJ6DaCYSdaNFzCe2TMIpoXFNBQGjQrgltXtBQSAU7GtY0cxwYG2Lc2PSQ0QniNQHs6Tk/MM7R5YMiHb0dKjTF4B5YcE9pcM/DGtzYGdzmIrjdPwlu1xzc7o3gdmJQUUNICaSvJgKTziyi8QwRRdoYD7Qi/A60LqAOtGlAewoX0CCiXUTsT/kaEridqGlAsdEXAbWPXr+zLKBGpvpGSiNquB0RVQriPUms8+GF6rObT/IUkusGhvV22JWZdGRBSPpzxFLQuy/jWBofleg9ZihD17TljtZqSz3aNqBHAbun/JRzQ8yF41EhEEbFCy9zO4bfNR8G62TYcu4JyIs65Cpvf6pqCsyfOPMxZf4Ideabt+udc3wRGzlZtOn213cSARNjKZbHswgYlOiXCDg8F7lnilHgTvZdMMpmfAXFGvjCcCOi5wYsCdiSWHIMG6VSjASjjQQhw9dqJk0cvFcxzT4S3B4JI97SSID7GgnGRwLnx+T3sn5qJF3hd0OFs27n/zrSRgzFKr6EP1bi9aYRM8gRi0thn+y74um4zbqejtta0kxwOdN6ykz+v2dBaLP9cP6I+ylZjtf3Mk93/LyfkImdS+eBwqNhFsht+Tp6UxhWtBObM/DvdmbikVL+TfTjSV9Ow8eCW2K8lCBUMWcR7cNluTJVwOgE2zukpGjQU7J983DNiiDz/YNTU7COxZ27lyOhPEuKL31uuoJpC8YYTkOXNV2kZyqXJRKDgsSjIl1J7TFQpEahJaf065GET9GzcO5k3THwsTY3cGePjGMNzdMAexpQWA4Ey2FlrIQvfa5hAV6yoC2ZQtKJqUSZonnvOvOLi/kF0XbGqYtxioxvd57aIeEbbBr7Vtt+WC6YLv//1TBxoQcntkuJbZuJjYzYqCA2spNVwgM7t+XKLDF61AjlpEtdLqPoMNuZWGk/RFZlyftQL7NszcJwWEuztPmIz3gVyE4RyBj59OR8Son7xB9fMJbpZGVBfO1uLusKBqqfWlahJjBK3D7qAIt2WSnYiiaSdtdm01bFZd+Rp2g4FUx2ZqKcWuxH9Jb9oN6yH9NIGo4Q44hTeKVTb10UVCBWANBQUB37Jab7ryJb5gGdFUbgmmVcg0fCtcfclnZ0QsN+QGjYfyg0tieJtAIFrXoEHGRvx4X9tPmEv5EPbgcmk9ytSSbZFpmEyPm1ZDJpbstl+6OTwP4kWlh0oVBVz1z5ntEVq/AagUMVXiEwVSWOVUicIUoc7CQwMAJbBYGVwuWSwCAQGJoInF1XCbxynFcpgddLF4NAK2iSCPYydzQc7or9aKRG/1NhJ6HsngJCi6RP2GUSMTiXuHPThtP91upcSbngdidA75RWHdW2m0lBNK1eed8dE3MsdDp2jrouFjk4cMcOnVFLonaYOvlNrLXQZ4Z9L7sOcQoX92oHvZWsMpvwVYXZg3NHrinaWi/QpCkmzqK09bI8tSySqnpppKaX0NEbyToaPeydGXsHBed6j/syWthZcCF/Awj6bgdWXhYtl80trL47qTel8AOHPX7ksMd/fNgPjE54YaF3Dvsbp0La7VjucjSjdEhHKlgFFco1lul6THc2GDrVkWEdU9tEKkmsWAXFlTIjuI+/HLQPdTJGuYFQvJpedp9UYWgiueeoxYYj0iV3T9+p3wZWv1EnNecoR84I5O/o7NLpQmQuRIULUXDhqHCh9uTqMf7IjD90GZ+i8feZmmwqzRdceD9dSJD9lgbM640a3G73nHdh422N4k1XDL+agYioNS5xu7NE6hZpalGvuM+7mm8duMkMlzdLFDYpNpwOtFU1c9meVPdw0usnzKIXd26PJnhZR3LLNN1OCix7nAqfXMqy22NPFOKCRxBdOHNWu3Jm7/YxG2VPuibXR0ut6QPNGtOVPiPfEVXfnuEFud+4iYNPHub3tH5+5rK5Hgc1hdAyBxV/G8ajg5LfhpUub3B+86sbuqM9zxitbZL2GBtijPW4ONOJu4ud4S7+zi5bPfKGec0/EH67sBTzEfNP8ms8qKD5iyvLch0UOcPzv3BRRrSeojBh2hi6vJC+KSrnbO0bqwbPJOmozmilVA0MsjxLj2kfxpb6MEmW1nZhHHCizmkJP+SXAuvWkG+/JMxjd1+oWCTwGKZqiWALPcLc6u19keunLHea+Lj2PpOe61KXqTUD2tAoTsoIh5wQKe/uQ15G8EDXdzNqgrH/hoB+Jjxf/gjis/WVzEmI/wEhz8c1###2780:XlxV32DM 3fff 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###2612:XlxV32DM 3fff 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###2876:XlxV32DM 3fff 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###2344:XlxV32DM 3fff 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###2504:XlxV32DM 3fff 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###2540:XlxV32DM 3fff 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###2716:XlxV32DM 3fff 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###2408:XlxV32DM 3fff 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###1956:XlxV32DM 3fff 78ceNrNWtuS4ygM/SUDwjaZ79gPcJz4cd7mqWv+fYkvMcIChMHera6ubkdC4khH4uIoAK2aptFKKwUgP//DCAYmMNr+wluDnnSvGm0UKPXV5I9SykRG+bo6Q1ecmI1U3alRB+QwKkHOSyr5lW8e5Kwroh7EV1PPmqv8q99BCwLe2Io7mtTcPrPzgae1PVsFraUdqyLz0WvW9vksFhrC+6zreWqOn7i+4RXx3WYwpo0yJuxBsPPZOnJ+Po/xC+UzHVFXM5LPREx7Mp8Um2Zd31M8nzOXsMf+VGb6aFVj3S5ay76uOsWTIxPTuT/GOqeWcfRr1HKvgF3Ls+6JWvY9bnGTycxQPEnH+Igpp74wyhr11a9c5MW4q9ovj77zYtHdFAvBjEV+rzFk15ABvh24Gez9/VLJmb2fnw/BzkdJ/Rtn5iluGoqJBdw080o2wgeJsaMnrTU4fTvef03GfpDiQDpXx9jk1A6OVo3aMes6xcuVqpwrVRQLdVMsBDMWZ/pIe6j3vY+E5wmH1Y7DPFXQJehoZ3WJ1ZJQTWUebRbfKzYZZTHXf4Q3UUscFqT6D4+BuqrvDxrpRfG98kqeir4oiFnOahPr+DLQuzv2mkzVKKfadFG16YrVJst44sV5xzuBnP9KG/D51AoLvm8u5icAYe0uM11GWTWrI7QJesm3cxhhM2Y+ePg+nBGfeEstdz5lW8+xgBi09hufz03QE3f0phe1zKqtz4j3Wi+pjrLr6qVLrONSHUU443I6kczqRLKsE5F5CFdrqMYkWWOotqzGMrqj5ffUaxT/OVvBusjz5Y1iV1/US64VTiV2RXXcReq4O7NGOp8tOZLLnTjbEh6Vt8aZc2uc/d+Zm/1ZJP3nVnqu6+WUNGlhNaZwvmg7MLHvDajdvSO3XDd2BwC7/nE+3BuKTE8iyGt1EwryfFQNBe+GMAsFucM3rHNBEkXAtroNhboMBXV3fw2jyLcE1RhlbqsLcyEKfRsKfRkKel92DY7AHrASkuSaUa3Ck2tGQYUH7ksuy8d164ZOVXm1fOhUlRfkg3xjdhGr4L9kVTUcDFYVIJHMe9/y6pCs0+m52iBsB8fu8ZgyzlQcD3QcgrKoPzLrwawmMJ22TzM0gYhXixWxcKzXQkL0xopIONZrIWEzrKBqTrKsoG6IvURVpnW35kfekB95a36IvXdlTBwPdRGZyxGZWxERZ9XKiDge6uboyrXU3LiSEnc6VZE0NyJRl9fN/3LtKUB0av3hI1rvlP37aOFKYFLKf3bf7/z9+1uIwZhH81A/v8ehewzP8defcWgf8tc/46Afuv2xxgfnAnu+0CcuwkXmRbhjZ7kIZwI6PBOABgtILoCE0P2GaBE+kXDAwtEVAmDhCwklFr6RsMHCyRWqNxIODRKOWCic3PQtyg30c24CW+xILsiXdZPzak96tsaQ1uf5GP9BIkQ4xINyEQFGNNCItq1pHUybtVxUgFBhVtnJu8IWC1skxKyyn7lCzKqhd4WtZ9YgynmsGvYwa51V00p1l9Q0sptb08PTwaMy8eiL8OgCPKODR2ThgYt6LpT03OG144EpgQe/TIW1Kiu8TPXwNOcXxeHt4HlhPFCJb5HcNGyuNf4zgWVysDwxllcKC1zAtfllvrUwzuM8L7nMezYOOoPRPePouhuwFfS8p7P4Q4eRmSrI2AzkomKw8SkdVHkrk/V4SedDdrOz5GxoQGV1CrimjxdgAWdzNr5YmzPJmz65PRPE9kziT32twMT1PvGx8WpFLhOXwR7Xa+baQ9tYpyhRv95sSmfi0n8mgLQukP4cEHvCPAVEAweI1ZL+MwGk24EYXBaD+LF2h2TAtu8PIuuUPIjnaNdP3WZDnP5eYdrzJd9XXKPcu3TBpynd+HTB+651P548V8nAfqs5UKUjOH94JqhiXBD6HAiP8/8Cwstc8w==###1936:XlxV32DM 3fff 778eNq9m12WnCAQhbfET6HSWUcWoCCPecvTnOw9TvfMdKHQVNGlJyeZMdhI4dfXugV6sC45C94BOKXAgIe0HW1/Yd3+OGe2drDqp+3zeLLWjs7v/heOx9u/6rMnNyr1798fredlvqmb/fgT5vHmza+/YR5u5tfvMLubXz62fufWdbafxjkY8t5L7dV4vvvVtTOyPuB+HLazxu2nvR8B6G3G9P3q6d7Ddtp2jnaedFV+n4dPPO/a18wuz5kNSmdT68yHNT9T+2pgyemtNZUu9PlbdcISmsz6rdud9XlcQCTgQExfIA76Atmo1STW9f64EEhErOc3ZNY81nWDdS3AegeXL2fyDd6/+q0y/2j/muX1OcvL6nNc1B0X9zPAbVBgzTYobx2FdodDQwy7541TYI89O1M7v8J8wkHMnCDapB+DePBLD+JwfpH3oJ5BTJBr+3znnTFtRfJdkfzqzBxv3tusV1E6kfCgERxpLcFR/QqabQKbyv5C1fcP/kd/e1U/HBfgMDiI1BfEK9YZ2UshCFr2EixSdNXMXkqTJZG9PG/qldnL86onZC8BsAAO2dTCXBPAyY4tsosKrjIxy3pzpnZehWuHBz5yBv6a5qJqkwZ+OK/M8oDUepeJq71a16aoSLMr0lydhbpKd/FbxeQMakcsaktD1JJzd2n//jqpL3GgKjP6fFGZVUGZ7f64AMKEgwh9QZCVGX8eKEFsZ9n9cSEIj2hOBGVWVWW2DWW21XiO/e5vm5Qy1656BuMzFjhLFLjBToLKfO+NrcwLHjhwBi6mzLuBE5UZGeBJEZV5P0XvKTPu7UxlfmByBrXIey8hV2ZYazdfW9VSZLIv3PXJdYQB29oQeAGIeMJqAEQ3iCztuKPY1yg+TtZ7PjDv72wn+I3PiV4wKvywnhrFsY6M41DSybMOTco6zP74iEfMTK3vC4RV5cszD03KPMz+uBAIMrbT2qzyvco8TCPzMAKZh0SVTyj7YHFv8cM8lxMI9QKZFVbze49cLY+ZudWcwYsq+W7wNB2PyOCOOd9+qOn4fprerebZC6t59mSSB/xgnxgPdnNCZmI6aMamN3heAOKZiekgGhneYWVkJkY4MzGXZibmZK49fqA7ciEMRCt4wPeJERvcNHAGLljBA75PjMjgToFcwQPRCh5cVMGDc3xiRF57iZF4870otb6LWmxw48oZuBi1votaZGxHKrVelFp/EbX+NGrxcnEy5JuvRKlVfGrXzL5azsAFqVV8aldkV6eZTK0SpVZdRO1JleQVLwHHmWyDlLiH66jHrdiAxoUzeGEP11GLW5EBHWeyh1OiHk5d6OFOrkas2aJvIsLQXBNhk3xcF6GQjA1oUpzBi5J8XBuhkIzM5zQRSa6tjfSR3FobkSS5a32ERTJe/I0jWda8uCb7DpKx5YwTZ/DCmuw7SEa2c5zImuxFNdlfqMn+ZJKz5d5AhKG5g4hN8nEXEYVkbEPXyBm8KMnHnUQUkpEVnQYiybWdRH0kt3YSSZLctZuIRTJe+o1A3gwslV2Y7eRDz2yisUWNjhOECNGNIGhkJ2RXx6Gxo7k1be9q9XShVp+cdSS8+BvpC2IgnnUAn+yU2VjDGbxw1gEdRCMbOwI56wBRkuFCkuFkkvHSbqCXYb1oJc7zK3EJW9iQOAMXrMR5fiUuIfs6GnIlzotW4vxFlTh/TiUuIRetR3K2qcVTZd0hv8ixaurqc/N1L9IXrv2qF+WL92lazdf4tfupvzwa56xxyBsX3Gh3nwy40ax5Y8y6DXnjihthd82UNU64cVEKNw4qb0TP+GAc6zVOuG8pkn0fFYo71drvoy7K4ECGvkAE30eF4k619vuoi8JvL8XmTjV4sVPtnfdRnzf36vdRn1c+LyNYFGBcDOtFN2sn0bf1Hv3V39b7DzEipmY=###2460:XlxV32DM 3fff 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###2656:XlxV32DM 3fff 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###2168:XlxV32DM 3fff 860eNq1m0uS2zgQRK9E1AcA2+eYA6gpaendrDp89+FfAFEkkLImHI5Q2wmQr1VCEpVQ18lDHiyiTN32mubXvTxU9KmRVXt2HFk6RB2PaiV2p+p+V7zmpl39lF6fxajx765cRg2jMow6nrXPcbxjrs5wNqr8d570Kvt8T9XxJ1Uq5twU2RzbDCLsptGv/x3Hj4pprq778+e3c9/iv7ov/vk93MIX0a9/h5v/ol//DDf9cvz9M17I8W2cdhgvH2WYb8DPNxCmX4XG8c9znG+6XKJKbmi++Wme7A1J5rC1GjTMbztn4yi59qLQWbEVia32SZHU1HH8R0QtR/V4V1So3arWXXE1t0vLNbuTISnuY7G5tTC6XbkV+TLKXY5yyaizctWLsnQNZTnPcFWW06u9NENSml1WmuTjDxNLUpr35SY4zLdB069uLk2XlebdKM18pchmMLWPtTC7w7jlc7l88h5raXYXel713Kh3q16b9P2qDk3qsKr7JvVCKiXpupraak5W0S4pfVsdktKvqXVfodvUCql7QO2TD3WLGqEMEGWAKANEGUvK1XW7c0dfXbdWJ3FfrO06OXPYrrijkFzjOErSUctyPC098891P54Ws8e+8KVz/b0fx9eip5Itejz5MY8L09GPx9seb2G5gaFY8mw3luxXn81gakehUQ48wy/jFoUmr0t1NNV2qW1qaSrMTR0BteMuecBs03tI78qyrOgF1GO8BPISyMsgL4O8DPIKyCsgr4K8CvIqyOtBXg/yBpA3gLwB5I0gb6zwHm1iG2fZS2p45+Ncbn3jnoUunsV5fRDr1x0Qvf00ns30oefxPrGmfKvIbpisyVWtiRqsKQDWFBKzcVVrWp6fbLWf1bQr0mfKM3VaTDX1ZB4dqBdQHyG9yz48LXqMl0BeAnkJ5GWQl0FeAXkF5BWQV0FeBXk9yOtBXg/yBpA3gLwR5I0gbzR56VTfJ3sp3ffidGEuWT9zbUK9Zy76cXO5JeaSN3tYw/W+h1dz4aq5lM8FyQymdjMXMs2FE3OhC3VsUBdb1LnDWI6y2+GbOlw2z+1rTNbhmpruL72C+h7Su6zB0M7hKvxHPYHcBHJThfuMgyv8pR7jlgr32X0JyC/g+65vvu8K8vs3+T3I70H+AL7v4c3PewTrPla4z69T8qdZxFGfZxd1S5M8vfgLS5P/Ib/4flmaPA6tPG5r5UmDpXHzfokSMynHlfulK3U01Q9TLes9pbur8/BrU7eFdov6uLtq0Quoj5A+31216DFeAnkJ5CWQl0FeBnkF5BWQV0BeBXkV5PUgrwd5PcgbQN4A8kaQN4K80eAdjJMiL30PxejbuNLC6lZ0yJOymT5jRUNiRUNuRTKnSlxE6TQH1HP+8laQnoy3Y3QzI6J5a7kt/rVEieaYe1HniZKdP7kkI6qnVbqrW9IqBRMlBRMlBRMlBRMlBRMlBRMlBRMlBRMlBRMlBRMlBRMlBRMlBRMlBRMlBRMlBRMlBRMlBRMlBRMlBRMlBRMlPU2UFpvgE31I7IGTJt+Zvk+afJwkT1xp8nVJ8oSMc4dx6PFE/vABxXtiXbe21InWfEbeTp2yGUytnSPRui/yRY5kp05dYUiummjFItF6nKqPhtSi95DeNXfFxTCkFn2E9ATyEsjLIC+DvAzyCsgrIK+CvAryKsjrQV4P8gaQN4C8AeSNIG80eelCH4sUiYwW3UufnqEv5y+NRYxT9O+lTvLxFt0jMZeYm0vnjuZyTw76zv4674u4ui+KxkFhu0EXk3PvZBz/7dczJGHrp56og6U96fGG4pRdTRubtUf7qas9oM6tp64WSI1QEkRJECVDlAxRMkQpEKVAlApRKkSpEKWHKD1EGSDKAFEGiDJClLFCeVz0g3Fqrt5CCx/6JsrnT749E5vw79mEVG3i+BWpK6O4+oqUZRV8qg6W9qSXWlpFTRubtUerqKs9oHaN3WXLKupqhJIgSoIoGaJkiJIhSoEoBaJUiFIhSoUoPUTpIcoAUQaIMkCUEaKMFcoWq6i3q8KHmlXhk60q7RKbOH535263qlwSpL/33Z1sBlN7lrSUofx5v5OMUL6mPoby9fP2ZIbzLdeJkN41dnnJDOfbOaixu01mSN+ix7i5wn3GweD7nof13X8Ha5JL###2460:XlxV32DM 3fff 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###2760:XlxV32DM 3fff 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###3104:XlxV32DM 3fff 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###2988:XlxV32DM 3fff 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###3176:XlxV32DM 3fff c50eNqtW0mSHCsMvRLz0D7HP0BVZdbSO686fPefCSQpQGLocrQj3MOTEEIDSCq167cSUkjGmPJq10q/tTt+NtpLfnx5xrTUUgqlJT8wL/VW9kDKgO7RyvD7Xb0kP2gFSht5QlodaIVUx7pWi0A7u65ItCzQ2o7M7bom0Uog8/29CPJj+HJfb621khbQnTzkgdDaqVdLq93x9T4Q20HpSizKF5NBhfX2sF+W9SbIPdIynzyo8wz8DlvIZ5LsYnmdxKOgU0ILxQ8tRA4W7Eyn0+BBQt1dUVUWp9Mp1HiFW0r6nuZ/4uUtzxDfaIyQxydvO09BJ7wA+Nr63I0/MMe/pB+dNCvmvDnRBnn+/v3N+fPhv9iX/P79etivpzC//rwe5kv8+u/10F9P9i2NfFTmLIMwOogSjVkNzNgXwgF6DBnEVcmRWiqZEfH760BqtAlodR/3IdeeKDBnkSEQ6YkwFMNcrZNMTWiipD7/xs9DS4fwOA5Bx0PwrjwEbvfvYFqP4oDZsZ6NvCodcuk6jsAzHXSzVs5omnUgA6ueQez6HwtmGH4q6PHKXvp79US4N1PS9+WAkm6N/sBfKP3FUF9bBZBFDu2FS30njeDm9K76nKJOzr+pylugNPvAKnSgfCt//NxyECAxCZAU+cKJOpCYbg95gjAl9zJMPbAwdcdAeznc8MCpKGpRQQWIv6PMVkb6XuR2eGY4EH28yxjq7hUDca0lSN83oEgfXOc0g3Qwr+Ng1HUwtjiYLeUPGGVtiJOGEFDiF7/GDyGfvh+qgNka71nlI5I38ybT/GRHUaoRl2hossOZ3E2QVZC7ypzTIW7AuwwrDtG8vg/GXe9K4WnkX2zBuxiRehV5u24SFkkfk6/Jm9+hBb+Kzb/f39JVmbcntk9XrOpGQoQEk9Hx6XGFBEa4uAEhAVeQ/lA9xd2EDBVmnGtuo6gsvZTHHFrZk6VS8izovuA3NtiJe2sykfftH5zt5QXNH+lHNw5yxIljPR/zaLCAcfopn3sFBxS7ozfZi06AhHAibNROBw0R2PObN1qF1H2LiE+jdI8574BRs092a/a98UKxj2ep2K14O9kU1kvFbhOKhRxwLBZu1QSPEl38fp4DRh24GuQ6evPop68rJXCgen6rfn+Wcc/J70OM9uV3FlzkeVW4PGjCqut3VuaBY3OxgKF0FjywqSShkfdZph7GQH3FQKAqcatqe9iJR3IMNw5UfMYBQCPBzqE1FR2eb3hlxGUqnVILJ0PFhZZToQKiR6HCEenDTTx5RGFg9yHIO09XZ8A3cb6RLZKpbeVMUYGpsJOUIhHFB7rL0q7aAerqmqImVj3rEQq5yIu8akRc134cHV9QJiNi+QjnzZNMpuZ9BhsC7VIxd59EKwQtSLRp3ok0b78kiUclodGuRqd6Uo3WAe0zol/gxoKBzZdPOQwFdfSD61NrziQMyGchYXT2M3oy6vxkzCXHp7qjqXflW94JLPFEc9cxafzoJQ/oUSys3rd0vuoRYIURRpRmdP3qpqivPHGqNSlK3xHPuzJDc2HOkMeQsqCpZCz3GZ2BIfs0uSegUnKl+gMR7csuRM4xjCz27N3ELchCWdrRj0++o5Hc08D3yEH9nA3Quqi2M8JOPinhxS5Zyoxop2ne4sDN5H4LPw20uK20OHY8dDxqb4x8Cg+8KvdWcK/yH+zQg/3Jj3QOHoxkWdkAD15rhxT+kbyJKIM175i4MkxhEnitRNEKQSsSTZwspEqWY0FQf73LoO7woA7rCa+kuPA/2U9oKKY6CnTR1KC3NDyzs48qIgxURO5M6IDSdlUozb5xpZ3XRPVB1S3TY0gyvkUqPYxvWAEq064VjZKKzv7kMxUIWJkD1e5PHRnk2h8vMFejkIO2Ita5FTkTqEGnm4VnpKy6yBRvFnj7SUkiWtfoooWCN15iXoB96l6nPq0x0dVWGT2z24jmzW5x3jKgWUaM++uy6d73z9R0NVkGA9nqneAd0XyJ9+wUQfQUNnmWdzGm6PATcke0mpRbZDufkZvQYFcnakknlb5DbYM6eQUQzdQDIokvZyoGJ1/vEspNRr7rJjKI1ZKKuhiye9Jq2kJZEf9WJqjQWDg1t8Wz769Soh4yPaMmfzwpJkB2XFuzibYL0qofaMhkK52hxB72ciJXwwvN4TskJ/WPOIl/JpOYuvPP7W5m6ILn4Rf6bvSJRFvtU6NGduJESZS9+mMd5ciypqN073uArqx7VC9RV79EeeiEGPRC70CsrMt6kQre/ugpvAvNgT+LiWrQVlMPR4euEyorIiUfNR59yCMLmuRylfnksIvmUF2oYTtGoXQa0Emy6TM+1VzAT1bzhFbzLKxmb3r5F3usOATNgNFGk8yADdG8RCOKsuQhyVyLHR0SbrDE/oiCHTSwfXraYNU4dTZO84Gr2Owo95saDiQ5X45zcNwEojVZpAOGqSb6AkRgbURqHFLdVeyJ5inl/6oeTkTjqk49coWMPs3ziXvZ8ihWXx/UrUpTlKjesbumIur3qhzqTWaw3Wagy4ENwfX3ybIqG+jc9WorQhL01iRymXWgs6c7aB5mfxo0UYS70HMluwvtFtAeNAVm0GYBfTYn2CJeLeLdEp4v7faq683jxeJ+xeJ+BbLf+6JSXwhve96aSQ00dzSWPfAI1M09IbkffjSIIxONJf3o2nTlSaFdVx+jsOlz2LzLkPtdqdW2CifvM5xwZFJJ5sr+NnXHal9zkhyUTsZGjAfwexx7YjzAXuip8QB7jx5MtNnt0jCBJYcJaLRbQJcBb4xe2WUd8GbwahHvlvB8abd1wBvjxeJ+xeJ+BTKeIdCXWRFmulPwuhmAhLSfDVfMBytsHg8MmT5V2UF7CnrIzP/4k1iAnmzyCWI+yhftfzlAn6bLKzyc1aXw/flgLh0558skn2iCO/DZmlx6eJ0zqZyncb+3rcbhw7wfQ3pOuv34S+czGxrE7zs6U70P3VQu8QGrCw2VPZr/u9DwU5c7Kcl1Z4eSvMhPn6oi8fd4e6BB3albtlVyVJukVNSOsWlKCd4dMyflMP0QaJlqf27qI1MXek6bEY1YAaF73B5lx3qvj2r2I62c0SZ5h6Gksp3Oj8uIXteDPld8ILR9q+LXX0Ocmqk9Bt0xJRW1Y5d5z+w4SvI/gTO3Zw==###2796:XlxV32DM 3fff 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###2768:XlxV32DM 3fff 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###2708:XlxV32DM 3fff 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###2824:XlxV32DM 3fff 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###4152:XlxV32DM 3fff 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###4160:XlxV32DM 3fff 1028eNp1m0kSZScORbdE92jSq6EdelajCu+9cDkz8lwRnv3gioc6JCH4f/2Ze2g//A//3//Mnn+4P/76e2T/cHfkz9nLD+/K+uP/WPgHO5Y6TaHepE7LUrf6m/rkQOL2MNL+YQQ03XH6x+nd2+l///hNXIS4PMSTxFWIHynO+k28kyjobEM8XDRSDPdh+uf+IJSf6YPEQYinJfaexJHEPljiHH4Tr0iRR44PcbVS5M7pQ6YPO704ElNjo/iHOJN4C7E13GiJxIfE7bPEG4bLysZ+DHecFflgrZw9p5+PkGj+ZEKfQIVQFqiRVZHr9IdVypWUMSvXdJ7EZHU66yTzG2SDzM/Put+kR+WvkThHQkMgavVbAlGr3xGIqotFoPowJlIoY68Uh8TC6nVjQ1w3ORQ2muN3uHPnhkvELSbY1sPnbsYPJ904OVl0b0Ii6T6ERK7jCE2BAlkVBzg2Sswjcglj55HrdBILq8cGkPXBSeIi8+v7HmKYO54jxJOSeoFEq1Eg0SqVsLIjY0sgG9lWFimEsfxIkSv1I6xmmytXLRRZ2KiNizLOr4VZRQLaWjb5ru1JTHutjSVKke8czipcfTvYonxTIJtzN0NBGFxi5/wQ22y1M9YKYqadF6EjEOweti56CHF37+LJahTIBthdRK5PiB+5SiNxEWKbFPZCyA2d9torPcSdShA21iAkiy7Rqi4hWh0CUXVdoG0D7N4ihRhuP1LsQmIx5bZJ4fhKDmnc46nnFQWiNnoSiN7Si0C2bj4scoJkvfMUOafYFHAK1VuHTCcbVb9MzVfq51TEsZCcQIGsboFsCjiVcsUpxI9clV4XlR+bAs5OZIPOdvb3ENNMVSy46bT1E0i0KhbcolVZndkzfF0gmwLOESnEcOeR4tBFJUKeY1JAcZ+YCXJdKD7E9PAyhJhG4fHvQtRqOQKJVr1AVN2XBTqWsSxSFBLnR4osm6gKcbHElZG2NBIzewaem4pjHMuhC7TtEtscGe6IFLeO07cUt0EgHhm+JBDrXrUys3AOU6D+sCpybSF+5GISz1GkOCajFZ9YbAfK5dO0xHTanGhB//HIkLpA1GqaAlGraQtE1YUkUH0YEymyEL9S8MgQRIrsLDHjc07yZQbh7Lm//GJpvZxA1tX9MvnijizWh7Lo2oSEeWbqeMQEm3XvGQLxyLDEAbbdwl6OQkuMsh+5No8MS6TYJl+UkFhsT8oV0vcQ88iwtxBPSuoEEq0GgUSrlCt8VN3sAnnLmBx85hTiR4qPR4YpUnw2X4TCI8OWL7POjJNBJkyWEKrVZV09rGD8MNCNgyqTh5GgylzMg6rMxUR2hFUpXFXPaz6silyijf3IJUWp6tkWpSVGFhWTIsdoI22k04ZN9cbE/LW7QNSqmDImalUcOyaqbgaB+sOYSJGE+JHi4wlocp/Gz+aLKOXlli9X6nnLdySbjyEQvWWIyNXugij5lF2IC9WHmKF7y6LsrmS2PkpkfZjZ7bkQEyvPnhdi+mPj5UKPj7FQzDyoXugR+VAKnoUvZOuxlMnhoX5SDg8xKxBJCont4nsQEYip9kSBJuU6AlEK2fgpP1IUKvNQP6mkh1iUuYTYKjN1sFEcN1HqdIkjzA92RZzwM1jAHFl9mIZAyQl7x/vMzZOZZi5G1nLhrY2XyjnzUHYxBprM+xvvk6zH+5aLyXojCcYgmKfIwH5/yUtk4HGy5C28RPJZnM6rgm3BGFWKF71EyleC6IUN3VKMHeSbtEMTQBiRWrWyN1YlglbfCZHFGrkUN1JlhmhkvfLg1uiJtUCoKuswwjYaszbIVGUdugBbD6VOCiRfY5FZBGGnuVJzTbqKggSeNQSJlSolwjKuCMJebqXztQJ5iiC8Eqh0ysbNUwQZ9AQ6QluBDVki3BqFlmuM/YX26epxAmXxOPpIr+L4csDturHl4N/NxpZ5S/aFnE371sBFi/QjG1ROVsNp4KKGh5fAlcnLCNwdDMaDW76Rj8EqvDEJDuasRs8YFd7UGCVGg1RN+B7c7cyoY3K3ix7YsW1MmoMXPY0+MzWYsgFYZgJ3nVqY1AL79WVm0bd0PmYR20vrY1bxUKpicvvQSnM28SXhkAeOi9Eek82Zi8lirMwuRv0uXq5eLAl2BKPcyy/B6IMrCi+e+pfLnevXlG8t+SY7KNUN8yrhjiQ7Mrcd2Q/NTz1h5Bwzcs34jGQ7Ep0dSQ/Nz6MMRn4WpxgpD02pdqQFO9Ifmt7syLQae7osd6TbkWM1Flx/RoYdCVZjIT40cdqRz2os5Ifm52UXRqrVWGgPjX2JU8OwGguPt/xqFWBkP2udh8NjV4/ezorhoQl29Zgsh/F7aD7LYbQ3LTXWh6ZZX43d2jTOh2ZaX43L2vTXcZAj1leTsxpL4aEJ1ldTtBpL30PzWV/9dcbCSH1oqvXV1KzGfp1pOGJ9NS2rsc/VZ8Ra53sk/eJDE611vkfSLz802VrneyT92kPTrHW+R9JvPjTTWud7dsr37IvvWOtkbzWWn32Rg7VOTlZj+dkXOVvr5GI1lp99kZv159ytxvKzL34dCDGyrMaKtxyWYFcvMTwj1lsaX2B5xz5S7S4Ktojx2Yd3U7EmWBHsCHaI8Q7eOx5Ma+fji4vJN9lCv1gVbAiGyrKOKN/kJfLFgmBdMJGP56Uqb/cu5ohJE8CxB1THEr1swbbomj26OqOsx2bNxcS27B5dbAkvnlgSvbC1dDHRC5tVFxO9sD1YZ5O61okMXZojTuZ1OdM4kW9IXe4o3/Jy3vFRMKkLPeVbQc5svgim36TOtA51S7AmOptDMPH5JXweeVrcu2BiP75uqVvOeo4PTOr24oM8613M3KfU3T7ZPYHUTbiL5Hx33a1JMN2t1P5xwh3fblxM1mPP42KiDXZX6vEiA7s1FxNe2P2pJ4kW+eKhniHfHLLekOfjQ+ZNWW/KelM8gS+hmpOd5dllvZjurETsk5Oay4LpNx2xpTtZ1tMovWU9jVRL1tMIznd4FxNdry6Y7qwpmETNtYkdjZqUz1fJbOxeXEx4Yc/jYuJnjev5pt8cxLb4NbsYFxP52Pu4mPgSOyYt6B8P+NirhU90PZxg4oPsWbSgWZ2vzS4m3+yUPS7hxXO9YnRGfylTdU0/K9Ihc446G/T5zvvQtrskfEc2jw+cBgt1VwZ7VlUgtoy4n7vryLCTQb87Fc1DtO7ZZe+8U+r+wKMHg2oPrrBFphA7blRxD2zgjSgQBesfuQ8MVLlTHZEvBTwvinuUGxfWhBfiDpjyQbkGYHbske9KPG+w+xfkqp/a+FhLDTpOz3SczH1xId5WsWHXszycoedfiHdl3IQX4lrcZz3Lexlus57lyT9z/oXEKFRUY6AYvE/rnW8eu8+EqvuXZw59BN5JenK4I9di7OybtyyDobMfz0eCndvhVN6Wi/cellWB8fZuG5kFuYafH/cX2BhBHgky4Y8g1+aMtSNsgSohefxIbVyIszqc7Z4ueBUzAqFRyDw/mKUY5kvkkXkflHjVcKFCiLPkSi8wtI0iIZ0PokeRbLZkllxP8Gp9VDlR8c3IqHzpH/j3ndHkzLQUkrUGoSmzEByGHE4T++tDrnkSnxaOzqvAxI79hSIhWavIWk4gWYtyya5MvBUdfVTOoh8OXrZ3vmIbk7eiDDZjObmjoFjLQ6x2BJIAwOJ3LL7RGXzrMw7TV+nU7mEQ7XycN06VTC+zJl/cUoXT8U+DvgtCp5lEYmcI3YQGS5gokNzx8QX3hVhoReFCahv+D+9CLLN44T+9l8CbBDIvPqZnhClTvhPwCNH/M9J4A01WPbftYJi9kP2OPDlkMpyB/wmZU6AOn6nsMl1oEeKswvepmzXUrKw4K//NNWtjghKoMVovJvkLQT2LVcOFDiFskyntszWyQPzT5kgCDbJBDjsz3uQ7qin/K55bPtgWoY9QD4SEQ57WBpPhhRohxN05A/z6Y6q5kCf0CdQIUa4ZZRY1P7NAdIDJFDrYM5qLHFZG0Cl/TrMQ9wdfGc1Fx658LDQPy5AtgFwAC8KCF5pYju+6FyvyC/HylyXjcjS+I8CKZk1ZiS/jF6vC5XhHvtjYvZB8EEKtSNMP/l1zSYE/2J9YkXt/8D+HK9ErNnuQN//A9If/0FhJC6sL/Q9kvxOV###6796:XlxV32DM 3fff 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###1580:XlxV32DM 14bd 614eNrFmEuO4zgQRC8zB+D/500foBfTR+B32UCtC3X3CWVIXrGAQbcMb4hny84MUhQzQ0U9fs+Vinp8/fRVFfv54fPCd7OX85J+fH19fc46iiqu2OJ7a8UPgz+Zxz9zKXzTAXMW7/Lj55yjmM/vov2PRPNKNOLAMOsmkV03JFqSyBc/FbLNaDBMZFlublKayJTuD1N+d8l8f8lSaFOX0BUqhhZLUJAclFu7mzDeJlSfQoPyE0MfJWjbMNS8E2rfJtSceyzoDrXGbPdY+/s91uyVyDhb1sKPN+vgbkjknolqKsGqsUs0b0jkr0TWhDIdnprN0pkbEsVrM9mELWRXLcEFzM2NsHs889s2U7qEumVK8EFj6KuEYHc3wcy3Cc2X0OAzhoGbGB2e0djjbrf0twmtl9A4QwnJg1KH2mz1Tqh5m9B2PQz5WMfcdueIUzc8DP2Z6Lhr1e6qr+03JLrKfKhelxXddkb+hkTzmaiFopWp20w31Pm2rkxN4yBpaXcGW/v3iTrrtEOOjOerKxxWPe4OK5uYzd6/Y0WIuYT0jBNpKJxII+x6Be9eK+RZ/sZxho+127am3rD07prx1JjsxD0OR/DN0tfXzthfR9cyWPqVoWatVaIK24qs33V09XCuWFQpY8CVqMN2s8bXrli8hOhkMCxdovHbzWpfKyRdQkxsGGA8ovXbgza/Vki+hNjUS3QqYNifWvqFQr5+/Pj38xcqnHr8whX9+MCjhQ8f2vCTWXOVLLAijnBSelIrLi7+cGGLpxjJC7wG2CqcTC4nS8aU81rkUFxNnpyKa6qRKzhrMmJ2dcZZZUYXhCHP9VjJrqRRDTni+8H4Ohc30HcLd7BnHD2hPp1/MBA3+sm2uGkiGeKmU2SIQ3UmQ9yyFGEgbsXzN5jwmhSEBsarwMQWrlONSQ7gc1FQ3L0OzAv35HXk5NFOej0Z02Eb6JXJMK7GM47zh1OlThfBg9pQx71ZXFDXC9bt/M3CLjpzoeB7O7i43h7+jIuF9su7Sm14HtAYMq+vxzPJefkOrpw7vJ8PlnHQDPvQGCcgZrTUHxAzZmoL0JnOtT0MbmrUGRAzG2pD9fL5nGNEzNypJyJmtVyf6Esf5mTorJU6I2I2nciI2RJ1Ruhsi/ciIWbX1JaOlxyR8VOQFx7kJO89yE3eT5D5mkI4K3mdQDbyVoHs5OUCOcg7BnIWV09uYu7JQzw+eYnVF65GzDXZiccmB7Ha5CSOm9zE45KHWF3hpsSSko04U7IXB0mOYiTJVQwfuYvvIy/xZ8Jdi00jW3FrZC+mjZzEJpGruCVyF9NEnuKdhIcWt0K2YlrIXrwLOYqFIVcxDeQu3oE8xUIITyVOgszeneylhScnabXJVTpu8pDOmLykQRY+nB8aWbJjP8sPUfpOcpb2k9ylTSRP6RYP1kpJ00i20sGRvTRy5Cj9HLlKc0Xu0mORl/RCwmdLRLbSGZGDtCnkJN0KuUrTQu7Su5CXdAvCOByPpoFspXcgBynk5CT1nFylrJOH1FjyklIrjMPxqLhklj9ykCpITlIMH6hO/wGBe+Vy \ No newline at end of file diff --git a/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.twx b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.twx new file mode 100644 index 000000000..7adb4c324 --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.twx @@ -0,0 +1,341 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +]> +Release 14.1 Trace (lin64)Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved./opt/Xilinx/14.1/ISE_DS/ISE/bin/lin64/unwrapped/trce -intstyle ise -e 10 -s 3 +-n 3 -fastpaths -xml b200.twx b200.ncd -o b200.twr b200.pcf + +b200.ncdb200.ncdb200.pcfb200.pcfxc6slx75C-3PRODUCTION 1.21 2012-04-231103INFO:Timing:3412 - To improve timing, see the Timing Closure User Guide (UG612).INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report.INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.TS_codec_main_clk = PERIOD TIMEGRP "codec_main_clk" 25 ns HIGH 50%;000000016.000Component Switching Limit Checks: TS_codec_main_clk = PERIOD TIMEGRP "codec_main_clk" 25 ns HIGH 50%;TS_IFCLK = PERIOD TIMEGRP "IFCLK" 10 ns HIGH 50%;0000000Component Switching Limit Checks: TS_IFCLK = PERIOD TIMEGRP "IFCLK" 10 ns HIGH 50%;TS_codec_data_clk_p = PERIOD TIMEGRP "codec_data_clk_p" 16.276 ns HIGH 50%;00000001.639Component Switching Limit Checks: TS_codec_data_clk_p = PERIOD TIMEGRP "codec_data_clk_p" 16.276 ns HIGH 50%;TS_gen_clks_clkfx = PERIOD TIMEGRP "gen_clks_clkfx" TS_codec_main_clk / 2.5 HIGH 50%;4890322009931212.189Paths for end point slave_fifo32/EP_READY1 (OLOGIC_X4Y173.D1), 1 path +-2.189slave_fifo32/EP_READYslave_fifo32/EP_READY112.263-0.30910.0000.235slave_fifo32/EP_READYslave_fifo32/EP_READY10ILOGIC_X17Y55.CLK0gpif_clkILOGIC_X17Y55.Q4Tickq0.992slave_fifo32/EP_READYslave_fifo32/EP_READYOLOGIC_X4Y173.D1net210.468slave_fifo32/EP_READYOLOGIC_X4Y173.CLK0Todck0.803slave_fifo32/EP_READY1slave_fifo32/EP_READY11.79510.46812.263gpif_clk14.685.4Paths for end point slave_fifo32/EP_WMARK1 (OLOGIC_X2Y175.D1), 1 path +-1.341slave_fifo32/EP_WMARKslave_fifo32/EP_WMARK111.0290.07710.0000.235slave_fifo32/EP_WMARKslave_fifo32/EP_WMARK10ILOGIC_X17Y78.CLK0gpif_clkILOGIC_X17Y78.Q4Tickq0.992slave_fifo32/EP_WMARKslave_fifo32/EP_WMARKOLOGIC_X2Y175.D1net29.234slave_fifo32/EP_WMARKOLOGIC_X2Y175.CLK0Todck0.803slave_fifo32/EP_WMARK1slave_fifo32/EP_WMARK11.7959.23411.029gpif_clk16.383.7Component Switching Limit Checks: TS_gen_clks_clkfx = PERIOD TIMEGRP "gen_clks_clkfx" TS_codec_main_clk / 2.5 + HIGH 50%;1codec_main_clk_ncodec_main_clk_n12.189codec_main_clk_p12.189codec_main_clk_pcodec_main_clk_n12.189codec_main_clk_p12.18923530353004890301238816.00062.500Tue Jan 29 17:12:06 2013 TraceTrace Settings + +Peak Memory Usage: 536 MB + diff --git a/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.ucf b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.ucf new file mode 100644 index 000000000..6c9af6954 --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.ucf @@ -0,0 +1,415 @@ + +#################################################################################### +# Generated by PlanAhead 14.4 built on 'Tue Dec 18 05:17:28 MST 2012' by 'xbuild' +#################################################################################### + + +#################################################################################### +# Constraints from file : 'b200.ucf' +#################################################################################### + +## SPI Nets + +NET "cat_ce" LOC = Y1; +NET "cat_ce" IOSTANDARD = LVCMOS18; +NET "cat_miso" LOC = V1; +NET "cat_miso" IOSTANDARD = LVCMOS18; +NET "cat_mosi" LOC = T4; +NET "cat_mosi" IOSTANDARD = LVCMOS18; +NET "cat_sclk" LOC = P7; +NET "cat_sclk" IOSTANDARD = LVCMOS18; + +NET "fx3_ce" LOC = H20; +NET "fx3_miso" LOC = G20; +NET "fx3_mosi" LOC = AA20; +NET "fx3_sclk" LOC = Y21; + +NET "pll_ce" LOC = W11; +NET "pll_mosi" LOC = AB11; +NET "pll_sclk" LOC = Y12; + +NET "FPGA_RXD0" LOC = AB8; +NET "FPGA_TXD0" LOC = AB7; + +NET "SCL_FPGA" LOC = P21; +NET "SDA_FPGA" LOC = W22; + +## Catalina Controls + +NET "codec_enable" LOC = J6; +NET "codec_enable" IOSTANDARD = LVCMOS18; +NET "codec_en_agc" LOC = P6; +NET "codec_en_agc" IOSTANDARD = LVCMOS18; +NET "codec_reset" LOC = Y2; +NET "codec_reset" IOSTANDARD = LVCMOS18; +NET "codec_sync" LOC = M3; +NET "codec_sync" IOSTANDARD = LVCMOS18; +NET "codec_txrx" LOC = M7; +NET "codec_txrx" IOSTANDARD = LVCMOS18; + +NET "codec_ctrl_in[0]" LOC = E3; +NET "codec_ctrl_in[0]" IOSTANDARD = LVCMOS18; +NET "codec_ctrl_in[1]" LOC = F2; +NET "codec_ctrl_in[1]" IOSTANDARD = LVCMOS18; +NET "codec_ctrl_in[2]" LOC = F1; +NET "codec_ctrl_in[2]" IOSTANDARD = LVCMOS18; +NET "codec_ctrl_in[3]" LOC = E1; +NET "codec_ctrl_in[3]" IOSTANDARD = LVCMOS18; + +NET "codec_ctrl_out[0]" LOC = D1; +NET "codec_ctrl_out[0]" IOSTANDARD = LVCMOS18; +NET "codec_ctrl_out[1]" LOC = C1; +NET "codec_ctrl_out[1]" IOSTANDARD = LVCMOS18; +NET "codec_ctrl_out[2]" LOC = H3; +NET "codec_ctrl_out[2]" IOSTANDARD = LVCMOS18; +NET "codec_ctrl_out[3]" LOC = F3; +NET "codec_ctrl_out[3]" IOSTANDARD = LVCMOS18; +NET "codec_ctrl_out[4]" LOC = P1; +NET "codec_ctrl_out[4]" IOSTANDARD = LVCMOS18; +NET "codec_ctrl_out[5]" LOC = J1; +NET "codec_ctrl_out[5]" IOSTANDARD = LVCMOS18; +NET "codec_ctrl_out[6]" LOC = B1; +NET "codec_ctrl_out[6]" IOSTANDARD = LVCMOS18; +NET "codec_ctrl_out[7]" LOC = H2; +NET "codec_ctrl_out[7]" IOSTANDARD = LVCMOS18; + +## Catalina Data RX + +NET "rx_codec_d[0]" LOC = T2; +NET "rx_codec_d[0]" IOSTANDARD = LVCMOS18; +NET "rx_codec_d[0]" DRIVE = 4; +NET "rx_codec_d[1]" LOC = R1; +NET "rx_codec_d[1]" IOSTANDARD = LVCMOS18; +NET "rx_codec_d[1]" DRIVE = 4; +NET "rx_codec_d[2]" LOC = V2; +NET "rx_codec_d[2]" IOSTANDARD = LVCMOS18; +NET "rx_codec_d[2]" DRIVE = 4; +NET "rx_codec_d[3]" LOC = N1; +NET "rx_codec_d[3]" IOSTANDARD = LVCMOS18; +NET "rx_codec_d[3]" DRIVE = 4; +NET "rx_codec_d[4]" LOC = V3; +NET "rx_codec_d[4]" IOSTANDARD = LVCMOS18; +NET "rx_codec_d[4]" DRIVE = 4; +NET "rx_codec_d[5]" LOC = T1; +NET "rx_codec_d[5]" IOSTANDARD = LVCMOS18; +NET "rx_codec_d[5]" DRIVE = 4; +NET "rx_codec_d[6]" LOC = W1; +NET "rx_codec_d[6]" IOSTANDARD = LVCMOS18; +NET "rx_codec_d[6]" DRIVE = 4; +NET "rx_codec_d[7]" LOC = U1; +NET "rx_codec_d[7]" IOSTANDARD = LVCMOS18; +NET "rx_codec_d[7]" DRIVE = 4; +NET "rx_codec_d[8]" LOC = W3; +NET "rx_codec_d[8]" IOSTANDARD = LVCMOS18; +NET "rx_codec_d[8]" DRIVE = 4; +NET "rx_codec_d[9]" LOC = U3; +NET "rx_codec_d[9]" IOSTANDARD = LVCMOS18; +NET "rx_codec_d[9]" DRIVE = 4; +NET "rx_codec_d[10]" LOC = P2; +NET "rx_codec_d[10]" IOSTANDARD = LVCMOS18; +NET "rx_codec_d[10]" DRIVE = 4; +NET "rx_codec_d[11]" LOC = R3; +NET "rx_codec_d[11]" IOSTANDARD = LVCMOS18; +NET "rx_codec_d[11]" DRIVE = 4; + +## Catalina Data TX + +NET "tx_codec_d[0]" LOC = M1; +NET "tx_codec_d[0]" IOSTANDARD = LVCMOS18; +NET "tx_codec_d[0]" DRIVE = 4; +NET "tx_codec_d[1]" LOC = K1; +NET "tx_codec_d[1]" IOSTANDARD = LVCMOS18; +NET "tx_codec_d[1]" DRIVE = 4; +NET "tx_codec_d[2]" LOC = L3; +NET "tx_codec_d[2]" IOSTANDARD = LVCMOS18; +NET "tx_codec_d[2]" DRIVE = 4; +NET "tx_codec_d[3]" LOC = K2; +NET "tx_codec_d[3]" IOSTANDARD = LVCMOS18; +NET "tx_codec_d[3]" DRIVE = 4; +NET "tx_codec_d[4]" LOC = M4; +NET "tx_codec_d[4]" IOSTANDARD = LVCMOS18; +NET "tx_codec_d[4]" DRIVE = 4; +NET "tx_codec_d[5]" LOC = J4; +NET "tx_codec_d[5]" IOSTANDARD = LVCMOS18; +NET "tx_codec_d[5]" DRIVE = 4; +NET "tx_codec_d[6]" LOC = L4; +NET "tx_codec_d[6]" IOSTANDARD = LVCMOS18; +NET "tx_codec_d[6]" DRIVE = 4; +NET "tx_codec_d[7]" LOC = H1; +NET "tx_codec_d[7]" IOSTANDARD = LVCMOS18; +NET "tx_codec_d[7]" DRIVE = 4; +NET "tx_codec_d[8]" LOC = M2; +NET "tx_codec_d[8]" IOSTANDARD = LVCMOS18; +NET "tx_codec_d[8]" DRIVE = 4; +NET "tx_codec_d[9]" LOC = G1; +NET "tx_codec_d[9]" IOSTANDARD = LVCMOS18; +NET "tx_codec_d[9]" DRIVE = 4; +NET "tx_codec_d[10]" LOC = N3; +NET "tx_codec_d[10]" IOSTANDARD = LVCMOS18; +NET "tx_codec_d[10]" DRIVE = 4; +NET "tx_codec_d[11]" LOC = G3; +NET "tx_codec_d[11]" IOSTANDARD = LVCMOS18; +NET "tx_codec_d[11]" DRIVE = 4; + +## Catalina Clocks + +NET "cat_clkout_fpga" LOC = J3; +NET "cat_clkout_fpga" IOSTANDARD = LVCMOS18; +NET "codec_data_clk_p" LOC = K3; +NET "codec_data_clk_p" IOSTANDARD = LVCMOS18; +NET "codec_fb_clk_p" LOC = P3; +NET "codec_fb_clk_p" IOSTANDARD = LVCMOS18; +# | IOSTANDARD = LVCMOS18; +NET "codec_main_clk_p" LOC = K5; +# | IOSTANDARD = LVCMOS18; +NET "codec_main_clk_n" LOC = K4; + +NET "rx_frame_p" LOC = U4; +NET "rx_frame_p" IOSTANDARD = LVCMOS18; +NET "tx_frame_p" LOC = T3; +NET "tx_frame_p" IOSTANDARD = LVCMOS18; + +## Debug Bus + +NET "debug[0]" LOC = C14; +NET "debug[1]" LOC = F15; +NET "debug[2]" LOC = A18; +NET "debug[3]" LOC = A17; +NET "debug[4]" LOC = E14; +NET "debug[5]" LOC = G13; +NET "debug[6]" LOC = D13; +NET "debug[7]" LOC = F13; +NET "debug[8]" LOC = D8; +NET "debug[9]" LOC = A6; +NET "debug[10]" LOC = D7; +NET "debug[11]" LOC = A5; +NET "debug[12]" LOC = B6; +NET "debug[13]" LOC = A3; +NET "debug[14]" LOC = A7; +NET "debug[15]" LOC = A8; +NET "debug[16]" LOC = B18; +NET "debug[17]" LOC = C17; +NET "debug[18]" LOC = H13; +NET "debug[19]" LOC = D12; +NET "debug[20]" LOC = H14; +NET "debug[21]" LOC = C10; +NET "debug[22]" LOC = D10; +NET "debug[23]" LOC = C8; +NET "debug[24]" LOC = D9; +NET "debug[25]" LOC = C5; +NET "debug[26]" LOC = A9; +NET "debug[27]" LOC = B8; +NET "debug[28]" LOC = A4; +NET "debug[29]" LOC = C7; +NET "debug[30]" LOC = C6; +NET "debug[31]" LOC = D6; + +NET "debug_clk[0]" LOC = A12; +NET "debug_clk[1]" LOC = C12; + +## GPIF + +NET "IFCLK" LOC = H21; +NET "FX3_EXTINT" LOC = U20; + +NET "GPIF_CTL0" LOC = V20; +NET "GPIF_CTL1" LOC = T22; +NET "GPIF_CTL2" LOC = R22; +NET "GPIF_CTL3" LOC = U22; +NET "GPIF_CTL4" LOC = P19; +NET "GPIF_CTL5" LOC = N22; +NET "GPIF_CTL6" LOC = T21; +NET "GPIF_CTL7" LOC = V21; +NET "GPIF_CTL8" LOC = K18; +NET "GPIF_CTL9" LOC = R20; +##GPIF_CTL10 is "FPGA_CFG_DONE", defined later. +NET "GPIF_CTL11" LOC = P22; +NET "GPIF_CTL12" LOC = M20; + +NET "GPIF_D[0]" LOC = T17; +NET "GPIF_D[1]" LOC = U14; +NET "GPIF_D[2]" LOC = U13; +NET "GPIF_D[3]" LOC = AA6; +NET "GPIF_D[4]" LOC = AB6; +NET "GPIF_D[5]" LOC = Y3; +NET "GPIF_D[6]" LOC = AB3; +NET "GPIF_D[7]" LOC = AA4; +NET "GPIF_D[8]" LOC = AA2; +NET "GPIF_D[9]" LOC = AB2; +NET "GPIF_D[10]" LOC = AB19; +NET "GPIF_D[11]" LOC = AA18; +NET "GPIF_D[12]" LOC = AB18; +NET "GPIF_D[13]" LOC = Y13; +NET "GPIF_D[14]" LOC = AA12; +NET "GPIF_D[15]" LOC = AB12; +NET "GPIF_D[16]" LOC = N20; +NET "GPIF_D[17]" LOC = L20; +NET "GPIF_D[18]" LOC = N19; +NET "GPIF_D[19]" LOC = M22; +NET "GPIF_D[20]" LOC = L19; +NET "GPIF_D[21]" LOC = M21; +NET "GPIF_D[22]" LOC = M19; +NET "GPIF_D[23]" LOC = K22; +NET "GPIF_D[24]" LOC = J20; +NET "GPIF_D[25]" LOC = L22; +NET "GPIF_D[26]" LOC = K19; +NET "GPIF_D[27]" LOC = H22; +NET "GPIF_D[28]" LOC = J22; +NET "GPIF_D[29]" LOC = K20; +NET "GPIF_D[30]" LOC = G22; +NET "GPIF_D[31]" LOC = F22; + +## GPS + +NET "gps_lock" LOC = Y17; +NET "gps_out_enable" LOC = V22; +NET "gps_ref_enable" LOC = AB13; +NET "gps_rxd" LOC = AB14; +NET "gps_txd" LOC = W12; +NET "gps_txd_nmea" LOC = AA14; + +## LEDS + +NET "LED_RX1" LOC = C22; +NET "LED_RX2" LOC = L15; +NET "LED_TXRX1_TX" LOC = C20; +NET "LED_TXRX2_RX" LOC = D21; +NET "LED_TXRX1_RX" LOC = K16; +NET "LED_TXRX2_TX" LOC = D22; + +## Misc Hardware Control + +NET "ext_ref_enable" LOC = Y15; +NET "pll_lock" LOC = AB10; +NET "AUX_PWR_ON" LOC = AA21; +#NET "RFUSE" LOC = "P15" ; + +## PPS + +NET "pps_fpga_out_enable" LOC = AB15; +NET "PPS_IN_EXT" LOC = AB16; +NET "PPS_IN_INT" LOC = AB21; +NET "pps_out" LOC = AB17; + +## RF Hardware Control + +NET "SFDX1_RX" LOC = W4; +NET "SFDX1_TX" LOC = T18; +NET "SFDX2_RX" LOC = F18; +NET "SFDX2_TX" LOC = H17; +NET "SRX1_RX" LOC = Y7; +NET "SRX1_TX" LOC = AA8; +NET "SRX2_RX" LOC = J17; +NET "SRX2_TX" LOC = F19; +NET "tx_bandsel_a" LOC = N16; +NET "tx_bandsel_b" LOC = M16; +NET "tx_enable1" LOC = Y4; +NET "tx_enable2" LOC = R19; +NET "rx_bandsel_a" LOC = T20; +NET "rx_bandsel_b" LOC = U19; +NET "rx_bandsel_c" LOC = P20; + +## FPGA Config Pins + +#NET "FPGA_CFG_INIT_B" LOC = "T6" ; +#NET "FPGA_CFG_DONE" LOC = "Y22" ; +#NET "FPGA_CFG_M0" LOC = "AA22" ; +#NET "FPGA_CFG_M1" LOC = "U15" ; +#NET "FPGA_CFG_PROG_B" LOC = "AA1" ; + +## Special Pins + +#NET "VFS" LOC = "P16" ; +#NET "TMS" LOC = "C18" ; +#NET "TDO" LOC = "A19" ; +#NET "TDI" LOC = "E18" ; +#NET "TCK" LOC = "G15" ; +#NET "GND" LOC = "N15" ; + +#################################################################################### +# Constraints from file : 'timing.ucf' +#################################################################################### + + +# codec_main_clk is 40 MHz main tcxo clock +NET "codec_main_clk*" TNM_NET = "codec_main_clk"; +TIMESPEC TS_codec_main_clk = PERIOD "codec_main_clk" 25000 ps HIGH 50 %; + + +# IFCLK is 100 MHz GPIF clock +NET "IFCLK" TNM_NET = "IFCLK"; +TIMESPEC TS_IFCLK = PERIOD "IFCLK" 10000 ps HIGH 50 %; + + +# codec_data_clk is the data clock from catalina, sample rate dependent +# this clock equals sample rate in CMOS DDR 1R1T mode +# this clock is double the sample rate in CMOS DDR 2R2T mode +# Max clock rate is 61.44 MHz +NET "codec_data_clk_p" TNM_NET = "codec_data_clk_p"; +TIMESPEC TS_codec_data_clk_p = PERIOD "codec_data_clk_p" 16276 ps HIGH 50 %; + + +#always use IOB for GPIF pins for awesome timing +INST "GPIF_D_9_IOBUF" IOB =TRUE; +INST "GPIF_D_8_IOBUF" IOB =TRUE; +INST "GPIF_D_7_IOBUF" IOB =TRUE; +INST "GPIF_D_6_IOBUF" IOB =TRUE; +INST "GPIF_D_5_IOBUF" IOB =TRUE; +INST "GPIF_D_4_IOBUF" IOB =TRUE; +INST "GPIF_D_3_IOBUF" IOB =TRUE; +INST "GPIF_D_31_IOBUF" IOB =TRUE; +INST "GPIF_D_30_IOBUF" IOB =TRUE; +INST "GPIF_D_2_IOBUF" IOB =TRUE; +INST "GPIF_D_29_IOBUF" IOB =TRUE; +INST "GPIF_D_28_IOBUF" IOB =TRUE; +INST "GPIF_D_27_IOBUF" IOB =TRUE; +INST "GPIF_D_26_IOBUF" IOB =TRUE; +INST "GPIF_D_25_IOBUF" IOB =TRUE; +INST "GPIF_D_24_IOBUF" IOB =TRUE; +INST "GPIF_D_23_IOBUF" IOB =TRUE; +INST "GPIF_D_22_IOBUF" IOB =TRUE; +INST "GPIF_D_21_IOBUF" IOB =TRUE; +INST "GPIF_D_20_IOBUF" IOB =TRUE; +INST "GPIF_D_1_IOBUF" IOB =TRUE; +INST "GPIF_CTL0_OBUF" IOB =TRUE; +INST "GPIF_CTL11_OBUF" IOB =TRUE; +INST "GPIF_CTL12_OBUF" IOB =TRUE; +INST "GPIF_CTL1_OBUF" IOB =TRUE; +INST "GPIF_CTL2_OBUF" IOB =TRUE; +INST "GPIF_CTL3_OBUF" IOB =TRUE; +INST "GPIF_CTL4_IBUF" IOB =TRUE; +INST "GPIF_CTL5_IBUF" IOB =TRUE; +INST "GPIF_CTL7_OBUF" IOB =TRUE; +INST "GPIF_CTL9_IBUF" IOB =TRUE; +INST "GPIF_D_0_IOBUF" IOB =TRUE; +INST "GPIF_D_10_IOBUF" IOB =TRUE; +INST "GPIF_D_11_IOBUF" IOB =TRUE; +INST "GPIF_D_12_IOBUF" IOB =TRUE; +INST "GPIF_D_13_IOBUF" IOB =TRUE; +INST "GPIF_D_14_IOBUF" IOB =TRUE; +INST "GPIF_D_15_IOBUF" IOB =TRUE; +INST "GPIF_D_16_IOBUF" IOB =TRUE; +INST "GPIF_D_17_IOBUF" IOB =TRUE; +INST "GPIF_D_18_IOBUF" IOB =TRUE; +INST "GPIF_D_19_IOBUF" IOB =TRUE; + +# TODO not working... constraints ignored + +#constrain FX3 IO +INST "GPIF_D[*]" TNM = "gpif_net_out"; +INST "GPIF_D[*]" TNM = "gpif_net_in"; +INST "GPIF_CTL0" TNM = "gpif_net_out"; +INST "GPIF_CTL1" TNM = "gpif_net_out"; +INST "GPIF_CTL2" TNM = "gpif_net_out"; +INST "GPIF_CTL3" TNM = "gpif_net_out"; +INST "GPIF_CTL4" TNM = "gpif_net_in"; +INST "GPIF_CTL5" TNM = "gpif_net_in"; +INST "GPIF_CTL7" TNM = "gpif_net_out"; +INST "GPIF_CTL11" TNM = "gpif_net_out"; +INST "GPIF_CTL12" TNM = "gpif_net_out"; + +#NET "gpif_clk" TNM_NET = "TNM_gpif_clk"; +#OFFSET = OUT 5 ns AFTER "gpif_clk"; +#TIMESPEC "TS_gpif_clk" = PERIOD "TNM_gpif_clk" 10000 ps HIGH 50 %; +#TIMEGRP "gpif_net_in" OFFSET = IN 6 ns VALID 6 ns BEFORE "gpif_clk" RISING; +#TIMEGRP "gpif_net_out" OFFSET = OUT 6 ns AFTER "gpif_clk" RISING; diff --git a/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.xdl b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.xdl new file mode 100644 index 000000000..f35ea02e6 Binary files /dev/null and b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.xdl differ diff --git a/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/htr.txt b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/htr.txt new file mode 100644 index 000000000..bf500d659 --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/htr.txt @@ -0,0 +1,13 @@ +# +# PlanAhead(TM) +# htr.txt: a PlanAhead-generated description of how-to-repeat the +# the basic steps of a run. Note that runme.bat/sh needs +# to be invoked for PlanAhead to track run status. +# Copyright 1986-1999, 2001-2012 Xilinx, Inc. All Rights Reserved. +# + +ngdbuild -intstyle ise -p xc6slx75fgg484-3 -dd _ngo -uc "b200.ucf" "b200.edf" +map -intstyle pa -w "b200.ngd" +par -intstyle pa "b200.ncd" -w "b200_routed.ncd" +trce -intstyle ise -o "b200.twr" -v 30 -l 30 "b200_routed.ncd" "b200.pcf" +xdl -secure -ncd2xdl -nopips "b200_routed.ncd" "b200_routed.xdl" diff --git a/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/rundef.js b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/rundef.js new file mode 100644 index 000000000..759e0d89d --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/rundef.js @@ -0,0 +1,48 @@ +// +// PlanAhead(TM) +// rundef.js: a PlanAhead-generated Runs Script for WSH 5.1/5.6 +// Copyright 1986-1999, 2001-2012 Xilinx, Inc. All Rights Reserved. +// + +echo "This script was generated under a different operating system." +echo "Please update the PATH variable below, before executing this script" +exit + +var WshShell = new ActiveXObject( "WScript.Shell" ); +var ProcEnv = WshShell.Environment( "Process" ); +var PathVal = ProcEnv("PATH"); +if ( PathVal.length == 0 ) { + PathVal = "/opt/Xilinx/14.4/ISE_DS/EDK/bin/lin64:/opt/Xilinx/14.4/ISE_DS/ISE/bin/lin64:/opt/Xilinx/14.4/ISE_DS/common/bin/lin64;/opt/Xilinx/14.4/ISE_DS/EDK/lib/lin64:/opt/Xilinx/14.4/ISE_DS/ISE/lib/lin64:/opt/Xilinx/14.4/ISE_DS/common/lib/lin64;/opt/Xilinx/14.4/ISE_DS/PlanAhead/bin;"; +} else { + PathVal = "/opt/Xilinx/14.4/ISE_DS/EDK/bin/lin64:/opt/Xilinx/14.4/ISE_DS/ISE/bin/lin64:/opt/Xilinx/14.4/ISE_DS/common/bin/lin64;/opt/Xilinx/14.4/ISE_DS/EDK/lib/lin64:/opt/Xilinx/14.4/ISE_DS/ISE/lib/lin64:/opt/Xilinx/14.4/ISE_DS/common/lib/lin64;/opt/Xilinx/14.4/ISE_DS/PlanAhead/bin;" + PathVal; +} + +ProcEnv("PATH") = PathVal; + +var RDScrFP = WScript.ScriptFullName; +var RDScrN = WScript.ScriptName; +var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); +var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; +eval( EAInclude(ISEJScriptLib) ); + + +ISEStep( "ngdbuild", + "-intstyle ise -p xc6slx75fgg484-3 -dd _ngo -uc \"b200.ucf\" \"b200.edf\"" ); +ISEStep( "map", + "-intstyle pa -w \"b200.ngd\"" ); +ISEStep( "par", + "-intstyle pa \"b200.ncd\" -w \"b200_routed.ncd\"" ); +ISEStep( "trce", + "-intstyle ise -o \"b200.twr\" -v 30 -l 30 \"b200_routed.ncd\" \"b200.pcf\"" ); +ISEStep( "xdl", + "-secure -ncd2xdl -nopips \"b200_routed.ncd\" \"b200_routed.xdl\"" ); + + + +function EAInclude( EAInclFilename ) { + var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); + var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); + var EAIFContents = EAInclFile.ReadAll(); + EAInclFile.Close(); + return EAIFContents; +} diff --git a/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/runme.bat b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/runme.bat new file mode 100644 index 000000000..4eed28871 --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/runme.bat @@ -0,0 +1,11 @@ +@echo off + +rem PlanAhead (TM) +rem runme.bat: a PlanAhead-generated Script +rem Copyright 1986-1999, 2001-2012 Xilinx, Inc. All Rights Reserved. + + +set HD_SDIR=%~dp0 +cd /d "%HD_SDIR%" +set PATH=%SYSTEMROOT%\system32;%PATH% +cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/runme.log b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/runme.log new file mode 100644 index 000000000..9fee0944e --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/runme.log @@ -0,0 +1,4 @@ +*** PLEASE NOTE: this run was imported on Tue Jan 29 17:25:57 2013 + from ISE results generated outside of PlanAhead. + Original messages and reports have not been imported + but you can launch bitgen on this run if desired... diff --git a/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/runme.sh b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/runme.sh new file mode 100755 index 000000000..f814cb32f --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/runme.sh @@ -0,0 +1,43 @@ +#!/bin/sh + +# +# PlanAhead(TM) +# runme.sh: a PlanAhead-generated Runs Script for UNIX +# Copyright 1986-1999, 2001-2012 Xilinx, Inc. All Rights Reserved. +# + +if [ -z "$PATH" ]; then + PATH=/opt/Xilinx/14.4/ISE_DS/EDK/bin/lin64:/opt/Xilinx/14.4/ISE_DS/ISE/bin/lin64:/opt/Xilinx/14.4/ISE_DS/common/bin/lin64:/opt/Xilinx/14.4/ISE_DS/PlanAhead/bin +else + PATH=/opt/Xilinx/14.4/ISE_DS/EDK/bin/lin64:/opt/Xilinx/14.4/ISE_DS/ISE/bin/lin64:/opt/Xilinx/14.4/ISE_DS/common/bin/lin64:/opt/Xilinx/14.4/ISE_DS/PlanAhead/bin:$PATH +fi +export PATH + +if [ -z "$LD_LIBRARY_PATH" ]; then + LD_LIBRARY_PATH=/opt/Xilinx/14.4/ISE_DS/EDK/lib/lin64:/opt/Xilinx/14.4/ISE_DS/ISE/lib/lin64:/opt/Xilinx/14.4/ISE_DS/common/lib/lin64 +else + LD_LIBRARY_PATH=/opt/Xilinx/14.4/ISE_DS/EDK/lib/lin64:/opt/Xilinx/14.4/ISE_DS/ISE/lib/lin64:/opt/Xilinx/14.4/ISE_DS/common/lib/lin64:$LD_LIBRARY_PATH +fi +export LD_LIBRARY_PATH + +HD_PWD=`dirname "$0"` +cd "$HD_PWD" + +HD_LOG=runme.log +/bin/touch $HD_LOG + +ISEStep="./ISEWrap.sh" +EAStep() +{ + $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 + if [ $? -ne 0 ] + then + exit + fi +} + +EAStep ngdbuild -intstyle ise -p xc6slx75fgg484-3 -dd _ngo -uc "b200.ucf" "b200.edf" +EAStep map -intstyle pa -w "b200.ngd" +EAStep par -intstyle pa "b200.ncd" -w "b200_routed.ncd" +EAStep trce -intstyle ise -o "b200.twr" -v 30 -l 30 "b200_routed.ncd" "b200.pcf" +EAStep xdl -secure -ncd2xdl -nopips "b200_routed.ncd" "b200_routed.xdl" diff --git a/fpga/usrp3/top/b200/planahead/planahead.srcs/constrs_1/imports/b200/b200.ucf b/fpga/usrp3/top/b200/planahead/planahead.srcs/constrs_1/imports/b200/b200.ucf new file mode 100644 index 000000000..75ffef7f3 --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.srcs/constrs_1/imports/b200/b200.ucf @@ -0,0 +1,241 @@ +## SPI Nets + +NET "cat_ce" LOC = "Y1" | IOSTANDARD = LVCMOS18; +NET "cat_miso" LOC = "V1" | IOSTANDARD = LVCMOS18; +NET "cat_mosi" LOC = "T4" | IOSTANDARD = LVCMOS18; +NET "cat_sclk" LOC = "P7" | IOSTANDARD = LVCMOS18; + +NET "fx3_ce" LOC = "H20" ; +NET "fx3_miso" LOC = "G20" ; +NET "fx3_mosi" LOC = "AA20" ; +NET "fx3_sclk" LOC = "Y21" ; + +NET "pll_ce" LOC = "W11" ; +NET "pll_mosi" LOC = "AB11" ; +NET "pll_sclk" LOC = "Y12" ; + +NET "FPGA_RXD0" LOC = "AB8" ; +NET "FPGA_TXD0" LOC = "AB7" ; + +NET "SCL_FPGA" LOC = "P21" ; +NET "SDA_FPGA" LOC = "W22" ; + +## Catalina Controls + +NET "codec_enable" LOC = "J6" | IOSTANDARD = LVCMOS18; +NET "codec_en_agc" LOC = "P6" | IOSTANDARD = LVCMOS18; +NET "codec_reset" LOC = "Y2" | IOSTANDARD = LVCMOS18; +NET "codec_sync" LOC = "M3" | IOSTANDARD = LVCMOS18; +NET "codec_txrx" LOC = "M7" | IOSTANDARD = LVCMOS18; + +NET "codec_ctrl_in<0>" LOC = "E3" | IOSTANDARD = LVCMOS18; +NET "codec_ctrl_in<1>" LOC = "F2" | IOSTANDARD = LVCMOS18; +NET "codec_ctrl_in<2>" LOC = "F1" | IOSTANDARD = LVCMOS18; +NET "codec_ctrl_in<3>" LOC = "E1" | IOSTANDARD = LVCMOS18; + +NET "codec_ctrl_out<0>" LOC = "D1" | IOSTANDARD = LVCMOS18; +NET "codec_ctrl_out<1>" LOC = "C1" | IOSTANDARD = LVCMOS18; +NET "codec_ctrl_out<2>" LOC = "H3" | IOSTANDARD = LVCMOS18; +NET "codec_ctrl_out<3>" LOC = "F3" | IOSTANDARD = LVCMOS18; +NET "codec_ctrl_out<4>" LOC = "P1" | IOSTANDARD = LVCMOS18; +NET "codec_ctrl_out<5>" LOC = "J1" | IOSTANDARD = LVCMOS18; +NET "codec_ctrl_out<6>" LOC = "B1" | IOSTANDARD = LVCMOS18; +NET "codec_ctrl_out<7>" LOC = "H2" | IOSTANDARD = LVCMOS18; + +## Catalina Data RX + +NET "rx_codec_d<0>" LOC = "T2" | IOSTANDARD = LVCMOS18 | DRIVE = 4; +NET "rx_codec_d<1>" LOC = "R1" | IOSTANDARD = LVCMOS18 | DRIVE = 4; +NET "rx_codec_d<2>" LOC = "V2" | IOSTANDARD = LVCMOS18 | DRIVE = 4; +NET "rx_codec_d<3>" LOC = "N1" | IOSTANDARD = LVCMOS18 | DRIVE = 4; +NET "rx_codec_d<4>" LOC = "V3" | IOSTANDARD = LVCMOS18 | DRIVE = 4; +NET "rx_codec_d<5>" LOC = "T1" | IOSTANDARD = LVCMOS18 | DRIVE = 4; +NET "rx_codec_d<6>" LOC = "W1" | IOSTANDARD = LVCMOS18 | DRIVE = 4; +NET "rx_codec_d<7>" LOC = "U1" | IOSTANDARD = LVCMOS18 | DRIVE = 4; +NET "rx_codec_d<8>" LOC = "W3" | IOSTANDARD = LVCMOS18 | DRIVE = 4; +NET "rx_codec_d<9>" LOC = "U3" | IOSTANDARD = LVCMOS18 | DRIVE = 4; +NET "rx_codec_d<10>" LOC = "P2" | IOSTANDARD = LVCMOS18 | DRIVE = 4; +NET "rx_codec_d<11>" LOC = "R3" | IOSTANDARD = LVCMOS18 | DRIVE = 4; + +## Catalina Data TX + +NET "tx_codec_d<0>" LOC = "M1" | IOSTANDARD = LVCMOS18 | DRIVE = 4; +NET "tx_codec_d<1>" LOC = "K1" | IOSTANDARD = LVCMOS18 | DRIVE = 4; +NET "tx_codec_d<2>" LOC = "L3" | IOSTANDARD = LVCMOS18 | DRIVE = 4; +NET "tx_codec_d<3>" LOC = "K2" | IOSTANDARD = LVCMOS18 | DRIVE = 4; +NET "tx_codec_d<4>" LOC = "M4" | IOSTANDARD = LVCMOS18 | DRIVE = 4; +NET "tx_codec_d<5>" LOC = "J4" | IOSTANDARD = LVCMOS18 | DRIVE = 4; +NET "tx_codec_d<6>" LOC = "L4" | IOSTANDARD = LVCMOS18 | DRIVE = 4; +NET "tx_codec_d<7>" LOC = "H1" | IOSTANDARD = LVCMOS18 | DRIVE = 4; +NET "tx_codec_d<8>" LOC = "M2" | IOSTANDARD = LVCMOS18 | DRIVE = 4; +NET "tx_codec_d<9>" LOC = "G1" | IOSTANDARD = LVCMOS18 | DRIVE = 4; +NET "tx_codec_d<10>" LOC = "N3" | IOSTANDARD = LVCMOS18 | DRIVE = 4; +NET "tx_codec_d<11>" LOC = "G3" | IOSTANDARD = LVCMOS18 | DRIVE = 4; + +## Catalina Clocks + +NET "cat_clkout_fpga" LOC = "J3" | IOSTANDARD = LVCMOS18; +NET "codec_data_clk_p" LOC = "K3" | IOSTANDARD = LVCMOS18; +NET "codec_fb_clk_p" LOC = "P3" | IOSTANDARD = LVCMOS18; +NET "codec_main_clk_p" LOC = "K5" ;# | IOSTANDARD = LVCMOS18; +NET "codec_main_clk_n" LOC = "K4" ;# | IOSTANDARD = LVCMOS18; + +NET "rx_frame_p" LOC = "U4" | IOSTANDARD = LVCMOS18; +NET "tx_frame_p" LOC = "T3" | IOSTANDARD = LVCMOS18; + +## Debug Bus + +NET "debug<0>" LOC = "C14" ; +NET "debug<1>" LOC = "F15" ; +NET "debug<2>" LOC = "A18" ; +NET "debug<3>" LOC = "A17" ; +NET "debug<4>" LOC = "E14" ; +NET "debug<5>" LOC = "G13" ; +NET "debug<6>" LOC = "D13" ; +NET "debug<7>" LOC = "F13" ; +NET "debug<8>" LOC = "D8" ; +NET "debug<9>" LOC = "A6" ; +NET "debug<10>" LOC = "D7" ; +NET "debug<11>" LOC = "A5" ; +NET "debug<12>" LOC = "B6" ; +NET "debug<13>" LOC = "A3" ; +NET "debug<14>" LOC = "A7" ; +NET "debug<15>" LOC = "A8" ; +NET "debug<16>" LOC = "B18" ; +NET "debug<17>" LOC = "C17" ; +NET "debug<18>" LOC = "H13" ; +NET "debug<19>" LOC = "D12" ; +NET "debug<20>" LOC = "H14" ; +NET "debug<21>" LOC = "C10" ; +NET "debug<22>" LOC = "D10" ; +NET "debug<23>" LOC = "C8" ; +NET "debug<24>" LOC = "D9" ; +NET "debug<25>" LOC = "C5" ; +NET "debug<26>" LOC = "A9" ; +NET "debug<27>" LOC = "B8" ; +NET "debug<28>" LOC = "A4" ; +NET "debug<29>" LOC = "C7" ; +NET "debug<30>" LOC = "C6" ; +NET "debug<31>" LOC = "D6" ; + +NET "debug_clk<0>" LOC = "A12" ; +NET "debug_clk<1>" LOC = "C12" ; + +## GPIF + +NET "IFCLK" LOC = "H21" ; +NET "FX3_EXTINT" LOC = "U20" ; + +NET "GPIF_CTL0" LOC = "V20" ; +NET "GPIF_CTL1" LOC = "T22" ; +NET "GPIF_CTL2" LOC = "R22" ; +NET "GPIF_CTL3" LOC = "U22" ; +NET "GPIF_CTL4" LOC = "P19" ; +NET "GPIF_CTL5" LOC = "N22" ; +NET "GPIF_CTL6" LOC = "T21" ; +NET "GPIF_CTL7" LOC = "V21" ; +NET "GPIF_CTL8" LOC = "K18" ; +NET "GPIF_CTL9" LOC = "R20" ; +##GPIF_CTL10 is "FPGA_CFG_DONE", defined later. +NET "GPIF_CTL11" LOC = "P22" ; +NET "GPIF_CTL12" LOC = "M20" ; + +NET "GPIF_D<0>" LOC = "T17" ; +NET "GPIF_D<1>" LOC = "U14" ; +NET "GPIF_D<2>" LOC = "U13" ; +NET "GPIF_D<3>" LOC = "AA6" ; +NET "GPIF_D<4>" LOC = "AB6" ; +NET "GPIF_D<5>" LOC = "Y3" ; +NET "GPIF_D<6>" LOC = "AB3" ; +NET "GPIF_D<7>" LOC = "AA4" ; +NET "GPIF_D<8>" LOC = "AA2" ; +NET "GPIF_D<9>" LOC = "AB2" ; +NET "GPIF_D<10>" LOC = "AB19" ; +NET "GPIF_D<11>" LOC = "AA18" ; +NET "GPIF_D<12>" LOC = "AB18" ; +NET "GPIF_D<13>" LOC = "Y13" ; +NET "GPIF_D<14>" LOC = "AA12" ; +NET "GPIF_D<15>" LOC = "AB12" ; +NET "GPIF_D<16>" LOC = "N20" ; +NET "GPIF_D<17>" LOC = "L20" ; +NET "GPIF_D<18>" LOC = "N19" ; +NET "GPIF_D<19>" LOC = "M22" ; +NET "GPIF_D<20>" LOC = "L19" ; +NET "GPIF_D<21>" LOC = "M21" ; +NET "GPIF_D<22>" LOC = "M19" ; +NET "GPIF_D<23>" LOC = "K22" ; +NET "GPIF_D<24>" LOC = "J20" ; +NET "GPIF_D<25>" LOC = "L22" ; +NET "GPIF_D<26>" LOC = "K19" ; +NET "GPIF_D<27>" LOC = "H22" ; +NET "GPIF_D<28>" LOC = "J22" ; +NET "GPIF_D<29>" LOC = "K20" ; +NET "GPIF_D<30>" LOC = "G22" ; +NET "GPIF_D<31>" LOC = "F22" ; + +## GPS + +NET "gps_lock" LOC = "Y17" ; +NET "gps_out_enable" LOC = "V22" ; +NET "gps_ref_enable" LOC = "AB13" ; +NET "gps_rxd" LOC = "AB14" ; +NET "gps_txd" LOC = "W12" ; +NET "gps_txd_nmea" LOC = "AA14" ; + +## LEDS + +NET "LED_RX1" LOC = "C22" ; +NET "LED_RX2" LOC = "L15" ; +NET "LED_TXRX1_TX" LOC = "C20" ; +NET "LED_TXRX2_RX" LOC = "D21" ; +NET "LED_TXRX1_RX" LOC = "K16" ; +NET "LED_TXRX2_TX" LOC = "D22" ; + +## Misc Hardware Control + +NET "ext_ref_enable" LOC = "Y15" ; +NET "pll_lock" LOC = "AB10" ; +NET "AUX_PWR_ON" LOC = "AA21" ; +#NET "RFUSE" LOC = "P15" ; + +## PPS + +NET "pps_fpga_out_enable" LOC = "AB15" ; +NET "PPS_IN_EXT" LOC = "AB16" ; +NET "PPS_IN_INT" LOC = "AB21" ; +NET "pps_out" LOC = "AB17" ; + +## RF Hardware Control + +NET "SFDX1_RX" LOC = "W4" ; +NET "SFDX1_TX" LOC = "T18" ; +NET "SFDX2_RX" LOC = "F18" ; +NET "SFDX2_TX" LOC = "H17" ; +NET "SRX1_RX" LOC = "Y7" ; +NET "SRX1_TX" LOC = "AA8" ; +NET "SRX2_RX" LOC = "J17" ; +NET "SRX2_TX" LOC = "F19" ; +NET "tx_bandsel_a" LOC = "N16" ; +NET "tx_bandsel_b" LOC = "M16" ; +NET "tx_enable1" LOC = "Y4" ; +NET "tx_enable2" LOC = "R19" ; +NET "rx_bandsel_a" LOC = "T20" ; +NET "rx_bandsel_b" LOC = "U19" ; +NET "rx_bandsel_c" LOC = "P20" ; + +## FPGA Config Pins + +#NET "FPGA_CFG_INIT_B" LOC = "T6" ; +#NET "FPGA_CFG_DONE" LOC = "Y22" ; +#NET "FPGA_CFG_M0" LOC = "AA22" ; +#NET "FPGA_CFG_M1" LOC = "U15" ; +#NET "FPGA_CFG_PROG_B" LOC = "AA1" ; + +## Special Pins + +#NET "VFS" LOC = "P16" ; +#NET "TMS" LOC = "C18" ; +#NET "TDO" LOC = "A19" ; +#NET "TDI" LOC = "E18" ; +#NET "TCK" LOC = "G15" ; +#NET "GND" LOC = "N15" ; diff --git a/fpga/usrp3/top/b200/planahead/planahead.srcs/constrs_1/imports/b200/timing.ucf b/fpga/usrp3/top/b200/planahead/planahead.srcs/constrs_1/imports/b200/timing.ucf new file mode 100644 index 000000000..82d68aceb --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.srcs/constrs_1/imports/b200/timing.ucf @@ -0,0 +1,44 @@ + +# codec_main_clk is 40 MHz main tcxo clock +NET "codec_main_clk*" TNM_NET = "codec_main_clk"; +TIMESPEC "TS_codec_main_clk" = PERIOD "codec_main_clk" 25000 ps HIGH 50 %; + + +# IFCLK is 100 MHz GPIF clock +NET "IFCLK" TNM_NET = "IFCLK"; +TIMESPEC "TS_IFCLK" = PERIOD "IFCLK" 10000 ps HIGH 50 %; + + +# codec_data_clk is the data clock from catalina, sample rate dependent +# this clock equals sample rate in CMOS DDR 1R1T mode +# this clock is double the sample rate in CMOS DDR 2R2T mode +# Max clock rate is 61.44 MHz +NET "codec_data_clk_p" TNM_NET = "codec_data_clk_p"; +TIMESPEC "TS_codec_data_clk_p" = PERIOD "codec_data_clk_p" 16276 ps HIGH 50 %; + + +#always use IOB for GPIF pins for awesome timing +INST "GPIF_*" IOB = TRUE; + +# TODO not working... constraints ignored + +#constrain FX3 IO +INST "GPIF_D<*>" TNM = gpif_net_out; +INST "GPIF_D<*>" TNM = gpif_net_in; +INST "GPIF_CTL0" TNM = gpif_net_out; +INST "GPIF_CTL1" TNM = gpif_net_out; +INST "GPIF_CTL2" TNM = gpif_net_out; +INST "GPIF_CTL3" TNM = gpif_net_out; +INST "GPIF_CTL4" TNM = gpif_net_in; +INST "GPIF_CTL5" TNM = gpif_net_in; +INST "GPIF_CTL6" TNM = gpif_net_in; +INST "GPIF_CTL7" TNM = gpif_net_out; +INST "GPIF_CTL8" TNM = gpif_net_in; +INST "GPIF_CTL11" TNM = gpif_net_out; +INST "GPIF_CTL12" TNM = gpif_net_out; + +#NET "gpif_clk" TNM_NET = "TNM_gpif_clk"; +#OFFSET = OUT 5 ns AFTER "gpif_clk"; +#TIMESPEC "TS_gpif_clk" = PERIOD "TNM_gpif_clk" 10000 ps HIGH 50 %; +#TIMEGRP "gpif_net_in" OFFSET = IN 6 ns VALID 6 ns BEFORE "gpif_clk" RISING; +#TIMEGRP "gpif_net_out" OFFSET = OUT 6 ns AFTER "gpif_clk" RISING; diff --git a/fpga/usrp3/top/b200/planahead/planahead.srcs/sources_1/imports/coregen/fifo_4k_2clk.ngc b/fpga/usrp3/top/b200/planahead/planahead.srcs/sources_1/imports/coregen/fifo_4k_2clk.ngc new file mode 100644 index 000000000..b379066c6 --- /dev/null +++ b/fpga/usrp3/top/b200/planahead/planahead.srcs/sources_1/imports/coregen/fifo_4k_2clk.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$67`44<,[o}e~g`n;"2*73>(-80!6;123456789>;7=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<<>40123456709:;<=>?2:2;0>6?0920<56?81:355=701:3<5>7092;4=633932<<5>1b924?IR\Y__6jPd`vb[firf}626=0>c:33>JSSX\^1{Qkauc\gjsi|531<3?;;069MKVR\3KOH_O31283:42<9=0BB][[:@FGVG:6;3:5=>5>:HLSQQ50?30?4:HLSQQ11:024>4?0123456789:;<=753:81EC^ZT;FJE956294:>6==:HLSQQ112906?IR\Y__6IAN<2394;743:81CXZ_UU8GKG:493:5=<5;:HLSQQ11097>LHW]]0OE]L33;2=55=32@D[YY4XE@>0>58682>1EC^ZT;UFF95=87;9794@UURVP?BHXH686=0>2:69KPRW]]0OC]L33;2=0>329<2098;:05230>32L<;0:?599595=<0682<25;7968;52<0>1?80:L646@CBEDGF991=MLONA@CBEDGFIHKn7;}cx67`wb2?<$8:?69=:HLSQQ01837586:2=96D@_UU8gmk:093:5=8582;MVPUSS2ME^XL281;2=50=0:3E^X][[:EMVPG:093:5=9582;MVPUSS2me~x19>:1<1?2@33>L3<<574::345><09:;<=>?119;456789:;<=>?01224>>7092;4=>781:3<577310BB][[:VGB82<768:047GAPTV9S@D;?3:5866J8@38=1=>89397487;845=3?>>8:05;8668;52008=?OIX\^1MIJ]B=:94;70300DYY^ZT;PGEABUMJI747>12:;B0>?FIH205LONA@CB55=>IHKJMLONA@CBEDG53H8:<6ONA@CBED0FIHKJML64AEFQE96912KOH_O311<:?DBCZH6:=374AEFQE9756k1JHI\N<0194;?99B@ATF48437LJKR@>1:==FLMXJ0>07;@FGVD:3611JHI\N<4<;?DBCZH6=255NDEPB828f3HNO^L27:1<;?DBCZH63255NDEPB8<8?3HNO^O2?>89B@ATE48:556OKDS@?548>3HNO^O2>2?`8EABUJ5;86=06;@FGVG:6;720MIJ]B=3=<>GCL[H7>364AEFQF95902KOH_L34?:8EABUJ5?546OKDS@?2;>GKD01J_T@L_SGD6>D79?1IA@QFNGM\EABUMZYT^L@HE99AQVYNFOE:7N<4CD31?FNBKBUGENKASD]W]UC53JC?7NBD079@HN7?8?1H@F<8049@HN4_02IGG?V>8178GIM>8=1H@FO:;BNHE418118GIT>3JEFADZ[EE37?FIUMVMNBH\NTHMM[LHAG>1H^HO[EE38@f=CI]KT[DJ[H^C`?AGSIV]BHYFPB69GFLRBZ[;;7IHIFGDEBC@ANOLMJ?5KI79GMD:76>1OEL2>0?58@LG;984<7IGN<00=3>BNI5;82:5KI@>20;169GMD:607=0HDO318<5?AOF484<7IGN<32=3>BNI58:2:5KI@>16;1>08;EKB8729?2NBM1<:>69GMD:5>7=0HDO326<4?AOF4;25;6JFA=0::3=CAH692:5KI@>04;?69GMD:497<0HDO33?48@LG;<7<0HDO35?48@LG;>7<0HDO37?48@LG;07<0HDO39?48@LD;87=0HDL311<4?AOE48;5;6JFB=31:2=CAK6:?394DH@?51803MCI0<;17:FJF9716>1OEO2>7?58@LD;914<7IGM<0;=2>BNJ5;5;6JFB=03:2=CAK69=394DH@?67803MCI0?=17:FJF9436>1OEO2=5?58@LD;:?4<7IGM<35=3>BNJ5832:5KIC>1=;0394DH@?758>3MCI0>?50?58@LD;;84=7IGM<2<5?AOE4=4=7IGM<4<5?AOE4?4=7IGM<6<5?AOE414=7IGM<8<4?AOII5:546JFN@>24;>BNFH6:8364DHLB843902NBBL2>6?:8@LHF48=546JFN@>2<;>99GMKG;:=437IGAA=06:==CAGK7>;07;EKME940611OECO329<;?AOII5822:5KIOC?6;>>18:FJJD:49720HD@N<20=<>BNFH68?364DHLB862902NBBL2<5?:8@LHF4:<546JFN@>03;>618:FJJD:417=0HD@N<2<;?AOII5>;255KIOC?048?3MCEM1:=>99GMKG;<:437IGAA=67:==CAGK78807;EKME921611OECO346<;?AOII5>3255KIOC?0<803MCEM1:18:FJJD:28720HD@N<43=<>BNFH6>>364DHLB805902NBBL2:4?:8@LHF462;>BNFH6>255KIOC?258?3MCEM18>>99GMKG;>;437IGAA=40:==CAGK7:907;EKME902611OECO367<;?AOII5<<255KIOC?2=8?3MCEM186>69GMKG;>720HD@N<62=e>BNFH6<=7>18:FJJD:097=0HD@N<6<4?AOII525;6JFN@>::2=CAGH7<364DHLA846902NBBO2>1?:8@LHE488546JFNC>27;>BNFK6:;364DHLA84>902NBBO2>9?58@LHE48437IGAB=03:==CAGH7><07;EKMF945611OECL322<;?AOIJ58?255KIO@?608?3MCEN1<9>99GMKD;:>437IGAB=0;:==CAGH7>408;EKMF94902NBBO2<0?:8@LHE4:;546JFNC>06;>=18:FJJG:4<720HD@M<27=<>BNFK68:364DHLA861902NBBO2<8?:8@LHE4:35;6JFNC>0:==CAGH78=07;EKMF926611OECL343<;?AOIJ5>8255KIO@?018?3MCEN1::>99GMKD;6>1OECL34?:8@LHE4<:546JFNC>65;>BNFK6>9364DHLA800902NBBO2:7?:8@LHE4<2546JFNC>6=;199GMKD;><437IGAB=45:==CAGH7::07;EKMF90?611OECL368<4?AOIJ5<546JFNC>44;g;5;6JFNC>4:2=CAGH74394DHLA8<803MC[M1>17:FJTD:66>1OE]O32?;8@LVF4:0;2:5KIQC?7;189GMUD;;3:5;6JFPC>0:3=CGH6;2:5KO@>24;169GKD:6<7=0HBO314<4?AIF48<5;6J@A=34:2=CGH6:4394DNC?5<813MEJ0<08;EMB8769?2NDM1<>>69GKD:5:7=0HBO322<4?AIF4;>5;6J@A=06:2=CGH69:394DNC?62803MEJ0?617:FLE94>6?1OCL2=>69GKD:48730HBO33083:2=CGH68=384DNC?7;017:FLF9766>1OCO2>2?58@JD;9:4<7IAM<06=3>BHJ5;>2:5KOC>22;19?2NDN1?6>79GKG:66>1OCO2=0?58@JD;:84<7IAM<30=3>BHJ5882:5KOC>10;1808;EMA8709?2NDN1<8>69GKG:507=0HBL328<5?AIE4;4<7IAM<22==>BHJ59:6=08;EMA8679>2NDN1=16:FLF929>2NDN1;16:FLF909>2NDN1916:FLF9>9>2NDN1717:FLFZTBO>1OC]O30?58@JVF484<7IA_A=0==>BHXH686=08;EMSE959?2ND\O2?>69GKUD;97=0HB^M<3<:?AIWJ591<394DNRA868?3ME^XL2?>89GKPRF48:556J@UUC?548>3ME^XL2>2?;8@JSSI5;8245KOTVB842912NDYYO314<:?AIR\H6::374DNWWE970601OCXZN<0:==>BH]]K7=407;EMVPD:6601OCXZN<32==>BH]]K7><06;EMVPD:5:730HB[[A=00:<=CG\^J0?:19:FLQQG;:<427IAZT@>12;?89GKPRF4;2556J@UUC?6<8?3ME^XL2=>89GKPRF4::556J@UUC?748>3ME^XL2<2?;8@JSSI598245KOTVB862912NDYYO334<:?AIR\H68:374DNWWE950601OCXZN<2:==>BH]]K7?407;EMVPD:4601OCXZN<52==>BH]]K78<06;EMVPD:3:730HB[[A=60:<=CG\^J09:19:FLQQG;<<427IAZT@>72;?89GKPRF4=2556J@UUC?0<8?3ME^XL2;>89GKPRF4<:556J@UUC?148>3ME^XL2:2?;8@JSSI5?8245KOTVB802912NDYYO354<:?AIR\H6>:374DNWWE930601OCXZN<4:==>BH]]K79407;EMVPD:2601OCXZN<72==>BH]]K7:<06;EMVPD:1:730HB[[A=40:<=CG\^J0;:19:FLQQG;><427IAZT@>52;?89GKPRF4?2556J@UUC?2<8?3ME^XL29>89GKPRF4>:5n6J@UUC?34<7601OCXZN<63=<>BH]]K7;364DNWWE9>902NDYYO39?:8@JSSJ5:556J@UU@?558>3ME^XO2>1?;8@JSSJ5;9245KOTVA845912NDYYL315<:?AIR\K6:9374DNWWF971601OCXZM<05==>BH]]H7=506;EMVPG:61720HB[[B=3==>BH]]H7>=06;EMVPG:59730HB[[B=01:<=CG\^I0?=19:FLQQD;:=427IAZTC>11;?89GKPRE4;=556J@UU@?6=8>3ME^XO2=9?:8@JSSJ58556J@UU@?758>3ME^XO2<1?;8@JSSJ599245KOTVA865912NDYYL335<:?AIR\K689374DNWWF951601OCXZM<25==>BH]]H7?506;EMVPG:41720HB[[B=1==>BH]]H78=06;EMVPG:39730HB[[B=61:<=CG\^I09=19:FLQQD;<=427IAZTC>71;?89GKPRE4==556J@UU@?0=8>3ME^XO2;9?:8@JSSJ5>556J@UU@?158>3ME^XO2:1?;8@JSSJ5?9245KOTVA805912NDYYL355<:?AIR\K6>9374DNWWF931601OCXZM<45==>BH]]H79506;EMVPG:21720HB[[B=7==>BH]]H7:=06;EMVPG:19730HB[[B=41:<=CG\^I0;=19:FLQQD;>=427IAZTC>51;?89GKPRE4?=556J@UU@?2=8>3ME^XO299?:8@JSSJ5<556J@UU@?358e3ME^XO281;2==>BH]]H7;<07;EMVPG:0611OCXZM<9<;?AIR\K622>5JN@18AKDe3LDT^^Z]AL]Bf>CIW[Y_^LCPB49EEIUB:2LO?6HKC59E@FC43ON[86HKPD68BATB02LMJK;:1433?C@ANOLMJ?O6:2C9>6G<2:K76>O202CEEY^P01:8MKOSXV::46GAIUR\47><8:KMMQVX8=20ECG[P^26<>OIA]ZT<;64IOKWTZ6012CEEY][AUG4?LHN\V:;;6GAIU]352=NF@^T;7:KMMQY7=>1BBDZP0758MKOSW9=<7D@FT^2;3>OIA]U;5:5FNHV\4D11BBDZP1758MKOSW8=<7D@FT^3;3>OIA]U:5:5FNHV\5D1D69JJLRX9L=0ECG[_0D4?LHN\V8;;6GAIU]152=NF@^T>?94IOKW[7503@DBXR<;7:KMMQY5=>1BBDZP2758MKOSW;=<7D@FT^0;3>OIA]U95:5FNHV\6D11BBDZP3758MKOSW:=<7D@FT^1;3>OIA]U85:5FNHV\7D1L8;HLJPZ5D?2CEEYQJR\:>0@XZ;4:NVP025A1718J4>43G8;>6@97:LFPRIUC=1ECCK>;N34?JVSADCQIR^]ILKWMSCTWGMH=6^8;Q,7=aYK<2ZJ^Yo4PHL\FPUNLQh0\D@PBTQMEHC>3YATDA[[NL18TWC13YYOCCK>;P38Vd=UIDH::OYJRb9Q@DBCZLIH0=0l;SFB@ATBKJ6:2n5]D@FGV@ED4;4h7_JNDEPFGF:46j1YHLJKRDA@818d3[NJHI\JCB>6:f=ULHNO^HML<7<`?WBFLMXNON28>d9Q@DBCZLIH054?>b9Q@DBCZLIH0509;SGDG@Ge3[OLOHO30;2==>TBOJOJ0=09;SGDG@De3[OLOHL30;2==>TBOJOI0=0;;SQWE<=U[]K7<7>17:PPPD:76=1Y_YL6;SQWF96=87=0^^ZM<1<4?WUS\PZNi6\\T^RQMJTN\PUJi6\\T^RQMJTN\PUI=6]8;R@JP@TU981XD_KH_DZWVDESWGMHi6]GRDE\ILHX[@PN=>5\IL]@KIJN[@EESNFJCJc8WLKXLL\BOH84SNWQG@><[YKYXL@97:QQRDJXI>1X^[OC_C48WQGS]Z>0_T@L4:VQQ@2<\PZN:k5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,I}iuW[NT\CZ][1_\VAYWF]XP=PQWOS]66ZiXpf6;2<8i;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*Kg{UYHR^ATSY2YZTCWYD_^V6g9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(EqeyS_JPPOVQ_7[XZMU[BY\T3\][KWY28VeTtb2?>04e?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&GscQ]D^RMPW]4UVXOS]@[RZ6^[]IUW=3TcRv`<1<22c=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$Aua}_SF\TKRUS=WT^IQ_NUPX1XY_G[U?4RaPxn>3:40a3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"Cwos]Q@ZVI\[Q>QR\K_QLWV^0ZWQEYS99Po^zl8586>o1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv Mymq[WBXXG^YW;SPRE]SJQT\?TUSC_Q;6^m\|j:768 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.O{kwYULVZEX_U8]^PG[UHSZR2VSUA]_57\kZ~h494::h5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,I}iuW^XT\CZ][1_\SWYWF]XP=PQWOS];[jYg5:5=;k4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+H~hzV]YS]@[RZ3^[RTXXG^YW?SPXNP\3ZiXpf6;2<8j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*Kg{U\^R^ATSY1YZQUWYD_^V=R_YMQ[3YhWqe7<3?9e:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})JpfxT[_Q_NUPX7XYPZVZEX_U;]^ZLVZ3XgVrd0=0>6d9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(EqeySZ\PPOVQ_1[X_[U[BY\T5\][KWY3WfUsc1>117g8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'Drd~RY]_QLWV^3ZW^XT\CZ][7_\\JTX;VeTtb2?>04f?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&GscQXR^RMPW]1UV]YS]@[RZ5^[]IUW;UdSua30?35a>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%Ftb|PWS]SJQT\?TU\^R^ATSY;YZ^HZV;TcRv`<1<226=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$^IQ_NUPX4XYULVZEX_U>]^ZLVZ35Wf<87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.PG[UHSZR;VS_JPPOVQ_7[XPFXT95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,VAYWF]XP8PQ]D^RMPW]2UVRD^R:7_n40?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&XOS]@[RZ7^[WBXXG^YW;SPXNP\02Yh>:1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv RE]SJQT\>TUYHR^ATSY4YZ^HZV>=Sb8<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*TCWYD_^V9R_SF\TKRUS1WTTB\P44]l27=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$[_Q_NUPX4XYPZVZEX_U>]^ZLVZ>Xg?80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/VP\TKRUS8WT[_Q_NUPX6XY_G[US7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%\^R^ATSY0YZQUWYD_^V:R_YMQ[0Yh>;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv WS]SJQT\Tc;<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+RTXXG^YW8SPWS]SJQT\>TUSC_Q<_n41?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&]YS]@[RZ4^[RTXXG^YW:SPXNP\6Zi1:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!XR^RMPW]0UV]YS]@[RZ:^[]IUW8Ud:95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT1\,q`ZusoVcey!K<1<50>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]6U'xoS~zh_hlpp*B;97 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV?R.sf\wqaXagy#I2=>768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_4[)zmUxxjQfnrv,@959>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP=P }d^qwcZoi{}%O09094:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY2Y+tcWz~lSd`|t.F?1;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR;V"jPsue\mkus'M6=2;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[0_-vaYt|nUbb~z D=5=21=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\9T$yhR}{g^kmwq)C414=;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU>]/pg[vr`W`dxx"_Prde\431<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS8W%~iQ|tf]jjvr(YVxnkR?97:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY2Y+tcWz~lSd`|t.S\v`aX:?=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnWS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]6U'xoS~zh_hlpp*WXzlmT8;94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[0_-vaYt|nUbb~z Q^pfcZ31?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ:Q#|k_rvd[lht|&[T~hiP6758Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_4[)zmUxxjQfnrv,UZtboV==;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU>]/pg[vr`W`dxx"_Prde\<32<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS8W%{Q|tf]jjvr(L5:5:95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT1\,tvZusoVcey!K<0<50>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]6U'}yS~zh_hlpp*B;:7 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV?R.vp\wqaXagy#I2<>768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_4[){UxxjQfnrv,@929>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP=P xr^qwcZoi{}%O08094:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY2Y+quWz~lSd`|t.F?2;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR;V"z|Psue\mkus'M6<2;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[0_-swYt|nUbb~z D=:=22=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\9T$|~R}{g^kmwq)VW{olS=88;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ3^*rtX{}mTec}{/P]qabY6>>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP=P xr^qwcZoi{}%ZSkh_344?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^7Z&~xTyiPioqw+TYumnU8::5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT1\,tvZusoVcey!^_sgd[1003\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR;V"z|Psue\mkus'XUyijQ:669V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX5X(pzVykRgasu-R[wc`W?<<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV?R.vp\wqaXagy#\Q}ef]422=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\9T$|~R}{g^kmwq)VW{olS58;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ0^*wbX{}mTec}{/E>3:32<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%~iQ|tf]jjvr(L5;5:95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT2\,q`ZusoVcey!K<3<50>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'xoS~zh_hlpp*B;;7 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[)zmUxxjQfnrv,@939>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P }d^qwcZoi{}%O0;094:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+tcWz~lSd`|t.F?3;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"jPsue\mkus'M632;64U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-vaYt|nUbb~z Q^pfc969>11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P }d^qwcZoi{}%ZSkh<0<5<>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'xoS~zh_hlpp*WXzlm7>387;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ0^*wbX{}mTec}{/P]qab:46?20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!re]ppbYnfz~$]R|jg=6=2==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$yhR}{g^kmwq)VW{ol08098:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+tcWz~lSd`|t.S\v`a;>7<37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV4:3><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%~iQ|tf]jjvr(YVxnk161669V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX6X(ulVykRgasu-R[wc`W9<<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P }d^qwcZoi{}%ZSkh_544?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^4Z&{nTyiPioqw+TYumnU>::5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT2\,q`ZusoVcey!^_sgd[3003\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"jPsue\mkus'XUyijQ8669V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX6X(ulVykRgasu-R[wc`W1 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[){UxxjQfnrv,@979>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P xr^qwcZoi{}%O0?094:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+quWz~lSd`|t.F?7;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"z|Psue\mkus'M6?2;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-swYt|nUbb~z D=7=21=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$|~R}{g^kmwq)C4?4=86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/uq[vr`W`dxx"J37?47?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^4Z&~xTyiPioqw+A:?6?20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!ws]ppbYnfz~$]R|jg=2=2==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$|~R}{g^kmwq)VW{ol0<098:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+quWz~lSd`|t.S\v`a;:7<37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV0:3><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%{Q|tf]jjvr(YVxnk1:1699V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX6X(pzVykRgasu-R[wc`4<4=46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/uq[vr`W`dxx"_Prde?2;0?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"z|Psue\mkus'XUyij28>7:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[){UxxjQfnrv,UZtbo525::5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT2\,tvZusoVcey!^_sgd[5003\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"z|Psue\mkus'XUyijQ>669V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX6X(pzVykRgasu-R[wc`W;<<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P xr^qwcZoi{}%ZSkh_744?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^4Z&~xTyiPioqw+TYumnU<::5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT2\,tvZusoVcey!^_sgd[=343\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"|k_qlwvZdnf5:59>5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,vaYwf}xTnd`31?70?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&xoS}`{r^`jj949=:1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv re]sjqtXj`d7?3;<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*tcWyd~Rlfn=6=16=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\flh;=7?87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVhbb181529V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pbhl?3;343\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"|k_qlwvZdnf5259?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,vaYwf}xTnd`P0408Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_ckm[4353\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"|k_qlwvZdnfV8>>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-q`Zvi|{UiecQ<539V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pbhl\004<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[goiW xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVhbbR8:2:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ulVzexQmio]417=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\flhX0<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniT<8?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+wbXxg~ySjmP1438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_fa\607<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[beX;<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniT88?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+wbXxg~ySjmP5438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_fa\207<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[beX?<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniT4;j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+wbXxg~ySjmParpfcZjf{}Q3QR|jdtaf[}iuW8117f8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_fa\evtboVfjyU7]^pf`pebWqeyS?>Po608Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_fa\evtboVfjyU7]^pf`pebWqeyS??>_ym?4;0c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"|k_qlwvZadWhyyijQcarvX1_RU32a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\cfYf{{olSao|tZ:^[wcc}joTtb|P23]l37=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\cfYf{{olSao|tZ:^[wcc}joTtb|P223\|j:76?n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniTm~|jg^nbwq]?UVxnhxmj_ymq[75Xg<90Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWkce0=0:3:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})pzVzexQmio>2:05<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#z|Ppovq[goi4;4>?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-tvZvi|{Uiec2<>418Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_ckm8182;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!xr^rmpwYeag6>28=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySoga<7<67>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]amk:06<90Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWkce050:2:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})pzVzexQmio]317=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\flhX9<80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWkceS?;=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Rlfn^166>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]amkY3=;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXj`dT98<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySoga_771?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&}yS}`{r^`jjZ12:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!xr^rmpwYeagU39<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTknQ?509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~({U{by|Pgb]214=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\cfY5=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXojU89<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTknQ;509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~({U{by|Pgb]614=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\cfY1=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXojU<9<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTknQ7729V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~({U{by|Pgb]bwwc`WekxxV6R_sggqfcXpfxT==?Pxn>3:40c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"y}_qlwvZadWhyyijQcarvX6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-tvZvi|{UloRo|rde\hdusS1WT~hjzcd]{kwY6:8Usc1>1779V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~({U{by|Pgb]bwwc`WekxxV6R_sggqfcXpfxT=??Pxn>3:ZUP8?n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWniTm~|jg^nbwq]?UVxnhxmj_ymq[44Xg>80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWniTm~|jg^nbwq]?UVxnhxmj_ymq[456Wqe7<38k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Ril_`qqabYkiz~P4PQ}eew`aZ~hzV;8Sb8l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Ril_`qqabYkiz~P4PQ}eew`aZ~hzVUd:i5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTknQnssgd[igt|R2VSkkubg\|jtX?Ve:;?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTknQnssgd[igt|R2VSkkubg\|jtX08Usc1>117a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_fa\evtboVfjyU7]^pf`pebWqeyS5Q`739V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~({U{by|Pgb]bwwc`WekxxV6R_sggqfcXpfxT51:2c<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'DkohRjffg]tvZtcS0WTKCJP315\kZgclV9TCXZPltv?7;1b3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GjhiQkigd\swYulR3VSJ@K_224[jYflmU8SB[[_mww8180m2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%FmijPdhde[rtXzmQ2QRIAD^133ZiXimnT?RAZT^nvp939?l1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$Aljk_ekebZquW{nP5PQHNE]042YhWhnoS>Q@UU]oqq:16>o0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#@okd^fjbcYpzVxoW4SPGOF\751XgVkohR=POTV\hpr;?7=n7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"Cnde]gmc`X{UyhV7R_FLG[660WfUjhiQ<_NWW[iss414<56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bmtz\`l`aW~xT~iU6]^EM@Z57?VeTaxvP5^MVP47012_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%FaxvPdhde[rtXzmQ2QRIAD^133ZiXe|rT9RAZT334=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)Je|rThdhi_vp\va]>UVMEHR=?7^m\ip~X=VE^X>?89:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-Nip~Xl`lmSz|PreY:YZAILV9;;RaPmtz\1ZIR\=;=m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]`}969>h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pcx>2:3g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'Dy~nRjffg]tvZtcW}g{Snw32?4b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*Kt}kUoekhPws]q`ZrjxVir0>09a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-NwpdXl`lmSz|Pre]wiuYdq5>5:l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im Mrwa[aoanV}ySjPtlr\g|:26?k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_b{?2;0f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GxyoQkigd\swYulV~f|Rmv<6<5f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)J{|hThdhi_vp\vaYseyUgyy2?>7`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWe0<09b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-NwpdXl`lmSz|Pre]wiuYk}}692;l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[iss4:4=n6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]oqq:36?h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_mww8081j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%FxlPdhde[rtXzmUa}Qcuu>5:3d<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'Dy~nRjffg]tvZtcW}g{Sa{{<6<5f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)J{|hThdhi_vp\vaYseyUgyy27>7`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWqey0=09b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-NwpdXl`lmSz|Pre]wiuYg{6:2;l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[}iu4;4=n6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]{kw:46?h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_ymq8181j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%FxlPdhde[rtXzmUa}Qwos>6:3d<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'Dy~nRjffg]tvZtcW}g{Sua}<7<5f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)J{|hThdhi_vp\vaYseyUsc28>7`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWqey05085:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-gmc`X{UyhV7R_FLG[660WfUfyuQ:_NWW8580=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%oekhPws]q`^?ZWNDOS>>8_n]nq}Y2WF__0<085:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-gmc`X{UyhV7R_FLG[660WfUfyuQ:_NWW8780=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%oekhPws]q`^?ZWNDOS>>8_n]nq}Y2WF__0>085:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-gmc`X{UyhV7R_FLG[660WfUfyuQ:_NWW8180?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%oekhPws]q`^?ZWNDOS>>8_n]nq}Y2WF__090>16`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+aoanV}ySjT9\]DJAY48>UdS`{w_4]LQQ:368;T_Z>86:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-gmc`X{UyhV7R_FLG[660WfUfyuQ:_NWW8185?h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$hdhi_vp\va]>UVMEHR=?7^m\ip~X=VE^X1:1_cfg423<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'mcmjRy}_sfX=XY@FMU8<:Q`_lw{[0YH]]6>2:84U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/ekebZquW{nP5PQHNE]042YhWdsS8Q@UU>6:4123\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&nbjkQxr^pg_<[XOGNT?=9Po^ov|Z3XG\^7:399;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)o{nh>#|kc.fjbcYpzVxoW4SPGOF\751XgVg~tR;POTV?2;70=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%oekhPws]q`^?ZWNDOS>>8_n]nq}Y2WF__0:08b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-gmc`X{UyhV7R_FLG[660WfUfyuQ:_NWW82869VY\<:l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/ekebZquW{nP5PQHNE]042YhWdsS8Q@UU>4:47X[^;SB[[<6<25ZUP:><0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#igif^uq[wb\1TULBIQ<06]l[hsW356?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*bnnoU|~R|k[8_\CKBX;9=TcRczx^7\KPR;07==7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"jffg]tvZtcS0WTKCJP315\kZkrpV?TCXZ38?341>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)caolT{Q}dZ;^[BHCW::SB[[<8<227=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(l`lmSz|Pre]wiu:76.fpgg7(ulj%yhRjl_h>2:0d<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'{nThnQf<3<6f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)ulVnhSd2<>4`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+wbXljUb090:b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-q`ZbdW`6>28l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/sf\`fYn4?4>n6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!}d^f`[l:06.fpgg7(ulj%yhRjl_h>::0g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'{nThnQf_17b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*tcWmiTeR?:a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-q`ZbdW`U99l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im re]ggZoX;S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)ulVnhSdQ95`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhn!re-dv4(`zmi9"jl/sf\`fYnW1?j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"|k_ea\mZ?1:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.sdtbq)Je|rT^LCPRE]FJZ@PN];:9o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+tao~$^LCPRDELQQYBF8<>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-vcqa|&i~~Qiwgv\wqgsmVLXARHId04;?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%~kyit.avvwYao~Tyo{e^DPIZ@Al8'Bb;74U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(un~l#n{}r^dtbqYt|h~nSK]B_GDg5(Oi9??0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,qbr`s'jy~Rhxfu]ppdrbWOYFSKHk27;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$yjzh{/bwqvZ`pn}Uxxlzj_GQN[C@c:$Ce=8o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(un~l#hctx]escrXnk?27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-vcqa|&of|ywPfvdw[l103\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!rguep*ahagmTi`~{y^dtbqYkmh|bbjQjmqvz[cqa|VEYS5Q`799V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'xm{kz gnkmcZcjx}sTjzh{_mgbrlh`Wlg{xtQiwgv\KWY?Wf;>j6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*w`pn}%eiuzPfvdw[vrf|l6;2894U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(un~l#x}jr^tbhlb182_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|ns/pppv)d8&mfyu laspzj`r;87<;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gscp*wus{&i;#jczx/abvwim}6:2;>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvdu)zz~x#n> glw{*fguzpdnx1<1619V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*auiz$yy} c1-dip~)khxyuck{<2<55>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxj#||tr-`4*aj}q$laV>R.fo2*h7192_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|ns/pppv)d8&mfyu hmZ3^*bkt&dy==6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fpbw+tt|z%h<"ibuy,di^4Z&ngx"`}91:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btf{'xxx~!l0.enq}(`eR9V"jc|.lq55>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxj#||tr-`4*aj}q$laV:R.fop*hu2=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|ns/pppv)d8&|:0=0:6:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btf{'xxx~!l0.t28586=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(k9%}=1?1579V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*auiz$yy} c1-u59799448Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zhy%~~z|/b2,r4:568?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gscp*wus{&i;#{?33?75?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{kx"}{s.a3+s7;;7;>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fpbw+tt|z%h<"x><5<62>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxj#||tr-`4*p64=4::=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqev(u{}y$o1:36<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~l}!rrvp+f7(ods"no}rxlfp959>81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(k8%laxv!glY3Y+aj9'g::<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqev(u{}y$o81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(k8%laxv!glY7Y+aj{'gx985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqev(u{}y$o!re-dvdu)zz~x#n? v0>2:00<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~l}!rrvp+f7(~86:2<;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwgt&{y"m>/w3?6;313\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$ko|.sqww*e6';7>3?:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btf{'xxx~!l1.t28682>2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|ns/pppv)d9&|:0>0>549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*auiz$yy} c0-u5929=?1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(k8%}=1:11408Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zhy%~~z|/bmnt53a3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$ko|.sqww*ehey:Tobc1^MQ[3Yh>91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(kfg{>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fpbw+tt|z%hc`~>5c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*auiz$yy} r`o\ahvsqVliSd;<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vaYwf}xT|a{|1=2=32=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| M`fg[be7&je~byU7]^EM@Z4>=VeTmijP0^MVPZ~hz5;5=<98;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&GjhiQhc1,`kphsS1WTKCJP287\kZgclV:TCXZPxnp?6;76?>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,IdbcWni;"naznuY;YZAILV829RaPaef\4ZIR\Vrd~1=11054?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"Cnde]dg5(dg|dW5SPGOF\6<3XgVkohR>POTV\|jt;<7;:;:5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(EhnoSjm?.bmvjq]?UVMEHR<65^m\eabX8VE^XRv`r=7=54103\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.Ob`aY`k9$hcx`{[9_\CKBX:0?TcRokd^2\KPRXpfx7:3?>799V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$Aljk_fa3*firf}Q3QRIAD^0:1ZiXimnT!cnwmp^>ZWNDOS?7:_n]b`aY7WF__Sua}<6<2521<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/Lcg`Zad8'idyczT8\]DJAY514;Po^cg`Z6XG\^7=38l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uovX!re-qtkru'ni;"naznuY;YZAILV829RaPaef\4ZIR\595:n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{atZ:^[BHCW;3>SbQnde]3[JSS4=4=o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{[9_\CKBX:0?TcRokd^2\KPR;=7 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczT8\]DJAY51=VeTmijP0^MVP919>j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexV6R_FLG[7?2WfUjhiQ?_NWW8=82<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dS<;;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uov\602<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}U8995Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^660>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsW xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczP6468Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqY0==1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexR6:8:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7;87?37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0>2:0><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=1<1599V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmpZb64:4>46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_e3?0;3?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th<2:>4:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqYc95<5955Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^f2828202_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dSi?38?74?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkrXl8U;9:5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^f2[4303\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th!cnwmpZb6W:?<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0]712=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|Vn:S8;8;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uov\`4Y1=>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexRj>_674?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkrXl8U3:i5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etev(p{}y$A`{w_bmnt4Y@FMU8=1:36<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{l}!wrvp+f7(ods"no}rxlfp959>81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixar,twqu(k8%laxv!glY3Y+aj9'g::<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etev(p{}y$o81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixar,twqu(k8%laxv!glY7Y+aj{'gx985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etev(p{}y$o!ws-dsdu)z~x#n? v0>2:00<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{l}!wrvp+f7(~86:2<;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crgt&~y"m>/w3?6;313\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzo|.vqww*e6';7>3?:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqf{'}xx~!l1.t28682>2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jyns/uppv)d9&|:0>0>549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*apiz$|y} c0-u5929=?1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixar,twqu(k8%}=1:11728Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`hy%{~z|/b0,chs&jky~t`jt=2=25=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|m~ xsuq,g7)`e|r%ol|}yogw848182_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jyns/uppv)d:&mfyu laspzj`r;:7<;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvcp*rus{&i9#jczx/abvwim}682;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsdu)z~x#n< glw{*bk\8T$la< b1738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`hy%{~z|/b0,chs&ngP=P hmr,nw37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{l}!wrvp+f4(ods"jcT2\,div(j{?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hw`q-svrt'j8$k`{w.foX7X(`ez$f;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsdu)z~x#n< glw{*bk\076?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~kx"z}{s.a1+s7;97?=7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvcp*rus{&i9#{?31?361>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}j#y|tr-`6*p64;4>:6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fubw+qt|z%h>"x><3<210=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|m~ xsuq,g7)q95959;5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etev(p{}y$o?!y1=1=503<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{l}!wrvp+f4(~86?2884U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsdu)z~x#n< v0>7:4353\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzo|.vqww*ehey;=:6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fubw+qt|z%hc`~>_FLG[676WfUFYUQ>01]l17=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|m~ xsuq,gjkw:08d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.Ob`aYcaolT{Q}dZ;^[BHCW:;8SbQnde]7[JSSWe0908d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.Ob`aYcaolT{Q}dZ;^[BHCW:;8SbQnde]7[JSSWe0808d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.Ob`aYcaolT{Q}dZ;^[BHCW:;8SbQnde]7[JSSWe0;08d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.Ob`aYcaolT{Q}dZ;^[BHCW:;8SbQnde]7[JSSWe0:08d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.Ob`aYcaolT{Q}dZ;^[BHCW:;8SbQnde]7[JSSWe05075:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.Ob`aYcaolT{Q}dZ;^[BHCW:;8SbQnde]7[JSSWqey0<0>1^KMRZ60n2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&GjhiQkigd\swYulR3VSJ@K_230[jYflmU?SB[[_ymq87869>l0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$Aljk_ekebZquW{nP5PQHNE]056YhWhnoS9Q@UU]{kw:468;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz M`fg[aoanV}ySjT9\]DJAY49:UdSljk_5]LQQYg{6?2859V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-NeabXl`lmSz|PreY:YZAILV9:?RaPaef\0ZIR\Vrd~1:1103\WR60n2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&GjhiQkigd\swYulR3VSJ@K_230[jYflmU?SB[[_ymq8186:>l0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$Aljk_ekebZquW{nP5PQHNE]056YhWhnoS9Q@UU]{kw:268;!ws-dsae6&~nhiuz M`fg[aoanV}ySjT9\]DJAY49:UdSljk_5]LQQYg{6<217;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,IvseWmcmjRy}_sf\phvXkp6;2;74U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz Mrwa[aoanV}ySjPtlr\g|:66?30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$A~{m_ekebZquW{nTx`~Pcx>1:3?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(EziSigif^uq[wbX|dzTot2<>7;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,IvseWmcmjRy}_sf\phvXkp6?2;74U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz Mrwa[aoanV}ySjPtlr\g|:26?30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$A~{m_ekebZquW{nTx`~Pcx>5:3?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(EziSigif^uq[wbX|dzTot28>7c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,IvseWmcmjRy}_sf\phvXd|~7<38n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/LqvfZbnnoU|~R|k_uos[iss484=m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"C|uc]gmc`X{UyhRzbp^nvp949>h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixdb3-saebp}%FxlPdhde[rtXzmUa}Qcuu>0:3g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(EziSigif^uq[wbX|dzT`xz34?4b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWe0809a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.OpqgYcaolT{Q}d^vntZjr|5<5:l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!Bst`\`l`aW~xT~iQ{mq]oqq:06?k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$A~{m_ekebZquW{nTx`~Pltv?<;0f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'Dy~nRjffg]tvZtcW}g{Sua}<1<5e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}oo< xdbg{p*Kt}kUoekhPws]q`ZrjxVrd~1?16`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-NwpdXl`lmSz|Pre]wiuYg{692;o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz Mrwa[aoanV}ySjPtlr\|jt;;7 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_ymq8181i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rv`r=7=2d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)J{|hThdhi_vp\vaYseyUsc29>7c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,IvseWmcmjRy}_sf\phvXpfx7;38n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/LqvfZbnnoU|~R|k_uos[}iu414<86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"jffg]tvZtcS0WTKCJP301\kZgclV>TCXZ31?57?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+aoanV}ySjT9\]DJAY49:UdSljk_5]LQQ:56>>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$hdhi_vp\va]>UVMEHR=>3^m\eabX5:22<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(l`lmSz|PreY:YZAILV9:?RaPaef\0ZIR\5=5;95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!kigd\swYulR3VSJ@K_230[jYflmU?SB[[<9<55>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}oo< xdbg{p*bnnoU|~R|k_uos8581:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&}ySio{a^alqkrXa5:5:?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!xr^fbpdYdg|dSd2>>708Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,swYci}kTob{at^k?6;053\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'~xThlzn_bmvjqYn4:4=>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"y}_ecweZeh}g~Te1:1639V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-tvZbf|hUhcx`{_h>6:34<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r({UomyoPcnwmpZo;>7<97X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#z|Pd`vb[firf}Ub0:092:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.uq[agsiVidyczPi=:=27=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)pzVnjxlQlotlw[l:>6?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~${Qkauc\gjsi|VcT<;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz ws]geqgXkfexRgP1738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,swYci}kTob{at^k\637<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r({UomyoPcnwmpZoX;?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~${Qkauc\gjsi|VcT8;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz ws]geqgXkfexRgP5738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,swYci}kTob{at^k\237<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r({UomyoPcnwmpZoX??;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~${Qkauc\gjsi|VcT4;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz ws]geqgXkfexRgP95d8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)uidU|~Rka_h34g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!Baef\cle7∋"naznuY;YZAILV9;5RaPaef\4ZIR\Vrd~1?1105`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"Cnde]dmf6)oj:%ob{atZ:^[BHCW::2SbQnde]3[JSSWqey0?0>16a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#@okd^ejg5(`k9$hcx`{[9_\CKBX;93TcRokd^2\KPRXpfx7?3?>7b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$Aljk_fk`4+ad8'idyczT8\]DJAY480UdSljk_1]LQQYg{6?2WfUjhiQ?_NWW[}iu4?4:=:j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'DkohRifc1,dg5(dg|dW5SPGOF\75?XgVkohR>POTV\|jt;>7;:=:m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'DkohRifc1,dg5(dg|dW5SPGOF\75?XgVkohR>POTV\|jt;?7;:;n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(EhnoSjgl0/e`4+eh}g~P4PQHNE]04m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmp979=h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu>1:0g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fk`4+ad8'idycz33?7b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ifc1,dg5(dg|d090:a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|5?59l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(o`i;"jm?.bmvjq:16S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexV6R_FLG[66>WfUjhiQ?_NWW848092_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dmf6)oj:%ob{atZ:^[BHCW::2SbQnde]3[JSS4;4<=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmp^>ZWNDOS>>6_n]b`aY7WF__0>081:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|R2VSJ@K_22:[jYflmU;SB[[<5<45>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexV6R_FLG[66>WfUjhiQ?_NWW808092_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dmf6)oj:%ob{atZ:^[BHCW::2SbQnde]3[JSS4?4<=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmp^>ZWNDOS>>6_n]b`aY7WF__0:081:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|R2VSJ@K_22:[jYflmU;SB[[<9<6=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexR?:9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|V8>56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmpZ5212_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dmf6)oj:%ob{at^66=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexR;:9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|V<>56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmpZ1212_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dmf6)oj:%ob{at^:6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><1<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><0<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><3<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><2<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><5<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><4<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><7<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><6<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><9<6g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj>_17`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ifc1,dg5(dg|dSi?P14a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#jgl0/e`4+eh}g~Th!gb2-gjsi|Vn:S9;l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsWm;T98m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nch<#il0/alqkrXl8U=9n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(o`i;"jm?.bmvjqYc9V=>o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmpZb6W1?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a4;:7?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a4;;7?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a4;<7?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a4;=7?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a4;>7?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a4;?7?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a4;07?h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a4X:S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~xT|cz}_qnvw4:76Vcez8k4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-tvZvi|{U{`x}><1<\mkp6W@D]S=;8;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,swYwf}xT|a{|2=3=[lhq=l1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"y}_qlwvZvk}z87=3Qfnw3\MKPX8=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>3:1g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSd2>0?6b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc7=<0;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn48858l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9746=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>20;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg314<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0<814`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5;<29o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:607>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?5<8312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<0<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0?>14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa58:29o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:5:7>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?6683i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<36=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1<:>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`69:3:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;:>4?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j87>95c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`68<3:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;;84?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j86490<;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg338<7=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0>0;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4=:58l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9266=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>76;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg342<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub09:14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5>>29o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:3>7>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?0283i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<5:=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1:6>5;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6?29o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:287>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?1483i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<40=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1;<>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6>83:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;=<4?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j80090;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4?>58l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9026=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>52;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg366<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0;614`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5<22974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:16=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>44;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg370<7=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0:0;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn414?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j8<8302_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_16;?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT=974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY68=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]251?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ>25;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U:?974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY6<=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]211?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ>65;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U:;974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY60=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]2=1><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ=489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV8;845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ46<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^010<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR<<489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV8?845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ42<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^050<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR<8489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV83845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ4><11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^17=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS>>;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW:;?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[64312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_217=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS>:;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW:??56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[60312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_257=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS>6;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW:3?46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[12>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP416:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT8<:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX<;>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\062>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP456:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT88:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\022>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP496:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT84:7;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX==30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]641?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ:15;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U>>974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY2;=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]601?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ:55;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U>:974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY2?=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]6<1?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ:95:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U=845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ07<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^420<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR8=489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV<8845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ03<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^460<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR89489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV<<845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ0?<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^4:0==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR9;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW>:?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[27302_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_96;?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT55?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc gco-cgk`&nhfkl agda`*gk`'ndjxdxjble-jdrn~lUi`fQbel]dak)cg|~iSd2?>908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>24;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;9843>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0<<1839V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&ndyylPi=30:=4<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!kotva[l:6<7297X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7=8072:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<04=<7=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"j`uu`\m9706180Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$koc!gcod*bdjoh$ekhml.cod+bhf|`|nn`i!n`vjr`YedbUfi`Qheo-gkpreW`6:436=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/f`n*bdjo'miajo!nfg`g+djo&memygyecod*kgsaoTnaePmdo\c`h(lfnRg318<;5>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#iaztc]j848?:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4;:54?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe1<>>908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>16;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;::43>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0?:1839V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&ndyylPi=06:=4<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!kotva[l:5>7297X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7>:072:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<3:=<7=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"j`uu`\m94>61;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$koc!gcod*bdjoh$ekhml.cod+bhf|`|nn`i!n`vjr`YedbUfi`Qheo-gkpreW`6925<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc gco-cgk`&nhfkl agda`*gk`'ndjxdxjble-jdrn~lUi`fQbel]dak)cg|~iSd2<0?:1?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bdj&nhfk#immfc-jbcdk'hfk"iaaukuagk`&gke{kPbmi\i`kXold$hb{{b^k?748?:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4:854?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe1=<>908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>00;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;;<43>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0>81839V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&ndyylPi=14:=4<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!kotva[l:407297X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7?4071:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<2<;6>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#iaztc]j81690;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.flqqdXa5>:25<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc gco-cgk`&nhfkl agda`*gk`'ndjxdxjble-jdrn~lUi`fQbel]dak)cg|~iSd2;2?:1?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bdj&nhfk#immfc-jbcdk'hfk"iaaukuagk`&gke{kPbmi\i`kXold$hb{{b^k?068?:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4=>54?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe1::>908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>72;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;<>43>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0961839V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&ndyylPi=6::=7<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!kotva[l:36180Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$koc!gcod*bdjoh$ekhml.cod+bhf|`|nn`i!n`vjr`YedbUfi`Qheo-gkpreW`6><36=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/f`n*bdjo'miajo!nfg`g+djo&memygyecod*kgsaoTnaePmdo\c`h(lfnRg350<;6>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#iaztc]j80490;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.flqqdXa5?825<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc gco-cgk`&nhfkl agda`*gk`'ndjxdxjble-jdrn~lUi`fQbel]dak)cg|~iSd2:4?:1?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bdj&nhfk#immfc-jbcdk'hfk"iaaukuagk`&gke{kPbmi\i`kXold$hb{{b^k?108?:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4<<54?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe1;8>908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>6<;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;=043=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb08072:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<72=<7=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"j`uu`\m9066180Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$koc!gcod*bdjoh$ekhml.cod+bhf|`|nn`i!n`vjr`YedbUfi`Qheo-gkpreW`6=>36=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/f`n*bdjo'miajo!nfg`g+djo&memygyecod*kgsaoTnaePmdo\c`h(lfnRg362<;6>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#iaztc]j83290;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.flqqdXa5<>25<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc gco-cgk`&nhfkl agda`*gk`'ndjxdxjble-jdrn~lUi`fQbel]dak)cg|~iSd296?:1?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bdj&nhfk#immfc-jbcdk'hfk"iaaukuagk`&gke{kPbmi\i`kXold$hb{{b^k?228?:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4?254?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe186>938Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>5:=4<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!kotva[l:087297X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7;<071:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<6<;5>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#iaztc]j8=8?92_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4043=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+sgkam$hy|;d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.vntZtfeVxoSh`;e:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.vntZtfeVxoSh`>329V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#ob_sf\ak503\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qehYumne~xRka329V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#ob_vp\ak5a3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-q`Zvi|{6;2Rgav0]JJSY7=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$A`{w_sf\vvrXizxnkRIAD^31[jYJ]QU9Sb?>549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,IhsW~xT~~zParpfcZAILV;9SbQBUY]2[j76;m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$^^ZPFTNO[BCI<11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$k}{d0,dvvrXn|fg"}{_e37<>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)`zz~o=#i}su]eqij)zz~Th?:7;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.eqwqb6&nxxxRhzlm,qwqYc;=i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tt|kf`#cixreppp+au{}$yhR||t^pfc969 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)u{}hgg"|k_sqw[duumn>n7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)u{}hgg"|k_sqw[duumnULBIQ>2^m\IP^X:Ve?<6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!}d^pppZgtzlmTh<:?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.pg[wusWhyyijQk22a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+wbXzz~Tobcm3e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,vaYu{}Uhc`l>3e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,swYu{}Ujkh4d9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,swYu{}Ujkh_FLG[44XgVG^TR?Po528Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+rtXzz~Tm~|jg^f205=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/sqwfim({UyyQnssgd[a44k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%|~R||t^alig5c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&}yS}{_bmnf4e<]ZOYS[G\ICNF7>PDK01]EHYPTXRF2>QBI5:5:6YJA=3=2>QBI58546YJA=194;0<_LK7?384WDC?0;0<_LK79384WDC?2;><_LK7;7>16:UFE919>2]NN1>16:UFF979>2]NN1<18:UFF95=87<0[HL33?48S@D;<7<0[HL35?48S@D;>720[HL37;2=2>QBJ5=5n6Y]IUG\BLTT\j1\^DZJ_LMGAZGd3^XBXHQBOEG\F4b^T\VMEH:5WSU]UGFd0:ZgiZKnffx]i}foo18\vr43Pox4l5P_^ZOJHYXW9UTSX> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*KflmUlen>!gb2-gjsi|R2VSJ@K_22:[jYflmU;SB[[_ymq818691<0SRQWLOO\[Z77WVU^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,IdbcWni;"naznuY;YZAILV829RaPaef\4ZIR\Vrd~1<110:;?ZYXPEDFSRQ>1^]\Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+HkrpVnbjkQxr^pg_<[XOGNT?=9Po^ov|Z3XG\^:=:j4_^][HKKXWV;9SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,vaYwf}xTknQnssgd[igt|R2VSkkubg\|jtX9?Ud=:m4_^][HKKXWV;8SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTknQnssgd[igt|R2VSkkubg\|jtX?Ve:9h5P_^ZOJHYXW8>TSR[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/scn[rtXmgUb=:j4_^][HKKXWV;>SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,I}iuW^XT\CZ][1_\SWYWF]XP=PQWOS];[jYg5:5=:k4_^][HKKXWV;=SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,I}iuW[NT\CZ][0_\VAYWF]XP>PQWOS]65ZiXpf6;2<9j;^]\\IHJWVU:;RQPU1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+H~hzVXOS]@[RZ1^[WBXXG^YW9SPXNP\07d9\[Z^KFDUTS<7P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})JpfxT^IQ_NUPX3XYULVZEX_U7]^ZLVZ22WfUsc1>11958[ZY_DGGTSR?P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-Nip~Xl`lmSz|PreY:YZAILV9;;RaPmtz\1ZIR\:; xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.O{kwYPZVZEX_U8]^UQ[UHSZR2VSUA]_0]l[}i;87; xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.O{kwYPZVZEX_U;]^UQ[UHSZR?VSUA]_5]l[}i;87;=86QP_YNMIZYX:;UTSX> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)u{}hgg"Cbuy]tvZtt|Vkx~hiPGOF\57YhWD_SSRaPMTZ\6Zi691?0SRQWLOO\[Z4XWV_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-NeabXoj:%ob{atZ:^[BHCW;3>SbQnde]3[JSSWqey090>1938[ZY_DGGTSR=P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ulVzexQhc^cpv`aXdhyW5SPrdfvg`Yg{U:4VUTY=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+HgclVmbo= hc1,`kphsS1WTKCJP31;\kZgclV:TCXZPxnp?3;760<1TSRVCNL]\[2YXW\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.Ob`aY`k9$hcx`{[9_\CKBX:0?TcRokd^2\KPRXpfx7;3?>689\[Z^KFDUTS5QP_T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)zo}mx"\NM^PFCJSSWLD:;:5P_^ZOJHYXW0UTSX> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-vcqa|&i~~Qiwgv\wqgsmVLXARHId3/Jj47?3kf`S`kb_fgm[bcim{chSn}{r0:8fimXelgTkh`Ppskn[roc|aU:=55mlj]nahY`mgU{~dcPwhfwlZ46l2hggRcjm^efjZvuadU|~l~es]p2Z76l2hggRcjm^efjZvuadU|~l~es]p2Z4a3kf`S`kb_fgm[qiw9=1i`fQbel]dakYq?V;Tt~z>3:`ooZkbeVce|xzPbmm`o421hc`~nrdd8gptuWo}mxR}{aug0?abj>2nbb1>17:fjj9776>1oec2>1?58`lh;9;4<7iga<01=3>bnf5;?2:5kio>21;169gmk:617<0hd`31?58`lh;:94<7iga<33=3>bnf5892:5kio>17;1908;ekm8739?2nbb1<9>69gmk:5?7=0hd`329<4?aoi4;35:6jfn=0=3>bnf59;2:5kio>05;169gmk:4=7=0hd`337<4?aoi4:=5;6jfn=1;:2=cag685384dhl?7;169gmk:3;7=0hd`345<4?aoi4=?5;6jfn=65:2=cag6?;394dhl?0=803mce09716:fjj929?2nbb1;?>69gmk:297=0hd`353<4?aoi4<95;6jfn=77:2=cag6>9394dhl?13803mce08917:fjj93?6>1oec2:9?48`lh;=7=0hd`361<4?aoi4?;5;6jfn=41:2=cag6=?394dhl?21803mce0;;17:fjj9016>1oec297?58`lh;>14<7iga<7;=2>bnf5<5;6jfn=53:<=cag6<=7>17:fjj9166?1oec28>79gmk:?6?1oec26>69gkpr;8720hb{{<02=<>bh}}6:=364dnww844902ndyy2>3?:8`jss48>546j`uu>21;>bh}}6:5394dnww848?3me~x199gkpr;:8437iazt=01:==cg|~7>>07;emvp943611ocxz324<;?air|58=255kotv?628?3me~x1<7>99gkpr;:04<7iazt=0=<>bh}}68<364dnww867902ndyy2<2?:8`jss4:9546j`uu>00;>;18:flqq:4>720hb{{<25=<>bh}}684364dnww86?9?2ndyy2<>99gkpr;<9437iazt=62:==cg|~78?07;emvp924611ocxz345<;?air|5>>255kotv?038?3me~x1:8>99gkpr;<1437iazt=6::2=cg|~78364dnww806902ndyy2:1?:8`jss4<8546j`uu>67;>bh}}6>;364dnww80>902ndyy2:9?58`jss4<437iazt=43:==cg|~7:<07;emvp905611ocxz362<;?air|599gkpr;>>437iazt=4;:==cg|~7:408;emvp90902ndyy280?c8`jss4>;1<364dnww8279?2ndyy28>69gkpr;07=0hb{{<8<6?`kw|pi0jdh`_5i\6fjl99h?7kgio^6h[7ekc%lbjbQheogqeqiuW3T?!ul_`fg[kadWjeey|niov>4)eXiyzgeno{inl\p|vbWhrb0>#c^cstiodi}cdbRzvpd]qefn:8%iTm}~cibcwmjhX|pznSjlh<2/gZgwxechmyg`n^vzt`Ypijb60)eXiqcxSy{|es]tmaro5=&hSlvfs^vzt`;7$jUhc`c`n^aokfm:8%iTob{at^vzt`;7$jUomyoPcnwmpZqnl}b65!mPdddbqirX~hf~i0LcaoiPpwoio%iThd`Pwhfwl815$jUoecQxievk[d~n{4;'oRjfn^uj`qnXzhic1><#c^fjjZqnl}bT~img=76/gZbnfV}bhyfPw`ak964+kVnbbRyfduj\saeo5?>'oRjfn^uj`qnX{ic1?"l_emvpZtt|V|j`0>#c^flqqYpam~c1:<#c^gmegjbW{fdo~3?,b]fjddkmVxxxR}vnb?2(fYbz{eySd`eebvjkkYsqyo64)eXm{xd~RgajdawmjhX|pznSzjlh<2/gZcuzfxTecdjcukljZr~xlU|~nf20-a\bdkndp7x|l|{ao4/gZ`rdeUm`li|_sqw[sgk58&hSeo|_`nnkvrXmdzu0>#c^jbwZgkefySk{cl<2/gZnf{VkseRo}urgq95*dWakxSlvf_`uvw`t:8%iTdl}Payk\fpubz4:'oRfns^c{mZtcWjbjbckc=1.`[mgtWhrbS{|es?3(fYoizUjtdQxr^akekhbd4:'oRfns^c{mZqr{lx6#c^jbwZbf|hUhcx`{s^pbgm;7$jUcm~Qkauc\gjsi|zUyhnf20-a\lduXlh~jSnaznuq\sdeo59&hSeo|_ecweZeh}g~xSzjlh<2/gZnf{VnjxlQlotlwwZquka7; nQgar]jjqYddb7; nQgar]nevrbzVin1="l_icp[hcjagcSkgce<2/gZnf{Ve}ihcov?3(fYoizU{~biPfmcdwZgaz7; nQgar]svjaXneklR|nci?3(fYoizU{~biPfmcdwZtcka7; nQgar]svjaXneklRynci?3(fYoizU{~biPfmcdwZqcka7; nQgar]svjaXneklRy}ci?3(fYoizUyhRjnt`]`kphs58&hSeo|_sf\vvr:8%iTdl}Prrv>5)eX`hyTaoye^af95*dWakxS~||t<2/gZnf{Vehh|ilnu>4)eX`hyTzlbfd<2/gZnf{V}ySlmd=1.`[mgtW~xThlzn_bmvjq;6$jUcm~Qxr^ppp86+kVcf|akbeovbpliiW}s{i0<#c^knticjmg~jxdaa_u{saZgaz7: nQfmqnfi`hsi}cdbRzvpd]qefn:9%iTe`~celgmpdrnggUu}kPreak94*dW`g{`hcjnucwmjhX|pznSzolh<3/gZojxeoficznthmm[qwmV}ooe3>,b]jiujbeldmyg`n^vzt`Ypzjb6=!mPiokw[rtXxg~yS{oc=1.`[lhsm{ljohQ{yqg>4)eXelgd~tQ{yqg>5)eXe`lTjdbj_ocna8DkigaXxgag-a\ivahfV|j`0?#c^msplknrh~bccQboeg>4)eXgoyjaax_mmt95*dWyxn`bok_mcwake~59&hS}|jlncg[wc`{4;'oR~}il]emciX|pzn18?=x60/gZvugnUna}zv_ujqavnXizyn~yQyam?7(fYwzfmTi`~{y^vkv`uoWhyxizPv`n\e}ot58:9>!mPpsmd[`kw|pUdk|h^cpw`tsWkgSolh<3367*dWyxdkRkbpu{\pmtb{aUj~k}t^tbhZtcka7:5545$jU{~biPelrw}ZrozlycSl}|esv\rdjXmic1<>=2-a\twi`Wlg{xtQ{hsgplZgt{lxS{oc_vp`l877:;&hS}|`g^gntqX|axneQaefcwaZpfd4?'oR~}of]fiur~W}s{i0>#c^rqkbYbey~rSywe^c{mv;7$jU{~biPelrw}Zr~xlUymnf20-a\twi`Wlg{xtQ{yqg\vaeo59&hS}|`g^gntqX|pznSzolh<2/gZvugnUna}zv_u{saZqcka7; nQrne\ahvsqV~r|hQxrbj>4)eXx{elSk{cl^vkv`uoWhyxizPv`n>147+kVzycjQiumn\pmtb{aUj~k}t^tbhZgaz7:6)eX}gnn~kb`w^nls86+kVxiRm`mlmm[jpbzofd{0>#c^wpaZehedeeSx`kesdokr;7$jU~hQkegcvhqYtm}~bbj}20-a\qvcXlfS}{=0.`[pubWlih1="l_tqf[`edWhrb0>#c^wpaZcdkVxjoe3?,b]vw`YbkjUyhnf20-a\qvcXmjiT{lmg=1.`[pubWlihSzjlh<2/gZstmVohoRy}ci?3(fYr{lUnaokkddf\v`a:8%iTy~kPfhdl53Yadhmx1="l_tqf[cqa|VnjxlQlotlw94*dWkgeiQcov?3(fYpijbTxt~j=1.`[rbd`V~r|h3?,b]tvZgdcVfd{0>#c^uq[agsiVidyczPwhfwl877$jU|~Rjjpuj>144+kV}ySikti]b|lu:998? nQxr^fftqnXzhic1<8#c^uq[acw|aUyhnf21107(fYpzVnn|yfPw`ak940+kV}ySikti]t`fn:998? nQxr^fftqnX{ic1<8#c^uq[ctby4;'oRy}_qlwvZqnl}b65!mPws]sjqtX`ndRowir?24)eX{U{by|PwhfwlZtfka7? nQxr^rmpwYpam~cSjlh<33(fYpzVzexQxievk[rgd`4>'oRy}_qlwvZqnl}bT{img=02/gZquWyd~Ryfduj\sweo5=&hSz|PrdqskkubWekicmv=0.`[rtd`V~r|h3?}318bl`hW=aT>nbd,gkekZabflxjxb|Pv8]0(~{6>2lbjbQheogqeqiuW{kfjdh`139emciXoldn~lz`r^vlt45%8<94fhdl[bcim{kcQy9^1\|vr33og`45fnqww[gjl>2gnab|v8:ldggsndm80bbo4otvsqqYedb9?7oblnms_5[)zhg%~"}9_omjjlr)zhg$_I^!SHOSH@YWZ@G:4#|nm268vdkkgfzP=P }al,q+v0Xffceey }al-P@U(TADZGIR^]IL3;*wgjj2xoSoga_blwv3=ulVigg<>4re]geqgXkfex1>1119q`Zbf|hUhcx`{<0<24>tcWmkmRm`uov?6;773{nThlzn_bmvjq:468:0~iQkauc\gjsi|5>5==5}d^fbpdYdg|d080>0:pg[agsiVidycz36?33?wbXlh~jSnaznu>4:4699;1yhRjnt`]`kphs400;2<>4re]geqgXkfex171109q`ZbdWo}mxRkwt^cp1>tcWld<7jPfvdw<>tcWeelen?=;sf\wqgs}zUm`li|_`q25>tb{l~TnaePr`oemci43{yj6}vnbjqkko}m{Umjo5xr^`jjZei|{<0{Qllj33?rtXlh~jSnaznu>3:46<{UomyoPcnwmp979991|~Rjnt`]`kphs4;4:<6y}_ecweZeh}g~7?3??;vp\`drfWje~by2;>028swYci}kTob{at=7=55=pzVnjxlQlotlw838682}ySio{a^alqkr;?7;;7z|Pd`vb[firf}632<<4ws]geqgXkfex1750?33?rtXlh~jSnaznu>::47<{UooRhxfu]f|qYf{<1|~Rka8:uq[ii`aj;97z|PsucwqvYadhmxSl}r@Ar251b;4I:582V>f2?h1>k0=7)898;456>{T010=:n4=cg82764>==95f96cb2=2Y3479<1;2956551=n1>kj:6:f463<7280:w^6n:74`>7ea2898>4:k:3dg0g=q\;l36=4>:08173}T0h0=:n4=cg82764>7d8=6=4nb;3b5?44=rB=855+2gd937078k7:l1`4<732c=h;4?:%0g6?0c?2d9h<4>;:k5`1<72-8o>78k7:l1`4<532c=h>4?:%0g6?0c?2d9h<4<;:k5`7<72-8o>78k7:l1`4<332c=h<4?:%0g6?0c?2d9h<4:;:k5`5<72-8o>78k7:l1`4<132c=ok4?:%0g6?0c?2d9h<48;:k5g`<72-8o>78k7:l1`478k7:l1`478k7:l1`478k7:l1`478k7:l1`4<6821b:n:50;&1`7<1l>1e>i?51098m3e4290/>i<56e58j7b628807d8l2;29 7b52?n<7co1k80;6)h5l80:865f6b294?"5l;0=h:5a2e3950=8:9j2gd=83.9h?49d69m6a7=9010e;ln:18'6a4=>m=0b?j>:0c8?l0e13:1(?j=:7f4?k4c93;i76g9b983>!4c:3=n>k=1<7*=d385`2=i:m;1=i54i7`5>5<#:m81:i94n3f2>4c<3`5$3f1>3b03g8o=7?i;:k5f6<72-8o>78k7:l1`4<5821b:o<50;&1`7<1l>1e>i?52098m3d6290/>i<56e58j7b62;807d8m0;29 7b52?n<7co1io0;6)h5l809865f6`g94?"5l;0=h:5a2e3960=m=0b?j>:3c8?l0f?3:1(?j=:7f4?k4c938i76g9a783>!4c:3=n>h?1<7*=d385`2=i:m;1>i54i7c7>5<#:m81:i94n3f2>7c<3`5$3f1>3b03g8o=778k7:l1`4<4821b:l?50;&1`7<1l>1e>i?53098m3g7290/>i<56e58j7b62:807d86f;29 7b52?n<7co11m0;6)h5l808865f68a94?"5l;0=h:5a2e3970=84;h4:e?6=,;n96;j8;o0g5?5032c=544?:%0g6?0c?2d9h<4<8:9j2<>=83.9h?49d69m6a7=;010e;78:18'6a4=>m=0b?j>:2c8?l0>>3:1(?j=:7f4?k4c939i76g99483>!4c:3=n>0>1<7*=d385`2=i:m;1?i54i7fa>5<#:m81:i94n3f2>6c<3`5$3f1>3b03g8o=7=i;:k5`<<72-8o>78k7:l1`4<3821b:i;50;&1`7<1l>1e>i?54098m3ef290/>i<56e58j7b62=807d8mf;29 7b52?n<7co1j=0;6)h5l80?865f6`;94?"5l;0=h:5a2e3900=79=0:l1`4<732e<=k4?:%0g6?1582d9h<4>;:m45a<72-8o>79=0:l1`4<532e<=n4?:%0g6?1582d9h<4<;:m45g<72-8o>79=0:l1`4<332e<=l4?:%0g6?1582d9h<4:;:m45<<72-8o>79=0:l1`4<132e<=54?:%0g6?1582d9h<48;:m452<72-8o>79=0:l1`479=0:l1`479=0:l1`479=0:l1`479=0:l1`4<6821d;=j50;&1`7<0:91e>i?51098k26d290/>i<57328j7b628807b9?b;29 7b52>8;7ci08h0;6)h5l80:865`71;94?"5l;0<>=5a2e3950=:<6=4+2e093768:9l352=83.9h?48219m6a7=9010c:><:18'6a4=?;:0b?j>:0c8?j17:3:1(?j=:603?k4c93;i76a80083>!4c:3=9<6`=d082g>=h?9:1<7*=d38465=i:m;1=i54o7de>5<#:m81;?>4n3f2>4c<3f5$3f1>2473g8o=7?i;:m5bf<72-8o>79=0:l1`4<5821d:kl50;&1`7<0:91e>i?52098k3`f290/>i<57328j7b62;807b8i9;29 7b52>8;7ci1n10;6)h5l809865`6g594?"5l;0<>=5a2e3960=:18'6a4=?;:0b?j>:3c8?j0a83:1(?j=:603?k4c938i76a9eg83>!4c:3=9<6`=d081g>=h>lo1<7*=d38465=i:m;1>i54o7gg>5<#:m81;?>4n3f2>7c<3f5$3f1>2473g8o=779=0:l1`4<4821d:ho50;&1`7<0:91e>i?53098k3c>290/>i<57328j7b62:807b8j8;29 7b52>8;7ci1m?0;6)h5l808865`6d794?"5l;0<>=5a2e3970=84;n4f7?6=,;n96::2c8?j0cn3:1(?j=:603?k4c939i76a9dd83>!4c:3=9<6`=d080g>=h>mn1<7*=d38465=i:m;1?i54o607>5<#:m81;?>4n3f2>6c<3f=9?7>5$3f1>2473g8o=7=i;:m467<72-8o>79=0:l1`4<3821d;i?54098k274290/>i<57328j7b62=807b9?8;29 7b52>8;7ci1nm0;6)h5l80?865`6g094?"5l;0<>=5a2e3900=79;1:l1`4<632e79;1:l1`4<432e79;1:l1`4<232e79;1:l1`4<032e79;1:l1`4<>32e<9:4?:%0g6?12>2d9h<4?;:m410<72-8o>79:6:l1`4<632e<994?:%0g6?12>2d9h<4=;:m416<72-8o>79:6:l1`4<432e<9?4?:%0g6?12>2d9h<4;;:m414<72-8o>79:6:l1`4<232e<9=4?:%0g6?12>2d9h<49;:m40c<72-8o>79:6:l1`4<032e<8h4?:%0g6?12>2d9h<47;:m40a<72-8o>79:6:l1`4<>32e<>h4?::m460<722h=:;4?:083>5}#:ol1:974H747?M0302e9oh4?::a233=83;1<7>t$3de>73c3A<=86F9499l60e=831vn9;n:187>5<7s-8mj7<:7:J521=O>=20(?8k:b9j35<722c3n7>5;h0g0?6=3f8o:7>5;|`7fd<72=0;6=u+2gd9601<@?1<75`2e494?=zj=h26=4;:183!4an38>;6F9659K21><,;>o?j3:17d3:17pl92d83>1<729q/>kh52478L3033A1<7>t$3de>7303A<=86F9499K2f=#:kn1>kk4i6294?=n0k0;66g=d583>>i5l?0;66sm65094?2=83:p(?hi:374?M01<2B=855G6b9'6gb=:oo0e:>50;9j?50;694?6|,;lm6?;8;I450>N1<11C:n5+2cf96cc<,;>o?j3:17d3:17pl93g83>6<729q/>kh52468L3033A;j53:k44?6=3`2i6=44o3f5>5<53;294~"5no09995G6768L32?3A"5>m087d9?:188m=d=831d>i850;9~f357290?6=4?{%0eb?42?2B=:95G65:8L3e<,;ho6?hj;%05`?e
:1<75f8c83>>o5l=0;66a=d783>>{e>:=1<7:50;2x 7`a2;?<7E894:J50==#:?n1o6g80;29?l>e2900e?j;:188k7b12900qo8<6;290?6=8r.9jk4=569K232<@?>37)<9d;a8m26=831b4o4?::k1`1<722e9h;4?::a263=83>1<7>t$3de>7303A<=86F9499'63b=k2c<<7>5;h:a>5<5<53;294~"5no09995G6768L32?3A"5>m087d9?:188m=d=831d>i850;9~f35e290?6=4?{%0eb?42?2B=:95G65:8 70c2j1b;=4?::k;f?6=3`8o87>5;n0g2?6=3th=?l4?:583>5}#:ol1>894H747?M0302.9:i4l;h53>5<5<4290;w)N1>=1C:964H7a8 7dc2;ln7)<9d;18m26=831b4o4?::m1`3<722wi:?h50;694?6|,;lm6?;8;I450>N1<11C:n5+2cf96cc<,;>o?j3:17d3:17pl:d`83>0<729q/>kh524;8L3033AN1<11/>;j53:k44?6=3`=:6=44i9`94?=n:m=1<75`2e494?=zj56F9659K21><,;5f7183>>o093:17d6m:188m7b02900c?j9:188yg3c>3:197>50z&1bc<5=01C:;:4H76;?!41l390e:>50;9j34<722c3n7>5;h0g3?6=3f8o:7>5;|`6`0<72<0;6=u+2gd960?<@?>i5l?0;66sm5e694?3=83:p(?hi:37:?M01<2B=855+27f97>o083:17d9>:188m=d=831b>i950;9l6a0=831vn8j<:186>5<7s-8mj7<:9:J521=O>=20(?8k:29j35<722c<=7>5;h:a>5<5<7>55;294~"5no09945G6768L32?3-8=h7=4i6294?=n?80;66g7b;29?l4c?3:17b"5>m087d9?:188m27=831b4o4?::k1`2<722e9h;4?::a1a6=83?1<7>t$3de>73>3A<=86F9499'63b=;2c<<7>5;h52>5<5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>e2900e?j8:188k7b12900qo;le;291?6=8r.9jk4=589K232<@?>37)<9d;18m26=831b;<4?::k;f?6=3`8o;7>5;n0g2?6=3th>on4?:483>5}#:ol1>874H747?M0302.9:i4<;h53>5<;1<75f8c83>>o5l>0;66a=d783>>{e=jh1<7;50;2x 7`a2;?27E894:J50==#:?n1?6g80;29?l162900e5l50;9j6a1=831d>i850;9~f0ef290>6=4?{%0eb?4212B=:95G65:8 70c2:1b;=4?::k45?6=3`2i6=44i3f4>5<?>0D;:7;%05`?5:1<75f7083>>o?j3:17d3:17pl:c983>0<729q/>kh524;8L3033AN1<11/>;j53:k44?6=3`=:6=44i9`94?=n:m=1<75`2e494?=zj56F9659K21><,;5f7183>>o093:17d6m:188m7b02900c?j9:188yg3d=3:197>50z&1bc<5=01C:;:4H76;?!41l390e:>50;9j34<722c3n7>5;h0g3?6=3f8o:7>5;|`6g1<72<0;6=u+2gd960?<@?>i5l?0;66sm5b194?3=83:p(?hi:37:?M01<2B=855+27f97>o083:17d9>:188m=d=831b>i950;9l6a0=831vn8m>:186>5<7s-8mj7<:9:J521=O>=20(?8k:29j35<722c<=7>5;h:a>5<5<55;294~"5no09945G6768L32?3-8=h7=4i6294?=n?80;66g7b;29?l4c?3:17b"5>m087d9?:188m27=831b4o4?::k1`2<722e9h;4?::a1gc=83?1<7>t$3de>73>3A<=86F9499'63b=;2c<<7>5;h52>5<5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>e2900e?j8:188k7b12900qo;mc;291?6=8r.9jk4=589K232<@?>37)<9d;18m26=831b;<4?::k;f?6=3`8o;7>5;n0g2?6=3th>no4?:483>5}#:ol1>874H747?M0302.9:i4<;h53>5<;1<75f8c83>>o5l>0;66a=d783>>{e=kk1<7;50;2x 7`a2;?27E894:J50==#:?n1?6g80;29?l162900e5l50;9j6a1=831d>i850;9~f0d>290>6=4?{%0eb?4212B=:95G65:8 70c2:1b;=4?::k45?6=3`2i6=44i3f4>5<?>0D;:7;%05`?5:1<75f7083>>o?j3:17d3:17pl:b783>0<729q/>kh524;8L3033AN1<11/>;j53:k44?6=3`=:6=44i9`94?=n:m=1<75`2e494?=zj56F9659K21><,;5f7183>>o093:17d6m:188m7b02900c?j9:188yg3e;3:197>50z&1bc<5=01C:;:4H76;?!41l390e:>50;9j34<722c3n7>5;h0g3?6=3f8o:7>5;|`6f7<72<0;6=u+2gd960?<@?>i5l?0;66sm5c394?3=83:p(?hi:37:?M01<2B=855+27f97>o083:17d9>:188m=d=831b>i950;9l6a0=831vn8l?:186>5<7s-8mj7<:9:J521=O>=20(?8k:29j35<722c<=7>5;h:a>5<5<55;294~"5no09945G6768L32?3-8=h7=4i6294?=n?80;66g7b;29?l4c?3:17b"5>m087d9?:188m27=831b4o4?::k1`2<722e9h;4?::a1db=83?1<7>t$3de>73>3A<=86F9499'63b=;2c<<7>5;h52>5<5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>e2900e?j8:188k7b12900qo;na;291?6=8r.9jk4=589K232<@?>37)<9d;18m26=831b;<4?::k;f?6=3`8o;7>5;n0g2?6=3th>m44?:483>5}#:ol1>874H747?M0302.9:i4<;h53>5<;1<75f8c83>>o5l>0;66a=d783>>{e=h21<7;50;2x 7`a2;?27E894:J50==#:?n1?6g80;29?l162900e5l50;9j6a1=831d>i850;9~f0g0290>6=4?{%0eb?4212B=:95G65:8 70c2:1b;=4?::k45?6=3`2i6=44i3f4>5<?>0D;:7;%05`?5:1<75f7083>>o?j3:17d3:17pl:a483>0<729q/>kh524;8L3033AN1<11/>;j53:k44?6=3`=:6=44i9`94?=n:m=1<75`2e494?=zj56F9659K21><,;5f7183>>o093:17d6m:188m7b02900c?j9:188yg3f:3:197>50z&1bc<5=01C:;:4H76;?!41l390e:>50;9j34<722c3n7>5;h0g3?6=3f8o:7>5;|`6e5<72<0;6=u+2gd960?<@?>i5l?0;66sm58d94?3=83:p(?hi:37:?M01<2B=855+27f97>o083:17d9>:188m=d=831b>i950;9l6a0=831vn87j:186>5<7s-8mj7<:9:J521=O>=20(?8k:29j35<722c<=7>5;h:a>5<5<55;294~"5no09945G6768L32?3-8=h7=4i6294?=n?80;66g7b;29?l4c?3:17b"5>m087d9?:188m27=831b4o4?::k1`2<722e9h;4?::a1t$3de>73>3A<=86F9499'63b=;2c<<7>5;h52>5<5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>e2900e?j8:188k7b12900qo;69;291?6=8r.9jk4=589K232<@?>37)<9d;18m26=831b;<4?::k;f?6=3`8o;7>5;n0g2?6=3th>554?:483>5}#:ol1>874H747?M0302.9:i4<;h53>5<;1<75f8c83>>o5l>0;66a=d783>>{e=0=1<7;50;2x 7`a2;?27E894:J50==#:?n1?6g80;29?l162900e5l50;9j6a1=831d>i850;9~f0bd290>6=4?{%0eb?4212B=:95G65:8 70c2:1b;=4?::k45?6=3`2i6=44i3f4>5<?>0D;:7;%05`?5:1<75f7083>>o?j3:17d3:17pl:d983>0<729q/>kh524;8L3033AN1<11/>;j53:k44?6=3`=:6=44i9`94?=n:m=1<75`2e494?=zj56F9659K21><,;5f7183>>o093:17d6m:188m7b02900c?j9:188yg3e?3:197>50z&1bc<5=01C:;:4H76;?!41l390e:>50;9j34<722c3n7>5;h0g3?6=3f8o:7>5;|`6ef<72<0;6=u+2gd960?<@?>i5l?0;66sm5`394?3=83:p(?hi:37:?M01<2B=855+27f97>o083:17d9>:188m=d=831b>i950;9l6a0=831vn879:186>5<7s-8mj7<:9:J521=O>=20(?8k:29j35<722c<=7>5;h:a>5<5<55;294~"5no09945G6768L32?3-8=h7=4i6294?=n?80;66g7b;29?l4c?3:17bkh56948^3`=lr;861=?47b=:o08<7:<:|&5a?45<#:m81>h:4n3f2>5=5<#:m81:8=4n3f2>4=5<#:m81:8=4n3f2>6=m6=4+2e092055<#:m81:8=4n3f2>0=h6=4+2e092055<#:m81:8=4n3f2>2=h5l80;76g:6;29 7b52<=0b?j>:098m03=83.9h?4:7:l1`4<532c>87>5$3f1>0154i4194?"5l;0>;6`=d087?>o2:3:1(?j=:458j7b62<10e8?50;&1`7<2?2d9h<49;:k64?6=,;n96894n3f2>2=h5l80376g>1983>!4c:3;:;6`=d083?>o69?0;6)h5l80:76g>1583>!4c:3;:;6`=d081?>o69:0;6)h5l80876g>1383>!4c:3;:;6`=d087?>o6980;6)h5l80>76g>1183>!4c:3;:;6`=d085?>o68o0;6)h5l80<76g>0d83>!4c:3;:;6`=d08;?>o68m0;6)h5l80276g>0b83>!4c:3;:;6`=d08b?>o68k0;6)h5l80i76g>0883>!4c:3;:;6`=d08`?>o6810;6)h5l80o76g>0683>!4c:3;:;6`=d08f?>o68?0;6)h5l80m76g>0483>!4c:3;:;6`=d0824>=n99>1<7*=d38252=i:m;1=<54i020>5<#:m81=<94n3f2>44<3`;;>7>5$3f1>4703g8o=7?<;:k244<72-8o>7?>7:l1`4<6<21b==>50;&1`7<69>1e>i?51498mcc=83.9h?4>169m6a7=9?10ekj50;&1`7<69>1e>i?51698mce=83.9h?4>169m6a7=9110ekl50;&1`7<69>1e>i?51898mcg=83.9h?4>169m6a7=9h10ek750;&1`7<69>1e>i?51c98mc>=83.9h?4>169m6a7=9j10ek950;&1`7<69>1e>i?51e98mc0=83.9h?4>169m6a7=9l10ek;50;&1`7<69>1e>i?51g98mc5=83.9h?4>169m6a7=:910ek<50;&1`7<69>1e>i?52098mc7=83.9h?4>169m6a7=:;10ek>50;&1`7<69>1e>i?52298m``=83.9h?4>169m6a7=:=10ehk50;&1`7<69>1e>i?52498m`b=83.9h?4>169m6a7=:?10ehm50;&1`7<69>1e>i?52698m`d=83.9h?4>169m6a7=:110eho50;&1`7<69>1e>i?52898m`>=83.9h?4>169m6a7=:h10eh950;&1`7<69>1e>i?52c98m`0=83.9h?4>169m6a7=:j10eh;50;&1`7<69>1e>i?52e98m`2=83.9h?4>169m6a7=:l10eh=50;&1`7<69>1e>i?52g98m`4=83.9h?4>169m6a7=;910eh?50;&1`7<69>1e>i?53098m`6=83.9h?4>169m6a7=;;10eih50;&1`7<69>1e>i?53298mab=83.9h?4>169m6a7=;=10eim50;&1`7<69>1e>i?53498mad=83.9h?4>169m6a7=;?10eio50;&1`7<69>1e>i?53698ma?=83.9h?4>169m6a7=;110ei650;&1`7<69>1e>i?53898ma1=83.9h?4>169m6a7=;h10ei850;&1`7<69>1e>i?53c98ma3=83.9h?4>169m6a7=;j10ei:50;&1`7<69>1e>i?53e98m47e290/>i<51058j7b62:o07d?>a;29 7b528;<7co6900;6)h5l80?<65f10794?"5l;0:=:5a2e3904=5<#:m81=<94n3f2>15<3`l?6=4+2e095415<#:m81=<94n3f2>13<3`nn6=4+2e095415<#:m81=<94n3f2>11<3`8ni7>5$3f1>7cc3g8o=7>4;h0fi7>5$3f1>33c3g8o=7>4;h46g?6=,;n96;;k;o0g5?7<3`<>n7>5$3f1>33c3g8o=7<4;h46e?6=,;n96;;k;o0g5?5<3`<>57>5$3f1>33c3g8o=7:4;h463?6=,;n96;;k;o0g5?3<3`<>:7>5$3f1>33c3g8o=784;h461?6=,;n96;;k;o0g5?1<3`<36=4+2e0922=i:m;1<65f6783>!4c:3<<7ci<5669m6a7=:21b:94?:%0g6?003g8o=7=4;h40>5<#:m81::5a2e390>=n>;0;6):18'6a4=>>1e>i?56:9j25<72-8o>788;o0g5?1<3`?j6=4+2e0922=i:m;1465f17394?"5l;0::=5a2e394>=n9=n9=n9=n9<21<7*=d38225=i:m;1;65f14594?"5l;0::=5a2e39<>=n9<<1<7*=d38225=i:m;1565f14794?"5l;0::=5a2e39e>=n9<>1<7*=d38225=i:m;1n65f14094?"5l;0::=5a2e39g>=n9<;1<7*=d38225=i:m;1h65f14294?"5l;0::=5a2e39a>=n9=l1<7*=d38225=i:m;1j65f15g94?"5l;0::=5a2e3955=o6=4+2e095363:9j51g=83.9h?4>619m6a7=9=10e<:6:18'6a4=9?:0b?j>:078?l73?3:1(?j=:043?k4c93;=76g>4783>!4c:3;=<6`=d0823>=n9=?1<7*=d38225=i:m;1=554i067>5<#:m81=;>4n3f2>4?<3`;??7>5$3f1>4073g8o=7?n;:k207<72-8o>7?90:l1`4<6j21b=9?50;&1`7<6>91e>i?51b98m427290/>i<51728j7b628n07d?o6;l0;6)h5l80:j65f12a94?"5l;0::=5a2e3965==83.9h?4>619m6a7=:=10e<=8:18'6a4=9?:0b?j>:378?l74>3:1(?j=:043?k4c938=76g>3483>!4c:3;=<6`=d0813>=n9:>1<7*=d38225=i:m;1>554i010>5<#:m81=;>4n3f2>7?<3`;8=7>5$3f1>4073g8o=77?90:l1`4<5j21b=?h50;&1`7<6>91e>i?52b98m44b290/>i<51728j7b62;n07d?=d;29 7b528<;7co6:j0;6)h5l809j65f13`94?"5l;0::=5a2e3975=?4;h31=?6=,;n96<8?;o0g5?5532c:>54?:%0g6?7182d9h<4<3:9j570=83.9h?4>619m6a7=;=10e<<::18'6a4=9?:0b?j>:278?l75<3:1(?j=:043?k4c939=76g>2283>!4c:3;=<6`=d0803>=n9;81<7*=d38225=i:m;1?554i002>5<#:m81=;>4n3f2>6?<3`;9<7>5$3f1>4073g8o=7=n;:k25c<72-8o>7?90:l1`4<4j21b=91e>i?53b98m47c290/>i<51728j7b62:n07d?94;29 7b528<;7co6>:0;6)h5l808j65f17094?"5l;0::=5a2e3905=619m6a7=<=10e<==:18'6a4=9?:0b?j>:578?l75?3:1(?j=:043?k4c93>=76g>1b83>!4c:3;=<6`=d0873>=n?h0;66g=4g83>>o0n3:17d<:0;29?l4e:3:17d07b?ib;29 7b52;::7c3:1(?j=:322?k4c93k07b?i5;29 7b52;::7ced83>!4c:38;=6`=d0825>=h9ln1<7*=d38144=i:m;1=?54o0g`>5<#:m81>=?4n3f2>45<3f;nn7>5$3f1>7663g8o=7?;;:m2ad<72-8o>7i?51798k4c0290/>i<52138j7b628=07b?j6;29 7b52;::7ci6m<0;6)h5l80:565`1d694?"5l;09<<5a2e395d=>;o0g5?7d32e:i<4?:%0g6?4792d9h<4>d:9l5`6=83.9h?4=009m6a7=9l10c:0d8?j7cl3:1(?j=:322?k4c938;76a>db83>!4c:38;=6`=d0815>=h9mh1<7*=d38144=i:m;1>?54o0fb>5<#:m81>=?4n3f2>75<3f;o57>5$3f1>7663g8o=7<;;:m2`=<72-8o>7i?52798k4b1290/>i<52138j7b62;=07b?k5;29 7b52;::7ci6l=0;6)h5l809565`1e094?"5l;09<<5a2e396d=>;o0g5?4d32e:ok4?:%0g6?4792d9h<4=d:9l5fc=83.9h?4=009m6a7=:l10c:3d8?j7dk3:1(?j=:322?k4c939;76a>cc83>!4c:38;=6`=d0805>=h9jk1<7*=d38144=i:m;1??54o0a:>5<#:m81>=?4n3f2>65<3f;h;7>5$3f1>7663g8o=7=;;:m2g3<72-8o>7i?53798k4e3290/>i<52138j7b62:=07b?l3;29 7b52;::7ci6k;0;6)h5l808565`1b394?"5l;09<<5a2e397d=l4;n3ab?6=,;n96?>>;o0g5?5d32e:nh4?:%0g6?4792d9h<4;:18'6a4=:9;0b?j>:2d8?j47;3:1(?j=:322?k4c93>;76a>fg83>!4c:38;=6`=d0875>=h9o>1<7*=d38144=i:m;18?54o0g:>5<#:m81>=?4n3f2>15<3f;oi7>5$3f1>7663g8o=7:;;:m2`6<72-8o>7i?54798k4dc290/>i<52138j7b62==07b<;b;29 7b52;>j7cj7c3:1(?j=:36b?k4c93907b<;5;29 7b52;>j7cj7cj7cj7cj7cj7cj7ci5;>0;6)h5l80:=65`22494?"5l;098l5a2e3957=6=4+2e0961g4?:%0g6?43i2d9h<4>5:9l667=83.9h?4=4`9m6a7=9?10c?=?:18'6a4=:=k0b?j>:058?j45n3:1(?j=:36b?k4c93;376a=2d83>!4c:38?m6`=d082=>=h:;n1<7*=d3810d=i:m;1=l54o30`>5<#:m81>9o4n3f2>4d<3f89n7>5$3f1>72f3g8o=7?l;:m16d<72-8o>7<;a:l1`4<6l21d>?750;&1`7<5i?51d98k74?290/>i<525c8j7b628l07b<=6;29 7b52;>j7ci5:<0;6)h5l809=65`23694?"5l;098l5a2e3967=<4?:%0g6?43i2d9h<4=5:9l676=83.9h?4=4`9m6a7=:?10c??i:18'6a4=:=k0b?j>:358?j46m3:1(?j=:36b?k4c938376a=1e83>!4c:38?m6`=d081=>=h:8h1<7*=d3810d=i:m;1>l54o33b>5<#:m81>9o4n3f2>7d<3f8:57>5$3f1>72f3g8o=77<;a:l1`4<5l21d><950;&1`7<5i?52d98k771290/>i<525c8j7b62;l07b<>5;29 7b52;>j7ci59=0;6)h5l808=65`20194?"5l;098l5a2e3977==4;n024?6=,;n96?:n;o0g5?5332e9k:18'6a4=:=k0b?j>:258?j47k3:1(?j=:36b?k4c939376a=0c83>!4c:38?m6`=d080=>=h:9k1<7*=d3810d=i:m;1?l54o32:>5<#:m81>9o4n3f2>6d<3f8;47>5$3f1>72f3g8o=7=l;:m142<72-8o>7<;a:l1`4<4l21d>9k50;&1`7<5i?53d98k72c290/>i<525c8j7b62:l07b<;c;29 7b52;>j7ci5<10;6)h5l80?=65`22f94?"5l;098l5a2e3907=9:18'6a4=:=k0b?j>:558?j4b:3:1(?j=:3g2?k4c93:07b07bb2900n;8::182>5<7s-8mj7<:d:J521=O>=20c?;l:188yg14<3:1nn4?:1y'6c`=:m20D;8;;I47<>\1n3ipj7??:0`95c<6k38;62553g2h6?:4n9f961=i90:1<6`>b383?!42938>?6*=5381b`=#:??196*=6786?!41?3?0(?87:49'63?==2.9:l4:;%05f?3<,;"5>o0>7)<80;78 7162<1/>:<55:&136<23-8<87;4$356>0=#:><196*=7686?!4003?0(?96:49'62g==2.9;o4:;%04g?3<,;=o685+26g91>"5?o0>7)<70;78 7>62<1/>5<55:&1<6<23-8387;4$3:6>0=#:1<196*=8686?!4?03?0(?66:49'6=g==2.94o4:;%0;g?3<,;2o685+29g91>"50o0>7)<60;78 7?62<1/>4<55:&1=6<23-8287;4$3;6>0=#:0<196*=9686?!4>03?0(?76:49'6"51o0>7)l<55:&1e6<23-8j87;4$3c6>0=#:h<196*=a686?!4f03?0(?o6:49'6dg==2.9mo4:;%0bg?3<,;ko685+2`g90>"5io0?7)"5mo09ji5+2g2934=#:o;1;<5+2g492g=#:o=1>k74$3db>1=#:oh186*961851c=#>?;1:8h4i3g0>5<k1<75f24294?=n:li1<75f7g83>>o5m?0;66g=e883>>o56883>!4c:3;=46`=d083?>o6>>0;6)h5l80:76g>6783>!4c:3;=46`=d081?>o6><0;6)h5l80876g94g83>!4c:3o1h5l80:76g94b83>!4c:3o1h5l80876a>8983>!4c:3;3;6`=d083?>i60?0;6)h5l80:76a>8583>!4c:3;3;6`=d081?>i60:0;6)h5l80876a>8383>!4c:3;3;6`=d087?>i6080;6)h5l80>76a>8183>!4c:3;3;6`=d085?>i6?o0;6)h5l80<76a>7d83>!4c:3;3;6`=d08;?>i6?m0;6)h5l80276a>7b83>!4c:3;3;6`=d08b?>i6?k0;6)h5l80i76a>7883>!4c:3;3;6`=d08`?>i6?10;6)h5l80o76a>7683>!4c:3;3;6`=d08f?>i6??0;6)h5l80m76a>7483>!4c:3;3;6`=d0824>=h9>>1<7*=d382<2=i:m;1=<54o050>5<#:m81=594n3f2>44<3f;<>7>5$3f1>4>03g8o=7?<;:m234<72-8o>7?77:l1`4<6<21d=:>50;&1`7<60>1e>i?51498k4>a290/>i<51958j7b628<07b?7e;29 7b5282<7ci60m0;6)h5l80:465`19a94?"5l;0:4:5a2e395<=c:9l5=3=83.9h?4>869m6a7=9m10c<9n:18'6a4=91=0b?j>:0g8?j71n3:1(?j=:0:4?k4c93;m76g;f;29 7b52=o0b?j>:198m1b=83.9h?4;e:l1`4<632c?o7>5$3f1>1co213:1(?j=:5g8j7b62=10e8650;&1`7<3m2d9h<4:;:k62?6=,;n969k4n3f2>3=h5l80<76g:4;29 7b52=o0b?j>:998m05=83.9h?4;e:l1`4<>32c>>7>5$3f1>1co283:1(?j=:5g8j7b62j10e9o50;&1`7<3m2d9h<4k;:k6b?6=,;n968k4n3f2>5=h5l80:76g:c;29 7b52:398m0d=83.9h?4:e:l1`4<432c=57>5$3f1>0ci6`=d086?>o1>3:1(?j=:4g8j7b62?10e;;50;&1`7<2m2d9h<48;:k50?6=,;n968k4n3f2>==h5l80276g92;29 7b52:`98m37=83.9h?4:e:l1`45$3f1>0ci6`=d08g?>odj3:1(?j=:bc8j7b62910en750;&1`7;:k`3?6=,;n96no4n3f2>7=h5l80876gl5;29 7b52jk0b?j>:598mf2=83.9h?4la:l1`4<232ch?7>5$3f1>fgod93:1(?j=:bc8j7b62110en>50;&1`7d=h5l80i76gmc;29 7b52jk0b?j>:b98mgd=83.9h?4la:l1`45$3f1>fgoe03:1(?j=:bc8j7b628:07dl8:18'6a4=kh1e>i?51098mg0=83.9h?4la:l1`4<6:21bn84?:%0g6?ef3g8o=7?<;:ka0?6=,;n96no4n3f2>42<3`h86=4+2e09gd=i:m;1=854ie094?"5l;0hm6`=d0822>=nl80;6):0:8?lea290/>i<5c`9m6a7=9010enk50;&1`7a:9jga<72-8o>7mn;o0g5?7e32cho7>5$3f1>fg5<#:m81ol5a2e395a=h5l80:i65fb383>!4c:3ij7ci6j>0;6)h5l80;76a>b483>!4c:3;i:6`=d082?>i6j=0;6)h5l80976a>b283>!4c:3;i:6`=d080?>o6>l0;6)h5l80;76g>6b83>!4c:3;=h6`=d082?>o6>k0;6)h5l80976g>6`83>!4c:3;=h6`=d080?>i6jj0;6)h5l80;76a>b`83>!4c:3;in6`=d082?>i6j00;6)h5l80976a>b983>!4c:3;in6`=d080?>i6ih0;6)h5l80;76a>a983>!4c:3;j56`=d082?>i6i?0;6)h5l80976a>a483>!4c:3;j56`=d080?>i6i=0;6)h5l80?76a>a283>!4c:3;j56`=d086?>i6i;0;6)h5l80=76a>a083>!4c:3;j56`=d084?>i6i90;6)h5l80376a>9g83>!4c:3;j56`=d08:?>i61l0;6)h5l80j76a>9e83>!4c:3;j56`=d08a?>i61k0;6)h5l80h76a>9`83>!4c:3;j56`=d08g?>i6100;6)h5l80n76a>9983>!4c:3;j56`=d08e?>i61>0;6)h5l80:<65`18494?"5l;0:m45a2e3954=6=4+2e095d?4?:%0g6?7f12d9h<4>4:9l5<4=83.9h?4>a89m6a7=9<10c:18'6a4=9h30b?j>:048?j7e83:1(?j=:0c:?k4c93;<76a>ag83>!4c:3;j56`=d082<>=h9ho1<7*=d382e<=i:m;1=454o0cg>5<#:m81=l74n3f2>4g<3f;jo7>5$3f1>4g>3g8o=7?m;:m2eg<72-8o>7?n9:l1`4<6k21d=l950;&1`7<6i01e>i?51e98k4?d290/>i<51`;8j7b628o07b?61;29 7b528k27co1=00;6)h5l80;76g95683>!4c:3<>46`=d082?>o1=?0;6)h5l80976g95483>!4c:3<>46`=d080?>ofi3:1(?j=:`;8j7b62910el650;&1`7;:kb2?6=,;n96l74n3f2>7=h5l80876gn4;29 7b52h30b?j>:598md5=83.9h?4n9:l1`4<232cj>7>5$3f1>d?of83:1(?j=:`;8j7b62110e4h50;&1`7d=h5l80i76g6b;29 7b52h30b?j>:b98m5$3f1>d?o>?3:1(?j=:`;8j7b628:07d79:18'6a4=i01e>i?51098m<3=83.9h?4n9:l1`4<6:21b594?:%0g6?g>3g8o=7?<;:k:7?6=,;n96l74n3f2>42<3`396=4+2e09e<=i:m;1=854ic394?"5l;0j56`=d0822>=nj90;6):0:8?lgb290/>i<5a89m6a7=9010elj50;&1`7a:9jef<72-8o>7o6;o0g5?7e32cjn7>5$3f1>d?5<#:m81m45a2e395a=h5l80:i65f9083>!4c:3k27c{e?:?1<7ll:183!4an38o46F9659K21>=4>d;3:>4>=9h0:i7?8:|&1ga<0;;1e4n4=4:l;`?433g;2<7>4n0`1>5=#:<;1>8=4$371>7`b3-8=97;4$345>0=#:?=196*=6986?!4113?0(?8n:49'63d==2.9:n4:;%05a?3<,;"5?80>7)<82;78 7142<1/>::55:&130<23-8<:7;4$354>0=#:>2196*=7886?!40i3?0(?9m:49'62e==2.9;i4:;%04a?3<,;=m685+29291>"5080>7)<72;78 7>42<1/>5:55:&1<0<23-83:7;4$3:4>0=#:12196*=8886?!4?i3?0(?6m:49'6=e==2.94i4:;%0;a?3<,;2m685+28291>"5180>7)<62;78 7?42<1/>4:55:&1=0<23-82:7;4$3;4>0=#:02196*=9886?!4>i3?0(?7m:49'6"5i80>7)l:55:&1e0<23-8j:7;4$3c4>0=#:h2196*=a886?!4fi3?0(?om:49'6de==2.9mi4:;%0ba?2<,;km695+2c296fd<,;om6?hk;%0e4?163-8m=79>;%0e2?0e3-8m;733a3-<==78:f:k1a6<722c5;h064?6=3`8no7>5;h5e>5<5<m6=44i04:>5<#:m81=;64n3f2>5=5<#:m81=;64n3f2>7=6=4+2e0953>54i76e>5<#:m81:9k4n3f2>5=o6=4+2e0921c5<#:m81:9k4n3f2>7=i6=4+2e0921c54o0:;>5<#:m81=594n3f2>5=5<#:m81=594n3f2>7=54o0:1>5<#:m81=594n3f2>1=5<#:m81=594n3f2>3=5<#:m81=594n3f2>==5<#:m81=594n3f2>d=5<#:m81=594n3f2>f=5<#:m81=594n3f2>`=5<#:m81=594n3f2>46<3f;<87>5$3f1>4>03g8o=7?>;:m236<72-8o>7?77:l1`4<6:21d=:<50;&1`7<60>1e>i?51298k416290/>i<51958j7b628>07b?80;29 7b5282<7ci60o0;6)h5l80::65`19g94?"5l;0:4:5a2e3952=32e:4o4?:%0g6?7??2d9h<4>a:9l5=g=83.9h?4>869m6a7=9k10c<66:18'6a4=91=0b?j>:0a8?j7?=3:1(?j=:0:4?k4c93;o76a>7`83>!4c:3;3;6`=d082a>=h9?l1<7*=d382<2=i:m;1=k54i5d94?"5l;0?i6`=d083?>o3l3:1(?j=:5g8j7b62810e9m50;&1`7<3m2d9h<4=;:k7f?6=,;n969k4n3f2>6=h5l80?76g:8;29 7b52=o0b?j>:498m00=83.9h?4;e:l1`4<132c>97>5$3f1>1co2;3:1(?j=:5g8j7b62010e8<50;&1`7<3m2d9h<4n;:k65?6=,;n969k4n3f2>g=h5l80h76g;a;29 7b52=o0b?j>:e98m0`=83.9h?4:e:l1`4<732c>h7>5$3f1>0ci6`=d081?>o2j3:1(?j=:4g8j7b62:10e;750;&1`7<2m2d9h<4;;:k50=h5l80=76g95;29 7b52:698m32=83.9h?4:e:l1`45$3f1>0ci6`=d08b?>o193:1(?j=:4g8j7b62k10e;>50;&1`7<2m2d9h<4l;:k6e?6=,;n968k4n3f2>a=h5l80;76gl9;29 7b52jk0b?j>:098mf1=83.9h?4la:l1`4<532ch:7>5$3f1>fg54ib794?"5l;0hm6`=d087?>od<3:1(?j=:bc8j7b62<10en=50;&1`72=h5l80376gl0;29 7b52jk0b?j>:898mg`=83.9h?4la:l1`45$3f1>fgoej3:1(?j=:bc8j7b62m10eoo50;&1`7c=h5l80:<65fb683>!4c:3ij7coe>3:1(?j=:bc8j7b628807dl::18'6a4=kh1e>i?51298mg2=83.9h?4la:l1`4<6<21bn>4?:%0g6?ef3g8o=7?:;:kg6?6=,;n96no4n3f2>40<3`n:6=4+2e09gd=i:m;1=:54ie294?"5l;0hm6`=d082<>=nko0;6):0c8?lec290/>i<5c`9m6a7=9k10enm50;&1`7c:9jg=<72-8o>7mn;o0g5?7c32cih7>5$3f1>fg5<#:m81ol5a2e395c=5<#:m81=o84n3f2>4=5<#:m81=o84n3f2>6=5<#:m81=;j4n3f2>4=5<#:m81=;j4n3f2>6=5<#:m81=ol4n3f2>4=5<#:m81=ol4n3f2>6=5<#:m81=l74n3f2>4=5<#:m81=l74n3f2>6=5<#:m81=l74n3f2>0=5<#:m81=l74n3f2>2=5<#:m81=l74n3f2><=5<#:m81=l74n3f2>g=5<#:m81=l74n3f2>a=5<#:m81=l74n3f2>c=4;n3:2?6=,;n962:9l5<2=83.9h?4>a89m6a7=9:10c<7<:18'6a4=9h30b?j>:068?j7>:3:1(?j=:0c:?k4c93;>76a>b083>!4c:3;j56`=d0822>=h9k:1<7*=d382e<=i:m;1=:54o0ce>5<#:m81=l74n3f2>4><3f;ji7>5$3f1>4g>3g8o=7?6;:m2ea<72-8o>7?n9:l1`4<6i21d=lm50;&1`7<6i01e>i?51c98k4ge290/>i<51`;8j7b628i07b?n7;29 7b528k27ci61j0;6)h5l80:i65`18394?"5l;0:m45a2e395c=5<#:m81:864n3f2>4=5<#:m81:864n3f2>6=h5l80;76gn8;29 7b52h30b?j>:098md0=83.9h?4n9:l1`4<532cj97>5$3f1>d?54i`694?"5l;0j56`=d087?>of;3:1(?j=:`;8j7b62<10el<50;&1`72=h5l80376g6f;29 7b52h30b?j>:898m5$3f1>d?o>i3:1(?j=:`;8j7b62m10e4750;&1`7c=h5l80:<65f9783>!4c:3k27co>=3:1(?j=:`;8j7b628807d7;:18'6a4=i01e>i?51298m<5=83.9h?4n9:l1`4<6<21b5?4?:%0g6?g>3g8o=7?:;:ka5?6=,;n96l74n3f2>40<3`h;6=4+2e09e<=i:m;1=:54i`d94?"5l;0j56`=d082<>=nil0;6):0c8?lgd290/>i<5a89m6a7=9k10ell50;&1`7c:9je2<72-8o>7o6;o0g5?7c32c2o7>5$3f1>d?5<#:m81m45a2e395c=53z\1ag=:?:>1>h74=616>7c>3ty=8o4?:8y]21d<5>9?6;:i;<500?03l27362=>=h01:=::76e?814=35<4sW?3709<4;6e?814=3>m7p}:6;297~X2>2718n5272790f=z{<>1<7=t^46892532=h01:=::5`8yv342908wS;<;<500?3>34=897;6;|q66?6=;rT>>6383586<>;0;<0>46s|5083>6}Y=816;>:5579>363==?1v8>50;1xZ06<5>9?68;4=616>03j6=4<{_6b?814<3??709<5;77?xubn3:1>vPjf:?471<6>01vhk50;0xZ`c<5>9?6lo4}rgg>5<5sWoo709<4;c;?xubk3:1>vPjc:?4712wxio4?:3y]ag=:?:>1m85rsdc94?4|Vlk01:=;:`68yvc?2909wSk7;<500?g43tyn;7>52z\f3>;0;=0j>6s|e783>7}Ym?16;>:5a09~w`3=838pRh;4=617>4003tyn87>52z\f0>;0;=0j<6s|e283>7}Ym:16;>:59g9~w`4=838pRh<4=617>34=88779;|qg{tl>0;6?uQd69>362=1=1vi850;0xZa0<5>9?64=4}rf6>5<5sWn>709<4;;1?xuc<3:1>vPk4:?4714023ty:=44?:3y]54?<5>9?6lh4}r321?6=:rT:=8527269e`=z{8:j6=4={_33e>;0;=0jh6s|fg83>7}Yno16;>:5ab9~wc2=838pRk:4=617>dd527269=4=z{8;36=4={_32<>;0;<0::45rs035>5<5sW;::638348be>{t98>1<71283>7}Y98901:=::`48yv76:3:1>vP>139>363=i<1v:181[769279>6l<4}r33a?6=:rT:;0;<0:::5rs02`>5<5sW;;o638348b4>{t99h1<70883>7}Y99301:=::8g8yv7703:1>vP>099>363=1m1v<>8:181[77?279;<501??f3ty:<84?:3y]553<5>9>6474}r330?6=:rT:<9527279===z{8:86=4={_337>;0;<0::;5rs021>5<5sW;;>638348:3>{t99;1<70183>7}Y99:01:=::878yv`b2909wShj;<501??33tymh7>52z\e`>;0;<02?6s|fb83>7}Ynj16;>;5939~wcd=838pRkl4=616>g7649~wc>=838pRk64=616>d`27{tn90;6?uQf19>363=181v?kj:180[4bm27363=:li0q~87:180[0?34=887;i;<501?3a3ty=:7>53z\52>;0;=0>h6383486`>{t><0;6>uQ649>362==j16;>;55b9~w32=839pR;:4=617>0d<5>9>68l4}r40>5<4sW<8709<4;4:?814=3<27p}92;297~X1:271:;52727923=z{?:1<7=t^72892532??01:=::778yv3f2908wS;n;<500?0334=8978;;|q4e?6=;rT;0;<0m709<4;07b>;0;<098k5rs6d94?5|V>l01:=;:6d892522>l0q~<:0;297~X5=916;>:5242892522;?;7p}=2383>7}Y:;801:=;:0``?xu5:80;6?uQ2338925328kj7p}=2183>7}Y:;:01:=;:0c;?xu59o0;6?uQ20d8925328k=7p}=1d83>7}Y:8o01:=;:0c6?xu59m0;6?uQ20f8925328k?7p}=1c83>7}Y:8h01:=;:0c0?xu59h0;6?uQ20c8925328k97p}=1883>7}Y:8301:=;:0c2?xu5910;6?uQ20:8925328hj7p}=1683>7}Y:8=01:=;:0c3?xu59?0;6?uQ20489253283m7p}=1483>7}Y:8?01:=;:0;f?xu59=0;6?uQ20689253283o7p}=1283>7}Y:8901:=;:0;a?xu59;0;6?uQ20089253283j7p}=1183>7}Y:8:01:=;:0;:?xu58o0;6?uQ21d8925328337p}=0d83>7}Y:9o01:=;:0`:?xu58m0;6?uQ21f89253283<7p}=0b83>7}Y:9i01:=;:0;5?xu58k0;6?uQ21`89253283>7p}=0`83>7}Y:9k01:=;:0;7?xu5800;6?uQ21;8925328387p}=0983>7}Y:9201:=;:0;1?xu58>0;6?uQ2158925328h:7p}=4d83>7}Y:=o01:=;:0`3?xu57}Y:=i01:=;:0ce?xu5<10;6?uQ25:8925328kn7p}=3e83>7}Y::n01:=;:0cg?xu5;;0;6?uQ2208925328kh7p}=2683>7}Y:;=01:=;:0ca?xu59j0;6?uQ20a8925328k<7p}=1083>7}Y:8;01:=;:0;`?xu58?0;6?uQ21489253283:7p}=4c83>7}Y:=h01:=::0``?xu5<00;6?uQ25;8925228kj7p}=4683>7}Y:==01:=::0c;?xu57}Y:=?01:=::0c6?xu5<=0;6?uQ2568925228k?7p}=4283>7}Y:=901:=::0c0?xu5<;0;6?uQ2508925228k97p}=4083>7}Y:=;01:=::0c2?xu5<90;6?uQ2528925228hj7p}=3g83>7}Y::l01:=::0c3?xu5;l0;6?uQ22g89252283m7p}=3b83>7}Y::i01:=::0;f?xu5;k0;6?uQ22`89252283o7p}=3`83>7}Y::k01:=::0;a?xu5;00;6?uQ22;89252283j7p}=3983>7}Y::201:=::0;:?xu5;>0;6?uQ2258925228337p}=3783>7}Y::<01:=::0`:?xu5;<0;6?uQ22789252283<7p}=3583>7}Y::>01:=::0;5?xu5;:0;6?uQ22189252283>7p}=3083>7}Y::;01:=::0;7?xu5;90;6?uQ2228925228387p}=2g83>7}Y:;l01:=::0;1?xu5:l0;6?uQ23g8925228h:7p}=2e83>7}Y:;n01:=::0`3?xu5:j0;6?uQ23a8925228h37p}=2c83>7}Y:;h01:=::0ce?xu5:h0;6?uQ23c8925228kn7p}=2883>7}Y:;301:=::0cg?xu5:10;6?uQ23:8925228kh7p}=2783>7}Y:;<01:=::0ca?xu5:<0;6?uQ2378925228k<7p}=2583>7}Y:;>01:=::0;`?xu5::0;6?uQ23189252283:7p}7e;29ff}:>??1>8m4^9g8Z7`43W8n>6P=e19]6a`;0;=09i;5272696`5709<4;`7?814<3h8709<4;f1?814<3n:709<4;f3?814<3im709<4;af?814<3io709<4;a`?814<3i3709<4;`g?814<3h9709<4;35a>;0;=0::n52726953d<5>9?6<8n;<500?02127362=><<01:=;:776?[7d02T:h>5Q1eg8Z4c>3W;m86P>fg9]655X6k;1U=n=4^0a7?[7d=2T:o;5Q1b58Z4e>3W;hm6P>cc9]5fe;_3g6>X6l=1U=i;4^0f5?[7c?2T:h55Q1e;892522;o=709<5;0f7>X6lh16;>;5529>363==;16;>;5509>363==916;>;54`9>363=>:16;>;5639>363=>816;>;5619>363==h16;>;5cc9>363=k016;>;5c69>363=k?16;>;5c49>363=k=16;>;5c29>363=k;16;>;5c09>363=k916;>;5bg9>363=jl16;>;5bb9>363=jk16;>;5b`9>363=j016;>;5b99>363=j>16;>;5b79>363=j<16;>;5b59>363=j:16;>;5d39>363=l816;>;5d19>363=ko16;>;5cd9>363=km16;>;5cb9>363=k116;>;5be9>363=j;16;>;517g8925228;0;<0::l52727920?<5>9>6;;8;<501?02>27;_3f6>X6m:1U=h:4^0g6?[7b>2T:i:5Q1d:8Z4cf3W;nn6P>eb9]5`bX6n<1U=k84^0d4?[7a02T:j45Q1gc8Z4`e3W;mo6P>fe9]5cc997d9<:188m<6=831b>k<50;9l6fe=831vn979:187>5<7s-8mj7=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f1?2290?6=4?{%0eb?4em2B=:95G65:8 7ec2>997d9<:188m<6=831b>k<50;9l6fe=831vn97;:187>5<7s-8mj7=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f1??290?6=4?{%0eb?4em2B=:95G65:8 7ec2>997d9<:188m<6=831b>k<50;9l6fe=831vn9on:187>5<7s-8mj7=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f1g>290?6=4?{%0eb?4em2B=:95G65:8 7ec2>997d9<:188m<6=831b>k<50;9l6fe=831vn9o7:187>5<7s-8mj7=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f1g0290?6=4?{%0eb?4em2B=:95G65:8 7ec2>997d9<:188m<6=831b>k<50;9l6fe=831vn9om:187>5<7s-8mj7=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f150290?6=4?{%0eb?4em2B=:95G65:8 7ec2>997d9<:188m<6=831b>k<50;9l6fe=831vn9=9:187>5<7s-8mj7=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f152290?6=4?{%0eb?4em2B=:95G65:8 7ec2>997d9<:188m<6=831b>k<50;9l6fe=831vn9=;:187>5<7s-8mj7=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f15?290?6=4?{%0eb?4em2B=:95G65:8 7ec2>997d9<:188m<6=831b>k<50;9l6fe=831vn9:n:187>5<7s-8mj7=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f12>290?6=4?{%0eb?4em2B=:95G65:8 7ec2>997d9<:188m<6=831b>k<50;9l6fe=831vn9:7:187>5<7s-8mj7=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f120290?6=4?{%0eb?4em2B=:95G65:8 7ec2>997d9<:188m<6=831b>k<50;9l6fe=831vn9:m:187>5<7s-8mj7=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f6`029086=4?{%0eb?01;2B=:95G65:8m25=831b>o:50;9l6fe=831vn>kj:180>5<7s-8mj7=20(?8k:2a8m73a2900e?8?:188k7ed2900qo=i6;297?6=8r.9jk49629K232<@?>37d9<:188m7d32900c?ml:188yg5b=3:187>50z&1bc<5jl1C:;:4H76;?l142900e4>50;9j6c4=831d>nm50;9~f6cc29086=4?{%0eb?4e02B=:95G65:8 70c2:i0e?;i:188m7072900c?ml:188yg5a=3:1?7>50z&1bc<1>:1C:;:4H76;?l142900e?l;:188k7ed2900qo=j4;290?6=8r.9jk4=bd9K232<@?>37d9<:188m<6=831b>k<50;9l6fe=831vn>kl:180>5<7s-8mj7=20(?8k:2a8m73a2900e?8?:188k7ed2900qo=i4;297?6=8r.9jk49629K232<@?>37d9<:188m7d32900c?ml:188yg5b;3:187>50z&1bc<5jl1C:;:4H76;?l142900e4>50;9j6c4=831d>nm50;9~f6ce29086=4?{%0eb?4e02B=:95G65:8 70c2:i0e?;i:188m7072900c?ml:188yg5a;3:1?7>50z&1bc<1>:1C:;:4H76;?l142900e?l;:188k7ed2900qo=j2;290?6=8r.9jk4=bd9K232<@?>37d9<:188m<6=831b>k<50;9l6fe=831vn>kn:180>5<7s-8mj7=20(?8k:2a8m73a2900e?8?:188k7ed2900qo=i2;297?6=8r.9jk49629K232<@?>37d9<:188m7d32900c?ml:188yg5b93:187>50z&1bc<5jl1C:;:4H76;?l142900e4>50;9j6c4=831d>nm50;9~f6c>29086=4?{%0eb?4e02B=:95G65:8 70c2:i0e?;i:188m7072900c?ml:188yg5a93:1?7>50z&1bc<1>:1C:;:4H76;?l142900e?l;:188k7ed2900qo=j0;290?6=8r.9jk4=bd9K232<@?>37d9<:188m<6=831b>k<50;9l6fe=831vn>k7:180>5<7s-8mj7=20(?8k:2a8m73a2900e?8?:188k7ed2900qo=i0;297?6=8r.9jk49629K232<@?>37d9<:188m7d32900c?ml:188yg5cn3:187>50z&1bc<5jl1C:;:4H76;?l142900e4>50;9j6c4=831d>nm50;9~f6c029086=4?{%0eb?4e02B=:95G65:8 70c2:i0e?;i:188m7072900c?ml:188yg5bn3:1?7>50z&1bc<1>:1C:;:4H76;?l142900e?l;:188k7ed2900qo=ke;290?6=8r.9jk4=bd9K232<@?>37d9<:188m<6=831b>k<50;9l6fe=831vn>k9:180>5<7s-8mj7=20(?8k:2a8m73a2900e?8?:188k7ed2900qo:=2;290?6=8r.9jk4=549K232<@?>37)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`764<72=0;6=u+2gd9603<@?>{e<;:1<7:50;2x 7`a2;?>7E894:J50==#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn9?i:187>5<7s-8mj7<:5:J521=O>=20(?8k:29j35<722c3;7>5;h:a>5<?>0D;:7;%05`?5:1<75f8683>>o?j3:17b"5>m087d9?:188m=1=831b4o4?::m1`3<722wi8N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb53a>5<3290;w)N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg26i3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th?=44?:583>5}#:ol1>8;4H747?M0302.9:i4<;h53>5<>i5l?0;66sm49g94?3=83:p(?hi:375?M01<2B=855+27f97>o083:17d9>:188m=1=831b4o4?::m1`3<722wi85j50;794?6|,;lm6?;9;I450>N1<11/>;j53:k44?6=3`=:6=44i9594?=n0k0;66a=d783>>{e<1i1<7;50;2x 7`a2;?=7E894:J50==#:?n1?6g80;29?l162900e5950;9jt$3de>7313A<=86F9499'63b=;2c<<7>5;h52>5<>i5l?0;66sm49c94?3=83:p(?hi:375?M01<2B=855+27f97>o083:17d9>:188m=1=831b4o4?::m1`3<722wi85750;794?6|,;lm6?;9;I450>N1<11/>;j53:k44?6=3`=:6=44i9594?=n0k0;66a=d783>>{e<121<7;50;2x 7`a2;?=7E894:J50==#:?n1?6g80;29?l162900e5950;9jt$3de>7313A<=86F9499'63b=;2c<<7>5;h52>5<>i5l?0;66sm49494?3=83:p(?hi:375?M01<2B=855+27f97>o083:17d9>:188m=1=831b4o4?::m1`3<722wi8:m50;794?6|,;lm6?;9;I450>N1<11/>;j53:k44?6=3`=:6=44i9594?=n0k0;66a=d783>>{e<>h1<7;50;2x 7`a2;?=7E894:J50==#:?n1?6g80;29?l162900e5950;9jt$3de>7313A<=86F9499'63b=;2c<<7>5;h52>5<>i5l?0;66sm46;94?3=83:p(?hi:375?M01<2B=855+27f97>o083:17d9>:188m=1=831b4o4?::m1`3<722wi8:650;794?6|,;lm6?;9;I450>N1<11/>;j53:k44?6=3`=:6=44i9594?=n0k0;66a=d783>>{e<>=1<7;50;2x 7`a2;?=7E894:J50==#:?n1?6g80;29?l162900e5950;9jt$3de>7313A<=86F9499'63b=;2c<<7>5;h52>5<>i5l?0;66sm46794?3=83:p(?hi:375?M01<2B=855+27f97>o083:17d9>:188m=1=831b4o4?::m1`3<722wi8?650;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb506>5<3290;w)N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg25n3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th?>o4?:583>5}#:ol1>894H747?M0302.9:i4l;h53>5<5<3290;w)N1>=1C:964$34g>f=n?90;66g7b;29?l4c<3:17b4?:1y'6c`=>?90D;8;;I47<>o0;3:17d6<729q/>kh52c:8L3033Ao5=o0;66g=6183>>i5kj0;66sm4d:94?5=83:p(?hi:740?M01<2B=855f7283>>o5j=0;66a=cb83>>{e4?:1y'6c`=:k20D;8;;I47<>"5>m08o6g=5g83>>o5>90;66a=cb83>>{e>i5kj0;66sm4e494?2=83:p(?hi:3`f?M01<2B=855f7283>>o>83:17d6<729q/>kh52c:8L3033Ao5=o0;66g=6183>>i5kj0;66sm4d494?5=83:p(?hi:740?M01<2B=855f7283>>o5j=0;66a=cb83>>{e4?:1y'6c`=:k20D;8;;I47<>"5>m08o6g=5g83>>o5>90;66a=cb83>>{e>i5kj0;66sm4e694?2=83:p(?hi:3`f?M01<2B=855f7283>>o>83:17d6<729q/>kh52c:8L3033Ao5=o0;66g=6183>>i5kj0;66sm4d694?5=83:p(?hi:740?M01<2B=855f7283>>o5j=0;66a=cb83>>{e4?:1y'6c`=:k20D;8;;I47<>"5>m08o6g=5g83>>o5>90;66a=cb83>>{e>i5kj0;66sm4e094?2=83:p(?hi:3`f?M01<2B=855f7283>>o>83:17d6<729q/>kh52c:8L3033Ao5=o0;66g=6183>>i5kj0;66sm4d094?5=83:p(?hi:740?M01<2B=855f7283>>o5j=0;66a=cb83>>{e4?:1y'6c`=>?90D;8;;I47<>o0;3:17d1<729q/>kh52cg8L3033A37)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`640<72=0;6=u+2gd9603<@?>{e=9>1<7:50;2x 7`a2;?>7E894:J50==#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn8><:187>5<7s-8mj7<:5:J521=O>=20(?8k:29j35<722c3;7>5;h:a>5<?>0D;:7;%05`?5:1<75f8683>>o?j3:17b"5>m087d9?:188m=1=831b4o4?::m1`3<722wi9=>50;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb5de>5<3290;w)N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg2am3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th?ji4?:583>5}#:ol1>8;4H747?M0302.9:i4<;h53>5<>i5l?0;66sm55:94?3=83:p(?hi:375?M01<2B=855+27f97>o083:17d9>:188m=1=831b4o4?::m1`3<722wi99950;794?6|,;lm6?;9;I450>N1<11/>;j53:k44?6=3`=:6=44i9594?=n0k0;66a=d783>>{e==<1<7;50;2x 7`a2;?=7E894:J50==#:?n1?6g80;29?l162900e5950;9jt$3de>7313A<=86F9499'63b=;2c<<7>5;h52>5<>i5l?0;66sm55694?3=83:p(?hi:375?M01<2B=855+27f97>o083:17d9>:188m=1=831b4o4?::m1`3<722wi99=50;794?6|,;lm6?;9;I450>N1<11/>;j53:k44?6=3`=:6=44i9594?=n0k0;66a=d783>>{e==81<7;50;2x 7`a2;?=7E894:J50==#:?n1?6g80;29?l162900e5950;9jt$3de>7313A<=86F9499'63b=;2c<<7>5;h52>5<>i5l?0;66sm52;94?3=83:p(?hi:375?M01<2B=855+27f97>o083:17d9>:188m=1=831b4o4?::m1`3<722wi9>650;794?6|,;lm6?;9;I450>N1<11/>;j53:k44?6=3`=:6=44i9594?=n0k0;66a=d783>>{e=:=1<7;50;2x 7`a2;?=7E894:J50==#:?n1?6g80;29?l162900e5950;9jt$3de>7313A<=86F9499'63b=;2c<<7>5;h52>5<>i5l?0;66sm52794?3=83:p(?hi:375?M01<2B=855+27f97>o083:17d9>:188m=1=831b4o4?::m1`3<722wi9>:50;794?6|,;lm6?;9;I450>N1<11/>;j53:k44?6=3`=:6=44i9594?=n0k0;66a=d783>>{e=:91<7;50;2x 7`a2;?=7E894:J50==#:?n1?6g80;29?l162900e5950;9jt$3de>73?3A<=86F9499'63b=k2c<<7>5;h52>5<5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn8<8:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<:6F9659K21><,;5f7183>>o093:17d68:188m=d=831d>i850;9~f042290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb407>5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn8<<:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<:6F9659K21><,;5f7183>>o093:17d68:188m=d=831d>i850;9~f046290>6=4?{%0eb?4202B=:95G65:8 70c2j1b;=4?::k45?6=3`2i6=44i3f7>5<?>0D;:7;%05`?5:1<75f8683>>o?j3:17b"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?o;50;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb2`7>5<3290;w)N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg5e;3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th8n?4?:583>5}#:ol1>8;4H747?M0302.9:i4<;h53>5<>i5l?0;66sm3c394?2=83:p(?hi:376?M01<2B=855+27f97>o083:17d68:188m=d=831d>i850;9~f6d7290?6=4?{%0eb?42=2B=:95G65:8 70c2:1b;=4?::k;3?6=3`2i6=44o3f5>5<54;294~"5no09985G6768L32?3-8=h7=4i6294?=n0>0;66g7b;29?j4c>3:17pl<8c83>1<729q/>kh52478L3033A1<7>t$3de>7323A<=86F9499'63b=;2c<<7>5;h:4>5<96F9659K21><,;5f7183>>o??3:17d6m:188k7b12900qo=78;290?6=8r.9jk4=549K232<@?>37)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`0<2<72=0;6=u+2gd9603<@?>{e;1<1<7:50;2x 7`a2;?>7E894:J50==#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn>6::187>5<7s-8mj7<:5:J521=O>=20(?8k:29j35<722c3;7>5;h:a>5<?>0D;:7;%05`?5:1<75f8683>>o?j3:17b"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?4:50;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb2;0>5<3290;w)N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg5>:3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th85<4?:583>5}#:ol1>8;4H747?M0302.9:i4<;h53>5<>i5l?0;66sm38294?2=83:p(?hi:376?M01<2B=855+27f97>o083:17d68:188m=d=831d>i850;9~f6>a290?6=4?{%0eb?42=2B=:95G65:8 70c2:1b;=4?::k;3?6=3`2i6=44o3f5>5<54;294~"5no09985G6768L32?3-8=h7=4i6294?=n0>0;66g7b;29?j4c>3:17pl<8e83>1<729q/>kh52478L3033A1<7>t$3de>7323A<=86F9499'63b=;2c<<7>5;h:4>5<96F9659K21><,;5f7183>>o??3:17d6m:188k7b12900qo=mf;290?6=8r.9jk4=549K232<@?>37)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`0f`<72=0;6=u+2gd9603<@?>{e;kn1<7:50;2x 7`a2;?>7E894:J50==#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn>ll:187>5<7s-8mj7<:5:J521=O>=20(?8k:29j35<722c3;7>5;h:a>5<?>0D;:7;%05`?5:1<75f8683>>o?j3:17b"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?o750;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb2`;>5<3290;w)N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg52m3:187>50z&1bc<5=<1C:;:4H76;?M0d3-8ih7>{e;7E894:J50==O>j1/>oj52gg8 70c2:1b;=4?::k;3?6=3`2i6=44o3f5>5<o7>54;294~"5no09985G6768L32?3A"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?8l50;694?6|,;lm6?;:;I450>N1<11C:n5+2cf96cc<,;5f7183>>o??3:17d6m:188k7b12900qo=:a;290?6=8r.9jk4=549K232<@?>37E8l;%0a`?4am2.9:i4<;h53>5<>i5l?0;66sm34;94?2=83:p(?hi:376?M01<2B=855G6b9'6gb=:oo0(?8k:29j35<722c3;7>5;h:a>5<?>0D;:7;I4`?!4el38mi6*=6e80?l172900e5950;9j1<7>t$3de>7323A<=86F9499K2f=#:kn1>kk4$34g>6=n?90;66g77;29?l>e2900c?j9:188yg52>3:187>50z&1bc<5=<1C:;:4H76;?M0d3-8ih7>{e;:;1<7:50;2x 7`a2;?>7E894:J50==O>j1/>oj52gg8 70c2:1b;=4?::k;3?6=3`2i6=44o3f5>5<54;294~"5no09985G6768L32?3A"5>m087d9?:188m=1=831b4o4?::m1`3<722wi??h50;694?6|,;lm6?;:;I450>N1<11C:n5+2cf96cc<,;5f7183>>o??3:17d6m:188k7b12900qo==e;290?6=8r.9jk4=549K232<@?>37E8l;%0a`?4am2.9:i4<;h53>5<>i5l?0;66sm33f94?2=83:p(?hi:376?M01<2B=855G6b9'6gb=:oo0(?8k:29j35<722c3;7>5;h:a>5<?>0D;:7;I4`?!4el38mi6*=6e80?l172900e5950;9j1<7>t$3de>7323A<=86F9499K2f=#:kn1>kk4$34g>6=n?90;66g77;29?l>e2900c?j9:188yg55i3:187>50z&1bc<5=<1C:;:4H76;?M0d3-8ih7>{e;;31<7:50;2x 7`a2;?>7E894:J50==O>j1/>oj52gg8 70c2:1b;=4?::k;3?6=3`2i6=44o3f5>5<54;294~"5no09985G6768L32?3A"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?:650;694?6|,;lm6?;:;I450>N1<11C:n5+2cf96cc<,;5f7183>>o??3:17d6m:188k7b12900qo=87;290?6=8r.9jk4=549K232<@?>37E8l;%0a`?4am2.9:i4<;h53>5<>i5l?0;66sm36494?2=83:p(?hi:376?M01<2B=855G6b9'6gb=:oo0(?8k:29j35<722c3;7>5;h:a>5<?>0D;:7;I4`?!4el38mi6*=6e80?l172900e5950;9j1<7>t$3de>7323A<=86F9499K2f=#:kn1>kk4$34g>6=n?90;66g77;29?l>e2900c?j9:188yg50;3:187>50z&1bc<5=<1C:;:4H76;?M0d3-8ih7>{e;>81<7:50;2x 7`a2;?>7E894:J50==O>j1/>oj52gg8 70c2:1b;=4?::k;3?6=3`2i6=44o3f5>5<54;294~"5no09985G6768L32?3A"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?9=50;694?6|,;lm6?;:;I450>N1<11C:n5+2cf96cc<,;5f7183>>o??3:17d6m:188k7b12900qo=;2;290?6=8r.9jk4=549K232<@?>37E8l;%0a`?4am2.9:i4<;h53>5<>i5l?0;66sm35394?2=83:p(?hi:376?M01<2B=855G6b9'6gb=:oo0(?8k:29j35<722c3;7>5;h:a>5<?>0D;:7;I4`?!4el38mi6*=6e80?l172900e5950;9j1<7>t$3de>7323A<=86F9499K2f=#:kn1>kk4$34g>6=n?90;66g77;29?l>e2900c?j9:188yg54m3:187>50z&1bc<5=<1C:;:4H76;?M0d3-8ih7>{e;:n1<7:50;2x 7`a2;?>7E894:J50==O>j1/>oj52gg8 70c2:1b;=4?::k;3?6=3`2i6=44o3f5>5<54;294~"5no09985G6768L32?3A"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?>l50;694?6|,;lm6?;:;I450>N1<11C:n5+2cf96cc<,;5f7183>>o??3:17d6m:188k7b12900qo;?7;297?6=8r.9jk4=b99K232<@?>37)<9d;36?!4c;3<>o5>90;66a=cb83>>{e<1l1<7850;2x 7`a2;hi7E894:J50==#:?n1>?5+2e192255<5<5<53;294~"5no09n55G6768L32?3-8=h7?:;%0g7?00l2c99k4?::k125<722e9on4?::a27b=8391<7>t$3de>7d?3A<=86F9499'63b=9<1/>i=566a8m73a2900e?8?:188k7ed2900qo8;1;297?6=8r.9jk4=b99K232<@?>37)<9d;36?!4c;3<>o5>90;66a=cb83>>{e>==1<7=50;2x 7`a2;h37E894:J50==#:?n1=85+2e1922e5<?>0D;:7;%05`?5c3`8>j7>5;h054?6=3`8==7>5;h056?6=3f8ho7>5;|`7=`<72<0;6=u+2gd96gg<@?t$3de>7df3A<=86F9499'63b=;m1b>8h50;9j636=831b>;?50;9j634=831d>nm50;9~f1?f290>6=4?{%0eb?4ei2B=:95G65:8 70c2:n0e?;i:188m7072900e?8>:188m7052900c?ml:188yg2f:3:1?7>50z&1bc<5j11C:;:4H76;?!41l39h7d<:f;29?l4183:17b"5>m08h6g=5g83>>o5>90;66g=6083>>o5>;0;66a=cb83>>{e<,;j4i37e>5<5<5<i97>53;294~"5no09n55G6768L32?3-8=h7=l;h06b?6=3`8=<7>5;n0`g?6=3th?8=4?:483>5}#:ol1>oo4H747?M0302.9:i4k50;794?6|,;lm6?ln;I450>N1<11/>;j53e9j60`=831b>;>50;9j637=831b>;<50;9l6fe=831vn9=l:186>5<7s-8mj7=20(?8k:2f8m73a2900e?8?:188m7062900e?8=:188k7ed2900qo:37)<9d;1g?l42n3:17d<90;29?l4193:17d<92;29?j4dk3:17pl;4383>6<729q/>kh52c:8L3033Ao5=o0;66g=6183>>i5kj0;66sm44194?3=83:p(?hi:3`b?M01<2B=855+27f97a=n:5<2290;w)N1>=1C:964$34g>6b5<5<?>0D;:7;%05`?5c3`8>j7>5;h054?6=3`8==7>5;h056?6=3f8ho7>5;|`710<72:0;6=u+2gd96g><@?1<7>t$3de>7d>3A<=86F9499'63b=;;1b>8h50;9j636=831b>;?50;9l6fe=831vn9>;:184>5<7s-8mj7=20(?8k:2:8m73a2900e?8?:188m7062900e?8=:188m7042900e?8;:188k7ed2900qo=ka;290?6=8r.9jk4=b89K232<@?>37)<9d;6;?!4c;3<<<6g=5g83>>o5>90;66g=6083>>i5kj0;66sm3ea94?3=83:p(?hi:3`b?M01<2B=855+27f9<>"5l:0=;45f24d94?=n:?:1<75f27394?=n:?81<75`2ba94?=zj:no6=49:183!4an38in6F9659K21><,;5<5<5<54;294~"5no09n45G6768L32?3-8=h794$3f0>3173`8>j7>5;h054?6=3`8==7>5;n0`g?6=3th?:<4?:283>5}#:ol1>o64H747?M0302.9:i4N1<11/>;j5459'6a5=>190e?;i:188m7072900e?8>:188k7ed2900qo:94;291?6=8r.9jk4=b`9K232<@?>37)<9d;66?!4c;3<3?6g=5g83>>o5>90;66g=6083>>o5>;0;66a=cb83>>{e<;>1<7:50;2x 7`a2;h27E894:J50==#:?n1:i5+2e192=25<5<9h7>55;294~"5no09nl5G6768L32?3-8=h7=m;%0g7?0?<2c99k4?::k125<722c9:<4?::k127<722e9on4?::a075=83?1<7>t$3de>7df3A<=86F9499'63b=:81/>i=56938m73a2900e?8?:188m7062900e?8=:188k7ed2900qo:=7;291?6=8r.9jk4=b`9K232<@?>37)<9d;00?!4c;3<3=6g=5g83>>o5>90;66g=6083>>o5>;0;66a=cb83>>{e<;31<7:50;2x 7`a2;h27E894:J50==#:?n1:l5+2e192=35<5<hj7>57;294~"5no09nn5G6768L32?3-8=h7:6;h06b?6=3`8=<7>5;h055?6=3`8=>7>5;h057?6=3`8=87>5;n0`g?6=3th?ni4?:683>5}#:ol1>om4H747?M0302.9:i49;h06b?6=3`8=<7>5;h055?6=3`8=>7>5;h057?6=3`8=87>5;n0`g?6=3th?o:4?:583>5}#:ol1>o74H747?M0302.9:i4=8:k11c<722c9:=4?::k124<722e9on4?::a0f?=83>1<7>t$3de>7d>3A<=86F9499'63b=;;1b>8h50;9j636=831b>;?50;9l6fe=831vn9m9:180>5<7s-8mj7=20(?8k:368m73a2900e?8?:188k7ed2900qo;?d;297?6=8r.9jk4=b99K232<@?>37)<9d;1`?l42n3:17d<90;29?j4dk3:17pl:0g83>1<729q/>kh52c;8L3033A"5l:0=4?5f24d94?=n:?:1<75f27394?=h:ji1<75rb433>5<2290;w)N1>=1C:964$34g>13<,;n86;6=;h06b?6=3`8=<7>5;h055?6=3`8=>7>5;n0`g?6=3th85;4?:283>5}#:ol1>o64H747?M0302.9:i4=4:&1`6<1?81b>8h50;9j636=831d>nm50;9~f6??290?6=4?{%0eb?4e12B=:95G65:8 70c2:o0(?j<:752?l42n3:17d<90;29?l4193:17b4?:1y'6c`=:k20D;8;;I47<>"5>m0986g=5g83>>o5>90;66a=cb83>>{e;jn1<7:50;2x 7`a2;h27E894:J50==#:?n1?h5+2e192245<5<53;294~"5no09n55G6768L32?3-8=h7<;;%0g7?00i2c99k4?::k125<722e9on4?::a75c=8391<7>t$3de>7d?3A<=86F9499'63b=:=1/>i=566c8m73a2900e?8?:188k7ed2900qo=?d;297?6=8r.9jk4=b99K232<@?>37)<9d;07?l42n3:17d<90;29?j4dk3:17pl<0`83>6<729q/>kh52c:8L3033Ao5=o0;66g=6183>>i5kj0;66sm31a94?5=83:p(?hi:3`;?M01<2B=855+27f961=#:m91::l4i37e>5<5<53;294~"5no09n55G6768L32?3-8=h7<;;%0g7?00j2c99k4?::k125<722e9on4?::a751=8391<7>t$3de>7d?3A<=86F9499'63b=:=1/>i=566:8m73a2900e?8?:188k7ed2900qo=?6;297?6=8r.9jk4=b99K232<@?>37)<9d;07?!4c;3<<46g=5g83>>o5>90;66a=cb83>>{e;9?1<7=50;2x 7`a2;h37E894:J50==#:?n1>95+2e192215<?>0D;:7;%05`?433-8o?7887:k11c<722c9:=4?::m1gf<722wi?=?50;194?6|,;lm6?l7;I450>N1<11/>;j5259'6a5=>>?0e?;i:188m7072900c?ml:188yg57;3:1?7>50z&1bc<5j11C:;:4H76;?!41l38?7)o5=o0;66g=6183>>i5kj0;66sm31094?5=83:p(?hi:3`;?M01<2B=855+27f961=#:m91::84i37e>5<5<53;294~"5no09n55G6768L32?3-8=h7<;;%0g7?00=2c99k4?::k125<722e9on4?::a7t$3de>7df3A<=86F9499'63b=:?1/>i=566d8m73a2900e?8?:188m7062900e?8=:188k7ed2900qo=6b;292?6=8r.9jk4=bc9K232<@?>37)<9d;1e?!4c;3<>o5>90;66g=6083>>o5>;0;66g=6283>>i5kj0;66sm38f94?1=83:p(?hi:3``?M01<2B=855+27f962=n:5<2290;w)N1>=1C:964$34g>70<,;n86;6?;h06b?6=3`8=<7>5;h055?6=3`8=>7>5;n0`g?6=3th8h=4?:783>5}#:ol1>ol4H747?M0302.9:i48h50;9j636=831b>;?50;9j634=831b>;=50;9l6fe=831vn>m>:184>5<7s-8mj7=20(?8k:358m73a2900e?8?:188m7062900e?8=:188m7042900e?8;:188k7ed2900qo=n4;293?6=8r.9jk4=bb9K232<@?>37)<9d;04?l42n3:17d<90;29?l4193:17d<92;29?l41;3:17d<94;29?j4dk3:17pl2<729q/>kh52ca8L3033Ao5=o0;66g=6183>>o5>80;66g=6383>>o5>:0;66g=6583>>i5kj0;66sm38d94?1=83:p(?hi:3``?M01<2B=855+27f962=n:5<3290;w)N1>=1C:964$34g>735<5<57;294~"5no09nn5G6768L32?3-8=h7:?;h06b?6=3`8=<7>5;h055?6=3`8=>7>5;h057?6=3`8=87>5;n0`g?6=3th8o>4?:683>5}#:ol1>om4H747?M0302.9:i4=7:k11c<722c9:=4?::k124<722c9:?4?::k126<722c9:94?::m1gf<722wi?n850;694?6|,;lm6?l6;I450>N1<11/>;j5249'6a5=>>80e?;i:188m7072900e?8>:188k7ed2900qo=l5;293?6=8r.9jk4=bb9K232<@?>37)<9d;63?l42n3:17d<90;29?l4193:17d<92;29?l41;3:17d<94;29?j4dk3:17pl;ae83>0<729q/>kh52cc8L3033A0<729q/>kh52cc8L3033A6<729q/>kh52c:8L3033Ao5=o0;66g=6183>>i5kj0;66sm4e;94?5=83:p(?hi:3`;?M01<2B=855+27f961=n:5<2290;w)N1>=1C:964$34g>655<5<3:1?>0D;:7;%05`?4>3`8>j7>5;h054?6=3`8==7>5;h056?6=3`8=?7>5;n0`g?6=3th?oi4?:683>5}#:ol1>om4H747?M0302.9:i4=a:k11c<722c9:=4?::k124<722c9:?4?::k126<722c9:94?::m1gf<722wi8no50;194?6|,;lm6?l7;I450>N1<11/>;j5359j60`=831b>;>50;9l6fe=831vn9mj:184>5<7s-8mj7=20(?8k:3c8m73a2900e?8?:188m7062900e?8=:188m7042900e?8;:188k7ed2900qo::8;293?6=8r.9jk4=bb9K232<@?>37)<9d;06f>o5=o0;66g=6183>>o5>80;66g=6383>>o5>:0;66g=6583>>i5kj0;66sm3gd94?1=83:p(?hi:3``?M01<2B=855+27f9e>o5=o0;66g=6183>>o5>80;66g=6383>>o5>:0;66g=6583>>i5kj0;66sm3gg94?5=83:p(?hi:3`;?M01<2B=855+27f971=n:5<0290;w)N1>=1C:964$34g>405<5<5<?>0D;:7;%05`?523`8>j7>5;h054?6=3`8==7>5;h056?6=3f8ho7>5;|`74<<72>0;6=u+2gd96ge<@?j7>5;h054?6=3`8==7>5;h056?6=3`8=?7>5;h050?6=3f8ho7>5;|`742<72?0;6=u+2gd96gd<@?2c99k4?::k125<722c9:<4?::k127<722c9:>4?::m1gf<722wi8=l50;594?6|,;lm6?ll;I450>N1<11/>;j5a:k11c<722c9:=4?::k124<722c9:?4?::k126<722c9:94?::m1gf<722wi8=650;494?6|,;lm6?lm;I450>N1<11/>;j5379j60`=831b>;>50;9j637=831b>;<50;9j635=831d>nm50;9~f16c290<6=4?{%0eb?4ek2B=:95G65:8 70c2:=0e?;i:188m7072900e?8>:188m7052900e?8<:188m7032900c?ml:188yg21:3:1;7>50z&1bc<5jj1C:;:4H76;?!41l32m7d<:f;29?l4183:17d<91;29?l41:3:17d<93;29?l41<3:17b"5>m03j6g=5g83>>o5>90;66g=6083>>o5>;0;66g=6283>>o5>=0;66a=cb83>>{e;921<7=50;2x 7`a2;h37E894:J50==#:?n1>95+2e192225<3:1?>0D;:7;%05`?42i2.9h>49849j60`=831b>;>50;9j637=831b>;<50;9j635=831d>nm50;9~f13a290=6=4?{%0eb?4ej2B=:95G65:8 70c2=<0(?j<:75f?l42n3:17d<90;29?l4193:17d<92;29?l41;3:17b90;6:4?:1y'6c`=:ki0D;8;;I47<>"5>m0?;6g=5g83>>o5>90;66g=6083>>o5>;0;66g=6283>>o5>=0;66a=cb83>>{e<5<5<?>0D;:7;%05`?233-8o?789f:k11c<722c9:=4?::k124<722e9on4?::a00e=8391<7>t$3de>7d?3A<=86F9499'63b=;j1/>i=567d8m73a2900e?8?:188k7ed2900qo;?b;292?6=8r.9jk4=bc9K232<@?>37)<9d;65?!4c;3<=i6g=5g83>>o5>90;66g=6083>>o5>;0;66g=6283>>i5kj0;66sm51a94?1=83:p(?hi:3``?M01<2B=855+27f902=n:5<2290;w)N1>=1C:964$34g>13<,;n86;8j;h06b?6=3`8=<7>5;h055?6=3`8=>7>5;n0`g?6=3th><44?:583>5}#:ol1>o74H747?M0302.9:i4;4:k11c<722c9:=4?::k124<722e9on4?::a15>=8391<7>t$3de>7d?3A<=86F9499'63b=;j1b>8h50;9j636=831d>nm50;9~f66>29086=4?{%0eb?4e02B=:95G65:8 70c2:i0(?j<:757?l42n3:17d<90;29?j4dk3:17pl;c483>7<729q/>kh52c18L3033A>i5kj0;66sm55c94?4=83:p(?hi:3`0?M01<2B=855f24g94?=h:ji1<75rb70a>5<5290;w)N1>=1C:964i37f>5<?>0D;:7;h06a?6=3f8ho7>5;|q40<<72>qU;974=70e>7b334<8<76?k:;<411?4b027?59483:?7e2<0;27??9483:?702<0;278hh483:?0ac<0;27?h=483:?7a4<0;2wx>n<50;4f801=38>o6392g8;f>;1;h03n6393c8;f>;1;<03n639378;f>;1;>03n6392481ag=:>;?1:8k4=706>33d34<9978:b:?560<1=h16:?;564;893422??<708=5;462>;1:<0=98526379537<5?8>6<;i;<411?72l27=>84>5b9>273=9563924821==:>;?1=894=706>43134<997?:5:?560<6==16:?;51408934228?:708=5;364>;1:<0:8k52637951c<5?8>6<:k;<411?73k27=>84>4c9>273=9=k01;<::06:?805=3;?;639248203=:>;?1=9;4=706>42334<997?;3:?560<6<;16:?;51538934228>;708=5;30b>;1:<0:?h52637956e<5?8>6<=m;<411?74i27=>84>389>273=9:201;<::014?805=3;8:639248270=:>;?1=>:4=706>45434<997?<1:?560<6;916:?;513d89342288n708=5;31`>;1:<0:>n52637957d<5?8>6<84>299>273=9;<01;<::006?805=3;98639248266=:>;?1=?<4=706>44634<997?=0:?560<69o16:?;510g8934228;o708=5;350>;1:<0::>526379534<5?8>6<;j;<411?72;27=>84>499>273=9:n01;<::011?805=3;9;63924825f=:>;?1>o<4=706>7d634>2477?;<6:0??734>2977?;<6:2??734>2;77?;<6bf??734>j;77?;<6bj577?;<6be??734>8477?;<600??734>8977?;<602??734>8;77?;<67f??734>?;77?;<67?577?;<67e??73ty8;l4?:5y>7=5=:m<0197n:342?82fl38==63;d9811c=z{:=i6=4;{<1;0?4c>27?5l4=5g9>0db=:;<6bb?41927?hl4=5g9~w61c290?w0=76;0g2>;31j099k524`d960`<5=ni6?;i;|q03`<72=q68im5272896>02;n=70:6e;055>;3j809:<5rs25e>5<3s4>oh7<90:?0<=<5l?1684k524d891d62;?m7p}<8183>1}:;>4=2::>7b134>j<7<91:?7f6<5>81v>6>:18782cn38=<63<8`81`3=:8h4=5`0>73a3ty84?4?:5y>0`6=:?:01>6m:3f5?82f:38>j63;b4811c=z{:k=6=4:{<1f2?42n278hh460:?0ec<5l?168>o52708912c2;<97p}0}:;l=1>8h4=2fe><6<5:h;6?j9;<60e?41827?8i4=619~w6g?290>w0=j8;06b>;4m902<63;<4=56e>7053ty8m44?:4y>7`?=:k>:82896d52;n=70:;35<2s49nm7<:f:?0a7<>8278n>4=d79>06c=:?8019;>:341?xu4ik0;68u23d`960`<5:o864>4=2`7>7b134>8i7<90:?714<5>91v>ol:18685bk38>j63;4j<09h;524529634<5=?86?8=;|q0ea<72l9:3f5?823838=<63;528125=z{:kn6=4;{<1fa?42n278n:4=d79>014=:?:019;::343?xu1:h0;6?33334<9978:2:?560<1=816:?;5642893422?>m708=5;47`>;1:<0=8n52637921d<5?8>6?:i;<715?1634?9>79>;<717?1634?9879>;<711?1634?9:79>;<713?1634?9479>;<706?1634?8?79>;<700?1634?8979>;<702?1634?8;79>;<70;<70e?1634??>79>;<777?1634??879>;<771?1634??:79>;<773?1634??479>;<733?4dk2wx8oo50;0x91df2;n=7S9=e:p0c5=838pR::k;<6e`?4c>2wx8k:50;0xZ22b34>mi72wx8k850;0xZ23734?;<7;<735?4c>2wx8k650;0xZ23534?;>72wx8ko50;0xZ23334?;872wx8km50;0xZ23034?;:7j63<088125=:;>4}r70g?6=>r7=>84:2:?7`6<>827>8>4=d79>75g=:?:01>>m:37e?82cj38=<6s|52f94?0|5?8>68=4=5f`>73a34>o877?;<770?4c>27875d=:?:0q~;863;de811c=:h50;4x9342233;70;;6;0g2>;48l099k5231f963656z?560<2>27?hk4=5g9>0a1=191699952e48966a2;?m70=?e;054>{t==;1<7;t=706>0><5=o;6?;i;<77278o=47b:?04c<5>91v;<7:1826~;3?<0<=63;77845>;3?>0<=63;79845>;3?00<=63;7`845>;3?k0<=63;7b845>;30?0<=63;86845>;3010<=63;88845>;30h0<=63;8c845>;30j0<=63;8e845>;30l0<=63;2281gf=z{?826=4;9z?6=0<0927>5;481:?6e4<0927>mn481:?6f2<0927>o?481:?6ga<0927>h5481:?6`g<0927>hn481:?6=2<0927>55481:?6=<<0927>5l481:?6=g<0927>5n481:?6=a<0927>5h481:?6=c<0927>m=481:?6e7<0927>m>481:?6e1<0927>m8481:?6e3<0927>m:481:?6e=<0927>m4481:?6ed<0927>mo481:?6ea<0927>mh481:?6ec<0927>n=481:?6f4<0927>n?481:?6f6<0927>n9481:?6f0<0927>n;481:?6f=<0927>n4481:?6fd<0927>no481:?6ff<0927>ni481:?6f`<0927>nk481:?6g5<0927>o<481:?6g6<0927>o9481:?6g0<0927>o;481:?6g2<0927>o5481:?6g<<0927>ol481:?6gg<0927>on481:?6g`<0927>ok481:?6`5<0927>h<481:?6`7<0927>h>481:?6`1<0927>h8481:?6`3<0927>h:481:?6`<<0927>hl481:?761<5kj1v9;n:186822i38o:63;22811c=:<;=1>8h4=57;>70734<9;7<:f:p07d=838pR:<:;<61f?4c>2wx8=h50;0xZ25?34>:572wx8:n72wx8<=50;0xZ25d34>:h72wx8<;50;0xZ25b34>:j72wx8<950;0xZ22734>9=7=838pR::=;<616?4c>2wx8:j50;fx93422k9:343?82?>38o:63;3`8124=:;9:1>8h4=56g>70634>=>7<90:?71c<5>9168;>52728913b2;<;70::d;054>;3=j09:=5263`960c56z?560<18278i:4=619>0=1=:m<019=n:37e?857938>j63<018125=z{==m6=49{<411?06349n47<90:?7<=<5l?168>m5273896662;<;70=?2;06b>{t<1:1<78t=706>34<5:o26?8?;<6;=?4c>27??n4=5g9>755=:>=:343?xu3080;6;u2637926=:;lk1>;>4=5:b>7b134>8i7<91:?041<5=o16?==52728yv2?:3:1:v3924850>;4mk09:=5249`96a0<5=9n6?;i;<131?42n278<94=619~w1>4290=w08=5;46?85bk38=<63;8b81`3=:<=:1>;?4=225>73a349;97<90:p0=2=83;3<9099k52315960`<5::=6?8?;|q7<0<72?q6:?;5699>7`c=:?:0196j:3f5?85><32i70:;2;06b>;48>09:=5rs46g>5<5sW;21?09h;5rs440>5<5sW63:a081`3=z{<;2ij09h;5rs45:>5<5sW=;463:b681`3=z{<2?6=4={_527>;2k;09h;5rs4:e>5<5sW=:i63:ce81`3=z{<396=4={_516>;2l109h;5rs4;0>5<5sW=9?63:dc81`3=z{<3?6=4={_510>;2lj09h;5rs46f>5<5sWm6=4={_4ga>;21109h;5rs473>5<5sW;21h09h;5rs471>5<5sW;21j09h;5rs477>5<5sW6=4={_4f0>;21l09h;5rs475>5<5sW;2i909h;5rs47:>5<5sW;2i:09h;5rs47a>5<5sW;2i<09h;5rs47g>5<5sW;2i>09h;5rs47e>5<5sW;2i009h;5rs442>5<5sW;2ik09h;5rs447>5<5sW6=4={_4e0>;2il09h;5rs445>5<5sW;2j909h;5rs44;>5<5sW;2j;09h;5rs44b>5<5sW;2j=09h;5rs44`>5<5sW;2j?09h;5rs44e>5<5sW;2j009h;5rs452>5<5sW=;<63:b`81`3=z{<=96=4={_535>;2jk09h;5rs450>5<5sW=;>63:bb81`3=z{<=?6=4={_537>;2jm09h;5rs456>5<5sW=;863:bd81`3=z{<==6=4={_531>;2jo09h;5rs454>5<5sW=;:63:c181`3=z{<=36=4={_533>;2k809h;5rs45b>5<5sW=;563:c281`3=z{<=i6=4={_53e>;2k=09h;5rs45`>5<5sW=;n63:c481`3=z{<=o6=4={_53g>;2k?09h;5rs45f>5<5sW=;h63:c681`3=z{<=m6=4={_53a>;2k109h;5rs4:3>5<5sW=;j63:c881`3=z{<2:6=4={_524>;2kh09h;5rs4:1>5<5sW=:=63:cc81`3=z{<286=4={_526>;2kj09h;5rs4:6>5<5sW=:863:cd81`3=z{<2=6=4={_521>;2ko09h;5rs4:4>5<5sW=::63:d181`3=z{<236=4={_523>;2l809h;5rs4::>5<5sW=:463:d381`3=z{<2j6=4={_52=>;2l:09h;5rs4:a>5<5sW=:m63:d581`3=z{<2h6=4={_52f>;2l<09h;5rs4:g>5<5sW=:o63:d781`3=z{<2n6=4={_52`>;2l>09h;5rs4;3>5<5sW=:j63:d881`3=z{<3:6=4={_515>;2lh09h;5rs713>5<3s4>i57?58c9>266=:m<0q~8=e;296~;1:l09h;5249d960`523y>00g=:m>01;=8:3f5?826132<70:>a;:4?826j32<70:>c;:4?826l32<70:>e;:4?826n32<70:=0;:4?825932<70:=2;:4?820=32<70:86;:4?820?32<70:88;:4?820132<70:8a;:4?820j32<70:8c;:4?82?>32<70:77;:4?82?032<70:79;:4?82?i32<70:7b;:4?82?k32<70:7d;:4?82?m32<70:=a;0g0>;3:k09h95243d9<2=:<;?14:5243:9<2=z{?986=4=5z?573<5l?16?5m5869>7=b=0>16?5k5869>7=`=0>16?4>5869>7<7=0>16?4<5869>7<5=0>16?4:5869>7d`=0>16?o>5869>7g7=0>16?o<5869>7g5=0>16?o:5869>7g3=0>16?o85869>7g1=0>16?885869>701=0>16?865869>70?=0>16?8o5869>70d=0>16?8m5869>70b=0>16?8k5869>77?=0>16??o5869>77d=0>16??m5869>77b=0>16??k5869>77`=0>16?>>5869>767=0>1v;==:187f~;1;<09h;5258796a1<5<3=6?j8;<7b5?4c?27>mn4=d69>1g1=:m=018m=:3f4?83dl38o;63:d981`2=:=mh1>i94=4f`>7b034?2;71694752e5890?f2;n<70;6b;0g3>;21j09h:5258f96a1<5<3n6?j8;<7:b?4c?27>m=4=d69>1d4=:m=018o<:3f4?83f<38o;63:a481`2=:=h<1>i94=4c4>7b034?j47169lo52e5890ge2;n<70;nd;0g3>;2il09h:525`d96a1<5n?4=d69>1g5=:m=018l;:3f4?83e=38o;63:b781`2=:=k21>i94=4`:>7b034?im7169om52e5890dc2;n<70;me;0g3>;2jo09h:525b296a1<5o94=d69>1f3=:m=018m9:3f4?83d?38o;63:c981`2=:=j31>i94=4ab>7b034?hn7169nk52e5890ea2;n<70;k0;0g3>;2l809h:525e096a1<5h84=d69>1a0=:m=018j8:3f4?83c138o;63:d`81`2=:>;?1>hk4=704>7043ty=?44?:31x935e2;n=70:id;:4?82am32<70:if;:4?837832<70;?1;:4?837:32<70;?3;:4?837<32<70;?5;:4?837>32<70;=1;0g0>;2:;03;63:228;3>;2:=03;63:248;3>;2:?03;63:268;3>;2:103;63:3381`1=:=:914:525269<2=:=:?14:525249<2=:=:=14:5252:9<2=:=:314:5252c9<2=:==814:525519<2=:==>14:525579<2=:==<14:525559<2=:==214:5rs71;>5<5=r7=?l4=d79>7g>=0>16?o75869>7gg=0>16?ol5869>7ge=0>16?oj5869>7gc=0>16?oh5869>7f6=0>16?5=5869>7=2=0>16?5;5869>7=0=0>16?595869>7=>=0>16?575869>7=g=0>16?5l5869>727=0>16?:<5869>725=0>16?::5869>723=0>16?:85869>721=0>16?:65869>72?=0>16?>l5869>76e=0>16?>j5869>76c=0>16?>h5869>716=0>16?9?5869>714=0>16?9=5869~w23>2908wS9:9:?642<5=o1685h52708yv13k3:19>uQ75a891d>2>:019ln:628934a2>:01;:;:628935f2>:01;=m:62893572>:01;:::62893562>:01;:=:628934b2>:01;<::6c891`c2>:019hj:62891`a2>:018>?:62890662>:018>=:62890642>:018>;:62890622>:018>9:62890462>:018<=:62890442>:018<;:62890422>:018<9:62890402>:018<7:62890552>:018=<:62890532>:018=::62890512>:018=8:628905?2>:018=6:628905f2>:018:=:62890242>:018:;:62890222>:018:9:62890202>:018:7:62896d?2>:01>l6:62896df2>:01>lm:62896dd2>:01>lk:62896db2>:01>li:62896e72>:01>6<:62896>32>:01>6::62896>12>:01>68:62896>?2>:01>66:62896>f2>:01>6m:62896162>:01>9=:62896142>:01>9;:62896122>:01>99:62896102>:01>97:628961>2>:01>=m:628965d2>:01>=k:628965b2>:01>=i:62896272>:01>:>:62896252>:01>:<:628yv13<3:14vP8459>07b=:?8019<<:341?825?38=>63;288124=:<<21>;<4=704>70534>987<:f:p361=833>wS9<7:?71d<0827=?h480:?570<0827=?;480:?572<0827=?k480:?57f<0827>58480:?6=3<0827>m<480:?6ef<0827>n:480:?6g7<0827>oi480:?6`=<0827>ho480:?6`f<0827>5:480:?6==<0827>54480:?6=d<0827>5o480:?6=f<0827>5i480:?6=`<0827>5k480:?6e5<0827>m?480:?6e6<0827>m9480:?6e0<0827>m;480:?6e2<0827>m5480:?6e<<0827>ml480:?6eg<0827>mi480:?6e`<0827>mk480:?6f5<0827>n<480:?6f7<0827>n>480:?6f1<0827>n8480:?6f3<0827>n5480:?6f<<0827>nl480:?6fg<0827>nn480:?6fa<0827>nh480:?6fc<0827>o=480:?6g4<0827>o>480:?6g1<0827>o8480:?6g3<0827>o:480:?6g=<0827>o4480:?6gd<0827>oo480:?6gf<0827>oh480:?6gc<0827>h=480:?6`4<0827>h?480:?6`6<0827>h9480:?6`0<0827>h;480:?6`2<0827>h4480:?6`d<0827=>848f:?75<<0827?=l480:?75g<0827?=n480:?75a<0827?=h480:?75c<0827?>=480:?764<0827?>?480:?730<0827?;;480:?732<0827?;5480:?73<<0827?;l480:?73g<0827?;n480:?7<3<0827?4:480:?7<=<0827?44480:?7l480:?76g<0827?>k480:?760<0827?>5480:?0480:?0=1<08278mk480:?0f5<08278n<480:?0f7<08278n>480:?0f1<08278n8480:?0f3<08278n:480:?013<082789:480:?01=<0827894480:?01d<082789o480:?01f<082789i480:?01`<08278>4480:?06d<08278>o480:?06f<08278>i480:?06`<08278>k480:?075<08278?<480:p2<5=838pR;7<;<411?b43ty=5h4?:3y]26ik4}r4b=?6=:rT=m4526379a<=z{?h?6=4={_4a0>;1:<0m86s|6cd94?4|V?hm708=5;de?xu1kh0;6?uQ6bc8934228:j7p}9d483>7}Y>m?01;<::036?xu1l00;6?uQ6e;8934228;27p}9d`83>7}Y>mk01;<::03b?xu1lk0;6?uQ6e`8934228;i7p}99583>7}Y>0>01;<::e68yv0>=3:1>vP9949>273=l<1v;79:181[0>>27=>84k6:p2<1=838pR;78;<411?b03ty=554?:3y]2<><5?8>6i64}r4:=?6=:rT=54526379`<=z{?3j6=4={_4:e>;1:<0om6s|68`94?4|V?3i708=5;fa?xu11j0;6?uQ68a893422mi0q~86d;296~X11m16:?;5de9~w3?a2909wS86f:?56050;0xZ3g734<997k?;|q5e4<72;qU:l?4=706>`77>52z\5e7=:>;?1i?5rs7c0>5<5sW{t>h>1<77}Y>h?01;<::d78yv0f>3:1>vP9a79>273=m?1v;o8:181[0f?27=>84j7:p2d>=838pR;o7;<411?c?3ty=ml4?:3y]2dg<5?8>6ho4}r4bf?6=:rT=mo526379ag=z{?kh6=4={_4bg>;1:<0no6s|6`f94?4|V?ko708=5;gg?xu1il0;6?uQ6`g893422lo0q~8nf;296~X1io16:?;5eg9~w3d72909wS8m0:?560;|q5f7<72;qU:o<4=706>c452z\5f6=:>;?1j>5rs7`6>5<5sW{t>k<1<77}Y>k=01;<::g58yv0e03:1>vP9b99>273=n11v;l6:181[0e127=>84i9:p2gg=838pR;ln;<411?`f3ty=no4?:3y]2gd<5?8>6kl4}r4ag?6=:rT=nn526379bf=z{?ho6=4={_4a`>;1:<0mh6s|6cg94?4|V?hn708=5;df?xu1k90;6?uQ6b28934228:;7p}9c083>7}Y>j;01;<::022?xu1k;0;6?uQ6b08934228:97p}9c283>7}Y>j901;<::020?xu1k=0;6?uQ6b68934228:?7p}9c483>7}Y>j?01;<::026?xu1k?0;6?uQ6b48934228:=7p}9c683>7}Y>j=01;<::024?xu1k10;6?uQ6b:8934228:37p}9c883>7}Y>j301;<::02:?xu1kk0;6?uQ6b`8934228:i7p}9cb83>7}Y>ji01;<::02`?xu1km0;6?uQ6bf8934228:o7p}9cd83>7}Y>jo01;<::02f?xu1ko0;6?uQ6bd8934228:m7p}9d183>7}Y>m:01;<::033?xu1l80;6?uQ6e38934228;:7p}9d383>7}Y>m801;<::031?xu1l:0;6?uQ6e18934228;87p}9d583>7}Y>m>01;<::037?xu1l?0;6?uQ6e48934228;=7p}9d983>7}Y>m201;<::03;?xu3:o0;6ou243d96a0<5=:?6?;i;<1ge?42n278hn4=619>7ab=:?:01>jm:37e?85an38>j638h4=52a>73a34>;47<:f:p073=83;9w0:=5;0g2>;38=09:=523ec9636<5:nh6?;i;<1g`?42n278ho4=619>07b=:?;019<<:342?825?38=<63;28811c=:<<21>;=4=2de>707349mi7<:f:?74<<5>9168=l5272893402;<:70:=4;055>;38109:=5rs57a>5<5s4>3:76m;<41f?4dk2wx8;;50;;x91122;n=70:77;:a?823l38>j63;638127=:<;?4=543>70634>>i7<91:?71a<5>81688m524d8yv21>3:14v3;7781`3=:<1214o5245d9637<5=<96?8>;<66b?41:27?:=4=639>00c=:?8019;k:37e?xu3>>0;6:u246596a0<5=2265l4=56e>73a34>=>7<93:?71c<5>:168;>52718913b2;?m7p};6983>3}:<>21>i84=5:b>=d<5=?:6?8>;<656?41<27?9k4=5g9>036=:?>0q~:99;291~;3?009h;5249`98h4=541>73a34>=<7<:f:p03g=83;3>8099k524719637<5=;|q72g<72c21h019;<:37e?821;38>j63;658127=z{=27?4h47b:?710<5=o168;:524d8yv22?3:1>v3;5`8;f>;3=109on5rs57:>5<5s4>?n727>?>47b:?7ea<5>9169=k52708966?2;?m70;?b;055>;28j09:<5251c9637<5<:26?8>;<7311e=:70534?;i7<91:?64g<5>;169=m52708906f2;<970;?9;06b>{t=891<79t=400>7b134?8976m;<6bb?41827>15d=:?9018>l:340?837i38>j6s|50694?0|5<8?6?j9;<702?>e34>i=7<92:?64`<5>=169=l524d8906d2;0}:=;?1>i84=414>=d<5=h:6?8?;<73a?42n27>;2;103n63;b28127=:=9n1>8h4=42e>70634?:<7<91:p141=83?p18<8:3f5?834132i70:m3;054>;28o099k52502963454z?66=<5l?169>o58c9>0g3=:?:018??:37e?xu2:00;6nu252096a0<5=3j6?8=;<6b`?41:27>75>=:?:018>m:343?837k38=<63:0`8125=:=931>;>4=42;>70734??m7<:e:?7`=<5>91v827>8?47b:?7=f<5>;1v8;31j09:=5rs40g>5<4s4?8:7;2<<03n63;9d8125=z{<8m6=4<{<7027>8;47b:?7e5<5>;1v8=?:180834138o:63:468;f>;3i909:=5rs412>5<4s4?8m72908w0:m9;0g2>;28>09:=5249d9637i;7>53z?7f<6?j;;<402?4c<27=?:4=d59>217=:ji0q~8;3;296~;1<;03n6392e81gf=z{?>=6=4<{<40e?4c<27=?o4=d59>211=:ji0q~853z?57c27e=:?:0q~8;i1>8h4=762>73a3ty=884?:3y>213=:m<01;:8:343?xu1<=0;6>u26579=>1>i84=70g>7073ty=8?4?:5y>212=0k16:9<52e48934c2;?m708;7;06b>{t>:;1<7=d<5?9:6?j9;|q56c<72;q6:>>58c9>27`=:m<0q~8=6;296~;1:<099=5263596fe52z?6=084=079~w0c?2909w0;66;:a?805=38:=6s|5g194?4|577d3ty>jh4?:3y>1de=0k16:?;52358yv0713:1>v3:b68;f>;1:<09??5rs737>5<5s4?h>76m;<411?44l2wx:;?1>9m4}r417?6=:r7>ho47b:?560<5{t=mo1<7=d<5?8>6?>8;|q6`c<72;q694658c9>273=:920q~;j0;296~;21003n63924814<=z{e34<9977}:=0i14o52637965e52z?6=a84=0e9~w0c22909w0;6e;:a?805=38;i6s|5d494?4|5<3m65l4=706>76a3ty>i:4?:3y>1d6=0k16:?;52028yv3b13:1>v3:a38;f>;1:<09=?5rs4gb>5<5s4?j?76m;<411?46;2wx9hl50;0x90g321h01;<::337?xu2mj0;6?u25`79;?1><;4}r7f`?6=:r7>m;47b:?560<59?1v8kj:18183f?32i708=5;023>{t=ll1<7=d<5?8>6??7;|q6b5<72;q69l758c9>273=:830q~;i1;296~;2ih03n63924815d=z{e34<997<>b:p1c2=838p18ok:9`893422;;o7p}:f483>7}:=ho14o52637964c52z?6ec84=1g9~w0`02909w0;m0;:a?805=389<6s|5g:94?4|57463ty>j44?:3y>1g4=0k16:?;52308yv3ai3:1>v3:b28;f>;1:<09>>5rs4da>5<5s4?i876m;<411?45<2wx9km50;0x90d221h01;<::306?xu2nm0;6?u25c49;?1>?84}r7eb?6=:r7>n547b:?560<5:11v;>?:18183e132i708=5;01=>{t>9;1<7=d<5?8>6?273=:;h0q~8?3;296~;2jj03n63924816f=z{?:?6=4={<7a`?>e34<997<=d:p253=838p18lj:9`893422;8n7p}90783>7}:=kl14o52637967`52z?6g584=319~w36?2909w0;l1;:a?805=388=6s|61c94?4|57543ty=1f2=0k16:?;52268yv07k3:1>v3:c48;f>;1:<09?85rs72g>5<5s4?h:76m;<411?44>2wx:=k50;0x90e021h01;<::314?xu18o0;6?u25b:9;?1>>64}r424?6=:r7>o447b:?560<5;01v;?>:18183di32i708=5;00e>{t>881<7=d<5?8>6?=m;|q556<72;q69nm58c9>273=::i0q~8>5;296~;2kl03n63924817`=z{?;=6=4={<7`b?>e34<997<;7p}91983>7}:=m;14o52637961752z?6`784=439~w37f2909w0;k3;:a?805=38??6s|60`94?4|57233ty==n4?:3y>1a3=0k16:?;52578yv06l3:1>v3:d78;f>;1:<098;5rs73f>5<5s4?o;76m;<411?43?2wx:?>50;0x90b>21h01;<::36:?xu1:80;6?u25ec9;?1>9l4}r6:7?6=:r7?55483:?7=2<5kj1v97=:18182>>38ho63;96847>{t<0;1<77ed34>2:79<;|q7=5<72;q684:52ba891?22>90q~:6f;296~;31>09j?524`296fe2h7>52z?7=3<5n;1684k52ba8yv2>j3:1>v3;9481b7=:<0i1>nm4}r6:=?6=:r7?594=f39>0j:7>52z?7eg<0;27?ml4=cb9~w1g22909w0:n9;0`g>;3ih04?:3y>0d1=:ji019o7:618yv2e:3:1>v3;a`81b7=:nm4}r6a4?6=:r7?m44=f39>0g7=:ji0q~:ne;296~;3i109j?524`d96fejo7>52z?7e2<5n;168lj52ba8yv2e<3:1>v3;ac81b7=:nm4}r607?6=:r7??5483:?772<5kj1v9==:181824>38ho63;36847>{t<:;1<77ed34>8:79<;|q775<72;q68>:52ba891522>90q~:09j?5245296fe8h7>52z?773<5n;168>k52ba8yv24j3:1>v3;3481b7=:<:i1>nm4}r60=?6=:r7??94=f39>06g=:ji0q~:;1;296~;3;109j?5245096fe?:7>52z?70g<0;27?8l4=cb9~w1222909w0:;9;0`g>;336?ml;<67=?143ty?8>4?:3y>011=:ji019:7:618yv22:3:1>v3;4`81b7=:<<91>nm4}r664?6=:r7?844=f39>007=:ji0q~:;e;296~;3<109j?5245d96fe?o7>52z?702<5n;1689j52ba8yv22<3:1>v3;4c81b7=:<nm4}r634?6=:r78ji4=cb9>052=:?>0q~=k9;297~;4n>09on5241`9637<5=:o6?;i;|q0`=<72=q6?k852ba8916>2;<:70:?b;056>;38m09:=5rs2f4>5<2s49m978168=752708916e2;<870:?d;055>{t;m<1<78t=2d7>7ed34>;87<93:?747<5>9168=75271891602;?m70:?d;056>{t;m?1<79t=2d0>7ed34>;87<92:?0bc<5>8168=<5273891612;?m70:?7;054>;38m09:>5rs2f7>57816?ij5273896`a2;;38?09:=524159637<5=:36?8>;|q0`6<720q6?k?52ba896`c2;<;70=kc;056>;4lm09:>523gd9635<5=:96?8<;<632?41927?<:4=639>05>=:?80q~=k2;29e~;4n909on523gf960`<5:nh6?8>;<1g`?41:278ho4=609>7c`=:?8019>=:347?827>38=>63;068126=:<921>;=4}r1fa?6=:r78ih4=cb9>7c1=:k>0q~=j5;296~;4m<09on523g5936=z{:oo6=4<{<1f`?4dk278i84=f39>7c0=:k>0q~=j4;297~;4m=09on523d7936=:;o<1;>5rs2g`>5<4s49no71;>523g7936=z{:oi6=4<{<1ff?4dk278i>4=f39>7c2=:k>0q~=j2;297~;4m;09on523d1936=:;o>1;>5rs2gb>5<4s49nm7523g1936=z{:o26=4<{<1f=?4dk278i<4=f39>7c4=:k>0q~=j0;297~;4m909on523d3936=:;o81;>5rs2g;>5<4s49n47523g3936=z{:o<6=4<{<1f3?4dk278hk4=f39>7c6=:k>0q~=ke;297~;4ll09on523ed936=:;o:1;>5rs2g5>5<4s49n:7v3;188;f>;4lh09on5rs2d:>5<5s4>:m76m;<1gf?4dk2wx?ko50;0x917e21h01>jl:3a`?xu4nk0;6?u240a9nm4}r1eg?6=:r7?=i47b:?0bc<5kj1v9>>:181826m32i70:?2;0`g>{t<991<7=d<5=:?6?ml;|q740<72;q68?>58c9>05?=:ji0q~:?a;296~;3:803n63;0c81gf=z{=:h6=4={<616?>e34>;h7ki:3a`?85ci38==6s|47394?2|5=<:6?8?;<657?41827?:94=619>034=:ji0q~:9d;296~;3?<03n63;5b81gf=z{=e34>>h77}:<>214o5244d96fe<=7>52z?73<7ed3ty?;94?:3y>02e=0k168;:52ba8yv25>3:1>v3;248;f>;3:>09on5rs50`>5<4s4>9m76m;<61f?>e34>9h7>4=619>071=:?;019<6:343?822038==639268125=z{=8j6=4={<61e?4c>27?>i4=5g9~w1e?2908w0:l9;0`g>;3ko09:9524cf9632io7>52z?643;3ko099k524cf960`h?7>54z?7a=<5kj168nk524d891ea2;<;70:md;054>{t7ed34>hh7<:f:?7g`<5>9168nh5273891dc2;<:7p};c083>3}:nm4=5a`>73a34>hh7<93:?7g`<5>8168nh5270891dc2;<97p};c183>2}:nm4=5`g>70434>ho7<93:?7ga<5>=168nk5270891ea2;<870:lb;056>{t7ed34>h;7<:f:?7g<<5=o168nm5272891ec2;<;70:le;057>;3kk099k5rs5`f>5n?78168n75273891e12;?m70:lc;056>;3km09:?524bc960`<5=ii6?8?;|q7fg<720q68h<52ba891e02;<;70:l9;054>;3k?09:=524b`9637<5=ih6?8>;<6``?41927?ol4=619>0f3=:o;7>52z?7`2<5kj168h75729~w1ba2908w0:kf;0`g>;3l>09j?524d:96g2o:7>53z?7`3<5kj168i95729>0`>=?:1v9jj:18082cm38ho63;d781b7=:o:4}r6g1?6=;r7?h84=cb9>0a0=?:168h95729~w1bc2908w0:kd;0`g>;3l<09j?524d496g2o87>53z?7`1<5kj168i;5729>0`0=?:1v9jl:18082ck38ho63;d581b7=:o:4}r6g7?6=;r7?h>4=cb9>0a2=?:168h;5729~w1be2908w0:kb;0`g>;3l:09j?524d696g2o>7>53z?7`7<5kj168i=5729>0`2=?:1v9jn:18082ci38ho63;d381b7=:o:4}r6g5?6=;r7?h<4=cb9>0a4=?:168h=5729~w1b>2908w0:k1;0e6>;3m;09n9524e;96feo<7>53z?7`5<5kj168i?5729>0`4=?:1v9j7:18082c838m>63;e081f1=:nm4}r6fe?6=:r7?jh47b:?7g0<5kj1v9km:18182an32i70:l6;0`g>{t=d<5=i<6?ml;|q7aa<72;q69=?58c9>0fd=:ji0q~:je;296~;28;03n63;cb81gf=z{=om6=4={<737?>e34>hh7;:9`891eb2;ih7p};f083>7}:=9?14o524bd96fem>7>52z?7a4<5kj168kj58c9~w06c290?w0;?d;054>;28o09:=525029636<5<:n6?ml;|q65<<72;q69??58c9>15>=:ji0q~;>a;296~;2:;03n63:0881gf=z{<;i6=4={<717?>e34?;m77}:=;?14o5251a96fe52z?6637ed3ty8o:4?:3y>7g6=0k16?n;52ba8yv5d<3:1>v3;4k:09on5rs2a1>5<5s49i>76m;<1`5?4dk2wx?i?50;0x96d421h01>j?:3a`?xu4ko0;6>u23c69nm4=2a;>7033ty8on4?:3y>7g3=0k16?nj52ba8yv5di3:1?v3;4kk09on523b1963252z?0<1n38ho6s|38g94?4|5:2=65l4=2;g>7ed3ty85n4?:3y>7=1=0k16?4l52ba8yv5>i3:1?v3<898;f>;41009on523`6963252z?0<<>38ho63<9g8121=z{:;;6=4={<1;g?>e349;<76k:9`896662;ih7p}<1383>7}:;1o14o5231096fe52z?04=cb9~w6732909w0=60;:a?857<38ho6s|30794?4|5:3:65l4=226>7ed3ty8=;4?:3y>7<4=0k16?=852ba8yv56?3:1>v3<928;f>;48>09on5rs23;>5<5s49i476m;<1321h01>>6:3a`?xu49h0;6?u23cc9nm4}r12f?6=:r78no47b:?04g<5kj1v>?l:18185ek32i70=?c;0`g>{t;8n1<7=d<5::o6?ml;|q05`<72;q6?ok58c9>75c=:ji0q~=>f;296~;4jo03n63<0g81gf=z{:i26=4={<1bb?>e349h476<:9`896g32;ih7p}<3383>7}:;:h14o5239a96a052z?07f7b13ty8?;4?:3y>76`=0k16?4>52e48yv54?3:1>v3<418;f>;41809h;5rs21;>5<5s49?=76m;<1:6?4c>2wx?>750;0x962521h01>7<:3f5?xu4;h0;6?u235191>i84}r17`?6=:r789;4=d79>7f>=:?90q~=;e;297~;4=>09h;523b:9636<5:i>6?8?;|q00c<72=q6?8652e4896e?2;?m70=l3;06b>;4k<099k5rs273>5<2s49>57{t;<;1<78t=27b>7b1349o<7<:f:?0g4<5>916?n65273896e42;<;70=l5;055>{t;<81<78t=27a>7b1349hi7<:f:?0`5<5>916?n?5273896e42;<870=l6;06b>{t;<91<79t=27`>7b1349hh7<91:?0g`<5>916?i>5273896e62;<970=l3;056>;4k<09:>5rs277>5<0s49>h7;4k809:>523b4963797>58z?0f27fd=:?:01>mk:343?85dm38=>63;:4=2a5>7073ty8894?:3y>700=0k16??752e48yv53=3:1>v3<568;f>;4:h09h;5rs265>5<5s49>476m;<11f?4c>2wx?9950;0x963>21h01>i84}r17=?6=:r789o47b:?06`<5l?1v>:n:181852k32i70==f;0g2>{t;=h1<7=d<5:9;6?j9;|q00f<72;q6?8k58c9>767=:m<0q~==0;296~;4:003n63e349i577}:;;i14o523c`96a052z?06a7b13ty8>:4?:3y>766=0k16?oh52e48yv5503:1>v3<308;f>;4k909h;5rs24;>5<5s49<=7:1v>86:180850:38o:63;>4}r15e?6=4=d79>7d2=:7i:37e?85f938>j6s|37`94?3|5:=?6?j9;<1:`?42n278m94=639>7<`=:?;01>o>:341?xu4>j0;6;u236796a0<5:3i6?;i;<1:`?418278m94=609>7<`=:?:01>o>:342?xu4>m0;6;u236496a0<5:326?;i;<1:f?4182785i4=609>7<`=:?901>o=:37e?xu4>l0;6:u236596a0<5:336?8>;<1:=?4182785o4=609>77i:341?85f938=?6s|37d94?1|5:=36?j9;<1:2?42n278554=5g9>77m:341?85>l38=?63e349<57916?465272896?>2;<970=6b;057>;41m09:9523`09636j7>52z?0347b13ty8:?4?:3y>722=0k16?>k52e48yv51;3:1>v3<748;f>;4;o09h;5rs247>5<5s49<:76m;<174?4c>2wx?;;50;0x961021h01>:>:3f5?xu4>?0;6?u236:9i84}r153?6=:r78;447b:?006<5l?1v8:6:187805=3>j70:k0;;3?834:32i70;;a;0`g>{t==h1<7=t=706>06<5=n:64>4=46`>7ed3ty9o44?:3y>7d4=:ji01>o>:347?xu5k:0;6?u23b496fe<5:i>6?8;;|q1g1<72;q68no52ba891eb2;7}:;oo1>nm4=521>73a3ty9o;4?:3y>050=:ji019>6:347?xu5k>0;6?u241596fe<5=:i6?8;;|q1g=<72;q68=652ba8916c2;37p`le283>4}O>=20qcmj4;296~N1<11vbnk::182M0302weoh850;3xL32?3tdhi:4?:0yK21>51zJ50==zfjo26=4>{I47<>{iklk1<7?tH76;?xhdmk0;65<6sA37p`lf283>4}O>=20qcmi4;295~N1<11vbnh::182M0302weok850;3xL32?3tdhj:4?:2yK21>51zJ50==zfjl26=4>{I47<>{ikok1<7?tH76;?xhdnk0;65<6sA37p`k0283>7}O>=20qcj?4;296~N1<11vbi>::181M0302weh=850;0xL32?3tdo<:4?:3yK21>52zJ50==zfm:26=4={I47<>{il9k1<7?tH76;?xhc8k0;65<6sA37p`k1283>4}O>=20qcj>4;295~N1<11vbi?::182M0302weh<850;3xL32?3tdo=:4?:0yK21>52zJ50==zfm;26=4={I47<>{il8k1<7vF9499~ja7c2909wE8;8:m`4c=838pD;:7;|lg5c<72;qC:964}of14?6=:rB=855rne02>5<6sA37p`k2283>4}O>=20qcj=4;295~N1<11vbi<::182M0302weh?850;3xL32?3tdo>:4?:0yK21>51zJ50==zfm826=4>{I47<>{il;k1<7?tH76;?xhc:k0;65<6sA37p`k3283>4}O>=20qcj<4;295~N1<11vbi=::182M0302weh>850;3xL32?3tdo?:4?:0yK21>51zJ50==zfm926=4>{I47<>{il:k1<7?tH76;?xhc;k0;65<6sA37p`k4283>4}O>=20qcj;4;295~N1<11vbi:::182M0302weh9850;3xL32?3tdo8:4?:0yK21>51zJ50==zfm>26=4>{I47<>{il=k1<7?tH76;?xhc5<6sA37p`k5283>4}O>=20qcj:4;295~N1<11vbi;::182M0302weh8850;3xL32?3tdo9:4?:0yK21>47>51zJ50==zfm?26=4>{I47<>{il5<6sA37p`k6283>4}O>=20qcj94;295~N1<11vbi8::182M0302weh;850;3xL32?3tdo::4?:0yK21>51zJ50==zfm<26=4>{I47<>{il?k1<7?tH76;?xhc>k0;65<5sA37p`k7283>7}O>=20qcj84;296~N1<11vbi9::181M0302weh:850;0xL32?3tdo;:4?:3yK21>52zJ50==zfm=26=4={I47<>{il>k1<7vF9499~ja1c2909wE8;8:m`2c=838pD;:7;|lg3c<72;qC:964}of;4?6=:rB=855rne:2>5<5sA37p`k8283>7}O>=20qcj74;296~N1<11vbi6::181M0302weh5850;0xL32?3tdo4:4?:3yK21>52zJ50==zfm226=4={I47<>{il1k1<7vF9499~ja>c2909wE8;8:m`=c=838pD;:7;|lg5<5sA37p`k9283>7}O>=20qcj64;296~N1<11vbi7::181M0302weh4850;0xL32?3tdo5:4?:3yK21>52zJ50==zfm326=4={I47<>{il0k1<7k3:1>vF9499~ja?c2909wE8;8:m`5<5sA37p`ka283>7}O>=20qcjn4;296~N1<11vbio::181M0302wehl850;0xL32?3tdom:4?:3yK21>52zJ50==zfmk26=4={I47<>{ilhk1<7vF9499~jagc2909wE8;8:m`dc=838pD;:7;|lgec<72;qC:964}ofa4?6=:rB=855rne`2>5<5sA37p`kb283>7}O>=20qcjm4;296~N1<11vbil::181M0302weho850;0xL32?3tdon:4?:3yK21>52zJ50==zfmh26=4={I47<>{ilkk1<75<6sA37p`kc283>4}O>=20qcjl4;295~N1<11vbim::182M0302wehn850;3xL32?3tdoo:4?:0yK21>51zJ50==zfmi26=4>{I47<>{iljk1<7?tH76;?xhckk0;65<6sA37p`kd283>4}O>=20qcjk4;295~N1<11vbij::182M0302wehi850;3xL32?3tdoh:4?:0yK21>51zJ50==zfmn26=4>{I47<>{ilmk1<7?tH76;?xhclk0;65<6sA37p`ke283>4}O>=20qcjj4;295~N1<11vbik::182M0302wehh850;3xL32?3tdoi:4?:0yK21>51zJ50==zfmo26=4>{I47<>{illk1<7?tH76;?xhcmk0;6?uG65:8ykbbk3:1>vF9499~jacc2909wE8;8:m``c=838pD;:7;|lgac<72;qC:964}ofe4?6=:rB=855rned2>5<5sA37p`kf283>7}O>=20qcji4;296~N1<11vbih::181M0302wehk850;0xL32?3tdoj:4?:3yK21>52zJ50==zfml26=4={I47<>{ilok1<7vF9499~ja`c2909wE8;8:m`cc=838pD;:7;|lgbc<72;qC:964}og34?6=:rB=855rnd22>5<5sA37p`j0283>7}O>=20qck?4;296~N1<11vbh>::181M0302wei=850;0xL32?3tdn<:4?:3yK21>52zJ50==zfl:26=4={I47<>{im9k1<7vF9499~j`6c2909wE8;8:ma5c=838pD;:7;|lf4c<72;qC:964}og24?6=:rB=855rnd32>5<5sA37p`j1283>7}O>=20qck>4;296~N1<11vbh?::181M0302wei<850;0xL32?3tdn=:4?:3yK21>52zJ50==zfl;26=4={I47<>{im8k1<7vF9499~j`7c2909wE8;8:ma4c=838pD;:7;|lf5c<72;qC:964}og14?6=:rB=855rnd02>5<5sA37p`j2283>7}O>=20qck=4;296~N1<11vbh<::181M0302wei?850;0xL32?3tdn>:4?:3yK21>52zJ50==zfl826=4={I47<>{im;k1<7vF9499~j`4c2909wE8;8:ma7c=838pD;:7;|lf6c<72;qC:964}og04?6=:rB=855rnd12>5<5sA37p`j3283>7}O>=20qck<4;295~N1<11vbh=::182M0302wei>850;3xL32?3tdn?:4?:0yK21>51zJ50==zfl926=4>{I47<>{im:k1<7?tH76;?xhb;k0;65<6sA37p`j4283>4}O>=20qck;4;295~N1<11vbh:::182M0302wei9850;3xL32?3tdn8:4?:0yK21>51zJ50==zfl>26=4>{I47<>{im=k1<7?tH76;?xhb5<6sA37p`j5283>4}O>=20qck:4;295~N1<11vbh;::182M0302wei8850;3xL32?3tdn9:4?:0yK21>47>51zJ50==zfl?26=4>{I47<>{im5<6sA37p`j6283>4}O>=20qck94;295~N1<11vbh8::182M0302wei;850;3xL32?3tdn::4?:0yK21>51zJ50==zfl<26=4>{I47<>{im?k1<7?tH76;?xhb>k0;65<6sA37p`j7283>4}O>=20qck84;295~N1<11vbh9::182M0302wei:850;3xL32?3tdn;:4?:0yK21>51zJ50==zfl=26=4>{I47<>{im>k1<7?tH76;?xhb?k0;65<6sA37p`j8283>4}O>=20qck74;295~N1<11vbh6::182M0302wei5850;3xL32?3tdn4:4?:0yK21>51zJ50==zfl226=4>{I47<>{im1k1<7?tH76;?xhb0k0;6c290:wE8;8:ma=c=83;pD;:7;|lf5<6sA37p`j9283>4}O>=20qck64;295~N1<11vbh7::182M0302wei4850;3xL32?3tdn5:4?:0yK21>51zJ50==zfl326=4>{I47<>{im0k1<7?tH76;?xhb1k0;6k3:1=vF9499~j`?c290:wE8;8:ma5<6sA37p`ja283>4}O>=20qckn4;295~N1<11vbho::182M0302weil850;3xL32?3tdnm:4?:0yK21>51zJ50==zflk26=4>{I47<>{imhk1<7?tH76;?xhbik0;65<6sA37p`jb283>4}O>=20qckm4;295~N1<11vbhl::182M0302weio850;3xL32?3tdnn:4?:0yK21>51zJ50==zflh26=4>{I47<>{imkk1<7?tH76;?xhbjk0;65<6sA37p`jc283>4}O>=20qckl4;295~N1<11vbhm::182M0302wein850;3xL32?3tdno:4?:0yK21>51zJ50==zfli26=4>{I47<>{imjk1<7?tH76;?xhbkk0;65<6sA37p`jd283>4}O>=20qckk4;295~N1<11vbhj::182M0302weii850;3xL32?3tdnh:4?:0yK21>51zJ50==zfln26=4>{I47<>{immk1<7?tH76;?xhblk0;65<6sA37p`je283>4}O>=20qckj4;295~N1<11vbhk::182M0302weih850;3xL32?3tdni:4?:0yK21>51zJ50==zflo26=4>{I47<>{imlk1<7?tH76;?xhbmk0;65<6sA37p`jf283>4}O>=20qcki4;295~N1<11vbhh::182M0302weik850;3xL32?3tdnj:4?:0yK21>51zJ50==zfll26=4>{I47<>{imok1<7?tH76;?xhbnk0;65<6sA37p`i0283>4}O>=20qch?4;295~N1<11vbk>::182M0302wej=850;3xL32?3tdm<:4?:0yK21>51zJ50==zfo:26=4>{I47<>{in9k1<7?tH76;?xha8k0;65<6sA37p`i1283>4}O>=20qch>4;295~N1<11vbk?::182M0302wej<850;3xL32?3td=?i4?:0yK21>7>3n8o;opNOBz2~DEV|uIJ \ No newline at end of file diff --git a/fpga/usrp3/top/b200/sim/b200_io_tb.v b/fpga/usrp3/top/b200/sim/b200_io_tb.v new file mode 100644 index 000000000..647612a2d --- /dev/null +++ b/fpga/usrp3/top/b200/sim/b200_io_tb.v @@ -0,0 +1,155 @@ +`timescale 1ns/1ps + + module b200_io_tb(); + + // + // Xilinx Mandatory Simulation Primitive for global signals. + // + wire GSR, GTS; + glbl glbl( ); + + // + // Test bench declarations + // + reg [7:0] count; + wire [11:0] i0 = {4'hA,count}; + wire [11:0] q0 = {4'hB,count}; + wire [11:0] i1 = {4'hC,count}; + wire [11:0] q1 = {4'hD,count}; + reg tb_clk = 0; + + // RX sample bus. + reg rx_clk = 0; // Simulated clock from AD9361 for RX sample interface, radio_clk derived from this. + reg rx_frame; + reg [11:0] rx_data; + // TX sample bus. + wire tx_clk; + wire tx_frame; + wire [11:0] tx_data; + // Internal FPGA interface(s) + reg reset = 1; + wire radio_clk; + reg mimo; + wire [11:0] rx_i0, rx_q0, rx_i1, rx_q1; + reg [11:0] tx_i0, tx_q0, tx_i1, tx_q1; + + + // Set tb_clk to 100MHz. + // rx_clk is half the frequency of tb_clk, and tb_clk posedges are miday between edges on the rx_clk + always #10 tb_clk = ~tb_clk; + always @(negedge tb_clk) rx_clk <= ~rx_clk; + + + b200_io dut + ( + .reset(reset), + .mimo(mimo), + + // Baseband sample interface + .radio_clk(radio_clk), + .rx_i0(rx_i0), + .rx_q0(rx_q0), + .rx_i1(rx_i1), + .rx_q1(rx_q1), + .tx_i0(tx_i0), + .tx_q0(tx_q0), + .tx_i1(tx_i1), + .tx_q1(tx_q1), + + // Catalina interface + .rx_clk(rx_clk), + .rx_frame(rx_frame), + .rx_data(rx_data), + .tx_clk(tx_clk), + .tx_frame(tx_frame), + .tx_data(tx_data) + ); + + // Internal Loopback Rx -> Tx. + always @(posedge radio_clk) + begin + tx_i0 <= rx_i0; + tx_q0 <= rx_q0; + tx_i1 <= rx_i1; + tx_q1 <= rx_q1; + end + + // + // Task's for stimulus + // + + task siso_burst; + input [7:0] len; + begin + rx_frame <= 0; + mimo <= 0; + count <= 0; + // Now give configuration a chance to perculate + @(posedge rx_clk); + @(posedge rx_clk); + @(posedge rx_clk); + @(posedge rx_clk); + // Now entering main stimulus loop just after rising edge of rx_clk + repeat(len) + begin + // Drive I data so that it surrounds a falling edge on rx_clk + @(posedge tb_clk); + rx_data <= i0; + rx_frame <= 1; + // Drive Q data so that it surrounds a rising edge on rx_clk + @(posedge tb_clk); + rx_data <= q0; + rx_frame <= 0; + // Increment test data pattern + count <= count + 1; + end // repeat (len) + @(posedge rx_clk); + @(posedge rx_clk); + end + + endtask // BURST + + + task mimo_burst; + input [7:0] len; + begin + rx_frame <= 0; + mimo <= 1; + count <= 0; + // Now give configuration a chance to perculate + @(posedge rx_clk); + @(posedge rx_clk); + @(posedge rx_clk); + @(posedge rx_clk); + // Now entering main stimulus loop just after rising edge of rx_clk + repeat(len) + // REMEMBER! B210 PCB markings for radio channels are swapped w.r.t AD9361's channels. + // "Ch0" as indicated here is "Ch1" inside AD9361 + begin + // Drive I data for Ch1 so that it surrounds a falling edge on rx_clk + @(posedge tb_clk); + rx_data <= i1; + rx_frame <= 1; + // Drive Q data for Ch1 so that it surrounds a rising edge on rx_clk + @(posedge tb_clk); + rx_data <= q1; + // Drive I data for Ch0 so that it surrounds a falling edge on rx_clk + @(posedge tb_clk); + rx_data <= i0; + rx_frame <= 0; + // Drive Q data for Ch0 so that it surrounds a rising edge on rx_clk + @(posedge tb_clk); + rx_data <= q0; + // Increment test data pattern + count <= count + 1; + end + @(posedge rx_clk); + @(posedge rx_clk); + end + endtask // MIMO_BURST + + // Pull in local simulation script here. +`include "simulation_script.v" + + +endmodule // b200_io_tb diff --git a/fpga/usrp3/top/b200/sim/b200_tb.v b/fpga/usrp3/top/b200/sim/b200_tb.v new file mode 100644 index 000000000..62037ba94 --- /dev/null +++ b/fpga/usrp3/top/b200/sim/b200_tb.v @@ -0,0 +1,213 @@ +module b200_tb (); + + wire cat_ce; + wire cat_miso; + wire cat_mosi; + wire cat_sclk; + + wire fx3_ce; + wire fx3_miso; + wire fx3_mosi; + wire fx3_sclk; + + wire pll_ce; + wire pll_mosi; + wire pll_sclk; + + // UART + wire FPGA_RXD0; + wire FPGA_TXD0; + + // Catalina Controls + wire codec_enable; + wire codec_en_agc; + wire codec_reset; + wire codec_sync; + wire codec_txrx; + wire [3:0] codec_ctrl_in; // These should be outputs + wire [7:0] codec_ctrl_out; // MUST BE INPUT + + // Catalina Data + wire codec_data_clk_p; // Clock from CAT (RX) + wire codec_fb_clk_p; // Clock to CAT (TX) + wire [11:0] rx_codec_d; + wire [11:0] tx_codec_d; + wire rx_frame_p; + wire tx_frame_p; + + wire cat_clkout_fpga; + + //always on 40MHz clock + wire codec_main_clk_p; + wire codec_main_clk_n; + + // Debug Bus + wire [31:0] debug; + wire [1:0] debug_clk; + + // GPIF; FX3 Slave FIFO + wire IFCLK; // pclk + wire FX3_EXTINT; + wire GPIF_CTL0; // n_slcs + wire GPIF_CTL1; // n_slwr + wire GPIF_CTL2; // n_sloe + wire GPIF_CTL3; // n_slrd + wire GPIF_CTL7; // n_pktend + wire GPIF_CTL4; // slfifo_flags[0] + wire GPIF_CTL5; // slfifo_flags[1] + wire GPIF_CTL6; // slfifo_flags[2] + wire GPIF_CTL8; // slfifo_flags[3] + wire GPIF_CTL11; // slfifo_addr[1] + wire GPIF_CTL12; // slfifo_addr[0] + wire [31:0] GPIF_D; + wire GPIF_CTL9; // global_reset + + // GPS + wire gps_lock; + wire gps_rxd; + wire gps_txd; + wire gps_txd_nmea; + + // LEDS + wire LED_RX1; + wire LED_RX2; + wire LED_TXRX1_RX; + wire LED_TXRX1_TX; + wire LED_TXRX2_RX; + wire LED_TXRX2_TX; + + // Misc Hardware Control + wire ref_sel; + wire pll_lock; + wire FPGA_CFG_CS; // Driven by FX3 gpio. + wire AUX_PWR_ON; // Driven by FX3 gpio. + + // PPS + wire PPS_IN_EXT; + wire PPS_IN_INT; + + // RF Hardware Control + wire SFDX1_RX; + wire SFDX1_TX; + wire SFDX2_RX; + wire SFDX2_TX; + wire SRX1_RX; + wire SRX1_TX; + wire SRX2_RX; + wire SRX2_TX; + wire tx_bandsel_a; + wire tx_bandsel_b; + wire tx_enable1; + wire tx_enable2; + wire rx_bandsel_a; + wire rx_bandsel_b; + wire rx_bandsel_c; + + + b200 b200_i1( + // SPI Interfaces + .cat_ce(), + .cat_miso(), + .cat_mosi(), + .cat_sclk(), + + .fx3_ce(), + .fx3_miso(), + .fx3_mosi(), + .fx3_sclk(), + + .pll_ce(), + .pll_mosi(), + .pll_sclk(), + + // UART + .FPGA_RXD0(), + .FPGA_TXD0(), + + // Catalina Controls + .codec_enable(), + .codec_en_agc(), + .codec_reset(), + .codec_sync(), + .codec_txrx(), + .codec_ctrl_in(), // These should be outputs + .codec_ctrl_out(), // MUST BE INPUT + + // Catalina Data + .codec_data_clk_p(), // Clock from CAT (RX) + .codec_fb_clk_p(), // Clock to CAT (TX) + .rx_codec_d(), + .tx_codec_d(), + .rx_frame_p(), + .tx_frame_p(), + + .cat_clkout_fpga(), + + //always on 40MHz clock + .codec_main_clk_p(), + .codec_main_clk_n(), + + // Debug Bus + .debug(), + .debug_clk(), + + // GPIF, FX3 Slave FIFO + .IFCLK(), // pclk + .FX3_EXTINT(), + .GPIF_CTL0(), // n_slcs + .GPIF_CTL1(), // n_slwr + .GPIF_CTL2(), // n_sloe + .GPIF_CTL3(), // n_slrd + .GPIF_CTL7(), // n_pktend + .GPIF_CTL4(), // slfifo_flags[0] + .GPIF_CTL5(), // slfifo_flags[1] + .GPIF_CTL6(), // slfifo_flags[2] + .GPIF_CTL8(), // slfifo_flags[3] + .GPIF_CTL11(), // slfifo_addr[1] + .GPIF_CTL12(), // slfifo_addr[0] + .GPIF_D(), + .GPIF_CTL9(), // global_reset + + // GPS + .gps_lock(), + .gps_rxd(), + .gps_txd(), + .gps_txd_nmea(), + + // LEDS + .LED_RX1(), + .LED_RX2(), + .LED_TXRX1_RX(), + .LED_TXRX1_TX(), + .LED_TXRX2_RX(), + .LED_TXRX2_TX(), + + // Misc Hardware Control + .ref_sel(), + .pll_lock(), + .FPGA_CFG_CS(), // Driven by FX3 gpio. + .AUX_PWR_ON(), // Driven by FX3 gpio. + + // PPS + .PPS_IN_EXT(), + .PPS_IN_INT(), + + // RF Hardware Control + .SFDX1_RX(), + .SFDX1_TX(), + .SFDX2_RX(), + .SFDX2_TX(), + .SRX1_RX(), + .SRX1_TX(), + .SRX2_RX(), + .SRX2_TX(), + .tx_bandsel_a(), + .tx_bandsel_b(), + .tx_enable1(), + .tx_enable2(), + .rx_bandsel_a(), + .rx_bandsel_b(), + .rx_bandsel_c() + ); + +endmodule // b200_tb diff --git a/fpga/usrp3/top/b200/sim/b2x0/sim_b2x0_1/run_isim b/fpga/usrp3/top/b200/sim/b2x0/sim_b2x0_1/run_isim new file mode 100755 index 000000000..dd9215934 --- /dev/null +++ b/fpga/usrp3/top/b200/sim/b2x0/sim_b2x0_1/run_isim @@ -0,0 +1,22 @@ +vlogcomp -work work ${XILINX}/verilog/src/glbl.v + +vlogcomp -work work --sourcelibext .v \ + --sourcelibdir ../../../lib/axi \ + --sourcelibdir ../../../lib/fifo \ + --sourcelibdir ../../../lib/control \ + --sourcelibdir ../../../top/b200/coregen \ + --sourcelibdir ../../../top/b200 \ + --sourcelibdir ../../../lib/timing \ + --sourcelibdir ../../../lib/vita \ + --sourcelibdir ../../../lib/packet_proc \ + --sourcelibdir ../../../lib/dsp \ + --sourcelibdir ../../../lib/wishbone \ + --sourcelibdir ../../../lib/gpif2 \ + ../../../top/b200/b200_tb.v + + + +fuse work.b200_tb work.glbl -L unisims_ver -L xilinxcorelib_ver -o b200_tb.exe + +# run the simulation scrip +./b200_tb.exe # -gui #-tclbatch simcmds.tcl diff --git a/fpga/usrp3/top/b200/sim/sim_b200_io/mimo/mimo.wcfg b/fpga/usrp3/top/b200/sim/sim_b200_io/mimo/mimo.wcfg new file mode 100644 index 000000000..4ecabdecf --- /dev/null +++ b/fpga/usrp3/top/b200/sim/sim_b200_io/mimo/mimo.wcfg @@ -0,0 +1,112 @@ + + + + + + + + + + + + + + + Test Bench + label + + tb_clk + tb_clk + + + reset + reset + + + mimo + mimo + + + + RX + label + + rx_clk + rx_clk + + + rx_frame + rx_frame + + + rx_data[11:0] + rx_data[11:0] + HEXRADIX + + + + TX + label + + tx_clk + tx_clk + + + tx_frame + tx_frame + + + tx_data[11:0] + tx_data[11:0] + HEXRADIX + + + + Internal + label + + radio_clk + radio_clk + + + rx_i0[11:0] + rx_i0[11:0] + HEXRADIX + + + rx_q0[11:0] + rx_q0[11:0] + HEXRADIX + + + rx_i1[11:0] + rx_i1[11:0] + HEXRADIX + + + rx_q1[11:0] + rx_q1[11:0] + HEXRADIX + + + tx_i0[11:0] + tx_i0[11:0] + HEXRADIX + + + tx_q0[11:0] + tx_q0[11:0] + HEXRADIX + + + tx_i1[11:0] + tx_i1[11:0] + HEXRADIX + + + tx_q1[11:0] + tx_q1[11:0] + HEXRADIX + + + diff --git a/fpga/usrp3/top/b200/sim/sim_b200_io/mimo/simulation_script.v b/fpga/usrp3/top/b200/sim/sim_b200_io/mimo/simulation_script.v new file mode 100644 index 000000000..04e9079e1 --- /dev/null +++ b/fpga/usrp3/top/b200/sim/sim_b200_io/mimo/simulation_script.v @@ -0,0 +1,14 @@ + + initial + begin + reset <= 1; + mimo <= 0; + repeat(10) @(posedge rx_clk); + reset <= 0; + + repeat(10) @(posedge rx_clk); + + mimo_burst(20); + repeat(10) @(posedge rx_clk); + $finish; + end diff --git a/fpga/usrp3/top/b200/sim/sim_b200_io/run_isim b/fpga/usrp3/top/b200/sim/sim_b200_io/run_isim new file mode 100755 index 000000000..dc0ec02b7 --- /dev/null +++ b/fpga/usrp3/top/b200/sim/sim_b200_io/run_isim @@ -0,0 +1,22 @@ +vlogcomp -work work ${XILINX}/verilog/src/glbl.v + +# usrp3/top/b200/sim/sim_b200_io/siso +vlogcomp -work work --sourcelibext .v \ + --sourcelibdir ../../../../../lib/axi \ + --sourcelibdir ../../../../../lib/fifo \ + --sourcelibdir ../../../../../lib/control \ + --sourcelibdir ../../../coregen \ + --sourcelibdir ../../../ \ + --sourcelibdir ../../../../../lib/timing \ + --sourcelibdir ../../../../../lib/vita \ + --sourcelibdir ../../../../../lib/packet_proc \ + --sourcelibdir ../../../../../lib/dsp \ + --sourcelibdir ../../../../../lib/wishbone \ + --sourcelibdir ../../../../../lib/gpif2 \ + --sourcelibdir ../../../../../lib/io \ + ../../b200_io_tb.v + +fuse work.b200_io_tb work.glbl -L unisims_ver -L xilinxcorelib_ver -o b200_io_tb.exe + +# run the simulation scrip +./b200_io_tb.exe -gui #-tclbatch simcmds.tcl diff --git a/fpga/usrp3/top/b200/sim/sim_b200_io/siso/simulation_script.v b/fpga/usrp3/top/b200/sim/sim_b200_io/siso/simulation_script.v new file mode 100644 index 000000000..ad2e7fc57 --- /dev/null +++ b/fpga/usrp3/top/b200/sim/sim_b200_io/siso/simulation_script.v @@ -0,0 +1,13 @@ + + initial + begin + reset <= 1; + mimo <= 0; + repeat(10) @(posedge rx_clk); + reset <= 0; + repeat(10) @(posedge rx_clk); + + siso_burst(20); + repeat(10) @(posedge rx_clk); + $finish; + end diff --git a/fpga/usrp3/top/b200/sim/sim_b200_io/siso/siso.wcfg b/fpga/usrp3/top/b200/sim/sim_b200_io/siso/siso.wcfg new file mode 100644 index 000000000..7ef57498f --- /dev/null +++ b/fpga/usrp3/top/b200/sim/sim_b200_io/siso/siso.wcfg @@ -0,0 +1,64 @@ + + + + + + + + + + + + + + + Test Bench + label + + tb_clk + tb_clk + + + reset + reset + + + mimo + mimo + + + + RX + label + + rx_clk + rx_clk + + + rx_frame + rx_frame + + + rx_data[11:0] + rx_data[11:0] + HEXRADIX + + + + TX + label + + tx_clk + tx_clk + + + tx_frame + tx_frame + + + tx_data[11:0] + tx_data[11:0] + HEXRADIX + + + diff --git a/fpga/usrp3/top/b200/timing.ucf b/fpga/usrp3/top/b200/timing.ucf new file mode 100644 index 000000000..6264449da --- /dev/null +++ b/fpga/usrp3/top/b200/timing.ucf @@ -0,0 +1,46 @@ + +# codec_main_clk is 40 MHz main tcxo clock +NET "codec_main_clk*" TNM_NET = "codec_main_clk"; +TIMESPEC "TS_codec_main_clk" = PERIOD "codec_main_clk" 25000 ps HIGH 50 %; + + +# IFCLK is 100 MHz GPIF clock +NET "IFCLK" TNM_NET = "IFCLK"; +TIMESPEC "TS_IFCLK" = PERIOD "IFCLK" 10000 ps HIGH 50 %; + + +# codec_data_clk is the data clock from catalina, sample rate dependent +# this clock equals sample rate in CMOS DDR 1R1T mode +# this clock is double the sample rate in CMOS DDR 2R2T mode +# Max clock rate is 61.44 MHz +NET "codec_data_clk_p" TNM_NET = "codec_data_clk_p"; +TIMESPEC "TS_codec_data_clk_p" = PERIOD "codec_data_clk_p" 16276 ps HIGH 50 %; + +#always use IOB for GPIF pins for awesome timing +INST "GPIF_*" IOB = TRUE; + +#low speed misc output group +INST "SFDX*" TNM = radio_misc_out; # Radio Clk domain +INST "SRX*" TNM = radio_misc_out; # Radio Clk domain +INST "LED_*" TNM = radio_misc_out; # Radio Clk domain +INST "tx_enable*" TNM = radio_misc_out; # Radio Clk domain +INST "tx_bandsel_*" TNM = ls_misc_out; # Bus clk domain +INST "rx_bandsel_*" TNM = ls_misc_out; # Bus clk domain +INST "ref_sel" TNM = ls_misc_out; # Bus clk domain +INST "pll_ce" TNM = ls_misc_out; # Bus clk domain +INST "cat_ce" TNM = ls_misc_out; # Bus clk domain + combinatorial +#INST "fx3_miso" TNM = ls_misc_out; # Combinatorial +INST "cat_miso" TNM = ls_misc_out; # Bus clk domain (I) +INST "cat_mosi" TNM = ls_misc_out; # Bus clk domain + combinatorial +INST "pll_mosi" TNM = ls_misc_out; # Bus clk domain + combinatorial +INST "*_sclk" TNM = ls_misc_out; # Bus clk domain + combinatorial +INST "gps_*" TNM = ls_misc_out; # Bus clk domain +#INST "FPGA_*D0" TNM = ls_misc_out; # UNUSED + +#constrain the misc IOs to the clocks +NET "gpif_clk" TNM_NET = "gpif_clk"; +TIMESPEC "TS_gpif_clk" = PERIOD "gpif_clk" 10 ns HIGH 50 %; +# These next 2 constarints have never worked...either fix them or remove them. Probably need a virtual clock to make them work. +#TIMEGRP "ls_misc_out" OFFSET = OUT 15 ns AFTER "gpif_clk" RISING; +#TIMEGRP "radio_misc_out" OFFSET = OUT 15 ns AFTER "radio_clk" RISING; + diff --git a/fpga/usrp3/top/b2xxmini/.gitignore b/fpga/usrp3/top/b2xxmini/.gitignore new file mode 100644 index 000000000..f61be8ab7 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/.gitignore @@ -0,0 +1,7 @@ +build* +catcap_tb +catgen_tb +fuse* +isim* +_impact* +coregen.log diff --git a/fpga/usrp3/top/b2xxmini/Makefile b/fpga/usrp3/top/b2xxmini/Makefile new file mode 100644 index 000000000..9031b17db --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/Makefile @@ -0,0 +1,91 @@ +# +# Copyright 2015 Ettus Research LLC +# + +# NOTE: All comments prefixed with a "##" will be displayed as a part of the "make help" target +##------------------- +##USRP B2XXXmini FPGA Help +##------------------- +##Usage: +## make +## +##Output: +## build/usrp__fpga.bit: Configuration bitstream with header +## build/usrp__fpga.bin: Configuration bitstream without header +## build/usrp__fpga.syr: Xilinx system report +## build/usrp__fpga.twr: Xilinx timing report + +print_report = \ + echo "========================================================================"; \ + cat $(1)/b205.syr | grep "Device utilization summary:" -A 30; \ + echo "========================================================================"; \ + echo "Timing Summary:\n"; \ + cat $(1)/b205.twr | grep constraint | grep met | grep -v "*"; \ + echo "========================================================================"; + +# pre_build($1=Device) +ifeq ($(EXPORT_ONLY),1) + pre_build = @test -s build-$(1)/b205.bit || { echo "EXPORT_ONLY requires the project in build-$(1) to be fully built."; false; } +else + pre_build = @echo "ISE Version: $(shell xtclsh -h | head -n1)" +endif + +# ise_build($1=Device, $2=Definitions) +ifeq ($(PROJECT_ONLY),1) + ise_build = make -f Makefile.b205.inc proj NAME=$@ DEVICE=$1 +else ifeq ($(EXPORT_ONLY),1) + ise_build = @echo "Skipping ISE build and exporting pre-built files."; +else + ise_build = make -f Makefile.b205.inc bin NAME=$@ DEVICE=$1 +endif + +# post_build($1=Device) +ifeq ($(PROJECT_ONLY),1) + post_build = \ + @echo "Generated $(shell pwd)/build-$(1)/b205.xise"; \ + echo "\nProject Generation DONE ... $(1)\n"; +else + post_build = \ + @$(call print_report,build-$(1)) \ + mkdir -p build; \ + echo "Exporting bitstream files..."; \ + cp build-$(1)/b205.bin build/usrp_`echo $(1) | tr A-Z a-z`_fpga.bin; \ + cp build-$(1)/b205.bit build/usrp_`echo $(1) | tr A-Z a-z`_fpga.bit; \ + echo "Exporting logs..."; \ + cp build-$(1)/b205.syr build/usrp_`echo $(1) | tr A-Z a-z`_fpga.syr; \ + cp build-$(1)/b205.twr build/usrp_`echo $(1) | tr A-Z a-z`_fpga.twr; \ + echo "\nBuild DONE ... $(1)\n"; +endif + +## +##Supported Targets +##----------------- + +all: B200mini B205mini ##(Default target) + +B200mini: ##Build USRP B200mini design. + $(call pre_build,B200mini) + $(call ise_build,XC6SLX75) + $(call post_build,B200mini) + +B205mini: ##Build USRP B205mini design. + $(call pre_build,B205mini) + $(call ise_build,XC6SLX150) + $(call post_build,B205mini) + +clean: ##Clean up all build output. + rm -rf build-* + rm -rf build + +help: ## Show this help message. + @grep -h "##" Makefile | grep -v "\"##\"" | sed -e 's/\\$$//' | sed -e 's/##//' + +## +##Supported Options +##----------------- +##PROJECT_ONLY=1 Only create a Xilinx project for the specified target(s). +## Useful for use with the ISE GUI. +##EXPORT_ONLY=1 Export build targets from a GUI build to the build directory. +## Requires the project in build-*_* to be built. + +.PHONY: all clean help B200mini B205mini diff --git a/fpga/usrp3/top/b2xxmini/Makefile.b205.inc b/fpga/usrp3/top/b2xxmini/Makefile.b205.inc new file mode 100644 index 000000000..a1da7f22f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/Makefile.b205.inc @@ -0,0 +1,129 @@ +# +# Copyright 2012-2013 Ettus Research LLC +# + +################################################## +# Project Setup +################################################## +TOP_MODULE := b205 +BUILD_DIR := build-$(NAME)/ +export PROJ_FILE := $(BUILD_DIR)$(TOP_MODULE).ise + +# set me in a custom makefile +CUSTOM_SRCS = +CUSTOM_DEFS = + +include ../Makefile.common +include ../../lib/gpif2/Makefile.srcs +include ../../lib/fifo/Makefile.srcs +include ../../lib/fifo_200/Makefile.srcs +include ../../lib/dsp/Makefile.srcs +include ../../lib/control/Makefile.srcs +include ../../lib/control_200/Makefile.srcs +include ../../lib/packet_proc/Makefile.srcs +include ../../lib/packet_proc_200/Makefile.srcs +include ../../lib/timing/Makefile.srcs +include ../../lib/vita_200/Makefile.srcs +include ../../lib/wishbone/Makefile.srcs +include ../../lib/axi/Makefile.srcs +include ../../lib/radio_200/Makefile.srcs +include coregen_dsp/Makefile.srcs + + +B205_COREGEN_SRCS = \ +coregen/b205_clk_gen.v \ +coregen/fifo_4k_2clk.xco \ +coregen/fifo_short_2clk.xco \ +coregen/chipscope_icon.xco \ +coregen/chipscope_ila.xco + +################################################## +# Project Properties +################################################## +export PROJECT_PROPERTIES := \ +family "Spartan6" \ +device $(DEVICE) \ +package csg484 \ +speed -3 \ +top_level_module_type "HDL" \ +synthesis_tool "XST (VHDL/Verilog)" \ +simulator "ISE Simulator (VHDL/Verilog)" \ +"Preferred Language" "Verilog" \ +"Enable Message Filtering" FALSE \ +"Display Incremental Messages" FALSE + +################################################## +# Sources +################################################## +TOP_SRCS = \ +b205.v \ +b205_ref_pll.v \ +b205_core.v \ +b205_io.v \ +b205.ucf \ +timing.ucf \ +../b200/S6CLK2PIN.v \ +$(B205_COREGEN_SRCS) + +SOURCES = $(abspath $(TOP_SRCS)) $(FIFO_SRCS) $(FIFO_200_SRCS) \ +$(CONTROL_LIB_SRCS) $(CONTROL_LIB_200_SRCS) $(SDR_LIB_SRCS) \ +$(TIMING_SRCS) $(OPENCORES_SRCS) \ +$(VRT_SRCS) \ +$(GPIF2_SRCS) $(PACKET_PROC_SRCS) $(PACKET_PROC_200_SRCS) \ +$(WISHBONE_SRCS) \ +$(TIMING_SRCS) \ +$(DSP_SRCS) \ +$(VITA_200_SRCS) \ +$(AXI_SRCS) \ +$(RADIO_200_SRCS) \ +$(CAT_CAP_GEN_SRCS) \ +$(COREGEN_DSP_SRCS) + +################################################## +# Process Properties +################################################## +SYNTHESIZE_PROPERTIES = \ +"Pack I/O Registers into IOBs" Yes \ +"Optimization Effort" High \ +"Optimize Instantiated Primitives" TRUE \ +"Register Balancing" Yes \ +"Use Clock Enable" Auto \ +"Use Synchronous Reset" Auto \ +"Use Synchronous Set" Auto \ +"Verilog Macros" "SPARTAN6=1 $(EXTRA_DEFS) $(CUSTOM_DEFS)" \ +"Other XST Command Line Options" "-loop_iteration_limit 16385" + +#"Number of Clock Buffers" 8 \ + +TRANSLATE_PROPERTIES = \ +"Macro Search Path" "$(shell pwd)/../../coregen/" + +MAP_PROPERTIES = \ +"Generate Detailed MAP Report" TRUE \ +"Allow Logic Optimization Across Hierarchy" TRUE \ +"Map to Input Functions" 4 \ +"Optimization Strategy (Cover Mode)" Speed \ +"Pack I/O Registers/Latches into IOBs" "For Inputs and Outputs" \ +"Perform Timing-Driven Packing and Placement" TRUE \ +"Map Effort Level" High \ +"Extra Effort" Normal \ +"Combinatorial Logic Optimization" TRUE \ +"Register Duplication" TRUE + +PLACE_ROUTE_PROPERTIES = \ +"Place & Route Effort Level (Overall)" High + +STATIC_TIMING_PROPERTIES = \ +"Number of Paths in Error/Verbose Report" 10 \ +"Report Type" "Error Report" + +GEN_PROG_FILE_PROPERTIES = \ +"Create Binary Configuration File" TRUE \ +"Done (Output Events)" 5 \ +"Enable Bitstream Compression" TRUE \ +"Unused IOB Pins" "Pull Up" + +#"Configuration Rate" 6 \ +#"Enable Outputs (Output Events)" 6 \ + +SIM_MODEL_PROPERTIES = "" diff --git a/fpga/usrp3/top/b2xxmini/b205.ucf b/fpga/usrp3/top/b2xxmini/b205.ucf new file mode 100644 index 000000000..9d1b89fd8 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/b205.ucf @@ -0,0 +1,157 @@ + +#### FX3 Lines ############################################################## +# GPIF Data lines +NET "FX3_DQ<0>" LOC = "T22" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<1>" LOC = "T21" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<2>" LOC = "M19" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<3>" LOC = "R22" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<4>" LOC = "R20" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<5>" LOC = "R19" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<6>" LOC = "P20" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<7>" LOC = "N19" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<8>" LOC = "P22" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<9>" LOC = "N20" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<10>" LOC = "P21" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<11>" LOC = "M21" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<12>" LOC = "N22" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<13>" LOC = "L22" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<14>" LOC = "M22" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<15>" LOC = "P19" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<16>" LOC = "D21" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<17>" LOC = "F22" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<18>" LOC = "C22" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<19>" LOC = "D22" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<20>" LOC = "E22" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<21>" LOC = "B22" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<22>" LOC = "B21" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<23>" LOC = "F20" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<24>" LOC = "G19" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<25>" LOC = "D20" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<26>" LOC = "E20" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<27>" LOC = "D19" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<28>" LOC = "J19" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<29>" LOC = "C20" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<30>" LOC = "F19" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<31>" LOC = "C18" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data + +NET "FX3_PCLK" LOC = "H20" | IOSTANDARD = LVCMOS18 | DRIVE = 6 | SLEW = SLOW; # FX3 Data Synchronization Clock, called IFCLK in B200 + +# GPIF Control Lines +NET "FX3_CTL0" LOC = "M20" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # SLCSn +NET "FX3_CTL1" LOC = "J22" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # SLWRn +NET "FX3_CTL2" LOC = "J21" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # SLOEn +NET "FX3_CTL3" LOC = "K22" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # SLRDn +NET "FX3_CTL4" LOC = "M18" | IOSTANDARD = LVCMOS18 ; # FLAG_A +NET "FX3_CTL5" LOC = "L19" | IOSTANDARD = LVCMOS18 ; # FLAG_B +NET "FX3_CTL6" LOC = "H22" | IOSTANDARD = LVCMOS18 ; # +NET "FX3_CTL7" LOC = "L20" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # PKTENDn +NET "FX3_CTL8" LOC = "K19" | IOSTANDARD = LVCMOS18 ; # +NET "FX3_CTL9" LOC = "K20" | IOSTANDARD = LVCMOS18 ; # +##FX3_CTL10 is not for general signaling +NET "FX3_CTL11" LOC = "H21" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # A1 +NET "FX3_CTL12" LOC = "G22" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # A0 + + +#### AD9364 ################################################################# +NET "CAT_RESETn" LOC = "T3" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Global Reset +NET "CAT_EN_AGC" LOC = "AA4" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver AGC Enable +NET "CAT_EN" LOC = "V3" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Globe Enable +NET "CAT_TXnRX" LOC = "Y4" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Globe TX and RX control + +# SPI +NET "CAT_SPI_EN" LOC = "T4" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Controller SPI Latch +NET "CAT_SPI_CLK" LOC = "Y3" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Controller SPI Serial Clock +NET "CAT_SPI_DI" LOC = "AA2" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Controller SPI Data In +NET "CAT_SPI_DO" LOC = "U4" | IOSTANDARD = LVCMOS18 | PULLUP; # Transceiver Controller SPI Data Out + +# Control Lines +NET "CAT_CTL_OUT<0>" LOC = "D2" | IOSTANDARD = LVCMOS18 ; # +NET "CAT_CTL_OUT<1>" LOC = "AB4" | IOSTANDARD = LVCMOS18 ; # +NET "CAT_CTL_OUT<2>" LOC = "AB3" | IOSTANDARD = LVCMOS18 ; # +NET "CAT_CTL_OUT<3>" LOC = "K3" | IOSTANDARD = LVCMOS18 ; # +NET "CAT_CTL_OUT<4>" LOC = "AB2" | IOSTANDARD = LVCMOS18 ; # +NET "CAT_CTL_OUT<5>" LOC = "T7" | IOSTANDARD = LVCMOS18 ; # +NET "CAT_CTL_OUT<6>" LOC = "U8" | IOSTANDARD = LVCMOS18 ; # +NET "CAT_CTL_OUT<7>" LOC = "W4" | IOSTANDARD = LVCMOS18 ; # +NET "CAT_CTL_IN<0>" LOC = "H5" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # +NET "CAT_CTL_IN<1>" LOC = "H3" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # +NET "CAT_CTL_IN<2>" LOC = "J4" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # +NET "CAT_CTL_IN<3>" LOC = "D1" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # + +# Rx Bus +NET "CAT_P0_D<0>" LOC = "H1" | IOSTANDARD = LVCMOS18; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P0_D<1>" LOC = "H2" | IOSTANDARD = LVCMOS18; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P0_D<2>" LOC = "G1" | IOSTANDARD = LVCMOS18; # Transceiver Parallel Data. Bank3 LT. +NET "CAT_P0_D<3>" LOC = "G3" | IOSTANDARD = LVCMOS18; # Transceiver Parallel Data. Bank3 LT. +NET "CAT_P0_D<4>" LOC = "F1" | IOSTANDARD = LVCMOS18; # Transceiver Parallel Data. Bank3 LT. +NET "CAT_P0_D<5>" LOC = "F2" | IOSTANDARD = LVCMOS18; # Transceiver Parallel Data. Bank3 LT. +NET "CAT_P0_D<6>" LOC = "E1" | IOSTANDARD = LVCMOS18; # Transceiver Parallel Data. Bank3 LT. +NET "CAT_P0_D<7>" LOC = "E3" | IOSTANDARD = LVCMOS18; # Transceiver Parallel Data. Bank3 LT. +NET "CAT_P0_D<8>" LOC = "L1" | IOSTANDARD = LVCMOS18; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P0_D<9>" LOC = "L3" | IOSTANDARD = LVCMOS18; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P0_D<10>" LOC = "M1" | IOSTANDARD = LVCMOS18; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P0_D<11>" LOC = "M2" | IOSTANDARD = LVCMOS18; # Transceiver Parallel Data. Bank3 LB. + +# Tx Bus +NET "CAT_P1_D<0>" LOC = "T1" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P1_D<1>" LOC = "T2" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P1_D<2>" LOC = "U1" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P1_D<3>" LOC = "U3" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P1_D<4>" LOC = "V1" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P1_D<5>" LOC = "V2" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P1_D<6>" LOC = "W1" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P1_D<7>" LOC = "W3" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P1_D<8>" LOC = "Y1" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P1_D<9>" LOC = "Y2" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P1_D<10>" LOC = "R1" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P1_D<11>" LOC = "R3" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Parallel Data. Bank3 LB. + +# Frame syncs +NET "CAT_TX_FR_N" LOC = "K1" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Bank3 LB. +NET "CAT_TX_FR_P" LOC = "K2" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Bank3 LB. +#NET "CAT_RX_FR_N" LOC = "P1" | IOSTANDARD = LVCMOS18; # Bank3 LB. +NET "CAT_RX_FR_P" LOC = "P2" | IOSTANDARD = LVCMOS18; # Bank3 LB. + +# Clocks ('N' clock lines are currently unused) +#NET "CAT_DCLK_N" LOC = "P3" | IOSTANDARD = LVCMOS18; # Data Clock +NET "CAT_DCLK_P" LOC = "N4" | IOSTANDARD = LVCMOS18; # Data Clock +NET "CAT_FBCLK_P" LOC = "J3" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Clock to AD9364. Bank3 LB. +NET "CAT_FBCLK_N" LOC = "J1" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Clock to AD9364. Bank3 LB. + +#### Peripherals ############################################################ +# Radio Switchery +NET "cFE_SEL_TRX_TX" LOC = "A5" | IOSTANDARD = LVCMOS33 | DRIVE = 2 | SLEW = SLOW; # TRX Switch, high to enable TX path (Always on for Duplex) (high for now) +NET "cFE_SEL_TRX_RX" LOC = "A6" | IOSTANDARD = LVCMOS33 | DRIVE = 2 | SLEW = SLOW; # TRX Switch, high to enable RX path (Always off for Duplex) (low for now) +NET "cFE_SEL_RX_TRX" LOC = "B3" | IOSTANDARD = LVCMOS33 | DRIVE = 2 | SLEW = SLOW; # high to enable RX path to TRX switch (Always off for Duplex) (low for now) +NET "cFE_SEL_RX_RX2" LOC = "C5" | IOSTANDARD = LVCMOS33 | DRIVE = 2 | SLEW = SLOW; # high to enable RX path to RX2 port (Always on for Duplex) (high for now) +NET "cTXDRV_PWEN" LOC = "A4" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW; # high to enable power to TX power amplifier (high for now) + +# LEDs R: 5mA / G: 1.25mA / B: 2.5mA (the mcd is equalized with this number), set by resistor already, with LVTTL logic (3.3V) +NET "cLED_TRX_G" LOC = "A7" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW; # low to turn on TRX port LED green +NET "cLED_TRX_B" LOC = "B6" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW; # low to turn on TRX port LED blue +NET "cLED_TRX_R" LOC = "C6" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW; # low to turn on TRX port LED red +NET "cLED_RX2_G" LOC = "A9" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW; # low to turn on RX2 port LED green +NET "cLED_RX2_B" LOC = "B8" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW; # low to turn on RX2 port LED blue +NET "cLED_RX2_R" LOC = "A8" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW; # low to turn on RX2 port LED red +NET "cLED_S0" LOC = "B10" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW; # low to turn on S0 port LED red +NET "cLED_S1" LOC = "A10" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW; # low to turn on S1 port LED red + + +# Clocking +NET "CLK_40MHz_FPGA" LOC = "AA10" | IOSTANDARD = LVCMOS18; # 40MHz VCTCXO clock feed back +NET "PPS_IN" LOC = "B12" | IOSTANDARD = LVCMOS33; # Pulse per second input (external) +NET "CLKIN_10MHz" LOC = "E6" | IOSTANDARD = LVCMOS18; # 10MHz from external source +NET "CLKIN_10MHz_REQ" LOC = "E5" | IOSTANDARD = LVCMOS18; # select 10MHz from external source +NET "CLK_40M_DAC_nSYNC" LOC = "C17" | IOSTANDARD = LVCMOS33 | DRIVE = 2 | SLEW = SLOW; # 40MHz VCTCXO triming DAC serial frame/data latch (high for now) +NET "CLK_40M_DAC_SCLK" LOC = "D17" | IOSTANDARD = LVCMOS33 | DRIVE = 2 | SLEW = SLOW; # 40MHz VCTCXO triming DAC serial data clock (low for now) +NET "CLK_40M_DAC_DIN" LOC = "C16" | IOSTANDARD = LVCMOS33 | DRIVE = 2 | SLEW = SLOW; # 40MHz VCTCXO triming DAC serial data (low for now) + +## GPIO bus +NET "fp_gpio<0>" LOC = "A18" | IOSTANDARD = LVCMOS33 | PULLUP; +NET "fp_gpio<1>" LOC = "A17" | IOSTANDARD = LVCMOS33 | PULLUP; +NET "fp_gpio<2>" LOC = "B16" | IOSTANDARD = LVCMOS33 | PULLUP; +NET "fp_gpio<3>" LOC = "B18" | IOSTANDARD = LVCMOS33 | PULLUP; +NET "fp_gpio<4>" LOC = "C15" | IOSTANDARD = LVCMOS33 | PULLUP; +NET "fp_gpio<5>" LOC = "A15" | IOSTANDARD = LVCMOS33 | PULLUP; +NET "fp_gpio<6>" LOC = "A16" | IOSTANDARD = LVCMOS33 | PULLUP; +NET "fp_gpio<7>" LOC = "A13" | IOSTANDARD = LVCMOS33 | PULLUP; diff --git a/fpga/usrp3/top/b2xxmini/b205.v b/fpga/usrp3/top/b2xxmini/b205.v new file mode 100644 index 000000000..3b95521c4 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/b205.v @@ -0,0 +1,298 @@ +// +// Copyright 2015 Ettus Research, a National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// + +/*********************************************************** + * B205 Module Declaration + **********************************************************/ +module b205 ( + // AD9364 - SPI Interface: + output CAT_SPI_EN, // Enable + input CAT_SPI_DO, // MISO + output CAT_SPI_DI, // MOSI + output CAT_SPI_CLK, // SPI Clk + + // AD9364 - Control: + output CAT_EN, + output CAT_EN_AGC, + output CAT_RESETn, + output CAT_TXnRX, + output [3:0] CAT_CTL_IN, // These should be outputs + input [7:0] CAT_CTL_OUT, // MUST BE INPUT + + // AD9364 - Data: + input CAT_DCLK_P, // Clock from AD9364 (RX) + output CAT_FBCLK_P, // Clock to AD9364 (TX) + output CAT_FBCLK_N, + input [11:0] CAT_P0_D, // RX data is on Port 0 + output [11:0] CAT_P1_D, // TX data is on Port 1 + input CAT_RX_FR_P, + output CAT_TX_FR_P, + output CAT_TX_FR_N, + + // AD9364 - Always on 40MHz clock: + input CLK_40MHz_FPGA, + + // GPIF, FX3 Slave FIFO + output FX3_PCLK, // pclk + output FX3_CTL0, // n_slcs + output FX3_CTL1, // n_slwr + output FX3_CTL2, // n_sloe + output FX3_CTL3, // n_slrd + output FX3_CTL7, // n_pktend + input FX3_CTL4, // slfifo_flags[0] + input FX3_CTL5, // slfifo_flags[1] + input FX3_CTL6, // Serial settings bus from FX3. SDA + input FX3_CTL8, // Serial settings bus from FX3. SCL + output FX3_CTL11, // slfifo_addr[1] + output FX3_CTL12, // slfifo_addr[0] + inout [31:0] FX3_DQ, + input FX3_CTL9, // global_reset + + // LEDs + output cLED_TRX_G, + output cLED_TRX_B, + output cLED_TRX_R, + output cLED_RX2_G, + output cLED_RX2_B, + output cLED_RX2_R, + output cLED_S0, + output cLED_S1, + + // GPIO + inout [7:0] fp_gpio, + + // PPS or 10 MHz (need to choose from SW) + input PPS_IN, + input CLKIN_10MHz, + output CLKIN_10MHz_REQ, + + // Clock disciplining / AD5662 controls + output CLK_40M_DAC_nSYNC, + output CLK_40M_DAC_SCLK, + output CLK_40M_DAC_DIN, + + // RF Hardware Control + output cFE_SEL_TRX_TX, // Select TX/RX port for Tx + output cFE_SEL_TRX_RX, // Select TX/RX port for Rx + output cFE_SEL_RX_TRX, // Select TX/RX port for Rx + output cFE_SEL_RX_RX2, // Select RX2 port for Rx + output cTXDRV_PWEN // Tx PA enable + + ); + + wire reset_global = FX3_CTL9; + + /////////////////////////////////////////////////////////////////////// + // generate clocks from always on codec main clk + /////////////////////////////////////////////////////////////////////// + wire bus_clk, radio_clk; + wire locked; + wire int_40mhz; + wire ref_pll_clk; + b205_clk_gen gen_clks + ( + .CLK_IN1_40(CLK_40MHz_FPGA), // No differential input! + .CLK_OUT1_40_int(int_40mhz), .CLK_OUT2_100_bus(bus_clk), .CLK_OUT3_200_ref_pll(ref_pll_clk), + .RESET(reset_global), .LOCKED(locked) + ); + + //hold-off logic for clocks ready + reg [15:0] clocks_ready_count; + reg clocks_ready; + always @(posedge bus_clk or posedge reset_global or negedge locked) begin + if (reset_global | !locked) begin + clocks_ready_count <= 16'b0; + clocks_ready <= 1'b0; + end + else if (!clocks_ready) begin + clocks_ready_count <= clocks_ready_count + 1'b1; + clocks_ready <= (clocks_ready_count == 16'hffff); + end + end + + /////////////////////////////////////////////////////////////////////// + // drive output clocks + /////////////////////////////////////////////////////////////////////// + ODDR2 #( + .DDR_ALIGNMENT("NONE"), // to "NONE", "C0" or "C1" + .INIT(1'b0), // output to 1'b0 or 1'b1 + .SRTYPE("ASYNC") // set/reset "SYNC" or "ASYNC" + ) + ODDR2_S6CLK2PIN + ( + .Q(FX3_PCLK), // 1-bit DDR output data + .C0(bus_clk), // 1-bit clock input + .C1(~bus_clk), // 1-bit clock input + .CE(1'b1), // 1-bit clock enable input + .D0(1'b1), // 1-bit data input (associated with C0) + .D1(1'b0), // 1-bit data input (associated with C1) + .R(1'b0), // 1-bit reset input + .S(1'b0) // 1-bit set input + ); + + /////////////////////////////////////////////////////////////////////// + // Create sync reset signals + /////////////////////////////////////////////////////////////////////// + wire bus_rst, ref_pll_rst, radio_rst; + reset_sync bus_sync(.clk(bus_clk), .reset_in(!clocks_ready), .reset_out(bus_rst)); + reset_sync ref_pll_sync(.clk(ref_pll_clk), .reset_in(!clocks_ready), .reset_out(ref_pll_rst)); + reset_sync radio_sync(.clk(radio_clk), .reset_in(!clocks_ready), .reset_out(radio_rst)); + + /////////////////////////////////////////////////////////////////////// + // reference clock PLL + /////////////////////////////////////////////////////////////////////// + wire ref_sel; + wire ext_ref_is_pps; + wire ext_ref_locked; + wire ext_ref = ext_ref_is_pps ? PPS_IN : ref_sel ? CLKIN_10MHz : 1'b0; + b205_ref_pll ref_pll + ( + .reset(ref_pll_rst), + .clk(ref_pll_clk), + .refclk(int_40mhz), + .ref(ext_ref), + .locked(ext_ref_locked), + .sclk(CLK_40M_DAC_SCLK), + .mosi(CLK_40M_DAC_DIN), + .sync_n(CLK_40M_DAC_nSYNC) + ); + assign CLKIN_10MHz_REQ = ref_sel; + + /////////////////////////////////////////////////////////////////////// + // AD9364 I/O + /////////////////////////////////////////////////////////////////////// + wire [31:0] rx_data; + wire [31:0] tx_data; + + b205_io b205_io_i0 + ( + .reset(reset_global), + // Baseband sample interface + .radio_clk(radio_clk), + .rx_i0(rx_data[31:20]), + .rx_q0(rx_data[15:4]), + .tx_i0(tx_data[31:20]), + .tx_q0(tx_data[15:4]), + // Catalina interface + .rx_clk(CAT_DCLK_P), + .rx_frame(CAT_RX_FR_P), + .rx_data(CAT_P0_D), + + .tx_clk(CAT_FBCLK_P), + .tx_frame(CAT_TX_FR_P), + .tx_data(CAT_P1_D) + ); + + assign {rx_data[19:16],rx_data[3:0]} = 8'h0; + assign CAT_FBCLK_N = 1'b0; + assign CAT_TX_FR_N = 1'b0; + + /////////////////////////////////////////////////////////////////////// + // SPI connections + /////////////////////////////////////////////////////////////////////// + wire mosi, miso, sclk; + wire [7:0] sen; + + // AD9364 Slave (it's the only slave for B205) + assign CAT_SPI_EN = sen[0]; + assign CAT_SPI_DI = ~sen[0] & mosi; + assign CAT_SPI_CLK = ~sen[0] & sclk; + assign miso = CAT_SPI_DO; + + /////////////////////////////////////////////////////////////////////// + // bus signals + /////////////////////////////////////////////////////////////////////// + wire [63:0] ctrl_tdata, resp_tdata, rx_tdata, tx_tdata; + wire ctrl_tlast, resp_tlast, rx_tlast, tx_tlast; + wire ctrl_tvalid, resp_tvalid, rx_tvalid, tx_tvalid; + wire ctrl_tready, resp_tready, rx_tready, tx_tready; + + /////////////////////////////////////////////////////////////////////// + // frontend assignments + /////////////////////////////////////////////////////////////////////// + wire [7:0] fe_gpio_out; + reg [7:0] fe_gpio_reg; + + //Register in IOB + always @(posedge radio_clk) + fe_gpio_reg <= fe_gpio_out; + + assign {cTXDRV_PWEN, cFE_SEL_RX_RX2, cFE_SEL_TRX_TX, cFE_SEL_RX_TRX, cFE_SEL_TRX_RX} = fe_gpio_reg[7:3]; + assign cLED_TRX_R = ~fe_gpio_reg[0]; + assign cLED_TRX_G = ~fe_gpio_reg[1]; + assign cLED_TRX_B = 1'b1; + assign cLED_RX2_R = 1'b1; + assign cLED_RX2_G = ~fe_gpio_reg[2]; + assign cLED_RX2_B = 1'b1; + assign cLED_S0 = ~ext_ref_locked; + assign cLED_S1 = ~(ext_ref); + + wire [31:0] misc_outs; + reg [31:0] misc_outs_r; + always @(posedge bus_clk) misc_outs_r <= misc_outs; //register misc ios to ease routing to flop + assign ref_sel = misc_outs_r[0]; + + wire codec_arst = misc_outs_r[2]; + + assign CAT_CTL_IN = 4'b1; + assign CAT_EN_AGC = 1'b1; + assign CAT_TXnRX = 1'b1; + assign CAT_EN = 1'b1; + assign CAT_RESETn = ~codec_arst; // Codec Reset // RESETB // Operates active-low + + /////////////////////////////////////////////////////////////////////// + // b205 core + /////////////////////////////////////////////////////////////////////// + wire [7:0] fp_gpio_in, fp_gpio_out, fp_gpio_ddr; + b205_core #(.EXTRA_BUFF_SIZE(12)) b205_core + ( + .bus_clk(bus_clk), .bus_rst(bus_rst), + .tx_tdata(tx_tdata), .tx_tlast(tx_tlast), .tx_tvalid(tx_tvalid), .tx_tready(tx_tready), + .rx_tdata(rx_tdata), .rx_tlast(rx_tlast), .rx_tvalid(rx_tvalid), .rx_tready(rx_tready), + .ctrl_tdata(ctrl_tdata), .ctrl_tlast(ctrl_tlast), .ctrl_tvalid(ctrl_tvalid), .ctrl_tready(ctrl_tready), + .resp_tdata(resp_tdata), .resp_tlast(resp_tlast), .resp_tvalid(resp_tvalid), .resp_tready(resp_tready), + + .radio_clk(radio_clk), .radio_rst(radio_rst), + .rx0(rx_data), + .tx0(tx_data), + .fe_gpio_out(fe_gpio_out), + .fp_gpio_in(fp_gpio_in), .fp_gpio_out(fp_gpio_out), .fp_gpio_ddr(fp_gpio_ddr), + .ext_ref_is_pps(ext_ref_is_pps), + .pps_ext(PPS_IN), + + .sclk(sclk), .sen(sen), .mosi(mosi), .miso(miso), + .rb_misc({31'b0,ext_ref_locked}), .misc_outs(misc_outs), + + .lock_signals(CAT_CTL_OUT[7:6]), + + .debug() + ); + + gpio_atr_io #(.WIDTH(8)) gpio_atr_io_inst ( + .clk(radio_clk), .gpio_pins(fp_gpio), + .gpio_ddr(fp_gpio_ddr), .gpio_out(fp_gpio_out), .gpio_in(fp_gpio_in) + ); + + /////////////////////////////////////////////////////////////////////// + // GPIF2 + /////////////////////////////////////////////////////////////////////// + gpif2_slave_fifo32 #(.DATA_RX_FIFO_SIZE(13), .DATA_TX_FIFO_SIZE(13)) slave_fifo32 + ( + .gpif_clk(bus_clk), .gpif_rst(bus_rst), .gpif_enb(1'b1), + .gpif_ctl({FX3_CTL8, FX3_CTL6, FX3_CTL5, FX3_CTL4}), .fifoadr({FX3_CTL11, FX3_CTL12}), + .slwr(FX3_CTL1), .sloe(FX3_CTL2), .slcs(FX3_CTL0), .slrd(FX3_CTL3), .pktend(FX3_CTL7), + .gpif_d(FX3_DQ), + + .fifo_clk(bus_clk), .fifo_rst(bus_rst), + .tx_tdata(tx_tdata), .tx_tlast(tx_tlast), .tx_tvalid(tx_tvalid), .tx_tready(tx_tready), + .rx_tdata(rx_tdata), .rx_tlast(rx_tlast), .rx_tvalid(rx_tvalid), .rx_tready(rx_tready), + .ctrl_tdata(ctrl_tdata), .ctrl_tlast(ctrl_tlast), .ctrl_tvalid(ctrl_tvalid), .ctrl_tready(ctrl_tready), + .resp_tdata(resp_tdata), .resp_tlast(resp_tlast), .resp_tvalid(resp_tvalid), .resp_tready(resp_tready), + + .debug() + ); + +endmodule // B205 diff --git a/fpga/usrp3/top/b2xxmini/b205_core.v b/fpga/usrp3/top/b2xxmini/b205_core.v new file mode 100644 index 000000000..d78ff374c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/b205_core.v @@ -0,0 +1,250 @@ +// +// Copyright 2015 Ettus Research, a National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// + + +/*********************************************************** + * B205 Core Guts + **********************************************************/ +module b205_core +#( + parameter R0_CTRL_SID = 8'h10, + parameter U0_CTRL_SID = 8'h30, + parameter L0_CTRL_SID = 8'h40, + parameter R0_DATA_SID = 8'h50, + parameter R1_DATA_SID = 8'h60, + parameter DEMUX_SID_MASK = 8'hf0, + parameter EXTRA_BUFF_SIZE = 0, + parameter RADIO_FIFO_SIZE = 11, + parameter SAMPLE_FIFO_SIZE = 11 + +) +( + //////////////////////////////////////////////////////////////////// + // bus interfaces + //////////////////////////////////////////////////////////////////// + input bus_clk, + input bus_rst, + + input [63:0] tx_tdata, input tx_tlast, input tx_tvalid, output tx_tready, + output [63:0] rx_tdata, output rx_tlast, output rx_tvalid, input rx_tready, + input [63:0] ctrl_tdata, input ctrl_tlast, input ctrl_tvalid, output ctrl_tready, + output [63:0] resp_tdata, output resp_tlast, output resp_tvalid, input resp_tready, + + //////////////////////////////////////////////////////////////////// + // radio interfaces + //////////////////////////////////////////////////////////////////// + input radio_clk, + input radio_rst, + + input [31:0] rx0, + output [31:0] tx0, + output [7:0] fe_gpio_out, + input [7:0] fp_gpio_in, output [7:0] fp_gpio_out, output [7:0] fp_gpio_ddr, + output ext_ref_is_pps, + input pps_ext, + + //////////////////////////////////////////////////////////////////// + // core interfaces + //////////////////////////////////////////////////////////////////// + output [7:0] sen, output sclk, output mosi, input miso, + input [31:0] rb_misc, + output [31:0] misc_outs, + + //////////////////////////////////////////////////////////////////// + // fe lock signals + //////////////////////////////////////////////////////////////////// + input [1:0] lock_signals, + + //////////////////////////////////////////////////////////////////// + // debug signals + //////////////////////////////////////////////////////////////////// + output [63:0] debug +); + localparam SR_CORE_SPI = 8'd8; + localparam SR_CORE_MISC = 8'd16; + localparam SR_CORE_COMPAT = 8'd24; + localparam SR_CORE_READBACK = 8'd32; + localparam SR_CORE_GPSDO_ST = 8'd40; + localparam SR_CORE_SYNC = 8'd48; + localparam COMPAT_MAJOR = 16'h0007; + localparam COMPAT_MINOR = 16'h0000; + + reg [1:0] lock_state; + reg [1:0] lock_state_r; + + always @(posedge bus_clk) + if (bus_rst) + {lock_state_r, lock_state} <= 4'h0; + else + {lock_state_r, lock_state} <= {lock_state, lock_signals}; + + + /******************************************************************* + * PPS Timing stuff + ******************************************************************/ + + // Generate an internal PPS signal + wire int_pps; + pps_generator #(.CLK_FREQ(100000000)) pps_gen + (.clk(bus_clk), .pps(int_pps)); + + // Flop PPS signals into radio clock domain + reg [1:0] ext_pps_del, int_pps_del; + always @(posedge radio_clk) ext_pps_del[1:0] <= {ext_pps_del[0], pps_ext}; + always @(posedge radio_clk) int_pps_del[1:0] <= {int_pps_del[0], int_pps}; + + // PPS mux + wire [1:0] pps_select; + wire pps = (pps_select == 2'b01)? ext_pps_del[1] : + (pps_select == 2'b10)? int_pps_del[1] : + 1'b0; + assign ext_ref_is_pps = (pps_select == 2'b01); + + /******************************************************************* + * Response mux Routing logic + ******************************************************************/ + wire [63:0] r0_resp_tdata; wire r0_resp_tlast, r0_resp_tvalid, r0_resp_tready; + wire [63:0] l0_resp_tdata; wire l0_resp_tlast, l0_resp_tvalid, l0_resp_tready; + + axi_mux4 #(.WIDTH(64), .BUFFER(1)) mux_for_resp + ( + .clk(bus_clk), .reset(bus_rst), .clear(1'b0), + .i0_tdata(r0_resp_tdata), .i0_tlast(r0_resp_tlast), .i0_tvalid(r0_resp_tvalid), .i0_tready(r0_resp_tready), + .i1_tdata(l0_resp_tdata), .i1_tlast(l0_resp_tlast), .i1_tvalid(l0_resp_tvalid), .i1_tready(l0_resp_tready), + .i2_tdata(64'd0), .i2_tlast(1'b0), .i2_tvalid(1'b0), .i2_tready(), + .i3_tdata(64'd0), .i3_tlast(1'b0), .i3_tvalid(1'b0), .i3_tready(), + .o_tdata(resp_tdata), .o_tlast(resp_tlast), .o_tvalid(resp_tvalid), .o_tready(resp_tready) + ); + + /******************************************************************* + * Control demux Routing logic + ******************************************************************/ + wire [63:0] r0_ctrl_tdata; wire r0_ctrl_tlast, r0_ctrl_tvalid, r0_ctrl_tready; + wire [63:0] l0_ctrl_tdata; wire l0_ctrl_tlast, l0_ctrl_tvalid, l0_ctrl_tready; + + wire [63:0] ctrl_hdr; + wire [1:0] ctrl_dst = + ((ctrl_hdr[7:0] & DEMUX_SID_MASK) == R0_CTRL_SID)? 0 : ( + ((ctrl_hdr[7:0] & DEMUX_SID_MASK) == L0_CTRL_SID)? 1 : ( + 3)); + axi_demux4 #(.ACTIVE_CHAN(4'b1111), .WIDTH(64), .BUFFER(1)) demux_for_ctrl + ( + .clk(bus_clk), .reset(bus_rst), .clear(1'b0), + .header(ctrl_hdr), .dest(ctrl_dst), + .i_tdata(ctrl_tdata), .i_tlast(ctrl_tlast), .i_tvalid(ctrl_tvalid), .i_tready(ctrl_tready), + .o0_tdata(r0_ctrl_tdata), .o0_tlast(r0_ctrl_tlast), .o0_tvalid(r0_ctrl_tvalid), .o0_tready(r0_ctrl_tready), + .o1_tdata(l0_ctrl_tdata), .o1_tlast(l0_ctrl_tlast), .o1_tvalid(l0_ctrl_tvalid), .o1_tready(l0_ctrl_tready), + .o2_tdata(), .o2_tlast(), .o2_tvalid(), .o2_tready(1'b1), + .o3_tdata(), .o3_tlast(), .o3_tvalid(), .o3_tready(1'b1) + ); + + /******************************************************************* + * Misc controls + ******************************************************************/ + wire set_stb; + wire [7:0] set_addr; + wire [31:0] set_data; + + wire spi_ready; + wire [31:0] spi_readback; + + wire [1:0] rb_addr; + reg [63:0] rb_data; + + wire [63:0] l0i_ctrl_tdata; wire l0i_ctrl_tlast, l0i_ctrl_tvalid, l0i_ctrl_tready; + + wire time_sync, time_sync_r; + + synchronizer time_sync_synchronizer + (.clk(radio_clk), .rst(radio_rst), .in(time_sync), .out(time_sync_r)); + + axi_fifo #(.WIDTH(65), .SIZE(0)) radio_ctrl_proc_timing_fifo + ( + .clk(bus_clk), .reset(bus_rst), .clear(1'b0), + .i_tdata({l0_ctrl_tlast, l0_ctrl_tdata}), .i_tvalid(l0_ctrl_tvalid), .i_tready(l0_ctrl_tready), .space(), + .o_tdata({l0i_ctrl_tlast, l0i_ctrl_tdata}), .o_tvalid(l0i_ctrl_tvalid), .o_tready(l0i_ctrl_tready), .occupied() + ); + + radio_ctrl_proc radio_ctrl_proc + ( + .clk(bus_clk), .reset(bus_rst), .clear(1'b0), + .ctrl_tdata(l0i_ctrl_tdata), .ctrl_tlast(l0i_ctrl_tlast), .ctrl_tvalid(l0i_ctrl_tvalid), .ctrl_tready(l0i_ctrl_tready), + .resp_tdata(l0_resp_tdata), .resp_tlast(l0_resp_tlast), .resp_tvalid(l0_resp_tvalid), .resp_tready(l0_resp_tready), + .vita_time(64'b0), + .set_stb(set_stb), .set_addr(set_addr), .set_data(set_data), + .ready(spi_ready), .readback(rb_data), + .debug() + ); + + setting_reg #(.my_addr(SR_CORE_MISC), .awidth(8), .width(32), .at_reset(8'h0)) sr_misc + ( + .clk(bus_clk), .rst(bus_rst), .strobe(set_stb), .addr(set_addr), .in(set_data), + .out(misc_outs), .changed() + ); + + setting_reg #(.my_addr(SR_CORE_READBACK), .awidth(8), .width(2)) sr_rdback + ( + .clk(bus_clk), .rst(bus_rst), .strobe(set_stb), .addr(set_addr), .in(set_data), + .out(rb_addr), .changed() + ); + + setting_reg #(.my_addr(SR_CORE_SYNC), .awidth(8), .width(3)) sr_sync + ( + .clk(bus_clk), .rst(bus_rst), .strobe(set_stb), .addr(set_addr), .in(set_data), + .out({time_sync,pps_select}), .changed() + ); + + simple_spi_core #(.BASE(SR_CORE_SPI), .WIDTH(8), .CLK_IDLE(0), .SEN_IDLE(8'hFF)) misc_spi + ( + .clock(bus_clk), .reset(bus_rst), + .set_stb(set_stb), .set_addr(set_addr), .set_data(set_data), + .readback(spi_readback), .ready(spi_ready), + .sen(sen), .sclk(sclk), .mosi(mosi), .miso(miso), + .debug() + ); + + always @* + case(rb_addr) + 2'd0 : rb_data <= { 32'hACE0BA5E, COMPAT_MAJOR, COMPAT_MINOR }; + 2'd1 : rb_data <= { 32'b0, spi_readback }; + 2'd2 : rb_data <= { 16'b0, 8'd1, 8'd0, rb_misc }; + 2'd3 : rb_data <= { 30'h0, lock_state_r }; + default : rb_data <= 64'd0; + endcase // case (rb_addr) + + /******************************************************************* + * Radio + ******************************************************************/ + wire [31:0] fe_gpio_out32; + wire [9:0] fp_gpio_out10, fp_gpio_ddr10; + assign fe_gpio_out = fe_gpio_out32[7:0]; + assign fp_gpio_out = fp_gpio_out10[7:0]; + assign fp_gpio_ddr = fp_gpio_ddr10[7:0]; + + radio_legacy #( + .RADIO_FIFO_SIZE(RADIO_FIFO_SIZE), + .SAMPLE_FIFO_SIZE(SAMPLE_FIFO_SIZE), + .FP_GPIO(1), + .NEW_HB_INTERP(1), + .NEW_HB_DECIM(1), + .SOURCE_FLOW_CONTROL(0), + .USER_SETTINGS(0), + .DEVICE("SPARTAN6") + ) radio ( + .radio_clk(radio_clk), .radio_rst(radio_rst), + .rx(rx0), .tx(tx0), .pps(pps), .time_sync(time_sync_r), + .fe_gpio_in(32'h00000000), .fe_gpio_out(fe_gpio_out32), .fe_gpio_ddr(/* Always assumed to be outputs */), + .fp_gpio_in({2'b00, fp_gpio_in}), .fp_gpio_out(fp_gpio_out10), .fp_gpio_ddr(fp_gpio_ddr10), + .bus_clk(bus_clk), .bus_rst(bus_rst), + .tx_tdata(tx_tdata), .tx_tlast(tx_tlast), .tx_tvalid(tx_tvalid), .tx_tready(tx_tready), + .rx_tdata(rx_tdata), .rx_tlast(rx_tlast), .rx_tvalid(rx_tvalid), .rx_tready(rx_tready), + .ctrl_tdata(r0_ctrl_tdata), .ctrl_tlast(r0_ctrl_tlast), .ctrl_tvalid(r0_ctrl_tvalid), .ctrl_tready(r0_ctrl_tready), + .resp_tdata(r0_resp_tdata), .resp_tlast(r0_resp_tlast), .resp_tvalid(r0_resp_tvalid), .resp_tready(r0_resp_tready), + .debug() + ); + + +endmodule // b205_core diff --git a/fpga/usrp3/top/b2xxmini/b205_io.v b/fpga/usrp3/top/b2xxmini/b205_io.v new file mode 100644 index 000000000..97e4cba24 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/b205_io.v @@ -0,0 +1,459 @@ +// +// Copyright 2015 Ettus Research, a National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// + +//------------------------------------------------------------------ +// NOTE: B205 is a SISO only device. MIMO references are unused code branches. +// +// In SISO mode, we output a clock thats 1x the frequency of the Catalina +// source-synchronous bus clock to be used as the radio_clk. +// +//------------------------------------------------------------------ + +module b205_io + ( + input reset, + + // Baseband sample interface + output radio_clk, + output [11:0] rx_i0, + output [11:0] rx_q0, + input [11:0] tx_i0, + input [11:0] tx_q0, + + // Catalina interface + input rx_clk, + input rx_frame, + input [11:0] rx_data, + output tx_clk, + output tx_frame, + output [11:0] tx_data + ); + + + genvar z; + + + //------------------------------------------------------------------ + // Clock Buffering. + // BUFIO2 drives all IDDR2 and ODDR2 cells directly in bank3. + // Need two pairs of BUFIO2 one pair each for Top Left and Bottom Left half banks. + //------------------------------------------------------------------ + wire rx_clk_buf; + wire siso_clk_unbuf; + wire siso_clk2_unbuf; + + IBUFG clk_ibufg (.O(rx_clk_buf), .I(rx_clk)); + + //------------------------------------------------------------------ + // + // Buffers for LEFT TOP half bank pins + // BUFIO2_X0Y22 + // + //------------------------------------------------------------------ + BUFIO2 #( + .DIVIDE(4), + .DIVIDE_BYPASS("FALSE"), + .I_INVERT("FALSE"), + .USE_DOUBLER("TRUE")) + clk_bufio_lt + ( + .IOCLK(io_clk_lt), + .DIVCLK(), + .SERDESSTROBE(), + .I(rx_clk_buf) + ); + + // BUFIO2_X0Y23 + BUFIO2 #( + .DIVIDE(1), + .DIVIDE_BYPASS("FALSE"), + .I_INVERT("TRUE"), + .USE_DOUBLER("FALSE")) + clk_bufio_lt_b + ( + .IOCLK(io_clk_lt_b), + .DIVCLK(siso_clk2_unbuf), // Inverted source of 1x interface clock for radio_clk + .SERDESSTROBE(), + .I(rx_clk_buf) + ); + + //------------------------------------------------------------------ + // + // Buffers for LEFT BOTTOM half bank pins + // BUFIO2_X1Y14 + // + //------------------------------------------------------------------ + BUFIO2 #( + .DIVIDE(1), + .DIVIDE_BYPASS("FALSE"), + .I_INVERT("FALSE"), + .USE_DOUBLER("FALSE")) + clk_bufio_lb + ( + .IOCLK(io_clk_lb), + .DIVCLK(siso_clk_unbuf), // Non-inverted source of 1x interface clock for local IO use + .SERDESSTROBE(), + .I(rx_clk_buf) + ); + + // BUFIO2_X1Y15 + BUFIO2 #( + .DIVIDE(1), + .DIVIDE_BYPASS("FALSE"), + .I_INVERT("TRUE"), + .USE_DOUBLER("FALSE")) + clk_bufio_lb_b + ( + .IOCLK(io_clk_lb_b), + .DIVCLK(/*siso_clk2_unbuf*/), + .SERDESSTROBE(), + .I(rx_clk_buf) + ); + + //------------------------------------------------------------------ + // Always-on SISO clk needed to load/unload DDR2 I/O Regs + //------------------------------------------------------------------ + BUFG siso_clk_bufg ( + .I(siso_clk_unbuf), + .O(siso_clk) + ); + + //------------------------------------------------------------------ + // BUFG to drive global radio_clk. + //------------------------------------------------------------------ + BUFG radio_clk_bufg ( + .I(siso_clk2_unbuf), + .O(radio_clk) + ); + + //------------------------------------------------------------------ + // RX Frame Signal - In bank 3 LB + //------------------------------------------------------------------ + wire rx_frame_0, rx_frame_1; + + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_frame ( + .Q0(rx_frame_1), + .Q1(rx_frame_0), + .C0(io_clk_lb), + .C1(io_clk_lb_b), + .CE(1'b1), + .D(rx_frame), + .R(1'b0), + .S(1'b0)); + + reg rx_frame_d1, rx_frame_d2; + always @(posedge siso_clk) + { rx_frame_d2, rx_frame_d1 } <= { rx_frame_1, 1'b0 }; + + + //------------------------------------------------------------------ + // RX Data Bus - In bank3 both LT and LB + //------------------------------------------------------------------ + wire [11:0] rx_i,rx_q; + + // Bit0 LB + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i0 ( + .Q0(rx_q[0]), + .Q1(rx_i[0]), + .C0(io_clk_lb), + .C1(io_clk_lb_b), + .CE(1'b1), + .D(rx_data[0]), + .R(1'b0), + .S(1'b0)); + + // Bit1 LB + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i1 ( + .Q0(rx_q[1]), + .Q1(rx_i[1]), + .C0(io_clk_lb), + .C1(io_clk_lb_b), + .CE(1'b1), + .D(rx_data[1]), + .R(1'b0), + .S(1'b0)); + + // Bit2 LB + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i2 ( + .Q0(rx_q[2]), + .Q1(rx_i[2]), + .C0(io_clk_lt), + .C1(io_clk_lt_b), + .CE(1'b1), + .D(rx_data[2]), + .R(1'b0), + .S(1'b0)); + + // Bit3 LT + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i3 ( + .Q0(rx_q[3]), + .Q1(rx_i[3]), + .C0(io_clk_lt), + .C1(io_clk_lt_b), + .CE(1'b1), + .D(rx_data[3]), + .R(1'b0), + .S(1'b0)); + + // Bit4 LB + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i4 ( + .Q0(rx_q[4]), + .Q1(rx_i[4]), + .C0(io_clk_lt), + .C1(io_clk_lt_b), + .CE(1'b1), + .D(rx_data[4]), + .R(1'b0), + .S(1'b0)); + + // Bit5 LT + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i5 ( + .Q0(rx_q[5]), + .Q1(rx_i[5]), + .C0(io_clk_lt), + .C1(io_clk_lt_b), + .CE(1'b1), + .D(rx_data[5]), + .R(1'b0), + .S(1'b0)); + + // Bit6 LB + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i6 ( + .Q0(rx_q[6]), + .Q1(rx_i[6]), + .C0(io_clk_lt), + .C1(io_clk_lt_b), + .CE(1'b1), + .D(rx_data[6]), + .R(1'b0), + .S(1'b0)); + + // Bit7 LT + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i7 ( + .Q0(rx_q[7]), + .Q1(rx_i[7]), + .C0(io_clk_lt), + .C1(io_clk_lt_b), + .CE(1'b1), + .D(rx_data[7]), + .R(1'b0), + .S(1'b0)); + + // Bit8 LB + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i8 ( + .Q0(rx_q[8]), + .Q1(rx_i[8]), + .C0(io_clk_lb), + .C1(io_clk_lb_b), + .CE(1'b1), + .D(rx_data[8]), + .R(1'b0), + .S(1'b0)); + + // Bit9 LT + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i9 ( + .Q0(rx_q[9]), + .Q1(rx_i[9]), + .C0(io_clk_lb), + .C1(io_clk_lb_b), + .CE(1'b1), + .D(rx_data[9]), + .R(1'b0), + .S(1'b0)); + + // Bit10 LB + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i10 ( + .Q0(rx_q[10]), + .Q1(rx_i[10]), + .C0(io_clk_lb), + .C1(io_clk_lb_b), + .CE(1'b1), + .D(rx_data[10]), + .R(1'b0), + .S(1'b0)); + + // Bit11 LB + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i11 ( + .Q0(rx_q[11]), + .Q1(rx_i[11]), + .C0(io_clk_lb), + .C1(io_clk_lb_b), + .CE(1'b1), + .D(rx_data[11]), + .R(1'b0), + .S(1'b0)); + + //------------------------------------------------------------------ + // + // De-mux I & Q onto fullrate clock. + // + // We grab data from the IDDR2 using negedge of siso_clk. + // IDDR2 updates all Q pins on posedge of io_clk. siso_clk does not have aligned phase + // with io_clk...siso_clk is always a little more delayed than io_clk. + // This small delay is always much smaller than half a clk cycle. Thus by sampling the Q outputs + // with negedge siso_clk we avoid any risk of a race condition (hold violation on receiveing register). + // + //------------------------------------------------------------------ + reg [11:0] rx_i_del, rx_q_del; + reg [11:0] rx_i0_siso_pos; + reg [11:0] rx_q0_siso_pos; + reg [11:0] rx_i0_siso_neg; + reg [11:0] rx_q0_siso_neg; + reg [11:0] rx_i0_siso; + reg [11:0] rx_q0_siso; + + always @(negedge siso_clk) + begin + rx_i0_siso[11:0] <= rx_i[11:0]; + rx_q0_siso[11:0] <= rx_q[11:0]; + end // else: !if(rx_frame_0) + + //------------------------------------------------------------------ + // + // Now prepare data for crossing into radio_clk domain which is always for SISO mode (inverted) siso_clk. + // (Note: posedge is used so that we have massive margin against a fast-path race condition + // betwwen siso_clk and radio_clk). + // + //------------------------------------------------------------------ + + // This code block only relevent in SISO mode. + always @(posedge siso_clk) + begin + rx_i0_siso_pos[11:0] <= rx_i0_siso[11:0]; + rx_q0_siso_pos[11:0] <= rx_q0_siso[11:0]; + end + + assign rx_i0 = rx_i0_siso_pos; + assign rx_q0 = rx_q0_siso_pos; + + + //------------------------------------------------------------------ + // TX Data Bus - In bank3 LB + //------------------------------------------------------------------ + reg [11:0] tx_i,tx_q; + + generate + for(z = 0; z < 12; z = z + 1) + begin : gen_pins + ODDR2 #( + .DDR_ALIGNMENT("C0"), .SRTYPE("ASYNC")) + oddr2 ( + .Q(tx_data[z]), .C0(io_clk_lb), .C1(io_clk_lb_b), + .CE(1'b1), .D0(tx_i[z]), .D1(tx_q[z]), .R(1'b0), .S(1'b0)); + end + endgenerate + + //------------------------------------------------------------------ + // TX Frame Signal - In bank 3 LB + //------------------------------------------------------------------ + ODDR2 #( + .DDR_ALIGNMENT("C0"), .SRTYPE("ASYNC")) + oddr2_frame ( + .Q(tx_frame), .C0(io_clk_lb), .C1(io_clk_lb_b), + .CE(1'b1), .D0(1'b1), .D1(1'b0), .R(1'b0), .S(1'b0)); + + //------------------------------------------------------------------ + // TX Clock Signal - In bank 3 LB + //------------------------------------------------------------------ + ODDR2 #( + .DDR_ALIGNMENT("C0"), .SRTYPE("ASYNC")) + oddr2_clk ( + .Q(tx_clk), .C0(io_clk_lb), .C1(io_clk_lb_b), + .CE(1'b1), .D0(1'b1), .D1(1'b0), .R(1'b0), .S(1'b0)); + + //------------------------------------------------------------------ + // + // Mux I & Q, onto fullrate clock TX bus to AD9361 + // + //------------------------------------------------------------------ + + always @(posedge siso_clk) + begin + {tx_i,tx_q} <= {tx_i0,tx_q0}; + end + + // + // Debug + // +/* -----\/----- EXCLUDED -----\/----- + wire [35:0] CONTROL0; + reg [11:0] tx_i_del_debug, tx_q_del_debug; + reg [11:0] tx_i_debug,tx_q_debug; + reg [11:0] tx_i0_debug,tx_q0_debug; + reg find_radio_clk_phase_debug; + reg find_radio_clk_phase_del_debug; + reg tx_strobe_debug; + reg tx_strobe_del_debug; + + + always @(posedge siso_clk) begin + tx_i_del_debug <= tx_i_del; + tx_q_del_debug <= tx_q_del; + tx_i_debug <= tx_i; + tx_q_debug <= tx_q; + tx_i0_debug <=tx_i0; + tx_q0_debug <= tx_q0; + find_radio_clk_phase_debug <= find_radio_clk_phase; + find_radio_clk_phase_del_debug <= find_radio_clk_phase_del; + tx_strobe_debug <= tx_strobe; + tx_strobe_del_debug <= tx_strobe_del; + end + + + + chipscope_icon chipscope_icon_i0 + ( + .CONTROL0(CONTROL0) // INOUT BUS [35:0] + ); + + chipscope_ila_128 chipscope_ila_i0 + ( + .CONTROL(CONTROL0), // INOUT BUS [35:0] + .CLK(siso_clk), // IN + .TRIG0( + { + tx_i_del_debug[11:0], + tx_q_del_debug[11:0], + tx_i_debug[11:0], + tx_q_debug[11:0], + tx_i0_debug[11:0], + tx_q0_debug[11:0], + find_radio_clk_phase_debug, + find_radio_clk_phase_del_debug, + tx_strobe_debug, + tx_strobe_del_debug + } + ) + + ); + -----/\----- EXCLUDED -----/\----- */ +endmodule diff --git a/fpga/usrp3/top/b2xxmini/b205_ref_pll.v b/fpga/usrp3/top/b2xxmini/b205_ref_pll.v new file mode 100644 index 000000000..0483bc93b --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/b205_ref_pll.v @@ -0,0 +1,278 @@ +// +// Copyright 2015 Ettus Research, a National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// + +module b205_ref_pll( + input reset, + input clk, // 200 MHz sample clock + input refclk, // 40 MHz reference clock + input ref, // PPS or 10 MHz external reference + output reg locked, + + // SPI lines to AD5662 + output sclk, + output mosi, + output sync_n + ); + + // Base parameters + localparam SAMPLE_CLOCK_FREQ=200_000_000; + localparam REF_FREQ_PPS=1; + localparam REF_FREQ_10MHZ=10_000_000; + localparam REF_CLK_FREQ=40_000_000; + localparam PFD_FREQ_PPS=1; + localparam PFD_FREQ_10MHZ=10; + + // Lock detection parameters + localparam LOCK_TOLERANCE_PPM=1; + localparam LOCK_MARGIN_PPS=(SAMPLE_CLOCK_FREQ/PFD_FREQ_PPS)*LOCK_TOLERANCE_PPM/1_000_000; + localparam LOCK_MARGIN_10MHZ=(SAMPLE_CLOCK_FREQ/PFD_FREQ_10MHZ)*LOCK_TOLERANCE_PPM/1_000_000; + + // Reference frequency detection parameters + // References are only valid if they are +/-5ppm because that is the range of the VCTXCO + localparam REF_PERIOD_PPS=SAMPLE_CLOCK_FREQ/REF_FREQ_PPS; + localparam REF_PERIOD_10MHZ=SAMPLE_CLOCK_FREQ/REF_FREQ_10MHZ; + localparam REF_PERIOD_PPS_MIN=REF_PERIOD_PPS-(REF_PERIOD_PPS*5/1_000_000)-1; + localparam REF_PERIOD_PPS_MAX=REF_PERIOD_PPS+(REF_PERIOD_PPS*5/1_000_000)+1; + localparam REF_PERIOD_10MHZ_MIN=REF_PERIOD_10MHZ-(REF_PERIOD_10MHZ*5/1_000_000)-1; + localparam REF_PERIOD_10MHZ_MAX=REF_PERIOD_10MHZ+(REF_PERIOD_10MHZ*5/1_000_000)+1; + + // R divider parameters + localparam RDIV_PPS=REF_FREQ_PPS/PFD_FREQ_PPS; + localparam RDIV_10MHZ=REF_FREQ_10MHZ/PFD_FREQ_10MHZ; + + // N divider parameters (refclk is divided by 2) + localparam NDIV_PPS=REF_CLK_FREQ/2/PFD_FREQ_PPS; + localparam NDIV_10MHZ=REF_CLK_FREQ/2/PFD_FREQ_10MHZ; + + // PFD parameters + localparam PFD_PERIOD_PPS=SAMPLE_CLOCK_FREQ/PFD_FREQ_PPS; + localparam PFD_PERIOD_10MHZ=SAMPLE_CLOCK_FREQ/PFD_FREQ_10MHZ; + + + // Initial divide by 2 for 40 MHz clock + // (since refclk cannot be sampled directly) + reg refclk_div; + always @(posedge refclk) begin + refclk_div <= ~refclk_div; + end + + // flop signals into sample clock domain together + reg [3:0] refsmp; + reg [3:0] refclksmp; + always @(posedge clk) begin + refsmp <= {refsmp[2:0],ref}; + refclksmp <= {refclksmp[2:0],refclk_div}; + end + + // rising edge detection + wire ref_rising = (refsmp[3:2] == 2'b01); + wire refclk_rising = (refclksmp[3:2] == 2'b01); + + // reference frequency detection + reg [27:0] refcnt; + reg ref_detected; + reg ref_is_10M; + reg ref_is_pps; + wire valid_ref = ref_is_10M | ref_is_pps; + always @(posedge clk) begin + if (reset) begin + refcnt <= 28'd0; + ref_detected <= 1'b0; + ref_is_10M <= 1'b0; + ref_is_pps <= 1'b0; + end + else if (ref_rising) begin + refcnt <= 28'd1; + ref_detected <= 1'b1; + ref_is_10M <= ((refcnt >= REF_PERIOD_10MHZ_MIN) && (refcnt <= REF_PERIOD_10MHZ_MAX)); + ref_is_pps <= ((refcnt >= REF_PERIOD_PPS_MIN) && (refcnt <= REF_PERIOD_PPS_MAX)); + end + else if ((ref_is_10M && (refcnt > REF_PERIOD_10MHZ_MAX)) || (refcnt > REF_PERIOD_PPS_MAX)) begin + // consider the reference lost + refcnt <= 28'd0; + ref_detected <= 1'b0; + ref_is_10M <= 1'b0; + ref_is_pps <= 1'b0; + end + else if (ref_detected) + refcnt <= refcnt + 28'd1; + end + + // R divider + wire [23:0] rdiv = ref_is_10M ? RDIV_10MHZ : RDIV_PPS; + reg [23:0] rcnt; + wire [23:0] next_rcnt = ~valid_ref ? 24'd0 : (rcnt == rdiv) ? 24'd1 : rcnt + 1'b1; + reg r_rising; + always @(posedge clk) begin + if (ref_rising) + rcnt <= next_rcnt; + r_rising <= (ref_rising && ((ref_is_10M && (rcnt == rdiv)) || ref_is_pps)); + end + + // N divider + // Enable on rising edge of R after valid_ref + // is asserted so R and N signals start aligned. + // Disable if reference lost. + wire [25:0] ndiv = ref_is_10M ? NDIV_10MHZ : NDIV_PPS; + reg [25:0] ncnt; + wire [25:0] next_ncnt = ~valid_ref ? 26'd0 : ncnt == ndiv ? 26'd1 : ncnt + 1'b1; + reg n_rising; + always @(posedge clk) begin + if (refclk_rising) + ncnt <= next_ncnt; + n_rising <= (refclk_rising && (ncnt == ndiv)); + end + + // Frequency Counter + wire signed [28:0] period = ref_is_10M ? PFD_PERIOD_10MHZ : PFD_PERIOD_PPS; + reg signed [28:0] r_period_cnt; + reg signed [28:0] freq_err; + always @(posedge clk) begin + if (reset | ~valid_ref) begin + r_period_cnt <= 28'd0; + freq_err <= 29'sd0; + end + else if (r_rising) begin + r_period_cnt <= 28'd1; + freq_err <= period - r_period_cnt; + end + else + r_period_cnt <= r_period_cnt + 28'd1; + end + + // Phase Counter + reg signed [28:0] lead_cnt; + reg lead_cnt_ena; + reg signed [28:0] lead; + always @(posedge clk) begin + // Count how much N leads R + // The count is negative because it measures + // how much the VCTCXO must be slowed down. + if (~valid_ref | n_rising) begin + lead_cnt <= 29'sd0; + lead_cnt_ena <= 1'b1; + if (r_rising) + lead <= 29'sd0; + end + else if (r_rising) begin + if (lead_cnt_ena) + lead <= lead_cnt - 29'sd1; + else begin + // R rising with no preceding N rising. + // N has changed from leading to lagging R, + // but we don't yet know by how much so + // assume 1. + lead <= 29'sd1; + end + lead_cnt_ena <= 1'b0; + end + else if (lead_cnt_ena) + lead_cnt <= lead_cnt - 29'sd1; + end + + // PFD State Machine + localparam MEASURE=4'd0; + localparam CAPTURE=4'd1; + localparam CAPTURE_LAG=4'd2; + localparam CAPTURE_LEAD=4'd3; + localparam CALCULATE_ERROR=4'd4; + localparam CALCULATE_10M_GAIN=4'd5; + localparam CALCULATE_ADJUSTMENT=4'd6; + localparam CALCULATE_OUTPUT_VALUE=4'd7; + localparam APPLY_OUTPUT_VALUE=4'd8; + reg [3:0] state; + reg [15:0] daco = 16'd32767; + wire signed [28:0] lock_margin = ref_is_10M ? LOCK_MARGIN_10MHZ : LOCK_MARGIN_PPS; + wire signed [28:0] lag = lead + period; + reg signed [28:0] phase_err; + reg signed [28:0] err; + reg signed [28:0] shift; + reg signed [28:0] adj; + wire signed [28:0] dacv = {13'd0, daco}; + reg signed [28:0] sum; + reg [2:0] ld; + always @(posedge clk) begin + if (reset || ~valid_ref) begin + state <= MEASURE; + daco <= 16'd32767; + err <= 29'sd0; + shift <= 29'sd0; + adj <= 29'sd0; + ld <= 3'd0; + end + else begin + case(state) + MEASURE: begin + if (r_rising) + state <= CAPTURE; + end + CAPTURE: begin + if (lag < -lead) + state <= CAPTURE_LAG; + else + state <= CAPTURE_LEAD; + end + CAPTURE_LAG: begin + phase_err <= lag; + ld <= {ld[1:0], (lag <= lock_margin)}; + state <= CALCULATE_ERROR; + end + CAPTURE_LEAD: begin + phase_err <= lead; + ld <= {ld[1:0], (-lead <= lock_margin)}; + state <= CALCULATE_ERROR; + end + CALCULATE_ERROR: begin + err <= phase_err + freq_err; + state <= ref_is_10M ? CALCULATE_10M_GAIN : CALCULATE_ADJUSTMENT; + end + CALCULATE_10M_GAIN: begin + shift <= (err < -7 || err > 7) ? 7 : (err < 0 ? -err : err); + state <= CALCULATE_ADJUSTMENT; + end + CALCULATE_ADJUSTMENT: begin + // The VCTCXO is +/-5 ppm from 0.3V to 1.5V and the DAC is 16 bits, + // which works out to 0.000228885 ppm per DAC unit. + // The 200 MHz sampling clock means each unit of error is 0.005 ppm, + // which works out to 21.845 DAC units to correct each unit of error. + // Theory is nice, but the proportional and integral gains used here + // were determined through manual tuning. + if (ref_is_10M) + adj <= (err <<< shift); + else + adj <= (err <<< 4) - err; + state <= CALCULATE_OUTPUT_VALUE; + end + CALCULATE_OUTPUT_VALUE: begin + sum <= dacv + adj; + state <= APPLY_OUTPUT_VALUE; + end + APPLY_OUTPUT_VALUE: begin + // Clip and apply + if (sum < 29'sd0) + daco <= 16'd0; + else if (sum > 29'sd65535) + daco <= 16'd65535; + else + daco <= sum[15:0]; + state <= MEASURE; + end + endcase + end + end + + always @(posedge clk) + locked <= (ld == 3'b111); + + ad5662_auto_spi dac + ( + .clk(clk), + .dat(daco), + .sclk(sclk), + .mosi(mosi), + .sync_n(sync_n) + ); +endmodule diff --git a/fpga/usrp3/top/b2xxmini/coregen/.gitignore b/fpga/usrp3/top/b2xxmini/coregen/.gitignore new file mode 100644 index 000000000..bdeac28ca --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/.gitignore @@ -0,0 +1,5 @@ +/tmp +/_xmsgs +/*.log +/*.gise +/*.xise diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.asy b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.asy new file mode 100644 index 000000000..c2995765a --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.asy @@ -0,0 +1,29 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 b205_clk_gen +RECTANGLE Normal 32 32 576 1088 +LINE Normal 0 80 32 80 +PIN 0 80 LEFT 36 +PINATTR PinName clk_in1 +PINATTR Polarity IN +LINE Normal 0 432 32 432 +PIN 0 432 LEFT 36 +PINATTR PinName reset +PINATTR Polarity IN +LINE Normal 608 80 576 80 +PIN 608 80 RIGHT 36 +PINATTR PinName clk_out1 +PINATTR Polarity OUT +LINE Normal 608 176 576 176 +PIN 608 176 RIGHT 36 +PINATTR PinName clk_out2 +PINATTR Polarity OUT +LINE Normal 608 272 576 272 +PIN 608 272 RIGHT 36 +PINATTR PinName clk_out3 +PINATTR Polarity OUT +LINE Normal 608 976 576 976 +PIN 608 976 RIGHT 36 +PINATTR PinName locked +PINATTR Polarity OUT + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.ucf b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.ucf new file mode 100755 index 000000000..9b318e829 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.ucf @@ -0,0 +1,59 @@ +# file: b205_clk_gen.ucf +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# Input clock periods. These duplicate the values entered for the +# input clocks. You can use these to time your system +#---------------------------------------------------------------- +NET "CLK_IN1" TNM_NET = "CLK_IN1"; +TIMESPEC "TS_CLK_IN1" = PERIOD "CLK_IN1" 25.0 ns HIGH 50% INPUT_JITTER 250.0ps; + + +# FALSE PATH constraints +PIN "RESET" TIG; + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.v b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.v new file mode 100755 index 000000000..e4184e6fc --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.v @@ -0,0 +1,158 @@ +// file: b205_clk_gen.v +// +// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +//---------------------------------------------------------------------------- +// User entered comments +//---------------------------------------------------------------------------- +// None +// +//---------------------------------------------------------------------------- +// "Output Output Phase Duty Pk-to-Pk Phase" +// "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" +//---------------------------------------------------------------------------- +// CLK_OUT1____40.000______0.000______50.0______312.078____259.584 +// CLK_OUT2___100.000______0.000______50.0______255.594____259.584 +// CLK_OUT3___200.000______0.000______50.0______225.630____259.584 +// +//---------------------------------------------------------------------------- +// "Input Clock Freq (MHz) Input Jitter (UI)" +//---------------------------------------------------------------------------- +// __primary__________40.000____________0.010 + +`timescale 1ps/1ps + +(* CORE_GENERATION_INFO = "b205_clk_gen,clk_wiz_v3_6,{component_name=b205_clk_gen,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=3,clkin1_period=25.0,clkin2_period=25.0,use_power_down=false,use_reset=true,use_locked=true,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}" *) +module b205_clk_gen + (// Clock in ports + input CLK_IN1_40, + // Clock out ports + output CLK_OUT1_40_int, + output CLK_OUT2_100_bus, + output CLK_OUT3_200_ref_pll, + // Status and control signals + input RESET, + output LOCKED + ); + + // Input buffering + //------------------------------------ + IBUFG clkin1_buf + (.O (clkin1), + .I (CLK_IN1_40)); + + + // Clocking primitive + //------------------------------------ + // Instantiation of the PLL primitive + // * Unused inputs are tied off + // * Unused outputs are labeled unused + wire [15:0] do_unused; + wire drdy_unused; + wire clkfbout; + wire clkfbout_buf; + wire clkout3_unused; + wire clkout4_unused; + wire clkout5_unused; + + PLL_BASE + #(.BANDWIDTH ("OPTIMIZED"), + .CLK_FEEDBACK ("CLKFBOUT"), + .COMPENSATION ("SYSTEM_SYNCHRONOUS"), + .DIVCLK_DIVIDE (1), + .CLKFBOUT_MULT (10), + .CLKFBOUT_PHASE (0.000), + .CLKOUT0_DIVIDE (10), + .CLKOUT0_PHASE (0.000), + .CLKOUT0_DUTY_CYCLE (0.500), + .CLKOUT1_DIVIDE (4), + .CLKOUT1_PHASE (0.000), + .CLKOUT1_DUTY_CYCLE (0.500), + .CLKOUT2_DIVIDE (2), + .CLKOUT2_PHASE (0.000), + .CLKOUT2_DUTY_CYCLE (0.500), + .CLKIN_PERIOD (25.0), + .REF_JITTER (0.010)) + pll_base_inst + // Output clocks + (.CLKFBOUT (clkfbout), + .CLKOUT0 (clkout0), + .CLKOUT1 (clkout1), + .CLKOUT2 (clkout2), + .CLKOUT3 (clkout3_unused), + .CLKOUT4 (clkout4_unused), + .CLKOUT5 (clkout5_unused), + // Status and control signals + .LOCKED (LOCKED), + .RST (RESET), + // Input clock control + .CLKFBIN (clkfbout_buf), + .CLKIN (clkin1)); + + + // Output buffering + //----------------------------------- + BUFG clkf_buf + (.O (clkfbout_buf), + .I (clkfbout)); + + BUFG clkout1_buf + (.O (CLK_OUT1_40_int), + .I (clkout0)); + + + BUFG clkout2_buf + (.O (CLK_OUT2_100_bus), + .I (clkout1)); + + BUFG clkout3_buf + (.O (CLK_OUT3_200_ref_pll), + .I (clkout2)); + + + +endmodule diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.veo b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.veo new file mode 100755 index 000000000..874e6b65c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.veo @@ -0,0 +1,82 @@ +// +// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +//---------------------------------------------------------------------------- +// User entered comments +//---------------------------------------------------------------------------- +// None +// +//---------------------------------------------------------------------------- +// "Output Output Phase Duty Pk-to-Pk Phase" +// "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" +//---------------------------------------------------------------------------- +// CLK_OUT1____40.000______0.000______50.0______312.078____259.584 +// CLK_OUT2___100.000______0.000______50.0______255.594____259.584 +// CLK_OUT3___200.000______0.000______50.0______225.630____259.584 +// +//---------------------------------------------------------------------------- +// "Input Clock Freq (MHz) Input Jitter (UI)" +//---------------------------------------------------------------------------- +// __primary__________40.000____________0.010 + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG + + b205_clk_gen instance_name + (// Clock in ports + .CLK_IN1_40(CLK_IN1_40), // IN + // Clock out ports + .CLK_OUT1_40_int(CLK_OUT1_40_int), // OUT + .CLK_OUT2_100_bus(CLK_OUT2_100_bus), // OUT + .CLK_OUT3_200_ref_pll(CLK_OUT3_200_ref_pll), // OUT + // Status and control signals + .RESET(RESET),// IN + .LOCKED(LOCKED)); // OUT +// INST_TAG_END ------ End INSTANTIATION Template --------- diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.xco b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.xco new file mode 100644 index 000000000..d3688079a --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.xco @@ -0,0 +1,269 @@ +############################################################## +# +# Xilinx Core Generator version 14.7 +# Date: Tue Jul 14 00:18:05 2015 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:clk_wiz:3.6 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx75 +SET devicefamily = spartan6 +SET flowvendor = Foundation_ISE +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = csg484 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT Clocking_Wizard xilinx.com:ip:clk_wiz:3.6 +# END Select +# BEGIN Parameters +CSET calc_done=DONE +CSET clk_in_sel_port=CLK_IN_SEL +CSET clk_out1_port=CLK_OUT1_40_int +CSET clk_out1_use_fine_ps_gui=false +CSET clk_out2_port=CLK_OUT2_100_bus +CSET clk_out2_use_fine_ps_gui=false +CSET clk_out3_port=CLK_OUT3_200_ref_pll +CSET clk_out3_use_fine_ps_gui=false +CSET clk_out4_port=CLK_OUT4_gpif +CSET clk_out4_use_fine_ps_gui=false +CSET clk_out5_port=CLK_OUT5 +CSET clk_out5_use_fine_ps_gui=false +CSET clk_out6_port=CLK_OUT6 +CSET clk_out6_use_fine_ps_gui=false +CSET clk_out7_port=CLK_OUT7 +CSET clk_out7_use_fine_ps_gui=false +CSET clk_valid_port=CLK_VALID +CSET clkfb_in_n_port=CLKFB_IN_N +CSET clkfb_in_p_port=CLKFB_IN_P +CSET clkfb_in_port=CLKFB_IN +CSET clkfb_in_signaling=SINGLE +CSET clkfb_out_n_port=CLKFB_OUT_N +CSET clkfb_out_p_port=CLKFB_OUT_P +CSET clkfb_out_port=CLKFB_OUT +CSET clkfb_stopped_port=CLKFB_STOPPED +CSET clkin1_jitter_ps=250.0 +CSET clkin1_ui_jitter=0.010 +CSET clkin2_jitter_ps=100.0 +CSET clkin2_ui_jitter=0.010 +CSET clkout1_drives=BUFG +CSET clkout1_requested_duty_cycle=50.000 +CSET clkout1_requested_out_freq=40.000 +CSET clkout1_requested_phase=0.000 +CSET clkout2_drives=BUFG +CSET clkout2_requested_duty_cycle=50.000 +CSET clkout2_requested_out_freq=100.000 +CSET clkout2_requested_phase=0.000 +CSET clkout2_used=true +CSET clkout3_drives=BUFG +CSET clkout3_requested_duty_cycle=50.000 +CSET clkout3_requested_out_freq=200.000 +CSET clkout3_requested_phase=0.000 +CSET clkout3_used=true +CSET clkout4_drives=BUFG +CSET clkout4_requested_duty_cycle=50.000 +CSET clkout4_requested_out_freq=80.000 +CSET clkout4_requested_phase=0.000 +CSET clkout4_used=false +CSET clkout5_drives=BUFG +CSET clkout5_requested_duty_cycle=50.000 +CSET clkout5_requested_out_freq=100.000 +CSET clkout5_requested_phase=0.000 +CSET clkout5_used=false +CSET clkout6_drives=BUFG +CSET clkout6_requested_duty_cycle=50.000 +CSET clkout6_requested_out_freq=100.000 +CSET clkout6_requested_phase=0.000 +CSET clkout6_used=false +CSET clkout7_drives=BUFG +CSET clkout7_requested_duty_cycle=50.000 +CSET clkout7_requested_out_freq=100.000 +CSET clkout7_requested_phase=0.000 +CSET clkout7_used=false +CSET clock_mgr_type=AUTO +CSET component_name=b205_clk_gen +CSET daddr_port=DADDR +CSET dclk_port=DCLK +CSET dcm_clk_feedback=1X +CSET dcm_clk_out1_port=CLK0 +CSET dcm_clk_out2_port=CLKFX +CSET dcm_clk_out3_port=CLKFX +CSET dcm_clk_out4_port=CLK0 +CSET dcm_clk_out5_port=CLK0 +CSET dcm_clk_out6_port=CLK0 +CSET dcm_clkdv_divide=2.0 +CSET dcm_clkfx_divide=2 +CSET dcm_clkfx_multiply=5 +CSET dcm_clkgen_clk_out1_port=CLKFX +CSET dcm_clkgen_clk_out2_port=CLKFX +CSET dcm_clkgen_clk_out3_port=CLKFX +CSET dcm_clkgen_clkfx_divide=1 +CSET dcm_clkgen_clkfx_md_max=0.000 +CSET dcm_clkgen_clkfx_multiply=4 +CSET dcm_clkgen_clkfxdv_divide=2 +CSET dcm_clkgen_clkin_period=10.000 +CSET dcm_clkgen_notes=None +CSET dcm_clkgen_spread_spectrum=NONE +CSET dcm_clkgen_startup_wait=false +CSET dcm_clkin_divide_by_2=false +CSET dcm_clkin_period=25.000 +CSET dcm_clkout_phase_shift=NONE +CSET dcm_deskew_adjust=SYSTEM_SYNCHRONOUS +CSET dcm_notes=None +CSET dcm_phase_shift=0 +CSET dcm_pll_cascade=NONE +CSET dcm_startup_wait=false +CSET den_port=DEN +CSET din_port=DIN +CSET dout_port=DOUT +CSET drdy_port=DRDY +CSET dwe_port=DWE +CSET feedback_source=FDBK_AUTO +CSET in_freq_units=Units_MHz +CSET in_jitter_units=Units_UI +CSET input_clk_stopped_port=INPUT_CLK_STOPPED +CSET jitter_options=UI +CSET jitter_sel=No_Jitter +CSET locked_port=LOCKED +CSET mmcm_bandwidth=OPTIMIZED +CSET mmcm_clkfbout_mult_f=4.000 +CSET mmcm_clkfbout_phase=0.000 +CSET mmcm_clkfbout_use_fine_ps=false +CSET mmcm_clkin1_period=10.000 +CSET mmcm_clkin2_period=10.000 +CSET mmcm_clkout0_divide_f=4.000 +CSET mmcm_clkout0_duty_cycle=0.500 +CSET mmcm_clkout0_phase=0.000 +CSET mmcm_clkout0_use_fine_ps=false +CSET mmcm_clkout1_divide=1 +CSET mmcm_clkout1_duty_cycle=0.500 +CSET mmcm_clkout1_phase=0.000 +CSET mmcm_clkout1_use_fine_ps=false +CSET mmcm_clkout2_divide=1 +CSET mmcm_clkout2_duty_cycle=0.500 +CSET mmcm_clkout2_phase=0.000 +CSET mmcm_clkout2_use_fine_ps=false +CSET mmcm_clkout3_divide=1 +CSET mmcm_clkout3_duty_cycle=0.500 +CSET mmcm_clkout3_phase=0.000 +CSET mmcm_clkout3_use_fine_ps=false +CSET mmcm_clkout4_cascade=false +CSET mmcm_clkout4_divide=1 +CSET mmcm_clkout4_duty_cycle=0.500 +CSET mmcm_clkout4_phase=0.000 +CSET mmcm_clkout4_use_fine_ps=false +CSET mmcm_clkout5_divide=1 +CSET mmcm_clkout5_duty_cycle=0.500 +CSET mmcm_clkout5_phase=0.000 +CSET mmcm_clkout5_use_fine_ps=false +CSET mmcm_clkout6_divide=1 +CSET mmcm_clkout6_duty_cycle=0.500 +CSET mmcm_clkout6_phase=0.000 +CSET mmcm_clkout6_use_fine_ps=false +CSET mmcm_clock_hold=false +CSET mmcm_compensation=ZHOLD +CSET mmcm_divclk_divide=1 +CSET mmcm_notes=None +CSET mmcm_ref_jitter1=0.010 +CSET mmcm_ref_jitter2=0.010 +CSET mmcm_startup_wait=false +CSET num_out_clks=3 +CSET override_dcm=false +CSET override_dcm_clkgen=false +CSET override_mmcm=false +CSET override_pll=false +CSET platform=lin64 +CSET pll_bandwidth=OPTIMIZED +CSET pll_clk_feedback=CLKFBOUT +CSET pll_clkfbout_mult=10 +CSET pll_clkfbout_phase=0.000 +CSET pll_clkin_period=25.0 +CSET pll_clkout0_divide=10 +CSET pll_clkout0_duty_cycle=0.500 +CSET pll_clkout0_phase=0.000 +CSET pll_clkout1_divide=4 +CSET pll_clkout1_duty_cycle=0.500 +CSET pll_clkout1_phase=0.000 +CSET pll_clkout2_divide=2 +CSET pll_clkout2_duty_cycle=0.500 +CSET pll_clkout2_phase=0.000 +CSET pll_clkout3_divide=5 +CSET pll_clkout3_duty_cycle=0.500 +CSET pll_clkout3_phase=0.000 +CSET pll_clkout4_divide=1 +CSET pll_clkout4_duty_cycle=0.500 +CSET pll_clkout4_phase=0.000 +CSET pll_clkout5_divide=1 +CSET pll_clkout5_duty_cycle=0.500 +CSET pll_clkout5_phase=0.000 +CSET pll_compensation=SYSTEM_SYNCHRONOUS +CSET pll_divclk_divide=1 +CSET pll_notes=None +CSET pll_ref_jitter=0.010 +CSET power_down_port=POWER_DOWN +CSET prim_in_freq=40.000 +CSET prim_in_jitter=0.010 +CSET prim_source=Single_ended_clock_capable_pin +CSET primary_port=CLK_IN1_40 +CSET primitive=MMCM +CSET primtype_sel=PLL_BASE +CSET psclk_port=PSCLK +CSET psdone_port=PSDONE +CSET psen_port=PSEN +CSET psincdec_port=PSINCDEC +CSET relative_inclk=REL_PRIMARY +CSET reset_port=RESET +CSET secondary_in_freq=100.000 +CSET secondary_in_jitter=0.010 +CSET secondary_port=CLK_IN2 +CSET secondary_source=Single_ended_clock_capable_pin +CSET ss_mod_freq=250 +CSET ss_mode=CENTER_HIGH +CSET status_port=STATUS +CSET summary_strings=empty +CSET use_clk_valid=false +CSET use_clkfb_stopped=false +CSET use_dyn_phase_shift=false +CSET use_dyn_reconfig=false +CSET use_freeze=false +CSET use_freq_synth=true +CSET use_inclk_stopped=false +CSET use_inclk_switchover=false +CSET use_locked=true +CSET use_max_i_jitter=false +CSET use_min_o_jitter=false +CSET use_min_power=false +CSET use_phase_alignment=true +CSET use_power_down=false +CSET use_reset=true +CSET use_spread_spectrum=false +CSET use_spread_spectrum_1=false +CSET use_status=false +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2012-05-10T12:44:55Z +# END Extra information +GENERATE +# CRC: 14fd4cf9 diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.xdc b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.xdc new file mode 100755 index 000000000..992f39959 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.xdc @@ -0,0 +1,67 @@ +# file: b205_clk_gen.xdc +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# Input clock periods. These duplicate the values entered for the +# input clocks. You can use these to time your system +#---------------------------------------------------------------- +create_clock -name CLK_IN1 -period 25.0 [get_ports CLK_IN1] +set_propagated_clock CLK_IN1 +set_input_jitter CLK_IN1 0.25 + +set_false_path -from [get_ports "RESET"] + +# Derived clock periods. These are commented out because they are +# automatically propogated by the tools +# However, if you'd like to use them for module level testing, you +# can copy them into your module level timing checks +#----------------------------------------------------------------- + +#----------------------------------------------------------------- + +#----------------------------------------------------------------- diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/clk_wiz_v3_6_readme.txt b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/clk_wiz_v3_6_readme.txt new file mode 100644 index 000000000..91dcdd01f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/clk_wiz_v3_6_readme.txt @@ -0,0 +1,184 @@ +CHANGE LOG for LogiCORE Clocking Wizard V3.6 + + Release Date: June 19, 2013 +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURE HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm + +For system requirements: + + http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm + +This file contains release notes for the Xilinx LogiCORE IP Clocking Wizard v3.6 +solution. For the latest core updates, see the product page at: + + http://www.xilinx.com/products/design_resources/conn_central/solution_kits/wizards/ + +................................................................................ + +2. DEVICE SUPPORT + + + 2.1 ISE + + + The following device families are supported by the core for this release. + + All 7 Series devices + + + Zynq-7000 devices + Zynq-7000 + Defense Grade Zynq-7000Q (XQ) + + + All Virtex-6 devices + + + All Spartan-6 devices + + +................................................................................ + +3. NEW FEATURE HISTORY + + + 3.1 ISE + + - Spread Spectrum support for 7 series MMCME2 + + - ISE 14.2 software support + +................................................................................ + +4. RESOLVED ISSUES + + + 4.1 ISE + + Resolved issue with example design becoming core top in planAhead + + Resolved issue with Virtex6 MMCM instantiation for VHDL project + Please refer to AR 50719 - http://www.xilinx.com/support/answers/50719.htm + +................................................................................ + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + + The most recent information, including known issues, workarounds, and + resolutions for this version is provided in the IP Release Notes Guide + located at + + www.xilinx.com/support/documentation/user_guides/xtp025.pdf + + +................................................................................ + +6. TECHNICAL SUPPORT & FEEDBACK + + +To obtain technical support, create a WebCase at www.xilinx.com/support. +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + + +................................................................................ + +7. CORE RELEASE HISTORY + + +Date By Version Description +================================================================================ +06/19/2013 Xilinx, Inc. 3.6(Rev3) ISE 14.6 support +10/16/2012 Xilinx, Inc. 3.6(Rev2) ISE 14.3 support +07/25/2012 Xilinx, Inc. 3.6 ISE 14.2 support +04/24/2012 Xilinx, Inc. 3.5 ISE 14.1 support +01/18/2012 Xilinx, Inc. 3.3 ISE 13.4 support +06/22/2011 Xilinx, Inc. 3.2 ISE 13.2 support +03/01/2011 Xilinx, Inc. 3.1 ISE 13.1 support +12/14/2010 Xilinx, Inc. 1.8 ISE 12.4 support +09/21/2010 Xilinx, Inc. 1.7 ISE 12.3 support +07/23/2010 Xilinx, Inc. 1.6 ISE 12.2 support +04/19/2010 Xilinx, Inc. 1.5 ISE 12.1 support +12/02/2009 Xilinx, Inc. 1.4 ISE 11.4 support +09/16/2009 Xilinx, Inc. 1.3 ISE 11.3 support +06/24/2009 Xilinx, Inc. 1.2 ISE 11.2 support +04/24/2009 Xilinx, Inc. 1.1 Initial release; 11.1 support +================================================================================ + +................................................................................ + +8. LEGAL DISCLAIMER + +(c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. + +This file contains confidential and proprietary information +of Xilinx, Inc. and is protected under U.S. and +international copyright and other intellectual property +laws. + +DISCLAIMER +This disclaimer is not a license and does not grant any +rights to the materials distributed herewith. Except as +otherwise provided in a valid license issued to you by +Xilinx, and to the maximum extent permitted by applicable +law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +(2) Xilinx shall not be liable (whether in contract or tort, +including negligence, or under any other theory of +liability) for any loss or damage of any kind or nature +related to, arising under or in connection with these +materials, including for any direct, or any indirect, +special, incidental, or consequential loss or damage +(including loss of data, profits, goodwill, or any type of +loss or damage suffered as a result of any action brought +by a third party) even if such damage or loss was +reasonably foreseeable or Xilinx had been advised of the +possibility of the same. + +CRITICAL APPLICATIONS +Xilinx products are not designed or intended to be fail- +safe, or for use in any application requiring fail-safe +performance, such as life-support or safety devices or +systems, Class III medical devices, nuclear facilities, +applications related to the deployment of airbags, or any +other applications that could lead to death, personal +injury, or severe property or environmental damage +(individually and collectively, "Critical +Applications"). Customer assumes the sole risk and +liability of any use of Xilinx products in Critical +Applications, subject only to applicable laws and +regulations governing limitations on product liability. + +THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +PART OF THIS FILE AT ALL TIMES. + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/clk_wiz_v3_6_readme.txt b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/clk_wiz_v3_6_readme.txt new file mode 100644 index 000000000..91dcdd01f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/clk_wiz_v3_6_readme.txt @@ -0,0 +1,184 @@ +CHANGE LOG for LogiCORE Clocking Wizard V3.6 + + Release Date: June 19, 2013 +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURE HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm + +For system requirements: + + http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm + +This file contains release notes for the Xilinx LogiCORE IP Clocking Wizard v3.6 +solution. For the latest core updates, see the product page at: + + http://www.xilinx.com/products/design_resources/conn_central/solution_kits/wizards/ + +................................................................................ + +2. DEVICE SUPPORT + + + 2.1 ISE + + + The following device families are supported by the core for this release. + + All 7 Series devices + + + Zynq-7000 devices + Zynq-7000 + Defense Grade Zynq-7000Q (XQ) + + + All Virtex-6 devices + + + All Spartan-6 devices + + +................................................................................ + +3. NEW FEATURE HISTORY + + + 3.1 ISE + + - Spread Spectrum support for 7 series MMCME2 + + - ISE 14.2 software support + +................................................................................ + +4. RESOLVED ISSUES + + + 4.1 ISE + + Resolved issue with example design becoming core top in planAhead + + Resolved issue with Virtex6 MMCM instantiation for VHDL project + Please refer to AR 50719 - http://www.xilinx.com/support/answers/50719.htm + +................................................................................ + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + + The most recent information, including known issues, workarounds, and + resolutions for this version is provided in the IP Release Notes Guide + located at + + www.xilinx.com/support/documentation/user_guides/xtp025.pdf + + +................................................................................ + +6. TECHNICAL SUPPORT & FEEDBACK + + +To obtain technical support, create a WebCase at www.xilinx.com/support. +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + + +................................................................................ + +7. CORE RELEASE HISTORY + + +Date By Version Description +================================================================================ +06/19/2013 Xilinx, Inc. 3.6(Rev3) ISE 14.6 support +10/16/2012 Xilinx, Inc. 3.6(Rev2) ISE 14.3 support +07/25/2012 Xilinx, Inc. 3.6 ISE 14.2 support +04/24/2012 Xilinx, Inc. 3.5 ISE 14.1 support +01/18/2012 Xilinx, Inc. 3.3 ISE 13.4 support +06/22/2011 Xilinx, Inc. 3.2 ISE 13.2 support +03/01/2011 Xilinx, Inc. 3.1 ISE 13.1 support +12/14/2010 Xilinx, Inc. 1.8 ISE 12.4 support +09/21/2010 Xilinx, Inc. 1.7 ISE 12.3 support +07/23/2010 Xilinx, Inc. 1.6 ISE 12.2 support +04/19/2010 Xilinx, Inc. 1.5 ISE 12.1 support +12/02/2009 Xilinx, Inc. 1.4 ISE 11.4 support +09/16/2009 Xilinx, Inc. 1.3 ISE 11.3 support +06/24/2009 Xilinx, Inc. 1.2 ISE 11.2 support +04/24/2009 Xilinx, Inc. 1.1 Initial release; 11.1 support +================================================================================ + +................................................................................ + +8. LEGAL DISCLAIMER + +(c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. + +This file contains confidential and proprietary information +of Xilinx, Inc. and is protected under U.S. and +international copyright and other intellectual property +laws. + +DISCLAIMER +This disclaimer is not a license and does not grant any +rights to the materials distributed herewith. Except as +otherwise provided in a valid license issued to you by +Xilinx, and to the maximum extent permitted by applicable +law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +(2) Xilinx shall not be liable (whether in contract or tort, +including negligence, or under any other theory of +liability) for any loss or damage of any kind or nature +related to, arising under or in connection with these +materials, including for any direct, or any indirect, +special, incidental, or consequential loss or damage +(including loss of data, profits, goodwill, or any type of +loss or damage suffered as a result of any action brought +by a third party) even if such damage or loss was +reasonably foreseeable or Xilinx had been advised of the +possibility of the same. + +CRITICAL APPLICATIONS +Xilinx products are not designed or intended to be fail- +safe, or for use in any application requiring fail-safe +performance, such as life-support or safety devices or +systems, Class III medical devices, nuclear facilities, +applications related to the deployment of airbags, or any +other applications that could lead to death, personal +injury, or severe property or environmental damage +(individually and collectively, "Critical +Applications"). Customer assumes the sole risk and +liability of any use of Xilinx products in Critical +Applications, subject only to applicable laws and +regulations governing limitations on product liability. + +THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +PART OF THIS FILE AT ALL TIMES. + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/clk_wiz_v3_6_vinfo.html b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/clk_wiz_v3_6_vinfo.html new file mode 100644 index 000000000..d6deba06c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/clk_wiz_v3_6_vinfo.html @@ -0,0 +1,195 @@ + + +clk_wiz_v3_6_vinfo + + + +

+CHANGE LOG for LogiCORE Clocking Wizard V3.6 
+
+                    Release Date: June 19, 2013
+--------------------------------------------------------------------------------
+
+Table of Contents
+
+1. INTRODUCTION 
+2. DEVICE SUPPORT    
+3. NEW FEATURE HISTORY   
+4. RESOLVED ISSUES 
+5. KNOWN ISSUES & LIMITATIONS 
+6. TECHNICAL SUPPORT & FEEDBACK
+7. CORE RELEASE HISTORY 
+8. LEGAL DISCLAIMER 
+
+--------------------------------------------------------------------------------
+
+
+1. INTRODUCTION
+
+For installation instructions for this release, please go to:
+
+  www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm
+
+For system requirements:
+
+   www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm
+
+This file contains release notes for the Xilinx LogiCORE IP Clocking Wizard v3.6
+solution. For the latest core updates, see the product page at:
+
+   www.xilinx.com/products/design_resources/conn_central/solution_kits/wizards/
+
+................................................................................
+
+2. DEVICE SUPPORT
+
+
+  2.1 ISE 
+   
+  
+  The following device families are supported by the core for this release.
+  
+  All 7 Series devices
+
+
+  Zynq-7000 devices
+    Zynq-7000
+    Defense Grade Zynq-7000Q (XQ)
+
+
+  All Virtex-6 devices
+  
+  
+  All Spartan-6 devices
+  
+  
+................................................................................
+
+3. NEW FEATURE HISTORY 
+
+
+  3.1 ISE 
+  
+    - Spread Spectrum support for 7 series MMCME2
+
+    - ISE 14.2 software support
+
+................................................................................
+
+4. RESOLVED ISSUES
+
+
+  4.1 ISE 
+  
+      Resolved issue with example design becoming core top in planAhead
+
+      Resolved issue with Virtex6 MMCM instantiation for VHDL project
+      Please refer to AR 50719 - www.xilinx.com/support/answers/50719.htm
+
+................................................................................
+
+5. KNOWN ISSUES & LIMITATIONS
+
+
+  5.1 ISE 
+  
+  
+  The most recent information, including known issues, workarounds, and
+  resolutions for this version is provided in the IP Release Notes Guide
+  located at
+
+   www.xilinx.com/support/documentation/user_guides/xtp025.pdf
+  
+  
+................................................................................
+
+6. TECHNICAL SUPPORT & FEEDBACK
+
+
+To obtain technical support, create a WebCase at www.xilinx.com/support.
+Questions are routed to a team with expertise using this product.
+
+Xilinx provides technical support for use of this product when used
+according to the guidelines described in the core documentation, and
+cannot guarantee timing, functionality, or support of this product for
+designs that do not follow specified guidelines.
+
+
+................................................................................
+
+7. CORE RELEASE HISTORY
+
+
+Date        By            Version      Description
+================================================================================
+06/19/2013  Xilinx, Inc.  3.6(Rev3)    ISE 14.6 support
+10/16/2012  Xilinx, Inc.  3.6(Rev2)    ISE 14.3 support
+07/25/2012  Xilinx, Inc.  3.6          ISE 14.2 support
+04/24/2012  Xilinx, Inc.  3.5          ISE 14.1 support
+01/18/2012  Xilinx, Inc.  3.3          ISE 13.4 support
+06/22/2011  Xilinx, Inc.  3.2          ISE 13.2 support
+03/01/2011  Xilinx, Inc.  3.1          ISE 13.1 support
+12/14/2010  Xilinx, Inc.  1.8          ISE 12.4 support
+09/21/2010  Xilinx, Inc.  1.7          ISE 12.3 support
+07/23/2010  Xilinx, Inc.  1.6          ISE 12.2 support
+04/19/2010  Xilinx, Inc.  1.5          ISE 12.1 support
+12/02/2009  Xilinx, Inc.  1.4          ISE 11.4 support
+09/16/2009  Xilinx, Inc.  1.3          ISE 11.3 support
+06/24/2009  Xilinx, Inc.  1.2          ISE 11.2 support
+04/24/2009  Xilinx, Inc.  1.1          Initial release; 11.1 support
+================================================================================
+                          
+................................................................................
+
+8. LEGAL DISCLAIMER
+
+(c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
+
+This file contains confidential and proprietary information
+of Xilinx, Inc. and is protected under U.S. and
+international copyright and other intellectual property
+laws.
+
+DISCLAIMER
+This disclaimer is not a license and does not grant any
+rights to the materials distributed herewith. Except as
+otherwise provided in a valid license issued to you by
+Xilinx, and to the maximum extent permitted by applicable
+law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+(2) Xilinx shall not be liable (whether in contract or tort,
+including negligence, or under any other theory of
+liability) for any loss or damage of any kind or nature
+related to, arising under or in connection with these
+materials, including for any direct, or any indirect,
+special, incidental, or consequential loss or damage
+(including loss of data, profits, goodwill, or any type of
+loss or damage suffered as a result of any action brought
+by a third party) even if such damage or loss was
+reasonably foreseeable or Xilinx had been advised of the
+possibility of the same.
+
+CRITICAL APPLICATIONS
+Xilinx products are not designed or intended to be fail-
+safe, or for use in any application requiring fail-safe
+performance, such as life-support or safety devices or
+systems, Class III medical devices, nuclear facilities,
+applications related to the deployment of airbags, or any
+other applications that could lead to death, personal
+injury, or severe property or environmental damage
+(individually and collectively, "Critical
+Applications"). Customer assumes the sole risk and
+liability of any use of Xilinx products in Critical
+Applications, subject only to applicable laws and
+regulations governing limitations on product liability.
+
+THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+PART OF THIS FILE AT ALL TIMES.
+
+
+
+ + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/pg065_clk_wiz.pdf b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/pg065_clk_wiz.pdf new file mode 100644 index 000000000..a7daa6089 Binary files /dev/null and b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/pg065_clk_wiz.pdf differ diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.ucf b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.ucf new file mode 100755 index 000000000..c98fa6f8c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.ucf @@ -0,0 +1,60 @@ +# file: b205_clk_gen_exdes.ucf +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# Input clock periods. These duplicate the values entered for the +# input clocks. You can use these to time your system +#---------------------------------------------------------------- +NET "CLK_IN1" TNM_NET = "CLK_IN1"; +TIMESPEC "TS_CLK_IN1" = PERIOD "CLK_IN1" 25.0 ns HIGH 50% INPUT_JITTER 250.0ps; + + +# FALSE PATH constraints +PIN "COUNTER_RESET" TIG; +PIN "RESET" TIG; + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.v b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.v new file mode 100755 index 000000000..45b605d7f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.v @@ -0,0 +1,178 @@ +// file: b205_clk_gen_exdes.v +// +// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// + +//---------------------------------------------------------------------------- +// Clocking wizard example design +//---------------------------------------------------------------------------- +// This example design instantiates the created clocking network, where each +// output clock drives a counter. The high bit of each counter is ported. +//---------------------------------------------------------------------------- + +`timescale 1ps/1ps + +module b205_clk_gen_exdes + #( + parameter TCQ = 100 + ) + (// Clock in ports + input CLK_IN1, + // Reset that only drives logic in example design + input COUNTER_RESET, + output [3:1] CLK_OUT, + // High bits of counters driven by clocks + output [3:1] COUNT, + // Status and control signals + input RESET, + output LOCKED + ); + + // Parameters for the counters + //------------------------------- + // Counter width + localparam C_W = 16; + // Number of counters + localparam NUM_C = 3; + genvar count_gen; + // When the clock goes out of lock, reset the counters + wire reset_int = !LOCKED || RESET || COUNTER_RESET; + + reg [NUM_C:1] rst_sync; + reg [NUM_C:1] rst_sync_int; + reg [NUM_C:1] rst_sync_int1; + reg [NUM_C:1] rst_sync_int2; + + + // Declare the clocks and counters + wire [NUM_C:1] clk_int; + wire [NUM_C:1] clk_n; + wire [NUM_C:1] clk; + reg [C_W-1:0] counter [NUM_C:1]; + + // Instantiation of the clocking network + //-------------------------------------- + b205_clk_gen clknetwork + (// Clock in ports + .CLK_IN1_40 (CLK_IN1), + // Clock out ports + .CLK_OUT1_40_int (clk_int[1]), + .CLK_OUT2_100_bus (clk_int[2]), + .CLK_OUT3_200_ref_pll (clk_int[3]), + // Status and control signals + .RESET (RESET), + .LOCKED (LOCKED)); + +genvar clk_out_pins; + +generate + for (clk_out_pins = 1; clk_out_pins <= NUM_C; clk_out_pins = clk_out_pins + 1) + begin: gen_outclk_oddr + assign clk_n[clk_out_pins] = ~clk[clk_out_pins]; + + ODDR2 clkout_oddr + (.Q (CLK_OUT[clk_out_pins]), + .C0 (clk[clk_out_pins]), + .C1 (clk_n[clk_out_pins]), + .CE (1'b1), + .D0 (1'b1), + .D1 (1'b0), + .R (1'b0), + .S (1'b0)); + end +endgenerate + + // Connect the output clocks to the design + //----------------------------------------- + assign clk[1] = clk_int[1]; + assign clk[2] = clk_int[2]; + assign clk[3] = clk_int[3]; + + + // Reset synchronizer + //----------------------------------- + generate for (count_gen = 1; count_gen <= NUM_C; count_gen = count_gen + 1) begin: counters_1 + always @(posedge reset_int or posedge clk[count_gen]) begin + if (reset_int) begin + rst_sync[count_gen] <= 1'b1; + rst_sync_int[count_gen]<= 1'b1; + rst_sync_int1[count_gen]<= 1'b1; + rst_sync_int2[count_gen]<= 1'b1; + end + else begin + rst_sync[count_gen] <= 1'b0; + rst_sync_int[count_gen] <= rst_sync[count_gen]; + rst_sync_int1[count_gen] <= rst_sync_int[count_gen]; + rst_sync_int2[count_gen] <= rst_sync_int1[count_gen]; + end + end + end + endgenerate + + + // Output clock sampling + //----------------------------------- + generate for (count_gen = 1; count_gen <= NUM_C; count_gen = count_gen + 1) begin: counters + + always @(posedge clk[count_gen] or posedge rst_sync_int2[count_gen]) begin + if (rst_sync_int2[count_gen]) begin + counter[count_gen] <= #TCQ { C_W { 1'b 0 } }; + end else begin + counter[count_gen] <= #TCQ counter[count_gen] + 1'b 1; + end + end + // alias the high bit of each counter to the corresponding + // bit in the output bus + assign COUNT[count_gen] = counter[count_gen][C_W-1]; + end + endgenerate + + + + + +endmodule diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.xdc b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.xdc new file mode 100755 index 000000000..0678543c6 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.xdc @@ -0,0 +1,69 @@ +# file: b205_clk_gen_exdes.xdc +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# Input clock periods. These duplicate the values entered for the +# input clocks. You can use these to time your system +#---------------------------------------------------------------- +create_clock -name CLK_IN1 -period 25.0 [get_ports CLK_IN1] +set_propagated_clock CLK_IN1 +set_input_jitter CLK_IN1 0.25 + +# FALSE PATH constraint added on COUNTER_RESET +set_false_path -from [get_ports "COUNTER_RESET"] +set_false_path -from [get_ports "RESET"] + +# Derived clock periods. These are commented out because they are +# automatically propogated by the tools +# However, if you'd like to use them for module level testing, you +# can copy them into your module level timing checks +#----------------------------------------------------------------- + +#----------------------------------------------------------------- + +#----------------------------------------------------------------- diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/implement.bat b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/implement.bat new file mode 100755 index 000000000..729bfef85 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/implement.bat @@ -0,0 +1,90 @@ +REM file: implement.bat +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM + +REM ----------------------------------------------------------------------------- +REM Script to synthesize and implement the RTL provided for the clocking wizard +REM ----------------------------------------------------------------------------- + +REM Clean up the results directory +rmdir /S /Q results +mkdir results + +REM Copy unisim_comp.v file to results directory +copy %XILINX%\verilog\src\iSE\unisim_comp.v .\results\ + +REM Synthesize the Verilog Wrapper Files +echo 'Synthesizing Clocking Wizard design with XST' +xst -ifn xst.scr +move b205_clk_gen_exdes.ngc results\ + +REM Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +copy ..\example_design\b205_clk_gen_exdes.ucf results\ + +cd results + +echo 'Running ngdbuild' +ngdbuild -uc b205_clk_gen_exdes.ucf b205_clk_gen_exdes + +echo 'Running map' +map -timing -pr b b205_clk_gen_exdes -o mapped.ncd + +echo 'Running par' +par -w mapped.ncd routed mapped.pcf + +echo 'Running trce' +trce -e 10 routed -o routed mapped.pcf + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level model for the clocking wizard example design' +netgen -ofmt verilog -sim -sdf_anno false -tm b205_clk_gen_exdes -w routed.ncd routed.v +cd .. + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/implement.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/implement.sh new file mode 100755 index 000000000..ffac9e81c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/implement.sh @@ -0,0 +1,91 @@ +#!/bin/sh +# file: implement.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +#----------------------------------------------------------------------------- +# Script to synthesize and implement the RTL provided for the clocking wizard +#----------------------------------------------------------------------------- + +# Clean up the results directory +rm -rf results +mkdir results + +# Copy unisim_comp.v file to results directory +cp $XILINX/verilog/src/iSE/unisim_comp.v ./results/ + +# Synthesize the Verilog Wrapper Files +echo 'Synthesizing Clocking Wizard design with XST' +xst -ifn xst.scr +mv b205_clk_gen_exdes.ngc results/ + +# Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +cp ../example_design/b205_clk_gen_exdes.ucf results/ + +cd results + +echo 'Running ngdbuild' +ngdbuild -uc b205_clk_gen_exdes.ucf b205_clk_gen_exdes + +echo 'Running map' +map -timing b205_clk_gen_exdes -o mapped.ncd + +echo 'Running par' +par -w mapped.ncd routed mapped.pcf + +echo 'Running trce' +trce -e 10 routed -o routed mapped.pcf + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level model for the clocking wizard example design' +netgen -ofmt verilog -sim -sdf_anno false -tm b205_clk_gen_exdes -w routed.ncd routed.v + +cd .. diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.bat b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.bat new file mode 100755 index 000000000..8ac771810 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.bat @@ -0,0 +1,58 @@ +REM file: planAhead_ise.bat +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM + +REM----------------------------------------------------------------------------- +REM Script to synthesize and implement the RTL provided for the clocking wizard +REM----------------------------------------------------------------------------- + +del \f results +mkdir results +cd results + +planAhead -mode batch -source ..\planAhead_ise.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.sh new file mode 100755 index 000000000..6c8c837d3 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.sh @@ -0,0 +1,59 @@ +#!/bin/sh +# file: planAhead_ise.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +#----------------------------------------------------------------------------- +# Script to synthesize and implement the RTL provided for the clocking wizard +#----------------------------------------------------------------------------- + +rm -rf results +mkdir results +cd results + +planAhead -mode batch -source ../planAhead_ise.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.tcl b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.tcl new file mode 100755 index 000000000..74ddb6a1a --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.tcl @@ -0,0 +1,78 @@ +# file: planAhead_ise.tcl +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +set projDir [file dirname [info script]] +set projName b205_clk_gen +set topName b205_clk_gen_exdes +set device xc6slx75csg484-3 + +create_project $projName $projDir/results/$projName -part $device + +set_property design_mode RTL [get_filesets sources_1] + +## Source files +#set verilogSources [glob $srcDir/*.v] +import_files -fileset [get_filesets sources_1] -force -norecurse ../../example_design/b205_clk_gen_exdes.v +import_files -fileset [get_filesets sources_1] -force -norecurse ../../../b205_clk_gen.v + + +#UCF file +import_files -fileset [get_filesets constrs_1] -force -norecurse ../../example_design/b205_clk_gen_exdes.ucf + +set_property top $topName [get_property srcset [current_run]] + +launch_runs -runs synth_1 +wait_on_run synth_1 + +set_property add_step Bitgen [get_runs impl_1] +launch_runs -runs impl_1 +wait_on_run impl_1 + + + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.bat b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.bat new file mode 100755 index 000000000..42273f5d4 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.bat @@ -0,0 +1,58 @@ +REM file: planAhead_rdn.sh +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM + +REM----------------------------------------------------------------------------- +REM Script to synthesize and implement the RTL provided for the XADC wizard +REM----------------------------------------------------------------------------- + +del \f results +mkdir results +cd results + +planAhead -mode batch -source ..\planAhead_rdn.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.sh new file mode 100755 index 000000000..f4c14729e --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.sh @@ -0,0 +1,57 @@ +#!/bin/sh +# file: planAhead_rdn.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +#----------------------------------------------------------------------------- +# Script to synthesize and implement the RTL provided for the XADC wizard +#----------------------------------------------------------------------------- +rm -rf results +mkdir results +cd results +planAhead -mode batch -source ../planAhead_rdn.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.tcl b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.tcl new file mode 100755 index 000000000..8c4276049 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.tcl @@ -0,0 +1,69 @@ +# file : planAhead_rdn.tcl +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +set device xc6slx75csg484-3 +set projName b205_clk_gen +set design b205_clk_gen +set projDir [file dirname [info script]] +create_project $projName $projDir/results/$projName -part $device -force +set_property design_mode RTL [current_fileset -srcset] +set top_module b205_clk_gen_exdes +set_property top b205_clk_gen_exdes [get_property srcset [current_run]] +add_files -norecurse {../../../b205_clk_gen.v} +add_files -norecurse {../../example_design/b205_clk_gen_exdes.v} +import_files -fileset [get_filesets constrs_1 ] -force -norecurse {../../example_design/b205_clk_gen_exdes.xdc} +synth_design +opt_design +place_design +route_design +write_sdf -rename_top_module b205_clk_gen_exdes -file routed.sdf +write_verilog -nolib -mode timesim -sdf_anno false -rename_top_module b205_clk_gen_exdes -file routed.v +report_timing -nworst 30 -path_type full -file routed.twr +report_drc -file report.drc +write_bitstream -bitgen_options {-g UnconstrainedPins:Allow} -file routed.bit diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/xst.prj b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/xst.prj new file mode 100755 index 000000000..0579440e0 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/xst.prj @@ -0,0 +1,2 @@ +verilog work ../../b205_clk_gen.v +verilog work ../example_design/b205_clk_gen_exdes.v diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/xst.scr b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/xst.scr new file mode 100755 index 000000000..ce30cf255 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/xst.scr @@ -0,0 +1,9 @@ +run +-ifmt MIXED +-top b205_clk_gen_exdes +-p xc6slx75-csg484-3 +-ifn xst.prj +-ofn b205_clk_gen_exdes +-keep_hierarchy soft +-equivalent_register_removal no +-max_fanout 65535 diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/b205_clk_gen_tb.v b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/b205_clk_gen_tb.v new file mode 100755 index 000000000..a324a5983 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/b205_clk_gen_tb.v @@ -0,0 +1,143 @@ +// file: b205_clk_gen_tb.v +// +// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// + +//---------------------------------------------------------------------------- +// Clocking wizard demonstration testbench +//---------------------------------------------------------------------------- +// This demonstration testbench instantiates the example design for the +// clocking wizard. Input clocks are toggled, which cause the clocking +// network to lock and the counters to increment. +//---------------------------------------------------------------------------- + +`timescale 1ps/1ps + +`define wait_lock @(posedge LOCKED) + +module b205_clk_gen_tb (); + + // Clock to Q delay of 100ps + localparam TCQ = 100; + + + // timescale is 1ps/1ps + localparam ONE_NS = 1000; + localparam PHASE_ERR_MARGIN = 100; // 100ps + // how many cycles to run + localparam COUNT_PHASE = 1024; + // we'll be using the period in many locations + localparam time PER1 = 25.0*ONE_NS; + localparam time PER1_1 = PER1/2; + localparam time PER1_2 = PER1 - PER1/2; + + // Declare the input clock signals + reg CLK_IN1 = 1; + + // The high bits of the sampling counters + wire [3:1] COUNT; + // Status and control signals + reg RESET = 0; + wire LOCKED; + reg COUNTER_RESET = 0; +wire [3:1] CLK_OUT; +//Freq Check using the M & D values setting and actual Frequency generated + + + // Input clock generation + //------------------------------------ + always begin + CLK_IN1 = #PER1_1 ~CLK_IN1; + CLK_IN1 = #PER1_2 ~CLK_IN1; + end + + // Test sequence + reg [15*8-1:0] test_phase = ""; + initial begin + // Set up any display statements using time to be readable + $timeformat(-12, 2, "ps", 10); + COUNTER_RESET = 0; + test_phase = "reset"; + RESET = 1; + #(PER1*6); + RESET = 0; + test_phase = "wait lock"; + `wait_lock; + #(PER1*6); + COUNTER_RESET = 1; + #(PER1*20) + COUNTER_RESET = 0; + + test_phase = "counting"; + #(PER1*COUNT_PHASE); + + $display("SIMULATION PASSED"); + $display("SYSTEM_CLOCK_COUNTER : %0d\n",$time/PER1); + $finish; + end + + // Instantiation of the example design containing the clock + // network and sampling counters + //--------------------------------------------------------- + b205_clk_gen_exdes + #( + .TCQ (TCQ) + ) dut + (// Clock in ports + .CLK_IN1 (CLK_IN1), + // Reset for logic in example design + .COUNTER_RESET (COUNTER_RESET), + .CLK_OUT (CLK_OUT), + // High bits of the counters + .COUNT (COUNT), + // Status and control signals + .RESET (RESET), + .LOCKED (LOCKED)); + +// Freq Check + +endmodule diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simcmds.tcl b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simcmds.tcl new file mode 100755 index 000000000..0c370db66 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simcmds.tcl @@ -0,0 +1,8 @@ +# file: simcmds.tcl + +# create the simulation script +vcd dumpfile isim.vcd +vcd dumpvars -m /b205_clk_gen_tb -l 0 +wave add / +run 50000ns +quit diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_isim.bat b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_isim.bat new file mode 100755 index 000000000..63b151629 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_isim.bat @@ -0,0 +1,59 @@ +REM file: simulate_isim.bat +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM + +vlogcomp -work work %XILINX%\verilog\src\glbl.v +vlogcomp -work work ..\..\..\b205_clk_gen.v +vlogcomp -work work ..\..\example_design\b205_clk_gen_exdes.v +vlogcomp -work work ..\b205_clk_gen_tb.v + +REM compile the project +fuse work.b205_clk_gen_tb work.glbl -L unisims_ver -o b205_clk_gen_isim.exe + +REM run the simulation script +.\b205_clk_gen_isim.exe -gui -tclbatch simcmds.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_isim.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_isim.sh new file mode 100755 index 000000000..9ea0bb115 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_isim.sh @@ -0,0 +1,61 @@ +# file: simulate_isim.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# lin64 +# create the project +vlogcomp -work work ${XILINX}/verilog/src/glbl.v +vlogcomp -work work ../../../b205_clk_gen.v +vlogcomp -work work ../../example_design/b205_clk_gen_exdes.v +vlogcomp -work work ../b205_clk_gen_tb.v + +# compile the project +fuse work.b205_clk_gen_tb work.glbl -L unisims_ver -o b205_clk_gen_isim.exe + +# run the simulation script +./b205_clk_gen_isim.exe -gui -tclbatch simcmds.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.bat b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.bat new file mode 100755 index 000000000..74786e3eb --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.bat @@ -0,0 +1,61 @@ +REM file: simulate_mti.bat +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM + +REM set up the working directory +vlib work + +REM compile all of the files +vlog -work work %XILINX%\verilog\src\glbl.v +vlog -work work ..\..\..\b205_clk_gen.v +vlog -work work ..\..\example_design\b205_clk_gen_exdes.v +vlog -work work ..\b205_clk_gen_tb.v + +REM run the simulation +vsim -c -t ps -voptargs="+acc" -L secureip -L unisims_ver work.b205_clk_gen_tb work.glbl + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.do b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.do new file mode 100755 index 000000000..2a9f3b03c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.do @@ -0,0 +1,65 @@ +# file: simulate_mti.do +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# set up the working directory +set work work +vlib work + +# compile all of the files +vlog -work work $env(XILINX)/verilog/src/glbl.v +vlog -work work ../../../b205_clk_gen.v +vlog -work work ../../example_design/b205_clk_gen_exdes.v +vlog -work work ../b205_clk_gen_tb.v + +# run the simulation +vsim -t ps -voptargs="+acc" -L unisims_ver work.b205_clk_gen_tb work.glbl +do wave.do +log b205_clk_gen_tb/dut/counter +log -r /* +run 50000ns diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.sh new file mode 100755 index 000000000..0fe952789 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.sh @@ -0,0 +1,61 @@ +#/bin/sh +# file: simulate_mti.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +# set up the working directory +set work work +vlib work + +# compile all of the files +vlog -work work $XILINX/verilog/src/glbl.v +vlog -work work ../../../b205_clk_gen.v +vlog -work work ../../example_design/b205_clk_gen_exdes.v +vlog -work work ../b205_clk_gen_tb.v + +# run the simulation +vsim -c -t ps -voptargs="+acc" -L secureip -L unisims_ver work.b205_clk_gen_tb work.glbl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_ncsim.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_ncsim.sh new file mode 100755 index 000000000..0ca92d135 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_ncsim.sh @@ -0,0 +1,62 @@ +#/bin/sh +# file: simulate_ncsim.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# set up the working directory +mkdir work + +# compile all of the files +ncvlog -work work ${XILINX}/verilog/src/glbl.v +ncvlog -work work ../../../b205_clk_gen.v +ncvlog -work work ../../example_design/b205_clk_gen_exdes.v +ncvlog -work work ../b205_clk_gen_tb.v + +# elaborate and run the simulation +ncelab -work work -access +wc work.b205_clk_gen_tb work.glbl +ncsim -input "@database -open -shm nc; probe -create -database nc -all -depth all; probe dut.counter; run 50000ns; exit" work.b205_clk_gen_tb diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_vcs.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_vcs.sh new file mode 100755 index 000000000..13f45cebf --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_vcs.sh @@ -0,0 +1,72 @@ +#!/bin/sh +# file: simulate_vcs.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# remove old files +rm -rf simv* csrc DVEfiles AN.DB + +# compile all of the files +# Note that -sverilog is not strictly required- You can +# remove the -sverilog if you change the type of the +# localparam for the periods in the testbench file to +# [63:0] from time +vlogan -sverilog \ + ${XILINX}/verilog/src/glbl.v \ + ../../../b205_clk_gen.v \ + ../../example_design/b205_clk_gen_exdes.v \ + ../b205_clk_gen_tb.v + +# prepare the simulation +vcs +vcs+lic+wait -debug b205_clk_gen_tb glbl + +# run the simulation +./simv -ucli -i ucli_commands.key + +# launch the viewer +dve -vpd vcdplus.vpd -session vcs_session.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/ucli_commands.key b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/ucli_commands.key new file mode 100755 index 000000000..d125f20f1 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/ucli_commands.key @@ -0,0 +1,5 @@ +call {$vcdpluson} +call {$vcdplusmemon(b205_clk_gen_tb.dut.counter)} +run +call {$vcdplusclose} +quit diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/vcs_session.tcl b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/vcs_session.tcl new file mode 100755 index 000000000..eedc9fa49 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/vcs_session.tcl @@ -0,0 +1,18 @@ +gui_open_window Wave +gui_sg_create b205_clk_gen_group +gui_list_add_group -id Wave.1 {b205_clk_gen_group} +gui_sg_addsignal -group b205_clk_gen_group {b205_clk_gen_tb.test_phase} +gui_set_radix -radix {ascii} -signals {b205_clk_gen_tb.test_phase} +gui_sg_addsignal -group b205_clk_gen_group {{Input_clocks}} -divider +gui_sg_addsignal -group b205_clk_gen_group {b205_clk_gen_tb.CLK_IN1} +gui_sg_addsignal -group b205_clk_gen_group {{Output_clocks}} -divider +gui_sg_addsignal -group b205_clk_gen_group {b205_clk_gen_tb.dut.clk} +gui_list_expand -id Wave.1 b205_clk_gen_tb.dut.clk +gui_sg_addsignal -group b205_clk_gen_group {{Status_control}} -divider +gui_sg_addsignal -group b205_clk_gen_group {b205_clk_gen_tb.RESET} +gui_sg_addsignal -group b205_clk_gen_group {b205_clk_gen_tb.LOCKED} +gui_sg_addsignal -group b205_clk_gen_group {{Counters}} -divider +gui_sg_addsignal -group b205_clk_gen_group {b205_clk_gen_tb.COUNT} +gui_sg_addsignal -group b205_clk_gen_group {b205_clk_gen_tb.dut.counter} +gui_list_expand -id Wave.1 b205_clk_gen_tb.dut.counter +gui_zoom -window Wave.1 -full diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/wave.do b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/wave.do new file mode 100755 index 000000000..4549dbf6e --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/wave.do @@ -0,0 +1,60 @@ +# file: wave.do +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +add wave -noupdate -format Literal -radix ascii /b205_clk_gen_tb/test_phase +add wave -noupdate -divider {Input clocks} +add wave -noupdate -format Logic /b205_clk_gen_tb/CLK_IN1 +add wave -noupdate -divider {Output clocks} +add wave -noupdate -format Literal -expand /b205_clk_gen_tb/dut/clk +add wave -noupdate -divider Status/control +add wave -noupdate -format Logic /b205_clk_gen_tb/RESET +add wave -noupdate -format Logic /b205_clk_gen_tb/LOCKED +add wave -noupdate -divider Counters +add wave -noupdate -format Literal -radix hexadecimal /b205_clk_gen_tb/COUNT +add wave -noupdate -format Literal -radix hexadecimal -expand /b205_clk_gen_tb/dut/counter diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/wave.sv b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/wave.sv new file mode 100755 index 000000000..a785ec556 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/wave.sv @@ -0,0 +1,119 @@ +# file: wave.sv +# +# (c) Copyright 2008 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +# Get the windows set up +# +if {[catch {window new WatchList -name "Design Browser 1" -geometry 1054x819+536+322}] != ""} { + window geometry "Design Browser 1" 1054x819+536+322 +} +window target "Design Browser 1" on +browser using {Design Browser 1} +browser set \ + -scope nc::b205_clk_gen_tb +browser yview see nc::b205_clk_gen_tb +browser timecontrol set -lock 0 + +if {[catch {window new WaveWindow -name "Waveform 1" -geometry 1010x600+0+541}] != ""} { + window geometry "Waveform 1" 1010x600+0+541 +} +window target "Waveform 1" on +waveform using {Waveform 1} +waveform sidebar visibility partial +waveform set \ + -primarycursor TimeA \ + -signalnames name \ + -signalwidth 175 \ + -units ns \ + -valuewidth 75 +cursor set -using TimeA -time 0 +waveform baseline set -time 0 +waveform xview limits 0 20000n + +# +# Define signal groups +# +catch {group new -name {Output clocks} -overlay 0} +catch {group new -name {Status/control} -overlay 0} +catch {group new -name {Counters} -overlay 0} + +set id [waveform add -signals [list {nc::b205_clk_gen_tb.CLK_IN1}]] + +group using {Output clocks} +group set -overlay 0 +group set -comment {} +group clear 0 end + +group insert \ + {b205_clk_gen_tb.dut.clk[1]} \ + {b205_clk_gen_tb.dut.clk[2]} \ {b205_clk_gen_tb.dut.clk[3]} +group using {Counters} +group set -overlay 0 +group set -comment {} +group clear 0 end + +group insert \ + {b205_clk_gen_tb.dut.counter[1]} \ + {b205_clk_gen_tb.dut.counter[2]} \ {b205_clk_gen_tb.dut.counter[3]} +group using {Status/control} +group set -overlay 0 +group set -comment {} +group clear 0 end + +group insert \ + {nc::b205_clk_gen_tb.RESET} {nc::b205_clk_gen_tb.LOCKED} + + +set id [waveform add -signals [list {nc::b205_clk_gen_tb.COUNT} ]] + +set id [waveform add -signals [list {nc::b205_clk_gen_tb.test_phase} ]] +waveform format $id -radix %a + +set groupId [waveform add -groups {{Input clocks}}] +set groupId [waveform add -groups {{Output clocks}}] +set groupId [waveform add -groups {{Status/control}}] +set groupId [waveform add -groups {{Counters}}] diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/b205_clk_gen_tb.v b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/b205_clk_gen_tb.v new file mode 100755 index 000000000..04a2cf036 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/b205_clk_gen_tb.v @@ -0,0 +1,157 @@ +// file: b205_clk_gen_tb.v +// +// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// + +//---------------------------------------------------------------------------- +// Clocking wizard demonstration testbench +//---------------------------------------------------------------------------- +// This demonstration testbench instantiates the example design for the +// clocking wizard. Input clocks are toggled, which cause the clocking +// network to lock and the counters to increment. +//---------------------------------------------------------------------------- + +`timescale 1ps/1ps + +`define wait_lock @(posedge LOCKED) + +module b205_clk_gen_tb (); + + // Clock to Q delay of 100ps + localparam TCQ = 100; + + + // timescale is 1ps/1ps + localparam ONE_NS = 1000; + localparam PHASE_ERR_MARGIN = 100; // 100ps + // how many cycles to run + localparam COUNT_PHASE = 1024; + // we'll be using the period in many locations + localparam time PER1 = 25.0*ONE_NS; + localparam time PER1_1 = PER1/2; + localparam time PER1_2 = PER1 - PER1/2; + + // Declare the input clock signals + reg CLK_IN1 = 1; + + // The high bits of the sampling counters + wire [3:1] COUNT; + // Status and control signals + reg RESET = 0; + wire LOCKED; + reg COUNTER_RESET = 0; +wire [3:1] CLK_OUT; +//Freq Check using the M & D values setting and actual Frequency generated + + reg [13:0] timeout_counter = 14'b00000000000000; + + // Input clock generation + //------------------------------------ + always begin + CLK_IN1 = #PER1_1 ~CLK_IN1; + CLK_IN1 = #PER1_2 ~CLK_IN1; + end + + // Test sequence + reg [15*8-1:0] test_phase = ""; + initial begin + // Set up any display statements using time to be readable + $timeformat(-12, 2, "ps", 10); + $display ("Timing checks are not valid"); + COUNTER_RESET = 0; + test_phase = "reset"; + RESET = 1; + #(PER1*6); + RESET = 0; + test_phase = "wait lock"; + `wait_lock; + #(PER1*6); + COUNTER_RESET = 1; + #(PER1*19.5) + COUNTER_RESET = 0; + #(PER1*1) + $display ("Timing checks are valid"); + test_phase = "counting"; + #(PER1*COUNT_PHASE); + + $display("SIMULATION PASSED"); + $display("SYSTEM_CLOCK_COUNTER : %0d\n",$time/PER1); + $finish; + end + + + always@(posedge CLK_IN1) begin + timeout_counter <= timeout_counter + 1'b1; + if (timeout_counter == 14'b10000000000000) begin + if (LOCKED != 1'b1) begin + $display("ERROR : NO LOCK signal"); + $display("SYSTEM_CLOCK_COUNTER : %0d\n",$time/PER1); + $finish; + end + end + end + + // Instantiation of the example design containing the clock + // network and sampling counters + //--------------------------------------------------------- + b205_clk_gen_exdes + dut + (// Clock in ports + .CLK_IN1 (CLK_IN1), + // Reset for logic in example design + .COUNTER_RESET (COUNTER_RESET), + .CLK_OUT (CLK_OUT), + // High bits of the counters + .COUNT (COUNT), + // Status and control signals + .RESET (RESET), + .LOCKED (LOCKED)); + + +// Freq Check + +endmodule diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/sdf_cmd_file b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/sdf_cmd_file new file mode 100755 index 000000000..755ed5bcf --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/sdf_cmd_file @@ -0,0 +1,2 @@ +COMPILED_SDF_FILE = "../../implement/results/routed.sdf.X", +SCOPE = b205_clk_gen_tb.dut; diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simcmds.tcl b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simcmds.tcl new file mode 100755 index 000000000..8d4b92771 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simcmds.tcl @@ -0,0 +1,9 @@ +# file: simcmds.tcl + +# create the simulation script +vcd dumpfile isim.vcd +vcd dumpvars -m /b205_clk_gen_tb -l 0 +wave add / +run 50000ns +quit + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_isim.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_isim.sh new file mode 100755 index 000000000..45cf4fe5e --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_isim.sh @@ -0,0 +1,62 @@ +# file: simulate_isim.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# create the project +vlogcomp -work work ${XILINX}/verilog/src/glbl.v +vlogcomp -work work ../../implement/results/routed.v +vlogcomp -work work b205_clk_gen_tb.v + +# compile the project +fuse work.b205_clk_gen_tb work.glbl -L secureip -L simprims_ver -o b205_clk_gen_isim.exe + +# run the simulation script +./b205_clk_gen_isim.exe -tclbatch simcmds.tcl -sdfmax /b205_clk_gen_tb/dut=../../implement/results/routed.sdf + +# run the simulation script +#./b205_clk_gen_isim.exe -gui -tclbatch simcmds.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.bat b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.bat new file mode 100755 index 000000000..51d1d37d6 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.bat @@ -0,0 +1,59 @@ +REM file: simulate_mti.bat +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM +# set up the working directory +set work work +vlib work + +REM compile all of the files +vlog -work work %XILINX%\verilog\src\glbl.v +vlog -work work ..\..\implement\results\routed.v +vlog -work work b205_clk_gen_tb.v + +REM run the simulation +vsim -c -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax b205_clk_gen_tb\dut=..\..\implement\results\routed.sdf +no_notifier work.b205_clk_gen_tb work.glbl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.do b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.do new file mode 100755 index 000000000..a984ba095 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.do @@ -0,0 +1,65 @@ +# file: simulate_mti.do +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# set up the working directory +set work work +vlib work + +# compile all of the files +vlog -work work $env(XILINX)/verilog/src/glbl.v +vlog -work work ../../implement/results/routed.v +vlog -work work b205_clk_gen_tb.v + +# run the simulation +vsim -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax b205_clk_gen_tb/dut=../../implement/results/routed.sdf +no_notifier work.b205_clk_gen_tb work.glbl +#do wave.do +#log -r /* +run 50000ns + + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.sh new file mode 100755 index 000000000..379eb7600 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.sh @@ -0,0 +1,61 @@ +#/bin/sh +# file: simulate_mti.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# set up the working directory +set work work +vlib work + +# compile all of the files +vlog -work work $XILINX/verilog/src/glbl.v +vlog -work work ../../implement/results/routed.v +vlog -work work b205_clk_gen_tb.v + +# run the simulation +vsim -c -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax b205_clk_gen_tb/dut=../../implement/results/routed.sdf +no_notifier work.b205_clk_gen_tb work.glbl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_ncsim.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_ncsim.sh new file mode 100755 index 000000000..8b73dc5c6 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_ncsim.sh @@ -0,0 +1,64 @@ +#!/bin/sh +# file: simulate_ncsim.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# set up the working directory +mkdir work + +# compile all of the files +ncvlog -work work ${XILINX}/verilog/src/glbl.v +ncvlog -work work ../../implement/results/routed.v +ncvlog -work work b205_clk_gen_tb.v + +# elaborate and run the simulation +ncsdfc ../../implement/results/routed.sdf + +ncelab -work work -access +wc -pulse_r 10 -nonotifier work.b205_clk_gen_tb work.glbl -sdf_cmd_file sdf_cmd_file +ncsim -input "@database -open -shm nc; probe -create -database nc -all -depth all; run 50000ns; exit" work.b205_clk_gen_tb + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_vcs.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_vcs.sh new file mode 100755 index 000000000..7ead02584 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_vcs.sh @@ -0,0 +1,72 @@ +#!/bin/sh +# file: simulate_vcs.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# remove old files +rm -rf simv* csrc DVEfiles AN.DB + +# compile all of the files +# Note that -sverilog is not strictly required- You can +# remove the -sverilog if you change the type of the +# localparam for the periods in the testbench file to +# [63:0] from time + vlogan -sverilog \ + b205_clk_gen_tb.v \ + ../../implement/results/routed.v + + +# prepare the simulation +vcs -sdf max:b205_clk_gen_exdes:../../implement/results/routed.sdf +v2k -y $XILINX/verilog/src/simprims \ + +libext+.v -debug b205_clk_gen_tb.v ../../implement/results/routed.v + +# run the simulation +./simv -ucli -i ucli_commands.key + +# launch the viewer +#dve -vpd vcdplus.vpd -session vcs_session.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/ucli_commands.key b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/ucli_commands.key new file mode 100755 index 000000000..0548d1733 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/ucli_commands.key @@ -0,0 +1,5 @@ + +call {$vcdpluson} +run 50000ns +call {$vcdplusclose} +quit diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/vcs_session.tcl b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/vcs_session.tcl new file mode 100755 index 000000000..1438f6bed --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/vcs_session.tcl @@ -0,0 +1 @@ +gui_open_window Wave diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/wave.do b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/wave.do new file mode 100755 index 000000000..440a8384f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/wave.do @@ -0,0 +1,72 @@ +# file: wave.do +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /b205_clk_gen_tb/CLK_IN1 +add wave -noupdate /b205_clk_gen_tb/COUNT +add wave -noupdate /b205_clk_gen_tb/LOCKED +add wave -noupdate /b205_clk_gen_tb/RESET +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {3223025 ps} 0} +configure wave -namecolwidth 238 +configure wave -valuecolwidth 107 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {0 ps} {74848022 ps} diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen_flist.txt b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen_flist.txt new file mode 100644 index 000000000..2028a2ab7 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen_flist.txt @@ -0,0 +1,54 @@ +# Output products list for +_xmsgs/pn_parser.xmsgs +b205_clk_gen/clk_wiz_v3_6_readme.txt +b205_clk_gen/doc/clk_wiz_v3_6_readme.txt +b205_clk_gen/doc/clk_wiz_v3_6_vinfo.html +b205_clk_gen/doc/pg065_clk_wiz.pdf +b205_clk_gen/example_design/b205_clk_gen_exdes.ucf +b205_clk_gen/example_design/b205_clk_gen_exdes.v +b205_clk_gen/example_design/b205_clk_gen_exdes.xdc +b205_clk_gen/implement/implement.bat +b205_clk_gen/implement/implement.sh +b205_clk_gen/implement/planAhead_ise.bat +b205_clk_gen/implement/planAhead_ise.sh +b205_clk_gen/implement/planAhead_ise.tcl +b205_clk_gen/implement/planAhead_rdn.bat +b205_clk_gen/implement/planAhead_rdn.sh +b205_clk_gen/implement/planAhead_rdn.tcl +b205_clk_gen/implement/xst.prj +b205_clk_gen/implement/xst.scr +b205_clk_gen/simulation/b205_clk_gen_tb.v +b205_clk_gen/simulation/functional/simcmds.tcl +b205_clk_gen/simulation/functional/simulate_isim.bat +b205_clk_gen/simulation/functional/simulate_isim.sh +b205_clk_gen/simulation/functional/simulate_mti.bat +b205_clk_gen/simulation/functional/simulate_mti.do +b205_clk_gen/simulation/functional/simulate_mti.sh +b205_clk_gen/simulation/functional/simulate_ncsim.sh +b205_clk_gen/simulation/functional/simulate_vcs.sh +b205_clk_gen/simulation/functional/ucli_commands.key +b205_clk_gen/simulation/functional/vcs_session.tcl +b205_clk_gen/simulation/functional/wave.do +b205_clk_gen/simulation/functional/wave.sv +b205_clk_gen/simulation/timing/b205_clk_gen_tb.v +b205_clk_gen/simulation/timing/sdf_cmd_file +b205_clk_gen/simulation/timing/simcmds.tcl +b205_clk_gen/simulation/timing/simulate_isim.sh +b205_clk_gen/simulation/timing/simulate_mti.bat +b205_clk_gen/simulation/timing/simulate_mti.do +b205_clk_gen/simulation/timing/simulate_mti.sh +b205_clk_gen/simulation/timing/simulate_ncsim.sh +b205_clk_gen/simulation/timing/simulate_vcs.sh +b205_clk_gen/simulation/timing/ucli_commands.key +b205_clk_gen/simulation/timing/vcs_session.tcl +b205_clk_gen/simulation/timing/wave.do +b205_clk_gen.asy +b205_clk_gen.gise +b205_clk_gen.ucf +b205_clk_gen.v +b205_clk_gen.veo +b205_clk_gen.xco +b205_clk_gen.xdc +b205_clk_gen.xise +b205_clk_gen_flist.txt +b205_clk_gen_xmdf.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen_xmdf.tcl b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen_xmdf.tcl new file mode 100755 index 000000000..9b1f239ac --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen_xmdf.tcl @@ -0,0 +1,140 @@ +# The package naming convention is _xmdf +package provide b205_clk_gen_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::b205_clk_gen_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::b205_clk_gen_xmdf::xmdfInit { instance } { +# Variable containg name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name b205_clk_gen +} +# ::b205_clk_gen_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::b205_clk_gen_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be magically +# available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/clk_wiz_readme.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/doc/clk_wiz_ds709.pdf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/doc/clk_wiz_gsg521.pdf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/implement/implement.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/implement/implement.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/implement/xst.prj +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/implement/xst.scr +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/b205_clk_gen_tb.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/functional/simcmds.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/functional/simulate_isim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/functional/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/functional/simulate_ncsim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/functional/simulate_vcs.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/functional/ucli_commands.key +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/functional/vcs_session.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/functional/wave.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/functional/wave.sv +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen.ejp +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ucf +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module b205_clk_gen +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.asy b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.asy new file mode 100644 index 000000000..a0153d32c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.asy @@ -0,0 +1,9 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 chipscope_icon +RECTANGLE Normal 32 32 544 864 +LINE Wide 576 112 544 112 +PIN 576 112 RIGHT 36 +PINATTR PinName control0[35:0] +PINATTR Polarity BOTH + diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.constraints/chipscope_icon.ucf b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.constraints/chipscope_icon.ucf new file mode 100644 index 000000000..b83296f8e --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.constraints/chipscope_icon.ucf @@ -0,0 +1,9 @@ +NET "U0/U_ICON/*/iDRCK_LOCAL" TNM_NET = J_CLK ; +TIMESPEC TS_J_CLK = PERIOD J_CLK 30 ns ; +#Update Constraints +NET "U0/iUPDATE_OUT" TNM_NET = U_CLK ; +NET "U0/iSHIFT_OUT" TIG ; +TIMESPEC TS_U_TO_J = FROM U_CLK TO J_CLK 15 ns ; +TIMESPEC TS_U_TO_U = FROM U_CLK TO U_CLK 15 ns ; +TIMESPEC TS_J_TO_D = FROM J_CLK TO D_CLK TIG ; +TIMESPEC TS_D_TO_J = FROM D_CLK TO J_CLK TIG ; diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.constraints/chipscope_icon.xdc b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.constraints/chipscope_icon.xdc new file mode 100644 index 000000000..903799425 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.constraints/chipscope_icon.xdc @@ -0,0 +1,7 @@ +# icon XDC +create_clock -name J_CLK -period 30 -waveform {15 30} [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}] +create_generated_clock -name U_CLK -source [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}] -multiply_by 1 -invert [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/UPDATE}] +set_false_path -through [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/SHIFT}] +set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -setup 2 +set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -hold 1 +set_clock_groups -asynchronous -name cross_jtag_clock_domains -group {J_CLK U_CLK} diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ncf b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ncf new file mode 100644 index 000000000..e69de29bb diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ngc b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ngc new file mode 100644 index 000000000..1beaa38c9 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$0`47=*981;86>?00684565<2:;<9:4012;0>6799>0<=>01684466<2:9<=:405230>6?89;0=95>0127?40688198618:7GAOTV9GJHSZFF;0>;50?3801=389::75:4812355=?89;3<=>?0133456b3KYHMCQ\P@PWEK033K_MK55MUR]JJCI63J=0OL^[USG1?FC6:2ICINEPLHAFJVCX\PZN>6MF4:AOO5024;eEHF]XD@=2>2?a8GJHSZFF;0<=1c:ALJQTHD96:83m4CNLWVJJ748?5o6M@NUPLH5:6>7i0OB@[RNN38419k2IDBY\@L1>2<;eEHF]XD@=2>>b9@KKRUGE:7>=0l;BMMPWIK858:2n5LOOVQKI6;:;4h7NAATSMO49446j1HCCZ]OM2?618d3JEEX_AC0=06:f=DGG^YCA>327<`?FII\[EG<1<8>b9@KKRUGE:7>50l;BMMPWIK85822o5LOOVQKI6;:7i0OB@[RNN38669k2IDBY\@L1>05;eEHF]XD@=2<3?a8GJHSZFF;0>:1e:ALJQTHD96897>1c:ALJQTHD96893l4CNLWVJJ74:4i7NAATSMO4929j2IDBY\@L1>6:g=DGG^YCA>36?`8GJHSZFF;0:0m;BMMPWIK8525n6M@NUPLH5:>68>0OB\J_FGMAWGSAFDTECH@7:AQADRBL81O>6JF4:FQGN2;H08M540@XZ>4:NVP727@[WF478IP^A>;1E<:5AEUULVN0V03Y$=4iQC4:RBVQg;5Z0.W\MFII'@URI^QMSBCM*PYE[&cO^NEPLNABH7d<]9%^SDM@N.W\GHB(OV^J^JK[[02^*LYIM9$^SYO]GDV1f>S7'\UBOB@ U^AN@*AX\HXLIYU>1\,J[KC7&\U_M_IJT3`8Q5)RW@IDB"[PCLF,CZRFZNO_W<V"DQAE1,V[QGUOL^9n6[?/T]JGJH(]VIFH"IPT@PDAQ]6=T$BSH_?.T]WEWAB\;k0Y=!Z_HALJ*SXKDN$KRZNRFGW_3[)AVDN<#[PT@PDAQ4f3\:$YRGLOO-V[FKC'NU_M_IJTZ5^*LYIM9$^SYO]GDV1e>S7'\UBOB@ U^AN@*AX\HXLIYU7]/K\J@6)]V^J^JK[2`9V4*SXAJEE#XQLME-D[QGUOL^P5P F_OG3*PYSI[MNX?m4U1-V[LEHF&_TO@J U^ALIHGILVYN@"G;.GKX4X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY24X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY25X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY26X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY27X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY20X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY21X(RWE__>n5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY2Y+SXD\^9o6[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ0^*PYK]]8h7X> U^K@KK)RWJGO#XQLOLOBJAYTME%B8#HF[2_-QZJR\;i0Y=!Z_HALJ*SXKDN$YRM@MLCM@ZUBD&C?"KGT4\,V[ISS:j1^<"[PIBMM+PYDEM%^SNABM@LG[VCK'@>%JDU:]/W\HPR5k2_;#XQFCNL,QZEJL&_TOBCBAOF\W@J(A=$MEV8R.T]OQQ4d3\:$YRGLOO-V[FKC'\UHC@CNNE]PAI)N<'LBW:S!U^NVP7e<]9%^SDM@N.W\GHB(]VIDA@OAD^QFH*O3&OCP4P Z_MWW6f=R8&_TENAA/T]@IA)RWJEFAL@K_RGO+L2)N@Q2Q#[PLTV1g>S7'\UBOB@ U^AN@*SXKFXNSDJPSDN,M1(AAR:V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8:V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8;V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS88V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS89V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8>V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8?V"XQCUU0`?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8W%YRBZT3a8Q5)RW@IDB"[PCLF,QZEHZLUBHR]JL.K7*CO\:T$^SA[[2b9V4*SXAJEE#XQLME-V[FIUMVCOS^KC/H6-BL]4U'_T@XZ=c:W3+PYNKFD$YRMBD.W\GJTBW@NT_HB I5,EM^2Z&\UGYYn5Z0.W\MFII'\UHAI!Z_BMQAZOCWZOG#D:!FHY4Y+SXD\^9o6[?/T]JGJH(]VIFH"[PCNPF[LBX[LF$E9 IIZ:^*PYK]]8h7X> U^K@KK)RWJGO#XQLOSG\MAYTME%B8#HF[8_-QZJR\8=0Y=!Z_HALJ*SXKDN$YR]JL^l2f>S7'\UBOB@ U^AN@*SX\HXLIYQLE048Q5)RW@IDB"[PCLF,mVCKWg;i7X> U^K@KK)RWJGO#dZNRFGW84699k1^<"[PIBMM+PYDEM%bXL\HEU>25;7f3\:$YRGLOO-V[FKC'`^J^JK[<9<2e>S7'\UBOB@ U^AN@*oSI[MNX1711`9V4*SXAJEE#XQLME-jPDT@M]UHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX4X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY3Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ33Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ33Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ32Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ32Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ31Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ31Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ30Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ30Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ37Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ37Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ36Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ36Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ3^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[0_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT2\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU=]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_5[)NVIFHV=R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW>S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP8P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ2^*CYDEMQ?Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR?V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\<BN^T0\,E[FKCST$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]1U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W=S!F^AN@^1Z&\UCOHn5Z0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY;Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ;^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[8_-QZJDM8o0Y=!Z_HALJ*SXK]XGSB[[/T]@IAAUX9;n7X> U^K@KK)RWJ^Y@RAZT.W\GHB@ZY;9=6[?/T]JGJH(]VI_^AQ@UU-V[AGSIV\J@DJ=8:W3+PYNKFD$YRM[RM]LQQ)nKFGFMCJPGSR\W@J;87837X> U^K@KK)RWJ^Y@RAZT.k@KHKFFMUL^]Q\EM>2:76<]9%^SDM@N.W\GQTKWF__#dJNT@]UEIOC:81^<"[PIBMM+PYT\H^$JR][AUY3Y+SX[]K_><5Z0.W\MFII'\UXXLZ F^QWEQ]6U'_T_YO[209V4*SXAJEE#XQ\T@V,BZUSI]Q9Q#[PSUCW64=R8&_TENAA/T]PPDR(NVY_MYU<]/W\WQGS9h1^<"[PIBMM+PYT\H^$YRMBDFPS44b<]9%^SDM@N.W\WQGS'\UOMYOPV@NJ@4g<]9%^SDM@N.W\WQGS'\UXXLZLME3`?P6(]VCHCC!Z_RVBP*SX[]K_O@JPn338Q5)RW@IDB"[PSUCW+PYT\H^TOCZ CH>2:77<]9%^SDM@N.W\WQGS'\UXXLZPCOV,GL:56;;0Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(K@682??4U1-V[LEHF&_T_YO[/T]PPDRXKG^$OD2;>338Q5)RW@IDB"[PSUCW+PYT\H^TOCZ CH>6:76<]9%^SDM@N.W\WQGS'\UXXLZPCOV,@969:91^<"[PIBMM+PYT\H^$YR][AU]@JQ)C4849<6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&N7>3328Q5)RW@IDB"[PSUCW+PYT\H^TOCZ D=6=65=R8&_TENAA/T]PPDR(]VY_MYQLNU-G8085k2_;#XQFCNL,QZUSI]%^S^ZNT^AMP*A\8T$LbE Z_LW[G\403\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]7U'_TJI\J279V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[1_-QZJR\;20Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(OR:V"XQWOSAZ6f=R8&_TENAA/T]PPDR(]VY_MYQLNU-D_4[)OgB%YRCZXB[13>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX5X(RWONYI?84U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV?R.T]OQQ4?3\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]6U'_TTB\LY3a8Q5)RW@IDB"[PSUCW+PYT\H^TOCZ GZ0^*BhO&\UFYUMV269V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[3_-QZ@CZL8=7X> U^K@KK)RWZ^JX"[PSUCW[FHS'NQ9Q#[PLTV1<>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX6X(RWQEYOT2_;#XQFCNL,QZUSI]%^S^ZNT^AMP*A\;T$^SA[[299V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[2_-QZ^HZJS9o6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&MP8P HnI,V[HS_KP8<7X> U^K@KK)RWZ^JX"[PSUCW[FHS'NQ?Q#[PFEPF63=R8&_TENAA/T]PPDR(]VY_MYQLNU-D_1[)]VF^X?64U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV:R.T][KWE^:>1^<"[PIBMM+PYT\H^$YR][AU]@JQ)@S=5Z0.W\MFII'\UXXLZ U^QWEQYDF]%X0?0=0:W3+PYNKFD$YR][AU-V[VRF\VIEX"]33?03?P6(]VCHCC!Z_RVBP*SX[]K_SN@[/R>7:76<]9%^SDM@N.W\WQGS'\UXXLZPCOV,W9399j1^<"[PIBMM+PYT\H^$YR][AU]KMBN6j2_;#XQFCNL,QZUSI]%^S^ZNT^NLS40<]9%^SDM@N.W\WQGS'\U_HB?m;T2,QZODGG%^S^ZNT.W\PAIXflr=h5Z0.W\MFII'\UXXLZ iBOG[BTW8VYN@5=i5Z0.W\MFII'\UXXLZ iRVBPZEI\5?5=o5Z0.W\MFII'\UXXLZ iRVBPZNNOA;j7X> U^K@KK)RWZ^JX"g\T@V\HJQ6i2_;#XQFCNL,QZUSI]%bXIAPndzw6g=R8&_TENAA/T]P]KE(OVYRBNQXOSFX4X(NWGO;"XQIDS0a?P6(]VCHCC!Z_R[MG*AX[PDHSZA]DZ3^*LYIM9$^SKJ]2c9V4*SXAJEE#XQ\YOA,CZU^FJU\C_JT2\,J[KC7&\UMH_o5Z0.W\MFII'\UXUCM G^QZJFYPG[NP8P F_OG3*PYAL[8i7X> U^K@KK)RWZSEO"IPSXL@[RIULR?V"DQAE1,V[CBU:k1^<"[PIBMM+PYTQGI$KR]VNB]TKWB\>T$BSH_?.T]E@W7e3\:$YRGLOO-V[V_IK&_TKBZPSXL@5a=R8&_TENAA/T]P]KE(]VMDXR]VNB]K5a=R8&_TENAA/T]P]KE(]VMDXR]VNB]O52=R8&_TENAA/T]P]KE(]VYRBN?j;T2,QZODGG%^S^WAC.W\mAGSIVIFHR`>c:W3+PYNKFD$YR]VNB-j@DRFWJGOSc?n;T2,QZODGG%^S^WAC.kDKQYTQGI:j6[?/T]JGJH(]VYRBN!fGNV\W\HDWACLDe:W3+PYNKFD$YR]VNB-jW\HDW^EYH1?11d9V4*SXAJEE#XQ\YOA,mV_IKV]D^I2=>0g8Q5)RW@IDB"[PSXL@+lU^FJU\C_J33?3f?P6(]VCHCC!Z_R[MG*oTQGIT[B\K<5<2a>S7'\UBOB@ U^QZJF)n[PDHSZA]D=7=5`=R8&_TENAA/T]P]KE(aZSEORY@RE>5:45<]9%^SDM@N.W\PAOXzlm8<6[?/T]JGJH(]V^OCRCZX.W\GVYJ]Q%B8#[PMTZ22*Kj}qUD=S7'\UBOB@ iBMNIDHCWZOG0=0>a:W3+PYNKFD$eNABM@LG[VCK48:5=l5Z0.W\MFII'`IDA@OAD^QFH97668k0Y=!Z_HALJ*oDGDGJBIQ\EM>26;7f3\:$YRGLOO-jGJKJIGNT_HB312<2e>S7'\UBOB@ iBMNIDHCWZOG0<:11`9V4*SXAJEE#dM@MLCM@ZUBD5;>2<74U1-V[LEHF&cHC@CNNE]PAI:66830Y=!Z_HALJ*oDGDGJBIQ\EM>1:4?<]9%^SDM@N.k@KHKFFMUXIA2<>0;8Q5)RW@IDB"gLOLOBJAYTME6?2<74U1-V[LEHF&cHC@CNNE]PAI:26830Y=!Z_HALJ*oDGDGJBIQ\EM>5:4?<]9%^SDM@N.k@KHKFFMUXIA28>0;8Q5)RW@IDB"gLOLOBJAYTME632<74U1-V[LEHF&cHC@CNNE]PAI:>68?0Y=!Z_HALJ*oDG[OTEI2?>078Q5)RW@IDB"gLOSG\MA:668?0Y=!Z_HALJ*oDG[OTEI2=>078Q5)RW@IDB"gLOSG\MA:46830Y=!Z_HALJ*oDG[OTEIQ\EM>3:4g<]9%^SDM@N.k@KWCXAMUXIA2>5?30?P6(]VCHCC!fD@VB[FKC9<1^<"[PIBMM+lBF\HUHAIQaf:W3+PYNKFD$eI\LKd9V4*SXAJEE#d]JL028Q5)RW@IDB"g\EM]mb>S7'\UBOB@ iR[MG`=R8&_TENAA/hVGM`=R8&_TENAA/hVGK40<]9%^SDM@N.kW@JYQMJ6:93?<;T2,QZODGG%bXIAPndzw`>S7'`YCEKZPOTVf?P6(a\ZOMYKPOTV5?PVCI]Oh7X]JR^TJWLDKM:1]ON74VHGT[Q_WM8n0TDBFNY/[@G&7&8*XXXL/0/3#EVENA<1SC_MV6:ZPPIOE?2RXXRIAD69[WQYQKJh0TRM@RD]JJCI13QniSDj>0:ZgiZKfbfx]i}foo33?]bjWDcecXjrrklj6=_{}90Uh}m4_^][HKKXWV;;SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY26X(RWEIN?n5P_^ZOJHYXW8;TSR[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ30Y+SXDJO8o6QP_YNMIZYX9;UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[06^*PYKKL9h7RQPXMLN[ZY6;VUTY=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT14_-QZJDM:h0SRQWLOO\[Z73WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU>]/W\HFC4j2UTSUBAM^]\50YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW?S!U^N@A6dYXWQFEARQP16]\[P6(]VCHCC!Z_BVQHZIR\<BN^T0\,E[FKCS=W%YRBLE2`8[ZY_DGGTSR?7_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]2U'_T@NKl4_^][HKKXWV;TSR[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ31Y+SXD\^8n6QP_YNMIZYX:9UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[6_-QZJDM:h0SRQWLOO\[Z46WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU7]/W\HFC4j2UTSUBAM^]\67YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW4S!U^N@A7bYXWQFEARQP3^]\Q5)RW@IDB"[PCLF,QZEHEDKEHR]JL.K7*CO\0T$^SA[[3`9\[Z^KFDUTS9QP_T2,QZODGG%^SNCK/T]@KHKFFMUXIA!F4/DJ_3[)]VF^X>o4_^][HKKXWV?TSR[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ6^*PYK]]9j7RQPXMLN[ZY1WVU^<"[PIBMM+PYDEM%^SNABM@LG[VCK'@>%JDU=]/W\HPR4i2UTSUBAM^]\3ZYX]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP

R.G]@IA]69T$^SAMJd:cp}keXllidhh|6;`qzjfYj}qo0oegsbmsaZodgg8m7nffpralt`Ynkfd'oegsbmsaZodggU}=R>9_`.MKKC+FFDN?;o4cikswfiwmVchcc"lhhrpgjvbW`idbRx>_14\e)}dW|ynSckx_qcqw`t:8%iTtnaPlhqw9KsjjlxTOb`{rnn\Tjts{4;0Y~kPEyv\Fveff4lj`~k4Urgq[VeffVIcmd`2URGQ5>CiikfnSGzng^@vbb;sz|o0Y~kPUowpaaYE{jke1kocsd9Vw`YTgo~inoa=gcow`*dWqnnzdmjf`ojh|;txhxmc8#c^alv`Ysqyo6=!mPm`hlvZpbzzcdb0?;,b]nmkiuWoydaa=7.`[gsndmUyi{g|inl>4)eXkfxnS`oeos]uaw;6$jUhckPmhllvZpbz48'oRm`rd]nmkiuWhf{dlQyes?:3)eXeomTei3>,b]vw`Ye}ox60>#c^wpaZehf}xd`>3?,b]vw`Ydgg~yca:20-a\qvcXkfd~bb:=1.`[pubWjeexac6<2/gZstmVidby|`l6?3(fYr{lUhccz}om:>4)eX}zoTob`{rnn:95*dW|ynSnaatsmo55;7$jU~hQloovqki7659&hSx}j_bmmpwik9;7; nQzsd]`kkruge;81="l_tqf[fii|{eg=93?,b]vw`Ybp}Uinoa=1.`[pubW|d~hjPbrabj86+kVxiRv|t^v`oZqhzbkycx`k=0.`[`~feyfnSik|ifl>bdjtm%iTob`|tscjjqYsqyo6iuzjroco(fYr{lxTnoa_bjbmk;6$t997nffpralt`YnkfdTz2ixS`{w8:ap[hs9?k0enaa_cq`ek`;ya5wi~0=$llk96;-2CDu2712JKt4}T=j0:44475;3072>?n38>4v`>8682?k7?03<0(<69:0:3?xU4=3;3576::0103=>a2;?27i?n7;295?7|[=3=9:9<45h524:8 41a2><0(5851c48f4g029098767:6dxL41c3-3j64}6=3w/=5;51`c8 77=9h20(8l51`;8 <5=82.2>7?7a:)60?6=,1219?5a86814>=,=:0;6)67:408j=1=821 9<4?:%:;>04!>?2<80b5952:9(0c<72-2368<4n9597>=,04!>?2<80b5956:9(0d<72-2368<4n9593>=,<00;6)67:408j=1=021 854?:%:;>04!>?2<80b595a:9(03<72-2368<4n959f>=,<<0;6)67:408j=1=k21 894?:%:;>04!>?2<80b595e:9(07<72-2368<4n959b>=,<90;6)67:408j=1=9910'>h50;&;5<#010>>6`77;31?>-4l3:1(565539m<2<6;21 ?n4?:%:;>045$9:917=i0>0:;65$3983>!>?2<80b5951998/61=83.347;=;o:4>4?<3"?j6=4+89866>h??3;j76%:9;29 =>==;1e4:4>b:9(1=<72-2368<4n9595f=<#<=1<7*78;71?k>028n07&;9:18'<=<2:2d3;7?j;:)61?6=,1219?5a8682b>=,5<#010>>6`77;00?>d60=0;6<4?:1y'=d<6?l1C=5<4H05g?j>32900qo?73;295?6=8r.2m788;I3;6>N6?m1d:;4?::a=f<72;0;6=u+9`82g>N60;1C=:j4$9195g3>{e1k0;6o4?:1y'=d<6j2B:4?5G16f8j27=92d<>7>4o8394?=h1>0;66a7e;29?j?12900c<9l:188k=b=831d5=4?::k:26<@8297E?8d:&;7?7e=2c=47>5;n:1>5<87>54;294~">i3<87E?72:J23a=#0:0:n85+6g82?l7b2900e?>50;9j1a<722e3n7>5;|`217<72=0;6=u+9`857>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9l4=n9l0;66g<4;29?l3c2900c5l50;9~ff>=8381<7>t$8c935=O9180D<9k;%:0>4d23`<36=44o9094?=zjj31<7=50;2x 428h>7)8i:048 =?=90i0e;750;9j2d<722e3>7>5;|`b0?6==3:1o0:7d?j:188m76=831b?94?::k6`?6=3f2i6=44}cc6>5<2290;w)7n:708L4>53A;"1n3;0e5;h7g>5<0<729q/5l492:J2<7=O9>n0(5=51c78 3`=92c:i7>5;h03>5<1<75f5e83>>i?j3:17pl6e;291?6=8r.2m78=;I3;6>N6?m1/4>4>b49'2c<63`;n6=44i3294?=n;=0;66g:d;29?j>e2900qo7i:186>5<7s-3j6;<4H0:1?M70l2.3?7?m5:&5b?7>o4<3:17d;k:188k=d=831vnl>50;794?6|,0k1:?5G1908L41c3-2864=n9l0;66g=0;29?l532900e8j50;9l5}#1h0=>6F>839K52b<,191=o;4$7d95>o6m3:17d7>55;294~">i3<97E?72:J23a=#0:0:n85+6g82?l7b2900e?>50;9j71<722c>h7>5;n:a>5<"?;3;i96*9f;38m4c=831b>=4?::k00?6=3`?o6=44o9`94?=zjho1<7;50;2x 428h>7)8i:0;8 =?=91h0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:018 =?=90<0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:d9'<<<61m1b:44?::k5e?6=3`0<729q/5l489:J2<7=O9>n0(5=51c78 3`=l2.357?6d:k5=?6=3`j0;66a72;29?xde?3:197>50z&:e?1>3A;3>6F>7e9'<6<6j<1/:k4l;%::>4?b3`<26=44i7c94?=n>k0;66g9c;29?j>52900qol9:186>5<7s-3j6:74H0:1?M70l2.3?7?m5:&5b?g<,131=4k4i7;94?=n>h0;66g9b;29?l0d2900c5<50;9~fg3=83?1<7>t$8c93<=O9180D<9k;%:0>4d23-00;66g9a;29?l0e2900e;m50;9l<7<722win94?:483>5}#1h0<56F>839K52b<,191=o;4$7d9<>"?13;2j6g99;29?l0f2900e;l50;9j2f<722e3>7>5;|`a7?6==3:1o0<7)66:0c3?l0>2900e;o50;9j2g<722c=o7>5;n:1>5<30D<6=;I34`>"?;3;i96*9f;48 =?=9h:0e;750;9j2d<722c=n7>5;h4`>5<a09j2<<722c=m7>5;h4a>5<>{ej90;684?:1y'=d<012B:4?5G16f8 =5=9k?0(;h54:&;=?7f92c=57>5;h4b>5<>i?:3:17plnf;291?6=8r.2m796;I3;6>N6?m1/4>4>b49'2c<43`<26=44i7c94?=n>k0;66g9c;29?j>52900qoo7:186>5<7s-3j6:74H0:1?M70l2.3?7?m5:&5b?4>o1j3:17d8l:188k=4=831vnok50;794?6|,0k1;45G1908L41c3-2864?>o1j3:17d8l:188k=4=831vnoj50;794?6|,0k1;45G1908L41c3-28641>o1j3:17d8l:188k=4=831vnom50;794?6|,0k1;45G1908L41c3-28645>o1j3:17d8l:188k=4=831vnol50;794?6|,0k1;45G1908L41c3-28646>o1j3:17d8l:188k=4=831vnoo50;794?6|,0k1;45G1908L41c3-286`=n>00;66g9a;29?l0e2900e;m50;9l<7<722win44?:483>5}#1h0<56F>839K52b<,191=o;4$7d9`>o113:17d8n:188m3d=831b:n4?::m;6?6=3thh;7>55;294~">i3=27E?72:J23a=#0:0:n85+6g8`?l0>2900e;o50;9j2g<722c=o7>5;n:1>5<30D<6=;I34`>"?;3;i96*9f;c8m3?=831b:l4?::k5f?6=3`428h>7)8i:89j2<<722c=m7>5;h4a>5<>{ek=0;684?:1y'=d<012B:4?5G16f8 =5=9k?0(;h58:k5=?6=3`j0;66a72;29?xdd;3:197>50z&:e?1>3A;3>6F>7e9'<6<6j<1/:k48;h4:>5<>o1k3:17b6=:188yge5290>6=4?{%;b>2?<@8297E?8d:&;7?7e=2.=j784i7;94?=n>h0;66g9b;29?l0d2900c5<50;9~ff7=83?1<7>t$8c93<=O9180D<9k;%:0>4d23->o1i3:17d8m:188m3e=831d4?4?::ag5<72<0;6=u+9`84=>N60;1C=:j4$9195g3<,?l186g99;29?l0f2900e;l50;9j2f<722e3>7>5;|`ab?6==3:1o087d86:188m3g=831b:o4?::k5g?6=3f296=44}c`;>5<2290;w)7n:6;8L4>53A;"1n380e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=9h80e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=90:0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=90;0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=9080e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=9090e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=90>0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=90?0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=90=0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=9020e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=9030e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=9h90e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=9h>0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=91i0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=91n0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=91o0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=91l0e;750;9j2d<722c=n7>5;h4`>5<53;294~">i3=37E?72:J23a=#0:0:n85+6g8e?!>>283j7d86:188m3g=831d4?4?::ab7<72:0;6=u+9`84<>N60;1C=:j4$9195g3<,?l1=55+8882=g=n>00;66g9a;29?j>52900qo?=9;290?6=8r.2m79k;I3;6>N6?m1/4>4>b49j2<<722c=m7>5;h:e>5<5<3290;w)7n:6a8L4>53A;o113:17d8n:188m=`=831d4?4?::a570=83>1<7>t$8c93f=O9180D<9k;%:0>4d23`<26=44i7c94?=n0o0;66a72;29?xda>3:197>50z&:e?1>3A;3>6F>7e9'<6<6j<1/:k4m;h4:>5<>o1k3:17b6=:188yg`0290>6=4?{%;b>2?<@8297E?8d:&;7?7e=2.=j78?;h4:>5<>o1k3:17b6=:188yg`?290>6=4?{%;b>2?<@8297E?8d:&;7?7e=2.=j7?;;h4:>5<>o1k3:17b6=:188yg`>290>6=4?{%;b>2?<@8297E?8d:&;7?7e=2.=j7?>;h4:>5<>o1k3:17b6=:188yg`c29096=4?{%;b>26<@8297E?8d:&;7?7e=2c=47>5;n:1>5<20D<6=;I34`>"?;3;i96*9f;d8m3?=831b:l4?::m;6?6=3thmo7>55;294~">i3=27E?72:J23a=#0:0:n85+6g82=>o113:17d8n:188m3d=831b:n4?::m;6?6=3thmn7>53;294~">i3=37E?72:J23a=#0:0:n85+6g82<>"?13;2n6g99;29?l0f2900c5<50;9~f44?290?6=4?{%;b>35<@8297E?8d:&;7?7e=2.=j7?4i0g94?=n:90;66g:d;29?j>e2900qo??c;297?6=8r.2m7?71:J2<7=O9>n0(5=51c78m74=831b;>4?::m;6?6=3th:<44?:583>5}#1h0839K52b<,191=o;4i3094?=n=l0;66g7f;29?j132900qo?>0;297?6=8r.2m7?71:J2<7=O9>n0(5=51c78m74=831b;>4?::m;6?6=3th:5}#1h0839K52b<,191=o;4i3094?=n=l0;66g7f;29?j132900qo?>4;297?6=8r.2m7?71:J2<7=O9>n0(5=51c78m74=831b;>4?::m;6?6=3th:=<4?:583>5}#1h0839K52b<,191=o;4i3094?=n=l0;66g7f;29?j132900qo?>8;297?6=8r.2m7?71:J2<7=O9>n0(5=51c78m74=831b;>4?::m;6?6=3th:=84?:583>5}#1h0839K52b<,191=o;4i3094?=n=l0;66g7f;29?j132900qo?>c;297?6=8r.2m7?71:J2<7=O9>n0(5=51c78m74=831b;>4?::m;6?6=3th:=44?:583>5}#1h0839K52b<,191=o;4i3094?=n=l0;66g7f;29?j132900qo?>f;297?6=8r.2m7?71:J2<7=O9>n0(5=51c78m74=831b;>4?::m;6?6=3th:5}#1h0<;6F>839K52b<,191=o;4$7d957=n>00;66a72;29?xd68o0;6?4?:1y'=d<0?2B:4?5G16f8 =5=9k?0(;h5139j2<<722e3>7>5;|`256<72;0;6=u+9`843>N60;1C=:j4$9195g3<,?l1=?5f6883>>i?:3:17pl>1683>7<729q/5l487:J2<7=O9>n0(5=51c78 3`=9;1b:44?::m;6?6=3th:=o4?:383>5}#1h0<;6F>839K52b<,191=o;4$7d957=n>00;66a72;29?xd69l0;6?4?:1y'=d<0?2B:4?5G16f8 =5=9k?0(;h5139j2<<722e3>7>5;|`24d<72<0;6=u+9`851>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9j5;|`24`<72<0;6=u+9`851>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9j5;|`257<72<0;6=u+9`851>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9j5;|`253<72<0;6=u+9`851>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9j5;|`25d<72<0;6=u+9`851>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9j5;|`25a<72<0;6=u+9`851>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9j5;|`201<72<0;6=u+9`84=>N60;1C=:j4$9195g3<,?l1o6g99;29?l0f2900e;l50;9j2f<722e3>7>5;|`207<72:0;6=u+9`84<>N60;1C=:j4$9195g3<,?l1=55+8882=f=n>00;66g9a;29?j>52900qo?;6;296?6=8r.2m79?;I3;6>N6?m1/4>4>b49j2=<722e3>7>5;|`206<72<0;6=u+9`84=>N60;1C=:j4$9195g3<,?l1h6g99;29?l0f2900e;l50;9j2f<722e3>7>5;|`200<72<0;6=u+9`851>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9j5;|`27g<72=0;6=u+9`850>N60;1C=:j4$9195g3<,?l1=6g>e;29?l3c2900e5m50;9lm50;694?6|,0k1:95G1908L41c3-2864=n9l0;66g:d;29?l>d2900c5l50;9~f45c290?6=4?{%;b>32<@8297E?8d:&;7?7e=2.=j7?4i0g94?=n=m0;66g7c;29?j>e2900qo?N6?m1/4>4>b49'2c<63`;n6=44i4f94?=n0j0;66a7b;29?xd6;o0;694?:1y'=d<1<2B:4?5G16f8 =5=9k?0(;h51:k2a?6=3`?o6=44i9a94?=h0k0;66sm15294?2=83:p(4o5659K5=4<@8=o7)6<:0`6?!0a281b=h4?::k6`?6=3`2h6=44o9`94?=zj8>:6=4;:183!?f2?>0D<6=;I34`>"?;3;i96*9f;38m4c=831b9i4?::k;g?6=3f2i6=44}c367?6=?3:1o113:17d8n:188m3d=831b:n4?::k5`?6=3`1<75<5sW?:70jn:908yv372909wS;?;=4m6=4={_6e?8b12180q~:j:181[2b34n?65<4}r6g>5<5sW>o70j=:908yv2e2909wS:m;=4j6=4={_6b?8cd2180q~:6:181[2>34oj65<4}r6;>5<5sW>370k7:908yv202909wS:8;=4=6=4={_65?8c32180q~:::181[2234o965<4}r67>5<5sW>?70k?:908yv242909wS:<;=496=4={_61?8b72180q~:?:181[2734no65<4}r1e>5<5sW9m70jm:908yv5b2909wS=j;=465<4}r1a>5<5sW9i70j<:908yv5f2909wS=n;=45<5sW9<70k6:908yv3f2909wS;n;=45<5sW?<70k>:908yv312909wS;9;=46=4={_76?8b62180q~:>:186[2634;>>76m;0b<58>:68j4=060>3e7>51ey]73=:1j03>63>5382a>;6==0:i63n3;3f?8g528o01l?51d9>e5<6m272j7?j;<;f>4c<5h=1=h52a782a>;f=3;n70o;:0g8944?28o01j:0g8946f28o01<:>:0g8942728o01<=i:0g8945b28o01<=k:0g8945d28o01<=m:0g8942228o0q~9j:18g87?<32?70?:2;03?872<38;70?=8;03?876l38;70?>a;03?876>38;70?>2;03?877m38;70??a;03?877138970??c;01?873=3?o7p};c;293~;60:0=:6P;c:?25<<2m27:=84:e:?254<2m27:=g<>92wx5i4?:3y>=g<2n272o787;|q234<72;q6=8;55e9>500=0;1v<9;:181872=39?70?:7;:1?xu6?<0;6>u2f385=>;aj3<270?;5;:a?xu6?>0;6?u214693cm7>54z?b534n:6;o4=e292d=:nj0=m6s|17394?5|5hl14?52dg85e>;cm36383>6}:j903>63j1;4b?8c72?k0q~?93;297~;e932970k<:7c89`4=>h1v<8;:1808d521801h;56`9>a1<1i2wx=;;50;1x9g5=0;16i:49a:?f2?0f3ty::;4?:2y>f13g53z?a1?>534oi6;o4=dc92d=z{8<36=4<{<`5>=4<5ln1:l52eb85e>{t9?31<7=t=c59<7=:mo0=m63je;4b?xu6=k0;6>u2a88;6>;c;3h16h;49a:p50c=839p1lm5839>`<<1i27o478n;|q21c<72:q6mi472:?gf?0f34nj6;o4}r354?6=;r7ji76=;3g<5mi1:l5rs04f>5<59r7i476=;3d<5mi1:o52dc85f>;ci3=>k16h:49b:?g2?0e34n>6;l4=e692g=:l:0=n63k2;4a?8ca2?h01hk56c9>aa<1j27no78m;3d<5lk1:o52e885f>;b03k16i849b:?f0?0e34o86;l4=d092g=:m80=n63j0;4a?8ba2?h01ik56c9>`4<1j27o<78m;|q22c<72;q6nh472:?eg?0e3ty::l4?:01x9d6=0k165k4:d:?a34hm6;74=b292<=:k80=563l2;4:?8e42?301n:5689>g0<1127h:786;3?<5k31:452b`85=>;ej3<270ll:7;89gb=>016nh499:?216<1k2wx=;l50;308g621h01l>55e9>f=<1i27ij78n;3g<5j;1:l52c385e>;d;3h16o;49a:?`3?0f34h26;o4=cc92d=:jk0=m63mc;4b?8dc2?k01ok56`9>505=>k1v<8l:1827~;f:32i70o>:4f89g>=>k16nk49b:?`4?0e34i:6;l4=b092g=:k:0=n63l4;4a?8e22?h01n856c9>g2<1j27i578m;<`b>3d<5kh1:o52bb85f>;el3g5<1k27h=78l;3e<5j91:n52c585g>;d=3j16n449c:?ae?0d34hi6;m4=ca92f=:jm0=o63me;4`?872;3<27p}>5983>1}:i=03n63i0;4:?8`62?301ko5689~w43>290>w0o::9`89d2==m16j=49a:?e5?0f34lj6;o4}r344?6=502==m16=8=5839~w4142908w0?:7;4;?8?e20:01n65699~w4112909w0?:2;7g?8?e20=0q~mn:18a8g42:>01l<5359>e4<4<27j<7=;;<;e>62<50o1?952a6800>;f>39?70o::2689d2=;=16o5472:pgc<72kq6m>4=0:?b6?4734k:6?>4=`2965=:1o09<636e;03?8g02;:01l85219>e0<5827j87=42z?b2?>e34k>68j4=`:92<=:io0=563m0;4:?8d62?301o<5689>f6<1127i8786;<`6>3?<5k<1:452b685=>;f13<270on:7;89dd=>016mn499:?b`?0>34kn6;74}raf>5<6:r7j;76m;0b<5h21:l52ag85e>;e83:7c89g4=>h16n>49a:?a0?0f34h>6;o4=c492d=:j>0=m63n9;4b?8gf2?k01ll56`9>ef<1i27jh78n;3g2z?:a?>e34k<68j4=`:92g=:io0=n63m0;4a?8d62?h01o<56c9>f6<1j27i878m;<`6>3d<5k<1:o52b685f>;f13k16mn49b:?b`?0e34kn6;l4}ra`>5<6:r72j76m;<;f>0b<5h21:n52ag85g>;e83:7a89g4=>j16n>49c:?a0?0d34h>6;m4=c492f=:j>0=o63n9;4`?8gf2?i01ll56b9>ef<1k27jh78l;3e1z?e5?>534nh6;m4=ec92f=:l10=o63k6;4`?8b32?i01i<56b9>a`<1k27no78l;3e<5l21:n52e785g>;b<3j16hh49c:?g4?0d3tym?7>510y>b53e<5m31:n52d685g>;c=3j16ii49c:?ff?0d34o26;m4=d592f=:m<0=o63j3;4`?8c62?i01ih56b9>`4<1k2wxj84?:33x9c4=0;16hi499:?gg?0>34ni6;74=ec92<=:l00=563k8;4:?8b02?301i85689>`0<1127o8786;3?<5m81:452eg85=>;bm3<270kk:7;89`e=>016io499:?fe?0>34o26;74=d:92<=:m>0=563j6;4:?8c22?301h:5689>a6<1127n>786;3?<5l:1:452dg85=>;cm3<270j>:7;89a6=>01v<=n:18187503?o70?=9;:1?xu6;00;6?u21359<7=:9;31:45rs01;>5<5s4;9:76=;<31=?0f3ty:>n4?:3y>b3:499:p57b=838p1k95839>571=>h1v<v3i9;:1?875>33083>2}:nm03>63>1e8;g>;69h03o63>178;g>;69;03o63>0d8;g>;68h03o6s|13c94?4|5oi1:n52f`8;6>{t9::1<7{t9:=1<7=t=00:>=`<58;o65l4=03f>3?54z?263:47f:?25db=<1k27m;78l;3e<58;=65l4=034>3?56z?e=?0e34l36;l4=g592g=:n?0=n63>138;f>;69:0=56s|12194?0|5o31:l52f985e>;a?3i:7;8yv74:3:1:v3i9;4:?8`?2?301k95689>b3<1127:l:908yv`b2908w0??d;01?876838970??9;57?xu68=0;6?u211g91a=:98:14?5rsgd94?5|58;:6?<4=037>74<58:o6::4}r331?6=:r7:=?4:d:?25150;1x94722;801>0q~??6;296~;69?0>h63>198;6>{t99;1<7=t=03:>74<58;h6?<4=036>2252z?25d<2l27:=n472:p554=838p12>>0q~??8;296~;69m0>h63>1g8;6>{t9;:1<7=t=02a>=4<58:265h4=02`>2553z?24c:9d894732>90q~?=3;297~;69>03>63>148;b>;6910=`<58;h6:=4}r311?6=:r7:=h472:?25c<0;2wx=9o50;0x94252?301<:;:908yv7303:1>v3>44814>;6<;03>6s|15594??|58>:65m4=063>=e<589m65m4=01f>=e<589o65m4=01`>=e<589i65m4=066>=e<58>=65<4}r37f?6=:r7:?o47b:?201<112wx=9m50;1x945d21h01<=m:4f894232?k0q~?;d;297~;6;m03n63>3b86`>;6<=0=n6s|15g94?5|589n65l4=01g>0b<58>?6;m4}r37b?6=;r7:?k47b:?27`<2l27:8>499:p506=839p1<:?:9`8945a2v3>428;6>;6<;0=m6s|14394?5|58>:65l4=063>0b<58>86;l4}|l04g<728qC=:j4}o13g?6=9rB:;i5rn22g>5<6sA;4}O9>n0qc=>0;295~N6?m1vb>?>:182M70l2we?<<50;3xL41c3td8=>4?:0yK52b51zJ23a=zf:;>6=4>{I34`>{i;8<1<7?tH05g?xh49>0;67e9~j67>290:wE?8d:m74g=83;pD<9k;|l05g<728qC=:j4}o12g?6=9rB:;i5rn23g>5<6sA;4}O9>n0qc==0;295~N6?m1vb><>:182M70l2we??<50;3xL41c3td8>>4?:0yK52b51zJ23a=zf:8>6=4>{I34`>{i;;<1<7?tH05g?xh4:>0;67e9~j64>290:wE?8d:m77g=83;pD<9k;|l06g<728qC=:j4}o11g?6=9rB:;i5rn20g>5<6sA2:7E6?;I34`>{i;;o1<7?tH05g?xh4:o0;6N6?m1vb>=>:182M>63A2;7E?8d:m764=83;pD<9k;|l076<728qC=:j4}o100?6=9rB:;i5rn216>5<6sA;4}O9>n0qc=<8;295~N6?m1vb>=6:182M70l2we?>o50;3xL41c3td8?o4?:0yK52b51zJ23a=zf:9o6=4>{I34`>{i;:o1<7?tH05g?xh4;o0;67e9~j626290:wE?8d:m714=83;pD<9k;|l006<728qC=:j4}o170?6=9rB:;i5rn266>5<6sA;4}O9>n0qc=;8;295~N6?m1vb>:6:182M70l2we?9o50;3xL41c3td88o4?:0yK52b51zJ23a=zf:>o6=4>{I34`>{i;=o1<7?tH05g?xh47e9~j636290:wE?8d:m704=83;pD<9k;|l016<728qC=:j4}o160?6=9rB:;i5rn276>5<6sA;4}O9>n0qc=:8;295~N6?m1vb>;6:182M70l2we?8o50;3xL41c3td89o4?:0yK52bo7>51zJ23a=zf:?o6=4>{I34`>{i;7e9~j606290:wE?8d:m734=83;pD<9k;|l026<728qC=:j4}o150?6=9rB:;i5rn246>5<6sA;4}O9>n0qc=98;295~N6?m1vb>86:182M70l2we?;o50;3xL41c3td8:o4?:0yK52b51zJ23a=zf:{I34`>{i;?o1<7?tH05g?xh4>o0;67e9~j616290:wE?8d:m724=83;pD<9k;|l036<728qC=:j4}o140?6=9rB:;i5rn256>5<6sA;4}O9>n0qc=88;295~N6?m1vb>96:182M70l2we?:o50;3xL41c3td8;o4?:0yK52b51zJ23a=zf:=o6=4>{I34`>{i;>o1<7?tH05g?xh4?o0;67e9~j6>6290:wE?8d:m7=4=83;pD<9k;|l0<6<728qC=:j4}o1;0?6=9rB:;i5rn2:6>5<6sA;4}O9>n0qc=78;295~N6?m1vb>66:182M70l2wvqpNOCz63=?548:?<;8sO@Cy3yEFWstJK \ No newline at end of file diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ucf b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ucf new file mode 100644 index 000000000..b83296f8e --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ucf @@ -0,0 +1,9 @@ +NET "U0/U_ICON/*/iDRCK_LOCAL" TNM_NET = J_CLK ; +TIMESPEC TS_J_CLK = PERIOD J_CLK 30 ns ; +#Update Constraints +NET "U0/iUPDATE_OUT" TNM_NET = U_CLK ; +NET "U0/iSHIFT_OUT" TIG ; +TIMESPEC TS_U_TO_J = FROM U_CLK TO J_CLK 15 ns ; +TIMESPEC TS_U_TO_U = FROM U_CLK TO U_CLK 15 ns ; +TIMESPEC TS_J_TO_D = FROM J_CLK TO D_CLK TIG ; +TIMESPEC TS_D_TO_J = FROM D_CLK TO J_CLK TIG ; diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.v b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.v new file mode 100644 index 000000000..24282de22 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.v @@ -0,0 +1,27 @@ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 2015 Xilinx, Inc. +// All Rights Reserved +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 14.6 +// \ \ Application: Xilinx CORE Generator +// / / Filename : chipscope_icon.v +// /___/ /\ Timestamp : Tue Apr 14 17:06:06 PDT 2015 +// \ \ / \ +// \___\/\___\ +// +// Design Name: Verilog Synthesis Wrapper +/////////////////////////////////////////////////////////////////////////////// +// This wrapper is used to integrate with Project Navigator and PlanAhead + +`timescale 1ns/1ps + +module chipscope_icon( + CONTROL0) /* synthesis syn_black_box syn_noprune=1 */; + + +inout [35 : 0] CONTROL0; + +endmodule diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.veo b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.veo new file mode 100644 index 000000000..094dbf8de --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.veo @@ -0,0 +1,28 @@ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 2015 Xilinx, Inc. +// All Rights Reserved +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 14.6 +// \ \ Application: Xilinx CORE Generator +// / / Filename : chipscope_icon.veo +// /___/ /\ Timestamp : Tue Apr 14 17:06:06 PDT 2015 +// \ \ / \ +// \___\/\___\ +// +// Design Name: ISE Instantiation template +/////////////////////////////////////////////////////////////////////////////// + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +chipscope_icon YourInstanceName ( + .CONTROL0(CONTROL0) // INOUT BUS [35:0] +); + +// INST_TAG_END ------ End INSTANTIATION Template --------- + diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.xco b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.xco new file mode 100644 index 000000000..211cf181f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.xco @@ -0,0 +1,56 @@ +############################################################## +# +# Xilinx Core Generator version 14.6 +# Date: Wed Apr 15 00:05:36 2015 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:chipscope_icon:1.06.a +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx75 +SET devicefamily = spartan6 +SET flowvendor = Foundation_ISE +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = fgg484 +SET removerpms = false +SET simulationfiles = Structural +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT ICON_(ChipScope_Pro_-_Integrated_Controller) family Xilinx,_Inc. 1.06.a +# END Select +# BEGIN Parameters +CSET component_name=chipscope_icon +CSET constraint_type=external +CSET enable_jtag_bufg=true +CSET example_design=false +CSET number_control_ports=1 +CSET use_ext_bscan=false +CSET use_softbscan=false +CSET use_unused_bscan=false +CSET user_scan_chain=USER1 +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2013-06-08T17:28:33Z +# END Extra information +GENERATE +# CRC: b52cbe62 diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.xdc b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.xdc new file mode 100644 index 000000000..903799425 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.xdc @@ -0,0 +1,7 @@ +# icon XDC +create_clock -name J_CLK -period 30 -waveform {15 30} [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}] +create_generated_clock -name U_CLK -source [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}] -multiply_by 1 -invert [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/UPDATE}] +set_false_path -through [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/SHIFT}] +set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -setup 2 +set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -hold 1 +set_clock_groups -asynchronous -name cross_jtag_clock_domains -group {J_CLK U_CLK} diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_flist.txt b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_flist.txt new file mode 100644 index 000000000..bf55dc492 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_flist.txt @@ -0,0 +1,15 @@ +# Output products list for +chipscope_icon.asy +chipscope_icon.constraints/chipscope_icon.ucf +chipscope_icon.constraints/chipscope_icon.xdc +chipscope_icon.gise +chipscope_icon.ngc +chipscope_icon.ucf +chipscope_icon.v +chipscope_icon.veo +chipscope_icon.xco +chipscope_icon.xdc +chipscope_icon.xise +chipscope_icon_flist.txt +chipscope_icon_readme.txt +chipscope_icon_xmdf.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_readme.txt b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_readme.txt new file mode 100644 index 000000000..304eb5252 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_readme.txt @@ -0,0 +1,45 @@ +The following files were generated for 'chipscope_icon' in directory +/home/mwest/git/fpgadev/usrp3/top/b205/coregen/ + +XCO file generator: + Generate an XCO file for compatibility with legacy flows. + + * chipscope_icon.xco + +Creates an implementation netlist: + Creates an implementation netlist for the IP. + + * chipscope_icon.constraints/chipscope_icon.ucf + * chipscope_icon.constraints/chipscope_icon.xdc + * chipscope_icon.ngc + * chipscope_icon.ucf + * chipscope_icon.v + * chipscope_icon.veo + * chipscope_icon.xdc + * chipscope_icon_xmdf.tcl + +IP Symbol Generator: + Generate an IP symbol based on the current project options'. + + * chipscope_icon.asy + +Generate ISE subproject: + Create an ISE subproject for use when including this core in ISE designs + + * chipscope_icon.gise + * chipscope_icon.xise + +Deliver Readme: + Readme file for the IP. + + * chipscope_icon_readme.txt + +Generate FLIST file: + Text file listing all of the output files produced when a customized core was + generated in the CORE Generator. + + * chipscope_icon_flist.txt + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_xmdf.tcl b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_xmdf.tcl new file mode 100755 index 000000000..241f4d5ae --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_xmdf.tcl @@ -0,0 +1,88 @@ +# The package naming convention is _xmdf +package provide chipscope_icon_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::chipscope_icon_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::chipscope_icon_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name chipscope_icon +} +# ::chipscope_icon_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::chipscope_icon_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.constraints/chipscope_icon.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ucf +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.ncf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ncf +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.constraints/chipscope_icon.xdc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Xdc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.xcf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module chipscope_icon +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams + + diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.asy b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.asy new file mode 100644 index 000000000..4b7848a2a --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.asy @@ -0,0 +1,17 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 chipscope_ila +RECTANGLE Normal 32 32 288 704 +LINE Wide 0 80 32 80 +PIN 0 80 LEFT 36 +PINATTR PinName control[35:0] +PINATTR Polarity IN +LINE Normal 0 112 32 112 +PIN 0 112 LEFT 36 +PINATTR PinName clk +PINATTR Polarity IN +LINE Wide 0 176 32 176 +PIN 0 176 LEFT 36 +PINATTR PinName trig0[127:0] +PINATTR Polarity IN + diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.cdc b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.cdc new file mode 100644 index 000000000..3cb2c112f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.cdc @@ -0,0 +1,144 @@ +#ChipScope Core Generator Project File Version 3.0 +#Tue Jun 30 11:48:11 PDT 2015 +SignalExport.bus<0000>.channelList=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 +SignalExport.bus<0000>.name=TRIG0 +SignalExport.bus<0000>.offset=0.0 +SignalExport.bus<0000>.precision=0 +SignalExport.bus<0000>.radix=Bin +SignalExport.bus<0000>.scaleFactor=1.0 +SignalExport.clockChannel=CLK +SignalExport.dataEqualsTrigger=true +SignalExport.triggerChannel<0000><0000>=TRIG0[0] +SignalExport.triggerChannel<0000><0001>=TRIG0[1] +SignalExport.triggerChannel<0000><0002>=TRIG0[2] +SignalExport.triggerChannel<0000><0003>=TRIG0[3] +SignalExport.triggerChannel<0000><0004>=TRIG0[4] +SignalExport.triggerChannel<0000><0005>=TRIG0[5] +SignalExport.triggerChannel<0000><0006>=TRIG0[6] +SignalExport.triggerChannel<0000><0007>=TRIG0[7] +SignalExport.triggerChannel<0000><0008>=TRIG0[8] +SignalExport.triggerChannel<0000><0009>=TRIG0[9] +SignalExport.triggerChannel<0000><0010>=TRIG0[10] +SignalExport.triggerChannel<0000><0011>=TRIG0[11] +SignalExport.triggerChannel<0000><0012>=TRIG0[12] +SignalExport.triggerChannel<0000><0013>=TRIG0[13] +SignalExport.triggerChannel<0000><0014>=TRIG0[14] +SignalExport.triggerChannel<0000><0015>=TRIG0[15] +SignalExport.triggerChannel<0000><0016>=TRIG0[16] +SignalExport.triggerChannel<0000><0017>=TRIG0[17] +SignalExport.triggerChannel<0000><0018>=TRIG0[18] +SignalExport.triggerChannel<0000><0019>=TRIG0[19] +SignalExport.triggerChannel<0000><0020>=TRIG0[20] +SignalExport.triggerChannel<0000><0021>=TRIG0[21] +SignalExport.triggerChannel<0000><0022>=TRIG0[22] +SignalExport.triggerChannel<0000><0023>=TRIG0[23] +SignalExport.triggerChannel<0000><0024>=TRIG0[24] +SignalExport.triggerChannel<0000><0025>=TRIG0[25] +SignalExport.triggerChannel<0000><0026>=TRIG0[26] +SignalExport.triggerChannel<0000><0027>=TRIG0[27] +SignalExport.triggerChannel<0000><0028>=TRIG0[28] +SignalExport.triggerChannel<0000><0029>=TRIG0[29] +SignalExport.triggerChannel<0000><0030>=TRIG0[30] +SignalExport.triggerChannel<0000><0031>=TRIG0[31] +SignalExport.triggerChannel<0000><0032>=TRIG0[32] +SignalExport.triggerChannel<0000><0033>=TRIG0[33] +SignalExport.triggerChannel<0000><0034>=TRIG0[34] +SignalExport.triggerChannel<0000><0035>=TRIG0[35] +SignalExport.triggerChannel<0000><0036>=TRIG0[36] +SignalExport.triggerChannel<0000><0037>=TRIG0[37] +SignalExport.triggerChannel<0000><0038>=TRIG0[38] +SignalExport.triggerChannel<0000><0039>=TRIG0[39] +SignalExport.triggerChannel<0000><0040>=TRIG0[40] +SignalExport.triggerChannel<0000><0041>=TRIG0[41] +SignalExport.triggerChannel<0000><0042>=TRIG0[42] +SignalExport.triggerChannel<0000><0043>=TRIG0[43] +SignalExport.triggerChannel<0000><0044>=TRIG0[44] +SignalExport.triggerChannel<0000><0045>=TRIG0[45] +SignalExport.triggerChannel<0000><0046>=TRIG0[46] +SignalExport.triggerChannel<0000><0047>=TRIG0[47] +SignalExport.triggerChannel<0000><0048>=TRIG0[48] +SignalExport.triggerChannel<0000><0049>=TRIG0[49] +SignalExport.triggerChannel<0000><0050>=TRIG0[50] +SignalExport.triggerChannel<0000><0051>=TRIG0[51] +SignalExport.triggerChannel<0000><0052>=TRIG0[52] +SignalExport.triggerChannel<0000><0053>=TRIG0[53] +SignalExport.triggerChannel<0000><0054>=TRIG0[54] +SignalExport.triggerChannel<0000><0055>=TRIG0[55] +SignalExport.triggerChannel<0000><0056>=TRIG0[56] +SignalExport.triggerChannel<0000><0057>=TRIG0[57] +SignalExport.triggerChannel<0000><0058>=TRIG0[58] +SignalExport.triggerChannel<0000><0059>=TRIG0[59] +SignalExport.triggerChannel<0000><0060>=TRIG0[60] +SignalExport.triggerChannel<0000><0061>=TRIG0[61] +SignalExport.triggerChannel<0000><0062>=TRIG0[62] +SignalExport.triggerChannel<0000><0063>=TRIG0[63] +SignalExport.triggerChannel<0000><0064>=TRIG0[64] +SignalExport.triggerChannel<0000><0065>=TRIG0[65] +SignalExport.triggerChannel<0000><0066>=TRIG0[66] +SignalExport.triggerChannel<0000><0067>=TRIG0[67] +SignalExport.triggerChannel<0000><0068>=TRIG0[68] +SignalExport.triggerChannel<0000><0069>=TRIG0[69] +SignalExport.triggerChannel<0000><0070>=TRIG0[70] +SignalExport.triggerChannel<0000><0071>=TRIG0[71] +SignalExport.triggerChannel<0000><0072>=TRIG0[72] +SignalExport.triggerChannel<0000><0073>=TRIG0[73] +SignalExport.triggerChannel<0000><0074>=TRIG0[74] +SignalExport.triggerChannel<0000><0075>=TRIG0[75] +SignalExport.triggerChannel<0000><0076>=TRIG0[76] +SignalExport.triggerChannel<0000><0077>=TRIG0[77] +SignalExport.triggerChannel<0000><0078>=TRIG0[78] +SignalExport.triggerChannel<0000><0079>=TRIG0[79] +SignalExport.triggerChannel<0000><0080>=TRIG0[80] +SignalExport.triggerChannel<0000><0081>=TRIG0[81] +SignalExport.triggerChannel<0000><0082>=TRIG0[82] +SignalExport.triggerChannel<0000><0083>=TRIG0[83] +SignalExport.triggerChannel<0000><0084>=TRIG0[84] +SignalExport.triggerChannel<0000><0085>=TRIG0[85] +SignalExport.triggerChannel<0000><0086>=TRIG0[86] +SignalExport.triggerChannel<0000><0087>=TRIG0[87] +SignalExport.triggerChannel<0000><0088>=TRIG0[88] +SignalExport.triggerChannel<0000><0089>=TRIG0[89] +SignalExport.triggerChannel<0000><0090>=TRIG0[90] +SignalExport.triggerChannel<0000><0091>=TRIG0[91] +SignalExport.triggerChannel<0000><0092>=TRIG0[92] +SignalExport.triggerChannel<0000><0093>=TRIG0[93] +SignalExport.triggerChannel<0000><0094>=TRIG0[94] +SignalExport.triggerChannel<0000><0095>=TRIG0[95] +SignalExport.triggerChannel<0000><0096>=TRIG0[96] +SignalExport.triggerChannel<0000><0097>=TRIG0[97] +SignalExport.triggerChannel<0000><0098>=TRIG0[98] +SignalExport.triggerChannel<0000><0099>=TRIG0[99] +SignalExport.triggerChannel<0000><0100>=TRIG0[100] +SignalExport.triggerChannel<0000><0101>=TRIG0[101] +SignalExport.triggerChannel<0000><0102>=TRIG0[102] +SignalExport.triggerChannel<0000><0103>=TRIG0[103] +SignalExport.triggerChannel<0000><0104>=TRIG0[104] +SignalExport.triggerChannel<0000><0105>=TRIG0[105] +SignalExport.triggerChannel<0000><0106>=TRIG0[106] +SignalExport.triggerChannel<0000><0107>=TRIG0[107] +SignalExport.triggerChannel<0000><0108>=TRIG0[108] +SignalExport.triggerChannel<0000><0109>=TRIG0[109] +SignalExport.triggerChannel<0000><0110>=TRIG0[110] +SignalExport.triggerChannel<0000><0111>=TRIG0[111] +SignalExport.triggerChannel<0000><0112>=TRIG0[112] +SignalExport.triggerChannel<0000><0113>=TRIG0[113] +SignalExport.triggerChannel<0000><0114>=TRIG0[114] +SignalExport.triggerChannel<0000><0115>=TRIG0[115] +SignalExport.triggerChannel<0000><0116>=TRIG0[116] +SignalExport.triggerChannel<0000><0117>=TRIG0[117] +SignalExport.triggerChannel<0000><0118>=TRIG0[118] +SignalExport.triggerChannel<0000><0119>=TRIG0[119] +SignalExport.triggerChannel<0000><0120>=TRIG0[120] +SignalExport.triggerChannel<0000><0121>=TRIG0[121] +SignalExport.triggerChannel<0000><0122>=TRIG0[122] +SignalExport.triggerChannel<0000><0123>=TRIG0[123] +SignalExport.triggerChannel<0000><0124>=TRIG0[124] +SignalExport.triggerChannel<0000><0125>=TRIG0[125] +SignalExport.triggerChannel<0000><0126>=TRIG0[126] +SignalExport.triggerChannel<0000><0127>=TRIG0[127] +SignalExport.triggerPort<0000>.name=TRIG0 +SignalExport.triggerPortCount=1 +SignalExport.triggerPortIsData<0000>=true +SignalExport.triggerPortWidth<0000>=128 +SignalExport.type=ila + diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.constraints/chipscope_ila.ucf b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.constraints/chipscope_ila.ucf new file mode 100644 index 000000000..c94e639df --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.constraints/chipscope_ila.ucf @@ -0,0 +1,15 @@ +# +# Clock constraints +# +NET "CLK" TNM_NET = D_CLK ; +INST "U0/*/U_STAT/U_DIRTY_LDC" TNM = D2_CLK; +TIMESPEC TS_D2_TO_T2_chipscope_ila = FROM D2_CLK TO "FFS" TIG; +TIMESPEC TS_J2_TO_D2_chipscope_ila = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J3_TO_D2_chipscope_ila = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J4_TO_D2_chipscope_ila = FROM "FFS" TO D2_CLK TIG; + +# +# Input keep/save net constraints +# +NET "TRIG0<*" S; +NET "TRIG0<*" KEEP; diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.constraints/chipscope_ila.xdc b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.constraints/chipscope_ila.xdc new file mode 100644 index 000000000..49e2b9e7b --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.constraints/chipscope_ila.xdc @@ -0,0 +1,6 @@ +# +# Clock constraints +# +set_false_path -from [get_cells U0/*/U_STAT/U_DIRTY_LDC] -to [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] +set_false_path -from [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC] +set_false_path -from [get_cells U0/*/U_RST/U_ARM_XFER/U_GEN_DELAY[3].U_FD] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC] diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ncf b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ncf new file mode 100644 index 000000000..6be9051a2 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ncf @@ -0,0 +1,15 @@ +# +# Clock constraints +# +NET "CLK" TNM_NET = D_CLK ; +INST "U0/*/U_STAT/U_DIRTY_LDC" TNM = D2_CLK; +TIMESPEC TS_D2_TO_T2 = FROM D2_CLK TO "FFS" TIG; +TIMESPEC TS_J2_TO_D2 = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J3_TO_D2 = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J4_TO_D2 = FROM "FFS" TO D2_CLK TIG; + +# +# Input keep/save net constraints +# +NET "TRIG0<*" S; +NET "TRIG0<*" KEEP; diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc new file mode 100644 index 000000000..1c4da9412 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$ed27=*981;86>?01784567811;<=>?012:?56789:;<=:?;123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0028456789:;<=>?0122<>6789::<=:401270>67;O>0<<>>1193547788;;==??0137?54?I8:0NM86>8F1684C4592;?7=AGZ^X7Z]IF2?571=87;j7<=5IORVP?GCL[K\^LJKR=31>586i2;86D@_UU8B@ATEZMKOH_2>2;2=51=6<3CE\XZ5AEFQE974294:86?;:HLSQQ0=;4FNQWW>AOEL@6:97>114922?IR\Y__6IANDN>21?699<1::7AZTQWW>AIELF6:97>11:01?766<281EC^ZT;FJTDBNX5;1<3?;;38JJUSS2MC[NIG_<083:45<:3CE\XZ5WDCTAI:6294:?6<5IORVP?QBJ^O^0<4?>0786?IR\Y__6IA_AEMS84<768?0>7AZTQWW>AIWJME[0<4?>0087701877586>29=6D@@UU8@KKRUGE6897>11:67?17788;087GAPTV9@LVF4:0;24?>0280?OIX\^1[HO33;2=55=32@D[YY4XEC>0>586:2>1CXZ_UU8GKUG;;3:5=?5;:NWWTPR=LFZI0>4?>0281177??9999??5533a>3=AGZ^X7O34;2=5>>53H:97L?=;@0;?D4A:H=M;?5N359B85833H6:295N<3<7?D:46?1J094?>59B818?3HNO^L2?>89B@ATF48:556OKDSC?548>3HNO^L2>2?`8EABUI5;86=06;@FGVD:6;720MIJ]A=3=<>GCL[K7>364AEFQE95902KOH_O34?:8EABUI5?546OKDSC?2;>GCL[K\^LJKR=2=b>GCL[K\^LJKR=33:c=FLMXJ[_OKDS>25;763HNO^LY]AEFQ844=87l0MIJ]AVPB@AT;9;4n7LJKR@UQEABU484n7LJKR@UQEABU4;4n7LJKR@UQEABU4:4n7LJKR@UQEABU4=4n7LJKR@UQEABU4<4n7LJKR@UQEABU4?4n7LJKR@UQEABU4>4n7LJKR@UQEABU414n7LJKR@UQEABU40437LJKRC>3:<=FLMXI0<>19:CG@WD;98427LJKRC>26;d720MIJ]B=5=<>GCL[H74364AEFQF9?9m2KOH_L]D@FGV969n2KOH_L]D@FGV9776o1JHI\MRECG@W:697;:7LJKRCPGEABU4881<3h4AEFQFWBFLMX7=?0j;@FGVGTCIMNY0<0j;@FGVGTCIMNY0?0j;@FGVGTCIMNY0>0j;@FGVGTCIMNY090j;@FGVGTCIMNY080j;@FGVGTCIMNY0;0j;@FGVGTCIMNY0:0j;@FGVGTCIMNY050j;@FGVGTCIMNY040>0:@EFGGBIH9>;01:8FPUXAGLD=6M=;BC1?FC6:2ICINEPLHAFJVCX\PZN>6MF3:AOO1=DDB:=7NBD1925?FJL:>:>7NBD2Y:8GIM5P82;96MCK827?FJLI<1H@FO>7:AOOD7C:>1H@FO>D558GIMF9M227NBDAVP@HN28118GIT>3JEFADZ[EEc8GJHSZFF7<3l4CNLWVJJ;994i7NAATSMO8479j2IDBY\@L=31:g=DGG^YCA2>3?`8GJHSZFF7=90m;BMMPWIK48?5n6M@NUPLH9716k1HCCZ]OM>23;d15;dEHF]XD@1<7>c9@KKRUGE6953o4CNLWVJJ;:7h0OB@[RNN?758e3JEEX_AC<23=f>EHF]XD@1==>c9@KKRUGE68?3l4CNLWVJJ;;=4o7NAATSMO863=87h0OB@[RNN?708f3JEEX_AC<2BN>2NBM1>17:FJE9776>1OEL2>1?58@LG;9;4<7IGN<01=3>BNI5;?2:5KI@>21;169GMD:617<0HDO31?58@LG;:94<7IGN<33=3>BNI5892:5KI@>17;1908;EKB8739?2NBM1<9>69GMD:5?7=0HDO329<4?AOF4;35:6JFA=0=3>BNI59;245KI@>05?69?2NBM1=>>79GMD:46?1OEL2;>79GMD:26?1OEL29>79GMD:06?1OEL27>79GMD:>611OELJF<1<:?AOFL@6:<374DHCGM976601OELJF<00==>BNIMC7=>06;EKB@L:6<7h0HDOKI=36>58>3MCJHD2>5?:8@LGCA5;546JFAEK?6;>BNIMC7:364DHCGM91902NBMIG38?:8@LGCA535:6JFB=2=3>BNJ5;;2:5KIC>25;169GMG:6=7=0HDL317<4?AOE48=5;6JFB=3;:2=CAK6:5384DH@?5;1=08;EKA8779?2NBN1<=>69GMG:5;7=0HDL325<4?AOE4;?5;6JFB=05:2=CAK69;394DH@?6=803MCI0?716:FJF949?2NBN1=?>89GMG:493:5;6JFB=12:3=CAK682;5KIC>7:3=CAK6>2;5KIC>5:3=CAK6<2;5KIC>;:3=CAK62255KICFJ858>3MCIHD2>0?;8@LDCA5;:245KICFJ844912NBNIG312<:?AOEL@6:83l4DH@GM97229427IGMDH>21;>BNJMC78364DH@GM93902NBNIG36?:8@LDCA5=546JFBEK?<;>1OE]O31?58@LVF4;427IG_A=194;10n;EKSEAOW494h7IG_AEKS84<76h1OE]OKIQ>2:2=CAYH7<394DHRA84803MC[N1<19:FJTG:4294<7IG_B=1=e>BNXKNB\1>1c:FJTGBNX5;1<3o4DHRA@LV;97<0HBO30?58@JG;994<7IAN<03=3>BHI5;92:5KO@>27;169GKD:6?7=0HBO319<4?AIF4835:6J@A=3=3>BHI58;2:5KO@>15;1?08;EMB8759?2NDM1<;>69GKD:5=7=0HBO327<4?AIF4;=5;6J@A=0;:2=CGH695384DNC?6;14=7IAN<9<5?AIF40437IANDN>3:<=CGHND0<>19:FLEAI;98427IANDN>26;?89GKDBH48>5n6J@AEM?50<7601OCLJ@<07=<>BHIME7=364DNCGK94902NDMIA33?:8@JGCG5>546J@AEM?1;>BHIME75394DNC\V@A13MEI0=08;EMA8469?2NDN1?>>69GKG:6:7=0HBL312<4?AIE48>5;6J@B=36:2=CGK6::394DN@?52803MEI0<617:FLF97>6?1OCO2>>69GKG:587=0HBL320<4?AIE4;85;6J@B=00:2=CGK698394DN@?60803MEI0?817:FLF9406>1OCO2=8?58@JD;:04=7IAM<3<4?AIE4::556J@B=12>5803MEI0>?16:FLF959>2NDN1:16:FLF939>2NDN1816:FLF919>2NDN1616:FLF9?902NDNIA30?;8@JDCG5;;245KOCFL847912NDNIA313<:?AIELF6:?374DN@GK9736k1OCOJ@<0794;?99GKGBH48437IAMDN>1:==CGKND0>07;EMA@J:3611OCOJ@<4<;?AIELF6=255KOCFL828?3MEIHB27>99GKGBH404<7IAM_SGD3>BHXH6;2:5KOQC?5;11OC]L30?58@JVE484<7IA_B=0==>BHXK686=08;EMSF959i2ND\OJ@P=2=g>BHXKND\1?50?c8@JVELFZ7=3?4E39F01=B?9897HH<;DLB3>CII^XNB>5JNC58AKDULLDi7H@PRRVQEHYFj2OES_][R@O\F1=A89=:<6H?D1G3G5D799K;<=:4F7331>@FDZO97KJ>0:DG30D>;8OH:9O72118BAE33ONHI>5IDD:8BA@?0M23?6HKP29E@W20JKH?4:DEB@>5>N29224>@ANOLJJ?HIFGD;B5773OLMJKHIF476003288:0JKHIFGDE?0123=6I<;FLG5>O53@:97D?=;H01?L553@>97D;7;HLJPUY7811BBDZ__13;?LHN\YU;>55FNHVS[55?3@DBX]Q?499JJLRWW9?37D@FTQ]32==NF@^[S=96;HLJPVRF\L=0ECG[_124?LHN\V::;6GAIU]362=NF@^T<>94IOKW[5203@DBXR>:7:KMMQY7>>1BBDZP0658MKOSW92<7D@FT^2:3>OIA]U;M:5FNHV\4G194IOKW[4203@DBXR?:7:KMMQY6>>1BBDZP1658MKOSW82<7D@FT^3:3>OIA]U:M:5FNHV\5G1E69JJLRX9O=0ECG[_324?LHN\V8:;6GAIU]162=NF@^T>>94IOKW[7203@DBXR<:7:KMMQY5>>1BBDZP2658MKOSW;2<7D@FT^0:3>OIA]U9M:5FNHV\6G194IOKW[6203@DBXR=:7:KMMQY4>>1BBDZP3658MKOSW:2<7D@FT^1:3>OIA]U8M:5FNHV\7G1M8;HLJPZ5C?2CEEYQJR\:>0@XZ;4:NVP02829M655HHFL;0C<94OQVJIL\BWYXBADZFVDQ\JBE63Yi0\#3YATDA[[NL18TWC13YYOCCK>;P18U4343X9:=6\n;SCNF40E_LX27_OBB9@TAW>2XNKNKMb:PFCFCEZLMHI95]SUC7?WUSJ>1Y_YL]SU58VVRSQYOn7_][_QPJKWOSQVKn7_][_QPJKWOSQVH:7^?>;RJQABYBP]XJOYQAGBg8WMTBOVGBBR]FZD30?VOJWJEG@D]FOO]@L@ELi2YBARJJVHAF2>UH][IN46]_ASVBJ33<[[F::;5\RM35A2=TZEI::H94SSN@77C03ZX]MAQN7:QQRDJXJ?1XXLZZS59P]KE43]N[46Z]IF2?4;g<\[CL<1??0?c8PWO@85;;=3o4TSKD4977:7k0X_GH0=337;g<\[CL<1??4?c8PWO@85;;93o4TSKD4977>7k0X_GH0=333;g<\[CL<1??8?c8PWO@85;;5374TSKD49776h1_^DI?<033:d=SZ@M;0>`9WVLA748;92l5[RHE384746h1_^DI?<037:d=SZ@M;0`9WVLA748;=2l5[RHE384706h1_^DI?<03;:d=SZ@M;089WVLA748;5m6Z]IF2?5769i2^YEJ>3133=e>RUAN:7=?<1a:VQMB6;9;95m6Z]IF2?5729i2^YEJ>3137=e>RUAN:7=?81c:VQMB6;9;=1<3o4TSKD4975?730X_GH0=31:<=SZ@M;0<=19:VQMB6;9=427Y\FG1>21;?<\[CL<1?9>89WVLA748=556Z]IF2?5=8>3]XBK=2>9?:8PWO@85;556Z]IF2?658>3]XBK=2=1?;8PWO@8589245[RHE3875912^YEJ>325<:?QTNO9699374TSKD4941601_^DI?<35==>RUAN:7>506;UPJC5:51720X_GH0=0==>RUAN:7?=06;UPJC5:49730X_GH0=11:<=SZ@M;0>=19:VQMB6;;=427Y\FG1>01;?<\[CL<1=9>89WVLA74:=556Z]IF2?7=8>3]XBK=2<9?:8PWO@859556Z]IF2?058>3]XBK=2;1?;8PWO@85>9245[RHE3815912^YEJ>345<:?QTNO96?9374TSKD4921601_^DI?<55==>RUAN:78506;UPJC5:31720X_GH0=6==>RUAN:79=06;UPJC5:29730X_GH0=71:<=SZ@M;08=19:VQMB6;==427Y\FG1>61;?<\[CL<1;9>89WVLA74<=556Z]IF2?1=8>3]XBK=2:9?:8PWO@85?556Z]IF2?258>3]XBK=291?;8PWO@85<9245[RHE3835912^YEJ>365<:?QTNO96=9374TSKD4901601_^DI?<75==>RUAN:7:506;UPJC5:11720X_GH0=4==>RUAN:7;=06;UPJC5:09730X_GH0=51:<=SZ@M;0:=19:VQMB6;?=427Y\FG1>41;?<\[CL<199>89WVLA74>=556Z]IF2?3=8>3]XBK=289?:8PWO@85=556Z]IF2?<58>3]XBK=271?;8PWO@8529245[RHE38=5912^YEJ>385<:?QTNO9639374TSKD49>1601_^DI?<95==>RUAN:74506;UPJC5:?1720X_GH0=:==>RUAN:75=06;UPJC5:>9730X_GH0=;1:<=SZ@M;04=19:VQMB6;1=427Y\FG1>:1;?<\[CL<179>89WVLA740=556Z]IF2?==8>3]XBK=269?:8PWO@853586ZVPD1f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T0\,J[VTK\VDNS= KLX;0g>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[1_-MZUUD]UEIR>!FG63?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T112^*LYTZE^TBHQ?.ENZ=6c<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY245[)AVYY@YQAE^2-BC273\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX557Z&@UX^AZPND]3*AJ^1:o0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]688W%ER]]LU]MAZ6)NO>;7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\998V"DQ\RMV\J@Y7&MFR5>k4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[02_-MZUUD]UEIR>!FG63?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T116^*LYTZE^TBHQ?.ENZ=6c<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY241[)AVYY@YQAE^2-BC273\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX553Z&@UX^AZPND]3*AJ^1:o0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]68;7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\99k4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[06_-MZUUD]UEIR>!FG63?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T11:^*LYTZE^TBHQ?.ENZ=6c<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY24=[)AVYY@YQAE^2-BC273\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX55?Z&@UX^AZPND]3*AJ^1:o0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]680W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\99W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;;Q#GPSSNW[KCX8'LM8=5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;:

WNOEW1\,J[VTK\VDNS= KLX;0a>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[032Y+OX[[F_SCKP0/DE05=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ326X(NWZXGXR@J_1,GH\?4m2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_475U'CT__B[_OG\4+@A<91^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^76;T$BS^\CT^LF[5(CDP38i6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8;8Q#GPSSNW[KCX8'LM8=5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;:8P F_RPOPZHBW9$O@T7WNOEW5\,J[VTK\VDNS= KLX;0a>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[036Y+OX[[F_SCKP0/DE05=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ322X(NWZXGXR@J_1,GH\?4m2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_471U'CT__B[_OG\4+@A<91^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^76?T$BS^\CT^LF[5(CDP38i6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8;WNOEW9\,J[VTK\VDNS= KLX;0a>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[03:Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ32Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX54[)AVYY@YQAE^2-BC273\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX576Z&@UX^AZPND]3*AJ^1:o0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6:9W%ER]]LU]MAZ6)NO>;7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9;;V"DQ\RMV\J@Y7&MFR5>k4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[23_-MZUUD]UEIR>!FG63?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T131^*LYTZE^TBHQ?.ENZ=6c<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY266[)AVYY@YQAE^2-BC273\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX572Z&@UX^AZPND]3*AJ^1:o0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6:=W%ER]]LU]MAZ6)NO>;7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9;?V"DQ\RMV\J@Y7&MFR5>k4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[8S!I^QQHQYIMV:%JK:?;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=?8R.H]PVIRXFLU;"IBV92g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>27_-MZUUD]UEIR>!FG63?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T135^*LYTZE^TBHQ?.ENZ=6c<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY262[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX57[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^75U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^74U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9:W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9=W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;?Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;>Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=8S!I^QQHQYIMV:%JK=i;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=;S!I^QQHQYIMV:%HAW63e9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?9]/K\WWJSWGOT<#HI3g9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?8]/K\WWJSWGOT<#JCY81g?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T16_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T19_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ3;Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ3:Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX5<[)AVYY@YQAE^2-BC5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX5X(NWZXGXR@J_1,GH\?4k2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_4[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX65[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^47U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^46U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:8W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:;W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR89Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR88Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>>S!I^QQHQYIMV:%JK=i;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>9S!I^QQHQYIMV:%HAW63e9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV<;]/K\WWJSWGOT<#HI3g9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV<:]/K\WWJSWGOT<#JCY81g?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T24_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T27_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ05Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ04Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX62[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX6=[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^4?U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^4>U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:0W%ER]]LU]MAZ6)NO9n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:T$BS^\CT^LF[5(CDP38o6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\;9W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR9;Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR9:Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP?!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T35_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ17Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ16Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX70[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX73[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^51U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^50U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\;>W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\;1W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR93Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR92Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP?4S!I^QQHQYIMV:%JK=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP?P F_RPOPZHBW9$O@T7WNOEW>S!I^QQHQYIMV:%JK=i;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP8=S!I^QQHQYIMV:%HAW63e9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV:?]/K\WWJSWGOT<#HI3g9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV:>]/K\WWJSWGOT<#JCY81g?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T40_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T43_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ61Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ60Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX06[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX01[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^23U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^22U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\< I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\=Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR>!FG1f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T4\,J[VTK\VDNS= KLX;0g>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[5_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T51_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ73Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ72Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX14[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX17[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^35U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^34U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\=:W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\==W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR??Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR?>Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP98S!I^QQHQYIMV:%JK=i;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP9;S!I^QQHQYIMV:%HAW63e9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV;9]/K\WWJSWGOT<#HI3g9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV;8]/K\WWJSWGOT<#JCY81g?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T56_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T59_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ7;Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ7:Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX1<[)AVYY@YQAE^2-BC5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX1X(NWZXGXR@J_1,GH\?4k2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_0[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX25[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^07U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^06U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\>8W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\>;W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR<9Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR<8Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP:>S!I^QQHQYIMV:%JK=i;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP:9S!I^QQHQYIMV:%HAW63e9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV8;]/K\WWJSWGOT<#HI3g9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV8:]/K\WWJSWGOT<#JCY81g?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T64_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T67_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ45Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ44Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX22[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX2=[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^0?U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^0>U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\>0W%ER]]LU]MAZ6)NO9n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\>T$BS^\CT^LF[5(CDP38o6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS?W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\?9W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR=;Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR=:Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP;!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T75_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ57Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ56Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX30[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX33[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^11U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^10U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\?>W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\?1W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR=3Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR=2Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP;4S!I^QQHQYIMV:%JK=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP;P F_RPOPZHBW9$O@T7WNOEW:S!I^QQHQYIMV:%JK=i;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP4=S!I^QQHQYIMV:%HAW63e9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV6?]/K\WWJSWGOT<#HI3g9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV6>]/K\WWJSWGOT<#JCY81g?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T80_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T83_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ:1Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ:0Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX<6[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX<1[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^>3U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^>2U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\0 I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\0?W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR2=Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR2!FG1f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T8\,J[VTK\VDNS= KLX;0g>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[9_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T91_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ;3Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ;2Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX=4[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX=7[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^?5U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^?4U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\1:W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\1=W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR3?Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR3>Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP58S!I^QQHQYIMV:%JK=i;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP5;S!I^QQHQYIMV:%HAW63e9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV79]/K\WWJSWGOT<#HI3g9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV78]/K\WWJSWGOT<#JCY81g?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T96_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T99_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ;;Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ;:Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX=<[)AVYY@YQAE^2-BC5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX=X(NWZXGXR@J_1,GH\?4k2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_<[)AVYY@YQAE^2-BC453\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw494986[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1??0?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos84666;>0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7==<1259V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>24685<2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5;;83<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<026:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;99<5>95Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>06<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt977078?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0<>6>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?5585<2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5;:<3<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<032:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;9885>95Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>12<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt976<78?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?5409:=1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6:=:0=4:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=32<;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw48;22?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~310<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt975878?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0<<>>368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?5749:=1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6:>>0=4:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=310;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw488>2?:4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~3134=61=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:6:>49?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1?=>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?5685;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5;?2?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~314<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9716;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7=:0=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=3;:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;9049>6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1?1229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>14;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4;;5>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2=2?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8759::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6983<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<37=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:5>7887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0?91229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>1<;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4;35>?5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2=>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?7585;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx59:2?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~333<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9546;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7?90=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=16:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;;?49?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1=8>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?7=85;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5922?<4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~33?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8169::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6?=3<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<50=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:3;7887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{09:1229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>71;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4=<5>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2;7?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos81>9::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6?53<=;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<5<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9376;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz79<0=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=71:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;=:49?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1;;>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?1085;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5?=2?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~356<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt93?6;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7940=2:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=7=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:187887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0;?1229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>56;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4?95>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}294?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8339::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6=:3<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<75=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:107887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0;71239V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>5:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;?949?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|19>>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?3785;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5=82?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~375<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9126;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7;;0=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=54:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;?149?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|196>308Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?3;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw41:5>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}271?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8=49::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey63?3<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<96=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:?=7887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0581229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>;3;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4125>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}279?01?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8=85;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx53;2?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~390<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9?56;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz75>0=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=;7:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;1<49?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|179>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?=285;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5332?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~398<16>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9?9>:1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ I^UJ@QNX0'Txn9:;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*OX_@N_DR6!u^v`[5)^MZUGYY8!U^NVP3023\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"GPWHFWLZ>)}V~hS<;7;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*tt| I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.v`[scd484=<6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'JC7=38?;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$OD2=>728Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!LI=1=25=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.AJ818182_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+FO;=7<;7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(K@6=2;>4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%HE1915g9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"J30?7e?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz D=3=1c=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.F?6;3a3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,@959=o1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*B;<7?m7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(L5?59k5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&N7:3;i;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$H1916c9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT0\,DjM(RWD_SOT89;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$KV>R.T]E@WC1=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+B]7U'_T@XZ97:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#JU?]/W\\JTDQ?h0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)@S8W%KcF!U^OV\F_1>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+B]6U'_TJI\J649V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT1\,V[ISS>>1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*A\9T$^SUA]CX4a?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz GZ0^*BhO&\UFYUMV679V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT2\,V[CBUM??0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)@S;W%YRBZT758Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!H[3_-QZ^HZJS=n6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ8Q#IaH/W\IP^DQ?<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)@S:W%YRHKRD46?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz GZ1^*PYK]]<<7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(OR9V"XQWOSAZ2g=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX0X(@fA$^S@[WCX45?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz GZ6^*PYAL[O=96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ?Q#[PLTV53>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/FY7Y+SXPFXHU;l4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%LW8S!GoJ-QZKRPJS=:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ>Q#[PFEPF20=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX1X(RWE__::5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&MP9P Z_YMQG\0e3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,C^0Z&NdC"XQBUYAZ23=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX2X(RWONYI;;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%LW;S!U^NVP31<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-D_3[)]VRD^NW96:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#JU8]/W\BATB><1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*A\?T$^SA[[669V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT7\,V[]IUKP?m7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr([5:59k5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&Y7=3;i;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$_1<15g9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"]33?7e?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz S=6=1c=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.Q?1;3a3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,W909=o1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*U;?7?j7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\vvr1k2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_QKLX-D[WC@WKC_W=S!U^DGV@0d3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^RJCY.E\V@AXJ@^P=P Z_GFQA3e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNYSIBV/F]QABYEA]Q9Q#[PFEPF2f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMXTHAW G^PFCZDN\R9V"XQIDSG5g>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[UO@T!H_SGD[GOSS=W%YRHKRD4`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZVNGU"IPRDE\FLR\=T$^SKJ]E7a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABUWMFR#JQ]EF]AMQ]1U'_TJI\J6b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@ATXLES$KR\JG^@JP^1Z&\UMH_K6c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\W3(RWJYTN_OB_BCPGDBBWZ<$ERJJPUJ\HQCVW8<@"XQ\BSCN[5)NWK;3GJZ?.F]QEHD60R:V"xQ}al`2<*SXZHGI=5o9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]P2+SXKZUI^LCPC@Q@EACX[?%BSIK_TI]OP@WX9?A%YR]MR@O\4*OXJ82@KY>!G^PBIG7?S9W%yR|nmc3;+PYUIDH:4Rgastnbp`7f>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTFE&CT_; Z_BQ\FWGJWJKXOLJJ_R4,MZBBX]BT@YK^_04H*PYTJ[KFS=!F_C3;OBR7&NUYM@L>8Z2^*pYuidh:4"[PR@OA5=Ynfzgmyk99b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q:Q#{Pr`oa5=)RW[KFN<6n6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\W3(RWJYTN_OB_BCPGDBBWZ<$ERJJPUJ\HQCVW8<@"XQ\BSCN[5)NWK;3GJZ?.F]QEHD60R;V"xQ}al`2<*SXZHGI=5Qfnrwoeqc5i?1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BS^8!U^AP[GTFEVIJ_NOKE^Q5+LYCMY^CSAZJQ^35O+SX[KXJAR> I^@2Xagy~`lzj58a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI=5EHT1,D[WGJJ82P>P z_scnf4>(]VXJAO?7a79V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q9Q#{Pr`oa5=)RW[KFN<6Pioqvhdrb;h<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ER]9.T]@WZDUIDUHM^MNDD]P2*OXLLZ_DRB[EP]22N(RWZHYM@Q?/H]A5=M@\9$LS_OBB0:X6X(rW{kfn<6 U^PBIG7?W`dxyao{e5;`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SCN+LYT>'_TO^QMR@O\GDUDIMOT_;!F_EGSPMYK\L[T=;E!U^QAVDKX8&CTN<6DGU2-CZTFEK;3W>S!u^pbig7?'\UYM@L>8`48Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI=5EHT1,D[WGJJ82P?P z_scnf4>(]VXJAO?7_hlpqigsm:k=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQ\6/W\GVYEZHGTOL]LAEG\W3)NWMO[XEQCTDS\53M)]VYI^LCP0.K\F4>LO]:%KR\NMC3;_6[)}Vxjao?7/T]QEHD60Vcexbntd6:g>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PBI*OX[?$^SN]PBSCN[FGTKHNNS^8 I^FFTQNXD]OZS<8D.T]PFWGJW9%BSO?7KFV3*BYUIDH:4V:R.t]qehd60&_T^LCM19c5?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SCN+LYT>'_TO^QMR@O\GDUDIMOT_;!F_EGSPMYK\L[T=;E!U^QAVDKX8&CTN<6DGU2-CZTFEK;3W9S!u^pbig7?'\UYM@L>8^kmwpjf|l8j:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPS7,V[FUXJ[KFSNO\C@FF[V0(AVNN\YFPLUGR[40L&\UXN_OB_1-J[G7?CN^;"JQ]AL@2<^2Z&|Uym`l>8.W\VDKE91Ubb~{caug6=f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWZ<%YRM\_CPBIZEF[JKOIR]9/H]GAUROWE^N]R?9K/W\WGTFEV:$ERL>8JEW4+AXZHGI=5U:]/w\vdke91%^S_OBB0:b2>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PBI*OX[?$^SN]PBSCN[FGTKHNNS^8 I^FFTQNXD]OZS<8D.T]PFWGJW9%BSO?7KFV3*BYUIDH:4V;R.t]qehd60&_T^LCM19]jjvski}o:m;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYM@!F_R4-QZETWKXJARMNSBCGAZU1'@UOI]ZG_MVFUZ71C'_T_O\NM^2,MZD60BM_<#IPR@OA5=]2U'T~lcm19-V[WGJJ82Tec}zl`vf2'\UYM@L6_hlpqigsm>?m7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY68Vl39k5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW8;Tj:;i;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A1$^S@[W244,IhsWFU:=Rh7619V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDSS7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP10]e<7063\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXKFFTAXV I9,V[HS_:<<$A`{w_N]25Z`?W9<:7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY69Vl3S<;l;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A1$^S@[W244,IhsWFU:>8h4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N0'_TAXV=57-Nip~XGV;9Sk990:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@2%YRCZX375+HkrpVET=?Qi7043?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E5 Z_LW[600(EdsSBQ>2^d4636<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^31[c14>81^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K;*PYJ]Q8>:"Cbuy]L[44Xn>U;:<5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW88Tj:Q>609V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<&GfyuQ@_00\b=073\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXKFFTAXV I9,V[HS_:<<$A`{w_N]26Z`?9?:0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J<+SXE\R99;!Bmtz\KZ75Wo29:<5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW88Tj5Q?609V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<&GfyuQ@_016`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP1236`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP1206`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP1216`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP1266`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP1276b>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP12]e336<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^30[c16>91^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K;*PYJ]Q8>:"Cbuy]L[45Xn>8=<6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9:Um;>8?;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A1$^S@[W244,IhsWFU:?Rh84728Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B4#[PMTZ113)Je|rTCR?<_g5624=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G7.T]NQ]42>&GfyuQ@_01\b2Y7>81^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K;*PYJ]Q8>:"Cbuy]L[45Xn>U::<5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW89Tj:Q=609V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<=Pf6]024=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G7.T]NQ]42>&GfyuQ@_01\b2Y3=o1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K;*PYJ]Q8>:"Cbuy]L[45Xn1?h7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6< I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6<;?o7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6<:?o7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6<=?o7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6< I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6 I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6<>?o7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6<1?o7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6<0?m7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW8>Tj:?90:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@2%YRCZX375+HkrpVET=9Qi7343?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E5 Z_LW[600(EdsSBQ>4^d4737<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^37[c1X8?;0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J<+SXE\R99;!Bmtz\KZ73Wo=T=;?4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N0'_TAXV=57-Nip~XGV;?Sk9P24a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B4#[PMTZ113)Je|rTCR?:5e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;>5e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;=5e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;<5e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;;5e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;:5e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;95e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;85e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;75e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;65g9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;Pf67`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E5 Z_LW[600(EdsSBQ>64f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B4#[PMTZ113)Je|rTCR?914f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B4#[PMTZ113)Je|rTCR?924f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B4#[PMTZ113)Je|rTCR?934f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B4#[PMTZ113)Je|rTCR?944a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B4#[PMTZ113)Je|rTCR?84e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1?14e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1<14e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1=14e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1:14e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1;14e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1814e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1914e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1614e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1714b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%O0=0;c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&N7=3:l;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'M6929m4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(L5958n5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)C4=4?o6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*B;=7>h7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+A:16=i0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,@919R.T]E@WC2:2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX4X(RWE__995Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S9W%YRV@RB[6<>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"IT1\,DjM(RWD_SOT;<;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'NQ:Q#[PFEPF17=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[0_-QZJR\<>0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,C^7Z&\USC_MV599V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW?S!GoJ-QZKRPJS>?6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\:T$^SKJ]E408Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KVS7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"IT3\,V[]IUKP?37X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]3U'MeD#[PMTZ@]05<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ GZ6^*PYAL[O>>6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\ I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]1U'_TTB\LY4:8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV9R.FlK*PYJ]QIR9>5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S>W%YRHKRD71?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#JU8]/W\HPR2<2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX3X(RWQEYOT;7;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'NQ3Q#IaH/W\IP^DQ<90Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,C^>Z&\UMH_K:2:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&MP4P Z_MWW11=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[9_-QZ^HZJS>?6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\1T$^SKJ]E408Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV7R.T]OQQ333\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/FY:Y+SXPFXHU9m4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT([5:58n5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)T484?o6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*U;:7>h7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+V:46=i0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,W9294:1e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ S=:=0f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!\<8<7<>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]`kiYflmx7<3:7;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRm`l^cg`w:66=20Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWjegSljkr=0=0==R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\gjjXimny0>0;8:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQlom]b`at;<7>37X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVid`Rokds>6:1><]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[fikWhno~181499V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPcnn\eabu4>4?o6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUhcaQndep\`i;87>h7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVid`Rokds]gh|:66=i0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWjegSljkr^fo}9496:1e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[fikWhno~Rjcy=4=0f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\gjjXimnySibv<6<7`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]`kiYflmxThaw37?37`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]`kiYflmxThaw37?07`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]`kiYflmxThaw37?17`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]`kiYflmxThaw37?67`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]`kiYflmxThaw37?770>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;87>=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2>01<72>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;99;58;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<021:10<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;;?3:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>24183>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7==;1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84616=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1??7?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6814?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo311;=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48:58;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<033:10<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;:=3:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>25783>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=<=1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84736=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?>5?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:69?4?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3105=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48;32984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=32=;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:=3:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>26583>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=??1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84456=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?=3?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6:=4?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3137=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf488=2984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=313;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:>50;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?57?9<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0<<1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84576=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?<1?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6;;4?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3121=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf489?29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=30:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;?29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=36:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;=29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=34:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;329;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=3::12<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;5885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<32=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;;5885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<30=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;95885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<36=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;?5885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<34=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;=5885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<3:=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;35895Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<3<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;;94?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo330<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;;;4?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo332<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;;=4?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo334<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;;?4?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo336<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;;14?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo338<70>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;;7>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2;0?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:397>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2;2?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:3;7>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2;4?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:3=7>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2;6?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:3?7>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2;8?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:317>?7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2;>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9376=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1;>>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9356=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1;<>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9336=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1;:>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9316=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1;8>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe93?6=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1;6>568Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe939<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0;>1449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8379<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0;<1449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8359<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0;:1449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8339<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0;81449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8319<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0;61449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb83?9<=1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0;0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?3583=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7;<0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?3783=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7;>0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?3183=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7;80;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?3383=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7;:0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?3=83=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7;40;4:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?3;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h63<3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>;5;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h63>3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>;7;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6383::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>;1;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h63:3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>;3;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6343::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>;=;233\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6329;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=;3:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi53:29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=;1:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi53829;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=;7:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi53>29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=;5:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi53<29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=;;:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi53229:4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=;=0==R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\vjqXimny0=0;8:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQ}ov]b`at;97>37X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVxd{Rokds>1:1><]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[wipWhno~1=1499V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPrnu\eabu4=4?46[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUyczQndep?1;2?3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`ZthVkoh29>5:8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_smt[dbcz5=5855Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nT~byPaefq8=8302_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYug~Ujhi|39?60?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^plsZci9?1^<"GPNN]G*PYNDH%^SIAZT3`8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*EJXVXN_HZ<5:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZOI\JK[SK Z_BCSS@64=2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$ERGATBCS[C(RWJK[[H?:b:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^AGKKC(AVSN_A[[6/K\JJYUXD$BSTK\_NPFC+IR\VXNK8>4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PCEMMA*OXQLYGYY8!I^LL[WVJ&\UFYUH8539V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]@@JHB'@URI^BZT7,J[KIXZYG%YR]]L20\E04<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXKMEEI"GPYDQOQQ0)AVDDS_^B.T]PVI55WK><7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SNJ@ND-J[\CTD\^="^\C_LW[02=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYDLFDN#DQVERNVP3(TZEUZ?<:7;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_BFLJ@)NWPOX@XZ9.RPO[T:76=20Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_TOIAAE.K\]@UK]]<%__BPQ=3=16=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYDEYXN_HZ I^[FWISS>'CTBBQ]PL,V[HS_N>?>7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SNC_RDQFP*OXQLYGYY8!I^LL[WVJ&\UX^A==_@76?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[FKWZLYNX"GPYDQOQQ0)AVDDS_^B.T]PVI55WK>j7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SNC_RDQFP*OXQLYGYY8!SSN\U673j2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$ER]]LU]MAZ7)]VIF\_K\EU-J[\CTD\^="^\C_P>3:1d<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXKDZYI^K[/H]ZAVJR\?$X^AQ^<0<6=>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZHT8&CTUH]CUU4-MZHHW[ZF"DQVER]LV@A)G\^T^HI:1:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^LP4*OXQLYGYY8!I^LL[WVJ&\UFYUH8_@@65>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZHT8&CTUH]CUU4-MZHHW[ZF"XQBUYD4[FB3m2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$ER]]LU]MAZ7)]VDX<"GPYDQOQQ0)AVDDS_^B.T]NQ]@?=91^<"GPNN]G*PYNDH%^SJ<6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B I^QQHQYIMV;%YR@\0.K\]@UK]]<%ER@@_SRN*PYTZE99SO;?;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_OQ3+LY^MZF^X; F_OM\VUK)]VYY@>1489V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]MW5)NWPOX@XZ9.RPO[HS_?5;58;5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQAS1-J[\CTD\^="^\C_LW[<1><]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXFZ:$ERWJSMWW2+UUDV[8=1>1499V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]MW5)NWPOX@XZ9.RPO[T56484?46[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B I^QQHQYIMV;%YR@\0.K\]@UK]]<%__BPQ23?6;213\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWGY;#DQVERNVP3(TZEUZ0=0;6:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^LP4*OXQLYGYY8!SSN\U979548Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\JV6(AVSN_A[[6/QQHZW;;7?27X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SC]>/H]ZAVJR\?$BSCAPRQO-MZ_B[VEYIJ @UU]QAB363\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWGY:#DQVERNVP3(NWGET^]C!U^OV\C1XIK?:7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SC]>/H]ZAVJR\?$BSCAPRQO-QZKRPO=TOI:j;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_OQ2+LY^MZF^X; F_OM\VUK)]VG^TK6:0:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^LP5*OXQLYGYY8!I^LL[WVJ&\UX^A==_@73?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[KU6'@URI^BZT7,J[KIXZYG%YR]]L20\F06<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXFZ;$ERWJSMWW2+OXFFUY\@ Z_RPO77YD=91^<"GPNN]G*PYNDH%^SJ?31?6;?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[KU6'@URI^BZT7,PVIYV;8692984U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PNR3,MZ_B[E__:#]]L^S?4;213\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWGY:#DQVERNVP3(TZEUZ0<0;6:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^LP5*OXQLYGYY8!SSN\U949558Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\WFC(AVSN_A[[6/W\WWJD9?O?46[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B I^QQHQYIMV;%YR]LMQAF+LY^MZF^X; Z_RPO77373\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWZIY_Y!F_XGPHPR1&@UECR\_M/W\IP^A?<80Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_T_N\\T.K\]@UK]]<%ER@@_SRN*PYTZE99SL;=;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_RAQWQ)NWPOX@XZ9.H]MKZTWE'_T__B<2^@73>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZUDZZ^$ERWJSMWW2+UUDV[8=964U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PSBPPP*OXQLYGYY8!SSN\U969<11^<"GPNN]G*PYNDH%^SJS7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZQDM&CTUH]CUU4-QZUUDJ;=I974U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PWIANTFC(AVSN_A[[6/W\WWJ4:=30Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_T[AMBPBG,MZ_B[E__:#[PSSN067?<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&YHBYQLE3c8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*UDF]UHA]1:16<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(KHZTMIJ]_og{p959<91^<"GPNN]G*PYNDH%^SJ528Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,GDVXIMNYSckwt=5=05=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)DIYUJHI\Pndzw8=8382_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&IJ\ROKDS]ma}r;17>27X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ?_UM\21M)NVYN@V>R.T]PAI2f3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@U;SYAP65I-BZUBDR;;Q#[PSDN7e>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX8V^DS;:D.G]PAI]69T$^S^KC4`9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[5YSGVj7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ?_UM\21M)NVYN@V?;]/W\W@J3i2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQFNUABT+@XKHZTMIJ][1_-QZEFXVKOH_;:;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_5[)]VIJ\ROKDS]NQ]353\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UBBYMNP/D\GDVXIMNYW=S!U^k@EUYFLMX>=6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPIOV@EU(AWJK[SLJKRZ3^*PYDIYUJHI\:5:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^7Z&\UHM]QNDEP\IP^2:2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTECZLAQ,E[FGWWHNO^V?R.T]jGDVXIMNY9<5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_HLWGDV)NVIJ\ROKDSY1Y+SXKHZTMIJ]549V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[LHSKHZ%JRMNP^CG@W]5U'_TOL^PAEFQ[HS_=;1^<"GPNN]G*PYNDH%^SJ;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_1[)]VIJ\ROKDS76?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYNF]IJ\#HPC@R\EABUS=W%YRMNP^CG@WYJ]Q?97X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQFNUABT+@XKHZTMIJ][5_-QZoDIYUJHI\:1:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^3Z&\UHM]QNDEP61>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXAG^HM] I_BCS[DBCZR?V"XQLAQ]B@ATXE\R>>6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPIOV@EU(AWJK[SLJKRZ7^*PYnKHZTMIJ]509V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[LHSKHZ%JRMNP^CG@W]1U'_TOL^PAEFQ10=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NW@D_OL^!F^ABTZGCL[Q=Q#[PC@R\EABUWD_S9?5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_HLWGDV)NVIJ\ROKDSY5Y+SXaJK[SLJKR438Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZOI\JK["KQLAQ]B@AT\?T$^SNO__@FGV03<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVCEXNO_.G]@EUYFLMXP;P Z_BCS[DBCZVG^T8<4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^KMPFGW&OUHM]QNDEPX3X(RW`IJ\ROKDS72?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYNF]IJ\#HPC@R\EABUS1W%YRMNP^CG@W323\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UBBYMNP/D\GDVXIMNYW5S!U^ABTZGCL[UFYU;=;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_=[)]VcHM]QNDEP65>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXAG^HM] I_BCS[DBCZR3V"XQLAQ]B@AT2=2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTECZLAQ,E[FGWWHNO^V7R.T]@EUYFLMXTAXV:2:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^?Z&\UbOL^PAEFQ17=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,GL:66<80Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'JC7>3;=;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"MF<2<66>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-@M929=;1^<"GPNN]G*PYNDH%^SJ.T]PGKR(K@6>28<4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#NG36?71?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.AJ8282:2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)DA5259?5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$OD26>438Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/E>3:07<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+A:66<;0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'M6928?4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#I2<>438Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/E>7:07<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+A:26<;0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'M6=28?4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#I28>438Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/E>;:07<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+A:>6 I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP=P HnI,V[HS_KP?37X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP=P Z_GFQA01<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+B]6U'_T@XZ:9:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[0_-QZ^HZJS>h6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW?S!GoJ-QZKRPJS>46[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW?S!U^DGV@303\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*A\:T$^SA[[589V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ0^*PY_G[IR9i5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$KV=R.FlK*PYJ]QIR955Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$KV=R.T]E@WC2?2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)@S:W%YRBZT4;8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/FY0Y+SXPFXHU8j4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU;]/EmL+SXE\RHU864U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU;]/W\BATB=>1^<"GPNN]G*PYNDH%^SJ.T]PGKR(OR>V"XQCUU7:?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.EX0X(RWQEYOT;k;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT5\,DjM(RWD_SOT;7;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT5\,V[CBUM<=0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'NQ>Q#[PLTV6=>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-D_0[)]VRD^NW:d:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[7_-CkN)]VG^TNW:8:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[7_-QZ@CZL?<7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP:P Z_MWW1<=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,C^0Z&\USC_MV5e9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ5^*BhO&\UFYUMV599V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ5^*PYAL[O>;6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW:S!U^NVP0?<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+B]0U'_TTB\LY4f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/FY;Y+Ai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`G.T]NQ]E^?6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/FY2Y+Ai@'_TAXVLY5g8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S8W%YRHKRD6g?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(OR;V"XQCUU6e?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(OR;V"XQWOSAZ16=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP>P HnI,V[HS_KP>n7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ0^*PYAL[O?h6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/FY1Y+SXD\^?j6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/FY1Y+SXPFXHU8=4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_6[)OgB%YRCZXB[7a>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ8Q#[PFEPF0a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP?P Z_MWW0c=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP?P Z_YMQG\343\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV:R.FlK*PYJ]QIR8h5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.EX0X(RWONYI9j4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_1[)]VF^X9h4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_1[)]VRD^NW:3:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+B]2U'MeD#[PMTZ@]1c<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVYY@YQAE^3-QZQDF]%LW8S!U^DGV@2c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV;R.T]OQQ2a3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV;R.T][KWE^=:1^<"GPNN]G*PYNDH%^SJW%KcF!U^OV\F_3m2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#JU8]/W\BATB I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ:^*BhO&\UFYUMV4d9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*A\0T$^SKJ]E5f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S1W%YRBZT5d8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S1W%YRV@RB[7a>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ2Q#[PFEPF0a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP5P Z_MWW0c=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP5P Z_YMQG\203\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$_1>1469V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*U;97><7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ S=0=02=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&Y7?3:8;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,W929<>1^<"GPNN]G*PYNDH%^SJ.T]TGKR([5<58:5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.Q?3;203\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$_161469V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*U;179=7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQMRJ202>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SXJ[A:ok5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_RAMPZEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#DQFS^VFVHOII]CDBR]CIBG\J@6)]VG^TKl;;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]PGKRXKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Eg2<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]VYHBYQLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RIn95Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_RAMPZEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYAa0>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX[JD_SNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,QZKRPMh?7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQ\COV\GHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%^S^\CAc68Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,QZUDF]UHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.W\WWJEj=1^<"GPNN]G*PYNDH%^SJ'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C U^QQHAdf3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\UXOCZPCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)kkeUx~aQ~<1S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX[JD_SNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1?1b`9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[VEI\VIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/mao[vtkWx692o74U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^Q@JQYDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:76k30Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YR]LNU]@IU)NWJYTKL@K.T]@WZAFFMUX^A!F_R4-QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\TDTSAHFT_AGLE/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPln>2:g?<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]VYHBYQLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2=>c;8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,QZUDF]UHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf682oo4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^Q@JQYDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;87hj7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQ\COV\GHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo848ei2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T_N@[_BOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=0=fd=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RWZIEXRMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2<>e28Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,QZQDF]UCO@^ I^AP[BGIL'_TO^QHAOF\WWJ(AVY="XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BS]O]THCO[VJNKL$^SJOAD^QQHZUKAJOTBBQ]PL-J[LUX\LXFECO[INL\WIODMVDN<#[PMTZEf0=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRFLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RJn85Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZNDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZAf0=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRFLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RHn85Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZNDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZGf0=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRFLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FJn85Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZNDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNAf0=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRFLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FHn85Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZNDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNGfg=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRFLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R30?`a?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYPKG^TDNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1?1bc9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VBHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7>3ln;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRX@JG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh494im6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[MEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok979jh1^<"GPNN]G*PYNDH%^SJ1:gg<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]V]HBYQGCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa33?`a?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYPKG^TDNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1>1bc9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VBHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7=3lm;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRX@JG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd585no5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZNDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;;7n;7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQXCOV\HFKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$ERG\_UGQILHF\@EES^BFCD]MA5(RWD_SJo;4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYKKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Eg3<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]V]HBYQCCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SNo;4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYKKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Gg3<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]V]HBYQCCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SHo;4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYKKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!Z_RPOEg3<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]V]HBYQCCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGNo;4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYKKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!Z_RPOGg3<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]V]HBYQCCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGHol4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYKKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv494in6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[IEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:66kh0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YRYLNU]OGHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r878ei2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_MANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=2=fd=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRBLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2>>cc8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,QZQDF]UGO@^ I^AP[BGIL'_TO^QHAOF\WWJ(AVY="XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BS]O]THCO[VJNKL$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wee7>3ln;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRXDJG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh4:4in6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[IEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:76kh0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YRYLNU]OGHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo848ej2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_MANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>1:gd<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]V]HBYQCCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<2<0f>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*eaoVnjxl2?>2`8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,gcaXlh~j0<0l4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ cge\`drf4:48n6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"mig^fbpd:36=:0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$okiPd`vb[scd48:58=5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!lff]geqgX~li7=<0;0:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.aecZbf|hU}in2>2?63?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+f``WmkmRxjc=30:16<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(komThlzn_wg`8429<91^<"GPNN]G*PYNDH%^SJR]^.T]@EUESZE%^SNO__@FGVBCI'jllSio{a^tfg939;o1^<"GPNN]G*PYNDH%^SJ79m7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#nhh_ecweZpbk5=5?k5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!lff]geqgX~li743=i;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/bdd[agsiV|no1713b9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-jGDVXIMNY0=0m4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ iBCS[DBCZ595?n5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!fC@R\EABU4=48o6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"gLAQ]B@AT;=79h7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#dMNP^CG@W:16:i0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$eNO__@FGV919;j1^<"GPNN]G*PYNDH%^SJR]^.T]@EUESZE%^SNO__@FGVBCI'`YHBY2?>2:8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,mVEI\5;5?55Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!fSBLW878402_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&cXOCZ33?1;?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+lUDF]6?2>64U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ iRAMP939;11^<"GPNN]G*PYNDH%^SJS7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*oTKG^7;3=7;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/hQ@JQ:?6:20Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$e^MAT=;=6`=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UXOCZPCLR\U7><]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TX_GH289V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYSZ@M;>45Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]WVLA6:o1^<"GPNN]G*PYNDH%^SJ I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_VAMPZJDEYUZ>45Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/VAMPZEB:k1^<"GPNN]G*PYNDH%^SJR]^.T]@EUESZE%\OCZPHBOS[FC5l2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$[N@[_IANTZW5j2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$[N@[_MANT7c<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&]HBYQCCLR\G@4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%\OCZPLBOS[T4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?4;4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?5;4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?6;4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?7;4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?0;4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?1;4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?2;4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?3;4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?<;4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?=;4d3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%bOL^PWS]FJ7b<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&c_^DIHES]JJ7c<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&c_^DIHES]LQQ433\:$ER@@_E,V[LJF'\UY_Y!H_SQW_5[)]VXXX?:4U1-J[KIXL'_TEAO U^PPP*AXZZ^P=P Z_SQW61=R8&CTBBQK.T]JHD)RW[Y_#JQ]SUY1Y+SXZZ^986[?/H]MKZB)]VCGM"[PRRV,CZTT\R9V"XQ]SU07?P6(AVDDSI Z_HNB+PYU[]%LS_][[5_-QZTT\;>0Y=!F_OM\@+SXAEK$YR\\T.E\VVR\=T$^S_][259V4*OXFFUO"XQFL@-V[WUS'NUY_YU9]/W\VVR5<2_;#DQAO^F-QZOKI&_T^^Z G^PPP^1Z&\UY_Y4U1-J[KIXL'_TEAO U^PPP*VUMVXN_HZ?219V4*OXFFUO"XQFL@-V[WUS'YXNS_K\EU31<>S7'@UECRJ!U^KOE*SXZZ^$YRO]M^ZEAW)RWJFNM_<8;T2,MZHHWM$^SDBN/T]QWQ)RWHXFSUHJR.W\@JSS:11^<"GPNN]G*PYNDH%^S_][/T]BVHY_NLX$YRJ@UU21<>S7'@UECRJ!U^KOE*SXZZ^$YRO]M^ZEAW)RWME^X<=;;T2,MZHHWM$^SDBN/T]QWQ)RWHXFSUHJR.W\C@HXLLFJUV?R.T]E@62<]9%BSCAPD/W\MIG(]VXXX"[PASO\\CCU'\ULICQKEMCZ_7[)]VLO?95Z0.K\JJYC&\UB@L!Z_SQW+PYFZDUSJH\ U^EFJZBBDHSP?P Z_GF00>S7'@UECRJ!U^KOE*SXZZ^$YRO]M^ZEAW)RWNOESIKCAXY7Y+SXNM837X> I^LL[A(RW@FJ#XQ]SU-V[DTJWQLN^"[PRGFQA7><]9%BSCAPD/W\MIG(]VXXX"[PASO\\CCU'\U_JI\J2b9V4*OXFFUO"XQFL@-V[WUS'\UJ^@QWFDP,`lhXdh~hdhj=5:W3+LYIGVN%YRGCA.W\VVR(]VKYARVIES-jGIT502_;#DQAO^F-QZOKI&_T^^Z U^CQIZ^AM[%bHD@30?0;?P6(AVDDSI Z_HNB+PYU[]%^SL\B_YDFV*oCAG6:2?84U1-J[KIXL'_TEAO U^PPP*SXI[GTTKK]/hFLQQ4c3\:$ER@@_E,V[LJF'\UY_Y!Z_@PN[]@BZ&cOCXZPdm{?4;4c3\:$ER@@_E,V[LJF'\UY_Y!Z_@PN[]@BZ&cOCXZPdm{?5;4c3\:$ER@@_E,V[LJF'\UY_Y!Z_@PN[]@BZ&cOCXZPdm{?6;4c3\:$ER@@_E,V[LJF'\UY_Y!Z_@PN[]@BZ&cOCXZPdm{?0;4>3\:$ER@@_E,V[LJF'\UY_Y!Z_ICOPZ^AM[%^SNBJAS0;?P6(AVDDSI Z_HNB+PYU[]%^SEOCT^ZEAW)RWME^X?74U1-J[KIXL'_TEAO U^PPP*SX@HF_SUHJR.W\@JSS8;30Y=!F_OM\@+SXAEK$YR\\T.W\LDJSWQLN^"[PDNWW563<]9%BSCAPD/W\MIG(]VXXX"[PH@NW[]@BZ&_TKH@PDDNB]^7Z&\UMH>;4U1-J[KIXL'_TEAO U^PPP*SX@HF_SUHJR.W\C@HXLLFJUV3\:$ER@@_E,V[LJF'\UY_Y!Z_ICOPZ^AM[%bHD@30?0:?P6(AVDDSI Z_HNB+PYU[]%^SEOCT^ZEAW)nL@D7=3<8;T2,MZHHWM$^SDBN/T]QWQ)RWAKGXRVIES-j@JSS:l1^<"GPNN]G*PYNDH%^S_][/T]KEIRXPOOY#dJ@UU]gh|:76;o0Y=!F_OM\@+SXAEK$YR\\T.W\LDJSWQLN^"gKOTV\`i;:7;i7X> I^LL[A(RW@FJ#XQ]SU-V[UIU9m1^<"GPNN]G*PYNDH%^S_][/T]SVVR79m1^<"GPNN]G*PYNDH%^S_][/T]SVVR69j1^<"GPNN]G*PYNDH%^S_][/T]QWQ66n2_;#DQAO^F-QZOKI&_T^^Z iSGPAQ:76;?0Y=!F_OM\@+SXAEK$YR][AU-BGQTB[L^T|xb|e328Q5)NWGETH#[PIMC,QZUSI]%JOYQksucw65=R8&CTBBQK.T]JHD)RWZ^JX"O]M^fppdr5=2_;#DQAO^F-QZOKI&_T_YO[/BCS[WCTM]Uo`t?>f:W3+LYIGVN%YRGCA.W\WQGS'MCYXTQK00d8Q5)NWGETH#[PIMC,QZUSI]%OE_ZV_E314>S7'@UECRJ!U^KOE*SX[]K_#IG]TX]PAI453\:$ER@@_E,V[LJF'\UXXLZ DHPW]Zbt|h~986[?/H]MKZB)]VCGM"[PSUCW+AUSI]UnbRjcy007?P6(AVDDSI Z_HNB+PYT\H^$H^ZNT^gm[aj~:;>0Y=!F_OM\@+SXAEK$YR][AU-GWQGSWldThaw<209V4*OXFFUO"XQFL@-V[VRF\&NXXLZPlncg6<=R8&CTBBQK.T]JHD)RWZ^JX"KWTBCS[WCFLPUoyo{209V4*OXFFUO"XQFL@-V[VRF\&L^@AQksucw6c=R8&CTBBQK.T]JHD)RWZ^JX"HPSRVBP^6Z&@UXXLZ!U^QWEQ573\:$ER@@_E,V[LJF'\UXXLZ F^QPPDR\99W%ER][AU,V[VRF\;l0Y=!F_OM\@+SXAEK$YR][AU-E[VUSI]Q:Q#GPSUCW*PYT\H^9j6[?/H]MKZB)]VCGM"[PSUCW+CYT[]K_W?S!I^QWEQ(RWZ^JX?h4U1-J[KIXL'_TEAO U^QWEQ)AWZY_MYU<]/K\WQGS&\UXXLZ=f:W3+LYIGVN%YRGCA.W\WQGS'OUX_YO[[5_-MZUSI]$^S^ZNT3d8Q5)NWGETH#[PIMC,QZUSI]%MS^][AUY6Y+OX[]K_"XQ\T@V1b>S7'@UECRJ!U^KOE*SX[]K_#KQ\SUCW_3[)AVY_MY Z_RVBP7`<]9%BSCAPD/W\MIG(]VY_MY!I_RQWEQ]0U'CT_YO[.T]PPDR5n2_;#DQAO^F-QZOKI&_T_YO[/G]PWQGSS1W%ER][AU,V[VRF\;l0Y=!F_OM\@+SXAEK$YR][AU-E[VUSI]Q2Q#GPSUCW*PYT\H^986[?/H]MKZB)]VCGM"[PSUCW+BYI[R:V"XQASP07?P6(AVDDSI Z_HNB+PYT\H^$KR@\[0_-QZHTY;>0Y=!F_OM\@+SXAEK$YR][AU-D[KU\:T$^SC]^259V4*OXFFUO"XQFL@-V[VRF\&MTB^U<]/W\JVW5<2_;#DQAO^F-QZOKI&_T_YO[/F]MW^2Z&\UE_\<;;T2,MZHHWM$^SDBN/T]PPDR(OVDXW8S!U^LPU72<]9%BSCAPD/W\MIG(]VY_MY!H_OQX2X(RWGYZ>95Z0.K\JJYC&\UB@L!Z_RVBP*AXFZQS7'@UECRJ!U^KOE*SX[]K_#JQASZ:^*PYI[X8?7X> I^LL[A(RW@FJ#XQ\T@V,CZHTS0W%YR@\Q3;8Q5)NWGETH#[PIMC,QZUSI]%FaxvPDHPW]Zbt|h~:=?<4U1-J[KIXL'_TEAO U^QWEQ)I[Vnxxlz30?01?P6(AVDDSI Z_HNB+PYT\H^$B^Qksucw8485:2_;#DQAO^F-QZOKI&_T_YO[/OQ\`vrf|585>?5Z0.K\JJYC&\UB@L!Z_RVBP*HTWmymy2<>308Q5)NWGETH#[PIMC,QZUSI]%E_Rj|t`v?0;453\:$ER@@_E,V[LJF'\UXXLZ NR]gwqgs4<49>6[?/H]MKZB)]VCGM"[PSUCW+KUXlz~jx181239V4*OXFFUO"XQFL@-V[VRF\&DXSi}{au>4:74<]9%BSCAPD/W\MIG(]VY_MY!AS^fppdr;07897X> I^LL[A(RW@FJ#XQ\T@V,JVYc{}k040>e:W3+LYIGVN%YRGCA.W\WQGS'GYT`bok249V4*OXFFUO"XQFL@-V[VRF\&Y_MYKPdrvbp969:<1^<"GPNN]G*PYNDH%^S^ZNT.QWEQCXlz~jx1?11g9V4*OXFFUO"XQFL@-V[VRF\&^OCR`jxu07?P6(AVDDSI Z_HNB+PYT\H^$X_GHGDP\`vrf|8i0Y=!F_OM\@+SXAEK$YR][AU-V[DTJ:<1^<"GPNN]G*PYNDH%^S^ZNT.W\EWKXoenS~k{1c9V4*OXFFUO"XQFL@-V[VRF\&_TO_<<;T2,MZHHWM$^SDBN/T]PPDR(]VNB^YWPFEAF66=R8&CTBBQK.T]JHD)RWZ^JX"[PDHPW]Z@CXL897X> I^LL[A(RW@FJ#XQ\T@V,QZBNZ]ST@IM/T]GKPR5=2_;#DQAO^F-QZOKI&_T_YO[/T]GWI7(]VNDYY>=5:W3+LYIGVN%YRGCA.W\WQGS'\UO_A? U^FLQQ7492_;#DQAO^F-QZOKI&_T_YO[/T]GWI7(]VMNBRJJL@[X5X(RWON996[?/H]MKZB)]VCGM"[PSUCW+PYC[E;$YR\IDSG11>S7'@UECRJ!U^KOE*SX[]K_#XQKSM3,QZRAL[O956[?/H]MKZB)]VCGM"[PSUCW+PYC[E;$hd`Pl`v`l`b5:2_;#DQAO^F-QZOKI&_T_YO[/T]GWI7(aJFY>85Z0.K\JJYC&\UB@L!Z_RVBP*SXLZF:#dJFN=2=60=R8&CTBBQK.T]JHD)RWZ^JX"[PDRN2+lBNF5;5>l5Z0.K\JJYC&\UB@L!Z_RVBP*SXLZF:#dJ@UU]gh|:76;k0Y=!F_OM\@+SXAEK$YR][AU-V[AUK9&cOCXZPdm{?5;7c3\:$ER@@_E,V[LJF'\UXXLZ U^FPH77c3\:$ER@@_E,V[LJF'\UXXLZ U^FPH67d3\:$ER@@_E,V[LJF'\UXXLZ U^FPV4e<]9%BSCAPD/W\MIG(]VY_MY!Z_DAQ60=R8&CTBBQK.T]JHD)RWZ^JX"[PEBP\cisbWzo=i5Z0.K\JJYC&\UB@L!Z_RVBP*SXN\FG>;5Z0.K\JJYC&\UB@L!Z_RVBP*SXN\FGSjbze^qfp61<]9%BSCAPD/W\MIG(]VY_MY!Z_LW[+PYD[VG^T"G>.T]NQ]4(EdsSB?<8:W3+LYIGVN%YRGCA.W\WQGS'\UFYU!Z_BQ\IP^(A8$^S@[W2.Onq}YH98927X> I^LL[A(RW@FJ#XQ\T@V,QZKRP&_TO^QBUY-J5+SXE\R9#@czx^M2555>3\:$ER@@_E,V[LJF'\UXXLZ U^OV\*SXKZUFYU!F1/W\IP^5'Dg~tRA>101a?P6(AVDDSI Z_HNB+PYT\H^$YRCZX.W\GVYJ]Q%B=#[PMTZ1+HkrpVE:= I^LL[A(RW@FJ#XQ\T@V,QZKRP&_TO^QBUY-J5+SXE\R9#@czx^M2565>3\:$ER@@_E,V[LJF'\UXXLZ U^OV\*SXKZUFYU!F1/W\IP^5'Dg~tRA>151:?P6(AVDDSI Z_HNB+PYT\H^$YRCZX.W\GVYJ]Q%B=#[PMTZ1+HkrpVE:=8=7;T2,MZHHWM$^SDBN/T]PPDR(]VG^T"[PCR]NQ])N9'_TAXV=/Lov|ZI6::20Y=!F_OM\@+SXAEK$YR][AU-V[HS_'\UH_RCZX.K2*PYJ]Q8$A`{w_N307==R8&CTBBQK.T]JHD)RWZ^JX"[PMTZ,QZETWD_S#D?!U^OV\7)Je|rTC<;<8:W3+LYIGVN%YRGCA.W\WQGS'\UFYU!Z_BQ\IP^(A8$^S@[W2.Onq}YH9?9h7X> I^LL[A(RW@FJ#XQ\T@V,QZKRP&_TO^QBUY-J5+SXE\R9#@czx^M22ZUP8:i0Y=!F_OM\@+SXAEK$YR][AU-V[HS_'\UH_RCZX.K2*PYJ]Q8$A`{w_N35[VQ6;11^<"GPNN]G*PYNDH%^S^ZNT.W\IP^(]VIXS@[W/H3-QZKRP;%FaxvPO050<>S7'@UECRJ!U^KOE*SX[]K_#XQBUY-V[FUXE\R$E< Z_LW[6*Kj}qUD=5=7;T2,MZHHWM$^SDBN/T]PPDR(]VG^T"[PCR]NQ])N9'_TAXV=/Lov|ZI618i0Y=!F_OM\@+SXAEK$YR][AU-V[KUK;91^<"GPNN]G*PYNDH%^S^ZNT.W\V@UB\VOOKH!F_I0O*PYCG\^9n6[?/H]MKZB)]VCGM"[PSUCW+PYUMZO_SHJHE.W\@JSS8;h0Y=!F_OM\@+SXAEK$YR][AU-V[WCTM]UNHJK U^FLQQ75k2_;#DQAO^F-QZOKI&_T_YO[/T]QAVCSWLNLI"gKOTV?4;4d3\:$ER@@_E,V[LJF'\UXXLZ U^PFW@RXMMMN#dJ@UU>2:4`<]9%BSCAPD/W\MIG(]VY_MY!Z_SKPMKA582_;#DQAO^F-QZOKI&_T_YO[/T]PPDRDEM897X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]IFHR`>f:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZJ00d8Q5)NWGETH#[PIMC,QZUSI]%^S^ZNTD313>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR(K@6:2?94U1-J[KIXL'_TEAO U^QWEQ)RWZ^JXRMAT.AJ8785?2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$OD2<>358Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*EN4=49;6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ CH>6:71<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&IB0;0=7:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,GL:06;=0Y=!F_OM\@+SXAEK$YR][AU-V[VRF\VIEX"MF<9<13>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR(K@622?84U1-J[KIXL'_TEAO U^QWEQ)RWZ^JXRMAT.F?4;413\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%O0<0=6:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,@949:?1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSWJD_#I2<>348Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*B;<78=7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!K<4<12>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR(L5<5>;5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/E>4:70<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&N743<9;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYQLNU-G8<84:2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$KV>R.FlK*PYJ]QIR>i5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY3Y+SXNMXN>n5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY3Y+SXD\^9i6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ2^*PY_G[IR??5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY2Y+Ai@'_TAXVLY3f8Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\9T$^SKJ]E3a8Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\9T$^SA[[2d9V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]6U'_TTB\LY208Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\:T$LbE Z_LW[G\4c3\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW?S!U^DGV@4d3\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW?S!U^NVP7c<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP>P Z_YMQG\553\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW>S!GoJ-QZKRPJS9h6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ1^*PYAL[O9o6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ1^*PYK]]8n7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[2_-QZ^HZJS8>6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ6^*BhO&\UFYUMV2e9V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]3U'_TJI\J2b9V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]3U'_T@XZ=e:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,C^2Z&\USC_MV339V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]2U'MeD#[PMTZ@]7b<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP9P Z_GFQA7e<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP9P Z_MWW6`=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ>Q#[PXNP@]64<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP:P HnI,V[HS_KP8o7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[7_-QZ@CZL8h7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[7_-QZJR\;o0Y=!F_OM\@+SXAEK$YR][AU-V[VRF\VIEX"IT6\,V[]IUKP997X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[6_-CkN)]VG^TNW=d:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,C^1Z&\UMH_K=c:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,C^1Z&\UGYYZ&NdC"XQBUYAZ6a=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ3Q#[PFEPF6f=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ3Q#[PLTV1a>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR(OR2V"XQWOSAZ6a=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ2Q#[PFEPF6f=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ2Q#[PLTV1a>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR(OR3V"XQWOSAZ63=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'Z6;2?84U1-J[KIXL'_TEAO U^QWEQ)RWZ^JXRMAT.Q?5;413\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%X0?0=6:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,W959:?1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSWJD_#^2;>348Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*U;=78=7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!\<7<12>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR([5=5>;5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/R>;:70<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&Y753?l;T2,MZHHWM$^SDBN/T]PPDR(]V^OC?>4U1-J[KIXL'_TEAO U^QWEQ)RW]XBKJK]289V4*OXFFUO"XQFL@-V[VRF\&_TX_GHGDP\cisbWzo=k5Z0.K\JJYC&\UB@L!Z_RVBP*oT\H^7<30d8Q5)NWGETH#[PIMC,QZUSI]%b_YO[<0<2b>S7'@UECRJ!U^KOE*SX[]K_#d][AU>1:4`<]9%BSCAPD/W\MIG(]VY_MY!fSUCW8686n2_;#DQAO^F-QZOKI&_T_YO[/hQWEQ:368l0Y=!F_OM\@+SXAEK$YR][AU-jWQGS4<4:j6[?/H]MKZB)]VCGM"[PSUCW+lUSI]6=2f:W3+LYIGVN%YRGCA.W\WQGS'`Y_MY27>0d8Q5)NWGETH#[PIMC,QZUSI]%b_YO[<8<16>S7'@UECRJ!U^KOE*SX[]K_#d][AUAN@ZEB:=1^<"GPNN]G*PYNDH%^S^ZNT.kPPDRDEMUHIR`=3:W3+LYIGVN%YRGCA.W\WQGS'`Y_MYQLNU>3:75<]9%BSCAPD/W\MIG(]VY_MY!fSUCW[FHS4849?6[?/H]MKZB)]VCGM"[PSUCW+lUSI]UHBY2=>318Q5)NWGETH#[PIMC,QZUSI]%b_YO[_BLW8685;2_;#DQAO^F-QZOKI&_T_YO[/hQWEQYDF]6?2?=4U1-J[KIXL'_TEAO U^QWEQ)n[]K_SN@[<4<17>S7'@UECRJ!U^KOE*SX[]K_#d][AU]@JQ:16;90Y=!F_OM\@+SXAEK$YR][AU-jWQGSWJD_0:0=3:W3+LYIGVN%YRGCA.W\WQGS'`Y_MYQLNU>;:75<]9%BSCAPD/W\MIG(]VY_MY!fSUCW[FHS4049:6[?/H]MKZB)]VCGM"[PTSKD+CYIGV^HAN Z_GFQ77=R8&CTBBQK.T]JHD)RW]XBK"[PTB-J[VRHZHMNS\[NL/W\GDVXJ:>0Y=!F_OM\@+SXAEK$YRZ]IF-V[QE(AVY_C_OHE^SVEI(RWJK[SIBV7d9V4*OXFFUO"XQFL@-V[QTNO&_TXN!F_RVLVDABWX_J@#[PSUMQEBCXY\KG#DQ\RMV\J@Y6&@UEAXQ>_UM\0+SX\JF$ER@BU^GR5+SXaME^X"GPYDQOQQ0)]VYY@N?9E7:8Q5)NWGETH#[PIMC,QZRUAN%^SYM I^QWKWG@MV[^MA Z_RVLVDABWX_J@"GPSSNW[KCX9'CTB@[P1^VL[1(RW]IG#DQ@UUPFC+SXLF__9h5Z0.K\JJYC&\UB@L!Z_UPJC*SX\J%BS^Z@R@EF[TSFD'_T_YA]AFG\UPGK'@UX^AZPND]2*LYIE\U:SYAP4/W\PFJ(aME^X8o4U1-J[KIXL'_TEAO U^VQMB)RW]I$ER][OSCDAZWRIE$^S^Z@R@EF[TSFD&CT__B[_OG\5+OXFD_T=RZ@_5,V[QTNOX>97X> I^LL[A(RW@FJ#XQ[RHE,QZRD'@UXXB\NGD]RQDJ)]VY_C_OHE^SVEI)n\[CLKH\8a:W3+LYIGVN%YRGCA.W\PWO@'\U_O"GPTRGR[KCV:'_TXNQJQTCWMJH(AVYY@YQAE^3-MZHJ]V;TXBQ;.T]WGI)NWGG^SH_>.T]j@JSS'@URI^BZT7,V[VTKK8.H]MIPY6W]ET8#[PTBN,MZIR\[OL"XQKOTV6e>S7'@UECRJ!U^KOE*SX\[CL#XQ[C.K\PVCVWGOZ>#[PTB]FUPGSAFD$ER]]LU]MAZ7)AVDFYR?PTN]7*PYSKE%bHB[[579V4*OXFFUO"XQFL@-V[QTNO&_TXN!F_UQFUZHBY;$^SYMPEPWBPLII'@UX^AZPND]2*LYIE\U:SYAP4/W\PWO@Y:o0Y=!F_OM\@+SXAEK$YRZ]IF-V[QE(AV^XI\QAEP0-QZRDWL[^MYG@N.kWVLA@M[8?7X> I^LL[A(RW@FJ#XQ[RHE,QZRD'`IJ\Y[]E3:8Q5)NWGETH#[PIMC,QZRUAN%^SYM iBDD[AGSI5:5?n5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(AVGHSCA!U^LL[HEXZLM8:6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$HB[[_uosef=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^6Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_467U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^779T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]68;W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\999V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q:<;S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P==9R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W<>7]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mh5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V??9\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lj4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU0\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU11_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU[031Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ad9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z327X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV1`g8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY0Y251[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cf?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X543Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_471U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^76?T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]691W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\983V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q:>?S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=?=R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W<<;]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mh5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V?=5\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU27_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU[00^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?nd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[01^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?nd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[06^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?nd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[07^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?nd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[04^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?nd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[05^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?nd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[0:^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?nd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[0;^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?nc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[0_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V:=]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V:<]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V:;]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V::]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V:9]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V:8]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V:7]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V:6]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V:R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W8>R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W8?R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W8!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W8=R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W8:R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W8;R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W88R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W89R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W86R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W87R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W8S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P:=S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P: I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P:?S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P:>S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P:9S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P:8S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P:;S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P::S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P:5S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P:4S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P:P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<=P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<>P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8QR.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<8P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<9P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<:P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<;P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<4P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<5P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8QQ#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R2=Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R2V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\8T$BSD@PR@LDA+SXOHDOSIBV28c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y245[)AVCES_OAGD,V[BGILVNGU?7n;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T=]/K\MKYUIGMN"XQHAOF\@I_51h1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R;;?P F_HL\VDH@M'_TKL@K_ENZ6V??7\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:<5S!I^KM[WGIOL$^SJOAD^FO]7?f3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\993V"DQFN^PBJBC)]VMJBIQKLX0:=>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_46Z&@UBBR\NNFG-QZAFFMUO@T<6a:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[033Y+OXAGUYMCIJ.T]DEKBXLES95l5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V?>1\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:=?S!I^KM[WGIOL$^SJOAD^FO]7?f3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\989V"DQFN^PBJBC)]VMJBIQKLX0:e>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_473U'CTECQ]AOEF*PY@IGNTHAW=9`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z321X(NW@DT^L@HE/W\CDHCWMFR>4o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>17_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P=<9R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

&MTKL@K_ENZ6^761T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y25X(NW@DT^L@HE/W\CDHCWMFR>4o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>21_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P=??R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

&MTKL@K_ENZ6^75;T$BSD@PR@LDA+SXOHDOSIBV28c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y261[)AVCES_OAGD,V[BGILVNGU?7n;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>4\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:9P F_HL\VDH@M'_TKL@K_ENZ6T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y23X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>8\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:5P F_HL\VDH@M'_TKL@K_ENZ6<><]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]6U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z03Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V<>]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R89Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^44U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z07Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V<:]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R8=Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^40U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z0;Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V<6]/K\MKYUIGMN"XQHAOF\@I_5111^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R8V"DQFN^PBJBC)]VMJBIQKLX0:=>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_66Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[23^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_62Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[27^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W>8R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_6>Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[2;^*LYNFVXJBJK!U^EBJAYCDP8246[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W>S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\<9W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X04[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P8>S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\<=W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X00[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P8:S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\<1W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X0<[)AVCES_OAGD,V[BGILVNGU?77;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@TR.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q>

474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U:3\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q>8P F_HL\VDH@M'_TKL@K_ENZ6474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U:7\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q>4P F_HL\VDH@M'_TKL@K_ENZ6V8?]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R<:Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^05U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z40Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V8;]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R<>Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^01U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z44Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V87]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R<2Q#GPIO]QEKAB&\ULMCJPDM[1===R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^0Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[62^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W:?R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

8V"DQFN^PBJBC)]VMJBIQKLX0:=>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_25Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[66^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W:;R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_21Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[6:^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W:7R.H]JJZTFFNO%YRINNE]GH\4>02_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X<5[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P4?S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\0:W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X<1[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P4;S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\0>W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X<=[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P4P F_HL\VDH@M'_TKL@K_ENZ68T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y:5X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U62\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q2?P F_HL\VDH@M'_TKL@K_ENZ6474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U66\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q2;P F_HL\VDH@M'_TKL@K_ENZ60T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y:=X(NW@DT^L@HE/W\CDHCWMFR>464U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U6]/K\MKYUIGMN"XQHAOF\@I_5lj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^6Z&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Gck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX4X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Gae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZG`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ2^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMCgg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[1_-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]LCf`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT0\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CCea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU?]/W\CDHCWZXGS^BFCD]MKZTWE&_T__BKe39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV>R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>3:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;97o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\8T$^SJOAD^QQHZUKAJOTBBQ]PL-ogiYtzeUz0?0j1:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW=S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<1S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[1_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl848b92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S9W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1>1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV>R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>2:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;:7o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\8T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0>0kd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUOkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYULkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUMkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUJkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AOkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^ALkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AMkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AJj3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<>R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>3:`5<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY24X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv484n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8:V"XQHAOF\WWJX[ECHIR@@_SRN+iekWzxgS|2=>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>0\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?4;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX55[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh484n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8:V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1<1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV??]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?4;c43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX55[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd5;5i>5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;;Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb32?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT11_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh959lm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^76U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Flm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^76U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Elm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^76U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Dlm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^76U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Clm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^76U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJFlm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^76U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJElm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^76U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJDlm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^76U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJCm:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^76U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7<3k<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ32Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;:7o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\98W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0=0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ32Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:56l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]69T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0=0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW2:`5<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY25X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck4;4n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8;V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2<>ef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>2\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WAef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>2\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WBef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>2\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WCef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>2\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WDef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>2\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CAef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>2\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CBef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>2\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CCef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>2\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CDd18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>2\,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r858b;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

P Z_FCM@ZUUDVYGENKPNN]QTH)kkeUx~aQ~<3S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[00^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok969m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^75U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6:2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:>P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa32?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT13_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh969m:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^75U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7=3k<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=?S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=0=a6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ31Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;;7no7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9:W%YRINNE]PVIYTD@INSCAPRQO,QZKRPHno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9:W%YRINNE]PVIYTD@INSCAPRQO,QZKRPKno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9:W%YRINNE]PVIYTD@INSCAPRQO,QZKRPJno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9:W%YRINNE]PVIYTD@INSCAPRQO,QZKRPMno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9:W%YRINNE]PVIYTD@INSCAPRQO,QZUUDHno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9:W%YRINNE]PVIYTD@INSCAPRQO,QZUUDKno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9:W%YRINNE]PVIYTD@INSCAPRQO,QZUUDJno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9:W%YRINNE]PVIYTD@INSCAPRQO,QZUUDMo87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9:W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1>1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?<]/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?5;c43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX56[)]VMJBIQ\RM]PHLEBWGET^]C lbn\wwjXy585i?5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;8Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2?>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>3\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?5;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX56[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh4;4n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S89V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2?>d18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>3\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo848b;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[06^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYCg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[06^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUY@g`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[06^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYAg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[06^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYFg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[06^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMCg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[06^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RM@g`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[06^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMAg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[06^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMFf7>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[06^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:76l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]61:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY20X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;87o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9=W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0<0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<:R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=0=a6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ37Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;87o87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9=W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1?1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?;]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?6;c43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX51[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd595==j4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:9P Z_FCM@ZUUDVYGENKPNN]QTH)NW@YTXH\BIOCWMJHX[ECHIR@J0/K\QVCXG\^[YYQ]EF]MA5(RWFXNKk64U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:9P Z_FCM@ZUUDVYGENKPNN]QTH)NW@YTXH\BIOCWMJHX[ECHIR@J0/W\IP^Alm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Flm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Elm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Dlm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Clm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJFlm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJElm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJDlm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJCm:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7<3k<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=8S!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=3=a6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ36Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;:7o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ36Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:56l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6=T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wee7?3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=8S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<5S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[07^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:76l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6=T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0<0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<;R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>1:`5<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY21X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck4:4oo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8W%YRINNE]PVIYTD@INSCAPRQO,QZKRPHnh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9T$^SJOAD^QQHZUKAJOTBBQ]PL-V[HS_Jmi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Dlj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^7Z&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Bck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX5X(RWNKEHR]]L^QOMFCXFFUY\@!Z_RPOFae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY2Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSN@`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ3^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMFf6>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[0_-QZAFFMUX^AQ\LHAF[KIXZYG$`nbPssn\u969m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^7Z&\ULMCJPSSN\WIODMVDDS_^B/mao[vtkWx6:2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R32?g2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT1\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?4;c63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX5X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;97o:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wee7>3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<1S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[0_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh979m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^7Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme692h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb33?f`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT2\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WAea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=]/W\CDHCWZXGS^BFCD]MKZTWE&_TAXVMdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTVP Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGMim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FIhn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR8V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZEIoo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;W%YRINNE]PVIYTD@INSCAPRQO,QZUUDMo97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:T$^SJOAD^QQHZUKAJOTBBQ]PL-ogiYtzeUz0=0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?S!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=3=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ0^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:56l;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]5U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6;2h?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2>>d38Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPln>1:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY1Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;87o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0<0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=0=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ0^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:46mi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]4U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Flj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^5Z&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Dck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX7X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[@ae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY0Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNB`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ1^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RM@gg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[2_-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]LBf`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT3\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CDd08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<]/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?4;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX7X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv484n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S:W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1<1e09V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV=R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=2=a4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ1^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok979m81^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^5Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ykg585i?5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR9V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2?>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?5;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX7X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck4;4n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S:W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1=1db9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV:R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUOkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW9S!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TOjl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP8P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SOim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ?Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\ROhn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR>V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZEKoo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S=W%YRINNE]PVIYTD@INSCAPRQO,QZUUDKnh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]3U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJCm;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^2Z&\ULMCJPSSN\WIODMVDDS_^B/mao[vtkWx6;2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ?Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R31?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT4\,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r878b92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S=W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0<0j1:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW9S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<3S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[5_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh969m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^2Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme6:2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ?Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb32?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT4\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo868ck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX1X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Fae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY6Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZ@`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ7^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYFgg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[4_-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]L@f`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT5\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CBea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU:]/W\CDHCWZXGS^BFCD]MKZTWE&_T__BLdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV;R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AJj2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW8S!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=2=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ7^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:66l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]2U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7>3k>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP9P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa30?g2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT5\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?5;c63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX1X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;:7o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\=T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0=0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW8S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=3=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ7^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:56l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]2U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7?3jl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP:P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SMim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ=Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RIhn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S?W%YRINNE]PVIYTD@INSCAPRQO,QZKRPMnh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\>T$^SJOAD^QQHZUKAJOTBBQ]PL-V[VTKImi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]1U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJElj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^0Z&\ULMCJPSSN\WIODMVDDS_^B/T]PVIEck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX2X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv494n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S?W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1?1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV8R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>1:`7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY5Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:76l;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]1U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6:2h?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ=Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2=>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU9]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?4;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX2X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck484n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S?W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1<1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV8R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>0:ae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY4Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZB`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ5^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUY@gg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[6_-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZXBf`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT7\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WDea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU8]/W\CDHCWZXGS^BFCD]MKZTWE&_T__BNdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV9R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^ALkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW:S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Njl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP;P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGHh<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ1e09V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV9R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=3=a4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ5^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok949m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^1Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme6;2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S1W%YRINNE]PVIYTD@INSCAPRQO,QZKRPKnh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\0T$^SJOAD^QQHZUKAJOTBBQ]PL-V[HS_Kmi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]?U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Clj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^>Z&\ULMCJPSSN\WIODMVDDS_^B/T]PVIGck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ:^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:76l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]?U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7=3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP4P Z_FCM@ZUUDVYGENKPNN]QTH)kkeUx~aQ~<3S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[9_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl858b92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S1W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0?0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW5S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=2=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ:^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:66l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]?U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7>3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP4P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<2S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[8_-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZX@f`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT9\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WBea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU6]/W\CDHCWZXGS^BFCD]MKZTWE&_TAXVLdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV7R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUJkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW4S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Ljl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP5P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGNim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ2Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FHhn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR3V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZENn>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S0W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1>1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV7R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>2:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY:Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;:7o:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\1T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wee7<3k>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP5P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa31?g2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT9\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?6;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX=X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck494n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S0W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1?1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV7R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>1:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY:Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;;7km7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"inne]`ewt~48:5mk5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ g`lg[fguzp6:=3oi;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.ebjaYdi{xr0<<1ag9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,cdhcWjky~t2>3?ce?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*affmUhm|v<06=ec=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(ohdoSno}rx>21;gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&mjbiQlaspz848fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#joad^abvw;<7kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"inne]`ewt~4<4ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!haof\gdtuq5<5mh5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ g`lg[fguzp6<2lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/fcm`Zefz{s743oj;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.ebjaYdi{xr040na:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-pviYv48:5ml5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ ssn\u9766hk0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#~|c_p>26;gf3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&yy`R312S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)tzeUz0<:1a`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,wwjXy5;>2l74U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/rpo[t:66h30Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#~|c_p>1:d?<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'zxgS|2<>`;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+vtkWx6?2l74U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/rpo[t:26h30Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#~|c_p>5:d?<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'zxgS|28>`;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+vtkWx632l74U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/rpo[t:>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64943=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86:<=071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2>00<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>2478?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

04<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>2438?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

43=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86:<5071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2>08<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>24;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5976872:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;:=36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1?>2?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=327;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5976<72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;:936>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1?>6?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=323;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5976072:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;:536?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1?>>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><003:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2844661;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i6488925?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<<<>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><007:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2844261;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i6488=25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<<8>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><00=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?568?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;>25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<81819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?316<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>2<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz597>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64843<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq869<36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1<>>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><30=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?668?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~958>25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0?81819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?326<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>1<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz594>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64;43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq868<36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1=>>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><20=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?768?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~959>25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0>81819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?336<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>0<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz595>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64:43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86?<36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1:>>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><50=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?068?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95>>25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0981819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?346<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>7<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz592>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64=43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86><36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1;>>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><40=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?168?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95?>25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0881819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?356<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>6<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz593>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64<43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86=<36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=18>>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><70=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?268?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95<>25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0;81819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?366<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>5<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz590>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64?43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86<<36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=19>>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><60=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?368?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95=>25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0:81819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?376<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>4<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz591>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64>43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq863<36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=16>>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><90=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?<68?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~952>25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0581819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?386<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>;<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz59>>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64143<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq862<36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=17>>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><80=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?=68?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

<72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~953>25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0481819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?396<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>:<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz59?>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i6404?>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<022:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{1846561;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i548:825?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90<>;>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<026:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{1846161;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i548:<25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90<>7>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<02::=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{18469081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;98:54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87=&mjbiQklx0?5459081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;98>54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87=<;1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<3104=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?5419081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;98254<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87=<71819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<310<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>2658?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

?071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2>22<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>2618?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

;071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2>26<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>26;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz697461:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i548>54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87=8070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2>6?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=34:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{184>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;9044U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90?>1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<320<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>16;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz694461:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54;>54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87>8070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2=6?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=04:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{187>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;:044U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90>>1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<330<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>06;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz695461:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54:>54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87?8070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2<6?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=14:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{186>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;;044U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles909>1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<340<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>76;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz692461:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54=>54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp8788070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2;6?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=64:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{181>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;<044U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles908>1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<350<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>66;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz693461:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54<>54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp8798070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2:6?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=74:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{180>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;=0425>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90;>1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<360<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>56;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz690461:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54?>54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87:8070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?296?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=44:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{183>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;>044U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90:>1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<370<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>46;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz691461:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54>>54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87;8070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?286?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=54:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{182>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;?044U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles905>1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<380<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>;6;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz69>461:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i541>54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp8748070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?276?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=:4:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{18=>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;0044U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles904>1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<390<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>:6;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz69?461:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i540>54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp8758070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?266?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=;4:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{18<>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;104 I^LL[A(RW@FJ#XQ[RHE,pwo`KfdoEc<=;T2,MZHHWM$^SDBN/T]WVLA(|{clOb`kOtv20>S7'@UECRJ!U^KOE*oFZD;37X> I^LL[A(RW@FJ#dMNPUWQA4?<]9%BSCAPD/W\MIG(aJK[SIAAE318Q5)NWGETH#[PIMC,mFGWWG_FS^OBPMGP8585;2_;#DQAO^F-QZOKI&cHM]QAUL]PEHVKMZ6:2?=4U1-J[KIXL'_TEAO iBCS[KSJWZKF\AK\<3<17>S7'@UECRJ!U^KOE*oDIYUEY@Q\ALROAV:46;90Y=!F_OM\@+SXAEK$eNO__OWN[VGJXEOX090=3:W3+LYIGVN%YRGCA.k@EUYI]DUXM@^CER>6:75<]9%BSCAPD/W\MIG(aJK[SC[B_RCNTICT4?49?6[?/H]MKZB)]VCGM"gLAQ]MQHYTIDZGI^28>318Q5)NWGETH#[PIMC,mFGWWG_FS^OBPMGP8=85;2_;#DQAO^F-QZOKI&cHM]QAUL]PEHVKMZ6224U1-J[KIXL'_TEAO iBCS[QTNONOYSB[[1g9V4*OXFFUO"XQFL@-jGDVX_[UJHI\30?3e?P6(AVDDSI Z_HNB+lEFXV]YSLJKR=3=5c=R8&CTBBQK.T]JHD)nKHZT[_QNDEP?6;7a3\:$ER@@_E,V[LJF'`IJ\RY]_@FGV9599o1^<"GPNN]G*PYNDH%bOL^PWS]B@AT;<7;m7X> I^LL[A(RW@FJ#dMNP^UQ[DBCZ5?5=k5Z0.K\JJYC&\UB@L!fC@R\SWYFLMX7:3?i;T2,MZHHWM$^SDBN/hABTZQUWHNO^1911g9V4*OXFFUO"XQFL@-jGDVX_[UJHI\38?3e?P6(AVDDSI Z_HNB+lEFXV]YSLJKR=;=5d=R8&CTBBQK.T]JHD)nKHZT[_QJN0:8Q5)NWGETH#[PIMC,mAGSI5:5=l5Z0.K\JJYC&\UB@L!fD@VB846768k0Y=!F_OM\@+SXAEK$eIO[A=335;7f3\:$ER@@_E,V[LJF'`NJXL2>03<2e>S7'@UECRJ!U^KOE*oCI]K7===11`9V4*OXFFUO"XQFL@-j@DRF48:?2 I^LL[A(RW@FJ#dJNT@>24386i2_;#DQAO^F-QZOKI&cOMYO3115=5d=R8&CTBBQK.T]JHD)nLH^J0<>7>0c8Q5)NWGETH#[PIMC,mAGSI5;;53?6;T2,MZHHWM$^SDBN/hFBPD:687;j7X> I^LL[A(RW@FJ#dJNT@>25586i2_;#DQAO^F-QZOKI&cOMYO3103=5d=R8&CTBBQK.T]JHD)nLH^J00c8Q5)NWGETH#[PIMC,mAGSI5;:?3?n;T2,MZHHWM$^SDBN/hFBPD:69=4:m6[?/H]MKZB)]VCGM"gKAUC?54399h1^<"GPNN]G*PYNDH%bHLZN<035:4g<]9%BSCAPD/W\MIG(aMK_M1?>7?3b?P6(AVDDSI Z_HNB+lBF\H6:=50>a:W3+LYIGVN%YRGCA.kGEQG;9835=45Z0.K\JJYC&\UB@L!fD@VB84799h1^<"GPNN]G*PYNDH%bHLZN<003:4g<]9%BSCAPD/W\MIG(aMK_M1?=1?3b?P6(AVDDSI Z_HNB+lBF\H6:>?0>a:W3+LYIGVN%YRGCA.kGEQG;9;95=l5Z0.K\JJYC&\UB@L!fD@VB844368k0Y=!F_OM\@+SXAEK$eIO[A=311;7f3\:$ER@@_E,V[LJF'`NJXL2>27<2e>S7'@UECRJ!U^KOE*oCI]K7=?91189V4*OXFFUO"XQFL@-j@DRF4885=45Z0.K\JJYC&\UB@L!fD@VB8459901^<"GPNN]G*PYNDH%bHLZN<06=5<=R8&CTBBQK.T]JHD)nLH^J0<;1189V4*OXFFUO"XQFL@-j@DRF48<5=45Z0.K\JJYC&\UB@L!fD@VB8419901^<"GPNN]G*PYNDH%bHLZN<0:=5<=R8&CTBBQK.T]JHD)nLH^J0<71199V4*OXFFUO"XQFL@-j@DRF484:56[?/H]MKZB)]VCGM"gKAUC?658612_;#DQAO^F-QZOKI&cOMYO320<2=>S7'@UECRJ!U^KOE*oCI]K7>?0>9:W3+LYIGVN%YRGCA.kGEQG;::4:56[?/H]MKZB)]VCGM"gKAUC?618612_;#DQAO^F-QZOKI&cOMYO324<2=>S7'@UECRJ!U^KOE*oCI]K7>;0>9:W3+LYIGVN%YRGCA.kGEQG;:>4:56[?/H]MKZB)]VCGM"gKAUC?6=8612_;#DQAO^F-QZOKI&cOMYO328<2<>S7'@UECRJ!U^KOE*oCI]K7>3?6;T2,MZHHWM$^SDBN/hFBPD:487;27X> I^LL[A(RW@FJ#dJNT@>05;7>3\:$ER@@_E,V[LJF'`NJXL2<2?3:?P6(AVDDSI Z_HNB+lBF\H68?3?6;T2,MZHHWM$^SDBN/hFBPD:4<7;27X> I^LL[A(RW@FJ#dJNT@>01;7>3\:$ER@@_E,V[LJF'`NJXL2<6?3:?P6(AVDDSI Z_HNB+lBF\H68;3?6;T2,MZHHWM$^SDBN/hFBPD:407;27X> I^LL[A(RW@FJ#dJNT@>0=;7?3\:$ER@@_E,V[LJF'`NJXL2<>0;8Q5)NWGETH#[PIMC,mAGSI5>;2<74U1-J[KIXL'_TEAO iECWE9266830Y=!F_OM\@+SXAEK$eIO[A=61:4?<]9%BSCAPD/W\MIG(aMK_M1:<>0;8Q5)NWGETH#[PIMC,mAGSI5>?2<74U1-J[KIXL'_TEAO iECWE9226830Y=!F_OM\@+SXAEK$eIO[A=65:4?<]9%BSCAPD/W\MIG(aMK_M1:8>0;8Q5)NWGETH#[PIMC,mAGSI5>32<74U1-J[KIXL'_TEAO iECWE92>6820Y=!F_OM\@+SXAEK$eIO[A=6=5<=R8&CTBBQK.T]JHD)nLH^J08>1189V4*OXFFUO"XQFL@-j@DRF4<;5=45Z0.K\JJYC&\UB@L!fD@VB8049901^<"GPNN]G*PYNDH%bHLZN<41=5<=R8&CTBBQK.T]JHD)nLH^J08:1189V4*OXFFUO"XQFL@-j@DRF4S7'@UECRJ!U^KOE*oCI]K7:<0>9:W3+LYIGVN%YRGCA.kGEQG;>;4:56[?/H]MKZB)]VCGM"gKAUC?268612_;#DQAO^F-QZOKI&cOMYO365<2=>S7'@UECRJ!U^KOE*oCI]K7:80>9:W3+LYIGVN%YRGCA.kGEQG;>?4:56[?/H]MKZB)]VCGM"gKAUC?228612_;#DQAO^F-QZOKI&cOMYO369<2=>S7'@UECRJ!U^KOE*oCI]K7:40>8:W3+LYIGVN%YRGCA.kGEQG;>7;27X> I^LL[A(RW@FJ#dJNT@>44;7>3\:$ER@@_E,V[LJF'`NJXL281?3:?P6(AVDDSI Z_HNB+lBF\H6<>3?6;T2,MZHHWM$^SDBN/hFBPD:0;7;27X> I^LL[A(RW@FJ#dJNT@>40;7>3\:$ER@@_E,V[LJF'`NJXL285?3:?P6(AVDDSI Z_HNB+lBF\H6<:3?6;T2,MZHHWM$^SDBN/hFBPD:0?7;27X> I^LL[A(RW@FJ#dJNT@>4<;7>3\:$ER@@_E,V[LJF'`NJXL289?3;?P6(AVDDSI Z_HNB+lBF\H6<2<74U1-J[KIXL'_TEAO iECWE9>76830Y=!F_OM\@+SXAEK$eIO[A=:2:4?<]9%BSCAPD/W\MIG(aMK_M16=>0;8Q5)NWGETH#[PIMC,mAGSI5282<74U1-J[KIXL'_TEAO iECWE9>36830Y=!F_OM\@+SXAEK$eIO[A=:6:4?<]9%BSCAPD/W\MIG(aMK_M169>0;8Q5)NWGETH#[PIMC,mAGSI52<2<74U1-J[KIXL'_TEAO iECWE9>?6830Y=!F_OM\@+SXAEK$eIO[A=:::4><]9%BSCAPD/W\MIG(aMK_M161189V4*OXFFUO"XQFL@-j@DRF40:5=45Z0.K\JJYC&\UB@L!fD@VB8<79901^<"GPNN]G*PYNDH%bHLZN<80=5<=R8&CTBBQK.T]JHD)nLH^J04=1189V4*OXFFUO"XQFL@-j@DRF40>5=45Z0.K\JJYC&\UB@L!fD@VB8<39901^<"GPNN]G*PYNDH%bHLZN<84=5<=R8&CTBBQK.T]JHD)nLH^J0491189V4*OXFFUO"XQFL@-j@DRF4025=45Z0.K\JJYC&\UB@L!fD@VB8S7'@UECRJ!U^KOE*oCI]KTHB[[189V4*OXFFUO"XQFL@-jV@UB\5:5=45Z0.K\JJYC&\UB@L!fRDQFP979901^<"GPNN]G*PYNDH%b^H]JT=0=5<=R8&CTBBQK.T]JHD)nZLYNX1=1189V4*OXFFUO"XQFL@-jV@UB\5>5=45Z0.K\JJYC&\UB@L!fRDQFP939901^<"GPNN]G*PYNDH%b^H]JT=4=5<=R8&CTBBQK.T]JHD)nZLYNX1911`9V4*OXFFUO"XQFL@-jWQGSWME^X<64U1-J[KIXL'_TEAO iUPJCBCU9<1^<"GPTP2-CZRPS9W%YRZ^169V4*OX\X:%KRZX[023Y+SX\X;<7X> I^VR4+AX\^Q:<!G^VT_465U'_TX\?8;T2,MZRV8'MTXZU>02_-QZRV9>1^<"GPTP2-CZRPS8:?Q#[PTP34?P6(AV^Z<#IPTVY240[)]V^Z=:5Z0.K\PT6)OV^\W<>9]/W\PT703\:$ERZ^0/E\PR]68>W%YRZ^169V4*OX\X:%KRZX[02;Y+SX\X;<7X> I^VR4+AX\^Q:<4S!U^VR53=R8&CTX\>!G^VT_46Z&\U_]<94U1-J[QW7&NU_[V?>0\,V[QW6?2_;#DQ[Q1,D[QQ\98;V"XQ[Q058Q5)NW][;"JQ[WZ326X(RW][:;6[?/H]WU5(@W]]P=<=R.T]WU41<]9%BSY_?.F]WS^767:W3+LYSY9$LSYYT107^*PYSY8=0Y=!F_US3*BYS_R;::P Z_US23>S7'@U_]= H_UUX541Z&\U_]<94U1-J[QW7&NU_[V?>8\,V[QW6?2_;#DQ[Q1,D[QQ\983V"XQ[Q048Q5)NW][;"JQ[WZ32Y+SX\X;<7X> I^VR4+AX\^Q:>=S!U^VR52=R8&CTX\>!G^VT_446U'_TX\?8;T2,MZRV8'MTXZU>23_-QZRV9>1^<"GPTP2-CZRPS888Q#[PTP34?P6(AV^Z<#IPTVY261[)]V^Z=:5Z0.K\PT6)OV^\W<<:]/W\PT703\:$ERZ^0/E\PR]6:?W%YRZ^169V4*OX\X:%KRZX[004Y+SX\X;=7X> I^VR4+AX\^Q:>P Z_US22>S7'@U_]= H_UUX56[)]V^Z=;5Z0.K\PT6)OV^\W<:R.T]WU40<]9%BSY_?.F]WS^72U'_TX\?9;T2,MZRV8'MTXZU>6\,V[QW6>2_;#DQ[Q1,D[QQ\9>W%YRZ^179V4*OX\X:%KRZX[0:^*PYSY8<0Y=!F_US3*BYS_R;2Q#[PTP36?P6(AV^Z<#IPTVY2Y+SX\X;=7X> I^VR4+AX\^Q9

S7'@U_]= H_UUX64[)]V^Z=;5Z0.K\PT6)OV^\W?2_;#DQ[Q1,D[QQ\:4S!U^VR50=R8&CTX\>!G^VT_7[)]V^Z=;5Z0.K\PT6)OV^\W>>R.T]WU40<]9%BSY_?.F]WS^56U'_TX\?9;T2,MZRV8'MTXZU<2\,V[QW6>2_;#DQ[Q1,D[QQ\;:W%YRZ^179V4*OX\X:%KRZX[26^*PYSY8<0Y=!F_US3*BYS_R9>Q#[PTP35?P6(AV^Z<#IPTVY02X(RW][::6[?/H]WU5(@W]]P?:S!U^VR53=R8&CTX\>!G^VT_6>Z&\U_]<84U1-J[QW7&NU_[V=6]/W\PT723\:$ERZ^0/E\PR]4U'_TX\?9;T2,MZRV8'MTXZU;0\,V[QW6>2_;#DQ[Q1,D[QQ\<8W%YRZ^179V4*OX\X:%KRZX[50^*PYSY8<0Y=!F_US3*BYS_R>8Q#[PTP35?P6(AV^Z<#IPTVY70X(RW][::6[?/H]WU5(@W]]P88S!U^VR53=R8&CTX\>!G^VT_10Z&\U_]<84U1-J[QW7&NU_[V:8]/W\PT713\:$ERZ^0/E\PR]30T$^SY_>6:W3+LYSY9$LSYYT48_-QZRV9<1^<"GPTP2-CZRPS=W%YRZ^179V4*OX\X:%KRZX[42^*PYSY8<0Y=!F_US3*BYS_R?:Q#[PTP35?P6(AV^Z<#IPTVY66X(RW][::6[?/H]WU5(@W]]P9>S!U^VR53=R8&CTX\>!G^VT_02Z&\U_]<84U1-J[QW7&NU_[V;:]/W\PT713\:$ERZ^0/E\PR]2>T$^SY_>6:W3+LYSY9$LSYYT56_-QZRV9?1^<"GPTP2-CZRPS<2V"XQ[Q048Q5)NW][;"JQ[WZ7:Y+SX\X;>7X> I^VR4+AX\^Q>Q#[PTP35?P6(AV^Z<#IPTVY54X(RW][::6[?/H]WU5(@W]]P:!G^VT_34Z&\U_]<84U1-J[QW7&NU_[V8<]/W\PT713\:$ERZ^0/E\PR]16:W3+LYSY9$LSYYT64_-QZRV9?1^<"GPTP2-CZRPS? I^VR4+AX\^Q=4P Z_US22>S7'@U_]= H_UUX2<[)]V^Z=85Z0.K\PT6)OV^\W;S!U^VR53=R8&CTX\>!G^VT_26Z&\U_]<84U1-J[QW7&NU_[V9>]/W\PT713\:$ERZ^0/E\PR]0:T$^SY_>6:W3+LYSY9$LSYYT72_-QZRV9?1^<"GPTP2-CZRPS>>V"XQ[Q048Q5)NW][;"JQ[WZ56Y+SX\X;=7X> I^VR4+AX\^Q<:P Z_US22>S7'@U_]= H_UUX32[)]V^Z=;5Z0.K\PT6)OV^\W:6R.T]WU40<]9%BSY_?.F]WS^1>U'_TX\?:;T2,MZRV8'MTXZU8]/W\PT713\:$ERZ^0/E\PR]?8T$^SY_>6:W3+LYSY9$LSYYT80_-QZRV9?1^<"GPTP2-CZRPS18V"XQ[Q048Q5)NW][;"JQ[WZ:0Y+SX\X;=7X> I^VR4+AX\^Q38P Z_US22>S7'@U_]= H_UUX<0[)]V^Z=;5Z0.K\PT6)OV^\W58R.T]WU40<]9%BSY_?.F]WS^>0U'_TX\?9;T2,MZRV8'MTXZU78\,V[QW6>2_;#DQ[Q1,D[QQ\00W%YRZ^149V4*OX\X:%KRZX[9_-QZRV9?1^<"GPTP2-CZRPS0:V"XQ[Q048Q5)NW][;"JQ[WZ;2Y+SX\X;=7X> I^VR4+AX\^Q2>P Z_US22>S7'@U_]= H_UUX=6[)]V^Z=;5Z0.K\PT6)OV^\W4:R.T]WU40<]9%BSY_?.F]WS^?2U'_TX\?9;T2,MZRV8'MTXZU66\,V[QW6>2_;#DQ[Q1,D[QQ\1>W%YRZ^179V4*OX\X:%KRZX[8:^*PYSY8<0Y=!F_US3*BYS_R32Q#[PTP36?P6(AV^Z<#IPTVY:Y+SX\Xo0Y=!fTSKD[LH;87;;7X> iUPJCZOI48:;2<>4U1-jPWO@W@D7==?1119V4*oSZ@MTEC2>03<24>S7'`^YEJQFN=337;773\:$eY\FG^KM846368:0Y=!fTSKD[LH;99?5==5Z0.kWVLAXAG6:<;0>0:W3+lRUANUBB1??7?33?P6(a]XBKRGA<02;:46<]9%bX_GH_HL?55?9n2_;#dZ]IF]JJ97768:0Y=!fTSKD[LH;98:5==5Z0.kWVLAXAG6:=<0>0:W3+lRUANUBB1?>2?33?P6(a]XBKRGA<030:46<]9%bX_GH_HL?5429991^<"g[RHE\MK:69<4:<6[?/hVQMBYNF5;::3??;T2,mQTNOVCE0028Q5)n\[CLSD@310:=55=R8&c_^DIPIO>25<8a3\:$eY\FG^KM8479991^<"g[RHE\MK:6:94:<6[?/hVQMBYNF5;9=3??;T2,mQTNOVCE0<<=>028Q5)n\[CLSD@3131=55=R8&c_^DIPIO>2618682_;#dZ]IF]JJ975=7;;7X> iUPJCZOI488=2<>4U1-jPWO@W@D7=?91f:W3+lRUANUBB1?=>g9V4*oSZ@MTEC2>3?d8Q5)n\[CLSD@315S7'`^YEJQFN=35:c=R8&c_^DIPIO>23;`<]9%bX_GH_HL?5=8a3\:$eY\FG^KM84?9m2_;#dZ]IF]JJ979n2_;#dZ]IF]JJ9476o1^<"g[RHE\MK:597l0Y=!fTSKD[LH;:;4m7X> iUPJCZOI4;95j6[?/hVQMBYNF58?2k5Z0.kWVLAXAG6993h4U1-jPWO@W@D7>;0i;T2,mQTNOVCE0?91f:W3+lRUANUBB1<7>g9V4*oSZ@MTEC2=9?g8Q5)n\[CLSD@32?d8Q5)n\[CLSD@331S7'`^YEJQFN=11:c=R8&c_^DIPIO>07;`<]9%bX_GH_HL?718a3\:$eY\FG^KM8639n2_;#dZ]IF]JJ9516o1^<"g[RHE\MK:4?7l0Y=!fTSKD[LH;;14m7X> iUPJCZOI4:35i6[?/hVQMBYNF595j6[?/hVQMBYNF5>;2k5Z0.kWVLAXAG6?=3h4U1-jPWO@W@D78?0i;T2,mQTNOVCE09=1f:W3+lRUANUBB1:;>g9V4*oSZ@MTEC2;5?d8Q5)n\[CLSD@347S7'`^YEJQFN=6;:c=R8&c_^DIPIO>7=;c<]9%bX_GH_HL?0;`<]9%bX_GH_HL?158a3\:$eY\FG^KM8079n2_;#dZ]IF]JJ9356o1^<"g[RHE\MK:2;7l0Y=!fTSKD[LH;==4m7X> iUPJCZOI4;3h4U1-jPWO@W@D7950i;T2,mQTNOVCE0871e:W3+lRUANUBB1;1f:W3+lRUANUBB18?>g9V4*oSZ@MTEC291?d8Q5)n\[CLSD@363S7'`^YEJQFN=47:c=R8&c_^DIPIO>51;`<]9%bX_GH_HL?238a3\:$eY\FG^KM8319n2_;#dZ]IF]JJ90?6o1^<"g[RHE\MK:117o0Y=!fTSKD[LH;>7l0Y=!fTSKD[LH;?94m7X> iUPJCZOI4>;5j6[?/hVQMBYNF5=92k5Z0.kWVLAXAG6g9V4*oSZ@MTEC287?d8Q5)n\[CLSD@379S7'`^YEJQFN=5=b>S7'`^YEJQFN=:3:c=R8&c_^DIPIO>;5;`<]9%bX_GH_HL?<78a3\:$eY\FG^KM8=59n2_;#dZ]IF]JJ9>36o1^<"g[RHE\MK:?=7l0Y=!fTSKD[LH;0?4m7X> iUPJCZOI41=5j6[?/hVQMBYNF5232k5Z0.kWVLAXAG6353k4U1-jPWO@W@D743h4U1-jPWO@W@D75=0i;T2,mQTNOVCE04?1f:W3+lRUANUBB17=>g9V4*oSZ@MTEC263?d8Q5)n\[CLSD@395S7'`^YEJQFN=;5:c=R8&c_^DIPIO>:3;`<]9%bX_GH_HL?==8a3\:$eY\FG^KM8QBI5:5:6YJA=3=2>QBI58546YJA=194;0<_LK7?374WDCTAI:76k1\ILYJL=394;?<_LK\IA2>>79TAG:76?1\IO2>>79TAG:5611\IO2<:1<5?RCE4:427ZKMWDW?4;d<_LH\IX2>:1<:?RCE_L_7=3l4WSKWAZ@NZZ^h7Z\FTD]NKACXIj1\^DZJ_LMGAZD6l2RB@D@W-YFA$5(6(Z^^N->!1!CPGLO23QEYOT84XRVOMG14Xeo\Idlhz_oydaa119[`hYJageyZh||inl0?]us;2Sn?o4_^][HKKXWV:TSR[?/H]MKZB)]VCGM"[PSUCW+PYI[E>?7RQPXMLN[ZY6WVU^<"GPNN]G*PYNDH%^SJgtqgiTaxvP2c9bw|hdWdsS>??;`qzjfYszhdxjh|P1028evikV~ymc}ies]155=f{pdhSy|nnrdfvZ5c3jbb|~m`pd]jhd4c3jbb|~m`pd]jhd*d``zxob~j_hnb[s7X8Sl"tc^z`kZjn{}7Hc`~`ndlw[Kgjm4ice}}loqg\mig1oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX=4lj`~k4Tskdc`tXXfxSZgkti]59==Ji}icSX`ftr]594=Dg|diQXievk[3;Cazki`hj4M`v`lZR~xlU=1oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX>4lj`~k4Tskdc`tXXfxSZgkti]49==Ji}icSX`ftr]494=Dg|diQXievk[2;Cazki`hj4M`v`lZR~xlU<1oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX?4lj`~k4Tskdc`tXXfxSZgkti];9==Ji}icSX`ftr];94=Dg|diQXievk[=;Cazki`hj4M`v`lZR~xlU31oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX04lj`~k4Tskdc`tXXfxSZgkti]:9==Ji}icSX`ftr]:94=Dg|diQXievk[<;Cazki`hj4M`v`lZR~xlU21oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX14lj`~k4Tskdc`tXXfxSZgkti]248>bdjtm2^yejijr^RlvqYPam~cS<<28:ObpfnX]gcR?==09@kphsm{U\eizg_00>@lufjeoo7@o{ci]W}ucX9;7im~gl_vkwlZccoly0Iumcueg\BwijWMkmR]{oscdaZ755okgh5[rhedawYWg{~T[dj{h^309==Ji}icSX`ftr]2787CkeoiRH}ol]GeqgX[}eymjkP15?eeiub3]xbkjk}_QmqpZQnl}bT=837;LcwgmYRf`~xS<;21:AlqkrbzV]bhyfP14?Gmvgedln0Alzlh^Vzt`Y6=4hjdmPwhvk[`b`mz1Ntnbzdd]EvjkXLh~jS^z`r`ef[43:nhfxi6Z}ifefvZVhz}U\eizg_04><>Kf|jbTYcg{s^3594=Dg|diQXievk[40:L`yjnakk;LcwgmYSqyoT=;3mark`[ros`Vookh}4EyaoqacXN{efSIo{a^Qwkwg`mV;=1kocsd.`[}bb~`injlcflx?ptdtsig<'oRm`rd]w}uc::%iTakiPie?2(fYjiceyS{k}shmm942+kVgbbb|Pvdppmjh:?%iTnxgcd^pfrlungg7; nQlosg\idlhzV|n~0?#c^alv`YjageyS{k}=5.`[fiumVgbbb|PamrkeZpbz43< nQ}al]w}uc:9%iTb>6^vzt`;5$jU~hQfnw]`hn;7$jU~hQ}pl?3(fYr{lU~diPotv>4)eX}zoTmymPcmijj86+kVxiRjnt`?3(fYr{lU~di?=0.`[pubW}xbk<3?,b]vw`Ysz`m91="l_tqf[qtno:7; nQzsd]wvla359&hSx}j_upjc0;7$jU~hQ{rhe595*dW|ynSy|fg6?3(fYr{lU~di7=1.`[pubW}xbk43?,b]vw`Ysz`m:<0>#c^wpaZruan;:1="l_tqf[qtno88620-a\qvcX|{clhlzn10?3(fYr{lU~dikauc2686+kVxiRz}iffbpd7459&hSx}j_upjcagsi8>6=4-a\qvcXohz6,b]ww`wX|pzn1="l_own[qubyViex0>#c^vpatYdf};T{dj{h<3/gZrtmxUhby>Pwhfwl87+kVd~aRz|ep]ppdrb{4;'oR{|e^v`[hei|4:'oRzl_lampZqnl}b6=!mPeyv\gdvXzh~nS`ake<2/gZc|Vij|R~fn^ol``;7$jUey`Qjxu]`euYwagy64!mPeyv\gdvX}zoT~hi21-a\jpkXeh~hdR{aiuq>5)eX|{cl57>+kV~yej?Pwhfwl87+kV~yej1^uj`qn:9%iTxgh13]tmaro58&hSy|fg01\slbs`4;'oRz}if37[roc|a7: nQ{rhe21Zqnl}b6=!mPm1]wtlb:8%iTa5)eXe;U|dj22-a\i6Ysx`n6?!mPm5]wtlb:<%iTa8Q{phf>1)eXe?U|dj26-a\i2Ysx`n6;!mPm9]wtlb:0%iTa4Q{phf>=)eXe8:Tx}gk=02/gZk69V~{ei3>1-a\i44X|yco1<<#c^o27Zrwam7:?!mPm06\puoc58>'oRc>5^vsma;6=%iTa=Q{yqg>5)eXe8Uu}k20-a\i7Ysqyo64)eXe4)eXe0Uu}k20-a\i46X|pzn1="l_l32[qwm4:'oRc>2^vzt`;7$jUf=>Q{yqg>4)eXe8>Txt~j=1.`[h72W}s{i0>#c^wpaZkdf}:64)eX}zoTan`{5<2/gZstmVghby820-a\qvcXejd;0>#c^wpaZkdf}264)eXejd5)eXejd=Ryfduj>5)eXejd>Ryfduj>5)eXejd?Ryfduj>5)eXejd8Ryfduj>5)eXejd9Ryfduj>5)eXejd:Ryfduj>5)eXejd;Ryfduj>5)eXejd4Ryfduj>5)eXejd5Ryfduj>5)eXejd==Qxievk94*dWdiex3^uj`qn:9%iTan`{15]tmaro58&hS`mat07\slbs`4;'oRm`nrvqelhsW}s{i0kwtdpmei*dWlrja}bj_egpmbh:nhfxi!s<1:akmuudgyoTeaoPv0]31Zg+(Qcgecv/CNPF$Bcim{kc.>4/5:?firf}oyS<74cnwmp`tX:o1hRl}al]`evefll;97n}Pbscn[fgtkhnnS~8>1:ap[gtfeVyba}bj_r42<>etWkxjaR}fmqnf[v0Xzhgi=5?8;bq\fwgjWzcf|akPs7]qehd>i2ixSnhhltv7e>etWjll`xz:c:ap[f``d|~=SVygenkPnn]qthY69l1hRinne]pviYt>VygenkPnn]qthY688o0o~Qhaof\wwjX{?Ux`dmj_om\vukX98;n7n}Pg`lg[vtkWze:ap[bgilVyy`R}9_rnjg`YigVx{aR?81e9`wZaffmUx~aQ|6^qomfcXffUy|`Q=1e9`wZaffmUx~aQ|6^qomfcXffUy|`Q<1e9`wZaffmUx~aQ|6^qomfcXffUy|`Q;1e9`wZaffmUx~aQ|6^qomfcXffUy|`Q:1e9`wZaffmUx~aQ|6^qomfcXffUy|`Q91e9`wZaffmUx~aQ|6^qomfcXffUy|`Q81e9`wZaffmUx~aQ|6^qomfcXffUy|`Q71e9`wZaffmUx~aQ|6^qomfcXffUy|`Q6c:ap[bgilqUx~ah4cr]dekbWzxgS~88;bq\hpr1?2ixS`{w289`wZkrp;?=46m|_lw{211bcem1nhjkPddvfgqiun2cgmRmnp^cg`wabf880eaoPc`r\gqtkWn8T|h4imc\gdvX{}eymjk7;hnb[fium01b`lQbauak54=ndhUfmymg_bmnflhbn2cgmRcntbj\gjkegl1b`lQbauak[bgilo1b`lQbauak[bgilqo0eaoPrdqfpZeszek0eaoPsucwqv773`fjSy|ncd]aqc`bzl1b`lQ{rhe\idrd`j1b`lQ{rhe`kkb682cgmRz}ifaljaYk}}h0eaoPtskdc`t53ge:>6~}ofpbihgedlUoiaov109{g3ukp>?&o~i;85/0yEFw:lki7MNwd`:9B?4=9rYii7j6b;00`?74;>3=:7281eh4o56:&g==bci10;6<4>{R`f>a?e2;9o6<=<7845>7b6j:1}X?;6:182>4<1=lqXnh4k9c817a<6;:=2:;4=d0`0?!b0l38956*=3d8gb5=elh21<7o::5d4>33bsAn3:1(?:?:3:1?k44n3h07d<85;29 7272;297c<o5?90;6)<;0;0;6>h5;o0:=65f27g94?"5<9094?5a22d957=;6?6=;o00b?7332c9:o4?:%074?4?:2d9?k4>5:9j63g=83.98=4=839m66`=9?10e?86:18'616=:180b?=i:058?l4103:1(?:?:3:1?k44n3;376g=6683>!438383>6`=3g82=>=n:?<1<7*=4181<7=i::l1=l54i346>5<#:=:1>5<4n31e>4d<3`8n?7>5$363>7>53g88j7?l;:k1a7<72-8?<7<72:l17c<6l21b>h?50;&105<50;1e>>h51d98m7c7290/>9>52908j75a28l07do5ll0;6)<;0;0;6>h5;o09=65f2ef94?"5<9094?5a22d967=;6?6=;o00b?4332c9hl4?:%074?4?:2d9?k4=5:9j6a>=83.98=4=839m66`=:?10e?j8:18'616=:180b?=i:358?l4c>3:1(?:?:3:1?k44n38376g=d483>!438383>6`=3g81=>=n:m>1<7*=4181<7=i::l1>l54i3f0>5<#:=:1>5<4n31e>7d<3`8o>7>5$363>7>53g88j7i>50;&105<50;1e>>h52d98m7ea290/>9>52908j75a2;l07do5kj0;6)<;0;0;6>h5;o08=65f2b`94?"5<9094?5a22d977==4;h0`=?6=,;>;6?6=;o00b?5332c9o54?:%074?4?:2d9?k4<5:9j6f1=83.98=4=839m66`=;?10e?m9:18'616=:180b?=i:258?l4d=3:1(?:?:3:1?k44n39376g=c583>!438383>6`=3g80=>=n:j81<7*=4181<7=i::l1?l54i3a2>5<#:=:1>5<4n31e>6d<3`8h<7>5$363>7>53g88j7=l;:k1fc<72-8?<7<72:l17c<4l21b>ok50;&105<50;1e>>h53d98m7dc290/>9>52908j75a2:l07do5jk0;6)<;0;0;6>h5;o0?=65f2cc94?"5<9094?5a22d907=;6?6=;o00b?2332c9n;4?:%074?4?:2d9?k4;5:9j6g3=83.98=4=839m66`=376g=b383>!438383>6`=3g87=>=n:k;1<7*=4181<7=i::l18l54i3`3>5<#:=:1>5<4n31e>1d<3`8jj7>5$363>7>53g88j7:l;:k1e`<72-8?<7<72:l17c<3l21b>lm50;&105<50;1e>>h54d98m7ge290/>9>52908j75a2=l07do5i00;6)<;0;0;6>h5;o0>=65f2`:94?"5<9094?5a22d917=;6?6=;o00b?3332c9m84?:%074?4?:2d9?k4:5:9j6d2=83.98=4=839m66`==?10e?o<:18'616=:180b?=i:458?l4f93:1(?:?:3:1?k44n3?376g=a183>!438383>6`=3g86=>=n:0l1<7*=4181<7=i::l19l54i3;f>5<#:=:1>5<4n31e>0d<3`82h7>5$363>7>53g88j7;l;:k1=f<72-8?<7<72:l17c<2l21b>4l50;&105<50;1e>>h55d98m7?f290/>9>52908j75a2o5110;6)<;0;0;6>h5;o0==65f28494?"5<9094?5a22d927=6=4+25296=4;6?6=;o00b?0332c95>4?:%074?4?:2d9?k495:9j6<4=83.98=4=839m66`=>?10e?7>:18'616=:180b?=i:758?l4>83:1(?:?:3:1?k44n3<376g=8g83>!438383>6`=3g85=>=n:1o1<7*=4181<7=i::l1:l54i3:g>5<#:=:1>5<4n31e>3d<3`83n7>5$363>7>53g88j78l;:k15750;&105<50;1e>>h56d98m7>?290/>9>52908j75a2?l07d<77;29 7272;297c<o50?0;6)<;0;0;6>h5;o0<=65f29794?"5<9094?5a22d937=;6?6=;o00b?1332c9:k4?:%074?4?:2d9?k485:9j6`2=83.98=4=839m66`=??10e?j6:18'616=:180b?=i:658?l4dm3:1(?:?:3:1?k44n3=376g=c283>!438383>6`=3g84=>=n:k21<7*=4181<7=i::l1;l54i3cg>5<#:=:1>5<4n31e>2d<3`8j>7>5$363>7>53g88j79l;:k1=2<72-8?<7<72:l17c<0l21b>5m50;&105<50;1e>>h57d98m703290/>9>52908j75a2>l07&l::18'616=j=1e>>h50:9(f6<72-8?<7l;;o00b?7<3"h96=4+2529f1=i::l1>65$b083>!4383h?7c<9>5b59m66`=<21 mk4?:%074?d33g88j7;4;*cg>5<#:=:1n95a22d92>=,ij0;6)<;0;`7?k44n3=07&om:18'616=j=1e>>h58:9(ed<72-8?<7l;;o00b??<3"k26=4+2529f1=i::l1m65$a983>!4383h?7c<9>5b59m66`=k21 m;4?:%074?d33g88j7j4;*c6>5<#:=:1n95a22d9a>=,i=0;6)<;0;`7?k44n3l07&o=:18'616=j=1e>>h51198/d7=83.98=4m4:l17c<6921 m=4?:%074?d33g88j7?=;:):b?6=,;>;6o:4n31e>45<3"3n6=4+2529f1=i::l1=954+8f94?"5<90i86`=3g821>=,1j0;6)<;0;`7?k44n3;=76%6b;29 7272k>0b?=i:058?.?f290/>9>5b59m66`=9110'4750;&1059:9(ff<72-8?<7l;;o00b?7f32!in7>5$363>g25<#:=:1n95a22d95f=<#k31<7*=418a0>h5;o0:h65$b983>!4383h?7c<-e?3:1(?:?:c68j75a28l07&oj:18'616=j=1e>>h52098/d5=83.98=4m4:l17c<5:21 554?:%074?d33g88j7<<;:k;4?6=3"h=6=4+2529f1=i::l1>=54be;5>5<6290;w)Nc1=1Ch:l4o31`>5<51;294~"5m<0:h=5Gd868La1e3f;hj7>5;|`722<72>0;6=u+2d7967`<@m3?7Ej8b:&17g57:k2`7<722c:h>4?::k2`1<722c:h84?::k2`3<722c:h:4?::m17d<722wi8l<50;194?6|,;o>6?Nc?k1/>>l5ddg8 4c728kn7)<;1;fb5>o6l;0;66g>d283>>i5;h0;66sm47:94?5=83:p(?k::30a?Mb><2Bo;o5+22`9``c<,8o;6?74i0f1>5<5<=:7>53;294~"5m<09>o5Gd868La1e3-88n7jje:&2a5<4;2c:h?4?::k2`6<722e9?l4?::a<=7=8331<7>t$3g6>73c3An286Fk7c9'66d=llo0(5;h15>5<>o?83:17dli:188k7232900c?:::188yg>?>3:157>50z&1a0<5=m1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h5g>5<>i5<=0;66a=4483>>{e0>l1<7<50;2x 7c22;8j7Ej64:Jg3g=#::h1hhk4$0g3>475<54;294~"5m<09>n5Gd868La1e3-88n7jje:&2a5<0k2.98<4ka09j5a4=831b=i=50;9j5a2=831d>>o50;9~f1ef290<6=4?{%0f1?45n2Bo595Gd6`8 4c72l1b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9j5a1=831d>>o50;9~f1b0290<6=4?{%0f1?45n2Bo595Gd6`8 4c72l1b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9j5a1=831d>>o50;9~f1e3290?6=4?{%0f1?4492Bo595Gd6`8m4b52900e50z&1a0<5:o1Ch4:4He5a?!7b83o0e50z&1a0<5:o1Ch4:4He5a?!7b83o0e50z&1a0<5;81Ch4:4He5a?l7c:3:17d?k3;29?l42<3:17b<o6l;0;66g>d283>>o5==0;66a=3`83>>{ed383>>o6l:0;66g>d583>>o6l<0;66g>d783>>o6l>0;66a=3`83>>{ed383>>o6l:0;66g>d583>>o6l<0;66g>d783>>o6l>0;66a=3`83>>{e1<75`22c94?=zj=oh6=48:183!4b=389j6Fk959K`2d<,8o;6h5f1e094?=n9m91<75f1e694?=n9m?1<75f1e494?=n9m=1<75`22c94?=zj=li6=48:183!4b=389j6Fk959K`2d<,8o;6h5f1e094?=n9m91<75f1e694?=n9m?1<75f1e494?=n9m=1<75`22c94?=zj=no6=4;:183!4b=388=6Fk959K`2d5<5<h97>54;294~"5m<09??5Gd868La1e3`;o>7>5;h3g7?6=3`8>87>5;n00e?6=3th?hl4?:683>5}#:l?1>?h4He;7?Mb0j2.:i=4j;h3g6?6=3`;o?7>5;h3g0?6=3`;o97>5;h3g2?6=3`;o;7>5;n00e?6=3th?ii4?:683>5}#:l?1>?h4He;7?Mb0j2.:i=4j;h3g6?6=3`;o?7>5;h3g0?6=3`;o97>5;h3g2?6=3`;o;7>5;n00e?6=3th?h=4?:583>5}#:l?1>>?4He;7?Mb0j2c:h?4?::k2`6<722c9994?::m17d<722wi8hk50;594?6|,;o>6?Nc?k1/=h>5e:k2`7<722c:h>4?::k2`1<722c:h84?::k2`3<722c:h:4?::m17d<722wi8km50;594?6|,;o>6?Nc?k1/=h>5e:k2`7<722c:h>4?::k2`1<722c:h84?::k2`3<722c:h:4?::m17d<722wi8h=50;694?6|,;o>6?=>;If:0>Nc?k1b=i<50;9j5a5=831b>8:50;9l66g=831vn9m7:187>5<7s-8n97<<2:Jg=1=Ol>h0e2<729q/>h;523d8La?33Ane18f?l7c:3:17d?k3;29?l7c<3:17d?k5;29?l7c>3:17d?k7;29?j44i3:17pl:0783>2<729q/>h;523d8La?33Ane18f?l7c:3:17d?k3;29?l7c<3:17d?k5;29?l7c>3:17d?k7;29?j44i3:17pl;f583>1<729q/>h;52238La?33And383>>o6l:0;66g=5583>>i5;h0;66sm4e094?2=83:p(?k::311?Mb><2Bo;o5f1e094?=n9m91<75f24694?=h::k1<75rb5fa>5<0290;w)Nc1=1Ch:l4$0g3>`=n9m81<75f1e194?=n9m>1<75f1e794?=n9m<1<75f1e594?=h::k1<75rb5d3>5<0290;w)Nc1=1Ch:l4$0g3>`=n9m81<75f1e194?=n9m>1<75f1e794?=n9m<1<75f1e594?=h::k1<75rb5f2>5<3290;w)Nc1=1Ch:l4i0f1>5<5<0Di9m;%3f4?c5<5<5<0Di9m;%3f4?c5<5<5<0Di9m;h3g6?6=3`;o?7>5;h060?6=3f88m7>5;|`7g<<72=0;6=u+2d79664<@m3?7Ej8b:k2`7<722c:h>4?::k111<722e9?l4?::a0c4=83=1<7>t$3g6>74a3An286Fk7c9'5`6=m2c:h?4?::k2`6<722c:h94?::k2`0<722c:h;4?::k2`2<722e9?l4?::a156=83=1<7>t$3g6>74a3An286Fk7c9'5`6=m2c:h?4?::k2`6<722c:h94?::k2`0<722c:h;4?::k2`2<722e9?l4?::a0`0=83>1<7>t$3g6>7563An286Fk7c9j5a4=831b=i=50;9j602=831d>>o50;9~f066290<6=4?{%0f1?45n2Bo595Gd6`8 4c72l1b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9j5a1=831d>>o50;9~f060290<6=4?{%0f1?45n2Bo595Gd6`8 4c72l1b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9j5a1=831d>>o50;9~f1`?290?6=4?{%0f1?4492Bo595Gd6`8m4b52900e50z&1a0<5;;1Ch4:4He5a?l7c:3:17d?k3;29?l42<3:17b<"6m90n7d?k2;29?l7c;3:17d?k4;29?l7c=3:17d?k6;29?l7c?3:17b<"6m90n7d?k2;29?l7c;3:17d?k4;29?l7c=3:17d?k6;29?l7c?3:17b<0;694?:1y'6`3=::;0Di7;;If4f>o6l;0;66g>d283>>o5==0;66a=3`83>>{e=991<7950;2x 7c22;8m7Ej64:Jg3g=#9l:1i6g>d383>>o6l:0;66g>d583>>o6l<0;66g>d783>>o6l>0;66a=3`83>>{e=921<7950;2x 7c22;8m7Ej64:Jg3g=#9l:1i6g>d383>>o6l:0;66g>d583>>o6l<0;66g>d783>>o6l>0;66a=3`83>>{e1<75`22c94?=zj=n=6=4;:183!4b=388>6Fk959K`2d5<5<57;294~"5m<09>k5Gd868La1e3-;n<7k4i0f1>5<5<6=44i0f5>5<5<57;294~"5m<09>k5Gd868La1e3-;n<7k4i0f1>5<5<6=44i0f5>5<5<mm7>54;294~"5m<09?<5Gd868La1e3`;o>7>5;h3g7?6=3`8>87>5;n00e?6=3th>5}#:l?1>?h4He;7?Mb0j2.:i=4j;h3g6?6=3`;o?7>5;h3g0?6=3`;o97>5;h3g2?6=3`;o;7>5;n00e?6=3th>5}#:l?1>?h4He;7?Mb0j2.:i=4j;h3g6?6=3`;o?7>5;h3g0?6=3`;o97>5;h3g2?6=3`;o;7>5;n00e?6=3th><84?:583>5}#:l?1>>?4He;7?Mb0j2c:h?4?::k2`6<722c9994?::m17d<722wi8h650;694?6|,;o>6?==;If:0>Nc?k1b=i<50;9j5a5=831b>8:50;9l66g=831vn9jl:184>5<7s-8n97<=f:Jg=1=Ol>h0(5<7s-8n97<=e:Jg=1=Ol>h0(??3:187>50z&1a0<6k:1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9j611=831d>9:50;9~f=>5290?6=4?{%0f1?7d;2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::k102<722e9894?::a<=2=83>1<7>t$3g6>4e43An286Fk7c9'66d=llo0(5;h073?6=3f8?87>5;|`;<<<72=0;6=u+2d795f5<@m3?7Ej8b:&17g51:k4f?6=3`hm6=44i364>5<?6=44}c:;4?6=<3:10Di9m;%00f?bbm2.:i=4>;h5a>5<1<75rb9:g>5<3290;w)Nc1=1Ch:l4$31a>acb3-;n<7?>;h5a>5<1<75rb95f>5<3290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66g=4683>>i5<=0;66sm33094?1=83:p(?k::37a?Mb><2Bo;o5+22`9``c<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`065<72>0;6=u+2d7960d<@m3?7Ej8b:&17g52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg56m3:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<n6Fk959K`2d<,;9i6ikj;%3f4?41<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?6?;m;If:0>Nc?k1/>>l5ddg8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl<1983>2<729q/>h;524`8La?33An6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<?6=44}c122?6=?3:10Di9m;%00f?bbm2.:i=4=;h17>5<>o403:17d6?:188mg`=831d>9:50;9~f673290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mon7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;8:1<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhk4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th85}#:l?1>8l4He;7?Mb0j2.9?o4ked9'5`6=:2c887>5;h16>5<>o?83:17dli:188k7232900qo=?c;293?6=8r.9i84=5c9K`<2<@m=i7)<"6m9097d=;:188m63=831b?;4?::k01<75rb22b>5<0290;w)Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a75>=83=1<7>t$3g6>73e3An286Fk7c9'66d=llo0(5;h15>5<>oen3:17b<;4;29?xd48?0;6:4?:1y'6`3=:"5;k0oih5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<57;294~"5m<099o5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>>=:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:egf?!7b8380e>:50;9j70<722c8:7>5;h1;>5<>i5<=0;66sm31294?1=83:p(?k::37a?Mb><2Bo;o5+22`9``c<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`1b`<72>0;6=u+2d7960d<@m3?7Ej8b:&17g52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg4ai3:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<n6Fk959K`2d<,;9i6ikj;%3f4?41<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi>k850;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddg8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl=f583>2<729q/>h;524`8La?33An6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<?6=44}c0e6?6=?3:10Di9m;%00f?bbm2.:i=4=;h17>5<>o403:17d6?:188mg`=831d>9:50;9~f7`7290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mon7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e:lo1<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhk4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th9in4?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4ked9'5`6=:2c887>5;h16>5<>o?83:17dli:188k7232900qo"6m9097d=;:188m63=831b?;4?::k01<75rb3g;>5<0290;w)Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a7`4=83=1<7>t$3g6>73e3An286Fk7c9'66d=llo0(5;h15>5<>oen3:17b<;4;29?xd4m90;6:4?:1y'6`3=:"5;k0oih5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<57;294~"5m<099o5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>jl:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:egf?!7b8380e>:50;9j70<722c8:7>5;h1;>5<>i5<=0;66sm3ec94?1=83:p(?k::37a?Mb><2Bo;o5+22`9``c<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`0`=<72>0;6=u+2d7960d<@m3?7Ej8b:&17g52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg5c>3:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<n6Fk959K`2d<,;9i6ikj;%3f4?41<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?i<50;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddg8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl2<729q/>h;524`8La?33An6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<?6=44}c1`g?6=?3:10Di9m;%00f?bbm2.:i=4=;h17>5<>o403:17d6?:188mg`=831d>9:50;9~f6ef290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mon7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;j21<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhk4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th8o;4?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4ked9'5`6=:2c887>5;h16>5<>o?83:17dli:188k7232900qo=l4;293?6=8r.9i84=5c9K`<2<@m=i7)<"6m9097d=;:188m63=831b?;4?::k01<75rb2a1>5<0290;w)Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a7f6=83=1<7>t$3g6>73e3An286Fk7c9'66d=llo0(5;h15>5<>oen3:17b<;4;29?xd4jl0;6:4?:1y'6`3=:"5;k0oih5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<57;294~"5m<099o5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>ln:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:egf?!7b8380e>:50;9j70<722c8:7>5;h1;>5<>i5<=0;66sm3c494?1=83:p(?k::37a?Mb><2Bo;o5+22`9``c<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`0f1<72>0;6=u+2d7960d<@m3?7Ej8b:&17g52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg5e:3:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<n6Fk959K`2d<,;9i6ikj;%3f4?41<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?lk50;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddg8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl2<729q/>h;524`8La?33An6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<?6=44}c1be?6=?3:10Di9m;%00f?bbm2.:i=4=;h17>5<>o403:17d6?:188mg`=831d>9:50;9~f6g?290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mon7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;h<1<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhk4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th8m94?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4ked9'5`6=:2c887>5;h16>5<>o?83:17dli:188k7232900qo=n0;293?6=8r.9i84=5c9K`<2<@m=i7)<"6m9097d=;:188m63=831b?;4?::k01<75rb2;f>5<0290;w)Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a7t$3g6>73e3An286Fk7c9'66d=llo0(5;h15>5<>oen3:17b<;4;29?xd41h0;6:4?:1y'6`3=:"5;k0oih5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<57;294~"5m<099o5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>79:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:egf?!7b8380e>:50;9j70<722c8:7>5;h1;>5<>i5<=0;66sm38694?1=83:p(?k::37a?Mb><2Bo;o5+22`9``c<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`0=7<72>0;6=u+2d7960d<@m3?7Ej8b:&17g52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg5>83:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<n6Fk959K`2d<,;9i6ikj;%3f4?41<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?5o50;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddg8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl<8983>2<729q/>h;524`8La?33An6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<?6=44}c1;2?6=?3:10Di9m;%00f?bbm2.:i=4=;h17>5<>o403:17d6?:188mg`=831d>9:50;9~f6>3290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mon7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;181<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhk4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th84=4?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4ked9'5`6=:2c887>5;h16>5<>o?83:17dli:188k7232900qo=8e;293?6=8r.9i84=5c9K`<2<@m=i7)<"6m9097d=;:188m63=831b?;4?::k01<75rb25`>5<0290;w)Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a72g=83=1<7>t$3g6>73e3An286Fk7c9'66d=llo0(5;h15>5<>oen3:17b<;4;29?xd4?10;6:4?:1y'6`3=:"5;k0oih5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<57;294~"5m<099o5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>9=:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:egf?!7b8380e>:50;9j70<722c8:7>5;h1;>5<>i5<=0;66sm36294?1=83:p(?k::37a?Mb><2Bo;o5+22`9``c<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`02`<72>0;6=u+2d7960d<@m3?7Ej8b:&17g52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg51k3:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<n6Fk959K`2d<,;9i6ikj;%3f4?41<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?;650;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddg8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl<6783>2<729q/>h;524`8La?33An6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<?6=44}c150?6=?3:10Di9m;%00f?bbm2.:i=4=;h17>5<>o403:17d6?:188mg`=831d>9:50;9~f605290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mon7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th89n4?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4ked9'5`6=:2c887>5;h16>5<>o?83:17dli:188k7232900qo=:a;293?6=8r.9i84=5c9K`<2<@m=i7)<"6m9097d=;:188m63=831b?;4?::k01<75rb27;>5<0290;w)Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a700=83=1<7>t$3g6>73e3An286Fk7c9'66d=llo0(5;h15>5<>oen3:17b<;4;29?xd4==0;6:4?:1y'6`3=:"5;k0oih5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<>7>57;294~"5m<099o5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>;?:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:egf?!7b8380e>:50;9j70<722c8:7>5;h1;>5<>i5<=0;66sm35g94?1=83:p(?k::37a?Mb><2Bo;o5+22`9``c<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`00f<72>0;6=u+2d7960d<@m3?7Ej8b:&17g52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg5303:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<=6=48:183!4b=38>n6Fk959K`2d<,;9i6ikj;%3f4?41<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?9:50;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddg8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl<4383>2<729q/>h;524`8La?33An6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<?6=44}c174?6=?3:10Di9m;%00f?bbm2.:i=4=;h17>5<>o403:17d6?:188mg`=831d>9:50;9~f65b290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mon7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;:i1<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhk4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th8?l4?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4ked9'5`6=:2c887>5;h16>5<>o?83:17dli:188k7232900qo=<8;293?6=8r.9i84=5c9K`<2<@m=i7)<"6m9097d=;:188m63=831b?;4?::k01<75rb215>5<0290;w)Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a764=83=1<7>t$3g6>73e3An286Fk7c9'66d=llo0(5;h15>5<>oen3:17b<;4;29?xd4;90;6:4?:1y'6`3=:"5;k0oih5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<57;294~"5m<099o5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:egf?!7b8380e>:50;9j70<722c8:7>5;h1;>5<>i5<=0;66sm33c94?1=83:p(?k::37a?Mb><2Bo;o5+22`9``c<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`06=<72>0;6=u+2d7960d<@m3?7Ej8b:&17g52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg55>3:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<n6Fk959K`2d<,;9i6ikj;%3f4?41<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?<<50;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddg8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl=fb83>2<729q/>h;524`8La?33An6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<?6=44}c1f0?6=?3:10Di9m;%00f?bbm2.:i=4=;h17>5<>o403:17d6?:188mg`=831d>9:50;9~f6eb290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mon7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;k21<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhk4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th8m?4?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4ked9'5`6=:2c887>5;h16>5<>o?83:17dli:188k7232900qo=7c;293?6=8r.9i84=5c9K`<2<@m=i7)<"6m9097d=;:188m63=831b?;4?::k01<75rb255>5<0290;w)Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a736=83=1<7>t$3g6>73e3An286Fk7c9'66d=llo0(5;h15>5<>oen3:17b<;4;29?xd4"5;k0oih5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<57;294~"5m<099o5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn?k9:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:egf?!7b8380e>:50;9j70<722c8:7>5;h1;>5<>i5<=0;66sm33194?5=83:p(?k::0``?Mb><2Bo;o5+22`9``c<,8o;6<5f7c83>>oen3:17b<;4;29?xd4:80;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188k7232900qo=>f;297?6=8r.9i84>bb9K`<2<@m=i7)<"6m90:7d9m:188mg`=831d>9:50;9~f67c29086=4?{%0f1?7ek2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::m101<722wi?6Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3f8?87>5;|`05<<72:0;6=u+2d795ge<@m3?7Ej8b:&17g51:k4f?6=3`hm6=44o367>5<53;294~"5m<0:nn5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=h:=>1<75rb236>5<4290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66a=4583>>{e;8;1<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?j43<3:17pl<0g83>6<729q/>h;51ca8La?33An50z&1a0<6jj1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9l612=831vn>>m:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9jfc<722e9894?::a75?=8391<7>t$3g6>4dd3An286Fk7c9'66d=llo0(5;n070?6=3th8<:4?:283>5}#:l?1=om4He;7?Mb0j2.9?o4ked9'5`6=92c5;h`e>5<?6=44}c131?6=;3:10Di9m;%00f?bbm2.:i=4>;h5a>5<h1<75fbg83>>i5<=0;66sm31394?5=83:p(?k::0``?Mb><2Bo;o5+22`9``c<,8o;6<5f7c83>>oen3:17b<;4;29?xd5no0;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188k7232900qobb9K`<2<@m=i7)<"6m90:7d9m:188mg`=831d>9:50;9~f7`>29086=4?{%0f1?7ek2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::m101<722wi>k950;194?6|,;o>6Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3f8?87>5;|`1b0<72:0;6=u+2d795ge<@m3?7Ej8b:&17g51:k4f?6=3`hm6=44o367>5<53;294~"5m<0:nn5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=h:=>1<75rb3d2>5<4290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66a=4583>>{e:ll1<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?j43<3:17pl=ee83>6<729q/>h;51ca8La?33An50z&1a0<6jj1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9l612=831vn?k6:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9jfc<722e9894?::a7`5=8391<7>t$3g6>4dd3An286Fk7c9'66d=llo0(5;n070?6=3th8i<4?:283>5}#:l?1=om4He;7?Mb0j2.9?o4ked9'5`6=92c5;h`e>5<?6=44}c1gb?6=;3:10Di9m;%00f?bbm2.:i=4>;h5a>5<h1<75fbg83>>i5<=0;66sm3e`94?5=83:p(?k::0``?Mb><2Bo;o5+22`9``c<,8o;6<5f7c83>>oen3:17b<;4;29?xd4l00;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188k7232900qo=k7;297?6=8r.9i84>bb9K`<2<@m=i7)<"6m90:7d9m:188mg`=831d>9:50;9~f6b229086=4?{%0f1?7ek2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::m101<722wi?i=50;194?6|,;o>6Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3f8?87>5;|`0`4<72:0;6=u+2d795ge<@m3?7Ej8b:&17g51:k4f?6=3`hm6=44o367>5<53;294~"5m<0:nn5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=h:=>1<75rb2aa>5<4290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66a=4583>>{e;j31<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?j43<3:17pl6<729q/>h;51ca8La?33An50z&1a0<6jj1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9l612=831vn>m<:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9jfc<722e9894?::a7f7=8391<7>t$3g6>4dd3An286Fk7c9'66d=llo0(5;n070?6=3th8nk4?:283>5}#:l?1=om4He;7?Mb0j2.9?o4ked9'5`6=92c5;h`e>5<?6=44}c1a`?6=;3:10Di9m;%00f?bbm2.:i=4>;h5a>5<h1<75fbg83>>i5<=0;66sm3c594?5=83:p(?k::0``?Mb><2Bo;o5+22`9``c<,8o;6<5f7c83>>oen3:17b<;4;29?xd4j<0;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188k7232900qo=m3;297?6=8r.9i84>bb9K`<2<@m=i7)<"6m90:7d9m:188mg`=831d>9:50;9~f6d629086=4?{%0f1?7ek2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::m101<722wi?lh50;194?6|,;o>6Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3f8?87>5;|`0ea<72:0;6=u+2d795ge<@m3?7Ej8b:&17g51:k4f?6=3`hm6=44o367>5<53;294~"5m<0:nn5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=h:=>1<75rb2c:>5<4290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66a=4583>>{e;h=1<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?j43<3:17pl6<729q/>h;51ca8La?33An50z&1a0<6jj1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9l612=831vn>7i:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9jfc<722e9894?::a7t$3g6>4dd3An286Fk7c9'66d=llo0(5;n070?6=3th85o4?:283>5}#:l?1=om4He;7?Mb0j2.9?o4ked9'5`6=92c5;h`e>5<?6=44}c1:=?6=;3:10Di9m;%00f?bbm2.:i=4>;h5a>5<h1<75fbg83>>i5<=0;66sm38794?5=83:p(?k::0``?Mb><2Bo;o5+22`9``c<,8o;6<5f7c83>>oen3:17b<;4;29?xd41:0;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188k7232900qo=61;297?6=8r.9i84>bb9K`<2<@m=i7)<"6m90:7d9m:188mg`=831d>9:50;9~f6>a29086=4?{%0f1?7ek2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::m101<722wi?5l50;194?6|,;o>6Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3f8?87>5;|`0<<<72:0;6=u+2d795ge<@m3?7Ej8b:&17g51:k4f?6=3`hm6=44o367>5<53;294~"5m<0:nn5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=h:=>1<75rb2:6>5<4290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66a=4583>>{e;191<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?j43<3:17pl<8083>6<729q/>h;51ca8La?33An50z&1a0<6jj1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9l612=831vn>9k:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9jfc<722e9894?::a72d=8391<7>t$3g6>4dd3An286Fk7c9'66d=llo0(5;n070?6=3th8;44?:283>5}#:l?1=om4He;7?Mb0j2.9?o4ked9'5`6=92c5;h`e>5<?6=44}c141?6=;3:10Di9m;%00f?bbm2.:i=4>;h5a>5<h1<75fbg83>>i5<=0;66sm36394?5=83:p(?k::0``?Mb><2Bo;o5+22`9``c<,8o;6<5f7c83>>oen3:17b<;4;29?xd4>o0;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188k7232900qo=9d;297?6=8r.9i84>bb9K`<2<@m=i7)<"6m90:7d9m:188mg`=831d>9:50;9~f60e29086=4?{%0f1?7ek2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::m101<722wi?;750;194?6|,;o>6Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3f8?87>5;|`022<72:0;6=u+2d795ge<@m3?7Ej8b:&17g51:k4f?6=3`hm6=44o367>5<53;294~"5m<0:nn5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=h:=>1<75rb240>5<4290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66a=4583>>{e;4=n?k0;66gmf;29?j43<3:17pl<5e83>6<729q/>h;51ca8La?33An50z&1a0<6jj1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9l612=831vn>;6:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9jfc<722e9894?::a701=8391<7>t$3g6>4dd3An286Fk7c9'66d=llo0(5;n070?6=3th8984?:283>5}#:l?1=om4He;7?Mb0j2.9?o4ked9'5`6=92c5;h`e>5<?6=44}c167?6=;3:10Di9m;%00f?bbm2.:i=4>;h5a>5<h1<75fbg83>>i5<=0;66sm35d94?5=83:p(?k::0``?Mb><2Bo;o5+22`9``c<,8o;6<5f7c83>>oen3:17b<;4;29?xd44?:1y'6`3=9ki0Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188k7232900qo=;9;297?6=8r.9i84>bb9K`<2<@m=i7)<"6m90:7d9m:188mg`=831d>9:50;9~f62029086=4?{%0f1?7ek2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::m101<722wi?9;50;194?6|,;o>6Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3f8?87>5;|`006<72:0;6=u+2d795ge<@m3?7Ej8b:&17g51:k4f?6=3`hm6=44o367>5<53;294~"5m<0:nn5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=h:=>1<75rb21e>5<4290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66a=4583>>{e;:n1<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?j43<3:17pl<3c83>6<729q/>h;51ca8La?33An50z&1a0<6jj1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9l612=831vn>=8:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9jfc<722e9894?::a765=8391<7>t$3g6>4dd3An286Fk7c9'66d=llo0(5;n070?6=3th8?<4?:283>5}#:l?1=om4He;7?Mb0j2.9?o4ked9'5`6=92c5;h`e>5<?6=44}c11b?6=;3:10Di9m;%00f?bbm2.:i=4>;h5a>5<h1<75fbg83>>i5<=0;66sm33`94?5=83:p(?k::0``?Mb><2Bo;o5+22`9``c<,8o;6<5f7c83>>oen3:17b<;4;29?xd4:00;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188k7232900qo==7;297?6=8r.9i84>bb9K`<2<@m=i7)<"6m90:7d9m:188mg`=831d>9:50;9~f64229086=4?{%0f1?7ek2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::m101<722wi?<=50;194?6|,;o>6Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3f8?87>5;|`1ba<72:0;6=u+2d795ge<@m3?7Ej8b:&17g51:k4f?6=3`hm6=44o367>5<53;294~"5m<0:nn5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=h:=>1<75rb2ae>5<4290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66a=4583>>{e;k31<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?j43<3:17pl6<729q/>h;51ca8La?33An50z&1a0<6jj1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9l612=831vn>98:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9jfc<722e9894?::a737=8391<7>t$3g6>4dd3An286Fk7c9'66d=llo0(5;n070?6=3th88o4?:283>5}#:l?1=om4He;7?Mb0j2.9?o4ked9'5`6=92c5;h`e>5<?6=44}c101?6=;3:10Di9m;%00f?bbm2.:i=4>;h5a>5<h1<75fbg83>>i5<=0;66sm99d94?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{e10:1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm98394?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{e1081<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm98194?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i55$363>6bo413:1(?:?:2f8j75a2=10qo764;29e?6=8r.9i84=5d9K`<2<@m=i7W9n:0y06?{#::h1hhk4$0g3>1=n090;66gmf;29?l1c2900c?:;:188k7212900e>k50;&105<4l2d9?k4?;:k0g?6=,;>;6>j4n31e>4=h5;o0976g6?;j;If:0>Nc?k1Q;l4>{209y!44j3nni6*>e187?l>72900eoh50;9j3a<722e9894?::m103<722c8i7>5$363>6bo4j3:1(?:?:2f8j75a2;10e>o50;&105<4l2d9?k4<;:k0=?6=,;>;6>j4n31e>1=59;294~"5m<099i5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l1c2900e5>50;9jfc<722e9894?::m100<722wim<=50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae42=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wim<<50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae43=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=h5;o0:76g5$363>6b0Di9m;[5b>4}4:3w/>>l5ddg8 4c72=1b4=4?::kab?6=3`=o6=44o367>5<=6=44i2g94?"5<908h6`=3g83?>o4k3:1(?:?:2f8j75a2810e>l50;&105<4l2d9?k4=;:k0e?6=,;>;6>j4n31e>6=h5;o0?76sma0594?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i55$363>6bo413:1(?:?:2f8j75a2=10qoo>8;29=?6=8r.9i84=5e9K`<2<@m=i7)<"6m9097d=;:188m63=831b?;4?::k0>i5<<0;66sma0394?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{ei;?1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sma3494?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{ei;>1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sma3594?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i55$363>6bo413:1(?:?:2f8j75a2=10qoo=8;29e?6=8r.9i84=5d9K`<2<@m=i7W9n:0y06?{#::h1hhk4$0g3>1=n090;66gmf;29?l1c2900c?:;:188k7212900e>k50;&105<4l2d9?k4?;:k0g?6=,;>;6>j4n31e>4=h5;o0976g6?;j;If:0>Nc?k1Q;l4>{209y!44j3nni6*>e187?l>72900eoh50;9j3a<722e9894?::m103<722c8i7>5$363>6bo4j3:1(?:?:2f8j75a2;10e>o50;&105<4l2d9?k4<;:k0=?6=,;>;6>j4n31e>1=59;294~"5m<099i5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l1c2900e5>50;9jfc<722e9894?::m100<722wim?=50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae61=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wim>650;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae60=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wim>750;c94?6|,;o>6?;j;If:0>Nc?k1Q;l4>{209y!44j3nni6*>e187?l>72900eoh50;9j3a<722e9894?::m103<722c8i7>5$363>6bo4j3:1(?:?:2f8j75a2;10e>o50;&105<4l2d9?k4<;:k0=?6=,;>;6>j4n31e>1=5a;294~"5m<099h5Gd868La1e3S=j65;h5g>5<?6=44o365>5<h5;o0;76g5$363>6b54i2;94?"5<908h6`=3g87?>{ei:h1<7o50;2x 7c22;?n7Ej64:Jg3g=]?h0:w><5}%00f?bbm2.:i=4;;h:3>5<>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=h5;o0876g<9;29 7272:n0b?=i:598ygg4k3:157>50z&1a0<5=m1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h5g>5<>i5<=0;66a=4483>>{ei:?1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sma5;94?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{ei=k1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sma5:94?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{ei=h1<7o50;2x 7c22;?n7Ej64:Jg3g=]?h0:w><5}%00f?bbm2.:i=4;;h:3>5<>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=h5;o0876g<9;29 7272:n0b?=i:598ygg3k3:1m7>50z&1a0<5=l1Ch4:4He5a?_1f28q8>7s+22`9``c<,8o;695f8183>>oen3:17d9k:188k7232900c?:9:188m6c=83.98=45$363>6bo4i3:1(?:?:2f8j75a2:10e>750;&105<4l2d9?k4;;:ae1b=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=h5;o0:76g5$363>6b0Di9m;%00f?bbm2.:i=4=;h17>5<>o403:17d9k:188m=6=831bnk4?::m101<722e9884?::ae11=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wim8l50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae0e=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wim8o50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae0b=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=h5;o0:76g5$363>6b0Di9m;[5b>4}4:3w/>>l5ddg8 4c72=1b4=4?::kab?6=3`=o6=44o367>5<=6=44i2g94?"5<908h6`=3g83?>o4k3:1(?:?:2f8j75a2810e>l50;&105<4l2d9?k4=;:k0e?6=,;>;6>j4n31e>6=h5;o0?76sma4d94?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i55$363>6bo413:1(?:?:2f8j75a2=10qoo90;29=?6=8r.9i84=5e9K`<2<@m=i7)<"6m9097d=;:188m63=831b?;4?::k0>i5<<0;66sma4;94?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{ei?n1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sma7g94?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{ei?i1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sma7d94?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i55$363>6bo413:1(?:?:2f8j75a2=10qoo80;29e?6=8r.9i84=5d9K`<2<@m=i7W9n:0y06?{#::h1hhk4$0g3>1=n090;66gmf;29?l1c2900c?:;:188k7212900e>k50;&105<4l2d9?k4?;:k0g?6=,;>;6>j4n31e>4=h5;o0976g6?;j;If:0>Nc?k1Q;l4>{209y!44j3nni6*>e187?l>72900eoh50;9j3a<722e9894?::m103<722c8i7>5$363>6bo4j3:1(?:?:2f8j75a2;10e>o50;&105<4l2d9?k4<;:k0=?6=,;>;6>j4n31e>1=7>59;294~"5m<099i5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l1c2900e5>50;9jfc<722e9894?::m100<722wim;l50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae2`=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wim5>50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae2c=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wim5?50;c94?6|,;o>6?;j;If:0>Nc?k1Q;l4>{209y!44j3nni6*>e187?l>72900eoh50;9j3a<722e9894?::m103<722c8i7>5$363>6bo4j3:1(?:?:2f8j75a2;10e>o50;&105<4l2d9?k4<;:k0=?6=,;>;6>j4n31e>1=7>5a;294~"5m<099h5Gd868La1e3S=j65;h5g>5<?6=44o365>5<h5;o0;76g5$363>6b54i2;94?"5<908h6`=3g87?>{ei191<7o50;2x 7c22;?n7Ej64:Jg3g=]?h0:w><5}%00f?bbm2.:i=4;;h:3>5<>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=h5;o0876g<9;29 7272:n0b?=i:598ygg?<3:157>50z&1a0<5=m1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h5g>5<>i5<=0;66a=4483>>{ei>n1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sma8394?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{ei081<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sma8294?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{ei091<7o50;2x 7c22;?n7Ej64:Jg3g=]?h0:w><5}%00f?bbm2.:i=4;;h:3>5<>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=h5;o0876g<9;29 7272:n0b?=i:598ygg><3:1m7>50z&1a0<5=l1Ch4:4He5a?_1f28q8>7s+22`9``c<,8o;695f8183>>oen3:17d9k:188k7232900c?:9:188m6c=83.98=45$363>6bo4i3:1(?:?:2f8j75a2:10e>750;&105<4l2d9?k4;;:ae<3=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=h5;o0:76g5$363>6b0Di9m;%00f?bbm2.:i=4=;h17>5<>o403:17d9k:188m=6=831bnk4?::m101<722e9884?::ae=`=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wiml=50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::aed2=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wiml<50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::aed3=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=h5;o0:76g5$363>6b0Di9m;[5b>4}4:3w/>>l5ddg8 4c72=1b4=4?::kab?6=3`=o6=44o367>5<=6=44i2g94?"5<908h6`=3g83?>o4k3:1(?:?:2f8j75a2810e>l50;&105<4l2d9?k4=;:k0e?6=,;>;6>j4n31e>6=h5;o0?76sma`594?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i55$363>6bo413:1(?:?:2f8j75a2=10qoon8;29=?6=8r.9i84=5e9K`<2<@m=i7)<"6m9097d=;:188m63=831b?;4?::k0>i5<<0;66sma`394?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{e1h91<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm9`694?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{e1h81<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm9`794?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i55$363>6bo413:1(?:?:2f8j75a2=10qo7n6;29e?6=8r.9i84=5d9K`<2<@m=i7W9n:0y06?{#::h1hhk4$0g3>1=n090;66gmf;29?l1c2900c?:;:188k7212900e>k50;&105<4l2d9?k4?;:k0g?6=,;>;6>j4n31e>4=h5;o0976g6?;j;If:0>Nc?k1Q;l4>{209y!44j3nni6*>e187?l>72900eoh50;9j3a<722e9894?::m103<722c8i7>5$363>6bo4j3:1(?:?:2f8j75a2;10e>o50;&105<4l2d9?k4<;:k0=?6=,;>;6>j4n31e>1=59;294~"5m<099i5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l1c2900e5>50;9jfc<722e9894?::m100<722wi5l?50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::a=g3=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi5o850;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::a=g2=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi5o950;c94?6|,;o>6?;j;If:0>Nc?k1Q;l4>{209y!44j3nni6*>e187?l>72900eoh50;9j3a<722e9894?::m103<722c8i7>5$363>6bo4j3:1(?:?:2f8j75a2;10e>o50;&105<4l2d9?k4<;:k0=?6=,;>;6>j4n31e>1=5a;294~"5m<099h5Gd868La1e3S=j65;h5g>5<?6=44o365>5<h5;o0;76g5$363>6b54i2;94?"5<908h6`=3g87?>{e1k31<7o50;2x 7c22;?n7Ej64:Jg3g=]?h0:w><5}%00f?bbm2.:i=4;;h:3>5<>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=h5;o0876g<9;29 7272:n0b?=i:598yg?ei3:157>50z&1a0<5=m1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h5g>5<>i5<=0;66a=4483>>{e1k91<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm9b594?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{e1j21<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm9b494?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{e1j31<7o50;2x 7c22;?n7Ej64:Jg3g=]?h0:w><5}%00f?bbm2.:i=4;;h:3>5<>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=h5;o0876g<9;29 7272:n0b?=i:598yg?di3:1m7>50z&1a0<5=l1Ch4:4He5a?_1f28q8>7s+22`9``c<,8o;695f8183>>oen3:17d9k:188k7232900c?:9:188m6c=83.98=45$363>6bo4i3:1(?:?:2f8j75a2:10e>750;&105<4l2d9?k4;;:a=fd=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=h5;o0:76g5$363>6b0Di9m;%00f?bbm2.:i=4=;h17>5<>o403:17d9k:188m=6=831bnk4?::m101<722e9884?::a=f3=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi5i750;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::a=ag=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi5i650;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::a=ad=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=h5;o0:76g5$363>6b0Di9m;[5b>4}4:3w/>>l5ddg8 4c72=1b4=4?::kab?6=3`=o6=44o367>5<=6=44i2g94?"5<908h6`=3g83?>o4k3:1(?:?:2f8j75a2810e>l50;&105<4l2d9?k4=;:k0e?6=,;>;6>j4n31e>6=h5;o0?76sm9ef94?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i55$363>6bo413:1(?:?:2f8j75a2=10qo7ke;29=?6=8r.9i84=5e9K`<2<@m=i7)<"6m9097d=;:188m63=831b?;4?::k0>i5<<0;66sm9e594?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{e1lh1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm9da94?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{e1lk1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm9df94?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i55$363>6bo413:1(?:?:2f8j75a2=10qo7je;29e?6=8r.9i84=5d9K`<2<@m=i7W9n:0y06?{#::h1hhk4$0g3>1=n090;66gmf;29?l1c2900c?:;:188k7212900e>k50;&105<4l2d9?k4?;:k0g?6=,;>;6>j4n31e>4=h5;o0976g6?;j;If:0>Nc?k1Q;l4>{209y!44j3nni6*>e187?l>72900eoh50;9j3a<722e9894?::m103<722c8i7>5$363>6bo4j3:1(?:?:2f8j75a2;10e>o50;&105<4l2d9?k4<;:k0=?6=,;>;6>j4n31e>1=59;294~"5m<099i5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l1c2900e5>50;9jfc<722e9894?::m100<722wi5h750;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::a=ce=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi5kh50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae56=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi5kk50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae57=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=h5;o0:76g5$363>6b0Di9m;[5b>4}4:3w/>>l5ddg8 4c72=1b4=4?::kab?6=3`=o6=44o367>5<=6=44i2g94?"5<908h6`=3g83?>o4k3:1(?:?:2f8j75a2810e>l50;&105<4l2d9?k4=;:k0e?6=,;>;6>j4n31e>6=h5;o0?76sma1194?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i55$363>6bo413:1(?:?:2f8j75a2=10qoo?4;29=?6=8r.9i84=5e9K`<2<@m=i7)<"6m9097d=;:188m63=831b?;4?::k0>i5<<0;66sm9gf94?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{e1oh1<7:50;2x 7c228i>7Ej64:Jg3g=#::h1hhk4$0g3>47h1<75fbg83>>o5==0;66a=4583>>{e?lo1<7=50;2x 7c22;8i7Ej64:Jg3g=#::h1hhk4$0g3>4e<,;>:6i7i;h3g6?6=3`;o?7>5;n00e?6=3th5}#:l?1>?j4He;7?Mb0j2.9?o4ked9'5`6=j2c:h?4?::k2`6<722c:h94?::k2`0<722e9?l4?::a3f4=83?1<7>t$3g6>74c3An286Fk7c9'66d=llo0(0<729q/>h;523f8La?33Anl5f1e094?=n9m91<75f1e694?=n9m?1<75`22c94?=zj>i?6=4::183!4b=389h6Fk959K`2d<,;9i6ikj;%3f4?7ei2c:h?4?::k2`6<722c:h94?::k2`0<722e9?l4?::a3f3=83?1<7>t$3g6>74c3An286Fk7c9'66d=llo0(0<729q/>h;523f8La?33And383>>o6l:0;66g>d583>>o6l<0;66a=3`83>>{e?j=1<7;50;2x 7c22;8o7Ej64:Jg3g=#::h1hhk4$0g3>7=n9m81<75f1e194?=n9m>1<75f1e794?=h::k1<75rb6a;>5<2290;w)Nc1=1Ch:l4$31a>acb3-;n<774i0f1>5<5<6=44o31b>5<55;294~"5m<09>i5Gd868La1e3-88n7jje:&2a5<6k11b=i<50;9j5a5=831b=i:50;9j5a3=831d>>o50;9~f2ef290>6=4?{%0f1?45l2Bo595Gd6`8 75e2mon7)?j0;31?l7c:3:17d?k3;29?l7c<3:17d?k5;29?j44i3:17pl8c083>0<729q/>h;523f8La?33And383>>o6l:0;66g>d583>>o6l<0;66a=3`83>>{e08n1<7<50;2x 7c22;8?7Ej64:Jg3g=#::h1hhk4i0f2>5<0Di9m;%00f?bbm2.:i=4>c:k2`7<722c:h>4?::m17d<722wi;hj50;194?6|,;o>6?Nc?k1/>>l5ddg8 4c72;30(?:>:e;g?l7c:3:17d?k3;29?j44i3:17pl71b83>6<729q/>h;523`8La?33Ana?a3`;o>7>5;h3g7?6=3f88m7>5;|`;50<72<0;6=u+2d7967b<@m3?7Ej8b:&17g5119'617=l0n0e50z&1a0<5:o1Ch4:4He5a?!7b83;ih6g>d383>>o6l:0;66g>d583>>o6l<0;66g>d783>>o6l>0;66a=3`83>>{e?li1<7950;2x 7c22;8m7Ej64:Jg3g=#9l:1=oj4i0f1>5<5<6=44i0f5>5<5<54;294~"5m<0:o=5Gd868La1e3-88n7jje:&2a5<63`=i6=44i6f94?=njo0;66a=4583>>{e0821<7:50;2x 7c228i;7Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66g8d;29?lda2900c?:;:188yg>6>3:187>50z&1a0<6k:1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9j611=831d>9:50;9~f2`3290>6=4?{%0f1?7en2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::k:2?6=3`hm6=44o367>5<54;294~"5m<0:o>5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=n:==1<75`25694?=zj>l86=4::183!4b=3;ij6Fk959K`2d<,;9i6ikj;%3f4?7h1<75f7e83>>o>>3:17dli:188k7232900qo9i2;290?6=8r.9i84>c19K`<2<@m=i7)<"6m90:7d9m:188m2b=831bnk4?::m101<722wi4;:50;694?6|,;o>6Nc?k1/>>l5ddg8 4c7281b;o4?::k4`?6=3`hm6=44o367>5<54;294~"5m<09>85Gd868La1e3-88n7jje:&2a5<63`3=6=44icd94?=n9jl1<75`25694?=zj1;n6=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl71g83>0<729q/>h;51b68La?33An9:50;9~f2ee290>6=4?{%0f1?7d<2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3`8?;7>5;n070?6=3th5}#:l?1=n:4He;7?Mb0j2.9?o4ked9'5`6=92c5;h5g>5<1<75rb6ag>5<2290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?l43?3:17b<;4;29?xd0kl0;684?:1y'6`3=9j>0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831b>9950;9l612=831vn:mi:186>5<7s-8n97?l4:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;h073?6=3f8?87>5;|`4`5<72<0;6=u+2d795f2<@m3?7Ej8b:&17g51:k4f?6=3`=o6=44icd94?=n:==1<75`25694?=zj>n:6=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl8d383>0<729q/>h;51b68La?33An9:50;9~f2b4290>6=4?{%0f1?7d<2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3`8?;7>5;n070?6=3th5}#:l?1=n:4He;7?Mb0j2.9?o4ked9'5`6=92c5;h5g>5<1<75rb6g4>5<3290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?j43<3:17pl8fb83>1<729q/>h;51b28La?33An5<7s-8n97?l0:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;n070?6=3th3?;4?:283>5}#:l?1h4=4He;7?Mb0j2.9?o4ked9j3c<722c9>;4?::m17d<722wi4>=50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::a<6g=8391<7>t$3g6>a?43An286Fk7c9'66d=llo0e:h50;9j670=831d>>o50;9~f=50290?6=4?{%0f1?4482Bo595Gd6`8 75e2mon7d9i:188mf5=831b>8:50;9l671=831vn5=j:180>5<7s-8n97j63:Jg=1=Ol>h0(?=m:egf?l1a2900e?<9:188k75f2900qo6o0n3:17dm<:188m7332900c?<8:188yg>3:3:1?7>50z&1a03:17b<"5;k0oih5f7g83>>od;3:17d<:4;29?j45?3:17pl74783>6<729q/>h;5d818La?33An>i5;h0;66sm85194?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{e0=k1<7=50;2x 7c22m387Ej64:Jg3g=#::h1hhk4i6d94?=n:;<1<75`22c94?=zj1><6=4;:183!4b=388<6Fk959K`2d<,;9i6ikj;h5e>5<5<4290;w)Nc1=1Ch:l4$31a>acb3`=m6=44i305>5<0Di9m;%00f?bbm2c5;ha0>5<5<>7>53;294~"5m<0o5>5Gd868La1e3-88n7jje:k4b?6=3`89:7>5;n00e?6=3th38k4?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4ked9j3c<722ch?7>5;h060?6=3f89;7>5;|`;13<72:0;6=u+2d79`<5<@m3?7Ej8b:&17g1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi48750;194?6|,;o>6i7<;If:0>Nc?k1/>>l5ddg8m2`=831b>?850;9l66g=831vn5=::181>5<7s-8n97<=a:Jg=1=Ol>h0(?=m:egf?!7b83;h7d?k2;29?j44i3:17pl73883>7<729q/>h;523c8La?33An5<5290;w)Nc1=1Ch:l4$31a>acb3-;n<7?l;h3g6?6=3f88m7>5;|`;04<72;0;6=u+2d7967g<@m3?7Ej8b:&17g51b9j5a4=831d>>o50;9~f=2229096=4?{%0f1?45i2Bo595Gd6`8 75e2mon7)?j0;3`?l7c:3:17b<"5;k0oih5+1d295f=n9m81<75`22c94?=zj1>o6=4=:183!4b=389m6Fk959K`2d<,;9i6ikj;%3f4?7d3`;o>7>5;n00e?6=3th39<4?:383>5}#:l?1>?o4He;7?Mb0j2.9?o4ked9'5`6=9j1b=i<50;9l66g=831vn5;::181>5<7s-8n97<=a:Jg=1=Ol>h0(?=m:egf?!7b83;h7d?k2;29?j44i3:17pl75983>7<729q/>h;523c8La?33An5<2290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?l43?3:17b<;4;29?xd?;10;684?:1y'6`3=9j>0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831b>9950;9l612=831vn5=l:186>5<7s-8n97?l4:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;h073?6=3f8?87>5;|`;05<72<0;6=u+2d795f2<@m3?7Ej8b:&17g51:k4f?6=3`=o6=44icd94?=n:==1<75`25694?=zj1>?6=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl74983>0<729q/>h;51b68La?33An9:50;9~f=2d290>6=4?{%0f1?7d<2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3`8?;7>5;n070?6=3th39=4?:483>5}#:l?1=n:4He;7?Mb0j2.9?o4ked9'5`6=92c5;h5g>5<1<75rb977>5<2290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?l43?3:17b<;4;29?xd?=>0;684?:1y'6`3=9j>0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831b>9950;9l612=831vn8<=:180>5<7s-8n97j63:Jg=1=Ol>h0(?=m:egf?l1a2900e?<9:188k75f2900qo;>f;290?6=8r.9i84=319K`<2<@m=i7)<o0n3:17dm<:188m7332900c?<8:188yg35>3:1?7>50z&1a03:17b<"5;k0oih5f7g83>>od;3:17d<:4;29?j45?3:17pl:2`83>6<729q/>h;5d818La?33An>i5;h0;66sm53594?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{e=;o1<7=50;2x 7c22m387Ej64:Jg3g=#::h1hhk4i6d94?=n:;<1<75`22c94?=zj<8i6=4;:183!4b=388<6Fk959K`2d<,;9i6ikj;h5e>5<5<4290;w)Nc1=1Ch:l4$31a>acb3`=m6=44i305>5<0Di9m;%00f?bbm2c5;ha0>5<5<53;294~"5m<0o5>5Gd868La1e3-88n7jje:k4b?6=3`89:7>5;n00e?6=3th>?>4?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4ked9j3c<722ch?7>5;h060?6=3f89;7>5;|`67d<72:0;6=u+2d79`<5<@m3?7Ej8b:&17g1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi9>k50;194?6|,;o>6i7<;If:0>Nc?k1/>>l5ddg8m2`=831b>?850;9l66g=831vn8=m:187>5<7s-8n97<<0:Jg=1=Ol>h0(?=m:egf?l1a2900en=50;9j602=831d>?950;9~f02529086=4?{%0f1?b>;2Bo595Gd6`8 75e2mon7d9i:188m7412900c?=n:188yg34n3:187>50z&1a0<5;91Ch4:4He5a?!44j3nni6g8f;29?le42900e?;;:188k7402900qo;;5;297?6=8r.9i84k929K`<2<@m=i7)<o0n3:17d<=6;29?j44i3:17pl:2083>7<729q/>h;523c8La?33An5<5290;w)Nc1=1Ch:l4$31a>acb3-;n<7?l;h3g6?6=3f88m7>5;|`66<<72;0;6=u+2d7967g<@m3?7Ej8b:&17g51b9j5a4=831d>>o50;9~f04c29096=4?{%0f1?45i2Bo595Gd6`8 75e2mon7)?j0;3`?l7c:3:17b<"5;k0oih5+1d295f=n9m81<75`22c94?=zj<9>6=4=:183!4b=389m6Fk959K`2d<,;9i6ikj;%3f4?7d3`;o>7>5;n00e?6=3th>?44?:383>5}#:l?1>?o4He;7?Mb0j2.9?o4ked9'5`6=9j1b=i<50;9l66g=831vn8=k:181>5<7s-8n97<=a:Jg=1=Ol>h0(?=m:egf?!7b83;h7d?k2;29?j44i3:17pl:4083>7<729q/>h;523c8La?33An5<5290;w)Nc1=1Ch:l4$31a>acb3-;n<7?l;h3g6?6=3f88m7>5;|`665<72<0;6=u+2d795f2<@m3?7Ej8b:&17g51:k4f?6=3`=o6=44icd94?=n:==1<75`25694?=zj<8?6=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl:2983>0<729q/>h;51b68La?33An9:50;9~f04d290>6=4?{%0f1?7d<2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3`8?;7>5;n070?6=3th>?=4?:483>5}#:l?1=n:4He;7?Mb0j2.9?o4ked9'5`6=92c5;h5g>5<1<75rb417>5<2290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?l43?3:17b<;4;29?xd2;10;684?:1y'6`3=9j>0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831b>9950;9l612=831vn8=l:186>5<7s-8n97?l4:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;h073?6=3f8?87>5;|`605<72<0;6=u+2d795f2<@m3?7Ej8b:&17g51:k4f?6=3`=o6=44icd94?=n:==1<75`25694?=zj<>86=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl8b183>6<729q/>h;523`8La?33An45f1e094?=n9m91<75`22c94?=zj>km6=4;:183!4b=389o6Fk959K`2d<,;9i6ikj;%3f4?7e92c:h?4?::k2`6<722c:h94?::m17d<722wi;lk50;794?6|,;o>6?Nc?k1/>>l5ddg8 4c728i27)<;1;fb4>o6l;0;66g>d283>>o6l=0;66g>d483>>i5;h0;66sm79494?2=83:p(?k::0a6?Mb><2Bo;o5+22`9``c<,8o;6>i5<=0;66sm79794?2=83:p(?k::0a6?Mb><2Bo;o5+22`9``c<,8o;6>i5<=0;66sm79694?2=83:p(?k::0a6?Mb><2Bo;o5+22`9``c<,8o;6>i5<=0;66sm79194?2=83:p(?k::0a6?Mb><2Bo;o5+22`9``c<,8o;6>i5<=0;66sm79094?2=83:p(?k::0a6?Mb><2Bo;o5+22`9``c<,8o;6>i5<=0;66sm79394?2=83:p(?k::0a6?Mb><2Bo;o5+22`9``c<,8o;6>i5<=0;66sm79294?2=83:p(?k::0a6?Mb><2Bo;o5+22`9``c<,8o;6>i5<=0;66sm76d94?2=83:p(?k::0a6?Mb><2Bo;o5+22`9``c<,8o;6>i5<=0;66sm78g94?5=83:p(?k::30a?Mb><2Bo;o5+22`9``c<,8o;65<5<54;294~"5m<0:o=5Gd868La1e3-88n7jje:&2a5<63`=i6=44i6f94?=njo0;66a=4583>>{e?h81<7:50;2x 7c228i;7Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66g8d;29?lda2900c?:;:188yg1f<3:197>50z&1a0<6jo1Ch4:4He5a?!44j3nni6*>e182?l1e2900e:j50;9j=3<722cij7>5;n070?6=3th<5k4?:583>5}#:l?1=n=4He;7?Mb0j2.9?o4ked9'5`6=92c5;h`e>5<<6=44o367>5<55;294~"5m<0:nk5Gd868La1e3-88n7jje:&2a5<63`=i6=44i6f94?=n1?0;66gmf;29?j43<3:17pl88c83>6<729q/>h;523`8La?33An396=4;:183!4b=3;h<6Fk959K`2d<,;9i6ikj;%3f4?7h1<75f7e83>>oen3:17b<;4;29?xd0180;694?:1y'6`3=9j:0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831d>9:50;9~f2?7290?6=4?{%0f1?7d82Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3f8?87>5;|`451:k4f?6=3`=o6=44icd94?=h:=>1<75rb6;0>5<2290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66g66;29?lda2900c?:;:188yg1?k3:187>50z&1a0<6k:1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9j611=831d>9:50;9~f2?3290>6=4?{%0f1?7en2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::k:2?6=3`hm6=44o367>5<5a;294~"5m<099h5Gd868La1e3S=j65;h5g>5<?6=44o365>5<h5;o0;76g5$363>6b54i2;94?"5<908h6`=3g87?>{e>:=1<7o50;2x 7c22;?n7Ej64:Jg3g=]?h0:w><5}%00f?bbm2.:i=4;;h:3>5<>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=h5;o0876g<9;29 7272:n0b?=i:598yg04>3:1m7>50z&1a0<5=l1Ch4:4He5a?_1f28q8>7s+22`9``c<,8o;695f8183>>oen3:17d9k:188k7232900c?:9:188m6c=83.98=45$363>6bo4i3:1(?:?:2f8j75a2:10e>750;&105<4l2d9?k4;;:a1cc=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=h5;o0:76g5$363>6b0Di9m;[5b>4}4:3w/>>l5ddg8 4c72=1b4=4?::kab?6=3`=o6=44o367>5<=6=44i2g94?"5<908h6`=3g83?>o4k3:1(?:?:2f8j75a2810e>l50;&105<4l2d9?k4=;:k0e?6=,;>;6>j4n31e>6=h5;o0?76sm5ga94?2=83:p(?k::312?Mb><2Bo;o5+22`9``c5<5<5a;294~"5m<099h5Gd868La1e3S=j65;h5g>5<?6=44o365>5<h5;o0;76g5$363>6b54i2;94?"5<908h6`=3g87?>{e>::1<7o50;2x 7c22;?n7Ej64:Jg3g=]?h0:w><5}%00f?bbm2.:i=4;;h:3>5<>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=h5;o0876g<9;29 7272:n0b?=i:598yg05n3:187>50z&1a0<5;81Ch4:4He5a?!44j3nni6g>d383>>o6l:0;66g=5583>>i5;h0;66sm61794?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i55$363>6bo413:1(?:?:2f8j75a2=10qo8?4;29e?6=8r.9i84=5d9K`<2<@m=i7W9n:0y06?{#::h1hhk4$0g3>1=n090;66gmf;29?l1c2900c?:;:188k7212900e>k50;&105<4l2d9?k4?;:k0g?6=,;>;6>j4n31e>4=h5;o0976g6?=>;If:0>Nc?k1/>>l5ddg8m4b52900e50z&1a0<5=l1Ch4:4He5a?_1f28q8>7s+22`9``c<,8o;695f8183>>oen3:17d9k:188k7232900c?:9:188m6c=83.98=45$363>6bo4i3:1(?:?:2f8j75a2:10e>750;&105<4l2d9?k4;;:a277=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=h5;o0:76g5$363>6b0Di9m;[5b>4}4:3w/>>l5ddg8 4c72=1b4=4?::kab?6=3`=o6=44o367>5<=6=44i2g94?"5<908h6`=3g83?>o4k3:1(?:?:2f8j75a2810e>l50;&105<4l2d9?k4=;:k0e?6=,;>;6>j4n31e>6=h5;o0?76sm60d94?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i55$363>6bo413:1(?:?:2f8j75a2=10qo8>c;290?6=8r.9i84=309K`<2<@m=i7)<o6l;0;66g>d283>>o5==0;66a=3`83>>{e>8n1<7:50;2x 7c22;9:7Ej64:Jg3g=#::h1hhk4i0f1>5<5<0Di9m;%00f?bbm2c:h?4?::k2`6<722c9994?::m17d<722wi:<>50;c94?6|,;o>6?;j;If:0>Nc?k1Q;l4>{209y!44j3nni6*>e187?l>72900eoh50;9j3a<722e9894?::m103<722c8i7>5$363>6bo4j3:1(?:?:2f8j75a2;10e>o50;&105<4l2d9?k4<;:k0=?6=,;>;6>j4n31e>1=5a;294~"5m<099h5Gd868La1e3S=j65;h5g>5<?6=44o365>5<h5;o0;76g5$363>6b54i2;94?"5<908h6`=3g87?>{e>9o1<7o50;2x 7c22;?n7Ej64:Jg3g=]?h0:w><5}%00f?bbm2.:i=4;;h:3>5<>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=h5;o0876g<9;29 7272:n0b?=i:598yg07l3:1m7>50z&1a0<5=l1Ch4:4He5a?_1f28q8>7s+22`9``c<,8o;695f8183>>oen3:17d9k:188k7232900c?:9:188m6c=83.98=45$363>6bo4i3:1(?:?:2f8j75a2:10e>750;&105<4l2d9?k4;;:a25g=83>1<7>t$3g6>7563An286Fk7c9'66d=llo0eo6l;0;66g>d283>>o5==0;66a=3`83>>{e>9i1<7:50;2x 7c22;997Ej64:Jg3g=#::h1hhk4i0f1>5<5<0Di9m;%00f?bbm2.:i=4=;h17>5<>o403:17d9k:188m=6=831bnk4?::m101<722e9884?::a27b=8331<7>t$3g6>73c3An286Fk7c9'66d=llo0(5;h15>5<>o?83:17dli:188k7232900c?:::188yg11>3:187>50z&1a0<5:j1Ch4:4He5a?!44j3nni6*>e182`>o6l;0;66g>d283>>o6l=0;66a=3`83>>{e=oh1<7:50;2x 7c228i87Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?l43?3:17b<;4;29?xd19k0;694?:1y'6`3=9j90Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188m7202900c?:;:188yg0713:187>50z&1a0<6k:1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9j611=831d>9:50;9~f20?290?6=4?{%0f1?7d;2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::k102<722e9894?::a331=83>1<7>t$3g6>4e43An286Fk7c9'66d=llo0(5;h073?6=3f8?87>5;|`6bd<72=0;6=u+2d795f5<@m3?7Ej8b:&17g51:k4f?6=3`hm6=44i364>5<?6=44}c7e=?6=<3:10Di9m;%00f?bbm2.:i=4>;h5a>5<1<75rb646>5<2290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?l43?3:17b<;4;29?xd0>h0;684?:1y'6`3=9j>0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831b>9950;9l612=831vn:86:186>5<7s-8n97?l4:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;h073?6=3f8?87>5;|`5ea<72<0;6=u+2d795f2<@m3?7Ej8b:&17g51:k4f?6=3`=o6=44icd94?=n:==1<75`25694?=zj?kj6=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl9a783>0<729q/>h;51b68La?33An9:50;9~f3g5290>6=4?{%0f1?7d<2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3`8?;7>5;n070?6=3th=5h4?:483>5}#:l?1=n:4He;7?Mb0j2.9?o4ked9'5`6=92c5;h5g>5<1<75rb7;b>5<2290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?l43?3:17b<;4;29?xd11?0;684?:1y'6`3=9j>0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831b>9950;9l612=831vn;7=:186>5<7s-8n97?l4:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;h073?6=3f8?87>5;|`5<`<72<0;6=u+2d795f2<@m3?7Ej8b:&17g51:k4f?6=3`=o6=44icd94?=n:==1<75`25694?=zj?2j6=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl9ad83>7<729q/>h;523c8La?33An5<5290;w)Nc1=1Ch:l4$31a>acb3-;n<7?l;h3g6?6=3f88m7>5;|`5e2<72;0;6=u+2d7967g<@m3?7Ej8b:&17g51b9j5a4=831d>>o50;9~f3g429096=4?{%0f1?45i2Bo595Gd6`8 75e2mon7)?j0;3`?l7c:3:17b<"5;k0oih5+1d295f=n9m81<75`22c94?=zj?3i6=4=:183!4b=389m6Fk959K`2d<,;9i6ikj;%3f4?7d3`;o>7>5;n00e?6=3th=5:4?:383>5}#:l?1>?o4He;7?Mb0j2.9?o4ked9'5`6=9j1b=i<50;9l66g=831vn;7<:181>5<7s-8n97<=a:Jg=1=Ol>h0(?=m:egf?!7b83;h7d?k2;29?j44i3:17pl98g83>7<729q/>h;523c8La?33An5<5290;w)Nc1=1Ch:l4$31a>acb3-;n<7?l;h3g6?6=3f88m7>5;|`5ec<72:0;6=u+2d79`<5<@m3?7Ej8b:&17g1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi:lm50;194?6|,;o>6i7<;If:0>Nc?k1/>>l5ddg8m2`=831b>?850;9l66g=831vn;o::187>5<7s-8n97<<0:Jg=1=Ol>h0(?=m:egf?l1a2900en=50;9j602=831d>?950;9~f3g?29086=4?{%0f1?b>;2Bo595Gd6`8 75e2mon7d9i:188m7412900c?=n:188yg0f93:187>50z&1a0<5;91Ch4:4He5a?!44j3nni6g8f;29?le42900e?;;:188k7402900qo8n4;297?6=8r.9i84k929K`<2<@m=i7)<o0n3:17d<=6;29?j44i3:17pl99e83>1<729q/>h;52228La?33An4?:1y'6`3=l090Di7;;If4f>"5;k0oih5f7g83>>o5:?0;66a=3`83>>{e>031<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm68a94?5=83:p(?k::e;0?Mb><2Bo;o5+22`9``cl1<75f23494?=h::k1<75rb7;6>5<3290;w)Nc1=1Ch:l4$31a>acb3`=m6=44ib194?=n:<>1<75`23594?=zj?336=4<:183!4b=3n2?6Fk959K`2d<,;9i6ikj;h5e>5<5<54;294~"5m<09?=5Gd868La1e3-88n7jje:k4b?6=3`i86=44i377>5<0Di9m;%00f?bbm2c5;h012?6=3f88m7>5;|`587>5;n013?6=3th=5=4?:283>5}#:l?1h4=4He;7?Mb0j2.9?o4ked9j3c<722c9>;4?::m17d<722wi:5750;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::a2=e=8391<7>t$3g6>a?43An286Fk7c9'66d=llo0e:h50;9j670=831d>>o50;9~f3`6290>6=4?{%0f1?7d<2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3`8?;7>5;n070?6=3th=ih4?:483>5}#:l?1=n:4He;7?Mb0j2.9?o4ked9'5`6=92c5;h5g>5<1<75rb7gb>5<2290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?l43?3:17b<;4;29?xd1m?0;684?:1y'6`3=9j>0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831b>9950;9l612=831vn;k=:186>5<7s-8n97?l4:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;h073?6=3f8?87>5;|`5``<72<0;6=u+2d795f2<@m3?7Ej8b:&17g51:k4f?6=3`=o6=44icd94?=n:==1<75`25694?=zj?nj6=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl9d783>0<729q/>h;51b68La?33An9:50;9~f3b5290>6=4?{%0f1?7d<2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3`8?;7>5;n070?6=3th=oh4?:483>5}#:l?1=n:4He;7?Mb0j2.9?o4ked9'5`6=92c5;h5g>5<1<75rb7d1>5<5290;w)Nc1=1Ch:l4$31a>acb3-;n<7?l;h3g6?6=3f88m7>5;|`5ac<72;0;6=u+2d7967g<@m3?7Ej8b:&17g51b9j5a4=831d>>o50;9~f3ce29096=4?{%0f1?45i2Bo595Gd6`8 75e2mon7)?j0;3`?l7c:3:17b<0;6?4?:1y'6`3=:;k0Di7;;If4f>"5;k0oih5+1d295f=n9m81<75`22c94?=zj?o86=4=:183!4b=389m6Fk959K`2d<,;9i6ikj;%3f4?7d3`;o>7>5;n00e?6=3th=hk4?:383>5}#:l?1>?o4He;7?Mb0j2.9?o4ked9'5`6=9j1b=i<50;9l66g=831vn;jm:181>5<7s-8n97<=a:Jg=1=Ol>h0(?=m:egf?!7b83;h7d?k2;29?j44i3:17pl9d683>7<729q/>h;523c8La?33An5<5290;w)Nc1=1Ch:l4$31a>acb3-;n<7?l;h3g6?6=3f88m7>5;|`5gc<72;0;6=u+2d7967g<@m3?7Ej8b:&17g51b9j5a4=831d>>o50;9~f3`429086=4?{%0f1?b>;2Bo595Gd6`8 75e2mon7d9i:188m7412900c?=n:188yg0bl3:187>50z&1a0<5;91Ch4:4He5a?!44j3nni6g8f;29?le42900e?;;:188k7402900qo8i0;297?6=8r.9i84k929K`<2<@m=i7)<o0n3:17d<=6;29?j44i3:17pl9e883>1<729q/>h;52228La?33An4?:1y'6`3=l090Di7;;If4f>"5;k0oih5f7g83>>o5:?0;66a=3`83>>{e>l?1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm6d:94?5=83:p(?k::e;0?Mb><2Bo;o5+22`9``cl1<75f23494?=h::k1<75rb7g2>5<3290;w)Nc1=1Ch:l4$31a>acb3`=m6=44ib194?=n:<>1<75`23594?=zj?o?6=4<:183!4b=3n2?6Fk959K`2d<,;9i6ikj;h5e>5<5<54;294~"5m<09?=5Gd868La1e3-88n7jje:k4b?6=3`i86=44i377>5<0Di9m;%00f?bbm2c5;h012?6=3f88m7>5;|`5`<<72=0;6=u+2d79666<@m3?7Ej8b:&17g87>5;n013?6=3th=hn4?:283>5}#:l?1h4=4He;7?Mb0j2.9?o4ked9j3c<722c9>;4?::m17d<722wi:i;50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::a2a>=8391<7>t$3g6>a?43An286Fk7c9'66d=llo0e:h50;9j670=831d>>o50;9~f3b6290?6=4?{%0f1?4482Bo595Gd6`8 75e2mon7d9i:188mf5=831b>8:50;9l671=831vn;j;:180>5<7s-8n97j63:Jg=1=Ol>h0(?=m:egf?l1a2900e?<9:188k75f2900qo8ld;290?6=8r.9i84=319K`<2<@m=i7)<o0n3:17dm<:188m7332900c?<8:188yg0c83:1?7>50z&1a03:17b<"5;k0oih5+1d293f=n9m81<75f1e194?=n9m>1<75`22c94?=zj?=:6=4;:183!4b=389o6Fk959K`2d<,;9i6ikj;%3f4?1d3`;o>7>5;h3g7?6=3`;o87>5;n00e?6=3th=:h4?:583>5}#:l?1>?m4He;7?Mb0j2.9?o4ked9'5`6=?j1b=i<50;9j5a5=831b=i:50;9l66g=831vn;8m:187>5<7s-8n97<=c:Jg=1=Ol>h0(?=m:egf?!7b83=h7d?k2;29?l7c;3:17d?k4;29?j44i3:17pl96983>1<729q/>h;523a8La?33An5<3290;w)Nc1=1Ch:l4$31a>acb3-;n<79l;h3g6?6=3`;o?7>5;h3g0?6=3f88m7>5;|`527<72=0;6=u+2d7967e<@m3?7Ej8b:&17g57b9j5a4=831b=i=50;9j5a2=831d>>o50;9~f33a290?6=4?{%0f1?45k2Bo595Gd6`8 75e2mon7)?j0;5`?l7c:3:17d?k3;29?l7c<3:17b<"5;k0oih5+1d293f=n9m81<75f1e194?=n9m>1<75`22c94?=zj??26=4;:183!4b=389o6Fk959K`2d<,;9i6ikj;%3f4?1d3`;o>7>5;h3g7?6=3`;o87>5;n00e?6=3th=jk4?:283>5}#:l?1>?l4He;7?Mb0j2.9?o4ked9'5`6=;:1/>9?5d8g8m4b52900e"6m908?6*=408g=`=n9m81<75f1e194?=h::k1<75rb774>5Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e:j50;9j<5<722cij7>5;n070?6=3th=8i4?:583>5}#:l?1=n>4He;7?Mb0j2.9?o4ked9'5`6=92c5;h5g>5<h6=4;:183!4b=3;h<6Fk959K`2d<,;9i6ikj;%3f4?7h1<75f7e83>>oen3:17b<;4;29?xd1"5;k0oih5+1d295>o0j3:17d9k:188mg`=831d>9:50;9~f32f290?6=4?{%0f1?7d82Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3f8?87>5;|`50<<72=0;6=u+2d795f6<@m3?7Ej8b:&17g51:k4f?6=3`=o6=44icd94?=h:=>1<75rb76;>5<3290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?j43<3:17pl95783>1<729q/>h;51b28La?33An5<7s-8n97?l0:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;n070?6=3th=994?:583>5}#:l?1=n>4He;7?Mb0j2.9?o4ked9'5`6=92c5;h5g>5<h1<75f7e83>>oen3:17b<;4;29?xd1=;0;694?:1y'6`3=9j:0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831d>9:50;9~f336290?6=4?{%0f1?7d82Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3f8?87>5;|`515<72=0;6=u+2d795f6<@m3?7Ej8b:&17g51:k4f?6=3`=o6=44icd94?=h:=>1<75rb76e>5<3290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?j43<3:17pl94d83>1<729q/>h;51b28La?33An5<7s-8n97?l0:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;n070?6=3th=;>4?:583>5}#:l?1=n=4He;7?Mb0j2.9?o4ked9'5`6=92c5;h`e>5<<6=44o367>5<54;294~"5m<0:o>5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=n:==1<75`25694?=zj?=;6=4;:183!4b=3;h?6Fk959K`2d<,;9i6ikj;%3f4?7h1<75fbg83>>o5<>0;66a=4583>>{e>>81<7:50;2x 7c228i87Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?l43?3:17b<;4;29?xd1>m0;694?:1y'6`3=9j90Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188m7202900c?:;:188yg01n3:187>50z&1a0<6k:1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9j611=831d>9:50;9~f30f290?6=4?{%0f1?7d;2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::k102<722e9894?::a23e=83>1<7>t$3g6>4e43An286Fk7c9'66d=llo0(5;h073?6=3f8?87>5;|`522<72=0;6=u+2d795f5<@m3?7Ej8b:&17g51:k4f?6=3`hm6=44i364>5<?6=44}c45=?6=<3:10Di9m;%00f?bbm2.:i=4>;h5a>5<1<75rb747>5<3290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66g=4683>>i5<=0;66sm67494?2=83:p(?k::0a0?Mb><2Bo;o5+22`9``c<,8o;6<5f7c83>>oen3:17d<;7;29?j43<3:17pl96083>1<729q/>h;51b18La?33Anc29K`<2<@m=i7)<"6m90:7d9m:188mg`=831b>9950;9l612=831vn;;j:187>5<7s-8n97?l3:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9jfc<722c98:4?::m101<722wi:;>50;694?6|,;o>6Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3`8?;7>5;n070?6=3th=9o4?:583>5}#:l?1=n=4He;7?Mb0j2.9?o4ked9'5`6=92c5;h`e>5<<6=44o367>5<h7>54;294~"5m<0:o>5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=n:==1<75`25694?=zj??36=4;:183!4b=3;h?6Fk959K`2d<,;9i6ikj;%3f4?7h1<75fbg83>>o5<>0;66a=4583>>{e>4=n?k0;66gmf;29?l43?3:17b<;4;29?xd0:10;694?:1y'6`3=:::0Di7;;If4f>"5;k0oih5f7g83>>od;3:17d<:4;29?j45?3:17pl82883>1<729q/>h;52228La?33An"5;k0oih5f7g83>>od;3:17d<:4;29?j45?3:17pl82c83>1<729q/>h;52228La?33An"5;k0oih5f7g83>>od;3:17d<:4;29?j45?3:17pl82e83>d<729q/>h;524g8La?33An>o0l3:17b<;4;29?j43>3:17d=j:18'616=;m1e>>h50:9j7f<72-8?<7=k;o00b?7<3`9i6=4+25297a=i::l1>65f3`83>!43839o7c<290/>9>53e9m66`=<21vn:5<7s-8n97<:e:Jg=1=Ol>h0V:o51z11>x"5;k0oih5+1d290>o?83:17dli:188m2b=831d>9:50;9l610=831b?h4?:%074?5c3g88j7>4;h1`>5<#:=:1?i5a22d95>=n;k0;6)<;0;1g?k44n3807d=n:18'616=;m1e>>h53:9j7<<72-8?<7=k;o00b?2<3th<>k4?:`83>5}#:l?1>8k4He;7?Mb0j2P5;n072?6=3`9n6=4+25297a=i::l1<65f3b83>!43839o7c<9>53e9m66`=:21b?l4?:%074?5c3g88j7=4;h1:>5<#:=:1?i5a22d90>=zj>9;6=46:183!4b=38>h6Fk959K`2d<,;9i6ikj;%3f4?41<75f3483>>o4>3:17d=7:188m2b=831b4=4?::kab?6=3f8?87>5;n071?6=3th<=94?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4ked9j3c<722ch?7>5;h060?6=3f89;7>5;|`450<72=0;6=u+2d79666<@m3?7Ej8b:&17g87>5;n013?6=3th<=;4?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4ked9j3c<722ch?7>5;h060?6=3f89;7>5;|`452<72=0;6=u+2d79666<@m3?7Ej8b:&17g87>5;n013?6=3th<=54?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4ked9j3c<722ch?7>5;h060?6=3f89;7>5;|`45<<72h0;6=u+2d7960c<@m3?7Ej8b:X4e?7|;;0v(?=m:egf?!7b83>0e5>50;9jfc<722c5;n070?6=3f8?:7>5;h1f>5<#:=:1?i5a22d94>=n;j0;6)<;0;1g?k44n3;07d=m:18'616=;m1e>>h52:9j7d<72-8?<7=k;o00b?5<3`926=4+25297a=i::l1865rb63b>5Nc1=1Ch:l4Z6c95~552t.9?o4ked9'5`6=<2c3<7>5;h`e>5<n1<75`25694?=h:=<1<75f3d83>!43839o7c<9>53e9m66`=921b?o4?:%074?5c3g88j7<4;h1b>5<#:=:1?i5a22d97>=n;00;6)<;0;1g?k44n3>07pl81c83>d<729q/>h;524g8La?33An>o0l3:17b<;4;29?j43>3:17d=j:18'616=;m1e>>h50:9j7f<72-8?<7=k;o00b?7<3`9i6=4+25297a=i::l1>65f3`83>!43839o7c<290/>9>53e9m66`=<21vn:?l:18:>5<7s-8n97<:d:Jg=1=Ol>h0(?=m:egf?!7b8380e>:50;9j70<722c8:7>5;h1;>5<n1<75f8183>>oen3:17b<;4;29?j43=3:17pl80183>1<729q/>h;52228La?33An"5;k0oih5f7g83>>od;3:17d<:4;29?j45?3:17pl80383>1<729q/>h;52228La?33An"5;k0oih5f7g83>>od;3:17d<:4;29?j45?3:17pl80583>1<729q/>h;52228La?33An\0i3;p??4r$31a>acb3-;n<7:4i9294?=njo0;66g8d;29?j43<3:17b<;6;29?l5b290/>9>53e9m66`=821b?n4?:%074?5c3g88j7?4;h1a>5<#:=:1?i5a22d96>=n;h0;6)<;0;1g?k44n3907d=6:18'616=;m1e>>h54:9~f261290j6=4?{%0f1?42m2Bo595Gd6`8^2g=9r996p*=3c8ga`=#9l:186g70;29?lda2900e:j50;9l612=831d>9850;9j7`<72-8?<7=k;o00b?6<3`9h6=4+25297a=i::l1=65f3c83>!43839o7c<9>53e9m66`=;21b?44?:%074?5c3g88j7:4;|`442<72h0;6=u+2d7960c<@m3?7Ej8b:X4e?7|;;0v(?=m:egf?!7b83>0e5>50;9jfc<722c5;n070?6=3f8?:7>5;h1f>5<#:=:1?i5a22d94>=n;j0;6)<;0;1g?k44n3;07d=m:18'616=;m1e>>h52:9j7d<72-8?<7=k;o00b?5<3`926=4+25297a=i::l1865rb62;>5<>290;w)Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e:j50;9j<5<722cij7>5;n070?6=3f8?97>5;|`73`<72:0;6=u+2d79`<5<@m3?7Ej8b:&17g1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi85<50;194?6|,;o>6i7<;If:0>Nc?k1/>>l5ddg8m2`=831b>?850;9l66g=831vn99i:187>5<7s-8n97<<0:Jg=1=Ol>h0(?=m:egf?l1a2900en=50;9j602=831d>?950;9~f1>129086=4?{%0f1?b>;2Bo595Gd6`8 75e2mon7d9i:188m7412900c?=n:188yg2?;3:187>50z&1a0<5;91Ch4:4He5a?!44j3nni6g8f;29?le42900e?;;:188k7402900qo:7a;297?6=8r.9i84k929K`<2<@m=i7)<o0n3:17d<=6;29?j44i3:17pl;8683>1<729q/>h;52228La?33An4?:1y'6`3=l090Di7;;If4f>"5;k0oih5f7g83>>o5:?0;66a=3`83>>{e<1h1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm48094?5=83:p(?k::e;0?Mb><2Bo;o5+22`9``cl1<75f23494?=h::k1<75rb5:e>5<3290;w)Nc1=1Ch:l4$31a>acb3`=m6=44ib194?=n:<>1<75`23594?=zj=3=6=4<:183!4b=3n2?6Fk959K`2d<,;9i6ikj;h5e>5<5<2?7>54;294~"5m<09?=5Gd868La1e3-88n7jje:k4b?6=3`i86=44i377>5<0Di9m;%00f?bbm2c5;h012?6=3f88m7>5;|`73a<72;0;6=u+2d7967g<@m3?7Ej8b:&17g51b9j5a4=831d>>o50;9~f1>629096=4?{%0f1?45i2Bo595Gd6`8 75e2mon7)?j0;3`?l7c:3:17b<"5;k0oih5+1d295f=n9m81<75`22c94?=zj=226=4=:183!4b=389m6Fk959K`2d<,;9i6ikj;%3f4?7d3`;o>7>5;n00e?6=3th?4i4?:383>5}#:l?1>?o4He;7?Mb0j2.9?o4ked9'5`6=9j1b=i<50;9l66g=831vn97>:181>5<7s-8n97<=a:Jg=1=Ol>h0(?=m:egf?!7b83;h7d?k2;29?j44i3:17pl;9483>7<729q/>h;523c8La?33An5<5290;w)Nc1=1Ch:l4$31a>acb3-;n<7?l;h3g6?6=3f88m7>5;|`73f<72<0;6=u+2d795f2<@m3?7Ej8b:&17g51:k4f?6=3`=o6=44icd94?=n:==1<75`25694?=zj=2;6=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl;8583>0<729q/>h;51b68La?33An9:50;9~f1>?290>6=4?{%0f1?7d<2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3`8?;7>5;n070?6=3th?4n4?:483>5}#:l?1=n:4He;7?Mb0j2.9?o4ked9'5`6=92c5;h5g>5<1<75rb5;3>5<2290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?l43?3:17b<;4;29?xd31=0;684?:1y'6`3=9j>0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831b>9950;9l612=831vn978:186>5<7s-8n97?l4:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;h073?6=3f8?87>5;|`7e6<72<0;6=u+2d795f2<@m3?7Ej8b:&17g51:k4f?6=3`=o6=44icd94?=n:==1<75`25694?=zj=k?6=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl;a483>0<729q/>h;51b68La?33An9:50;9~f1g1290>6=4?{%0f1?7d<2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3`8?;7>5;n070?6=3th?m:4?:483>5}#:l?1=n:4He;7?Mb0j2.9?o4ked9'5`6=92c5;h5g>5<1<75rb5c;>5<2290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?l43?3:17b<;4;29?xd3i00;684?:1y'6`3=9j>0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831b>9950;9l612=831vn9on:186>5<7s-8n97?l4:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;h073?6=3f8?87>5;|`4`0<72=0;6=u+2d7967e<@m3?7Ej8b:&2a5<6io1b=i<50;9j5a5=831b=i:50;9l66g=831vn88?:185>5<7s-8n97<=e:Jg=1=Ol>h0(>o50;9~f006290>6=4?{%0f1?45l2Bo595Gd6`8 4c72m1b=i<50;9j5a5=831b=i:50;9j5a3=831d>>o50;9~f005290<6=4?{%0f1?45n2Bo595Gd6`8 4c72l1b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9j5a1=831d>>o50;9~f004290<6=4?{%0f1?45n2Bo595Gd6`8 4c72l1b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9j5a1=831d>>o50;9~f003290=6=4?{%0f1?45m2Bo595Gd6`8 4c728ij7d?k2;29?l7c;3:17d?k4;29?l7c=3:17d?k6;29?j44i3:17pl70283>2<729q/>h;523d8La?33Ane182g2=n9m81<75f1e194?=n9m>1<75f1e794?=n9m<1<75f1e594?=h::k1<75rb92`>5<4290;w)Nc1=1Ch:l4$0g3>475<0Di9m;%3f4?7e12c:h?4?::k2`6<722c:h94?::k2`0<722c:h;4?::k2`2<722e9?l4?::a<44=83<1<7>t$3g6>74b3An286Fk7c9'5`6=k91b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9l66g=831vn5?<:184>5<7s-8n97<=f:Jg=1=Ol>h0(3:17d?k7;29?j44i3:17pl71583>2<729q/>h;523d8La?33Ane18`?l7c:3:17d?k3;29?l7c<3:17d?k5;29?l7c>3:17d?k7;29?j44i3:17pl70983>2<729q/>h;523d8La?33Ane182ga=n9m81<75f1e194?=n9m>1<75f1e794?=n9m<1<75f1e594?=h::k1<75rb92:>5<3290;w)Nc1=1Ch:l4$0g3>4ga3`;o>7>5;h3g7?6=3`;o87>5;n00e?6=3th35}#:l?1>?h4He;7?Mb0j2.:i=489:k2`7<722c:h>4?::k2`1<722c:h84?::k2`3<722c:h:4?::m17d<722wi;kk50;694?6|,;o>6Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3`8?;7>5;n070?6=3th3<=4?:583>5}#:l?1=n=4He;7?Mb0j2.9?o4ked9'5`6=92c5;h`e>5<<6=44o367>5<53;294~"5m<09>o5Gd868La1e3-;n<7?ne:&104>o50;9~f=02290?6=4?{%0f1?7d;2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::k102<722e9894?::a<30=8391<7>t$3g6>74e3An286Fk7c9'5`6=9ho0e50z&1a0<6k:1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9j611=831d>9:50;9~f2c129086=4?{%0f1?45j2Bo595Gd6`8 4c728kn7d?k2;29?l7c;3:17b<"6m90:o<5f1e094?=n9m91<75f1e694?=n9m?1<75f1e494?=h::k1<75rb932>5<2290;w)Nc1=1Ch:l4$0g3>4e13`;o>7>5;h3g7?6=3`;o87>5;h3g1?6=3f88m7>5;|`;4c<72>0;6=u+2d7967`<@m3?7Ej8b:&2a5<6kj1b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9j5a1=831d>>o50;9~fgb6290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~ff?>29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn77:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff?029086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn79:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff?229086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn7;:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff?429086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn7=:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff?729086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn6i:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff>b29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn6k:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff>d29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn6m:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff>f29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn66:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff>?29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn68:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff>229086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn6;:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff>429086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn6=:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff>629086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn6?:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff1a29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn9j:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff1c29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn9l:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff`>29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnh7:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff`029086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnh9:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff`229086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnh;:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff`429086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnh=:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff`629086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnh?:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffcb29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnkk:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffcd29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnkm:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffcf29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnk6:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffc?29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnk8:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffc129086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnk::180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffc429086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnk=:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffc629086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnk?:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffba29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnjj:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffbc29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnjl:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffbe29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnjn:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffb?29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnj8:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffb129086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnj::180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffb329086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnj<:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffb529086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnj>:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffb729086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnmi:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffec29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnml:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffee29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnmn:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffe>29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnm7:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffe029086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnm9:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffe229086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnm;:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffe529086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnm>:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffe729086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnli:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffdb29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnlk:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffdd29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnlm:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffdf29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnl6:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffd029086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnl9:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffd229086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnl;:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffd429086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnl=:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffd629086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnl?:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffga29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnoj:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffgd29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnom:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffgf29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnno6:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffg?29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnno8:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffg129086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnno::180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffg329086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnno<:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffg629086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnno?:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff?a29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn7j:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff?c29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn7l:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff?e29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn7n:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff?629086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn69:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff`f29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnki:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffc329086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnj6:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffeb29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnm<:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffd?29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnok:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffg529086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn9m:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~f<6a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<51290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<26290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<2d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<30290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<05290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<0c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<1?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>4290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<7f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<42290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<4b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<4a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<57290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<56290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<55290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<54290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<53290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<52290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<50290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<5?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<5>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<5f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<5e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<5d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<5c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<5b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<5a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<27290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<25290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<24290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<23290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<22290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<21290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<20290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<2?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<2>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<2f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<2e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<2c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<2b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<2a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<37290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<36290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<35290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<34290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<33290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<32290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<31290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<3?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<3>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<3f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<3e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<3d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<3c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<3b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<3a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<07290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<06290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<04290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<03290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<02290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<01290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<00290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<0?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<0>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<0f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<0e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<0d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<0b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<0a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<17290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<16290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<15290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<14290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<13290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<12290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<11290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<10290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<1>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<1f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<1e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<1d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<1c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<1b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<1a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>7290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>6290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>5290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>3290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>2290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>1290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>0290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<77290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<76290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<75290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<74290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<73290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<72290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<71290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<70290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<7?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<7>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<7e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<7d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<7c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<7b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<7a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<47290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<46290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<45290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<44290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<43290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<41290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<40290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<4?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<4>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<4f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<4e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<4d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<4c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=>a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d1290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e6290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ed290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b0290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c5290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=cc290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<64290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<6b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g2290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=gb290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ga290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d7290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d6290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d5290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d4290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d3290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d2290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d0290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=df290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=de290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=dd290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=dc290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=db290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=da290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e7290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e5290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e4290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e3290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e2290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e1290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e0290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ef290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ee290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ec290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=eb290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ea290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b7290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b6290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b5290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b4290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b3290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b2290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b1290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=bf290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=be290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=bd290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=bc290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=bb290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ba290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c7290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c6290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c4290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c3290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c2290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c1290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c0290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=cf290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ce290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=cd290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=cb290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ca290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`7290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`6290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`5290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`4290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`3290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`2290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`1290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`0290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<67290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<66290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<65290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<63290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<62290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<61290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<60290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<6?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<6>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<6f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<6e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<6d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<6c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?7290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?6290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?5290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?4290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?3290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?2290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?1290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?0290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=??290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g7290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g6290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g5290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g4290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g3290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g1290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g0290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=gf290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ge290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=gd290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=gc290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f2`7290?6=4?{%0f1?7em2Bo595Gd6`8 4c7281/>>l5ddg8m2d=831b5;4?::kab?6=3f8?87>5;|`4b4<72=0;6=u+2d795gc<@m3?7Ej8b:&2a5<63-88n7jje:k4f?6=3`3=6=44icd94?=h:=>1<75rb6g:>5<3290;w)Nc1=1Ch:l4$0g3>47<,;9i6ikj;h5a>5<1<75rb6g;>5<3290;w)Nc1=1Ch:l4$0g3>4=#::h1hhk4i6`94?=n1?0;66gmf;29?j43<3:17pl71c83>1<729q/>h;51cg8La?33Ane182?!44j3nni6g8b;29?l?12900eoh50;9l612=831vn:ok:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~f2g6290?6=4?{%0f1?7em2Bo595Gd6`8 4c7281/>>l5ddg8m2d=831b5;4?::kab?6=3f8?87>5;|`4e5<72=0;6=u+2d795gc<@m3?7Ej8b:&2a5<63-88n7jje:k4f?6=3`3=6=44icd94?=h:=>1<75rb6:f>5<3290;w)Nc1=1Ch:l4$0g3>4=#::h1hhk4i6`94?=n1?0;66gmf;29?j43<3:17pl88e83>1<729q/>h;51cg8La?33Ane182?!44j3nni6g8b;29?l?12900eoh50;9l612=831vn5>n:184>5<7s-8n97<=f:Jg=1=Ol>h0(2<729q/>h;523d8La?33Ane182gg=n9m81<75f1e194?=n9m>1<75f1e794?=n9m<1<75f1e594?=h::k1<75rb924>5<1290;w)Nc1=1Ch:l4$0g3>4e63`;o>7>5;h3g7?6=3`;o87>5;h3g1?6=3`;o:7>5;n00e?6=3th?o=4?:7g94?6|,;o>6?:6;If:0>Nc?k1Q;l4l{06950<5:38868;3a>xhd9330bn<59:l22d<73g;2h7>4$0`5>4de3-;i;7?mb:&2`=<13-;o5784$0fb>3=#9mh1:6*>e085?!7b:3<0(2.:i849;%3f2?0<,8o<6;5+1d:92>"6m00=7)?ja;48 4ce2?1/=hm56:&2aa<13-;ni784$0ge>3=#9o:1:6*>f085?!7a:3<0(2.:j849;%3e2?0<,8l<6;5+1g:92>"6n00=7)?ia;48 4`e2?1/=km56:&2ba<13-;mi784$0de>3=#:9:1:6*=2080?!45:390(?<<:31:?!43i38=?6*=508127=#:<81;i5+24193a=#:<=1h:k4$37e>6=#:?:1?6*k918g5<6=44i36a>5<>o5!4383n396`=3g83?>oc0=0;6)<;0;f;1>h5;o0:76a>6183>!4383;>j6`=3g83?>i6=l0;6)<;0;36b>h5;o0:76a>5e83>!4383;>j6`=3g81?>i6=j0;6)<;0;36b>h5;o0876a>5c83>!4383;>j6`=3g87?>i6=h0;6)<;0;36b>h5;o0>76a>6883>!4383;>j6`=3g85?>i6>10;6)<;0;36b>h5;o0<76a>6683>!4383;>j6`=3g8;?>i6>?0;6)<;0;36b>h5;o0276a>6483>!4383;>j6`=3g8b?>i6>=0;6)<;0;36b>h5;o0i76a>6283>!4383;>j6`=3g8`?>i6>;0;6)<;0;36b>h5;o0o76a>6083>!4383;>j6`=3g8f?>i6=00;6)<;0;36b>h5;o0m76a>a483>!4383;j86`=3g83?>i6i:0;6)<;0;3b0>h5;o0:76a>ae83>!4383;jo6`=3g83?>i6ik0;6)<;0;3bg>h5;o0:76gk8d83>!4383n3h6`=3g83?>oc0j0;6)<;0;f;`>h5;o0:76g:2;29 7272<;0b?=i:198m06=83.98=4:1:l17c<632c?j7>5$363>07=6`=3g80?>o2i3:1(?:?:438j75a2=10e8750;&105<292d9?k4:;:k6;68?4n31e>3=h5;o0<76g:6;29 7272<;0b?=i:998m03=83.98=4:1:l17c<>32c>87>5$363>07=6`=3g8a?>o3m3:1(?:?:438j75a2j10e<=8:18'616=9:<0b?=i:198m452290/>9>51248j75a2810e9>510f8j75a2810e9>510f8j75a2:10e9>510f8j75a2<10e<<8:18'616=98n0b?=i:798m441290/>9>510f8j75a2>10e<<::18'616=98n0b?=i:998m443290/>9>510f8j75a2010e<<<:18'616=98n0b?=i:`98m445290/>9>510f8j75a2k10e<<>:18'616=98n0b?=i:b98m447290/>9>510f8j75a2m10e9>510f8j75a2o10ehm50;&105;6hl4n31e>4=h5;o0976gj8;29 7272lh0b?=i:298m`1=83.98=4jb:l17c<332cn:7>5$363>`doa<3:1(?:?:d`8j75a2>10ek=50;&105;6hl4n31e><=h5;o0j76gi0;29 7272lh0b?=i:c98m``=83.98=4jb:l17c5$363>`dob=3:1(?:?:d`8j75a2o10e;h50;&105<1m2d9?k4?;:k5`?6=,;>;6;k4n31e>4=h5;o0976g88;29 7272?o0b?=i:298m21=83.98=49e:l17c<332c<:7>5$363>3co0<3:1(?:?:7g8j75a2>10e:=50;&105<1m2d9?k47;:k46?6=,;>;6;k4n31e><=;1<7*=4185a>h5;o0j76g80;29 7272?o0b?=i:c98m3d=83.98=49e:l17c4?:%074?7>:2d9?k4?;:m2=4<72-8?<7?62:l17c<632e:5=4?:%074?7>:2d9?k4=;:m2:2d9?k4;;:m2:2d9?k49;:m2=g<72-8?<7?62:l17c<032e:5l4?:%074?7>:2d9?k47;:m2=<<72-8?<7?62:l17c<>32e:554?:%074?7>:2d9?k4n;:m2=2<72-8?<7?62:l17c:2d9?k4l;:m2=0<72-8?<7?62:l17c:2d9?k4j;:m2k4?:%074?75m2d9?k4?;:k26a<72-8?<7?=e:l17c<632wi8ll50;``>5<7s-8n97<;8:Jg=1=Ol>h0V:o5cz35>41=:k09j738>6?952d810?{#::h1hhk4nb3957=ik;0:>6`>6`83?k7>l3:0(d985?!7c13<0(2.:hn49;%3g`?0<,8nn6;5+1ed92>"6m80=7)?j2;48 4c42?1/=h:56:&2a0<13-;n:784$0g4>3=#9l21:6*>e885?!7bi3<0(2.:ii49;%3fa?0<,8om6;5+1g292>"6n80=7)?i2;48 4`42?1/=k:56:&2b0<13-;m:784$0d4>3=#9o21:6*>f885?!7ai3<0(2.:ji49;%3ea?0<,8lm6;5+21292>"5880=7)=:56:&140<13-8;:784$324>3=#:921:6*=0885?!47i3<0(?>m:79'65e=>2.9"5980=7)<>2;48 7742?1/><:56:&150<13-8::784$334>3=#:821:6*=1885?!46i3<0(??m:79'64e=>2.9=i49;%02a?0<,;;m6;5+23292>"5:80>7)<=2;78 7442;927)<:1;056>"5=;0"5=>0o;h5+24:960g<,;?m685+27291>"c190o4k5+d839`=`i6=44i9594?=n9k>1<75f25d94?=n0m0;66g=4b83>>o5b383>>o6:j0;6)<;0;31f>h5;o0;76g>2`83>!4383;9n6`=3g82?>o6:00;6)<;0;31f>h5;o0976g>2983>!4383;9n6`=3g80?>oc0:0;6)<;0;f;6>h5;o0;76gk8083>!4383n3>6`=3g82?>oc090;6)<;0;f;6>h5;o0976gk7g83>!4383n3>6`=3g80?>i6=80;6)<;0;364>h5;o0;76a>4g83>!4383;><6`=3g82?>i6h5;o0976a>4b83>!4383;><6`=3g80?>i6h5;o0?76a>4`83>!4383;><6`=3g86?>i6<00;6)<;0;364>h5;o0=76a>4983>!4383;><6`=3g84?>i6<>0;6)<;0;364>h5;o0376a>4783>!4383;><6`=3g8:?>i6<<0;6)<;0;364>h5;o0j76a>4583>!4383;><6`=3g8a?>i6<;0;6)<;0;364>h5;o0h76a>4083>!4383;><6`=3g8g?>i6<90;6)<;0;364>h5;o0n76a>3g83>!4383;><6`=3g8e?>i6;l0;6)<;0;364>h5;o0:<65`12f94?"5<90:9=5a22d954=;6<;?;o00b?7432e:?l4?:%074?7282d9?k4>4:9l56?=83.98=4>519m66`=9<10c<;7:18'616=9<:0b?=i:048?j72?3:1(?:?:073?k44n3;<76a>5783>!4383;><6`=3g82<>=h95<#:=:1=8>4n31e>4g<3f;>?7>5$363>4373g88j7?m;:m217<72-8?<7?:0:l17c<6k21d=9k50;&105<6=91e>>h51e98k424290/>9>51428j75a28o07b?<8;29 72728?;7c<o3<3:1(?:?:518j75a2910e9<50;&105<3;2d9?k4>;:k75?6=,;>;69=4n31e>7=h5;o0876g;d;29 7272=90b?=i:598m1e=83.98=4;3:l17c<232c?n7>5$363>15o313:1(?:?:518j75a2110e9650;&105<3;2d9?k46;:k73?6=,;>;69=4n31e>d=h5;o0i76g;5;29 7272=90b?=i:b98m6`=83.98=4;3:l17c5$363>36o2m3:1(?:?:728j75a2;10e8j50;&105<182d9?k4<;:k5e?6=,;>;6;>4n31e>1=h5;o0>76g98;29 7272?:0b?=i:798m31=83.98=490:l17c<032c=:7>5$363>36o1<3:1(?:?:728j75a2h10e;=50;&105<182d9?k4m;:k56?6=,;>;6;>4n31e>f=h5;o0o76g>0g83>!4383;;i6`=3g83?>o68m0;6)<;0;33a>h5;o0:76g>0c83>!4383;;i6`=3g81?>o68h0;6)<;0;33a>h5;o0876g>0883>!4383;;i6`=3g87?>o6810;6)<;0;33a>h5;o0>76g>0683>!4383;;i6`=3g85?>o68?0;6)<;0;33a>h5;o0<76g>0483>!4383;;i6`=3g8;?>o68=0;6)<;0;33a>h5;o0276g>0283>!4383;;i6`=3g8b?>o68;0;6)<;0;33a>h5;o0i76g>0183>!4383;;i6`=3g8`?>oan3:1(?:?:02f?k44n3n07dhj:18'616=99o0b?=i:d98mcb=83.98=4>0d9m66`=n21bjn4?:%074?77m2d9?k4>0:9jbg<72-8?<7??e:l17c<6921bjl4?:%074?77m2d9?k4>2:9jb<<72-8?<7??e:l17c<6;21bj54?:%074?77m2d9?k4>4:9jb2<72-8?<7??e:l17c<6=21b=<850;&105<68l1e>>h51798m472290/>9>511g8j75a28=07d?>4;29 72728:n7c<o69:0;6)<;0;33a>h5;o0:565f10094?"5<90:;6<>j;o00b?7d32c:d:9j557=83.98=4>0d9m66`=9l10ek850;&105<68l1e>>h51g98k4g5290/>9>51`38j75a2910c9>51`38j75a2;10c<7j:18'616=9h;0b?=i:298m453290/>9>51218j75a2910e<==:18'616=9:90b?=i:098m456290/>9>51218j75a2;10e<=?:18'616=9:90b?=i:298k4gf290/>9>51`;8j75a2910c9>51`;8j75a2;10c3290/>9>51918j75a2910c<6=:18'616=9190b?=i:098k4>7290/>9>51918j75a2;10c<9i:18'616=9190b?=i:298k41b290/>9>51918j75a2=10c<9k:18'616=9190b?=i:498k41d290/>9>51918j75a2?10c<9m:18'616=9190b?=i:698k41f290/>9>51918j75a2110c<96:18'616=9190b?=i:898k41?290/>9>51918j75a2h10c<98:18'616=9190b?=i:c98k412290/>9>51918j75a2j10c<9;:18'616=9190b?=i:e98k414290/>9>51918j75a2l10c<9=:18'616=9190b?=i:g98k416290/>9>51918j75a28:07b?80;29 72728287c<i6>o0;6)<;0;3;7>h5;o0:>65`17g94?"5<90:4>5a22d956=;6<6<;o00b?7232e:4o4?:%074?7?;2d9?k4>6:9l5=g=83.98=4>829m66`=9>10c<66:18'616=9190b?=i:0:8?j7?03:1(?:?:0:0?k44n3;276a>8683>!4383;3?6`=3g82e>=h91<1<7*=4182<6=i::l1=o54o0:6>5<#:=:1=5=4n31e>4e<3f;3=7>5$363>4>43g88j7?k;:m233<72-8?<7?73:l17c<6m21d=;l50;&105<60:1e>>h51g98ma>e290/>9>5d9c8j75a2910ei66:18'616=l1k0b?=i:098ma>?290/>9>5d9c8j75a2;10ei68:18'616=l1k0b?=i:298mab=83.98=4kc:l17c<732con7>5$363>aeoc03:1(?:?:ea8j75a2:10ei950;&105;6im4n31e>0=h5;o0=76gk4;29 7272mi0b?=i:698ma5=83.98=4kc:l17c7>5$363>aeoc83:1(?:?:ea8j75a2k10enk50;&105;6im4n31e>a=h5;o0n76glb;29 7272mi0b?=i:g98mfg=83.98=4kc:l17c<6821bo44?:%074?bd3g88j7?>;:k`;6im4n31e>44<3`i<6=4+2529`f=i::l1=>54ib494?"5<90oo6`=3g820>=nk<0;6)<;0;f`?k44n3;>76gj4;29 7272mi0b?=i:048?lc4290/>9>5db9m66`=9>10eh<50;&1058:9ja4<72-8?<7jl;o00b?7>32cn<7>5$363>ae5<#:=:1hn5a22d95g=h5;o0:o65fd`83>!4383nh7c<odn3:1(?:?:ea8j75a28o07dm;:18'616=lj1e>>h51g98yg2fm3:1nn4?:1y'6`3=:=20Di7;;If4f>\0i3ip=;4>7;0a>7`=:j08<744"6j?0:no5+1c595gd<,8n36;5+1e;92>"6lh0=7)?kb;48 4bd2?1/=ij56:&2``<13-;oj784$0g2>3=#9l81:6*>e285?!7b<3<0(2.:i:49;%3f"6mk0=7)?jc;48 4cc2?1/=hk56:&2ac<13-;m<784$0d2>3=#9o81:6*>f285?!7a<3<0(2.:j:49;%3e"6nk0=7)?ic;48 4`c2?1/=kk56:&2bc<13-8;<784$322>3=#:981:6*=0285?!47<3<0(?>::79'650=>2.9<:49;%03"58k0=7)=k56:&14c<13-8:<784$332>3=#:881:6*=1285?!46<3<0(??::79'640=>2.9=:49;%02"59k0=7)<>c;48 77c2?1/>0=#:;8196*=22817<=#:<;1>;<4$371>2b<,;?86:j4$374>a1b3-8>47<:a:&11c<23-8=<7;4$e;3>a>a3-n2=7j7f:k10g<722c3;7>5;h3a0?6=3`8?j7>5;h:g>5<h6=44i36f>5<5<#:=:1=?l4n31e>5=5<#:=:1=?l4n31e>7=54ie:0>5<#:=:1h5<4n31e>5=5<#:=:1h5<4n31e>7=54o072>5<#:=:1=8>4n31e>5=m6=4+25295065<#:=:1=8>4n31e>7=h6=4+252950654o06a>5<#:=:1=8>4n31e>1=j6=4+25295065<#:=:1=8>4n31e>3=36=4+25295065<#:=:1=8>4n31e>===6=4+25295065<#:=:1=8>4n31e>d=?6=4+25295065<#:=:1=8>4n31e>f=:6=4+25295065<#:=:1=8>4n31e>`=5<#:=:1=8>4n31e>46<3f;8h7>5$363>4373g88j7?>;:m27f<72-8?<7?:0:l17c<6:21d=>l50;&105<6=91e>>h51298k45f290/>9>51428j75a28>07b?<9;29 72728?;7c<i6=10;6)<;0;364>h5;o0::65`14594?"5<90:9=5a22d952=;6<;?;o00b?7>32e:994?:%074?7282d9?k4>a:9l505=83.98=4>519m66`=9k10c<;=:18'616=9<:0b?=i:0a8?j73m3:1(?:?:073?k44n3;o76a>4283>!4383;><6`=3g82a>=h9:21<7*=418215=i::l1=k54i5694?"5<90??6`=3g83?>o3:3:1(?:?:518j75a2810e9?50;&105<3;2d9?k4=;:k74?6=,;>;69=4n31e>6=h5;o0?76g;c;29 7272=90b?=i:498m1d=83.98=4;3:l17c<132c?m7>5$363>15o303:1(?:?:518j75a2010e9950;&105<3;2d9?k4n;:k72?6=,;>;69=4n31e>g=h5;o0h76gj7>5$363>36o2l3:1(?:?:728j75a2:10e;o50;&105<182d9?k4;;:k5=?6=,;>;6;>4n31e>0=h5;o0=76g97;29 7272?:0b?=i:698m30=83.98=490:l17c5$363>36o1;3:1(?:?:728j75a2k10e;<50;&105<182d9?k4l;:k6g?6=,;>;6;>4n31e>a=5<#:=:1==k4n31e>4=5<#:=:1==k4n31e>6=5<#:=:1==k4n31e>0=5<#:=:1==k4n31e>2=6=4+252955c5<#:=:1==k4n31e><=5<#:=:1==k4n31e>g==nnl0;6)<;0;33a>h5;o0n76gid;29 72728:n7c<9>511g8j75a28:07dhm:18'616=99o0b?=i:038?l`f290/>9>511g8j75a28807dh6:18'616=99o0b?=i:018?l`?290/>9>511g8j75a28>07dh8:18'616=99o0b?=i:078?l76>3:1(?:?:02f?k44n3;=76g>1483>!4383;;i6`=3g823>=n98>1<7*=41824`=i::l1=554i030>5<#:=:1==k4n31e>4?<3`;:>7>5$363>46b3g88j7?n;:k254<72-8?<7??e:l17c<6j21b=<>50;&105<68l1e>>h51b98m46d290/>9>511g8j75a28n07d??1;29 72728:n7c<oa>3:1(?:?:02f?k44n3;m76a>a383>!4383;j=6`=3g83?>i6i90;6)<;0;3b5>h5;o0:76a>9g83>!4383;j=6`=3g81?>i61l0;6)<;0;3b5>h5;o0876g>3583>!4383;8?6`=3g83?>o6;;0;6)<;0;307>h5;o0:76g>3083>!4383;8?6`=3g81?>o6;90;6)<;0;307>h5;o0876a>a`83>!4383;j56`=3g83?>i6i10;6)<;0;3b=>h5;o0:76a>a683>!4383;j56`=3g81?>i6i?0;6)<;0;3b=>h5;o0876a>8583>!4383;3?6`=3g83?>i60;0;6)<;0;3;7>h5;o0:76a>8183>!4383;3?6`=3g81?>i6?o0;6)<;0;3;7>h5;o0876a>7d83>!4383;3?6`=3g87?>i6?m0;6)<;0;3;7>h5;o0>76a>7b83>!4383;3?6`=3g85?>i6?k0;6)<;0;3;7>h5;o0<76a>7`83>!4383;3?6`=3g8;?>i6?00;6)<;0;3;7>h5;o0276a>7983>!4383;3?6`=3g8b?>i6?>0;6)<;0;3;7>h5;o0i76a>7483>!4383;3?6`=3g8`?>i6?=0;6)<;0;3;7>h5;o0o76a>7283>!4383;3?6`=3g8f?>i6?;0;6)<;0;3;7>h5;o0m76a>7083>!4383;3?6`=3g824>=h9>:1<7*=4182<6=i::l1=<54o04e>5<#:=:1=5=4n31e>44<3f;=i7>5$363>4>43g88j7?<;:m22a<72-8?<7?73:l17c<6<21d=;m50;&105<60:1e>>h51498k4>e290/>9>51918j75a28<07b?7a;29 72728287c<i6000;6)<;0;3;7>h5;o0:465`19:94?"5<90:4>5a22d95<=;6<6<;o00b?7e32e:484?:%074?7?;2d9?k4>c:9l5=7=83.98=4>829m66`=9m10c<99:18'616=9190b?=i:0g8?j71j3:1(?:?:0:0?k44n3;m76gk8c83>!4383n3m6`=3g83?>oc000;6)<;0;f;e>h5;o0:76gk8983>!4383n3m6`=3g81?>oc0>0;6)<;0;f;e>h5;o0876gkd;29 7272mi0b?=i:198mad=83.98=4kc:l17c<632co57>5$363>aeoc?3:1(?:?:ea8j75a2=10ei850;&105;6im4n31e>3=1<7*=418gg>h5;o0<76gk3;29 7272mi0b?=i:998ma4=83.98=4kc:l17c<>32co=7>5$363>aeodm3:1(?:?:ea8j75a2j10enj50;&105;6im4n31e>`=h5;o0m76gla;29 7272mi0b?=i:028?le>290/>9>5db9m66`=9810en650;&1052:9jg2<72-8?<7jl;o00b?7432ch:7>5$363>ae5<#:=:1hn5a22d950=1<7*=418gg>h5;o0::65fe283>!4383nh7c<ob:3:1(?:?:ea8j75a28207dk>:18'616=lj1e>>h51898m`6=83.98=4kc:l17c<6i21bhk4?:%074?bd3g88j7?m;:kga?6=,;>;6im4n31e>4e<3`nj6=4+2529`f=i::l1=i54ibd94?"5<90oo6`=3g82a>=nk=0;6)<;0;f`?k44n3;m76sm4c394?dd290;w)Nc1=1Ch:l4Z6c9g~7128=1>o4=f;0`>66=:m09:7<::3596`<5<3w/>>l5ddg8jf7=9;1eo?4>2:l22d<73g;2h7>4$0`5>4de3-;i;7?mb:&2`=<13-;o5784$0fb>3=#9mh1:6*>db85?!7cl3<0(2.:i<49;%3f6?0<,8o86;5+1d692>"6m<0=7)?j6;48 4c02?1/=h656:&2a<<13-;nm784$0ga>3=#9li1:6*>ee85?!7bm3<0(2.:j<49;%3e6?0<,8l86;5+1g692>"6n<0=7)?i6;48 4`02?1/=k656:&2b<<13-;mm784$0da>3=#9oi1:6*>fe85?!7am3<0(2.9<<49;%036?0<,;:86;5+21692>"58<0=7)=656:&14<<13-8;m784$32a>3=#:9i1:6*=0e85?!47m3<0(?>i:79'646=>2.9=<49;%026?0<,;;86;5+20692>"59<0=7)<>6;48 7702?1/><656:&15<<13-8:m784$33a>3=#:8i1:6*=1e85?!46m3<0(??i:79'676=>2.9><4:;%016?3<,;886?=6;%065?41:2.99?48d:&116<0l2.99:4k7d9'60>=:n4?:%074?75j2d9?k4?;:k26d<72-8?<7?=b:l17c<632c:>44?:%074?75j2d9?k4=;:k26=<72-8?<7?=b:l17c<432co4>4?:%074?b?:2d9?k4?;:kg<4<72-8?<7j72:l17c<632co4=4?:%074?b?:2d9?k4=;:kg3c<72-8?<7j72:l17c<432e:9<4?:%074?7282d9?k4?;:m20c<72-8?<7?:0:l17c<632e:8i4?:%074?7282d9?k4=;:m20f<72-8?<7?:0:l17c<432e:8o4?:%074?7282d9?k4;;:m20d<72-8?<7?:0:l17c<232e:844?:%074?7282d9?k49;:m20=<72-8?<7?:0:l17c<032e:8:4?:%074?7282d9?k47;:m203<72-8?<7?:0:l17c<>32e:884?:%074?7282d9?k4n;:m201<72-8?<7?:0:l17c0:9l56b=83.98=4>519m66`=9810c<=l:18'616=9<:0b?=i:008?j74j3:1(?:?:073?k44n3;876a>3`83>!4383;><6`=3g820>=h9:31<7*=418215=i::l1=854o07;>5<#:=:1=8>4n31e>40<3f;>;7>5$363>4373g88j7?8;:m213<72-8?<7?:0:l17c<6021d=8;50;&105<6=91e>>h51898k433290/>9>51428j75a28k07b?:3;29 72728?;7c<i6=;0;6)<;0;364>h5;o0:o65`15g94?"5<90:9=5a22d95a=86=4+2529506;6<;?;o00b?7a32c?87>5$363>15o393:1(?:?:518j75a2;10e9>50;&105<3;2d9?k4<;:k7`?6=,;>;69=4n31e>1=h5;o0>76g;b;29 7272=90b?=i:798m1g=83.98=4;3:l17c<032c?57>5$363>15o3?3:1(?:?:518j75a2h10e9850;&105<3;2d9?k4m;:k71?6=,;>;69=4n31e>f=h5;o0o76g91;29 7272?:0b?=i:198m0`=83.98=490:l17c<632c>i7>5$363>36o1i3:1(?:?:728j75a2=10e;750;&105<182d9?k4:;:k5;6;>4n31e>3=h5;o0<76g96;29 7272?:0b?=i:998m33=83.98=490:l17c<>32c=87>5$363>36o1:3:1(?:?:728j75a2j10e8m50;&105<182d9?k4k;:k24c<72-8?<7??e:l17c<732c:;:k24g<72-8?<7??e:l17c<532c:5$363>46b3g88j7j4;hdf>5<#:=:1==k4n31e>`=!4383;;i6`=3g824>=nnk0;6)<;0;33a>h5;o0:=65ff`83>!4383;;i6`=3g826>=nn00;6)<;0;33a>h5;o0:?65ff983>!4383;;i6`=3g820>=nn>0;6)<;0;33a>h5;o0:965f10494?"5<90:6=4+252955c;6<>j;o00b?7?32c:=>4?:%074?77m2d9?k4>9:9j544=83.98=4>0d9m66`=9h10e:18'616=99o0b?=i:0`8?l7683:1(?:?:02f?k44n3;h76g>0b83>!4383;;i6`=3g82`>=n99;1<7*=41824`=i::l1=h54ig494?"5<90:5<#:=:1=l?4n31e>4=5<#:=:1=l?4n31e>6=5<#:=:1=>=4n31e>4=5<#:=:1=>=4n31e>6=5<#:=:1=l74n31e>4=5<#:=:1=l74n31e>6=5<#:=:1=5=4n31e>4=5<#:=:1=5=4n31e>6=5<#:=:1=5=4n31e>0=5<#:=:1=5=4n31e>2=5<#:=:1=5=4n31e><=5<#:=:1=5=4n31e>g=6=4+25295=55<#:=:1=5=4n31e>a=5<#:=:1=5=4n31e>c=4;n344?6=,;>;6<6<;o00b?7632e::k4?:%074?7?;2d9?k4>2:9l53c=83.98=4>829m66`=9:10c<8k:18'616=9190b?=i:068?j71k3:1(?:?:0:0?k44n3;>76a>8c83>!4383;3?6`=3g822>=h91k1<7*=4182<6=i::l1=:54o0::>5<#:=:1=5=4n31e>4><3f;347>5$363>4>43g88j7?6;:m2<2<72-8?<7?73:l17c<6i21d=5850;&105<60:1e>>h51c98k4>2290/>9>51918j75a28i07b?71;29 72728287c<i6??0;6)<;0;3;7>h5;o0:i65`17`94?"5<90:4>5a22d95c=5<#:=:1h5o4n31e>4=5<#:=:1h5o4n31e>6=h5;o0;76gkb;29 7272mi0b?=i:098ma?=83.98=4kc:l17c<532co47>5$363>ae54ie594?"5<90oo6`=3g87?>oc>3:1(?:?:ea8j75a2<10ei;50;&105;6im4n31e>2=h5;o0376gk2;29 7272mi0b?=i:898ma7=83.98=4kc:l17c5$363>aeodl3:1(?:?:ea8j75a2m10enm50;&105;6im4n31e>c=h5;o0:<65fc883>!4383nh7c<od03:1(?:?:ea8j75a28807dm8:18'616=lj1e>>h51298mf0=83.98=4kc:l17c<6<21bo84?:%074?bd3g88j7?:;:kf0?6=,;>;6im4n31e>40<3`o86=4+2529`f=i::l1=:54id094?"5<90oo6`=3g82<>=nm80;6)<;0;f`?k44n3;276gj0;29 7272mi0b?=i:0c8?lba290/>9>5db9m66`=9k10eik50;&105c:9j`d<72-8?<7jl;o00b?7c32chj7>5$363>ae5<#:=:1hn5a22d95c=i87>5bb83>5}#:l?1>964He;7?Mb0j2Pn4<0;0g>70=:<09;7bc9'5g1=9kh0(2.:hl49;%3gf?0<,8nh6;5+1ef92>"6ll0=7)?kf;48 4c62?1/=h<56:&2a6<13-;n8784$0g6>3=#9l<1:6*>e685?!7b03<0(2.:io49;%3fg?0<,8oo6;5+1dg92>"6mo0=7)?i0;48 4`62?1/=k<56:&2b6<13-;m8784$0d6>3=#9o<1:6*>f685?!7a03<0(2.:jo49;%3eg?0<,8lo6;5+1gg92>"6no0=7)=<56:&146<13-8;8784$326>3=#:9<1:6*=0685?!4703<0(?>6:79'65g=>2.9"58o0=7)<>0;48 7762?1/><<56:&156<13-8:8784$336>3=#:8<1:6*=1685?!4603<0(??6:79'64g=>2.9=o49;%02g?0<,;;o6;5+20g92>"59o0=7)<=0;48 7462<1/>?<55:&166<5;01/>8?52708 7352>n0(?;<:6f8 7302m=n7)<:8;06e>"5=o0>7)<90;78 a?72m2m7)j61;f;b>o5c2900e?:l:188m72b2900e9>513`8j75a2910e<290/>9>513`8j75a2;10e<<7:18'616=9;h0b?=i:298ma>4290/>9>5d908j75a2910ei6>:18'616=l180b?=i:098ma>7290/>9>5d908j75a2;10ei9i:18'616=l180b?=i:298k436290/>9>51428j75a2910c<:i:18'616=9<:0b?=i:098k42c290/>9>51428j75a2;10c<:l:18'616=9<:0b?=i:298k42e290/>9>51428j75a2=10c<:n:18'616=9<:0b?=i:498k42>290/>9>51428j75a2?10c<:7:18'616=9<:0b?=i:698k420290/>9>51428j75a2110c<:9:18'616=9<:0b?=i:898k422290/>9>51428j75a2h10c<:;:18'616=9<:0b?=i:c98k425290/>9>51428j75a2j10c<:>:18'616=9<:0b?=i:e98k427290/>9>51428j75a2l10c<=i:18'616=9<:0b?=i:g98k45b290/>9>51428j75a28:07b?i6;j0;6)<;0;364>h5;o0:>65`12`94?"5<90:9=5a22d956=;6<;?;o00b?7232e:954?:%074?7282d9?k4>6:9l501=83.98=4>519m66`=9>10c<;9:18'616=9<:0b?=i:0:8?j72=3:1(?:?:073?k44n3;276a>5583>!4383;><6`=3g82e>=h9<91<7*=418215=i::l1=o54o071>5<#:=:1=8>4n31e>4e<3f;?i7>5$363>4373g88j7?k;:m206<72-8?<7?:0:l17c<6m21d=>650;&105<6=91e>>h51g98m12=83.98=4;3:l17c<732c?>7>5$363>15o383:1(?:?:518j75a2:10e9j50;&105<3;2d9?k4;;:k7g?6=,;>;69=4n31e>0=h5;o0=76g;a;29 7272=90b?=i:698m1?=83.98=4;3:l17c5$363>15o3>3:1(?:?:518j75a2k10e9;50;&105<3;2d9?k4l;:k0b?6=,;>;69=4n31e>a=h5;o0;76g:f;29 7272?:0b?=i:098m0c=83.98=490:l17c<532c>h7>5$363>3654i7c94?"5<90=<6`=3g87?>o113:1(?:?:728j75a2<10e;650;&105<182d9?k49;:k53?6=,;>;6;>4n31e>2=h5;o0376g95;29 7272?:0b?=i:898m32=83.98=490:l17c5$363>36o2k3:1(?:?:728j75a2m10e<>i:18'616=99o0b?=i:198m46c290/>9>511g8j75a2810e<>m:18'616=99o0b?=i:398m46f290/>9>511g8j75a2:10e<>6:18'616=99o0b?=i:598m46?290/>9>511g8j75a2<10e<>8:18'616=99o0b?=i:798m461290/>9>511g8j75a2>10e<>::18'616=99o0b?=i:998m463290/>9>511g8j75a2010e<><:18'616=99o0b?=i:`98m465290/>9>511g8j75a2k10e<>?:18'616=99o0b?=i:b98mc`=83.98=4>0d9m66`=l21bjh4?:%074?77m2d9?k4j;:ke`?6=,;>;6<>j;o00b?`<3`lh6=4+252955c4;hda>5<#:=:1==k4n31e>47<3`lj6=4+252955c5<#:=:1==k4n31e>45<3`l36=4+252955c5<#:=:1==k4n31e>43<3`;::7>5$363>46b3g88j7?9;:k250<72-8?<7??e:l17c<6?21b=<:50;&105<68l1e>>h51998m474290/>9>511g8j75a28307d?>2;29 72728:n7c<o6980;6)<;0;33a>h5;o0:n65f10294?"5<90:;6<>j;o00b?7b32cm:7>5$363>46b3g88j7?i;:m2e7<72-8?<7?n1:l17c<732e:m=4?:%074?7f92d9?k4>;:m2=c<72-8?<7?n1:l17c<532e:5h4?:%074?7f92d9?k4<;:k271<72-8?<7?<3:l17c<732c:??4?:%074?74;2d9?k4>;:k274<72-8?<7?<3:l17c<532c:?=4?:%074?74;2d9?k4<;:m2ed<72-8?<7?n9:l17c<732e:m54?:%074?7f12d9?k4>;:m2e2<72-8?<7?n9:l17c<532e:m;4?:%074?7f12d9?k4<;:m2<1<72-8?<7?73:l17c<732e:4?4?:%074?7?;2d9?k4>;:m2<5<72-8?<7?73:l17c<532e:;k4?:%074?7?;2d9?k4<;:m23`<72-8?<7?73:l17c<332e:;i4?:%074?7?;2d9?k4:;:m23f<72-8?<7?73:l17c<132e:;o4?:%074?7?;2d9?k48;:m23d<72-8?<7?73:l17c50;&105<60:1e>>h51098k40a290/>9>51918j75a28807b?9e;29 72728287c<i6>m0;6)<;0;3;7>h5;o0:865`17a94?"5<90:4>5a22d950=;6<6<;o00b?7032e:444?:%074?7?;2d9?k4>8:9l5=>=83.98=4>829m66`=9010c<68:18'616=9190b?=i:0c8?j7?>3:1(?:?:0:0?k44n3;i76a>8483>!4383;3?6`=3g82g>=h91;1<7*=4182<6=i::l1=i54o055>5<#:=:1=5=4n31e>4c<3f;=n7>5$363>4>43g88j7?i;:kg;:kg<=<72-8?<7j7a:l17c<532co4:4?:%074?b?i2d9?k4<;:kg`?6=,;>;6im4n31e>5=h5;o0:76gk9;29 7272mi0b?=i:398ma>=83.98=4kc:l17c<432co;7>5$363>aeoc=3:1(?:?:ea8j75a2?10ei:50;&105;6im4n31e>==h5;o0276gk1;29 7272mi0b?=i:`98ma6=83.98=4kc:l17c5$363>aeodk3:1(?:?:ea8j75a2l10enl50;&105;6im4n31e>46<3`i26=4+2529`f=i::l1=<54ib:94?"5<90oo6`=3g826>=nk>0;6)<;0;f`?k44n3;876gl6;29 7272mi0b?=i:068?le2290/>9>5db9m66`=9<10eh:50;&1056:9ja6<72-8?<7jl;o00b?7032cn>7>5$363>ae5<#:=:1hn5a22d95<=h5;o0:m65fdg83>!4383nh7c<ocm3:1(?:?:ea8j75a28i07djn:18'616=lj1e>>h51e98mf`=83.98=4kc:l17c<6m21bo94?:%074?bd3g88j7?i;:a0g1=83hh6=4?{%0f1?4302Bo595Gd6`8^2g=kr;=6<952c81b?4d2::1>i4=6;06>71=:l0987s+22`9``ch6>h0;7c?6d;28 4d128hi7)?m7;3af>"6l10=7)?k9;48 4bf2?1/=il56:&2`f<13-;oh784$0ff>3=#9ml1:6*>e085?!7b:3<0(2.:i849;%3f2?0<,8o<6;5+1d:92>"6m00=7)?ja;48 4ce2?1/=hm56:&2aa<13-;ni784$0ge>3=#9o:1:6*>f085?!7a:3<0(2.:j849;%3e2?0<,8l<6;5+1g:92>"6n00=7)?ia;48 4`e2?1/=km56:&2ba<13-;mi784$0de>3=#:9:1:6*=0085?!47:3<0(?><:79'652=>2.9<849;%032?0<,;:<6;5+21:92>"5800=7)=m56:&14a<13-8;i784$32e>3=#:8:1:6*=1085?!46:3<0(??<:79'642=>2.9=849;%022?0<,;;<6;5+20:92>"5900=7)<>a;48 77e2?1/>3=#:;:1:6*=2086?!45:3?0(?<<:31:?!42938=>6*=5384`>"5=:08o4$37e>0=#:?:196*k918g5<>o5>o6j;0;66g>2b83>!4383;9n6`=3g83?>o6:h0;6)<;0;31f>h5;o0:76g>2883>!4383;9n6`=3g81?>o6:10;6)<;0;31f>h5;o0876gk8283>!4383n3>6`=3g83?>oc080;6)<;0;f;6>h5;o0:76gk8183>!4383n3>6`=3g81?>oc?o0;6)<;0;f;6>h5;o0876a>5083>!4383;><6`=3g83?>i6h5;o0:76a>4e83>!4383;><6`=3g81?>i6h5;o0876a>4c83>!4383;><6`=3g87?>i6h5;o0>76a>4883>!4383;><6`=3g85?>i6<10;6)<;0;364>h5;o0<76a>4683>!4383;><6`=3g8;?>i6h5;o0276a>4483>!4383;><6`=3g8b?>i6<=0;6)<;0;364>h5;o0i76a>4383>!4383;><6`=3g8`?>i6<80;6)<;0;364>h5;o0o76a>4183>!4383;><6`=3g8f?>i6;o0;6)<;0;364>h5;o0m76a>3d83>!4383;><6`=3g824>=h9:n1<7*=418215=i::l1=<54o01`>5<#:=:1=8>4n31e>44<3f;8n7>5$363>4373g88j7?<;:m27d<72-8?<7?:0:l17c<6<21d=>750;&105<6=91e>>h51498k43?290/>9>51428j75a28<07b?:7;29 72728?;7c<i6=?0;6)<;0;364>h5;o0:465`14794?"5<90:9=5a22d95<=;6<;?;o00b?7e32e:9?4?:%074?7282d9?k4>c:9l51c=83.98=4>519m66`=9m10c<:<:18'616=9<:0b?=i:0g8?j7403:1(?:?:073?k44n3;m76g;4;29 7272=90b?=i:198m14=83.98=4;3:l17c<632c?=7>5$363>15o3l3:1(?:?:518j75a2=10e9m50;&105<3;2d9?k4:;:k7f?6=,;>;69=4n31e>3=h5;o0<76g;9;29 7272=90b?=i:998m1>=83.98=4;3:l17c<>32c?;7>5$363>15o3=3:1(?:?:518j75a2j10e>h50;&105<3;2d9?k4k;:k55?6=,;>;6;>4n31e>5=h5;o0:76g:e;29 7272?:0b?=i:398m0b=83.98=490:l17c<432c=m7>5$363>36o103:1(?:?:728j75a2?10e;950;&105<182d9?k48;:k52?6=,;>;6;>4n31e>==h5;o0276g94;29 7272?:0b?=i:`98m35=83.98=490:l17c7>5$363>36o68o0;6)<;0;33a>h5;o0;76g>0e83>!4383;;i6`=3g82?>o68k0;6)<;0;33a>h5;o0976g>0`83>!4383;;i6`=3g80?>o6800;6)<;0;33a>h5;o0?76g>0983>!4383;;i6`=3g86?>o68>0;6)<;0;33a>h5;o0=76g>0783>!4383;;i6`=3g84?>o68<0;6)<;0;33a>h5;o0376g>0583>!4383;;i6`=3g8:?>o68:0;6)<;0;33a>h5;o0j76g>0383>!4383;;i6`=3g8a?>o6890;6)<;0;33a>h5;o0h76gif;29 72728:n7c<9>511g8j75a2l10ekj50;&105<68l1e>>h5f:9jbf<72-8?<7??e:l17c<6821bjo4?:%074?77m2d9?k4>1:9jbd<72-8?<7??e:l17c<6:21bj44?:%074?77m2d9?k4>3:9jb=<72-8?<7??e:l17c<6<21bj:4?:%074?77m2d9?k4>5:9j540=83.98=4>0d9m66`=9?10e1283>!4383;;i6`=3g82=>=n9881<7*=41824`=i::l1=l54i032>5<#:=:1==k4n31e>4d<3`;:<7>5$363>46b3g88j7?l;:k24f<72-8?<7??e:l17c<6l21b==?50;&105<68l1e>>h51d98mc0=83.98=4>0d9m66`=9o10c9>51`38j75a2810c<7i:18'616=9h;0b?=i:398k4?b290/>9>51`38j75a2:10e<=;:18'616=9:90b?=i:198m455290/>9>51218j75a2810e<=>:18'616=9:90b?=i:398m457290/>9>51218j75a2:10c9>51`;8j75a2810c9>51`;8j75a2:10c<6;:18'616=9190b?=i:198k4>5290/>9>51918j75a2810c<6?:18'616=9190b?=i:398k41a290/>9>51918j75a2:10c<9j:18'616=9190b?=i:598k41c290/>9>51918j75a2<10c<9l:18'616=9190b?=i:798k41e290/>9>51918j75a2>10c<9n:18'616=9190b?=i:998k41>290/>9>51918j75a2010c<97:18'616=9190b?=i:`98k410290/>9>51918j75a2k10c<9::18'616=9190b?=i:b98k413290/>9>51918j75a2m10c<9<:18'616=9190b?=i:d98k415290/>9>51918j75a2o10c<9>:18'616=9190b?=i:028?j7083:1(?:?:0:0?k44n3;:76a>6g83>!4383;3?6`=3g826>=h9?o1<7*=4182<6=i::l1=>54o04g>5<#:=:1=5=4n31e>42<3f;=o7>5$363>4>43g88j7?:;:m221d=5o50;&105<60:1e>>h51698k4>>290/>9>51918j75a28207b?78;29 72728287c<i60>0;6)<;0;3;7>h5;o0:m65`19494?"5<90:4>5a22d95g=6=4+25295=5;6<6<;o00b?7c32e:;;4?:%074?7?;2d9?k4>e:9l53d=83.98=4>829m66`=9o10ei6m:18'616=l1k0b?=i:198ma>>290/>9>5d9c8j75a2810ei67:18'616=l1k0b?=i:398ma>0290/>9>5d9c8j75a2:10eij50;&105;6im4n31e>4=h5;o0976gk8;29 7272mi0b?=i:298ma1=83.98=4kc:l17c<332co:7>5$363>aeoc<3:1(?:?:ea8j75a2>10ei=50;&105;6im4n31e><=h5;o0j76gk0;29 7272mi0b?=i:c98mfc=83.98=4kc:l17c5$363>aeodj3:1(?:?:ea8j75a2o10eno50;&1050:9jg<<72-8?<7jl;o00b?7632ch47>5$363>ae5<#:=:1hn5a22d956=h5;o0:865fc483>!4383nh7c<ob<3:1(?:?:ea8j75a28<07dk<:18'616=lj1e>>h51698m`4=83.98=4kc:l17c<6021bi<4?:%074?bd3g88j7?6;:kf4?6=,;>;6im4n31e>4g<3`nm6=4+2529`f=i::l1=o54ieg94?"5<90oo6`=3g82g>=nlh0;6)<;0;f`?k44n3;o76glf;29 7272mi0b?=i:0g8?le3290/>9>5db9m66`=9o10qo:ma;29ff<729q/>h;525:8La?33An84=7;0f>72=u-88n7jje:l`5?753gi96<<4n04b>5=i90n1<6*>b782fg=#9k=1=ol4$0f;>3=#9m31:6*>d`85?!7cj3<0(2.:hh49;%3gb?0<,8o:6;5+1d092>"6m:0=7)?j4;48 4c22?1/=h856:&2a2<13-;n4784$0g:>3=#9lk1:6*>ec85?!7bk3<0(2.:ik49;%3e4?0<,8l:6;5+1g092>"6n:0=7)?i4;48 4`22?1/=k856:&2b2<13-;m4784$0d:>3=#9ok1:6*>fc85?!7ak3<0(2.:jk49;%034?0<,;::6;5+21092>"58:0=7)=856:&142<13-8;4784$32:>3=#:9k1:6*=0c85?!47k3<0(?>k:79'65c=>2.9"59:0=7)<>4;48 7722?1/><856:&152<13-8:4784$33:>3=#:8k1:6*=1c85?!46k3<0(??k:79'64c=>2.9=k49;%014?0<,;8:685+23091>"5::09?45+2439634<,;?96:j4$370>2b<,;?<6i9j;%065;h07b?6=3`2o6=44i36`>5<n6=44i0`1>5<5<#:=:1=?l4n31e>4=5<#:=:1=?l4n31e>6=5<#:=:1h5<4n31e>4=5<#:=:1h5<4n31e>6=5<#:=:1=8>4n31e>4=o6=4+25295065<#:=:1=8>4n31e>6=i6=4+25295065<#:=:1=8>4n31e>0=26=4+25295065<#:=:1=8>4n31e>2=<6=4+25295065<#:=:1=8>4n31e><=>6=4+25295065<#:=:1=8>4n31e>g=96=4+25295065<#:=:1=8>4n31e>a=;6=4+25295065<#:=:1=8>4n31e>c=4;n30`?6=,;>;6<;?;o00b?7632e:?n4?:%074?7282d9?k4>2:9l56d=83.98=4>519m66`=9:10c<=n:18'616=9<:0b?=i:068?j7413:1(?:?:073?k44n3;>76a>5983>!4383;><6`=3g822>=h9<=1<7*=418215=i::l1=:54o075>5<#:=:1=8>4n31e>4><3f;>97>5$363>4373g88j7?6;:m211<72-8?<7?:0:l17c<6i21d=8=50;&105<6=91e>>h51c98k435290/>9>51428j75a28i07b?;e;29 72728?;7c<i6<:0;6)<;0;364>h5;o0:i65`12:94?"5<90:9=5a22d95c=1<7*=41877>h5;o0;76g;2;29 7272=90b?=i:098m17=83.98=4;3:l17c<532c?<7>5$363>1554i5f94?"5<90??6`=3g87?>o3k3:1(?:?:518j75a2<10e9l50;&105<3;2d9?k49;:k7e?6=,;>;69=4n31e>2=h5;o0376g;8;29 7272=90b?=i:898m11=83.98=4;3:l17c5$363>15o4n3:1(?:?:518j75a2m10e;?50;&105<182d9?k4?;:k6b?6=,;>;6;>4n31e>4=h5;o0976g:d;29 7272?:0b?=i:298m3g=83.98=490:l17c<332c=57>5$363>36o1?3:1(?:?:728j75a2>10e;850;&105<182d9?k47;:k51?6=,;>;6;>4n31e><=1<7*=41854>h5;o0j76g93;29 7272?:0b?=i:c98m34=83.98=490:l17co7>5$363>365<#:=:1==k4n31e>5=5<#:=:1==k4n31e>7=54i02:>5<#:=:1==k4n31e>1=5<#:=:1==k4n31e>3=5<#:=:1==k4n31e>==5<#:=:1==k4n31e>d=5<#:=:1==k4n31e>f=!4383;;i6`=3g8f?>oal3:1(?:?:02f?k44n3l07dhl:18'616=99o0b?=i:028?l`e290/>9>511g8j75a28;07dhn:18'616=99o0b?=i:008?l`>290/>9>511g8j75a28907dh7:18'616=99o0b?=i:068?l`0290/>9>511g8j75a28?07d?>6;29 72728:n7c<o69<0;6)<;0;33a>h5;o0:;65f10694?"5<90:;6<>j;o00b?7f32c:=<4?:%074?77m2d9?k4>b:9j546=83.98=4>0d9m66`=9j10e<>l:18'616=99o0b?=i:0f8?l7793:1(?:?:02f?k44n3;n76gi6;29 72728:n7c<i6i;0;6)<;0;3b5>h5;o0;76a>a183>!4383;j=6`=3g82?>i61o0;6)<;0;3b5>h5;o0976a>9d83>!4383;j=6`=3g80?>o6;=0;6)<;0;307>h5;o0;76g>3383>!4383;8?6`=3g82?>o6;80;6)<;0;307>h5;o0976g>3183>!4383;8?6`=3g80?>i6ih0;6)<;0;3b=>h5;o0;76a>a983>!4383;j56`=3g82?>i6i>0;6)<;0;3b=>h5;o0976a>a783>!4383;j56`=3g80?>i60=0;6)<;0;3;7>h5;o0;76a>8383>!4383;3?6`=3g82?>i6090;6)<;0;3;7>h5;o0976a>7g83>!4383;3?6`=3g80?>i6?l0;6)<;0;3;7>h5;o0?76a>7e83>!4383;3?6`=3g86?>i6?j0;6)<;0;3;7>h5;o0=76a>7c83>!4383;3?6`=3g84?>i6?h0;6)<;0;3;7>h5;o0376a>7883>!4383;3?6`=3g8:?>i6?10;6)<;0;3;7>h5;o0j76a>7683>!4383;3?6`=3g8a?>i6?<0;6)<;0;3;7>h5;o0h76a>7583>!4383;3?6`=3g8g?>i6?:0;6)<;0;3;7>h5;o0n76a>7383>!4383;3?6`=3g8e?>i6?80;6)<;0;3;7>h5;o0:<65`16294?"5<90:4>5a22d954=;6<6<;o00b?7432e::i4?:%074?7?;2d9?k4>4:9l53e=83.98=4>829m66`=9<10c<6m:18'616=9190b?=i:048?j7?i3:1(?:?:0:0?k44n3;<76a>8883>!4383;3?6`=3g82<>=h9121<7*=4182<6=i::l1=454o0:4>5<#:=:1=5=4n31e>4g<3f;3:7>5$363>4>43g88j7?m;:m2<0<72-8?<7?73:l17c<6k21d=5?50;&105<60:1e>>h51e98k411290/>9>51918j75a28o07b?9b;29 72728287c<oc0k0;6)<;0;f;e>h5;o0;76gk8883>!4383n3m6`=3g82?>oc010;6)<;0;f;e>h5;o0976gk8683>!4383n3m6`=3g80?>ocl3:1(?:?:ea8j75a2910eil50;&105;:kg=?6=,;>;6im4n31e>7=h5;o0876gk7;29 7272mi0b?=i:598ma0=83.98=4kc:l17c<232co97>5$363>aeoc;3:1(?:?:ea8j75a2110ei<50;&105;6im4n31e>d=h5;o0i76gle;29 7272mi0b?=i:b98mfb=83.98=4kc:l17c5$363>aeodi3:1(?:?:ea8j75a28:07dm6:18'616=lj1e>>h51098mf>=83.98=4kc:l17c<6:21bo:4?:%074?bd3g88j7?<;:k`2?6=,;>;6im4n31e>42<3`i>6=4+2529`f=i::l1=854id694?"5<90oo6`=3g822>=nm:0;6)<;0;f`?k44n3;<76gj2;29 7272mi0b?=i:0:8?lc6290/>9>5db9m66`=9010eh>50;&105a:9j`c<72-8?<7jl;o00b?7e32coi7>5$363>ae5<#:=:1hn5a22d95a=h5;o0:i65fc583>!4383nh7c<{ek1ov?9:0596g<5n38h6>>52e812?422;=1>h4=4;'66d=llo0bn?5139mg7<6:2d::l4?;o3:`?6<,8h=6"6lj0=7)?kd;48 4bb2?1/=ih56:&2a4<13-;n>784$0g0>3=#9l>1:6*>e485?!7b>3<0(=>2.:i449;%3fe?0<,8oi6;5+1da92>"6mm0=7)?je;48 4ca2?1/=k>56:&2b4<13-;m>784$0d0>3=#9o>1:6*>f485?!7a>3<0(=>2.:j449;%3ee?0<,8li6;5+1ga92>"6nm0=7)?ie;48 4`a2?1/>=>56:&144<13-8;>784$320>3=#:9>1:6*=0485?!47>3<0(?>8:79'65>=>2.9<449;%03e?0<,;:i6;5+21a92>"58m0=7)<>56:&154<13-8:>784$330>3=#:8>1:6*=1485?!46>3<0(??8:79'64>=>2.9=449;%02e?0<,;;i6;5+20a92>"59m0=7)<>e;48 77a2?1/>?>56:&164<23-89>7;4$300>75>3-8>=7<92:&117<0l2.99>48d:&11286524c8 73a2<1/>;>55:&g=59h50;9jl4?:%074?75j2d9?k4>;:k26<<72-8?<7?=b:l17c<532c:>54?:%074?75j2d9?k4<;:kg<6<72-8?<7j72:l17c<732co4<4?:%074?b?:2d9?k4>;:kg<5<72-8?<7j72:l17c<532co;k4?:%074?b?:2d9?k4<;:m214<72-8?<7?:0:l17c<732e:8k4?:%074?7282d9?k4>;:m20a<72-8?<7?:0:l17c<532e:8n4?:%074?7282d9?k4<;:m20g<72-8?<7?:0:l17c<332e:8l4?:%074?7282d9?k4:;:m20<<72-8?<7?:0:l17c<132e:854?:%074?7282d9?k48;:m202<72-8?<7?:0:l17cj50;&105<6=91e>>h51098k45d290/>9>51428j75a28807b?i6;h0;6)<;0;364>h5;o0:865`12;94?"5<90:9=5a22d950=;6<;?;o00b?7032e:9;4?:%074?7282d9?k4>8:9l503=83.98=4>519m66`=9010c<;;:18'616=9<:0b?=i:0c8?j72;3:1(?:?:073?k44n3;i76a>5383>!4383;><6`=3g82g>=h9=o1<7*=418215=i::l1=i54o060>5<#:=:1=8>4n31e>4c<3f;847>5$363>4373g88j7?i;:k70?6=,;>;69=4n31e>5=h5;o0:76g;1;29 7272=90b?=i:398m16=83.98=4;3:l17c<432c?h7>5$363>15o3j3:1(?:?:518j75a2?10e9o50;&105<3;2d9?k48;:k7=?6=,;>;69=4n31e>==h5;o0276g;7;29 7272=90b?=i:`98m10=83.98=4;3:l17c5$363>15o193:1(?:?:728j75a2910e8h50;&105<182d9?k4>;:k6a?6=,;>;6;>4n31e>7=h5;o0876g9a;29 7272?:0b?=i:598m3?=83.98=490:l17c<232c=47>5$363>36o1>3:1(?:?:728j75a2110e;;50;&105<182d9?k46;:k50?6=,;>;6;>4n31e>d=h5;o0i76g92;29 7272?:0b?=i:b98m0e=83.98=490:l17c32c:<>4?:%074?77m2d9?k4n;:k247<72-8?<7??e:l17c;6<>j;o00b?b<3`ln6=4+252955c=nnj0;6)<;0;33a>h5;o0:<65ffc83>!4383;;i6`=3g825>=nnh0;6)<;0;33a>h5;o0:>65ff883>!4383;;i6`=3g827>=nn10;6)<;0;33a>h5;o0:865ff683>!4383;;i6`=3g821>=n98<1<7*=41824`=i::l1=;54i036>5<#:=:1==k4n31e>41<3`;:87>5$363>46b3g88j7?7;:k256<72-8?<7??e:l17c<6121b=<<50;&105<68l1e>>h51`98m476290/>9>511g8j75a28h07d?>0;29 72728:n7c<o68j0;6)<;0;33a>h5;o0:h65f11394?"5<90:5<#:=:1=l?4n31e>5=5<#:=:1=l?4n31e>7=54i017>5<#:=:1=>=4n31e>5=5<#:=:1=>=4n31e>7=54o0cb>5<#:=:1=l74n31e>5=5<#:=:1=l74n31e>7=54o0:7>5<#:=:1=5=4n31e>5=5<#:=:1=5=4n31e>7=54o05f>5<#:=:1=5=4n31e>1=5<#:=:1=5=4n31e>3=5<#:=:1=5=4n31e>==5<#:=:1=5=4n31e>d=5<#:=:1=5=4n31e>f=5<#:=:1=5=4n31e>`=5<#:=:1=5=4n31e>46<3f;<<7>5$363>4>43g88j7?>;:m22c<72-8?<7?73:l17c<6:21d=;k50;&105<60:1e>>h51298k40c290/>9>51918j75a28>07b?9c;29 72728287c<i60k0;6)<;0;3;7>h5;o0::65`19c94?"5<90:4>5a22d952=;6<6<;o00b?7>32e:4:4?:%074?7?;2d9?k4>a:9l5=0=83.98=4>829m66`=9k10c<6::18'616=9190b?=i:0a8?j7?93:1(?:?:0:0?k44n3;o76a>7783>!4383;3?6`=3g82a>=h9?h1<7*=4182<6=i::l1=k54ie:a>5<#:=:1h5o4n31e>5=5<#:=:1h5o4n31e>7=54ief94?"5<90oo6`=3g83?>ocj3:1(?:?:ea8j75a2810ei750;&105;6im4n31e>6=h5;o0?76gk6;29 7272mi0b?=i:498ma3=83.98=4kc:l17c<132co87>5$363>aeoc:3:1(?:?:ea8j75a2010ei?50;&105;6im4n31e>g=h5;o0h76gld;29 7272mi0b?=i:e98mfe=83.98=4kc:l17c5$363>ae=nk00;6)<;0;f`?k44n3;:76gl8;29 7272mi0b?=i:008?le0290/>9>5db9m66`=9:10en850;&1054:9jg0<72-8?<7jl;o00b?7232cn87>5$363>ae5<#:=:1hn5a22d952=h5;o0:465fe083>!4383nh7c<ob83:1(?:?:ea8j75a28k07dji:18'616=lj1e>>h51c98mac=83.98=4kc:l17c<6k21bhl4?:%074?bd3g88j7?k;:k`b?6=,;>;6im4n31e>4c<3`i?6=4+2529`f=i::l1=k54}r0;7?6=:rT94>52c8;9fc=z{;2:6=4={_0;5>;d110ij6s|29294?4|V;2;70m67;`e?xu5?o0;6?uQ26d89f?12kl0q~<8e;296~X5?l16o4;5bg9~w71c2909wS<8d:?`=1:m50;0xZ71d34i2?7li;|q13g<72;qU>:l4=b;1>g`52z\13<=:k0:1nk5rs35;>5<5sW8<463l8g8ab>{t:>=1<77}Y:><01n6k:cd8yv40=3:1>vP=749>g=e=jo1v?9;:181[40<27h4o4mf:p625=838pR?9<;;d0>0ij6s|27g94?4|V;m0;6?uQ27f89f>32kl0q~<9c;296~X5>j16o5=5bg9~w70e2909wS<9b:?`<7;o50;0xZ70f34i3=7li;|q12<<72;qU>;74=b:3>g`52z\12==:k>l1nk5rs344>5<5sW8=;63l7d8ab>{t:?<1<77}Y:??01n9l:cd8yv4b;3:1>vP=e29>gc?=jo1v?k=:181[4b:27hj54mf:p6`7=838pR?k>;;dn=0ij6s|2ef94?4|V;no70mi3;`e?xu5lj0;6?uQ2ea89f`52kl0q~i650;0xZ7b?34ini7li;|q1`2<72;qU>i94=bgg>g`52z\1`3=:kli1nk5rs3f6>5<5sW8o963lec8ab>{t:m>1<77}Y:m901nk6:cd8yv4c:3:1>vP=d39>g`>=jo1v?j>:181[4c927hi:4mf:p6a6=838pR?j?;6oh4}r0``?6=:rT9oi52cd19fc=z{;ih6=4={_0`g>;dm;0ij6s|2b`94?4|V;ii70mj1;`e?xu5kh0;6?uQ2bc89fc72kl0q~n950;0xZ7e034ioh7li;|q1g3<72;qU>n84=bf`>g`52z\1g0=:kmh1nk5rs3a7>5<5sW8h863ld`8ab>{t:j81<77}Y:j;01nj8:cd8yv4d83:1>vP=c19>ga0=jo1v?li:181[4en27hh84mf:p6gc=838pR?lj;;dl80ij6s|2cc94?4|V;hj70mk0;`e?xu5j00;6?uQ2c;89fea2kl0q~16onj5bg9~w7d12909wSo;50;0xZ7d234ihn7li;|q1f1<72;qU>o:4=bab>g`52z\1f6=:kj31nk5rs3`1>5<5sW8i>63lc98ab>{t:k;1<77}Y:k:01nm9:cd8yv4fn3:1>vP=ag9>gf3=jo1v?oj:181[4fm27ho94mf:p6de=838pR?ol;;djo0ij6s|2`:94?4|V;k370mme;`e?xu5i>0;6?uQ2`589fdc2kl0q~l:50;0xZ7g334iim7li;|q1e6<72;qU>l=4=b`:>g`52z\1e4=:kk=1nk5rs3c3>5<5sW8j<63lb78ab>{t:0l1<77}Y:0o01nl;:cd8yv4>l3:1>vP=9e9>gg5=jo1v?7l:181[4>k27hn?4mf:p6;dil0ij6s|28494?4|V;3=70mnc;`e?xu51<0;6?uQ28789fge2kl0q~<64;296~X51=16olo5bg9~w7?42909wS<63:?`e<4<50;0xZ7?534ij47li;|q1=4<72;qU>4?4=bc4>g`52z\1=5=:kh<1nk5rs3:e>5<5sW83j63la48ab>{t:1o1<77}Y:1n01no<:cd8yv4?j3:1>vP=8c9>gd7=jo1v?6n:181[4?i27hm=4mf:p6=?=838pR?66;<5j3n6oh4}r0;3?6=:rT94:52c8f9fc=z{;2=6=4={_0;2>;d1j0ij6s|29794?4|V;2>70m6b;`e?xu50=0;6?uQ29689f?f2kl0q~<8a;296~X5?h16o4?5bg9~w70a2909wS<9f:?`<3h:50;0xZ7c334imm7li;|q1`<<72;qU>i74=bge>g`52z\1g`=:kl>1nk5rs3a0>5<5sW8h?63ld88ab>{t:k21<77}Y:hn01nm<:cd8yv4f:3:1>vP=a39>gg>=jo1v?78:181[4>?27hmi4mf:p6=e=838pR?6l;5<6<8qUm95298493a=:10?1;i5298693a=:1091;i52a0:93a=:i8=1;i52a0493a=:i8?1;i52a3c93a=:i;31;i52a3:93a=:i;=1;i52a2a93a=:i:h1;i52a2c93a=:i:31;i52a5g93a=:i=n1;i52a5a93a=:i=h1;i52a7293a=:i;1;i52a6293a=:i?l1;i52a9693a=:i191;i52a9093a=:i1;1;i52a8493a=:i0?1;i52a8693a=:i091;i52a`:93a=:ih=1;i52a`493a=:ih?1;i529`:93a=:1h=1;i529`493a=:1h?1;i529cc93a=:1k31;i529c:93a=:1k=1;i529ba93a=:1jh1;i529bc93a=:1j31;i529eg93a=:1mn1;i529ea93a=:1mh1;i529g293a=:1ll1;i529dg93a=:1ln1;i52a1693a=:i991;i52a1093a=:i9;1;i5291d9614<509=6?:=;<;75?43:2728n4=439>=01=:=80148=:361?8?1l38?>636798107=:1191>9<4=8:f>725343:m7<;2:?:60<5<;165?k525089<4a2;>9707<0;076>;>;8098?529209614<50986?:=;<;00?43:272?84=439>=61=:=8014=7:361?8?4138?>6363`8107=:1:h1>9<4=81`>7253438h7<;2:?:7`<5<;165>h525089<272;>9707;2;076>;><:098?529569614<50>>6?:=;<;72?43:2728:4=439>=1>=:=8014:6:361?8?3i38?>6364c8107=:1=n1>9<4=86f>725343?j7<;2:?:15<5<;1658?525089<352;>9707:3;076>;>==098?529479614<50?=6?:=;<;6=0g=:=8014;m:361?8?2k38?>6365e8107=:19<4=87e>725343=<7<;2:?:24<5<;165;=525089<032;>970795;076>;>>?098?529759614<50<36?:=;<;5=?43:272:l4=439>=3d=:=80148l:361?8?1m38?>6366g8107=:1>:1>9<4=852>725343<>7<;2:?:36<5<;165::525089<122;>970786;076>;>?>098?5296;9614<50=j6?:=;<;4f?43:272;n4=439>=2b=:=80149j:361?8?0n38?>636818107=:11;1>9<4=8:1>725343387<;2:?:<0<5<;16558525089<>02;>970778;076>;>00098?5299c9614<502i6?:=;<;;g?43:2724i4=439>=46=:=8014?>:361?8?6:38?>636128107=:18>1>9<4=836>725343::7<;2:?:52<5<;165<6525089<7>2;>9707>b;076>;>9j098?5290f9614<50;n6?:=;<;2b?43:272>=4=439>=77=:=8014<=:361?8?5;38?>636258107=:1;<1>9<4=804>725343947<;2:?:6<<5<;165?o525089<4e2;>9707=c;076>;>:m098?5289d9614<51h=6?:=;<:`5?43:273on4=439>bl38?>637f98107=:1991>9<4=82f>7253422m7<;2:?;e0<5<;164lk525089=ga2;>9706m0;076>;?j8098?528c09614<51h86?:=;<:a0?43:273n84=439>e138?>637b`8107=:0kh1>9<4=9``>725342ih7<;2:?;f`<5<;164oh525089=e72;>9706l2;076>;?k:098?528b69614<51i>6?:=;<:`2?43:273o:4=439>=:=8015m6:361?8>di38?>637cc8107=:0jn1>9<4=9af>725342hj7<;2:?;`5<5<;164i?525089=b52;>9706k3;076>;?l=098?528e79614<51n=6?:=;<:gck38?>637de8107=:0mo1>9<4=9fe>725342n<7<;2:?;a4<5<;164h=525089=c32;>9706j5;076>;?m?098?528d59614<51o36?:=;<:f=?43:273il4=439><`d=:=8015kl:361?8>bm38?>637eg8107=:0o:1>9<4=9d2>725342m>7<;2:?;b6<5<;164k:525089=`22;>9706i6;076>;?n>098?528g;9614<51lj6?:=;<:ef?43:273jn4=439>an38?>636018107=:19;1>9<4=821>725343;87<;2:?:40<5<;165=8525089<602;>9707?8;076>;>80098?5291c9614<50:i6?:=;<;3g?43:272<<6=:=80157>:361?8>>:38?>637928107=:00>1>9<4=9;6>7253422:7<;2:?;=2<5<;16446525089=?>2;>97066b;076>;?1j098?5288f9614<513n6?:=;<::b?43:273m=4=439>f;38?>637a58107=:0h<1>9<4=9c4>725342j47<;2:?;e<<5<;164lo525089=ge2;>9706nc;076>;?im098?5rs8f94?73sW3o70:n2;3g7>;2<:098:525529611<5<9h6?:8;<70?94=469>166=:==018994=5cb>72034>j57<;7:?7e=<5<>168l95255891g12;><70:n5;073>;3i=098:524`19611;0i<0ij6389d82`6=:?l31>9<4}r;a>5<3sW3i70964;5g?81><3hm7097b;3g7>{tjj0;6>=t^ca8934c2>n01;=::6f8936c2>n01;>j:6f8936a2>n01;??:6f8937a2>n01;n01;<=:6f893632>n01;>::6f893572>n01;=>:6f890`c2>n018hj:6f893512>n01;=8:6f8934b2>n01;:8:6f8932b2>n01;:i:6f893372>n01;;>:6f893352>n01;;<:6f893332>n01;;::6f893312>n01;:7:6f8932>2>n01;:n:6f8932e2>n01;:l:6f8932c2>n01;;8:6f893`b28n8708if;3g7>;0;90;0:l0;09j0;09h0;0810;08?0{tjk0;6>uQbc9><=0=?m1645?57e9~wg?=83;jwSl6;<7e3?7c<27?5:48d:?7=1<0l27?5=48d:?7b29>0dd=9k8019oj:0`1?82e93;i>63;b582f7=:4d534>ih7?m2:pf=<72?qUn5527g693a=:?o>1nk528059fc=:08i1=i=4=6gf>4b43tyi;7>54z\a3>;?9j0:h?5281:95a4<51:i6;f8=0ij639468ab>{t110;6l6t^8:89=>121:0156>:9289:9289d1721:01l8i:9289d>321:01l6<:9289d>521:01l6>:9289d?121:01l7::9289d?321:01l7<:9289dg?21:01lo8:9289dg121:01lo::9289<:9289d6521:01l>>:9289=032>h01:h;:6`89=702>h015?7:6`89=712>h015;8:6`89=332>h015;?:6`89=2d2>h015:7:6`89=232>h015:?:6`89=5d2>h015=7:6`89=532>h018:<:6`890272>h018=l:6`8905?2>h018=;:6`890572>h018h018<;:6`890472>h01:o::6`892?32>h01;k:928936b21:01;>i:928937721:01;?i:928934721:01;<>:928934521:01;>;:928936221:01;=?:928935621:018hk:92890`b21:01;=9:928935021:01;h01;:j:6`8932a2>h01;;?:6`893362>h01;;=:6`893342>h01;;;:6`893322>h01;;9:6`8932?2>h01;:6:6`8932f2>h01;:m:6`8932d2>h01;:k:6`8933021:01:=?:928924a21:01:21:01:>7:928926021:01:>9:928926221:01978:6`891?32>h0197?:6`891>d2>h01967:6`891>32>h0196?:6`8911d2>h019on:6`891g>2>h019o7:6`891g02>h019o9:6`891g22>h019o;:6`891g42>h01:k6:6`892c?2>h019m?:9a891ge21=019oj:95891d621=019l;:95891d021=019ln:95891dc21=0q~6?:181b1}Y09164:k57c9><=b=?k1645>57c9><=?=?k1645:57c9><=4=?k1645957c9>6`1=?k16?>;57c9>71d=?k16?;?57c9>721=?k16?5j57c9>7d5=?k16?o757c9>7f`=?k16?h;57c9>6cb=?k16?<=57c9>773=?k16??957c9>77?=?k16??l57c9>77b=?k16??h57c9>767=?k16?>=57c9>761=?k16?>757c9>76d=?k16?>j57c9>76`=?k16?9?57c9>715=?k16?9;57c9>711=?k16?9757c9>71b=?k16?9h57c9>707=?k16?8=57c9>703=?k16?8957c9>70?=?k16?8l57c9>70b=?k16?8h57c9>735=?k16?;;57c9>731=?k16?;757c9>73d=?k16?;j57c9>73`=?k16?:?57c9>725=?k16?:;57c9>72?=?k16?:l57c9>72b=?k16?:h57c9>7=7=?k16?5=57c9>7=3=?k16?5957c9>7=?=?k16?5l57c9>7=`=?k16?4?57c9>7<5=?k16?4;57c9>7<1=?k16?4757c9>77<`=?k16?l?57c9>7d3=?k16?l957c9>7d?=?k16?ll57c9>7db=?k16?lh57c9>7g7=?k16?o=57c9>7g3=?k16?o957c9>7gd=?k16?oj57c9>7g`=?k16?n?57c9>7f5=?k16?n;57c9>7f1=?k16?n757c9>7fd=?k16?nj57c9>7a7=?k16?i=57c9>7a3=?k16?i957c9>7a?=?k16?il57c9>7ab=?k16?ih57c9>7`7=?k16?h=57c9>6`?=?k16>hl57c9>6`b=?k16>hh57c9>6c7=?k16>k=57c9>6c3=?k16>k957c9>6c?=?k16>kl57c9>6c`=?k16?=?57c9>755=?k16?=;57c9>751=?k16?=757c9>75d=?k16?=j57c9>75`=?k16?743=?k16?<957c9>74?=?k16?74b=?k16?777=?k16??=57c9>6`0=0916?>:5819>71g=0916?;>5819>720=0916?5m5819>7d4=0916?o65819>7fc=0916?h:5819>6ce=0916?<<5819>772=0916??85819>77>=0916??o5819>77e=0916??k5819>766=0916?><5819>760=0916?>65819>76g=0916?>m5819>76c=0916?9>5819>714=0916?9:5819>710=0916?965819>71e=0916?9k5819>706=0916?8<5819>702=0916?885819>70>=0916?8o5819>70e=0916?8k5819>734=0916?;:5819>730=0916?;65819>73g=0916?;m5819>73c=0916?:>5819>724=0916?::5819>72>=0916?:o5819>72e=0916?:k5819>7=6=0916?5<5819>7=2=0916?585819>7=>=0916?5o5819>7=c=0916?4>5819>7<4=0916?4:5819>7<0=0916?465819>775819>7d2=0916?l85819>7d>=0916?lo5819>7de=0916?lk5819>7g6=0916?o<5819>7g2=0916?o85819>7gg=0916?om5819>7gc=0916?n>5819>7f4=0916?n:5819>7f0=0916?n65819>7fg=0916?nm5819>7a6=0916?i<5819>7a2=0916?i85819>7a>=0916?io5819>7ae=0916?ik5819>7`6=0916?h<5819>6`>=0916>ho5819>6`e=0916>hk5819>6c6=0916>k<5819>6c2=0916>k85819>6c>=0916>ko5819>6cc=0916?=>5819>754=0916?=:5819>750=0916?=65819>75g=0916?=m5819>75c=0916?<>5819>742=0916?<85819>74>=0916?74e=0916?776=0916??<5819>=cd=?k16;kl57c9>3ce=?k16;h957c9>3a2=?k16;i=57c9>3a4=?k16;i?57c9>3a6=?k16;nh57c9>3fc=?k16;nj57c9>3fe=?k16;nl57c9><4`=?k1643``=?k16;k=57c9>3c4=?k16;:h57c9>3=6=?k16;5?57c9>3=4=?k16;5=57c9>3=2=?k16;5;57c9>3=0=?k16;4h57c9>3d2=?k16;l<57c9>3d5=?k16;5m57c9>3<5=?k16;5h57c9>3<6=?k16;4?57c9>3<4=?k16;;757c9>33g=?k16;;;57c9>1c?=?k169ko57c9>331=?k16;;657c9>25?=?k16:1cd=?k16:8o57c9>20>=?k16:8j57c9>20d=?k16:;>57c9>20c=?k16:;=57c9>237=?k16:;857c9>232=?k16:;757c9>231=?k16:;m57c9>23g=?k16:;h57c9>23b=?k16::<57c9>226=?k16::;57c9>225=?k16:k?57c9>2`c=?k16:ho57c9>2`0=?k16:h<57c9>2ac=?k16:io57c9>2a0=?k16:i<57c9>2fc=?k16:lj57c9>2dg=?k16:l857c9>2d4=?k16:4k57c9>22<4=?k16:5k57c9>2=g=?k16;kk57c9><56=?k164;;57c9>3`3=?k16ni?57c9>gg<1=?k16o4857c9>g<3=?k16o4:57c9>g<5=?k16o4<57c9>g<6=?k16o5h57c9>g=c=?k16o5j57c9>g=e=?k16o5l57c9>g=g=?k16o5757c9>g=>=?k16o5957c9>g=3=?k16o5:57c9>g=5=?k16o5<57c9>g=7=?k16o5>57c9>g2`=?k16o:k57c9>g2b=?k16o:m57c9>gc?=?k16ok657c9>gc1=?k16ok857c9>gc3=?k16ok:57c9>gc5=?k16ok<57c9>gc7=?k16ok>57c9>g`c=?k16ohj57c9>g`e=?k16ohl57c9>g`g=?k16oh757c9>g`>=?k16oh957c9>g`0=?k16oh;57c9>g`5=?k16oh<57c9>g`7=?k16oh>57c9>ga`=?k16oik57c9>gab=?k16oim57c9>gad=?k16oio57c9>ga>=?k16oi957c9>ga0=?k16oi;57c9>ga2=?k16oi=57c9>ga4=?k16oi?57c9>ga6=?k16onh57c9>gfb=?k16onm57c9>gfd=?k16ono57c9>gf?=?k16on657c9>gf1=?k16on857c9>gf3=?k16on:57c9>gf4=?k16on?57c9>gf6=?k16ooh57c9>ggc=?k16ooj57c9>gge=?k16ool57c9>ggg=?k16oo757c9>gg1=?k16oo857c9>gg3=?k16oo:57c9>gg5=?k16oo<57c9>gg7=?k16oo>57c9>gd`=?k16olk57c9>gde=?k16oll57c9>gdg=?k16ol757c9>gd>=?k16ol957c9>gd0=?k16ol;57c9>gd2=?k16ol=57c9>gd7=?k16ol>57c9>g<`=?k16o4k57c9>ggg<7=?k16o5857c9>gcg=?k16ohh57c9>g`2=?k16oi757c9>gfc=?k16on=57c9>gg>=?k16olj57c9>gd4=?k16o:l57c9>=5`=?k165>857c9>=17=?k1659m57c9>=01=?k165;<57c9>=3b=?k165:657c9>==5=?k1655k57c9>=4g=?k165?;57c9>=7c=?k165?h57c9>=66=?k165>?57c9>=64=?k165>=57c9>=62=?k165>;57c9>=61=?k165>657c9>=6?=?k165>o57c9>=6d=?k165>m57c9>=6b=?k165>k57c9>=6`=?k1659>57c9>=14=?k1659=57c9>=12=?k1659;57c9>=10=?k1659957c9>=1>=?k1659757c9>=1g=?k1659l57c9>=1b=?k1659k57c9>=1`=?k1658>57c9>=07=?k1658<57c9>=05=?k1658:57c9>=03=?k1658857c9>=0>=?k1658757c9>=0g=?k1658l57c9>=0e=?k1658j57c9>=0c=?k1658h57c9>=36=?k165;?57c9>=35=?k165;:57c9>=33=?k165;857c9>=31=?k165;657c9>=3?=?k165;o57c9>=3d=?k165;m57c9>=3c=?k165;h57c9>=26=?k165:?57c9>=24=?k165:=57c9>=22=?k165:;57c9>=20=?k165:957c9>=2?=?k165:o57c9>=2d=?k165:m57c9>=2b=?k165:k57c9>=2`=?k1655>57c9>==7=?k1655<57c9>==2=?k1655;57c9>==0=?k1655957c9>==>=?k1655757c9>==g=?k1655l57c9>==e=?k1655j57c9>=46=?k165=44=?k165<=57c9>=42=?k165<;57c9>=40=?k165<957c9>=4>=?k165<757c9>=4d=?k165=4b=?k165=4`=?k165?>57c9>=77=?k165?<57c9>=75=?k165?:57c9>=70=?k165?957c9>=7>=?k165?757c9>=7g=?k165?l57c9>=7e=?k165?j57c9><=`=?k164o857c9><`b=?k164k657c9>=55=?k165=k57c9><57c9>=?k164n757c9>57c9>=?k164i757c9><`6=?k164h?57c9><`5=?k164h:57c9><`3=?k164h857c9><`1=?k164h657c9><`?=?k164ho57c9><`d=?k164hm57c9><`c=?k164hh57c9>57c9>=57=?k165=<57c9>=52=?k165=;57c9>=50=?k165=957c9>=5>=?k165=757c9>=5g=?k165=l57c9>=5e=?k165=j57c9><<6=?k1644?57c9><<4=?k1644=57c9><<2=?k1644;57c9><<0=?k1644957c9><<>=?k1644757c9><<<<`=?k164l>57c9>=?k164l757c9>3c6=?k16;k?57c9><4d=?k16;lj57c9>3d7=?k16;l>57c9>3=c=?k16;5j57c9>0f6=0o168ll58e9>0dc=0m168o?58e9>0g2=0m168o958e9>0gg=0m168oj58e9~wg0=838pRo84=4d4>75f3ty9?>4?:0178b>=3;hj63=e780<>;4;=08463<4`80<>;4>908463<7780<>;40j08463;4j108463;4m=08463=fb80<>;49;08463<2580<>;4:?08463<2980<>;4:h08463<2b80<>;4:l08463<3180<>;4;;08463<3780<>;4;108463<3`80<>;4;j08463<3d80<>;4<908463<4380<>;4<=08463<4780<>;4<108463<4b80<>;4;4=;08463<5580<>;4=?08463<5980<>;4=h08463<5b80<>;4=l08463<6380<>;4>=08463<6780<>;4>108463<6`80<>;4>j08463<6d80<>;4?908463<7380<>;4?=08463<7980<>;4?h08463<7b80<>;4?l08463<8180<>;40;08463<8580<>;40?08463<8980<>;40h08463<8d80<>;41908463<9380<>;41=08463<9780<>;41108463<9`80<>;41j08463<9d80<>;4i908463;4i?08463;4ih08463;4il08463;4j;08463;4j?08463;4jj08463;4k908463;4k=08463;4k108463;4kj08463;4l;08463;4l?08463;4lh08463;4ll08463;4m;08463=e980<>;5mh08463=eb80<>;5ml08463=f180<>;5n;08463=f580<>;5n?08463=f980<>;5nh08463=fd80<>;48908463<0380<>;48=08463<0780<>;48108463<0`80<>;48j08463<0d80<>;49908463<1580<>;49?08463<1980<>;49h08463<1b80<>;49l08463<2180<>;4:;084636938`7>;>180h?636918`7>;>0o0h?63n108`7>;f9;0h?63n158`7>;f9:0h?63n228`7>;f:=0h?63n278`7>;f:<0h?63n348`7>;f;?0h?63n398`7>;f;>0h?63n468`7>;f<10h?63n4`8`7>;f<00h?63n588`7>;f=h0h?63n5b8`7>;f=k0h?63n6c8`7>;f>j0h?63n6d8`7>;f>m0h?63n7e8`7>;f?l0h?63n818`7>;f?o0h?63n8g8`7>;f190h?63n938`7>;f180h?63na08`7>;fi;0h?63na58`7>;fi:0h?636a08`7>;>i;0h?636a58`7>;>i:0h?636b28`7>;>j=0h?636b78`7>;>j<0h?636c48`7>;>k?0h?636c98`7>;>k>0h?636d68`7>;>l10h?636d`8`7>;>l00h?636e88`7>;>mh0h?636eb8`7>;>mk0h?636fe8`7>;>nl0h?63n018`7>;>no0h?636fb8`7>;?=:0h?6374g8`7>;?;?<:0h?6373g8`7>;?;k0h?637368`7>;?;:0h?63:3g8`7>;2;k0h?63:368`7>;2;:0h?63:2g8`7>;2:k0h?63:268`7>;2::0h?63:1g8`7>;0il0:h?527`g95a2<52?7m<;<6;b?e434>3n7m<;<6;3?e434>3?7m<;<64b?e434>h<7?=5:?7g5<6:=168n>5131891e7288970:l0;315>;3k90:?8524b29`=0<5=i;6i6;;<6`4?43m27?o=4=519>0dd=l19019om:e:2?82fj3n3<63;ac8g3c=:9k4=5ca>72a34>ji7j73:?7e`;3il098k524c39`=5<5=h:6i6>;<6a5?b?827?n<4k7g9>0g7=:=o019l>:36e?82e<3n3?63;b58g<4=:1h5>4=5`7>a1a34>i87<;e:?7f1<5;3j>0o;k524c5961c<5=h<6?:i;<6ae?b?;27?nl4k809>0gg=l1:019ln:e5e?82ei38?i63;b`810c=:a>634>ih7j70:?7fam7p};c`83>7}:>o4=5a7>4b43ty?h:4?:3y>0a1=::k019m;:0f1?xu3k=0;6?u24b6966g<5=i867}:>o4=5aa>4b53ty?oo4?:3y>0fd=::k019m<:0f1?xu3k:0;6?u24b1966g<5<<867}:>o4=5ae>4b53ty?on4?:3y>0f`=::k019m::0f0?xu3mj0;6?u24da966g<5=no67}:>o4=5a6>4b53ty?o84?:3y>0f3=::k0188=:0f4?xu3lh0;6?u24ec966g<5=n;67}:>o4=5a;>4b43ty?ih4?:3y>0`c=::k019k<:0f0?xu3nj0;6?u24ga966g<5=o867}:>o4=440>4b33ty?ik4?:3y>0``=::k019k;:0f0?xu3nm0;6?u24gf966g<5=o?67}:>o4=5d7>4b43ty><;4?:3y>150=::k019h;:0f1?xu3n=0;6?u24g6966g<5=n967}:>o4=5f2>4b43ty?j=4?:3y>0c6=::k019j>:0f1?xu3kl0;6?u24e3966g<5=i267}:>o4=5g6>4b53ty?i=4?:3y>0`3=::k019m6:0f1?xu3k>0;6?u24b;966g<5<<867}:=9:1>>o4=5g5>4b53ty?i<4?:3y>0`0=::k019j::0f0?xu2880;6?u2513966g<5=l367}:>o4=5f6>4b53ty?h>4?:3y>0a3=::k0188=:0f5?xu3n:0;6?u24g1966g<5=o<67}:>o4=5f5>4b43ty><>4?:3y>155=::k019h6:0f0?xu2810;6?u251:966g<5=l267}:>o4=440>4b23ty><94?:3y>152=::k019hn:0f0?xu2800;6?u251;966g<5=lj67}:=9k1>>o4=426>4b43ty>15d=::k018>::0f1?xu28<0;6?u2517966g<5=o367p};db83>7}:>o4=442>4b33ty?io4?:3y>0`d=::k0188>:0f6?xu3>00;64u24`0966g<5=3<6?:8;<6:0?43?27?5=4=469>0=e=:==01967:364?82?<38?;63;818102=:<>i1>994}r65e?6=:r7?:;4>d39>031=::k0q~:9b;296~;3>?0:h>5247:966g52z?7ag<6l<168n>51`18yv3?i3:1>v3;ec82`6=:d79>0f6=9?<0q~;78;296~;3lj0:h8524b2953352z?7`f<6l?168n>51768yv3?=3:1>v3;db82`1=:d69>0f6=9?80q~;73;296~;28=0:h8524b295377>52z?641<6l?168n>514;8yv3?93:1>v3:0582`1=:<94>d69>0gb=9:o0q~;8f;296~;2800:h8524cf956b52z?64<<6l?168oj512a8yv30l3:1>v3:0882`1=:l4}r74g?6=:r7><44>d69>0gb=9:k0q~;8a;296~;28h0:h8524cf956?52z?64d<6l?168oj514:8yv3003:1>v3:0`82`1=:d69>0gb=90o0q~;86;296~;28k0:h8524cf950052z?64g<6l?168oj51478yv30<3:1>v3:0c82`1=:d69>0gb=9<90q~;82;296~;3n:0:h8524cf950452z?7b6<6l?168oj515g8yv31n3:1>v3;f282`1=:4>d69>0gb=9:20q~;9d;296~;28;0:h8524cc95<`52z?647<6l?168oo512g8yv31j3:1>v3:0382`1=:j4}r75e?6=:r7>d69>0gg=9:i0q~;99;296~;28:0:h8524cc956d52z?646<6l?168oo512c8yv31?3:1>v3:0282`1=:74}r752?6=:r7><>4>d69>0gg=9<20q~;ja;296~;2810:h8524cc950152z?64=<6l?168oo518g8yv3b03:1>v3:0982`1=:<54>d69>0gg=952z?7b7<6l?168oo51418yv3b<3:1>v3;f382`1=:d69>0gg=9=o0q~;j2;296~;2890:h8524cc951552z?645<6l?168oo512:8yv3cn3:1>v3:0182`1=:<=4>d69>0g1=9:o0q~;kd;296~;2880:h8524c5956b52z?644<6l?168o9512a8yv3cj3:1>v3:0082`1=:l4}r7ge?6=:r7><<4>d69>0g1=9:k0q~;k9;296~;28>0:h8524c5956?52z?642<6l?168o9514:8yv3c?3:1>v3:0682`1=:<:4>d69>0g1=90o0q~;k4;296~;3lk0:h8524c5950052z?7`g<6l?168o951478yv3c:3:1>v3;dc82`1=:d69>0g1=9<90q~;k0;296~;3n90:h8524c5950452z?7b5<6l?168o9515g8yv3dm3:1>v3;f182`1=:d69>0g1=9:20q~;lc;296~;3n80:h8524c695<`52z?7b4<6l?168o:512g8yv3d13:1>v3;f082`1=:1=>j4}r7`d69>0g2=9:i0q~;l7;296~;3no0:h8524c6956d52z?7bc<6l?168o:512c8yv3d=3:1>v3;fg82`1=:1=>74}r7`0?6=:r7?jk4>d69>0g2=9<20q~;l3;296~;3mo0:h8524c695017>52z?7ac<6l?168o:518g8yv3d93:1>v3;eg82`1=:1=884}r7`4?6=:r7?ik4>d69>0g2=952z?7ba<6l?168o:51418yv3ek3:1>v3;fe82`1=:1=8<4}r7af?6=:r7?ji4>d69>0g2=9=o0q~;ma;296~;3nl0:h8524c6951552z?7b`<6l?168o:512:8yv3e03:1>v3;fd82`1=:d69>0g7=9:o0q~;m6;296~;28?0:h8524c3956b52z?643<6l?168o?512a8yv3e;3:1>v3:0782`1=:l4}r7a6?6=:r7><;4>d69>0g7=9:k0q~;m1;296~;3lh0:h8524c3956?52z?7`d<6l?168o?514:8yv3fn3:1>v3;d`82`1=:d69>0g7=90o0q~;nd;296~;3mm0:h8524c3950052z?7aa<6l?168o?51478yv3fj3:1>v3;ee82`1=:d69>0g7=9<90q~;n8;296~;3ml0:h8524c3950452z?7a`<6l?168o?515g8yv3f>3:1>v3;ed82`1=:d69>0g7=9:20q~;n4;296~;3nj0:h8524`g95<`52z?7bf<6l?168lk512g8yv3f:3:1>v3;fb82`1=:j4}r7b5?6=:r7?jn4>d69>0dc=9:i0q~;n0;296~;3l00:h8524`g956d52z?7`<<6l?168lk512c8yv3>l3:1>v3;d882`1=:74}r7:g?6=:r7?h44>d69>0dc=9<20q~;6b;296~;3mh0:h8524`g950152z?7ad<6l?168lk518g8yv3>13:1>v3;e`82`1=:d69>0dc=952z?7af<6l?168lk51418yv3>=3:1>v3;eb82`1=:d69>0dc=9=o0q~;62;296~;3nk0:h8524`g951552z?7bg<6l?168lk512:8yv3>83:1>v3;fc82`1=:d69>0dd=9:o0q~;7e;296~;3kh0:h8524``956b52z?7gd<6l?168ll512a8yv3?k3:1>v3;c`82`1=:l4}r7;2?6=:r7?ol4>d69>0dd=9:k0q~;8b;296~;3l>0:h8524``956?52z?7`2<6l?168ll514:8yv3bj3:1>v3;d682`1=:d69>0dd=90o0q~;k5;296~;3l10:h8524``950052z?7`=<6l?168ll51478yv3en3:1>v3;d982`1=:d69>0dd=9<90q~;n9;296~;3m00:h8524``950452z?7a<<6l?168ll515g8yv3>;3:1>v3;e882`1=:d69>0dd=9:20q~;i5;29e~;2<:09895255695a4<5=i;68<4=5ca>12<5=kn69:4=5`2>12<5=h?69:4=5`4>12<5=hj69:4=5`g>125az?605<5<=1699?51e0891e72<:019om:50891gb2=8019l>:50891d32=8019l8:50891df2=8019lk:508yv3a;3:1mv3:3b8101=:=:n1=i<4=5a3>1`<5=ki69?4=5cf>17<5=h:69?4=5`7>17<5=h<69?4=5`b>17<5=ho69?4}r7e6?6=ir7>?54=459>16?=9m8019m?:4`891ge2=:019oj:52891d62=:019l;:52891d02=:019ln:52891dc2=:0q~;i1;29e~;2;=09895252795a4<5=i;68o4=5ca>1b<5=kn69j4=5`2>1b<5=h?69j4=5`4>1b<5=hj69j4=5`g>1b5az?675<5<=169>?51e0891e72<3019om:5a891gb2=i019l>:5a891d32=i019l8:5a891df2=i019lk:5a8yv3bn3:1mv3:2b8101=:=;n1=i<4=5a3>0><5=ki69l4=5cf>1d<5=h:69l4=5`7>1d<5=h<69l4=5`b>1d<5=ho69l4}r7fa?6=ir7>>54=459>17?=9m8019m?:45891ge2=k019oj:5c891d62=k019l;:5c891d02=k019ln:5c891dc2=k0q~;jd;29e~;2:=09895253795a4<5=i;6884=5ca>1?<5=kn6974=5`2>1?<5=h?6974=5`4>1?<5=hj6974=5`g>1?5az?665<5<=169??51e0891e72:5:891d32=2019l8:5:891df2=2019lk:5:8yv32?3:18v3;6982`6=:<0=1>9:4=5;;>4b534>jm7li;|q613<72=q68;651e0891?32;>?70:65;3g6>;3i00ij6s|54794?2|5=<<6d39>0d>=jo1v8;;:187821?3;o:63;8b8101=:<1n1=i<4=5c4>g`?7>54z?722<6l<168565256891>>28n970:n6;`e?xu2=;0;69u247595a2<5=2?6?:;;<6;1?7c:27?m84mf:p107=83>p1988:0f0?82?838?863;8082`7=:1nk5rs473>5<3s4>=;7?k2:?73f<5<=168:j51e0891g42kl0q~;i6;29g~;3>?09?l524`095a4<5<>86:j4=463>2b<5<9h6:j4=41;>2b<5<9?6:j4=413>2b<5<8h6:j4=40;>2b<5<8?6:j4=403>2bj7>53z?7ed<5<=169;>51e78900328n97p}:5d83>1}:9:4=443>4b534?=>7?k3:?626<6l:1v8;k:18782f038?863:6182`6=:=?81=i<4=440>4b53ty>9n4?:2y>0d1=:=>0188?:0f7?831<3;o?6s|54`94?g|5=i86?;;;<6`1?42<27?o54=559>0a4=:<>019m6:377?82c=38>863;d78111=:8:4=5c5>72334?=<7?k6:p10g=83;9w0:l4;060>;3kk0999524bd9602<5=no6?;;;<6g4?42<27?i>4=559>0`2=:<>019h;:377?82c938>863;e48111=:8:4=5d;>73334>n;7<:4:?7b<<5==168ko5246890622;??70:n5;070>;2>80:h>5rs47:>5<5;r7?ol4>d29>0a1=9m9019j7:0f0?82b13;o?63;d882`6=:4b434>mn7?k3:?7`d<6l:168hj51e1891cb28n870:ic;3g7>;3mo0:h>524gf95a5<5=ln6d29>0c6=9m9019h>:0f0?82an3;o?63;f382`6=:=9:1=i=4=422>4b434?;;7?k3:?7b6<6l:169=<51e18906428n870;?8;3g7>;28=0:h>5251;95a5<5<:j6d29>0`d=9m>019o;:367?xu2=10;6?=t=5ab>4b534>o;7?k2:?7`=<6l;168h751e0891b>28n970:ja;3g6>;3mj0:h?524g`95a4<5=nj6d39>0ce=9m8019ki:0f1?82al3;o>63;fd82`7=:=9<1=i<4=5fa>4b534>m<7?k2:?7b4<6l;168kh51e0891`528n970;?0;3g6>;2880:h?5251595a4<5=l86<>4>d39>15>=9m8018>;:0f1?83713;o>63:0`82`7=:=9h1=i<4=5f`>4b534>nn7?k2:?7e6<5<=1v567:1818>??3hm70676;070>{t0191<7g`<512:6?:;;|q;<0<72;q645:5bg9><=4=:=>0q~67a;296~;?000ij637868101=z{12i6=4<{<:;4?da342387<;4:?;3c<6l;1v56l:1818>?>38?9637808ab>{t01o1<772334ho=7li;|qa`7<72;q645j5bg9>fa7=:=>0q~lk3;297~;?0m098952894971=:01;1?95rscf7>5<5s42?70:l0;314>{tk9n1<772334>h<7?>f:pg5e=838p1>?i:367?82d83;:;6s|c1`94?4|5:;o6?:;;<6a`?7492wxo=o50;0x967e2;>?70:md;d`?xud800;6?u230;9612<5=ho6kl4}ra30gb=nh1vn>8:181856=38?863;be8e=>{tk9?1<772334>ih7h7;|q`41<72;q6?=h5256891dc2o=0q~m?3;296~;48m0989524cf95407>52z?04g<5<=168oj51078yve793:1>v3<088101=:>4}ra34?6=:r78<:4=459>0gb=98>0q~lif;296~;48<0989524cf954552z?046<5<=168oj51008yvdal3:1>v3<008101=:0gb=98:0q~lia;296~;5nk0989524cf955e52z?1b<<5<=168oj51138yvda03:1>v3=f68101=:5<5s48m97<;4:?7fd<6;81voh9:18184a;38?863;b`8eg>{tjo?1<772334>im7hm;|qab1<72;q6>hh5256891df2ok0q~li3;296~;5mm0989524cc9b<=z{kl96=4={<0ff?43<27?nl4i8:pfc7=838p1?k6:367?82ei3l<7p}l6d83>7}:;l91>9:4=5`b>4713tyh:i4?:3y>7`7=:=>019ln:036?xud>j0;6?u23ed9612<5=hj6<=?;|q`2g<72;q6?ij5256891df28;?7p}l6`83>7}:;mh1>9:4=5`b>4743tyh:44?:3y>7a?=:=>019ln:031?xud>10;6?u23e59612<5=hj6;|q`22<72;q6?i;5256891df28;;7p}l6783>7}:;m91>9:4=5`b>46d3tyh:84?:3y>7a7=:=>019ln:022?xud>:0;6?u23bf9612<5=hj6k84}ra56?6=:r78oo4=459>0g1=9:;0q~m91;296~;4k00989524c59bf=z{j<;6=4={<1`3?43<27?n:4ib:pg0`=838p1>m::367?82e?3lj7p}l5d83>7}:;j91>9:4=5`4>c?h7>52z?0g4<5<=168o95f99~wf3d2909w0=mf;070>;3j>0m;6s|c4`94?4|5:ho6?:;;<6a3?76>2wxo8o50;0x96de2;>?70:m7;321>{tk<21<772334>i;7?<0:pg01=838p1>l::367?82e?3;:86s|c4494?4|5:h86?:;;<6a3?76;2wxo8;50;0x96d62;>?70:m7;326>{tk<>1<772334>i;7?>1:pg05=838p1>ok:367?82e?3;:<6s|c4094?4|5:ki6?:;;<6a3?77k2wxo8?50;0x96g>2;>?70:m7;335>{tk<:1<772334>i;7h9;|q`0c<72;q6?l;5256891d3289:7p}l4e83>7}:;h;1>9:4=5`7>ce52z?0=c<5<=168o:5fc9~wf2e2909w0=6d;070>;3j=0mm6s|c5c94?4|5:3i6?:;;<6a0?`>3tyh844?:3y>7019l;:g:8yve303:1>v3<968101=:1j:5rsb64>5<5s49297<;4:?7f1<69?1vn:9:18185>;38?863;b58250=z{j>>6=4={<1:5?43<27?n94>319~wf232909w0=7f;070>;3j=0:=95rsb61>5<5s493n7<;4:?7f1<69:1vn:>:18185?138?863;b58257=z{j>;6=4={<1;3?43<27?n94>109~wf5a2909w0=75;070>;3j=0:==5rsb1f>5<5s493?7<;4:?7f1<68j1vn=k:18185?938?863;b58244=z{j9h6=4={<14b?43<27?n94i6:pg6d=838p1>9k:367?82e93;8=6s|c2c94?4|5:=i6?:;;<6a5?`d3tyh?44?:3y>72?=:=>019l>:g`8yve4?3:1>v3<748101=:5<5s49;50;0x96162;>?70:m1;d;?xud;=0;6?u237d9612<5=h:6k94}ra07?6=:r78:i4=459>0g7=98<0q~m<2;296~;4>k0989524c3954352z?02<<5<=168o?51228yve483:1>v3<668101=:0g7=9890q~m=e;296~;4>:0989524c3954452z?01c<5<=168o?51038yve5j3:1>v3<5e8101=:4}ra1e?6=:r789o4=459>0g7=99i0q~m=9;296~;4=00989524c3955752z?012<5<=168o?5f79~wf402909w0=:5;070>;3il0:?<5rsb05>5<5s49>?7<;4:?7e`?70:ne;da?xud:=0;6?u235d9612<5=kn6ko4}ra17?6=:r788i4=459>0dc=n01vn<>:181853138?863;ad8e<>{tk;:1<772334>ji7h8;|q`5c<72;q6?9;5256891gb28;=7p}l1d83>7}:;=91>9:4=5cf>4723tyh=i4?:3y>717=:=>019oj:013?xud9j0;6?u232d9612<5=kn6j5256891gb28;87p}l1`83>7}:;:h1>9:4=5cf>4753tyh=44?:3y>76?=:=>019oj:032?xud910;6?u23259612<5=kn6=5256891gb28:h7p}l1483>7}:;:;1>9:4=5cf>4663tyh=94?:3y>77`=:=>019oj:g48yve6;3:1>v3<2e8101=:?4}ra26?6=:r78>o4=459>0dd=nj1vn?>:181855138?863;ac8ef>{tk8:1<772334>jn7hn;|q`4c<72;q6??;5256891ge2o30q~m?6;296~;49:0989524``9b==z{kli6=4={<0e`?43<27?mo4i7:pg3`=838p1>k::367?82fj3;::6s|c7694?4|5:im6?:;;<6bf?76=2wxo8750;0x96d>2;>?70:nb;304>{tk=o1<772334>jn7?>4:pg15=838p1>6k:367?82fj3;:?6s|c2:94?4|5:=<6?:;;<6bf?76:2wxo?j50;0x96062;>?70:nb;325>{tk;81<772334>jn7?>0:pg41=838p1>=::367?82fj3;;o6s|bg294?4|5;o<6?:;;<6bf?7792wxo:o50;368>0m38?86386782`7=:>;n1?;52627973=:>9n1?o5261g97g=:>9l1?o5260297g=:>8l1?o5263297g=:>;;1?o5263097g=:>9>1?o5261797g=:>::1?o5262397g=:=on1?o525gg97g=:>:<1?o5262597g=:>;o1?o5rscf5>5<627b=;116:>;5399>25b=;j16:=k53b9>25`=;j16:<>53b9>24`=;j16:?>53b9>277=;j16:?<53b9>252=;j16:=;53b9>266=;j16:>?53b9>1cb=;j169kk53b9>260=;j16:>953b9>27c=;j1vn96:18183a?3;o>637658101=z{j==6=4<{<:4a?43?27<494=459>3=3=jo1vn9::1878>?138?;637858102=:?191>9:4=6:7>g`55z?;<5<5<>1645<525589=>02;><70972;070>;00:0ij6s|c6194?5|512o6?;;;<5;5?43<27<4?4mf:pg24=839p1:6?:367?81?93hm70lk1;076>{tk>;1<76t=8da>73334=n;7li;<:21?7c=27<;k4=459>3=6=jo16;o>51e189=022;><709j5;073>{tk>21<7=t=6:5>72334?mm7<;7:?42=<5<>1vn98:1810~;00<0989527949fc=:=o31>994=644>72034<;57<;7:?55g<5<>16:8o52558933?2;><708:d;073>;1=k098:526729611<5??n6?:8;<457?43?27=:<4=469>230=:==01;8;:364?801138?;639668102=:>?i1>994=74b>72034<=j7<;7:?52a<5<>16::<5255893172;><70885;073>;1?:098:526g39611<5?on6?:8;<4fe?43?27=i;4=469>2`4=:==01;jj:364?80ci38?;639d78102=:>m81>994=7af>7203tyih84?:06x92b32;><709k3;073>;0l;098:527e39611<5>n;6?:8;<5`b?43?273fb=:==01:ml:364?81dj38?;6371g8102=:08o1>994=6gb><0<5>h;6164=>5255892c128n8709j8;;5?xueml0;64u26619612<5=i;6;h4=5ca>37<5=kn6;?4=5`2>37<5=h?6;?4=5`4>37<5=hj6;?4=5`g>3759z?535<5<=168n>56e9>0dd==o168lk55g9>0g7==o168o:55g9>0g1==o168oo55g9>0gb==o1vokl:18:801l38?863;c185g>;3ik0>i63;ad86a>;3j80>i63;b586a>;3j>0>i63;b`86a>;3jm0>i6s|bd`94??|5?jn7;k;<6ba?3c34>i=7;k;<6a0?3c34>i;7;k;<6ae?3c34>ih7;k;|qaad<720q6:;95256891e72>=019om:7c891gb2?k019l>:7c891d32?k019l8:7c891df2?k019lk:7c8yvdb13:15v39658101=:1:4524c592<=:?70:l0;57?82fj3<<70:ne;44?82e93<<70:m4;44?82e?3<<70:ma;44?82el3<<7p}me783><}:>

9:4=5a3>25<5=ki6;84=5cf>30<5=h:6;84=5`7>30<5=h<6;84=5`b>30<5=ho6;84}r`f1?6=1r7=954=459>0f6=?;168ll5649>0dc=><168o?5649>0g2=><168o95649>0gg=><168oj5649~wgc4290::v371g8ab>;19k09895277495a2<5?8o6>;4=716>63<5?:o6>o4=72f>6g<5?:m6>o4=733>6g<5?;m6>o4=703>6g<5?8:6>o4=701>6g<5?:?6>o4=726>6g<5?9;6>o4=712>6g<5o4=4df>6g<5?9=6>o4=714>6g<5?8n6>o4}r`f6?6=9?q6425?=:=>01:89:0f0?805l39?708<5;17?807l392708?e;1:?807n392708>0;1:?806n392708=0;1:?8059392708=2;1:?807<392708?5;1:?8048392708<1;1:?83al39270;ie;1:?804>392708<7;1:?805m3927p}me083>3}:?m>1nk5266695a4<5?l:6?:;;<4e6?7c:27<>h450;4x92b42kl01;9>:0f1?80bm38?8639eg82`7=:?;o1?n5270c97f=z{knm6=49{<5g6?da34<=i7?k2:?5ad<5<=16:hl51e08924b2:h01:?n:2`8yvdcm3:1:v38d08ab>;1>k0:h?526d49612<5?o<65bg9>23>=9m801;k=:367?80b;3;o>6382d80=>;09h0856s|bea94?0|5>im6oh4=746>4b53434?=;l1vojm:18581dm3hm70892;3g6>;1lh0989526e`95a4<5>8o6>m4=63:>6e56z?4gad39>2a0=:=>01;j8:0f1?815l39i709>9;1a?xuel00;6;u27ba9fc=:>72334=8328n9708le;070>;1ko0:h?5273f97<=:?831?45rscge>5<6nr7>jl4=459>0f6=l1o019m?:e:`?82fj3n3n63;ac8g<<=:a>034>ji7j7b:?7e`;3j80o44524c39`=><5=h:6i68;<6a0?b?j27?n94k889>0g2=l12019l;:e:4?82e?3n3n63;b68g<<=:a>034>im7j7b:?7fd;3jm0o44524cf9`=><5=ho6i68;|qaa1<72:q6;;6525689=0128n870:nb;d5?xuel>0;6>u27`g95a5<550;0x90`028n870;94;00e>{tl831<7=t=201>g`<5j326?:;;<:b`?da3tyo=54?:2y>776=jo16o46525689=gd2kl0q~j>7;297~;49l0ij63l968101=:0hh1nk5rse35>5<4s49:o7li;?n:cd89f?22;>?706n9;`e?xuc9=0;6>u230:9fc=:k0>1>9:4=9c;>g`53z?0534=459>;?i?0ij6s|d0294?5|5:;;6oh4=b;3>723342j87li;|qg4c<72:q6?=k5bg9>g=`=:=>015o<:cd8yvb7m3:1?v3<0b8ab>;d0l0989528`09fc=z{m:o6=4<{<13e?da34i3h7<;4:?;e4f83hm7p}k0c83>6}:;9<1nk52c9`9612<513m6oh4}rf3e?6=;r78<94mf:?`2908w0=?2;`e?8e?138?86379e8ab>{tl921<7=t=223>g`<5j236?:;;<::g?da3tyo<:4?:2y>6cc=jo16o59525689=?e2kl0q~j?5;297~;5nh0ij63l848101=:0031nk5rse27>5<4s48m47li;42;>?70667;`e?xuc8;0;6>u22g69fc=:k181>9:4=9;5>g`53z?1b7<<3=jo1vi>?:18084a83hm70m70;070>;?1=0ij6s|cgd94?5|5;on6oh4=b5e>7233422?7li;|q`b`<72:q6>hm5bg9>g2c=:=>0157=:cd8yveal3:1?v3=e`8ab>;d?m0989528839fc=z{jlh6=4<{<0f6}:;l:1nk52cg:9612<50:h6oh4}rf43?6=;r78hh4mf:?`b2<5<=165=l5bg9~wa112908w0=kc;`e?8ea>38?86360`8ab>{tl>?1<7=t=2fb>g`<5jl>6?:;;<;3=?da3tyo;94?:2y>7a>=jo16ok:525689<6?2kl0q~j83;297~;4l?0ij63lf28101=:19=1nk5rse51>5<4s49o87li;j=:cd89f`62;>?707?5;`e?xuc?90;6>u23e29fc=:ko:1>9:4=827>g`53z?0gf=54=jo1vi8k:18085di3hm70mjd;070>;>880ij6s|d7a94?5|5:i36oh4=bg`>723343;<7li;|qg2g<72:q6?n85bg9>g`d=:=>015hi:cd8yvb1i3:1?v3;dmh0989528gg9fc=z{m<26=4<{<1`6?da34in57<;4:?;baak3hm7p}k6683>6}:;ko1nk52cd59612<51li6oh4}rf52?6=;r78nn4mf:?`a3<5<=164ko5bg9~wa022908w0=ma;`e?8eb=38?8637f88ab>{tl?91<7=t=2`5>g`<5jo86?:;;<:e3?da3tyo:?4?:2y>7g2=jo16oh<525689=`12kl0q~j91;297~;4j;0ij63le08101=:0o?1nk5rse43>5<4s49i<7li;oj:cd89fba2;>?706i3;`e?xuc=l0;6>u23`a9fc=:kmo1>9:4=9d1>g`h7>53z?0ed;?n90ij6s|d4`94?5|5:k=6oh4=bfa>723342nj7li;|qg1d<72:q6?l:5bg9>gag=:=>015kj:cd8yvb203:1?v3;dl10989528da9fc=z{m?<6=4<{<1:a?da34io;7<;4:?;agbi3hm7p}k5483>6}:;0k1nk52ce79612<51o26oh4}rf60?6=;r78554mf:?``1<5<=164h65bg9~wa342908w0=66;`e?8ec;38?8637e68ab>{tl<81<7=t=2;7>g`<5jn96?:;;<:f2?da3tyo9<4?:2y>7<4=jo16oi?525689=c22kl0q~j:0;297~;4190ij63ld18101=:0l>1nk5rse6e>5<4s493i7li;4mf:p`1b=839p1>6n:cd89fec2;>?706j1;`e?xucu239:9fc=:kji1>9:4=9g3>g`53z?0<3;?ll0ij6s|d5;94?5|5:296oh4=ba:>723342oh7li;|qg0=<72:q6?5>5bg9>gf>=:=>015jl:cd8yvb3?3:1?v3<7d8ab>;dk>0989528e`9fc=z{m>=6=4<{<14g?da34ih:7<;4:?;`dc13hm7p}k4583>6}:;>21nk52cb69612<51n36oh4}rf76?6=;r78;94mf:?`g7<5<=164i85bg9~wa262908w0=82;`e?8ed938?8637d48ab>{tl=:1<7=t=253>g`<5ji;6?:;;<:g0?da3tyo?k4?:2y>73c=jo16ooh525689=b42kl0q~jj0ij63lbd8101=:0m81nk5rse1g>5<4s49=m7li;87:cd89fdd2;>?706k0;`e?xuc;k0;6>u23749fc=:kkh1>9:4=9ae>g`53z?021;?km0ij6s|d2594?5|5:?n6oh4=b`4>723342hn7li;|qg73<72:q6?8m5bg9>gg0=:=>015mn:cd8yvb4=3:1?v3<5`8ab>;dj<0989528b;9fc=z{m9?6=4<{<16=50;1x96312kl01nl<:367?8>d?3hm7p}k3383>6}:;<>1nk52cc09612<51i=6oh4}rf05?6=;r789?4mf:?`f4<5<=164n;5bg9~wa572908w0=:0;`e?8ee838?8637c58ab>{tl;l1<7=t=26f>g`<5jkm6?:;;<:`7?da3tyo>h4?:2y>71e=jo16olk525689=e52kl0q~j=c;297~;4<10ij63lab8101=:0j:1nk5rse0a>5<4s49?:7li;:;:cd89fgf2;>?706me;`e?xuc:00;6>u23509fc=:kh31>9:4=9`g>g`53z?005;?jk0ij6s|d3494?5|5:9h6oh4=bc5>723342im7li;|qg60<72:q6?>o5bg9>gd3=:=>015l6:cd8yvb5<3:1?v3<398ab>;di=0989528c:9fc=z{m886=4<{<102?da34ij?7<;4:?;f2:367?8>e=3hm7p}k2183>6}:;::1nk52c`29612<51h?6oh4}rf2b?6=;r78>h4mf:?`=c<5<=164o=5bg9~wa7b2908w0==c;`e?8e>m38?8637b38ab>{tl8n1<7=t=20b>g`<5j3o6?:;;<:a5?da3tyo=n4?:2y>77>=jo16o4m525689=d72kl0q~j>b;297~;4:?0ij63l9c8101=:0hl1nk5rse3b>5<4s49987li;?=:cd89f?62;>?706n5;`e?xuc8?0;6>u22ga9fc=:k1<1>9:4=9;b>g`53z?0a1=5c=jo1vi8i:18085dm3hm70mjf;070>;>8:0ij6s|d7694?5|5:h36oh4=bg7>723342m47li;|qg1<<72:q6?l<5bg9>ga?=:=>015kk:cd8yvb3m3:1?v3<8b8ab>;dkl0989528d09fc=z{m>86=4<{<142?da34ih?7<;4:?;`2650;1x96072kl01nl7:367?8>dk3hm7p}k2e83>6}:;=k1nk52c`f9612<51i:6oh4}rf16?6=;r78?94mf:?`e7<5<=164o85bg9~wf`e2908w0{t<9>1<7g`<5:896?:;;|q746<72;q6???5bg9>776=:=>0q~:?2;296~;49o0ij63<1d8101=z{=::6=4={<12`?da349:o7<;4:p056=838p1>?m:cd8967f2;>?7p}7}:;831nk5230:961252z?0525;`e?856<38?86s|3g`94?4|5:;:6oh4=233>7233ty8jl4?:3y>75`=jo16?=k52568yv5a13:1>v3<0e8ab>;48j09895rs2d;>5<5s49;n7li;<13e?43<2wx?k950;0x966>2kl01>>7:367?xu4n?0;6?u23159fc=:;9<1>9:4}r1e1?6=:r78<84mf:?041<5<=1v>h;:181857;3hm70=?2;070>{t;o91<7g`<5::;6?:;;|q0b7<72;q6>kh5bg9>6cc=:=>0q~=i0;296~;5nk0ij63=f`8101=z{:om6=4={<0e=?da348m47<;4:p7`c=838p1?h8:cd897`12;>?7p}7}::o?1nk522g6961252z?1b67233ty8i44?:3y>6`b=jo16>hm52568yv5b03:1>v3=ec8ab>;5mh09895rs2g4>5<5s48n57li;<0fk=:367?xu3>:0;6?u23d39fc=:;l:1>9:4}r656?6=:r78hk4mf:?0``<5<=1v98>:18185cl3hm70=kc;070>{tg`<5:nj6?:;;|q71c<72;q6?i75bg9>7a>=:=>0q~::e;296~;4l>0ij63j<:cd896b52;>?7p};5c83>7}:;m;1nk523e29612>57>52z?0ga7233ty?9;4?:3y>7f1=jo16?n852568yv22=3:1>v3;4k=09895rs577>5<5s49h?7li;<1`6?43<2wx88=50;0x96e62kl01>m?:367?xu3=;0;6?u23cd9fc=:;ko1>9:4}r665?6=:r78ni4mf:?0ff<5<=1v9;?:18185ej3hm70=ma;070>{t<=o1<7g`<5:h=6?:;;|q70a<72;q6?o;5bg9>7g2=:=>0q~:;c;296~;4j:0ij63i6=4={<1a5?da349i<7<;4:p01g=838p1>oi:cd896gb2;>?7p};4883>7}:;hn1nk523`a9612?47>52z?0eg7233ty?884?:3y>7d3=jo16?l:52568yv23;3:1>v3;4i909895rs561>5<5s492j7li;<1:a?43<2wx89?50;0x96?c2kl01>7l:367?xu3<90;6?u238`9fc=:;0k1>9:4}r60b?6=:r78544mf:?0==<5<=1v9=j:18185>?3hm70=66;070>{t<:n1<7g`<5:3?6?:;;|q77f<72;q6?4=5bg9>7<4=:=>0q~:=838p1>6m:cd896>f2;>?7p};3683>7}:;131nk5239:96128:7>52z?0<27233ty??>4?:3y>7=7=jo16?5>52568yv24:3:1>v3<7g8ab>;4?l09895rs512>5<5s49>50;0x961e2kl01>9n:367?xu3:o0;6?u236;9fc=:;>21>9:4}r61`?6=:r78;84mf:?031<5<=1v9{t<;h1<7g`<5:=;6?:;;|q76d<72;q6?;h5bg9>73c=:=>0q~:=9;296~;4>m0ij63<6b8101=z{=836=4={<15f?da349=m7<;4:p071=838p1>86:cd8960?2;>?7p};2783>7}:;?=1nk523749612997>52z?0207233ty?><4?:3y>70b=jo16?8m52568yv2583:1>v3<5c8ab>;4=h09895rs53e>5<5s49>57li;<16;9:367?xu39m0;6?u23479fc=:;<>1>9:4}r62g?6=:r789>4mf:?017<5<=1v9?m:18185293hm70=:0;070>{t<8k1<7g`<5:>n6?:;;|q75<<72;q6?9j5bg9>71e=:=>0q~:>7;296~;4<00ij63<498101=z{=;=6=4={<173?da349?:7<;4:p043=838p1>:::cd896232;>?7p};1583>7}:;=91nk523509612:?7>52z?0047233ty?==4?:3y>76d=jo16?>o52568yv27n3:1>v3<388ab>;4;109895rs52f>5<5s498;7li;<102?43<2wx8=m50;0x96542kl01>==:367?xu38k0;6?u23239fc=:;::1>9:4}r63e?6=:r78>k4mf:?06`<5<=1v9>6:181855l3hm70==c;070>{t<921<7g`<5:8j6?:;;|q742<72;q6??75bg9>77>=:=>0q~:?6;296~;4:>0ij63<278101=z{=:>6=4={<111?da349987<;4:p7ce=838p1>?<:cd896752;>?7p}7}::on1nk522ga9612=97>52z?0a07233ty?894?:3y>7d5=jo16?l<52568yv2413:1>v3<8e8ab>;40j09895rs50f>5<5s49<;7li;<142?43<2wx8?=50;0x96062kl01>8?:367?xu3910;6?u235`9fc=:;=k1>9:4}r63`?6=:r78?84mf:?071<5<=1v>k9:18184b?3hm70{tj<;1<76g<50:m6?:;;|qa3=<72;q654=53b9>=60=:=>0q~l73;296~;>1=08m636408101=z{k2n6=4={<;:0?5d343?o7<;4:pf?7p}ma583>7}:10?1?n52970961252z?:=3<4=272:i4=459~wgdf2909w0766;1;?8?0038?86s|bb794?4|5h;>6>o4=8:0>7233tyih=4?:3y>e43=;j1655k52568yvd2k3:1>v3n1780e>;>9h09895rsc44>5<5s4k::7=l;<;11?43<2wxn:>50;0x9d702:k0149:4}r`46?6=:r7j=54<5:?:75<5<=1vo9<:1818g60393707<1;070>{tj>>1<76g<50996?:;;|qa30<72;q6m?953b9>=65=:=>0q~l86;296~;f:108m636358101=z{k=<6=4={?7p}m7`83>7}:i;31?n5292:961252z?b6d<4=272?44=459~wg1d2909w0o=a;1;?8?4i38?86s|b6f94?4|5h926>o4=81a>7233tyi;h4?:3y>e6?=;j165>m52568yvd0n3:1>v3n3`80e>;>;m09895rsc:3>5<5s4k8m7=l;<;0a?43<2wxn5?50;0x9d5e2:k014=i:367?xue0;0;6?u2a2`97f=:1=:1>9:4}r`;0?6=:r7j?n4<5:?:07<5<=1vo6::1818g4k393707;3;070>{tj1<1<76g<50>?6?:;;|qa<2<72;q6m9l53b9>=13=:=>0q~l78;296~;f?7p}m8c83>7}:i=n1?n5295;961252z?b0`<4=2728l4=459~wg>c2909w0o;e;1;?8?3j38?86s|b9d94?4|5h?o6>o4=86g>7233tyi5=4?:3y>e0b=;j1659k52568yvd>93:1>v3n5d80e>;>5<5s4k>i7=l;<;64?43<2wxn4=50;0x9d3a2:k014;>:367?xue1=0;6?u2a4d97f=:1<81>9:4}r`:1?6=:r7j:=4<5:?:16<5<=1vo79:1818g18393707:4;070>{tj0=1<76g<50?>6?:;;|qa==<72;q6m;h53b9>=00=:=>0q~l6a;296~;f?908m636598101=z{k3i6=4={57<;4:pf:2c89<3f2;>?7p}m9e83>7}:i>;1?n5294`961252z?b37<4=2729n4=459~wg?a2909w0o82;1;?8?2l38?86s|b`294?4|5h2:6>o4=87f>7233tyim<4?:3y>e=7=;j1658h52568yvdf:3:1>v3n8380e>;>>909895rscc0>5<5s4k3>7=l;<;55?43<2wxnl;50;0x9d>42:k0148<:367?xuei?0;6?u2a9197f=:1?>1>9:4}r`b3?6=:r7j494<5:?:20<5<=1voo7:1818g?<39370796;070>{tjh31<76g<50<<6?:;;|qaed<72;q6m4=53b9>=3>=:=>0q~lnb;296~;f1=08m636688101=z{kkh6=4={?7p}mad83>7}:i0?1?n5297a961252z?b=3<4=272:h4=459~wgd62909w0o66;1;?8?1n38?86s|bc094?4|5hk>6>o4=853>7233tyin>4?:3y>ed3=;j165:?52568yvde<3:1>v3na780e>;>?;09895rsc`6>5<5s4kj:7=l;<;47?43<2wxno850;0x9dg02:k0149;:367?xuej>0;6?u2a`597f=:1>?1>9:4}r`a{tjkh1<76g<50=26?:;;|qaff<72;q65l;53b9>=2g=:=>0q~lmd;296~;>i?08m6367c8101=z{khn6=4={<;b2?5d343?7p}mc183>7}:1h=1?n5296g961252z?:e=<4=272;k4=459~wge52909w07n8;1;?8??838?86s|bb194?4|50h<6>o4=8:2>7233tyio94?:3y>=g1=;j1655<52568yvdd>3:1>v36b980e>;>0=09895rsca4>5<5s43i47=l;<;;1?43<2wxnn650;0x92:k01469:367?xuek00;6?u29c;97f=:11=1>9:4}r``e?6=:r72nl4<5:?:<=<5<=1vomm:1818?ei39370779;070>{tjji1<76g<502j6?:;;|qaga<72;q65n753b9>==d=:=>0q~lle;296~;>kh08m6368b8101=z{kim6=4={<;`e?5d3433h7<;4:pf04=838p14mm:2c89<772;>?7p}m5283>7}:1jh1?n52903961287>52z?:gf<4=272=?4=459~wg322909w07lc;1;?8?6;38?86s|b4494?4|50ni6>o4=837>7233tyi9:4?:3y>=ad=;j165<;52568yvd203:1>v36db80e>;>9?09895rsc7:>5<5s43oo7=l;<;23?43<2wxn8o50;0x99:4}r`6`?6=:r72hh4<5:?:5g<5<=1vo;j:1818?cm393707>c;070>{tj6g<50;o6?:;;|qa25<72;q65hj53b9>=4c=:=>0q~l91;296~;>ml08m6361g8101=z{k<96=4={<;fa?5d3439<7<;4:pf35=838p14ki:2c89<462;>?7p}m6583>7}:1ll1?n52930961252z?:b5<4=272>>4=459~wg012909w07i0;1;?8?5<38?86s|b7:94?4|5h::6>o4=805>7233tyi:44?:3y>e57=;j165?952568yvd1i3:1>v3n0380e>;>:109895rsc4a>5<5s4k;>7=l;<;1=?43<2wxn;m50;0x9d642:k014m0;6?u2a1197f=:1;h1>9:4}r`5a?6=:r7j<94<5:?:6f<5<=1vo8i:1818g7<393707=d;070>{tim;1<7=t=8;0>6?<50:m6oh4=9:e>7233tyjj54?:2y>=<5=;k165>85bg9>0q~l?3;297~;>1=085636408ab>;?k809895rsc2f>5<4s43287=m;<;7g?da342ho7<;4:pf4?=839p147::2;89<302kl015j8:367?xue:=0;6>u298797g=:1?81nk528d0961253z?:=3<4<272:i4mf:?;aa<5<=1vo=n:1808?>>39=70788;`e?8>a038?86s|b5794?5|5h;>6>74=8:0>g`<50:86?:;;|qa15<72:q6m<;53c9>==c=jo165=k52568yvgck3:1?v3n1780=>;>9h0ij6379`8101=z{ho<6=4<{50;1x9d702:3014?7p}nf083>6}:i8=1?o5293d9fc=:0hl1>9:4}rce6?6=;r7j=54<4:?:758;15?8?493hm706m1;070>{tio>1<7=t=`04>6?<50996oh4=9`1>7233tyjj84?:2y>e71=;k165>=5bg9>0q~oi6;297~;f:1085636358ab>;?j=09895rs`d4>5<4s4k947=m;<;01?da342i97<;4:pec?=839p1l<6:2;89<502kl015l8:367?xufnh0;6>u2a3;97g=:1:21nk528c:961253z?b6d<4<272?44mf:?;f<<5<=1vlhl:1808g5i39=707ei38?86s|agf94?5|5h926>74=81a>g`<51hi6?:;;|qbb`<72:q6m>753c9>=6e=jo164om52568yvgan3:1?v3n3`80=>;>;m0ij637be8101=z{k:;6=4<{?7p}m0383>6}:i:h1?o529529fc=:0j:1>9:4}r`30?6=;r7j?n4<4:?:07{tj9<1<7=t=`6a>6?<50>?6oh4=9a7>7233tyi<:4?:2y>e1d=;k1659;5bg9>0q~l?8;297~;f;?k?09895rsc2:>5<4s4k?o7=m;<;73?da342h;7<;4:pf5g=839p1l:k:2;89<2?2kl015m7:367?xue8k0;6>u2a5f97g=:1=31nk528b;961253z?b0`<4<2728l4mf:?;gd<5<=1vo>k:1808g3m39=707;b;`e?8>dj38?86s|b1d94?5|5h?o6>74=86g>g`<51io6?:;;|qa55<72:q6m8j53c9>=1c=jo164nk52568yvd693:1?v3n5d80=>;><7li;<:g4?43<2wxn<=50;1x9d3a2:3014;>:cd89=b62;>?7p}m1583>6}:i9:4}r`21?6=;r7j:=4<4:?:164=459~wg712908w0o90;15?8?2<3hm706k4;070>{tj8=1<7=t=`4e>6?<50?>6oh4=9f6>7233tyi=54?:2y>e3`=;k165885bg9>0q~l>a;297~;f?9085636598ab>;?l109895rsc3a>5<4s4k<<7=m;<;6=?da342o57<;4:pf4e=839p1l9>:2;89<3f2kl015jn:367?xue9m0;6>u2a6397g=:153z?b37<4<2729n4mf:?;`f<5<=1vo?i:1808g0:39=707:d;`e?8>cl38?86s|b3294?5|5h2:6>74=87f>g`<51nn6?:;;|qa64<72:q6m5?53c9>=0`=jo164ih52568yvd5:3:1?v3n8380=>;>>90ij637e18101=z{k886=4<{42:30148<:cd89=c42;>?7p}m2783>6}:i191?o529769fc=:0l>1>9:4}r`13?6=;r7j494<4:?:203hm706j6;070>{tj;31<7=t=`;0>6?<50<<6oh4=9g4>7233tyi>l4?:2y>e<5=;k165;65bg9><`>=:=>0q~l=b;297~;f1=085636688ab>;?m009895rsc0`>5<4s4k287=m;<;5e?da342nm7<;4:pf7b=839p1l7::2;89<0e2kl015km:367?xue:l0;6>u2a8797g=:1?i1nk528da961253z?b=3<4<272:h4mf:?;a`<5<=1vo=>:1808g>>39=7079f;`e?8>bn38?86s|b2094?5|5hk>6>74=853>g`<51l;6?:;;|qa76<72:q6ml;53c9>=27=jo164k?52568yvd4<3:1?v3na780=>;>?;0ij637f38101=z{k9>6=4<{850;1x9dg02:30149;:cd89=`32;>?7p}m3683>6}:ih=1?o529679fc=:0o?1>9:4}r`02908w0on8;15?8?0?3hm706i7;070>{tj:h1<7=t=8c6>6?<50=26oh4=9d:>7233tyi?n4?:2y>=d3=;k165:o5bg9>0q~li?0856367c8ab>;?nk09895rsc1f>5<4s43j:7=m;<;4g?da342mo7<;4:pf6`=839p14o8:2;89<1c2kl015hk:367?xue<90;6>u29`597g=:1>o1nk528gg961253z?:e=<4<272;k4mf:?;bc<5<=1vo:=:1808?f039=70770;`e?8?7838?86s|b5194?5|50h<6>74=8:2>g`<50::6?:;;|qa01<72:q65o953c9>==4=jo165=<52568yvd3>3:1?v36b980=>;>0=0ij636058101=z{k><6=4<{<;a2:301469:cd89<612;>?7p}m4883>6}:1k31?o529959fc=:19=1>9:4}r`7e?6=;r72nl4<4:?:<={tj=i1<7=t=8a:>6?<502j6oh4=82b>7233tyi8i4?:2y>=f?=;k1655l5bg9>=5d=:=>0q~l;e;297~;>kh0856368b8ab>;>8j09895rsc6e>5<4s43hm7=m;<;;`?da343;h7<;4:pea4=839p14mm:2;89<772kl0157?:367?xufl:0;6>u29b`97g=:18;1nk52883961253z?:gf<4<272=?4mf:?;=7<5<=1vlj::1808?dk39=707>3;`e?8>>;38?86s|ae494?5|50ni6>74=837>g`<513?6?:;;|qb`2<72:q65il53c9>=43=jo1644;52568yvgc03:1?v36db80=>;>9?0ij637978101=z{hn26=4<{<;gg?5e343:;7li;<::3?43<2wxmio50;1x9?7p}ndc83>6}:1mn1?o5290;9fc=:0031>9:4}rcg`?6=;r72hh4<4:?:5g{timl1<7=t=8gg>6?<50;o6oh4=9;g>7233tyji=4?:2y>=`b=;k165<0q~oj1;297~;>ml0856361g8ab>;?1o09895rs`g1>5<4s43ni7=m;<;14?da342j<7<;4:pe`5=839p14ki:2;89<462kl015o>:367?xufm=0;6>u29dd97g=:1;81nk528`0961253z?:b5<4<272>>4mf:?;e6<5<=1vlk9:1808?a839=707=4;`e?8>f<38?86s|ad:94?5|5h::6>74=805>g`<51k=6?:;;|qba<<72:q6m=?53c9>=71=jo164l952568yvgbi3:1?v3n0380=>;>:10ij637a98101=z{hoi6=4<{?7p}nee83>6}:i991?o5293`9fc=:0hh1>9:4}rcfa?6=;r7j<94<4:?:6f{tij=1<7g`<5h::6?:9;|qbf=<72;q65hm523589<`c2>l0q~ol6;296~;>ll0ij636ee8103=z{hh<6=4={<;ge?45?272i448f:pef3=838p14ml:cd89=7p}nb783>7}:1j21>?94=8f4>2`52z?:fd;>k<07213tyjn94?:3y>=d2=:;=014l<:6d8yvgd:3:1>v3na98ab>;>i<098;5rs``0>5<5s4kj87<=7:?:e4<0n2wxmi>50;0x9d?12kl01lo::365?xufk80;6?u2a809671<5hk:6:h4}rc`b?6=:r7j494mf:?b=6<5{tijo1<7g`<5h2:6?:9;|qbfc<72;q6m;k523589d1c2>l0q~old;296~;f>90ij63n6g8103=z{hhn6=4={=7p}nbe83>7}:i=k1>?94=`7:>2`52z?b7f;f<>07213tyjno4?:3y>e70=:;=01l=::6d8yvgd13:1>v3n198ab>;f:>098;5rs``b>5<5s4k:87<=7:?b66<0n2wxmn650;0x91v47m:1818?>93=m70760;013>{t10i1<72`<503:6?<8;|q:=a<72;q654=525689<>a2;??7p}69683>7}:10>1>984=8;0>g`52z?:=1<5<=1654>52468yv?>03:1>v36948103=:10>1nk5rs8;e>5<5s43297<;4:?:=4<5==1v476:1818?>>38?9636948ab>{t1h:1<77233432>7<:4:pe4c=838p1l?;:6d89d742;8<7p}n1e83>7}:i881>?94=`30>2`52z?b54<5==16m<;52568yvg613:1>v3n178103=:i8?1nk5rs`03>5<5s4k::7<;4:?b57<5==1vl?n:1818g6?38?:63n178ab>{ti;;1<772334k:?7<:4:pe4d=838p1l?7:366?8g6?3hm7p}n2383>7}:i821>9:4=`37>7333tyj=n4?:3y>e47=:;=01l?=:6d8yvg483:1>v3n2784b>;f:<09>:5rs`0e>5<5s4k987<=7:?b60<0n2wxm>?50;0x9d442;??70o=7;070>{ti;h1<772134k9;7li;|qb77<72;q6m?6525689d432;??7p}n2b83>7}:i;31>984=`0;>g`52z?b6<<5<=16m?;52468yvg5l3:1>v3n2`8100=:i;31nk5rs`17>5<5s4k9m7<;4:?b63<5==1vl{ti=81<72`<5h9<6?<8;|qb04<72;q6m>8523589d502>l0q~o;3;296~;f;<099952a2;961252z?b7d<575bg9~wd232909w0o;f;?09995rs`1f>5<5s4k8n7<;6:?b7d?70o<7;060>{ti:l1<772234k8n7li;|qb03<72;q6m>m525689d5?2;??7p}n4183>7}:i:?1>?94=`15>2`87>52z?b0d<0n27j844=269~wd342909w0o;8;013>;f<00<6?;;;=70o;b;`e?xuf=?0;6?u2a5a9612<5h>36?;;;|qb15<72;q6m9j525489d2d2kl0q~o:7;296~;f=7>52z?b0`<5<<16m9j5bg9~wd3?2909w0o;e;070>;f5<5s4k?;7<=7:?b0=<0n2wxm;850;0x9d3d2>l01l;m:304?xuf><0;6?u2a4c9671<5h?i6:h4}rc53?6=:r7j944=559>e0b=:=>0q~o91;296~;f=l098;52a4f9fc=z{h<36=4={;f=l0ij6s|a7;94?4|5h?m6?:;;>70o:f;`e?xuf>h0;6?u2a729612<5h?h6?;;;|qb21<72;q6m87523589d3f2>l0q~o88;296~;f>l0e26=:=>01l8l:377?xuf?=0;6?u2a639610<5h=;6oh4}rc4f?6=:r7j;<4=459>e3b=:<>0q~o85;296~;f?;098852a639fc=z{h=h6=4={;f>j07403tyj444?:3y>e2c=:;=01l9i:6d8yvg?j3:1>v3n7e8111=:i1;1>9:4}rc;1?6=:r7j4?4=479>e=7=jo1vl6l:1818g?:38?863n7d8111=z{h2=6=4={27j4?4mf:pe=b=838p1l6<:367?8g0n38>86s|a9594?4|5h2?6?::;e=2=:=>01l6?:377?xuf010;6?u2a6f9671<5h=n6:h4}rc:g?6=:r7j5?48f:?b=4<5:>1vl7m:1818g>8389;63n9084b>{ti0n1<773334k2?7<;4:pe<1=838p1l7;:365?8g>;3hm7p}n9d83>7}:i0>1>9:4=`;3>7333tyj554?:3y>e<3=:=<01l7;:cd8yvg>n3:1>v3n948101=:i0;1>8:4}rc:=?6=:r7j5;4=449>e<3=jo1vlo?:1818g>>38?863n938111=z{h3j6=4={7}:ih81>?94=`c0>2`52z?be4<5==16ml;52568yvgf13:1>v3na78103=:ih?1nk5rs``3>5<5s4kj:7<;4:?be7<5==1vlon:1818gf?38?:63na78ab>{tik;1<772334kj?7<:4:pedd=838p1lo7:366?8gf?3hm7p}nb383>7}:ih21>9:4=`c7>7333tyjmn4?:3y>ed7=:;=01lo=:6d8yv?fm3:1>v36a584b>;>i:09>:5rs8cg>5<5s43j>7<=7:?:e6<0n2wx5lh50;0x9{t1h31<7721343j97li;|q:f5<72;q65l85256897}:1h=1>984=8c5>g`52z?:e2<5<=165l=52468yv?fj3:1>v36a98100=:1h=1nk5rs8`1>5<5s43j47<;4:?:e1<5==1v4ol:1818?f9389;636a384b>{t1j:1<72`<50h>6?<8;|q:fc<72;q65o:523589l0q~7l1;296~;>j:0999529c5961252z?:f=<5;>j=09995rs8``>5<5s43i57<;6:?:f=2;>?707m5;060>{t1kn1<7722343i57li;|q:g1<72;q65oo5256897}:1k91>?94=8`7>2`7>52z?:g=<0n272o:4=269~w;>k>06?;;;<;`=?43<2wx5nj50;0x9=707l9;`e?xu>l=0;6?u29bc9612<50i=6?;;;|q:g`<72;q65nl525489kk0989529b5960252z?:gf<5<<165nl5bg9~w;>k109995rs8f3>5<5s43h97<=7:?:g3<0n2wx5h:50;0x9l014j6:304?xu>m:0;6?u29e:9671<50n26:h4}r;f1?6=:r72h:4=559>=ad=:=>0q~7kf;296~;>lj098;529e`9fc=z{0o=6=4={<;gg?43<272h54=559~w;>lj0ij6s|9d594?4|50no6?:;;<;g=?42<2wx5h?50;0x9>707kd;`e?xu>m10;6?u29eg9612<50nj6?;;;|q:a7<72;q65i9523589l0q~7i6;296~;>mj06=4={<;fe?45?272io48f:p=c1=838p14k6:377?8?bl38?86s|9g394?4|50on6?:9;<;f`?da3ty2j54?:3y>=`c=:=>014kn:377?xu>n;0;6?u29dd9610<50on6oh4}r;e=?6=:r72ik4=459>=`d=:<>0q~7i3;296~;>n90988529dd9fc=z{0lj6=4={<;e4?43<272in4=559~w<`32909w07j9;013>;>mh07403tyje56=?o165kh52358yvg7j3:1>v3n018162=:1oi1;k5rs`2:>5<5s43mi7<=7:?:bc<0n2wxm=j50;0x9<`c2;??70o?1;070>{ti9?1<772134k;=7li;|qb4`<72;q6m=<525689<`b2;??7p}n0783>7}:i991>984=`21>g`52z?b46<5<=165kh52468yvg7?3:1>v3n058100=:i991nk5rs`33>5<5s4k;87<;4:?b45<5==1vl>7:1818?al389;636fd84b>{t?lh1<775f342;m7?k5:p3`e=838p1:kl:31b?8>7i3;o86s|80;94?5|51;<6?:;;<:26>3hm7p}8f483>7}:?o>1>9:4=6d3>g`53z?4ac3c7=jo1v:h7:18181bn38?;638f08101=z{>l26=4={<5e7?43<276s|7g494?3|5>l?6484=6d0><0<5>on6?=n;<5e4??134=m=779;|q;22<72;q6;n>522c89=6c28n=7p}76883>7}:?j81>>o4=931>4b13ty3:l4?:3y>3f5=::k015??:0f6?xu?>k0;6?u27b6966g<51;:67p}76e83>7}:?j<1>>o4=931>4b23ty3:h4?:3y>3f1=::k015??:0f0?xu?>o0;6?u27b:966g<51;:67}:?jk1>>o4=920>4b03ty3:54?:3y>3f7=::k015??:0f5?xu??:0;6ou280f966g<51?<6?:8;<:60?43?2739=4=469><1e=:==015:7:364?8>3<38?;637418102=:0:i1>994=91;>720342887<;7:p3g?=839p1:j::31b?81b13hm709j8;`e?xu0jm0;6>u280d93a=:08o1;i527df966g52z?;21c;00e>;?9m0:h<5rs6`1>5<5s42::7<;4:?;5g<>>2wx4:o50;5x9=2d2;>?706;d;3g6>;?9;0:h>5280295a4<51:86d39~w=1e290;?=80:h?5280295a2<51:86d39><47=9m90q~68c;297~;?==09895284795a4<51:86{t0>=1<7?={<5`5?7c=27d49>3f?=9m?01:m7:0f6?81d?3;o9638c782`0=:?j?1=i;4=6a7>4b234=h?7?k5:?4g7<6l<16;n>51e789=272;>?706;1;3g6>;?9:0:h?5280695a4<51:j6d59~w=1?2903w06;4;070>;?<<0:h?5280195a1<51:m6273d29><44=9m>015>m:0f7?xu??00;68u285:9612<51>26d39><5e=9m80q~684;2956}:?lh1=i=4=6g`>4b434=h=7?k2:?4gd<6l;16;n751e0892e?28n9709l7;3g6>;0k?0:h?527b795a4<5>i?6d39>3f6=9m8015=;:367?8>4=3;o>6371282`3=:08>1=i=4=92:>4b5342;97<:4:p<23=83;8w09jb;3g6>;0mj0:h?527b395a5<5>ij6d29>3f1=9m901:m9:0f0?81d=3;o?638c582`6=:?j91=i=4=6a1>4b434=h<7?k3:?;7=<5<=164>751e089=7428n?706>4;3g0>;?8h0:h;5281:95a5513y>3f7=9m>01:mn:0f7?81d13;o8638c982`1=:?j=1=i:4=6a5>4b334=h97?k4:?4g1<6l=16;n=51e6892e528n?709l0;3g0>;?;j09895282f95a4<51;86d29><50=9m<015>8:0f1?xu0j>0;6>u27e795a2<5>o26?:;;<:32?7c<2wx;o650;1x92cf2;>?709k5;3g6>;?8?0:h>5rs6`5>5<4s4=o97?k3:?4a=<5<=164=851e78yv1b83:1nv38d584`>;0l:0;0l80;0ko0;0km0;0kk0h86=4={<:2f?43<273<:4>d79~w2db2909w06>3;3g1>;0nl09895rs6`e>5<4s42:87?k6:?;45<5<=164=?51e08yv1b<3:1?v37648101=:0?<1=i<4=924>4b23ty<42=9m=01:k::367?81b>3;o>6s|7d394?4|51;n6?:;;<:32?7c?2wx;h<50;0x9=7a2;>?706?7;3g7>{t?m<1<772334=no7?k7:p3a1=838p1:ml:367?81bk3;o:6s|7e:94?4|5>io6?:;;<5fg?7c<2wx;i750;0x92eb2;>?709jc;3g1>{t?mk1<772334=nn7?k7:p3ad=838p1:j?:367?81bj3;o:6s|7ea94?4|5>n:6?:;;<5ff?7c<2wx;ij50;0x92b52;>?709jb;3g1>{t?mo1<7723342;57?k3:p3a`=838p1:j;:367?8>713;o86s|7c794?4|5>o<6?:;;<:21?7c<2wx;om50;6x92`d2;>?706>5;3g6>;0mm0:h?527gf95a455z?4bg<5<=16;km5bg9><43=9m901:kk:0f0?81al3;o?6s|7cc94?2|5>li6oh4=6ge>72334=m?79k;<5e7?da3ty3>44?:3y><62=jo164>8522c8yv>583:1?v373684b>;?;h0l015=8:304?xu?:k0;6?u282a9fc=:0:o1>>o4}r:16?6=;r73?k48f:?;07<0n273?o4=269~w=4d2909w06;0;`e?8>3:388m6s|83194?5|51>86:h4=965>2`<519m6?<8;|q;6a<72;q649:5bg9><10=::k0q~6=4;297~;?<>0;?<:09>:5rs90f>5<5s42?47li;<:7e?44i2wx4?;50;1x9=2e2>l015:j:6d89=202;8<7p}72g83>7}:0=i1nk5285g966g53z?;0c<0n2739?48f:?;0g<5:>1v5=?:1818>283hm706:2;00e>{t0;=1<7=t=970>2`<51?=6:h4=96e>7403ty3?<4?:3y><02=jo16488522c8yv>503:1>v375884b>;?=:09>:5rs911>5<5s42>;7li;<:6=?44i2wx48o50;1x9=522;9j706<3;060>;?;?09>;5rs97a>5<4s42857<o52348yv>2k3:1?v373e817d=:0:h1>8:4=91f>7413ty39i4?:2y><17=::k015=i:377?8>3:389:6s|84g94?5|51>>6?=n;<:77?42<2738;4=279~w=3a2908w06;9;00e>;?<>09995285c967053z?;0a<5;h1649l524689=2b2;8=7p}76083>6}:0<;1>>o4=96e>733342>>7<=6:p<34=839p15;::31b?8>2;38>8637578163=z{1<86=4={<:62`<5<;m6?<8;|q653<72;q69?:5bg9>170=::k0q~;?d;297~;2:>0;2::09>:5rs434>5<5s4?947li;<71e?44i2wx9=k50;1x904e2>l0187}:=;i1nk5253g966g53z?66c<0n27>??48f:?66g<5:>1v8?6:18183483hm70;<2;00e>{t=8:1<7=t=410>2`<5<9=6:h4=40e>7403ty>=l4?:3y>162=jo169>8522c8yv3693:1?v3:3684b>;2;h0l018=8:304?xu29j0;6?u252a9fc=:=:o1>>o4}r727?6=;r7>?k48f:?607<0n27>?o4=269~w07c2909w0;;0;`e?833:388m6s|50694?4|5<>>6:h4=41e>7403ty>=h4?:3y>115=jo1699;522c8yv33>3:1?v3:20817d=:=8l1>8:4=401>7413ty>8:4?:2y>173=::k018<<:377?835>389:6s|55:94?5|5<826?=n;<713?42<27>>l4=279~w02>2908w0;=d;00e>;2:k09995253g967053z?674<5;h169?h5246890552;8=7p}:4c83>6}:=:?1>>o4=410>73334?8:7<=6:p11e=839p18=6:31b?834?38>863:3`8163=z{<>o6=4<{<70`?44i27>?o4=559>16c=:;<0q~;;e;297~;2<809?l5252d9602<5<>96?<9;|q60c<72;q699:522c890222;8=7p}8b083>7}:?>l1nk527c2966g52z?4e`<6l<16;lh522c8yv1?13:15v387g8111=:?1:1>8:4=6:2>73334=3>7<:4:?4<6<5==16;5:5246892>22;??70976;060>;0il09?l5rs6:4>5<4s4=jj7?k2:?4e7<5<=16;l=5bg9~w2>?2909w09nf;3g7>;0im09895rs6c4>5<2s4=j9779;<5b0??134=2i7<>27m3;o>6s|7``94?4|5>k?6?:;;<5b6?da3ty3<`=:=>01:o;:6f892g32kl0q~9n9;296~;01o098:527`3961253z?4=c{t?0<1<7;t=6;7><0<5>386484=6:a>75f34=3i779;<5;`??13ty<5i4?:3y>3<4=:=>01:6m:0f1?xu01j0;6?u27829612<5>3:6oh4}r5:f?6=:r7<4k4=459>3<6=jo1v:7n:18181>;38?86388g8ab>{t?031<7=t=6:`>72334=2?79k;<5:7?da3ty<554?:3y>3=e=:==01:6j:367?xu01>0;6>u279a9fc=:?1o1nk5279f961252z?4=1<5<=16;5j5bg9~w3662909w0;ic;3g6>;2nl09895rs723>5<5s4?mh7li;<7ea?43>2wx:=<50;0x90`d28n870;id;070>{t=ol1<7g`<5?7p}93383>7}:>::1nk52623961052z?56c<6l:16:>>52568yv07?3:1>v390282`7=:>9?1>9:4}r432?6=:r7=<94mf:?540<57:181807;3;o?639058101=z{?826=4={<42g?7c:27=>?4=459~w3412909w08=1;`e?805:38?:6s|63c94?4|5?;h6:365?xu1:k0;6?u260f95a4<5?8;6?:;;|q56=<72;q6:276=:=<0q~8=c;296~;19m0:h>5260d961252z?55`<6l;16:v391d82`6=:>8n1>>o4}r411?6=:r7==o4mf:?55`<5;h1v;?8:181807i3;o>639118101=z{?;?6=4={<43b?da34<:<7<;6:p24>=838p1;>n:0f0?807n38?86s|60794?4|5?:n6oh4=72e>7213ty==44?:3y>25d=9m801;>j:367?xu19?0;6?u261f9fc=:>9o1>984}r42e?6=:r7=d29>25b=:=>0q~8>1;296~;18j0:h?5261c966g7>52z?54f<6l:16:=l522c8yv06;3:1>v39088ab>;18j09?l5rs71;>5>708?5;`e?xu0>k0;6ou26279612<5?l:6:j4=7gf>2b<5?oj6:j4=7g5>2b<5?o96:j4=7ff>2b<5?nj6:j4=7f5>2b<5?n96:j4=7af>2b52z?56a388m6s|76g94?4|5><<6?:;;<551c?=:=>018hn:cd8yv11l3:1>v386884`>;1;?09895rs653>5<5s4==m79k;<403?43<2wx;:l50;0x93512kl01;=8:365?xu1;h0;6?u277793a=:>;o1>9:4}r54e?6=:r7=?:4mf:?56`<5{t=o21<7:t=64:>72034==m7<;7:?420<5<>16:==522c8yv1003:1>v39058103=:=oo1nk5rs71`>516:lo5255893g12;><708n2;073>;11l098:5268c9611<5?3=6?:8;<4:6?43?27=4h4=469>2=g=:==0q~985;296~;1;<0ij639318103=z{>=?6=4={<43`?43>27=?<4mf:p325=838p1;??:cd8937a2;>=7p}93c83>f}:???1>9:4=72g>6c<5?:n6>k4=72e>6c<5?;;6>k4=73e>6c<5?8;6>k4=702>6c<5?896>k4=720>73334<9j7<:4:?56`<4m2wx;:?50;ax920f2;>?708?b;060>;18h09995260f9602<5?;h6?;;;<430?5b34<;97=j;<404?5b34<8=7=j;<7e`?5b34?mi7=j;<403?5b3ty<:h4?:4y>33?=:=>01;>l:377?806m38>863:fb8111=:>:<1?h5rs651>5<5s4<9>7li;<51`?43>2wx;;h50;0x920f2kl01:<7:304?xu0>j0;6?u277;9fc=:?8>1>?94}r40=?6=:r7<:84mf:?445<5:>1v;l6:18180fm388m639ag8163=z{?h36=4<{<4bf?44i27=m44=559>2de=:;<0q~8m7;297~;1i>09?l526`79602<5?k36?<9;|q5f3<72:q6:l=522c893g62;??708n4;012>{t>k?1<7=t=7;e>75f34<2h7<:4:?5e5<5:?1v;l;:18080>j388m639988111=:>0i1>?84}r4a7?6=;r7=5:4=3`9>2<3=:<>01;77:305?xu1j;0;6>u2681966g<5?3:6?;;;<4:0?45>2wx:o?50;1x93>a2;9j7087d;060>;11909>;5rs7`3>5<4s4<3n7<v39ae8ab>;1io09?l5rs75f>5<5s4u26`;93c=:>hi1;k526`7967152z?5e3{t>1?1<7g`<5?k?6?=n;|q53g<72:q6:l?57g9>2d2=?o16:4j52358yv0?<3:1>v399d8ab>;1i909?l5rs75b>5<4s4<2h79i;<4b4?1a34<257<=7:p2=5=838p1;7n:cd893?d2;9j7p}97883>6}:>031;k5268a93c=:>0?1>?94}r4;6?6=:r7=5;4mf:?5==<5;h1v;97:18080>=3=m70868;5e?80>9389;6s|69394?4|5?396oh4=7;7>75f3ty=;:4?:2y>2<7=?o16:4:57g9>2=b=:;=0q~870;296~;10l0ij63991817d=z{?==6=4<{<4;`?1a34<2<79i;<4;=?45?2wx::h50;0x93>f2kl01;6l:31b?xu1nm0;6?u26g0966g<5?l86?<9;|q5bf<72:q6:hh522c893cc2;??708i0;012>{t>oh1<7=t=7ga>75f34l21>?84}r4e=?6=;r7=i>4=3`9>2`7=:<>01;k;:305?xu1n10;6>u26ed966g<5?no6?;;;<4f4?45>2wx:k950;1x93be2;9j708k9;060>;1lj09>;5rs7d5>5<4s4m;1>8:4=7f7>7413ty=j94?:2y>2f`=::k01;mk:377?80c8389:6s|6ba94?4|5?l:6oh4=7d0>75f3ty=o?4?:3y>2c5=?o16:hj52358yv0dj3:1>v39ed8ab>;1n909?l5rs7a2>5<4s46}:>l31;k526da93c=:>l?1>?94}r4`=?6=:r7=i;4mf:?5a=<5;h1v;li:18080b=3=m708j8;5e?80b9389;6s|6b:94?4|5?o96oh4=7g7>75f3ty=nh4?:2y>2`7=?o16:h:57g9>2ab=:;=0q~8l7;296~;1ll0ij639e1817d=z{?ho6=4<{<4g`?1a34u26e;93c=:>mi1;k526e7967152z?5`3{t>j>1<7g`<5?n?6?=n;|q5fd<72:q6:i?57g9>2a2=?o16:nj52358yv0d;3:1>v39cd8ab>;1l909?l5rs765>5<5s4<<97li;<440?44i2wx:9;50;0x93152kl01;9>:31b?xu1<=0;6?u267d9fc=:>?o1>>o4}r477?6=:r7=:n4mf:?52g<5;h1v;:=:18180113hm70898;00e>{t>=;1<7g`<5?<>6?=n;|q505<72;q6:;=5bg9>234=::k0q~890ij6395g817d=z{?9n6=4={<46`?da34<>o7<2;9j7p}84183>7}:>ol1>>o4=613>g`52z?5b`<5;h16;;0810ij6s|75594?4|5?>o6?:;;<463?da3ty<8;4?:3y>21e=:=>01;:k:cd8yv13=3:1>v394c8101=:>=i1nk5rs667>5<5s42;>?708;a;`e?xu0<;0;6?u265:9612<5?>26oh4}r575?6=;r7=9;4=459>21>=jo16:::51e68yv1283:1?v39548101=:><<1nk5266395a253z?511<5<=16:8;5bg9>23c=9m>0q~9;e;297~;1=:0989526469fc=:>?h1=i:4}r57`?6=;r7=9?4=459>205=jo16:;651e68yv13k3:1?v39508101=:><81nk5267795a253z?515<5<=16:8?5bg9>234=9m>0q~9;a;297~;121`=jo16:8m51e68yv1303:1?v39468101=:>=o1nk5264;95a252z?5bc<6l;16;<752548yv14l3:1>v39fd82`7=:?9?1>984}r550?6=d29>2db=:=>01;oj:0f1?817>39n7p}86283>1}:>>;1=i=4=7cb>72334;1i>0:h?5271497g=z{><:6=4;{<45f?7c;27=m?4=459>2d5=9m801:>9:2c8yv1183:18v396982`6=:>0o1>9:4=7;e>4b534=;:7=6;|q41c<72=q6:;;51e1893?f2;>?7086b;3g6>;08<08i6s|74g94?2|5?<96d39>353=;j1v:;k:187802n3;o?639938101=:>091=i<4=626>6do7>54z?51f<6l:16:5k5256893>a28n9709?5;1b?xu0=k0;69u264;95a5<5?2j6?:;;<4;f?7c:27<<84<9:p30g=838p1;9::367?800;3hm7p}85883>7}:>>81>9:4=753>g`47>52z?52c<5<=16:;j5bg9~w2302909w089c;070>;1>h0ij6s|74494?4|5?<26?:;;<453?da3ty<984?:3y>230=:=>01;8;:cd8yv12<3:1>v39628101=:>?;1nk5rs670>5<5s4<=<7<;4:?51`?708:b;`e?xu0=80;6?u264c9612<5??36oh4}r500?6=:r7<>l48f:?46<<5:>1v:=::181815j3=m709=a;013>{t?:<1<72`<5>8i6?<8;|q472<72;q6;?m52358924?2>l0q~9<8;296~;0:m09895273;960252z?46`<52909w09=e;070>;0:h09995rs611>5<5s4=9j7<;6:?46`o50;0x924a2;>?709=b;060>{t?:91<772234=9j7li;|q47g<72;q6;>>52568924d2;??7p}82183>7}:?8<1;k52707967152z?452<0n27<=;4=269~w2452909w09>8;5e?816?389;6s|73194?4|5>;36?<8;<520?1a3ty<>94?:3y>34?=:=>01:?::377?xu09m0;6?u270c9610<5>;26oh4}r511?6=:r7<=l4=459>340=:<>0q~9>e;296~;09k098;5270c9fc=z{>8=6=4={<52f?43<27<=:4=559~w27a2909w09>c;071>;09k0ij6s|73594?4|5>;h6?:;;<52l01:>>:304?xu08m0;6?u271193c=:?981>?94}r53a?6=:r7<<948f:?446<5:>1v:>i:181817<389;6380184b>{t?8:1<772334=;=7<:4:p35?=838p1:>9:365?817=3hm7p}81083>7}:?9<1>9:4=621>7333ty<351=:=<01:>9:cd8yv16:3:1>v38068101=:?991>8:4}r53f?6=:r7<<54=449>351=jo1v:?<:181817038?8638058111=z{==86=4={<64g?da34>52>l0199m:304?xu3?=0;6?u24929fc=:<181>>o4}r65`?6=;r7?4>48f:?7<3<0n27?;k4=269~w1122909w0:74;`e?82?>388m6s|47g94?5|5=2<6:h4=5:b>2`<5=286?<8;|q733<72;q68565bg9>0=g=::k0q~:9f;297~;30k0;30>09>:5rs554>5<5s4>3o7li;<6;a?44i2wx8:>50;1x91>a2>l0197=:6d891>e2;8<7p};7983>7}:<0:1nk52480966g<=7>53z?7=6<0n27?5;48f:?71v996:18182><3hm70:66;00e>{t<>81<72`<5=386?<8;|q73d<72;q68495bg9>0{t<0i1<7=t=5:6>75f34>3?7<:4:?7<3<5:?1v97k:18082?1388m63;868111=:<1k1>?84}r6:a?6=;r7?4i4=3`9>0=d=:<>0196j:305?xu31o0;6>u2483966g<5=2m6?;;;<6:6?45>2wx8l>50;1x91?22;9j70:63;060>;31?09>;5rs5c2>5<5s4>247<:94>d79~w0052909w0;92;00e>;2>=0:h85rs440>5<5s4?=?7<=:1818>7;388m6370g82`1=z{1:86=4={<:3g?44i273d59~w=6d2909w06?d;00e>;?8o0:h;5rs92f>5<5s42;n7?k7:?;4c<5;h1v5>i:1818>6:388m6370982`2=z{1;96=4={<:27?44i273<54>d59~w=742909w06>4;00e>;?810:h85rs927>5<5s42;97<::1818>70388m6370c82`3=z{1:36=4={<:3=?44i273d69~w=6>2909w06?b;3g1>;?8h09?l5rs6de>5<4mlq6;kk5bg9>`<0=::i01569:2789=>12:<01569:2:89=>62:?0156>:2489=>62:201?k9:26897c12:?01?k9:24896532:>01>=;:27896532:<01>:n:268962f2:?01>:n:24896072:>01>8?:27896072:<01>99:26896112:?01>99:24896>d2:>01>6l:27896>d2:<01>o=:26896g52:?01>o=:24896d?2:>01>l7:27896d?2:<01>mj:26896eb2:?01>mj:24896c32:>01>k;:27896c32:<01?hl:26897`d2:?01?hl:24896752:>01>?=:27896752:<01><;:26896432:?01><;:24896412:>01><9:27896412:<01><7:268964?2:?01><7:248964f2:>01>01>=?:26896572:?01>=?:24896552:>01>==:27896552:<01>=9:26896512:?01>=9:248965?2:>01>=7:278965?2:<01>=n:268965f2:?01>=n:248965d2:>01>=l:278965d2:<01>=j:268965b2:?01>=j:24896272:>01>:?:27896272:<01>:=:26896252:?01>:=:24896232:>01>:;:27896232:<01>:9:26896212:?01>:9:248962?2:>01>:7:278962?2:<01>:l:268962d2:?01>:l:248962b2:>01>:j:278962b2:<01>;?:26896372:?01>;?:24896352:>01>;=:27896352:<01>;;:26896332:?01>;;:24896312:>01>;9:27896312:<01>;7:268963?2:?01>;7:248963f2:>01>;n:278963f2:<01>;l:268963d2:?01>;l:248963b2:>01>;j:278963b2:<01>8=:26896052:?01>8=:24896032:>01>8;:27896032:<01>89:26896012:?01>89:248960?2:>01>87:278960?2:<01>8n:268960f2:?01>8n:248960d2:>01>8l:278960d2:<01>8j:268960b2:?01>8j:24896172:>01>9?:27896172:<01>9=:26896152:?01>9=:24896132:>01>9;:27896132:<01>97:268961?2:?01>97:248961f2:>01>9n:278961f2:<01>9l:268961d2:?01>9l:248961b2:>01>9j:278961b2:<01>6?:26896>72:?01>6?:24896>52:>01>6=:27896>52:<01>6;:26896>32:?01>6;:24896>12:>01>69:27896>12:<01>67:26896>?2:?01>67:24896>f2:>01>6n:27896>f2:<01>6j:26896>b2:?01>6j:24896?72:>01>7?:27896?72:<01>7=:26896?52:?01>7=:24896?32:>01>7;:27896?32:<01>79:26896?12:?01>79:24896??2:>01>77:27896??2:<01>7n:26896?f2:?01>7n:24896?d2:>01>7l:27896?d2:<01>7j:26896?b2:?01>7j:24896g72:>01>o?:27896g72:<01>o;:26896g32:?01>o;:24896g12:>01>o9:27896g12:<01>o7:26896g?2:?01>o7:24896gf2:>01>on:27896gf2:<01>ol:26896gd2:?01>ol:24896gb2:>01>oj:27896gb2:<01>l?:26896d72:?01>l?:24896d52:>01>l=:27896d52:<01>l;:26896d32:?01>l;:24896d12:>01>l9:27896d12:<01>ln:26896df2:?01>ln:24896dd2:>01>ll:27896dd2:<01>lj:26896db2:?01>lj:24896e72:>01>m?:27896e72:<01>m=:26896e52:?01>m=:24896e32:>01>m;:27896e32:<01>m9:26896e12:?01>m9:24896e?2:>01>m7:27896e?2:<01>mn:26896ef2:?01>mn:24896ed2:>01>ml:27896ed2:<01>j?:26896b72:?01>j?:24896b52:>01>j=:27896b52:<01>j;:26896b32:?01>j;:24896b12:>01>j9:27896b12:<01>j7:26896b?2:?01>j7:24896bf2:>01>jn:27896bf2:<01>jl:26896bd2:?01>jl:24896bb2:>01>jj:27896bb2:<01>k?:26896c72:?01>k?:24896c52:>01>k=:27896c52:<01?k7:26897c?2:?01?k7:24897cf2:>01?kn:27897cf2:<01?kl:26897cd2:?01?kl:24897cb2:>01?kj:27897cb2:<01?h?:26897`72:?01?h?:24897`52:>01?h=:27897`52:<01?h;:26897`32:?01?h;:24897`12:>01?h9:27897`12:<01?h7:26897`?2:?01?h7:24897`f2:>01?hn:27897`f2:<01?hj:26897`b2:?01?hj:24896672:>01>>?:27896672:<01>>=:26896652:?01>>=:24896632:>01>>;:27896632:<01>>9:26896612:?01>>9:248966?2:>01>>7:278966?2:<01>>n:268966f2:?01>>n:248966d2:>01>>l:278966d2:<01>>j:268966b2:?01>>j:24896772:>01>??:27896772:<01>?;:26896732:?01>?;:24896712:>01>?9:27896712:<01>?7:268967?2:?01>?7:248967f2:>01>?n:278967f2:<01>?l:268967d2:?01>?l:248967b2:>01>?j:278967b2:<01>01><=:27896452:<0147::2g89a2>l01l?8:2g89d712:o01l?::2g89d4>2:o01l<7:2g89d402:o01l=m:2g89d5f2:o01l=6:2g89d2c2:o01l:l:2g89d2e2:o01l;i:2g89d3b2:o01l;k:2g89d162:o01l9?:2g89d0a2:o01l6<:2g89d>52:o01l6>:2g89d?22:o01l7;:2g89d?42:o01lo8:2g89dg12:o01lo::2g892:o014jk:2g89<:2g89d652:o01l>>:2g89<`d2;??709ib;5g?81ak3=o709j7;5g?81bi3hm70694;5g?81a:3=o706>7;5g?8>603=o706:7;5g?8>2<3=o706:0;5g?8>3k3=o706;8;5g?8>3<3=o706;0;5g?8>4k3=o706<8;5g?8>4<3=o706<3;5e?8>4>3=m70;>f;5e?835:3=m709nf;3g0>;0i;0;00o0;0180;1=>08863956801>;1=>08:6395680<>;1km0;1000;0:o0856382g80e>;0:o08n6382g80g>;0:o08i63831800>;0;908963831802>;0;90846382884b>;0:109995270`97<=:?8h1?l5270`97g=:?8h1?n5270`97`=:?8i1?95270a970=:?8i1?;5270a97==:?8?1;k527069602<5>:<6>74=624>6g<5>:<6>l4=624>6e<5>:<6>k4=62;>62<5>:36>;4=62;>60<5>:36>64=622>2`<5>:;6?;;;<64f?1a34>h<7;;;<6`4?3434>h<7:j;<6`4?1634>h<79?;<6`4?0e34>h<7kl;<6`4?cf34>h<7k6;<6`4?c?34>h<7k8;<6`4?c134>h<7h:;<6`4?`334>h<7h<;<6`4?`534>h<7h>;<6`4?`734>h<7ki;<6`4?cb34>h<7kk;<6`4?c234>h<7?>e:?7g5<69j168n>510`891e728;j70:l0;32=>;3k90:=5524b29571<5=i;6<<9;<6`4?75n27?o=4>2e9>0f6=9:=019m?:0`6?82d838?n63;c1810a=:524``9`7=:46c34>jn7??b:?7eg<68h168ll511;891ge28:370:nb;333>;3ik0:<;524``9553<5=ki6<>;;<6bf?77;27?mo4>039>0dd=99:019om:gd891ge2oo019om:gf891ge288h70:nb;31e>;3ik0:>4524``957><5=ki6<=;;<6bf?74:27?mo4>b59>0dd=:=h019om:36`?82fm3><70:ne;65?82fm3>>70:ne;1e?82fm370:ne;f7?82fm3n870:ne;f1?82fm3n:70:ne;f3?82fm3in70:ne;ag?82fm3ih70:ne;aa?82fm3ij70:ne;a:?82fm3i370:ne;a4?82fm3i=70:ne;a6?82fm3o?70:ne;g0?82fm3o970:ne;g2?82fm3o;70:ne;fe?82fm3nn70:ne;fb?82fm3im70:ne;a7?82fm3;;j63;ad824a=:46f34>ji7??9:?7e`<681168lk5115891gb28:=70:ne;331>;3il0:<9524`g9555<5=kn6<>=;<6ba?77827?mh4if:?7e`;3il0:?9524`g9564<5=kn60g7=<>168o?5479>0g7=<<168o?53g9>0g7=>=168o?5629>0g7=>;168o?55b9>0g7=lm168o?5dc9>0g7=l0168o?5d99>0g7=l>168o?5d79>0g7=l<168o?5d59>0g7=l:168o?5d39>0g7=l8168o?5d19>0g7=kl168o?5ce9>0g7=kj168o?5cc9>0g7=kh168o?5c89>0g7=k1168o?5c69>0g7=k?168o?5c49>0g7=m=168o?5e29>0g7=m;168o?5e09>0g7=m9168o?5dg9>0g7=ll168o?5d`9>0g7=ko168o?5c59>0g7=99l019l>:02g?82e93;;n63;b0824d=:46?34>i=7??7:?7f4<68?168o?5117891d628:?70:m1;337>;3j80:cc<5=h:6kj4=5`2>44d34>i=7?=a:?7f4<6:0168o?513:891d6289?70:m1;306>;3j80:n9524c3961d<5=h:6?:l;<6a0?2034>i87:9;<6a0?2234>i87=i;<6a0?0334>i878<;<6a0?0534>i87;l;<6a0?bc34>i87jm;<6a0?b>34>i87j7;<6a0?b034>i87j9;<6a0?b234>i87j;;<6a0?b434>i87j=;<6a0?b634>i87j?;<6a0?eb34>i87mk;<6a0?ed34>i87mm;<6a0?ef34>i87m6;<6a0?e?34>i87m8;<6a0?e134>i87m:;<6a0?c334>i87k<;<6a0?c534>i87k>;<6a0?c734>i87ji;<6a0?bb34>i87jn;<6a0?ea34>i87m;;<6a0?77n27?n94>0e9>0g2=99h019l;:02b?82e<3;;563;b5824==:1==94=5`7>46134>i87??5:?7f1<68=168o:5111891d328:970:m4;334>;3j=0mj63;b58ea>;3j=0mh63;b5826f=:1=?o4=5`7>44>34>i87?=8:?7f1<6;=168o:5120891d328h?70:m4;07f>;3j=098n524c5902=:524c5927=:524c59a7=:k;<6a3?77j27?n:4>0`9>0g1=993019l8:02;?82e?3;;;63;b68243=:46334>i;7??3:?7f2<68;168o95112891d02ol019l8:gg891d02on019l8:00`?82e?3;9m63;b6826<=:45334>i;7?<2:?7f2<6j=168o9525`891d02;>h70:ma;64?82ei3>=70:ma;66?82ei39m70:ma;47?82ei3<870:ma;41?82ei3?h70:ma;fg?82ei3ni70:ma;f:?82ei3n370:ma;f4?82ei3n=70:ma;f6?82ei3n?70:ma;f0?82ei3n970:ma;f2?82ei3n;70:ma;af?82ei3io70:ma;a`?82ei3ii70:ma;ab?82ei3i270:ma;a;?82ei3i<70:ma;a5?82ei3i>70:ma;g7?82ei3o870:ma;g1?82ei3o:70:ma;g3?82ei3nm70:ma;ff?82ei3nj70:ma;ae?82ei3i?70:ma;33b>;3jh0:n;<6ae?77127?nl4>099>0gg=99=019ln:025?82ei3;;963;b`8241=:46534>im7??0:?7fd2b9>0gg=9;k019ln:00:?82ei3;9463;b`8271=:<4=5`b>4d334>im7<;b:?7fd<50gb=0gb=;o168oj5659>0gb=>:168oj5639>0gb==j168oj5de9>0gb=lk168oj5d89>0gb=l1168oj5d69>0gb=l?168oj5d49>0gb=l=168oj5d29>0gb=l;168oj5d09>0gb=l9168oj5cd9>0gb=km168oj5cb9>0gb=kk168oj5c`9>0gb=k0168oj5c99>0gb=k>168oj5c79>0gb=k<168oj5e59>0gb=m:168oj5e39>0gb=m8168oj5e19>0gb=lo168oj5dd9>0gb=lh168oj5cg9>0gb=k=168oj511d891dc28:o70:md;33f>;3jm0:7;<6a`?77?27?ni4>079>0gb=99?019lk:027?82el3;;?63;be8247=:4=5`g>c`<5=ho6kk4=5`g>cb<5=ho6<289>0gb=9;2019lk:017?82el3;8>63;be82f1=:9l4=5`g>72d3ty3<<4?:3y><57=::k015>?:cd8yv>1>3:1>v3767817d=:0??1nk5rs6g5>5<5s4=n:7<>:50;0x9=772;9j706?f;3g3>{t::?1<775f342;j7?k5:p660=838p15>9:31b?8>7=3;o>6s|22594?4|51:<6?=n;<:31?7c;2wvb??jf;295~Nc?k1vb??i0;295~Nc?k1vb??i1;295~Nc?k1vb??i2;295~Nc?k1vb??i3;295~Nc?k1vb??i4;295~Nc?k1vb??i5;295~Nc?k1vb??i6;295~Nc?k1vb??i7;295~Nc?k1vb??i8;295~Nc?k1vb??i9;295~Nc?k1vb??ia;295~Nc?k1vb??ib;295~Nc?k1vb??ic;295~Nc?k1vb??id;295~Nc?k1vb??ie;295~Nc?k1vb??if;295~Nc?k1vb?0;295~Nc?k1vb?<>1;295~Nc?k1vb?<>2;295~Nc?k1vb?<>3;295~Nc?k1vb?<>4;295~Nc?k1vb?<>5;295~Nc?k1vb?<>6;295~Nc?k1vb?<>7;295~Nc?k1vb?<>8;295~Nc?k1vb?<>9;295~Nc?k1vb?<>a;295~Nc?k1vb?<>b;295~Nc?k1vb?<>c;295~Nc?k1vb?<>d;295~Nc?k1vb?<>e;295~Nc?k1vb?<>f;295~Nc?k1vb?<=0;295~Nc?k1vb?<=1;295~Nc?k1vb?<=2;295~Nc?k1vb?<=3;295~Nc?k1vb?<=4;295~Nc?k1vb?<=5;295~Nc?k1vb?<=6;295~Nc?k1vb?<=7;295~Nc?k1vb?<=8;295~Nc?k1vb?<=9;295~Nc?k1vb?<=a;295~Nc?k1vb?<=b;295~Nc?k1vb?<=c;295~Nc?k1vb?<=d;295~Nc?k1vb?<=e;295~Nc?k1vb?<=f;295~Nc?k1vb?<<0;295~Nc?k1vb?<<1;295~Nc?k1vb?<<2;295~Nc?k1vb?<<3;295~Nc?k1vb?<<4;295~Nc?k1vb?<<5;295~Nc?k1vb?<<6;295~Nc?k1vb?<<7;295~Nc?k1vb?<<8;295~Nc?k1vb?<<9;295~Nc?k1vb?<0;295~Nc?k1vb?=>1;295~Nc?k1vb?=>2;295~Nc?k1vb?=>3;295~Nc?k1vb?=>4;295~Nc?k1vb?=>5;295~Nc?k1vb?=>6;295~Nc?k1vb?=>7;295~Nc?k1vb?=>8;295~Nc?k1vb?=>9;295~Nc?k1vb?=>a;295~Nc?k1vb?=>b;295~Nc?k1vb?=>c;295~Nc?k1vb?=>d;295~Nc?k1vb?=>e;295~Nc?k1vb?=>f;295~Nc?k1vb?==0;295~Nc?k1vb?==1;295~Nc?k1vb?==2;295~Nc?k1vb?==3;295~Nc?k1vb?==4;295~Nc?k1vb?==5;295~Nc?k1vb?==6;295~Nc?k1vb?==7;295~Nc?k1vb?==8;295~Nc?k1vb?==9;295~Nc?k1vb?==a;295~Nc?k1vb?==b;295~Nc?k1vb?==c;295~Nc?k1vb?==d;295~Nc?k1vb?==e;295~Nc?k1vb?==f;295~Nc?k1vb?=<0;295~Nc?k1vb?=<1;295~Nc?k1vb?=<2;295~Nc?k1vb?=<3;295~Nc?k1vb?=<4;295~Nc?k1vb?=<5;295~Nc?k1vb?=<6;295~Nc?k1vb?=<7;295~Nc?k1vb?=<8;295~Nc?k1vb?=<9;295~Nc?k1vb?=0;295~Nc?k1vb?:>1;295~Nc?k1vb?:>2;295~Nc?k1vb?:>3;295~Nc?k1vb?:>4;295~Nc?k1vb?:>5;295~Nc?k1vb?:>6;295~Nc?k1vb?:>7;295~Nc?k1vb?:>8;295~Nc?k1vb?:>9;295~Nc?k1vb?:>a;295~Nc?k1vb?:>b;295~Nc?k1vb?:>c;295~Nc?k1vb?:>d;295~Nc?k1vb?:>e;295~Nc?k1vb?:>f;295~Nc?k1vb?:=0;295~Nc?k1vb?:=1;295~Nc?k1vb?:=2;295~Nc?k1vb?:=3;295~Nc?k1vb?:=4;295~Nc?k1vb?:=5;295~Nc?k1vb?:=6;295~Nc?k1vb?:=7;295~Nc?k1vb?:=8;295~Nc?k1vb?:=9;295~Nc?k1vb?:=a;295~Nc?k1vb?:=b;295~Nc?k1vb?:=c;295~Nc?k1vb?:=d;295~Nc?k1vb?:=e;295~Nc?k1vb?:=f;295~Nc?k1vb?:<0;295~Nc?k1vb?:<1;295~Nc?k1vb?:<2;295~Nc?k1vb?:<3;295~Nc?k1vb?:<4;295~Nc?k1vb?:<5;295~Nc?k1vb?:<6;295~Nc?k1vb?:<7;295~Nc?k1vb?:<8;295~Nc?k1vb?:<9;295~Nc?k1vb?:0;295~Nc?k1vb?;>1;295~Nc?k1vb?;>2;295~Nc?k1vb?;>3;295~Nc?k1vb?;>4;295~Nc?k1vb?;>5;295~Nc?k1vb?;>6;295~Nc?k1vb?;>7;295~Nc?k1vb?;>8;295~Nc?k1vb?;>9;295~Nc?k1vb?;>a;295~Nc?k1vb?;>b;295~Nc?k1vb?;>c;295~Nc?k1vb?;>d;295~Nc?k1vb?;>e;295~Nc?k1vb?;>f;295~Nc?k1vb?;=0;295~Nc?k1vb?;=1;295~Nc?k1vb?;=2;295~Nc?k1vb?;=3;295~Nc?k1vb?;=4;295~Nc?k1vb?;=5;295~Nc?k1vb?;=6;295~Nc?k1vb?;=7;295~Nc?k1vb?;=8;295~Nc?k1vb?;=9;295~Nc?k1vb?;=a;295~Nc?k1vb?;=b;295~Nc?k1vb?;=c;295~Nc?k1vb?;=d;295~Nc?k1vb?;=e;295~Nc?k1vb?;=f;295~Nc?k1vb?;<0;295~Nc?k1vb?;<1;295~Nc?k1vb?;<2;295~Nc?k1vb?;<3;295~Nc?k1vb?;<4;295~Nc?k1vb?;<5;295~Nc?k1vb?;<6;295~Nc?k1vb?;<7;295~Nc?k1vb?;<8;295~Nc?k1vb?;<9;295~Nc?k1vb?;0;295~Nc?k1vb?8>1;295~Nc?k1vb?8>2;295~Nc?k1vb?8>3;295~Nc?k1vb?8>4;295~Nc?k1vb?8>5;295~Nc?k1vb?8>6;295~Nc?k1vb?8>7;295~Nc?k1vb?8>8;295~Nc?k1vb?8>9;295~Nc?k1vb?8>a;295~Nc?k1vb?8>b;295~Nc?k1vb?8>c;295~Nc?k1vb?8>d;295~Nc?k1vb?8>e;295~Nc?k1vb?8>f;295~Nc?k1vb?8=0;295~Nc?k1vb?8=1;295~Nc?k1vb?8=2;295~Nc?k1vb?8=3;295~Nc?k1vb?8=4;295~Nc?k1vb?8=5;295~Nc?k1vb?8=6;295~Nc?k1vb?8=7;295~Nc?k1vb?8=8;295~Nc?k1vb?8=9;295~Nc?k1vb?8=a;295~Nc?k1vb?8=b;295~Nc?k1vb?8=c;295~Nc?k1vb?8=d;295~Nc?k1vb?8=e;295~Nc?k1vb?8=f;295~Nc?k1vb?8<0;295~Nc?k1vb?8<1;295~Nc?k1vb?8<2;295~Nc?k1vb?8<3;295~Nc?k1vb?8<4;295~Nc?k1vb?8<5;295~Nc?k1vb?8<6;295~Nc?k1vb?8<7;295~Nc?k1vb?8<8;295~Nc?k1vb?8<9;295~Nc?k1vb?80;295~Nc?k1vb?9>1;295~Nc?k1vb?9>2;295~Nc?k1vb?9>3;295~Nc?k1vb?9>4;295~Nc?k1vb?9>5;295~Nc?k1vb?9>6;295~Nc?k1vb?9>7;295~Nc?k1vb?9>8;295~Nc?k1vb?9>9;295~Nc?k1vb?9>a;295~Nc?k1vb?9>b;295~Nc?k1vb?9>c;295~Nc?k1vb?9>d;295~Nc?k1vb?9>e;295~Nc?k1vb?9>f;295~Nc?k1vb?9=0;295~Nc?k1vb?9=1;295~Nc?k1vb?9=2;295~Nc?k1vb?9=3;295~Nc?k1vb?9=4;295~Nc?k1vb?9=5;295~Nc?k1vb?9=6;295~Nc?k1vb?9=7;295~Nc?k1vb?9=8;295~Nc?k1vb?9=9;295~Nc?k1vb?9=a;295~Nc?k1vb?9=b;295~Nc?k1vb?9=c;295~Nc?k1vb?9=d;295~Nc?k1vb?9=e;295~Nc?k1vb?9=f;295~Nc?k1vb?9<0;295~Nc?k1vb?9<1;295~Nc?k1vb?9<2;295~Nc?k1vb?9<3;295~Nc?k1vb?9<4;295~Nc?k1vb?9<5;295~Nc?k1vb?9<6;295~Nc?k1vb?9<7;295~Nc?k1vb?9<8;295~Nc?k1vb?9<9;295~Nc?k1vb?90;295~Nc?k1vb?6>1;295~Nc?k1vb?6>2;295~Nc?k1vb?6>3;295~Nc?k1vb?6>4;295~Nc?k1vb?6>5;295~Nc?k1vb?6>6;295~Nc?k1vb?6>7;295~Nc?k1vb?6>8;295~Nc?k1vb?6>9;295~Nc?k1vb?6>a;295~Nc?k1vb?6>b;295~Nc?k1vb?6>c;295~Nc?k1vb?6>d;295~Nc?k1vb?6>e;295~Nc?k1vb?6>f;295~Nc?k1vb?6=0;295~Nc?k1vb?6=1;295~Nc?k1vb?6=2;295~Nc?k1vb?6=3;295~Nc?k1vb?6=4;295~Nc?k1vb?6=5;295~Nc?k1vb?6=6;295~Nc?k1vb?6=7;295~Nc?k1vb?6=8;295~Nc?k1vb?6=9;295~Nc?k1vb?6=a;295~Nc?k1vb?6=b;295~Nc?k1vb?6=c;295~Nc?k1vb?6=d;295~Nc?k1vb?6=e;295~Nc?k1vb?6=f;295~Nc?k1vb?6<0;295~Nc?k1vb?6<1;295~Nc?k1vb?6<2;295~Nc?k1vb?6<3;295~Nc?k1vb?6<4;295~Nc?k1vb?6<5;295~Nc?k1vb?6<6;295~Nc?k1vb?6<7;295~Nc?k1vb?6<8;295~Nc?k1vb?6<9;295~Nc?k1vb?6jr@A@x4xFGXrwKL \ No newline at end of file diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ucf b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ucf new file mode 100644 index 000000000..c94e639df --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ucf @@ -0,0 +1,15 @@ +# +# Clock constraints +# +NET "CLK" TNM_NET = D_CLK ; +INST "U0/*/U_STAT/U_DIRTY_LDC" TNM = D2_CLK; +TIMESPEC TS_D2_TO_T2_chipscope_ila = FROM D2_CLK TO "FFS" TIG; +TIMESPEC TS_J2_TO_D2_chipscope_ila = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J3_TO_D2_chipscope_ila = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J4_TO_D2_chipscope_ila = FROM "FFS" TO D2_CLK TIG; + +# +# Input keep/save net constraints +# +NET "TRIG0<*" S; +NET "TRIG0<*" KEEP; diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.v b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.v new file mode 100644 index 000000000..e231eaf35 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.v @@ -0,0 +1,31 @@ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 2015 Xilinx, Inc. +// All Rights Reserved +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 14.7 +// \ \ Application: Xilinx CORE Generator +// / / Filename : chipscope_ila.v +// /___/ /\ Timestamp : Tue Jun 30 11:48:11 PDT 2015 +// \ \ / \ +// \___\/\___\ +// +// Design Name: Verilog Synthesis Wrapper +/////////////////////////////////////////////////////////////////////////////// +// This wrapper is used to integrate with Project Navigator and PlanAhead + +`timescale 1ns/1ps + +module chipscope_ila( + CONTROL, + CLK, + TRIG0) /* synthesis syn_black_box syn_noprune=1 */; + + +inout [35 : 0] CONTROL; +input CLK; +input [127 : 0] TRIG0; + +endmodule diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.veo b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.veo new file mode 100644 index 000000000..4c4ce1250 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.veo @@ -0,0 +1,30 @@ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 2015 Xilinx, Inc. +// All Rights Reserved +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 14.7 +// \ \ Application: Xilinx CORE Generator +// / / Filename : chipscope_ila.veo +// /___/ /\ Timestamp : Tue Jun 30 11:48:11 PDT 2015 +// \ \ / \ +// \___\/\___\ +// +// Design Name: ISE Instantiation template +/////////////////////////////////////////////////////////////////////////////// + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +chipscope_ila YourInstanceName ( + .CONTROL(CONTROL), // INOUT BUS [35:0] + .CLK(CLK), // IN + .TRIG0(TRIG0) // IN BUS [127:0] +); + +// INST_TAG_END ------ End INSTANTIATION Template --------- + diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.xco b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.xco new file mode 100644 index 000000000..823a5cccf --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.xco @@ -0,0 +1,141 @@ +############################################################## +# +# Xilinx Core Generator version 14.7 +# Date: Tue Jun 30 18:46:21 2015 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:chipscope_ila:1.05.a +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx75 +SET devicefamily = spartan6 +SET flowvendor = Foundation_ISE +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = csg484 +SET removerpms = false +SET simulationfiles = Structural +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT ILA_(ChipScope_Pro_-_Integrated_Logic_Analyzer) family Xilinx,_Inc. 1.05.a +# END Select +# BEGIN Parameters +CSET check_bramcount=false +CSET component_name=chipscope_ila +CSET constraint_type=external +CSET counter_width_1=Disabled +CSET counter_width_10=Disabled +CSET counter_width_11=Disabled +CSET counter_width_12=Disabled +CSET counter_width_13=Disabled +CSET counter_width_14=Disabled +CSET counter_width_15=Disabled +CSET counter_width_16=Disabled +CSET counter_width_2=Disabled +CSET counter_width_3=Disabled +CSET counter_width_4=Disabled +CSET counter_width_5=Disabled +CSET counter_width_6=Disabled +CSET counter_width_7=Disabled +CSET counter_width_8=Disabled +CSET counter_width_9=Disabled +CSET data_port_width=0 +CSET data_same_as_trigger=true +CSET disable_save_keep=false +CSET enable_storage_qualification=true +CSET enable_trigger_output_port=false +CSET example_design=false +CSET exclude_from_data_storage_1=false +CSET exclude_from_data_storage_10=false +CSET exclude_from_data_storage_11=false +CSET exclude_from_data_storage_12=false +CSET exclude_from_data_storage_13=false +CSET exclude_from_data_storage_14=false +CSET exclude_from_data_storage_15=false +CSET exclude_from_data_storage_16=false +CSET exclude_from_data_storage_2=false +CSET exclude_from_data_storage_3=false +CSET exclude_from_data_storage_4=false +CSET exclude_from_data_storage_5=false +CSET exclude_from_data_storage_6=false +CSET exclude_from_data_storage_7=false +CSET exclude_from_data_storage_8=false +CSET exclude_from_data_storage_9=false +CSET match_type_1=basic_with_edges +CSET match_type_10=basic_with_edges +CSET match_type_11=basic_with_edges +CSET match_type_12=basic_with_edges +CSET match_type_13=basic_with_edges +CSET match_type_14=basic_with_edges +CSET match_type_15=basic_with_edges +CSET match_type_16=basic_with_edges +CSET match_type_2=basic_with_edges +CSET match_type_3=basic_with_edges +CSET match_type_4=basic_with_edges +CSET match_type_5=basic_with_edges +CSET match_type_6=basic_with_edges +CSET match_type_7=basic_with_edges +CSET match_type_8=basic_with_edges +CSET match_type_9=basic_with_edges +CSET match_units_1=1 +CSET match_units_10=1 +CSET match_units_11=1 +CSET match_units_12=1 +CSET match_units_13=1 +CSET match_units_14=1 +CSET match_units_15=1 +CSET match_units_16=1 +CSET match_units_2=1 +CSET match_units_3=1 +CSET match_units_4=1 +CSET match_units_5=1 +CSET match_units_6=1 +CSET match_units_7=1 +CSET match_units_8=1 +CSET match_units_9=1 +CSET max_sequence_levels=1 +CSET number_of_trigger_ports=1 +CSET sample_data_depth=1024 +CSET sample_on=Rising +CSET trigger_port_width_1=128 +CSET trigger_port_width_10=8 +CSET trigger_port_width_11=8 +CSET trigger_port_width_12=8 +CSET trigger_port_width_13=8 +CSET trigger_port_width_14=8 +CSET trigger_port_width_15=8 +CSET trigger_port_width_16=8 +CSET trigger_port_width_2=8 +CSET trigger_port_width_3=8 +CSET trigger_port_width_4=8 +CSET trigger_port_width_5=8 +CSET trigger_port_width_6=8 +CSET trigger_port_width_7=8 +CSET trigger_port_width_8=8 +CSET trigger_port_width_9=8 +CSET use_rpms=false +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2013-10-13T14:13:15Z +# END Extra information +GENERATE +# CRC: 76868b6e diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.xdc b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.xdc new file mode 100644 index 000000000..49e2b9e7b --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.xdc @@ -0,0 +1,6 @@ +# +# Clock constraints +# +set_false_path -from [get_cells U0/*/U_STAT/U_DIRTY_LDC] -to [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] +set_false_path -from [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC] +set_false_path -from [get_cells U0/*/U_RST/U_ARM_XFER/U_GEN_DELAY[3].U_FD] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC] diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_flist.txt b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_flist.txt new file mode 100644 index 000000000..5c3195e53 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_flist.txt @@ -0,0 +1,18 @@ +# Output products list for +_xmsgs/pn_parser.xmsgs +chipscope_ila.asy +chipscope_ila.cdc +chipscope_ila.constraints/chipscope_ila.ucf +chipscope_ila.constraints/chipscope_ila.xdc +chipscope_ila.gise +chipscope_ila.ncf +chipscope_ila.ngc +chipscope_ila.ucf +chipscope_ila.v +chipscope_ila.veo +chipscope_ila.xco +chipscope_ila.xdc +chipscope_ila.xise +chipscope_ila_flist.txt +chipscope_ila_readme.txt +chipscope_ila_xmdf.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_readme.txt b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_readme.txt new file mode 100644 index 000000000..9d997cd6f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_readme.txt @@ -0,0 +1,48 @@ +The following files were generated for 'chipscope_ila' in directory +/home/mwest/git/fpgadev/usrp3/top/b205/coregen/ + +XCO file generator: + Generate an XCO file for compatibility with legacy flows. + + * chipscope_ila.xco + +Creates an implementation netlist: + Creates an implementation netlist for the IP. + + * chipscope_ila.cdc + * chipscope_ila.constraints/chipscope_ila.ucf + * chipscope_ila.constraints/chipscope_ila.xdc + * chipscope_ila.ncf + * chipscope_ila.ngc + * chipscope_ila.ucf + * chipscope_ila.v + * chipscope_ila.veo + * chipscope_ila.xdc + * chipscope_ila_xmdf.tcl + +IP Symbol Generator: + Generate an IP symbol based on the current project options'. + + * chipscope_ila.asy + +Generate ISE subproject: + Create an ISE subproject for use when including this core in ISE designs + + * _xmsgs/pn_parser.xmsgs + * chipscope_ila.gise + * chipscope_ila.xise + +Deliver Readme: + Readme file for the IP. + + * chipscope_ila_readme.txt + +Generate FLIST file: + Text file listing all of the output files produced when a customized core was + generated in the CORE Generator. + + * chipscope_ila_flist.txt + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_xmdf.tcl b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_xmdf.tcl new file mode 100755 index 000000000..b18241e0b --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_xmdf.tcl @@ -0,0 +1,87 @@ +# The package naming convention is _xmdf +package provide chipscope_ila_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::chipscope_ila_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::chipscope_ila_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name chipscope_ila +} +# ::chipscope_ila_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::chipscope_ila_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.cdc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.constraints/chipscope_ila.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ucf +incr fcount + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.ncf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ncf +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.constraints/chipscope_ila.xdc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Xdc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module chipscope_ila +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams + diff --git a/fpga/usrp3/top/b2xxmini/coregen/coregen.cgp b/fpga/usrp3/top/b2xxmini/coregen/coregen.cgp new file mode 100644 index 000000000..127790678 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/coregen.cgp @@ -0,0 +1,9 @@ +SET busformat = BusFormatAngleBracketNotRipped +SET designentry = Verilog +SET device = xc6slx150 +SET devicefamily = spartan6 +SET flowvendor = Foundation_ISE +SET package = csg484 +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.asy b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.asy new file mode 100644 index 000000000..fd6eef6f7 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.asy @@ -0,0 +1,49 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 fifo_4k_2clk +RECTANGLE Normal 32 32 800 4064 +LINE Normal 0 112 32 112 +PIN 0 112 LEFT 36 +PINATTR PinName rst +PINATTR Polarity IN +LINE Normal 0 208 32 208 +PIN 0 208 LEFT 36 +PINATTR PinName wr_clk +PINATTR Polarity IN +LINE Wide 0 240 32 240 +PIN 0 240 LEFT 36 +PINATTR PinName din[71:0] +PINATTR Polarity IN +LINE Normal 0 272 32 272 +PIN 0 272 LEFT 36 +PINATTR PinName wr_en +PINATTR Polarity IN +LINE Normal 0 464 32 464 +PIN 0 464 LEFT 36 +PINATTR PinName full +PINATTR Polarity OUT +LINE Wide 0 624 32 624 +PIN 0 624 LEFT 36 +PINATTR PinName wr_data_count[9:0] +PINATTR Polarity OUT +LINE Normal 832 240 800 240 +PIN 832 240 RIGHT 36 +PINATTR PinName rd_clk +PINATTR Polarity IN +LINE Wide 832 272 800 272 +PIN 832 272 RIGHT 36 +PINATTR PinName dout[71:0] +PINATTR Polarity OUT +LINE Normal 832 304 800 304 +PIN 832 304 RIGHT 36 +PINATTR PinName rd_en +PINATTR Polarity IN +LINE Normal 832 496 800 496 +PIN 832 496 RIGHT 36 +PINATTR PinName empty +PINATTR Polarity OUT +LINE Wide 832 656 800 656 +PIN 832 656 RIGHT 36 +PINATTR PinName rd_data_count[9:0] +PINATTR Polarity OUT + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.ncf b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.ncf new file mode 100644 index 000000000..e69de29bb diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.ngc b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.ngc new file mode 100644 index 000000000..61795f415 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$67`44<,[o}e~g`n;"2*73>(-80!6;123456789>;7=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<<>40123456709:;<=>?2:2;0>6?0920<56?81:355=701:3<5>7092;4=633932<<5>1b924?IR\Y__6jPd`vb[firf}626=0>c:33>JSSX\^1{Qkauc\gjsi|531<3?;;069MKVR\3KOH_O31283:42<9=0BB][[:@FGVG:6;3:5=>5>:HLSQQ50?30?4:HLSQQ11:024>4?0123456789:;<=753:81EC^ZT;FJE956294:>6==:HLSQQ112906?IR\Y__6IAN<2394;743:81CXZ_UU8GKG:493:5=<5;:HLSQQ11097>LHW]]0OE]L33;2=55=32@D[YY4XE@>0>58682>1EC^ZT;UFF95=87;9794@UURVP?BHXH686=0>2:69KPRW]]0OC]L33;2=0>329<2098;:05230>32L<;0:?599595=<0682<25;7968;52<0>1?80:L:46@CB<>0FIHKJML??;7CBEDGFIHKJMLONAd95wi~0=jyl85:"301837586;2=96D@_UU8GMKD;?80;2<<4738JJUSS2mce0:?50?36?24=G\^[YY4KOTVB827=87;>7:<5OTVSQQ<09:;<=>?119;456789:;<=>?01224>>7092;4=>781:3<577310BB][[:VGB82<768:047GAPTV9S@D;?3:5866J8@38=1=>89397487;845=3?>>8:05;8668;52008=?OIX\^1MIJ]B=:94;70300DYY^ZT;PGEABUMJI747>12:;B0>?FIH205LONA@CB55=>IHKJMLONA@CBEDG53H8:<6ONA@CBED0FIHKJML64AEFQE96912KOH_O311<:?DBCZH6:=374AEFQE9756k1JHI\N<0194;?99B@ATF48437LJKR@>1:==FLMXJ0>07;@FGVD:3611JHI\N<4<;?DBCZH6=255NDEPB828f3HNO^L27:1<;?DBCZH63255NDEPB8<8?3HNO^O2?>89B@ATE48:556OKDS@?548>3HNO^O2>2?`8EABUJ5;86=06;@FGVG:6;720MIJ]B=3=<>GCL[H7>364AEFQF95902KOH_L34?:8EABUJ5?546OKDS@?2;>GKD01J_T@L_SGD6>D79?1IA@QFNGM\EABUMZYT^L@HE99AQVYNFOE:7N<4CD31?FNBKBUGENKASD]W]UC53JC?7NBD079@HN7?8?1H@F<8049@HN4_02IGG?V>8178GIM>8=1H@FO:;BNHE418118GIT>3JEFADZ[EE37?FIUMVMNBH\NTHMM[LHAG>1H^HO[EE38@f=CI]KT[DJ[H^C`?AGSIV]BHYFPB69GFLRBZ[;;7IHIFGDEBC@ANOLMJ?5KI79GMD:76>1OEL2>0?58@LG;984<7IGN<00=3>BNI5;82:5KI@>20;169GMD:607=0HDO318<5?AOF484<7IGN<32=3>BNI58:2:5KI@>16;1>08;EKB8729?2NBM1<:>69GMD:5>7=0HDO326<4?AOF4;25;6JFA=0::3=CAH692:5KI@>04;?69GMD:497<0HDO33?48@LG;<7<0HDO35?48@LG;>7<0HDO37?48@LG;07<0HDO39?48@LD;87=0HDL311<4?AOE48;5;6JFB=31:2=CAK6:?394DH@?51803MCI0<;17:FJF9716>1OEO2>7?58@LD;914<7IGM<0;=2>BNJ5;5;6JFB=03:2=CAK69=394DH@?67803MCI0?=17:FJF9436>1OEO2=5?58@LD;:?4<7IGM<35=3>BNJ5832:5KIC>1=;0394DH@?758>3MCI0>?50?58@LD;;84=7IGM<2<5?AOE4=4=7IGM<4<5?AOE4?4=7IGM<6<5?AOE414=7IGM<8<4?AOII5:546JFN@>24;>BNFH6:8364DHLB843902NBBL2>6?:8@LHF48=546JFN@>2<;>99GMKG;:=437IGAA=06:==CAGK7>;07;EKME940611OECO329<;?AOII5822:5KIOC?6;>>18:FJJD:49720HD@N<20=<>BNFH68?364DHLB862902NBBL2<5?:8@LHF4:<546JFN@>03;>618:FJJD:417=0HD@N<2<;?AOII5>;255KIOC?048?3MCEM1:=>99GMKG;<:437IGAA=67:==CAGK78807;EKME921611OECO346<;?AOII5>3255KIOC?0<803MCEM1:18:FJJD:28720HD@N<43=<>BNFH6>>364DHLB805902NBBL2:4?:8@LHF462;>BNFH6>255KIOC?258?3MCEM18>>99GMKG;>;437IGAA=40:==CAGK7:907;EKME902611OECO367<;?AOII5<<255KIOC?2=8?3MCEM186>69GMKG;>720HD@N<62=e>BNFH6<=7>18:FJJD:097=0HD@N<6<4?AOII525;6JFN@>::2=CAGH7<364DHLA846902NBBO2>1?:8@LHE488546JFNC>27;>BNFK6:;364DHLA84>902NBBO2>9?58@LHE48437IGAB=03:==CAGH7><07;EKMF945611OECL322<;?AOIJ58?255KIO@?608?3MCEN1<9>99GMKD;:>437IGAB=0;:==CAGH7>408;EKMF94902NBBO2<0?:8@LHE4:;546JFNC>06;>=18:FJJG:4<720HD@M<27=<>BNFK68:364DHLA861902NBBO2<8?:8@LHE4:35;6JFNC>0:==CAGH78=07;EKMF926611OECL343<;?AOIJ5>8255KIO@?018?3MCEN1::>99GMKD;6>1OECL34?:8@LHE4<:546JFNC>65;>BNFK6>9364DHLA800902NBBO2:7?:8@LHE4<2546JFNC>6=;199GMKD;><437IGAB=45:==CAGH7::07;EKMF90?611OECL368<4?AOIJ5<546JFNC>44;g;5;6JFNC>4:2=CAGH74394DHLA8<803MC[M1>17:FJTD:66>1OE]O32?;8@LVF4:0;2:5KIQC?7;189GMUD;;3:5;6JFPC>0:3=CGH6;2:5KO@>24;169GKD:6<7=0HBO314<4?AIF48<5;6J@A=34:2=CGH6:4394DNC?5<813MEJ0<08;EMB8769?2NDM1<>>69GKD:5:7=0HBO322<4?AIF4;>5;6J@A=06:2=CGH69:394DNC?62803MEJ0?617:FLE94>6?1OCL2=>69GKD:48730HBO33083:2=CGH68=384DNC?7;017:FLF9766>1OCO2>2?58@JD;9:4<7IAM<06=3>BHJ5;>2:5KOC>22;19?2NDN1?6>79GKG:66>1OCO2=0?58@JD;:84<7IAM<30=3>BHJ5882:5KOC>10;1808;EMA8709?2NDN1<8>69GKG:507=0HBL328<5?AIE4;4<7IAM<22==>BHJ59:6=08;EMA8679>2NDN1=16:FLF929>2NDN1;16:FLF909>2NDN1916:FLF9>9>2NDN1717:FLFZTBO>1OC]O30?58@JVF484<7IA_A=0==>BHXH686=08;EMSE959?2ND\O2?>69GKUD;97=0HB^M<3<:?AIWJ591<394DNRA868?3ME^XL2?>89GKPRF48:556J@UUC?548>3ME^XL2>2?;8@JSSI5;8245KOTVB842912NDYYO314<:?AIR\H6::374DNWWE970601OCXZN<0:==>BH]]K7=407;EMVPD:6601OCXZN<32==>BH]]K7><06;EMVPD:5:730HB[[A=00:<=CG\^J0?:19:FLQQG;:<427IAZT@>12;?89GKPRF4;2556J@UUC?6<8?3ME^XL2=>89GKPRF4::556J@UUC?748>3ME^XL2<2?;8@JSSI598245KOTVB862912NDYYO334<:?AIR\H68:374DNWWE950601OCXZN<2:==>BH]]K7?407;EMVPD:4601OCXZN<52==>BH]]K78<06;EMVPD:3:730HB[[A=60:<=CG\^J09:19:FLQQG;<<427IAZT@>72;?89GKPRF4=2556J@UUC?0<8?3ME^XL2;>89GKPRF4<:556J@UUC?148>3ME^XL2:2?;8@JSSI5?8245KOTVB802912NDYYO354<:?AIR\H6>:374DNWWE930601OCXZN<4:==>BH]]K79407;EMVPD:2601OCXZN<72==>BH]]K7:<06;EMVPD:1:730HB[[A=40:<=CG\^J0;:19:FLQQG;><427IAZT@>52;?89GKPRF4?2556J@UUC?2<8?3ME^XL29>89GKPRF4>:5n6J@UUC?34<7601OCXZN<63=<>BH]]K7;364DNWWE9>902NDYYO39?:8@JSSJ5:556J@UU@?558>3ME^XO2>1?;8@JSSJ5;9245KOTVA845912NDYYL315<:?AIR\K6:9374DNWWF971601OCXZM<05==>BH]]H7=506;EMVPG:61720HB[[B=3==>BH]]H7>=06;EMVPG:59730HB[[B=01:<=CG\^I0?=19:FLQQD;:=427IAZTC>11;?89GKPRE4;=556J@UU@?6=8>3ME^XO2=9?:8@JSSJ58556J@UU@?758>3ME^XO2<1?;8@JSSJ599245KOTVA865912NDYYL335<:?AIR\K689374DNWWF951601OCXZM<25==>BH]]H7?506;EMVPG:41720HB[[B=1==>BH]]H78=06;EMVPG:39730HB[[B=61:<=CG\^I09=19:FLQQD;<=427IAZTC>71;?89GKPRE4==556J@UU@?0=8>3ME^XO2;9?:8@JSSJ5>556J@UU@?158>3ME^XO2:1?;8@JSSJ5?9245KOTVA805912NDYYL355<:?AIR\K6>9374DNWWF931601OCXZM<45==>BH]]H79506;EMVPG:21720HB[[B=7==>BH]]H7:=06;EMVPG:19730HB[[B=41:<=CG\^I0;=19:FLQQD;>=427IAZTC>51;?89GKPRE4?=556J@UU@?2=8>3ME^XO299?:8@JSSJ5<556J@UU@?358e3ME^XO281;2==>BH]]H7;<07;EMVPG:0611OCXZM<9<;?AIR\K622>5JN@18AKDe3LDT^^Z]AL]Bf>CIW[Y_^LCPB49EEIUB:2LO?6HKC59E@FC43ON[86HKPD68BATB02LMJK;:1433?C@ANOLMJ?O6:2C9>6G<2:K76>O202CEEY^P01:8MKOSXV::46GAIUR\47><8:KMMQVX8=20ECG[P^26<>OIA]ZT<;64IOKWTZ6012CEEY][AUG4?LHN\V:;;6GAIU]352=NF@^T;7:KMMQY7=>1BBDZP0758MKOSW9=<7D@FT^2;3>OIA]U;5:5FNHV\4D11BBDZP1758MKOSW8=<7D@FT^3;3>OIA]U:5:5FNHV\5D1D69JJLRX9L=0ECG[_0D4?LHN\V8;;6GAIU]152=NF@^T>?94IOKW[7503@DBXR<;7:KMMQY5=>1BBDZP2758MKOSW;=<7D@FT^0;3>OIA]U95:5FNHV\6D11BBDZP3758MKOSW:=<7D@FT^1;3>OIA]U85:5FNHV\7D1L8;HLJPZ5D?2CEEYQJR\:>0@XZ;4:NVP025A1718J4>43G8;>6@97:LFPRIUC=1ECCK>;N34?JVSADCQIR^]ILKWMSCTWGMH=6^l;Q,14456889T@95_ASVb?UOIWK_XEIVm;QKM[GSTFHGN56^D_INVPKK43YXN:6^\DNLF5>W63[k0^LCM17@TAWeTCIMNYINM31?a8VAGCL[OHO1<1c:PGEABUMJI7?3m4RECG@WCDK5>5o6\KAEFQAFE;=7i0^IOKDSG@G909k2XOMIJ]EBA?3;cTBOJOJn6\JGBGB85<7601YIJMJA=2=2>TBOJOIn6\JGBGA85<7601YIJMJB=2=0>TT\H30^^ZN<183:2=U[]K7<3:4RRVA=>TT\K6;6=08;SQWF969?2XXXYW_Ed9QWQYWZ@EYEYWPAd9QWQYWZ@EYEYWPB09P3>UEA]OY^S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%Ftb|PRE]SJQT\9TUYHR^ATSY1YZ^HZV?:SbQwo=2=53`<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#@v`r^PG[UHSZR8VS_JPPOVQ_6[XPFXT9=Q`_ym?4;71n2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!Bxnp\VAYWF]XP?PQ]D^RMPW]3UVRD^R:6_n]{k9699?l0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/LzlvZTCWYD_^V:R_SF\TKRUS117g8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'Drd~RY]_QLWV^6ZW^XT\CZ][0_\\JTX0VeTtb2?>04f?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&GscQXR^RMPW]6UV]YS]@[RZ0^[]IUW>UdSua30?35a>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%Ftb|PWS]SJQT\:TU\^R^ATSY0YZ^HZVSbQwo=2=53c<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#@v`r^UQ[UHSZR>VSZ\PPOVQ_0[XPFXT8RaPxn>3:40b3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"Cwos]TVZVI\[Q>QRY]_QLWV^0ZWQEYS>Q`_ym?4;71m2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!Bxnp\SWYWF]XP:PQXR^RMPW]0UVRD^Rl1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv Mymq[RTXXG^YW:SPWS]SJQT\0TUSC_Q>_n]{k9699?90Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/SF\TKRUS9WT^IQ_NUPX5XY_G[U>>Ra93:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ULVZEX_U>]^PG[UHSZR8VSUA]_43\k35<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#_JPPOVQ_7[XZMU[BY\T3\][KWY28Ve=?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-Q@ZVI\[Q8QR\K_QLWV^2ZWQEYS97Po718Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'[NT\CZ][5_\VAYWF]XP9PQWOS]7S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%YHR^ATSY4YZTCWYD_^V6R_YMQ[13Xg?80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/VP\TKRUS9WT[_Q_NUPX5XY_G[U3Sb8=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*QUWYD_^V?R_VP\TKRUS;WTTB\P7^m56>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%\^R^ATSY1YZQUWYD_^V=R_YMQ[3Yh>;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv WS]SJQT\;TU\^R^ATSY7YZ^HZV?Tc;<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+RTXXG^YW9SPWS]SJQT\=TUSC_Q;_n41?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&]YS]@[RZ7^[RTXXG^YW;SPXNP\7Zi1:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!XR^RMPW]1UV]YS]@[RZ5^[]IUW;Ud:?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,SWYWF]XP;PQXR^RMPW]?UVRD^R?Po768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_4[)zmUxxjQfnrv,@969>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP=P }d^qwcZoi{}%O0<094:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY2Y+tcWz~lSd`|t.F?6;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR;V"jPsue\mkus'M682;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[0_-vaYt|nUbb~z D=6=21=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\9T$yhR}{g^kmwq)C4<4=86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU>]/pg[vr`W`dxx"J36?47?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^7Z&{nTyiPioqw+A:06?>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV?R.sf\wqaXagy#\Q}ef]222=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\9T$yhR}{g^kmwq)VW{olS?88;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ3^*wbX{}mTec}{/P]qabY4>>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP=P }d^qwcZoi{}%ZSkh_544?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^7Z&{nTyiPioqw+TYumnU>::5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT1\,q`ZusoVcey!^_sgd[3003\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR;V"jPsue\mkus'XUyijQ8669V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX5X(ulVykRgasu-R[wc`W1 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV?R.vp\wqaXagy#I2?>768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_4[){UxxjQfnrv,@979>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP=P xr^qwcZoi{}%O0?094:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY2Y+quWz~lSd`|t.F?7;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR;V"z|Psue\mkus'M6?2;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[0_-swYt|nUbb~z D=7=21=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\9T$|~R}{g^kmwq)C4?4=86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU>]/uq[vr`W`dxx"J37?47?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^7Z&~xTyiPioqw+A:?6?=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnWS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]6U'}yS~zh_hlpp*WXzlmT=;94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[0_-swYt|nUbb~z Q^pfcZ41?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ:Q#y}_rvd[lht|&[T~hiP3758Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_4[){UxxjQfnrv,UZtboV>=;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU>]/uq[vr`W`dxx"_Prde\131<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS8W%{Q|tf]jjvr(YVxnkR897:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY2Y+quWz~lSd`|t.S\v`aX??=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnWS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'xoS~zh_hlpp*B;87 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV>768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[)zmUxxjQfnrv,@949>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P }d^qwcZoi{}%O0>094:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+tcWz~lSd`|t.F?0;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"jPsue\mkus'M6>2;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-vaYt|nUbb~z D=4=21=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$yhR}{g^kmwq)C4>4=86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/pg[vr`W`dxx"J38?4;?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^4Z&{nTyiPioqw+TYumn6;2;64U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-vaYt|nUbb~z Q^pfc979>11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P }d^qwcZoi{}%ZSkh<3<5<>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'xoS~zh_hlpp*WXzlm7?387;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ0^*wbX{}mTec}{/P]qab:36?20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!re]ppbYnfz~$]R|jg=7=2==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$yhR}{g^kmwq)VW{ol0;098:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+tcWz~lSd`|t.S\v`a;?7<37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV;:31<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%~iQ|tf]jjvr(YVxnkR>97:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+tcWz~lSd`|t.S\v`aX9?=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!re]ppbYnfz~$]R|jg^053>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'xoS~zh_hlpp*WXzlmT?;94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-vaYt|nUbb~z Q^pfcZ21?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ9Q#|k_rvd[lht|&[T~hiP5758Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[)zmUxxjQfnrv,UZtboV<=;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/pg[vr`W`dxx"_Prde\331<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%~iQ|tf]jjvr(YVxnkR694:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+quWz~lSd`|t.F?4;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"z|Psue\mkus'M6:2;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-swYt|nUbb~z D=0=21=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$|~R}{g^kmwq)C4:4=86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/uq[vr`W`dxx"J34?47?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^4Z&~xTyiPioqw+A:26?>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!ws]ppbYnfz~$H181659V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX6X(pzVykRgasu-G8281<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ9Q#y}_rvd[lht|&N74387;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ0^*rtX{}mTec}{/P]qab:76?20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!ws]ppbYnfz~$]R|jg=3=2==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$|~R}{g^kmwq)VW{ol0?098:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+quWz~lSd`|t.S\v`a;;7<37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV7:3><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%{Q|tf]jjvr(YVxnk1;1699V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX6X(pzVykRgasu-R[wc`4?4=46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/uq[vr`W`dxx"_Prde?3;0?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"z|Psue\mkus'XUyij27>758Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[){UxxjQfnrv,UZtboV:=;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/uq[vr`W`dxx"_Prde\531<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%{Q|tf]jjvr(YVxnkR<97:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+quWz~lSd`|t.S\v`aX;?=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!ws]ppbYnfz~$]R|jg^653>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'}yS~zh_hlpp*WXzlmT9;94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-swYt|nUbb~z Q^pfcZ01?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ9Q#y}_rvd[lht|&[T~hiP7758Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[){UxxjQfnrv,UZtboV2>?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-q`Zvi|{Uiec2?>418Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_ckm8482;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!}d^rmpwYeag6928=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+wbXxg~ySoga<2<67>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%yhR~ats]amk:36<90Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWkce080:3:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ulVzexQmio>5:05<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[goi4>4>?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-q`Zvi|{Uiec27>408Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_ckm[5353\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"|k_qlwvZdnfV;>>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-q`Zvi|{UiecQ=539V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pbhl\704<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[goiW=?97X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVhbbR;:2:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ulVzexQmio]517=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\flhX?<80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWkceS5;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*tcWyd~Ril_172?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&xoS}`{r^e`[4363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"|k_qlwvZadW;?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVmhS>;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*tcWyd~Ril_572?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&xoS}`{r^e`[0363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"|k_qlwvZadW??:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVmhS:;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*tcWyd~Ril_94g?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&xoS}`{r^e`[duumnUgm~zT8\]qaasdmVrd~R?9_n4f?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&xoS}`{r^e`[duumnUgm~zT8\]qaasdmVrd~R?9_n35`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%yhR~ats]dgZgtzlmT`l}{[9_\v`brklUscQ>7^m5a>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%yhR~ats]dgZgtzlmT`l}{[9_\v`brklUscQ>7^m236=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\cfYf{{olSao|tZ:^[wcc}joTtb|P193\|j:768 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVmhSl}}ef]oevr\0TUyii{le^zlvZ7?Wf=87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVmhSl}}ef]oevr\0TUyii{le^zlvZ7>9Vrd0=0>6e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pgb]bwwc`WekxxV6R_sggqfcXpfxT=4Q`729V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pgb]bwwc`WekxxV6R_sggqfcXpfxT>=?Pxn>3:40c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"|k_qlwvZadWhyyijQcarvX6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-q`Zvi|{UloRo|rde\hdusS1WT~hjzcd]{kwY5:8Usc1>1779V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pgb]bwwc`WekxxV6R_sggqfcXpfxT>??Pxn>3:ZUP8?n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniTm~|jg^nbwq]?UVxnhxmj_ymq[74Xg>80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniTm~|jg^nbwq]?UVxnhxmj_ymq[756Wqe7<38k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*tcWyd~Ril_`qqabYkiz~P4PQ}eew`aZ~hzV88Sb;<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Rlfn=2=16=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\flh;97?87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.uq[uhszVhbb1<1529V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~({U{by|Pbhl?7;343\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"y}_qlwvZdnf5>59>5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTnd`35?70?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&}yS}`{r^`jj909=:1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXj`d7;3;<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Rlfn=:=17=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\flhX8<80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWkceS<;=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Rlfn^066>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]amkY4=;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXj`dT88<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySoga_471?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&}yS}`{r^`jjZ02:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!xr^rmpwYeagU<9?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTnd`P8438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_fa\407<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#z|Ppovq[beX9<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWniT>8?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySjmP3438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_fa\007<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#z|Ppovq[beX=<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWniT:8?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySjmP7438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_fa\<25<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#z|Ppovq[beXizxnkRbnsuY;YZtbl|inSua}_022[}i;87;=h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-tvZvi|{UloRo|rde\hdusS1WT~hjzcd]{kwY68Ve<>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-tvZvi|{UloRo|rde\hdusS1WT~hjzcd]{kwY698Usc1>16e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~({U{by|Pgb]bwwc`WekxxV6R_sggqfcXpfxT=3:20<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#z|Ppovq[beXizxnkRbnsuY;YZtbl|inSua}_002[}i;87UX[=8k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Ril_`qqabYkiz~P4PQ}eew`aZ~hzV;9Sb9=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Ril_`qqabYkiz~P4PQ}eew`aZ~hzV;8=Rv`<1<5`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]dgZgtzlmT`l}{[9_\v`brklUscQ>3^m5g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]dgZgtzlmT`l}{[9_\v`brklUscQ9_n4g?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&}yS}`{r^e`[duumnUgm~zT8\]qaasdmVrd~R8Po04`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&}yS}`{r^e`[duumnUgm~zT8\]qaasdmVrd~R9Po7f8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_fa\evtboVfjyU7]^pf`pebWqeyS:Q`1608Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_fa\evtboVfjyU7]^pf`pebWqeyS5?Pxn>3:40d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"y}_qlwvZadWhyyijQcarvX xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.uq[uhszVmhSl}}ef]oevr\0TUyii{le^zlvZ?Xg>n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#@okd^fjbcYpzVxoW4SPGOF\751XgVkohR=POTV\g|:66>o0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#@okd^fjbcYpzVxoW4SPGOF\751XgVkohR=POTV\hpr;:7=n7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"Cnde]gmc`X{UyhV7R_FLG[660WfUjhiQ<_NWW[iss4:45;h5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im M`fg[aoanV}ySjT9\]DJAY48>UdSljk_2]LQQYk}}6>2:k4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/Lcg`ZbnnoU|~R|k[8_\CKBX;9=TcRokd^1\KPRXd|~7:39j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)o{nh>#|kc.Ob`aYcaolT{Q}dZ;^[BHCW::UdS`{w_4]LQQ46?01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$A`{w_ekebZquW{nP5PQHNE]042YhWdsS8Q@UU123<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(EdsSigif^uq[wb\1TULBIQ<06]l[hsW6`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhn!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[f;97 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"C|uc]gmc`X{UyhRzbp^az8781i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%FxlPdhde[rtXzmUa}Qly=1=2d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(EziSigif^uq[wbX|dzTot2;>7c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWjs7938n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)o{nh>#|kc.OpqgYcaolT{Q}d^vntZe~4?4=m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]`}919>k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pltv?4;0e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GxyoQkigd\swYulV~f|Rbzt=3=2g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(EziSigif^uq[wbX|dzT`xz32?4a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*Kt}kUoekhPws]q`ZrjxVf~x1=16c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhn#|kc.OpqgYcaolT{Q}d^vntZjr|5?5:o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im Mrwa[aoanV}ySjPtlr\hpr;>7 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"C|uc]gmc`X{UyhRzbp^nvp919>k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pltv?<;0e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GxyoQkigd\swYulV~f|Rv`r=2=2g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(EziSigif^uq[wbX|dzTtb|31?4a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*Kt}kUoekhPws]q`ZrjxVrd~1<16c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhn#|kc.OpqgYcaolT{Q}d^vntZ~hz5>5:o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im Mrwa[aoanV}ySjPtlr\|jt;=7 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"C|uc]gmc`X{UyhRzbp^zlv909>k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pxnp?3;0e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GxyoQkigd\swYulV~f|Rv`r=:=30=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(l`lmSz|PreY:YZAILV9;;RaPmtz\1ZIR\5:5;85Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im dhde[rtXzmQ2QRIAD^133ZiXe|rT9RAZT=3=30=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(l`lmSz|PreY:YZAILV9;;RaPmtz\1ZIR\585;85Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im dhde[rtXzmQ2QRIAD^133ZiXe|rT9RAZT=1=30=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(l`lmSz|PreY:YZAILV9;;RaPmtz\1ZIR\5>5;:5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im dhde[rtXzmQ2QRIAD^133ZiXe|rT9RAZT=6=541e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&nbjkQxr^pg_<[XOGNT?=9Po^ov|Z3XG\^783?>_RU333=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(l`lmSz|PreY:YZAILV9;;RaPmtz\1ZIR\5>5>:o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/ekebZquW{nP5PQHNE]042YhWdsS8Q@UU>7:Zdcl9=>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"jffg]tvZtcS0WTKCJP315\kZkrpV?TCXZ35?55?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*bnnoU|~R|k[8_\CKBX;9=TcRczx^7\KPR;=7;<96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!kigd\swYulR3VSJ@K_224[jYj}qU>SB[[<7<42>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)caolT{Q}dZ;^[BHCW::7c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhn#|kc.fjbcYpzVxoW4SPGOF\751XgVg~tR;POTV?3;40=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%oekhPws]q`^?ZWNDOS>>8_n]nq}Y2WF__05086:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-gmc`X{UyhV7R_FLG[660WfUfyuQ:_NWW8=86?<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$hdhi_vp\va]>UVMEHR=?7^m\ip~X=VE^X171779V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhn#|kc.pg[aeXa5:59o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im re]ggZo;97?i7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"|k_ea\m949=k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$~iQkc^k?7;3e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&xoSimPi=6=1g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(zmUooRg35?7a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*tcWmiTe1815c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhn#|kc.pg[aeXa5259o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im re]ggZo;17?j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"|k_ea\mZ62i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%yhRjl_h]21d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(zmUooRgP24c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+wbXljUbS>;n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)o{nh>#|kc.pg[aeXaV>>m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!}d^f`[lY2=h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$~iQkc^k\20g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'{nThnQf_67b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*tcWmiTeR6:a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-q`ZbdW`U2:?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+tao~$A`{w_SCN[WBXMGUM[KZ>14`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$yjzh{/SCN[WC@G\^TIC?95:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&{l|jy!lusp\br`sWz~jxhQISL]EBa7102_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.sdtbq)d}{xTjzh{_rvbp`YA[DUMJi?"Io4:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%~kyit.avvwYao~Tyo{e^DPIZ@Al8'Bb<8:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)zo}mx"mzrs]escrX{}kiRH\M^DE`70>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!rguep*erz{Um{kzPsucwaZ@TEVLMh?#Fn07b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%~kyit.gntqXn~lSkl:9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&{l|jy!jmqvz[cqa|Vc<;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*w`pn}%lcd`h_dosp|Yao~T`hoyioe\ahvsqVl|jyQ@R^:\k2><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< }fvdw+binfnUna}zv_guepZjbicekRkbpu{\br`sWFXT4Ra>5g9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'xm{kz ndzw[cqa|Vymyk30?74?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%~kyit.wpawYqieco:=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqev(u{}y$o=!hmtz-gdtuqgo0=090:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btf{'xxx~!l0.enq}(di{xrbhz31?43?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{kx"}{s.a3+bkrp'ij~waeu>1:36<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~l}!rrvp+f6(ods"no}rxlfp959>81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(k9%laxv!glY3Y+aj9'g::<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqev(u{}y$o=!hmtz-ch]6U'mf#c|609V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*auiz$yy} c1-dip~)odQ9Q#ibs/op24=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&mym~ }suq,g5)`e|r%k`U<]/enw+kt>81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(k9%laxv!glY7Y+aj{'gx985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqev(u{}y$o=!y1=2=13=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&mym~ }suq,g5)q95:5=8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvdu)zz~x#n> v0>2:00<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~l}!rrvp+f6(~86:2<;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwgt&{y"m?/w3?6;313\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$ko|.sqww*e7';7>3?:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btf{'xxx~!l0.t28682>2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|ns/pppv)d8&|:0>0>549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*auiz$yy} c1-u5929=?1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(k9%}=1:11728Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zhy%~~z|/b3,chs&jky~t`jt=2=25=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&mym~ }suq,g4)`e|r%ol|}yogw848182_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|ns/pppv)d9&mfyu laspzj`r;:7<;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gscp*wus{&i:#jczx/abvwim}682;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvdu)zz~x#n? glw{*bk\8T$la< b1738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zhy%~~z|/b3,chs&ngP=P hmr,nw37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~l}!rrvp+f7(ods"jcT2\,div(j{?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr`q-vvrt'j;$k`{w.foX7X(`ez$f;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvdu)zz~x#n? glw{*bk\076?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{kx"}{s.a2+s7;97?=7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gscp*wus{&i:#{?31?361>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxj#||tr-`5*p64;4>:6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fpbw+tt|z%h="x><3<210=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&mym~ }suq,g4)q95959;5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqev(u{}y$o!re-dvdu)zz~x#n? v0>7:4353\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$ko|.sqww*ehey:>j6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fpbw+tt|z%hc`~?_bmnt4YHZV4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvdu)zz~x#nabp1]`khv6WFXT:Ra>539V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*auiz$yy} cnos50d<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~l}!rrvp+wgjWlg{xtQib^k67>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{nT|cz}_qnvw4:76>=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+HgclVmh<#m`uovX>0343>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!Baef\cf6)kfexV6R_FLG[7?2WfUjhiQ?_NWW[}iu4;4:=:94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'DkohRil0/alqkr\0TULBIQ=94]l[dbcW9UDYYQwos>0:470?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-NeabXoj:%ob{atZ:^[BHCW;3>SbQnde]3[JSSWqey090>1658Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#@okd^e`4+eh}g~P4PQHNE]1=0YhWhnoS=Q@UU]{kw:268;<;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)JimnTkn>!cnwmp^>ZWNDOS?7:_n]b`aY7WF__Sua}<7<252><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/Lcg`Zad8'idyczT8\]DJAY51769V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$Aljk_fa3*firf}Q3QRIAD^0:1ZiXimnT xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*KflmUlo= lotlw_=[XOGNT>4;Po^cg`Z6XG\^Ttb|38?3210=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|5;5985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=0=10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|595985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=6=10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|5?5985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=4=10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|5=5985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=:=2f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|R2VSJ@K_3;6[jYflmU;SB[[<0<5g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsS1WTKCJP287\kZgclV:TCXZ32?4`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkr\0TULBIQ=94]l[dbcW9UDYY2<>7a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjq]?UVMEHR<65^m\eabX8VE^X1:16b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmp^>ZWNDOS?7:_n]b`aY7WF__0809c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw_=[XOGNT>4;Po^cg`Z6XG\^7:38l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uovX!re-qtkru'ni;"naznuY;YZAILV829RaPaef\4ZIR\525995Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^360>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsW;??7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczP3468Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqY3==1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexR;:4:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[3333\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~T;8:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznu];1==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|Vn:0=0:8:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7;97?37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0>1:0><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=1=1599V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmpZb64=4>46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_e3?1;3?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th<29>4:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqYc95=5955Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^f28=82?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dSi?P0458Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqYc9V;>;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_e3\601<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=R=:7:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7X<<=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byQk1^763>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsWm;T:894U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznu]g5Z12?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dSi?P87f8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`hy%{~z|/Lov|Zehey;TKCJP303\kZKRPV;;1728Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`hy%{~z|/b3,chs&jky~t`jt=2=25=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|m~ xsuq,g4)`e|r%ol|}yogw848182_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jyns/uppv)d9&mfyu laspzj`r;:7<;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvcp*rus{&i:#jczx/abvwim}682;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsdu)z~x#n? glw{*bk\8T$la< b1738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`hy%{~z|/b3,chs&ngP=P hmr,nw37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{l}!wrvp+f7(ods"jcT2\,div(j{?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hw`q-svrt'j;$k`{w.foX7X(`ez$f;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsdu)z~x#n? glw{*bk\076?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~kx"z}{s.a2+s7;97?=7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvcp*rus{&i:#{?31?361>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}j#y|tr-`5*p64;4>:6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fubw+qt|z%h="x><3<210=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|m~ xsuq,g4)q95959;5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etev(p{}y$o!ws-dsdu)z~x#n? v0>7:4073\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzo|.vqww*e5'ng~t#mnrs{maq:76?:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hw`q-svrt'j8$k`{w.bcqv|hb|5;5:=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etev(p{}y$o?!hmtz-gdtuqgo0?090:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqf{'}xx~!l2.enq}(di{xrbhz33?42?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~kx"z}{s.a1+bkrp'mfW=S!gl3-i4063\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzo|.vqww*e5'ng~t#ib[0_-chu)ez<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvcp*rus{&i9#jczx/en_7[)ody%a~8>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crgt&~y"m=/fov|+ajS:W%k`}!mr42?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~kx"z}{s.a1+bkrp'mfW9S!glq-iv323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzo|.vqww*e5';7<3;9;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crgt&~y"m=/w3?4;72=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jyns/uppv)d:&|:0<0:6:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqf{'}xx~!l2.t28486=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixar,twqu(k;%}=1<1579V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*apiz$|y} c3-u59499448Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`hy%{~z|/b0,r4:468?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvcp*rus{&i9#{?34?75?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~kx"z}{s.a1+s7;<7;>>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fubw+qt|z%hc`~>679V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*apiz$|y} cnos5ZAILV9:=RaPMTZ\556Xg<80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hw`q-svrt'jef|?;n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crgt&~y"|nm^dvhiYajVc>;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fubw+qt|z%ym`Qiumn\m2e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(EhnoSigif^uq[wb\1TULBIQ<12]l[dbcW=UDYYQly=3=3f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)JimnThdhi_vp\va]>UVMEHR=>3^m\eabXS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}oo< xdbg{p*KflmUoekhPws]q`^?ZWNDOS>?<_n]b`aY3WF__Snw38?323a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)JimnThdhi_vp\va]>UVMEHR=>3^m\eabXUVMEHR=>3^m\eabXUVMEHR=>3^m\eabXUVMEHR=>3^m\eabXUVMEHR=>3^m\eabXUVMEHR=>3^m\eabXUVMEHR=>3^m\eabXUVMEHR=>3^m\eabX7g9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-NeabXl`lmSz|PreY:YZAILV9:?RaPaef\0ZIR\Vrd~1:110:3?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+HgclVnbjkQxr^pg_<[XOGNT?<=Po^cg`Z2XG\^Ttb|34?325=2<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(EhnoSigif^uq[wb\1TULBIQ<12]l[dbcW=UDYYQwos>7:476WZ];;k5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!Baef\`l`aW~xT~iU6]^EM@Z56;VeTmijP4^MVPZ~hz5>5=?9i;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/Lcg`ZbnnoU|~R|k[8_\CKBX;89TcRokd^6\KPRXpfx793?>7g9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-NeabXl`lmSz|PreY:YZAILV9:?RaPaef\0ZIR\Vrd~181105e?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+HgclVnbjkQxr^pg_<[XOGNT?<=Po^cg`Z2XG\^Ttb|37?323c=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)JimnThdhi_vp\va]>UVMEHR=>3^m\eabX3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'Dy~nRjffg]tvZtcW}g{Snw30?4:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWjs7=386;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/LqvfZbnnoU|~R|k_uos[f;:7<27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_b{?7;0>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'Dy~nRjffg]tvZtcW}g{Snw34?4:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWjs79386;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/LqvfZbnnoU|~R|k_uos[f;>7<27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_b{?3;0f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'Dy~nRjffg]tvZtcW}g{Sa{{<1<5e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}oo< xdbg{p*Kt}kUoekhPws]q`ZrjxVf~x1?16`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-NwpdXl`lmSz|Pre]wiuYk}}692;o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz Mrwa[aoanV}ySjPtlr\hpr;;7 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_mww8181i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rbzt=7=2d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)J{|hThdhi_vp\vaYseyUgyy29>7c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,IvseWmcmjRy}_sf\phvXd|~7;38n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/LqvfZbnnoU|~R|k_uos[iss414=m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"C|uc]gmc`X{UyhRzbp^zlv969>h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixdb3-saebp}%FxlPdhde[rtXzmUa}Qwos>2:3g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(EziSigif^uq[wbX|dzTtb|32?4b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWqey0>09a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.OpqgYcaolT{Q}d^vntZ~hz5>5:l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!Bst`\`l`aW~xT~iQ{mq]{kw:26?k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$A~{m_ekebZquW{nTx`~Pxnp?2;0f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'Dy~nRjffg]tvZtcW}g{Sua}<6<5e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}oo< xdbg{p*Kt}kUoekhPws]q`ZrjxVrd~161759V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-gmc`X{UyhV7R_FLG[674WfUjhiQ;_NWW8480<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&nbjkQxr^pg_<[XOGNT?<=Po^cg`Z2XG\^7>39;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/ekebZquW{nP5PQHNE]056YhWhnoS9Q@UU>0:22<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(l`lmSz|PreY:YZAILV9:?RaPaef\0ZIR\5>5;95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!kigd\swYulR3VSJ@K_230[jYflmU?SB[[<4<40>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}oo< xdbg{p*bnnoU|~R|k[8_\CKBX;89TcRokd^6\KPR;>7=?7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#igif^uq[wb\1TULBIQ<12]l[dbcW=UDYY28>668Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,`l`aW~xT~iU6]^EM@Z56;VeTmijP4^MVP9>9>81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixdb3-saebp}%oekhPws]q`Zrjx5:5:?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!xr^fbpdYdg|dSd2?>708Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,swYci}kTob{at^k?5;053\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'~xThlzn_bmvjqYn4;4=>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"y}_ecweZeh}g~Te1=1639V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-tvZbf|hUhcx`{_h>7:34<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r({UomyoPcnwmpZo;=7<97X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#z|Pd`vb[firf}Ub0;092:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.uq[agsiVidyczPi=5=27=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)pzVnjxlQlotlw[l:?6?80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~${Qkauc\gjsi|Vc7538>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/vp\`drfWje~byQf_142?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+rtXlh~jSnaznu]j[4063\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'~xThlzn_bmvjqYnW;<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#z|Pd`vb[firf}UbS>8>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/vp\`drfWje~byQf_542?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+rtXlh~jSnaznu]j[0063\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'~xThlzn_bmvjqYnW?<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#z|Pd`vb[firf}UbS:8>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/vp\`drfWje~byQf_942?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+rtXlh~jSnaznu]j[<2a3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$~lcPws]fjZo6?j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,IdbcWnch<#il0/alqkr\0TULBIQ<08]l[dbcW9UDYYQwos>2:470k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-NeabXo`i;"jm?.bmvjq]?UVMEHR=?9^m\eabX8VE^XRv`r=0=541d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.Ob`aY`aj:%kn>!cnwmp^>ZWNDOS>>6_n]b`aY7WF__Sua}<2<252e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/Lcg`Zank9$lo= lotlw_=[XOGNT?=7Po^cg`Z6XG\^Ttb|34?323f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| M`fg[bod8'mh<#m`uovX034g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!Baef\cle7∋"naznuY;YZAILV9;5RaPaef\4ZIR\Vrd~181105g?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"Cnde]dmf6)oj:%ob{atZ:^[BHCW::2SbQnde]3[JSSWqey0;0>105`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"Cnde]dmf6)oj:%ob{atZ:^[BHCW::2SbQnde]3[JSSWqey0:0>16a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#@okd^ejg5(`k9$hcx`{[9_\CKBX;93TcRokd^2\KPRXpfx743?>5`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$kdm?.fa3*firf}6:28o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nch<#il0/alqkr;:7?j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw8682i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dmf6)oj:%ob{at=6=1d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gha3*be7&je~by2:>4c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#jgl0/e`4+eh}g~7:3;n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphs4>4>m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmp9>9?81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznuY;YZAILV9;5RaPaef\4ZIR\5;5;<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(o`i;"jm?.bmvjq]?UVMEHR=?9^m\eabX8VE^X1<1709V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$kdm?.fa3*firf}Q3QRIAD^13=ZiXimnT9=01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]21<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gha3*be7&je~byQ=589V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$kdm?.fa3*firf}U8945Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(o`i;"jm?.bmvjqY3=01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]61<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gha3*be7&je~byQ9589V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$kdm?.fa3*firf}U<945Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(o`i;"jm?.bmvjqY?=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g5969=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g5979=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g5949=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g5959=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g5929=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g5939=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g5909=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g5919=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g59>9=j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g5Z62k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dmf6)oj:%ob{at^f2[43d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.ejg5(`k9$hcx`{_e3\60e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fk`4+ad8'idyczPd0]01f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gha3*be7&je~byQk1^66g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj>_47`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ifc1,dg5(dg|dSi?P64a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#jgl0/e`4+eh}g~Th!gb2-gjsi|Vn90?0:d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|Vn90>0:d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|Vn9090:d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|Vn9080:d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|Vn90;0:d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|Vn90:0:d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|Vn9050:c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|Vn9S?;l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsWm8T?8m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nch<#il0/alqkrXl;U?9n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(o`i;"jm?.bmvjqYc:V?>o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmpZb5W??h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a4X?1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"y}_qlwvZvk}z;7<3Qfnw7f?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt({U{by|Ppmwp5969W`d}=RGAV^263>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~xT|cz}_qnvw7:66Vcez8k4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-tvZvi|{U{`x}=<0<\mkp6W@D]S=:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;87>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?5583i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<03=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1?=>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6:?3:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;9=4?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j84397?6b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc7=50;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4835845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m979?0;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4;958l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9436=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>11;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg327<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0?914`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa58329o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:517>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?6;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg331<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0>?14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa59929o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:4;7>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?7183i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<27=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1=9>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`68;3:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;;14?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j86?9<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi=1=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1:?>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6?=3:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;<;4?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j81597=;2>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg34?6b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc79=0;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4<;58l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9356=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>67;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg355<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub08;14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5?=29o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:2?7>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?1=83i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<4;=0<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1;14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5<;29o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:197>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?2783i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<71=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te18;>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6=93:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;>?4?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j831927X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\572>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP126:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT=9:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX9<>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\532>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP166:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT=5:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX90>37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\61?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ=05;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U9=974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY5:=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]171?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ=45;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U99974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY5>=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]131?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ=85;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U95964U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY4<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^130<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR=>489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV99845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ54<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^170<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR=:489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV9=845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ50<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^1;0<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR=6499V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV>?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[16312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_537=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS9<;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW=9?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[12312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_577=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS98;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW==?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[1>312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_5;7<>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS8:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX=9>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\142>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP536:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT9>:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX==>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\102>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP576:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT9::6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX=1>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\1<2?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP65;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U=<974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY19=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]561?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ935;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U=8974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY1==30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]521?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ975;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U=4974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY11=20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]40<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR9?489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV=:855Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ>302_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_8:2?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bdj&nhfk#immfc-jbcdk'hfk"iaaukuagk`&gke{kPbmi\i`kXold$hb{{b^k?4;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;9943>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7=9072:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<07=<7=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"j`uu`\m9716180Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$koc!gcod*bdjoh$ekhml.cod+bhf|`|nn`i!n`vjr`YedbUfi`Qheo-gkpreW`6:;36=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/f`n*bdjo'miajo!nfg`g+djo&memygyecod*kgsaoTnaePmdo\c`h(lfnRg319<;6>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#iaztc]j84?9081^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.flqqdXa5;54?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe1908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>15;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;:;43>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0?=1839V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&ndyylPi=07:=4<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!kotva[l:5=7297X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7>;072:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<35=<7=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"j`uu`\m94?6180Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$koc!gcod*bdjoh$ekhml.cod+bhf|`|nn`i!n`vjr`YedbUfi`Qheo-gkpreW`69536>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/f`n*bdjo'miajo!nfg`g+djo&memygyecod*kgsaoTnaePmdo\c`h(lfnRg32?:1?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bdj&nhfk#immfc-jbcdk'hfk"iaaukuagk`&gke{kPbmi\i`kXold$hb{{b^k?758?:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4:;54?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe1==>908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>07;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;;=43>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0>;1839V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&ndyylPi=15:=4<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!kotva[l:4?7297X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7?5072:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<2;=<4=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"j`uu`\m9590;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.flqqdXa5>;25<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc gco-cgk`&nhfkl agda`*gk`'ndjxdxjble-jdrn~lUi`fQbel]dak)cg|~iSd2;1?:1?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bdj&nhfk#immfc-jbcdk'hfk"iaaukuagk`&gke{kPbmi\i`kXold$hb{{b^k?078?:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4=954?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe1:;>908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>71;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0991839V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&ndyylPi=6;:=4<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!kotva[l:3172:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7836=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/f`n*bdjo'miajo!nfg`g+djo&memygyecod*kgsaoTnaePmdo\c`h(lfnRg351<;6>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#iaztc]j80790;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.flqqdXa5?925<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc gco-cgk`&nhfkl agda`*gk`'ndjxdxjble-jdrn~lUi`fQbel]dak)cg|~iSd2:3?:1?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bdj&nhfk#immfc-jbcdk'hfk"iaaukuagk`&gke{kPbmi\i`kXold$hb{{b^k?118?:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>63;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;=143>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0871809V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&ndyylPi=7=<7=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"j`uu`\m9076180Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$koc!gcod*bdjoh$ekhml.cod+bhf|`|nn`i!n`vjr`YedbUfi`Qheo-gkpreW`6==36=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/f`n*bdjo'miajo!nfg`g+djo&memygyecod*kgsaoTnaePmdo\c`h(lfnRg363<;6>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#iaztc]j83590;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.flqqdXa5908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>5=;>63\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;>7297X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7;=072:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<63=<4=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"j`uu`\m919081^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.flqqdXa5254<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe171809V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&|j`dj!crvq0a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)seyUym`Q}d^gm0`=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)seyUym`Q}d^gm565<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pbiZtcWld8;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zhgT~hi`uu]fj65<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pbiZquWld8j6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zmU{by|30?]jjs7XAG\T<8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/Lov|ZtcW{ySl}}ef]DJAY6:VeTAXVP2^m2503<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'Dg~tRy}_sqw[duumnULBIQ>2^m\IP^X9Ve:=>j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/SQW[CSKDVMNB964U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/fpppa7)o{ySk{cl/pppZb6<11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$k}{d0,dvvrXn|fg"}{_e07<>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)`zz~o=#i}su]eqij)zz~Th>:l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.ldswbu{}$l~~z!re]qwqYumn6;29m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/oetvatt|'myy }d^pppZtbo5;58n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{ynae nfuq`wus&nxxx#|k_sqw[wc`4;4?n6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!agvpgvvr)o{y"jPrrv\v`aX8=h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tt|kf`#cixreppp+au{}$yhR||t^pfcZ73j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%ekz|krrv-cwus&{nT~~zPrde\61e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'gm|~i||t/eqwq(pzVxxxR|jg=2=0f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/sqwfim(fn}yh}{.fppp+quW{ySkh<0<7f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)io~xo~~z!gsqw*rtXzz~T~hiP05`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+kapzmxxx#i}su,tvZtt|VxnkR?S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)ulVxxxRo|rde\`75d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&xoS}{_bmnf6b<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'{nT~~zPcnoa56b<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'~xT~~zParpfc1c<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'~xT~~zParpfcZAILV;9SbQBUY]2[j273\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&}yS}{_`qqabYc9=:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tt|kf`#z|Prrv\evtboVn9?n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{ynae ws]qwqYdgdh8h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!xr^pppZehek;h7X]JR^TJWLDKM:1]ON74VHGT[Q_WM?1\IL2?>79TAD:66?1\IL2=>99TAD:4294=7ZKN<2<5?RCF4=4=7ZKN<4<5?RCF4?437ZKN<683:3=PMH6<2;5XEC>3:3=PMK6:2;5XEC>1:==PMK686=09;VGA86813^OI0909;VGA80813^OI0;07;VGA82<76?1\IO28>c9TVLRBWOCY_Ym4WSKWAZKHLLUJo6Y]IUG\IJBBWK;o7UGCIOZ.\AD'8';+_Y[M 1,2$DUDA@?0TB\LY79[WQJNJ>1S_YQHNE58\VRX^JIi7UQLOSG\MK@H>2RonRGkf:ZglZVuad\n~~g`n028\akXEh`d~[k}shmm55=_ldUFeca}Vdppmjh43Qy?6Wjs9c8[ZY_DGGTSR>P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%FmijPgha3*be7&je~byU7]^EM@Z571VeTmijP0^MVPZ~hz5>5=<69;^]\\IHJWVU:!re-qtkru'DkohRil0/alqkr\0TULBIQ=94]l[dbcW9UDYYQwos>1:47?02UTSUBAM^]\54YXW\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GfyuQkigd\swYulR3VSJ@K_224[jYj}qU>SB[[105g?ZYXPEDFSRQ>2^]\Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_fa\evtboVfjyU7]^pf`pebWqeyS<8Po05`?ZYXPEDFSRQ>3^]\Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_fa\evtboVfjyU7]^pf`pebWqeyS:Q`14g8[ZY_DGGTSR?;_^]V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*tfeV}ySh`Pi05g?ZYXPEDFSRQ>5^]\Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'Drd~RY]_QLWV^6ZW^XT\CZ][0_\\JTX0VeTtb2?>05f?ZYXPEDFSRQ>6^]\Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'Drd~R\K_QLWV^7ZW[NT\CZ][3_\\JTX=8UdSua30?34a>YXWQFEARQP16]\[P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&GscQ]D^RMPW]4UVXOS]@[RZ6^[]IUW=3TcRv`<1<23`=XWVRGB@QP_0:\[ZS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%Ftb|PRE]SJQT\?TUYHR^ATSY;YZ^HZV>>SbQwo=2=52bWT[_Q_NUPXUVMEHR=?7^m\ip~X=VE^X>?8e:]\[]JIEVUT>=QP_T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*Kg{UYHR^ATSY6YZTCWYD_^V8R_YMQ[11XgVrd0=0>7e9\[Z^KFDUTS??P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})JpfxT[_Q_NUPX0XYPZVZEX_U:]^ZLVZ2XgVrd0=0>659\[Z^KFDUTS?63VUTTA@B_^]0[ZYR8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\cfYf{{olSao|tZ:^[wcc}joTtb|P193\|j:7682;7RQPXMLN[ZY3WVU^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXojUjkh_mcpp^>ZW{ooynkPxnp\<4Yg5:5=:>4_^][HKKXWV?TSR[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*w`pn}%FaxvPR@O\VAYBFVL\JY?>8`9\[Z^KFDUTS;QP_T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&GjhiQhib2-cf6)kfexV6R_FLG[66>WfUjhiQ?_NWW[}iu4>4:=5;4_^][HKKXWV=TSR[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)JimnTkn>!cnwmp^>ZWNDOS?7:_n]b`aY7WF__Sua}<6<253?_yqw56=edbUfi`Qfnqww[gjhkb;?7obd_lgn[jssx|~Tnaalkc9`hnYWyd~~94cnosewca3jy~Rhxfu]ppdrb;2noa;5kio>3:2=cag6:<394dhl?54803mce0<<17:fjj9746>1oec2>4?58`lh;9<4<7iga<04=3>bnf5;<2:5kio>2<;117:fjj9466>1oec2=2?58`lh;::4<7iga<36=3>bnf58>2:5kio>12;1:08;ekm87>9?2nbb1<6>79gmk:56>1oec2<0?58`lh;;84<7iga<20=3>bnf5982:5kio>00;169gmk:407=0hd`338<5?aoi4:4<7iga<52=3>bnf5>:2:5kio>76;108;ekm8129?2nbb1::>69gmk:3>7=0hd`346<4?aoi4=25;6jfn=6::3=cag6?2:5kio>64;169gmk:2<7=0hd`354<4?aoi4<<5;6jfn=74:2=cag6>4394dhl?1<813mce0808;ekm8369?2nbb18>>69gmk:1:7=0hd`362<4?aoi4?>5;6jfn=46:2=cag6=:394dhl?22803mce0;617:fjj90>6?1oec29>69gmk:08730hd`37083:2=cag6<=384dhl?3;099gkpr;9<437iazt=35:==cg|~7=:07;emvp97?611ocxz318<4?air|5;546j`uu>14;>bh}}698364dnww873902ndyy2=6?:8`jss4;=546j`uu>1<;>99gkpr;;=437iazt=16:==cg|~7?;07;emvp950611ocxz339<;?air|5922:5kotv?7;>18:flqq:39720hb{{<50=<>bh}}6??364dnww812902ndyy2;5?:8`jss4=<546j`uu>73;>99gkpr;=:437iazt=77:==cg|~79807;emvp931611ocxz356<;?air|5?3255kotv?1<803me~x1;18:flqq:18720hb{{<73=<>bh}}6=>364dnww835902ndyy294?:8`jss4??546j`uu>52;>bh}}6=255kotv?358f3me~x19>:1<;?air|5=:2:5kotv?3;1m4:djbjY3cV8h`f"iigm\c`hbzh~d~Rx6_2.xgZgclVdloRm`nrvqelhs59&hSl~lhabpliiW}s{iRowir?3(fYfxyfbolzfoo]w}ucXzhic1="l_`rshlef|`eeSywe^pggm;7$jUj|}bfc`vjkkYsqyoT{lmg=1.`[dvwd`ijxdaa_u{saZqcka7; nQnpqnjgdrnggUu}kPwsak95*dWhrbSljkr^uj`qn:;;&hSlvf_`pvw`tX`nd0?#c^c{mZgp}zoySzgkti?2(fYfp`Uiy~k}_vkgpm;6$jUjtdQkauc\slbs`40)eXiqcxSy}{rc]tmaro5=&hSlvfs^vvw`tX`nd0:#c^c{mvYsqyo6!mPdhl\slbs`Vkse~3>,b]gmkYpam~cSolh<11(fYcagU|eizg_sf`l803$jUoecQxievk[rgd`499 nQkio]tmaroW~nhd08;,b]gmkYpam~cSz|lh<0/gZbh}}UyyQyam?3(fYcg|~T{dj{h<51(fYbfhhgiR|cobq>4)eXmgki`hQ}su]p}ke:9%iTi|`r^kmn`esafdTxt~j=1.`[`tug{Ubbgklthmm[qwmVkse~3?,b]fvwiuW`dainzfoo]w}ucXzhic1="l_dpqkwYnfcohxdaa_u{saZtcka7; nQjrsmq[lhmmj~bccQ{yqg\sdeo59&hSh|}os]jjocd|`eeSywe^uggm;7$jUn~a}_hliafrnggUu}kPwsak95*dWokfeaw2sqcqpdh1$jUmyabPfmcdwZtt|V|j`0?#c^jbwZgkefyShctx?3(fYoizUj``a|t^dvhi;7$jUcm~Qnxh]bvpubz4:'oRfns^c{mZgp}zoy1="l_icp[d~nWkxi3?,b]kevYfp`UyhRmgaolfh86+kVbjRowi^pvw`t:8%iTdl}Payk\swYd`hdeia3?,b]kevYfp`U|y~k}=1.`[mgtWhrbRzkauc>4)eX`hyTmug|_uffwq;7$jUcm~Qnxhq\plb:8%iTdl}Paykp[qmbmy7; nQgar]b|luX|ekxx0>#c^jbwZgazU~hoky<3/gZnf{Vkse~Q{supa95*dWakxSlvfs^vvw`t:8%iTdl}Pb`ahqu;7$jUcm~Qkauc\gjsi|4:'oRfns^fbpdYdg|dRowir?3(fYoizUomyoPcnwmpvYuijb6#c^jbwZbf|hUhcx`{s^uggm;7$jUcm~Qkauc\gjsi|zU|~nf20-a\lduXag~Toae20-a\lduXehyiQle<2/gZnf{Vgnad`ft^djh`;7$jUcm~Q`vdpehjq:8%iTdl}Ppsmd[cjfozUjtd}20-a\lduXx{elSkbngr]qefn:8%iTdl}Ppsmd[cjfozUyhnf20-a\lduXx{elSkbngr]tefn:8%iTdl}Ppsmd[cjfozU|hnf20-a\lduXx{elSkbngr]tvfn:8%iTdl}Pre]geqgXkfex0?#c^jbwZtcW{y1="l_icp[wus58&hSeo|_rnbr`Ydm4:'oRfns^qqwq;7$jUcm~Qznegqbiip59&hSeo|_wcoma;7$jUcm~Qxr^c`o86+kVbjRy}_ecweZeh}g~6=!mPh`q\swYu{}7; nQfmqnfi`hsi}cdbRzvpd?1(fYneyfnah`{aukljZr~xlUjtd}21-a\mhvkmdoexlzfoo]w}ucXzhic1<"l_hosh`kbf}keb`Ptxrf[wbd`4;'oRgbpmgnakrf|`eeSywe^ubgm;6$jUba}bjmdlweqohfV~r|hQxdbj>5)eXadzgi`kat`vjkkYsqyoT{mg=0.`[lhn|V}yS}`{r^tbh86+kVcexh|iabg\p|vb59&hS`kbos{\p|vb58&hS`gi_gkoaZhfel7I`l`dSupjjb*dWdylccQyam?2(fYhx}cfewo{inl\ijbb59&hSbxjrgnlsZjh4:'oR~}emmb`Zjf|ldhu0>#c^rqaiiflVxnk~3>,b]svlkXn`ldSywe<726}15$jU{~biPelrw}ZrozlycSl}|esv\rdj:<%iT|ah_dosp|Ys`{oxdRo|sdpw[sgkWhrb0??23.`[uthoVof|ywPtipfwmYf{zoyxRxnl^pbgm;68;8'oR~}of]fiur~W}byi~fParqfvqYqieUyhnf21101(fYwzfmTi`~{y^vkv`uoWhyxizPv`n\sdeo58:9>!mPpsmd[`kw|pUdk|h^cpw`tsWkgSzjlh<3367*dWyxdkRkbpu{\pmtb{aUj~k}t^tbhZquka7:#c^rqkbYbey~rSywe^ubgm;7$jU{~biPelrw}Zr~xlU|hnf20-a\twi`Wlg{xtQ{yqg\sweo59&hS}|`g^dvhiYs`{oxdRo|sdpw[sgk5<;: nQrne\bpjkW}byi~fParqfvqYqieUjtd}21100(fYwzfmTjxbc_ujqavnXizyn~yQyam]qefn:9988 nQrne\bpjkW}byi~fParqfvqYqieUyhnf21100(fYwzfmTjxbc_ujqavnXizyn~yQyam]tefn:9988 nQrne\bpjkW}byi~fParqfvqYqieU|hnf21100(fYwzfmTjxbc_ujqavnXizyn~yQyam]tvfn:9988 nQrne\bpjkW}byi~fPndebp`Yqie7>=="l_qplcZ`rdeUu}k20-a\twi`Wog`Rzvpd]b|lu:8%iT|ah_gwohZr~xlUymnf20-a\twi`Wog`Rzvpd]q`fn:8%iT|ah_gwohZr~xlU|mnf20-a\twi`Wog`Rzvpd]t`fn:8%iT|ah_gwohZr~xlU|~nf20-a\vdeoW}s{i0>#c^pg[agsiVidyczPwhfwl877$jUyhRjjpuj>144+kVxoSk|jq<3/gZtcWyd~Ryfduj>=)eXzmicSywe<2/gZtboVygenkPmnff[d~n{4:'oR|jg^qomfcXefnnSolh<2/gZtboVygenkPmnff[wbd`4:'oR|jg^qomfcXefnnSzolh<2/gZtboVygenkPmnff[rbd`4:'oR|jg^qomfcXefnnSz|lh<2/gZu~fjbyccgues]ppdab5;&hSx`kesdokrYkg~7; nQzsd]`khkhfVe}ihcov?3(fYr{lUhc`c`n^wm``tadf}64)eX}zoTinmPreak95*dW|ynShml_vc`l86+kVxiRklc^uggm;7$jU~hQjcb]tvfn:8%iTy~kPel`f`accW{ol1="l_tqf[coag84)eX{UjofQcov?3(fYpzVnjxlQlotlw[roc|a7:=4-a\swYcmy~cSolh<35(fYpzVnn|yfPreak9465<%iT{Qkeqvk[rgd`4;= nQxr^fftqnXmic1<>=4-a\swYcmy~cSz|lh<35(fYpzVlyi|3>,b]tvZvi|{U|eizg=8.`[rtXxg~ySzgkti]b|lu:99&hSz|Ppovq[roc|aUymnf24-a\swYwf}xT{dj{h^pggm;68%iT{Qnup\slbs`V}joe3;,b]tvZvi|{U|eizg_vf`l877$jU|~R~ats]tmaroW~xhd0:#c^uq[wctxfdxiRbntdl`}87+kV}yoeQ{yqg>4x443ocmcR:d_3aoo)`nnfUlick}aumq[s?X;%qv=;5iigm\c`hbzh~d~R|nmgkek443:46028vaYci}kTob{at=7=55=ulVnjxlQlotlw838682xoSio{a^alqkr;?7;;7jPd`vb[firf}632<<4re]geqgXkfex1750?33?wbXlh~jSnaznu>::47tcWz~jxx}PfmcdwZgt981yi~k{_cnh[wgjn`ld?6||tg9p}keozfdbvh|Pfg`8swYeagUhby|9;vp\gim682}ySio{a^alqkr;87;;7z|Pd`vb[firf}6:2<>4ws]geqgXkfex1<1119tvZbf|hUhcx`{<2<24>quWmkmRm`uov?0;773~xThlzn_bmvjq:268:0{Qkauc\gjsi|5<5==5xr^fbpdYdg|d0:0>0:uq[agsiVidycz38?31?rtXlh~jSnaznu>:>58682}ySio{a^alqkr;17;:7z|Pdb]escrXmq~Tm~;4ws]fj==pzVfdkdm>2:uq[vrf||yTjaohs^cpyEFw98>o7MNw7349B?2=9rY3m789c;0`b?74;>28:7;1v_56567a96f`=9:9<4>852g3ba>U5j<0852g3bg>"18=7W8i:5y1b?552m0n6p*966846f=#?;0<>55+888462=#:o?1=6*=f5852a=n>m21<7*=d385`2=i:m;1<65f6e494?"5l;0=h:5a2e395>=n>m>1<7*=d385`2=i:m;1>65f6e194?"5l;0=h:5a2e397>=n>m81<7*=d385`2=i:m;1865f6e394?"5l;0=h:5a2e391>=n>m:1<7*=d385`2=i:m;1:65f6bd94?"5l;0=h:5a2e393>=n>jo1<7*=d385`2=i:m;1465f6bf94?"5l;0=h:5a2e39=>=n>ji1<7*=d385`2=i:m;1m65f6b`94?"5l;0=h:5a2e39f>=n>j31<7*=d385`2=i:m;1o65f6b:94?"5l;0=h:5a2e39`>=n>j=1<7*=d385`2=i:m;1i65f6b494?"5l;0=h:5a2e39b>=n>j?1<7*=d385`2=i:m;1==54i7a7>5<#:m81:i94n3f2>47<3`5$3f1>3b03g8o=7?=;:k5g7<72-8o>78k7:l1`4<6;21b:n?50;&1`7<1l>1e>i?51598m3e7290/>i<56e58j7b628?07d8me;29 7b52?n<7co1jm0;6)h5l80:;65f6ca94?"5l;0=h:5a2e395==b:9j2g>=83.9h?49d69m6a7=9j10e;l8:18'6a4=>m=0b?j>:0f8?l0e>3:1(?j=:7f4?k4c93;n76g9b483>!4c:3=n>k91<7*=d385`2=i:m;1>=54i7`1>5<#:m81:i94n3f2>77<3`5$3f1>3b03g8o=7<=;:k5f5<72-8o>78k7:l1`4<5;21b:lh50;&1`7<1l>1e>i?52598m3gb290/>i<56e58j7b62;?07d8nd;29 7b52?n<7co1ij0;6)h5l809;65f6``94?"5l;0=h:5a2e396==m=0b?j>:3f8?l0f<3:1(?j=:7f4?k4c938n76g9a283>!4c:3=n>h81<7*=d385`2=i:m;1?=54i7c2>5<#:m81:i94n3f2>67<3`5$3f1>3b03g8o=7==;:k5=c<72-8o>78k7:l1`4<4;21b:4j50;&1`7<1l>1e>i?53598m3?d290/>i<56e58j7b62:?07d86b;29 7b52?n<7co11h0;6)h5l808;65f68;94?"5l;0=h:5a2e397==74;h4:3?6=,;n96;j8;o0g5?5f32c=5;4?:%0g6?0c?2d9h<4m=0b?j>:2f8?l0cj3:1(?j=:7f4?k4c939n76g9d`83>!4c:3=n>m31<7*=d385`2=i:m;18=54i7f6>5<#:m81:i94n3f2>17<3`5$3f1>3b03g8o=7:=;:k5fc<72-8o>78k7:l1`4<3;21b:o:50;&1`7<1l>1e>i?54598m3g>290/>i<56e58j7b62=?07d86e;29 7b52?n<7co11:0;6)h5l80?;65f75;94?=n?=i1<75f72594?=n?<31<75f75694?=h?;;1<7*=d38465=i:m;1<65`70d94?"5l;0<>=5a2e395>=h?8n1<7*=d38465=i:m;1>65`70a94?"5l;0<>=5a2e397>=h?8h1<7*=d38465=i:m;1865`70c94?"5l;0<>=5a2e391>=h?831<7*=d38465=i:m;1:65`70:94?"5l;0<>=5a2e393>=h?8=1<7*=d38465=i:m;1465`70494?"5l;0<>=5a2e39=>=h?8?1<7*=d38465=i:m;1m65`70694?"5l;0<>=5a2e39f>=h?881<7*=d38465=i:m;1o65`70394?"5l;0<>=5a2e39`>=h?8:1<7*=d38465=i:m;1i65`71d94?"5l;0<>=5a2e39b>=h?9o1<7*=d38465=i:m;1==54o62g>5<#:m81;?>4n3f2>47<3f=;o7>5$3f1>2473g8o=7?=;:m44g<72-8o>79=0:l1`4<6;21d;=o50;&1`7<0:91e>i?51598k26>290/>i<57328j7b628?07b9?7;29 7b52>8;7ci08?0;6)h5l80:;65`71794?"5l;0<>=5a2e395==:?6=4+2e09376b:9l357=83.9h?48219m6a7=9j10c:>?:18'6a4=?;:0b?j>:0f8?j0an3:1(?j=:603?k4c93;n76a9fd83>!4c:3=9<6`=d082b>=h>oi1<7*=d38465=i:m;1>=54o7da>5<#:m81;?>4n3f2>77<3f5$3f1>2473g8o=7<=;:m5b<<72-8o>79=0:l1`4<5;21d:k650;&1`7<0:91e>i?52598k3`0290/>i<57328j7b62;?07b8i6;29 7b52>8;7ci1n<0;6)h5l809;65`6g694?"5l;0<>=5a2e396==:3f8?j0bl3:1(?j=:603?k4c938n76a9eb83>!4c:3=9<6`=d081b>=h>lh1<7*=d38465=i:m;1?=54o7gb>5<#:m81;?>4n3f2>67<3f5$3f1>2473g8o=7==;:m5a=<72-8o>79=0:l1`4<4;21d:h850;&1`7<0:91e>i?53598k3c2290/>i<57328j7b62:?07b8j4;29 7b52>8;7ci1m:0;6)h5l808;65`6d094?"5l;0<>=5a2e397==74;n4f4?6=,;n96::2f8?j15<3:1(?j=:603?k4c939n76a82283>!4c:3=9<6`=d080b>=h?;81<7*=d38465=i:m;18=54o63f>5<#:m81;?>4n3f2>17<3f=:?7>5$3f1>2473g8o=7:=;:m44=<72-8o>79=0:l1`4<3;21d:kj50;&1`7<0:91e>i?54598k3`5290/>i<57328j7b62=?07b8j7;29 7b52>8;7ci1lj0;6)h5l80?;65`75094?"5l;0<8<5a2e394>=h?=:1<7*=d38404=i:m;1=65`72d94?"5l;0<8<5a2e396>=h?:o1<7*=d38404=i:m;1?65`72f94?"5l;0<8<5a2e390>=h?:i1<7*=d38404=i:m;1965`72`94?"5l;0<8<5a2e392>=h?:k1<7*=d38404=i:m;1;65`72;94?"5l;0<8<5a2e39<>=h?:21<7*=d38404=i:m;1565`74594?"5l;0<9;5a2e394>=h?=h?<91<7*=d38413=i:m;1?65`74094?"5l;0<9;5a2e390>=h?<;1<7*=d38413=i:m;1965`74294?"5l;0<9;5a2e392>=h?=l1<7*=d38413=i:m;1;65`75g94?"5l;0<9;5a2e39<>=h?=n1<7*=d38413=i:m;1565`73g94?=h?;?1<75m67494?7=83:p(?hi:76:?M01<2B=855`2bg94?=zj?<>6=4>:183!4an38>h6F9659K21>?>0D;:7;%05`?e:1<75f8c83>>o5l=0;66a=d783>>{ee2900e?j;:188k7b12900qo:m9;290?6=8r.9jk4=569K232<@?>37)<9d;a8m26=831b4o4?::k1`1<722e9h;4?::a27c=83>1<7>t$3de>7323A<=86F9499'63b=;2c<<7>5;h:4>5<;6F9659K21><@?i0(?lk:3df?l172900e5l50;9j6a2=831d>i850;9~f325290?6=4?{%0eb?42?2B=:95G65:8L3e<,;ho6?hj;h53>5<5<3290;w)N1>=1C:964H7a8 7dc2;ln7)<9d;a8m26=831b4o4?::k1`1<722e9h;4?::a26`=8391<7>t$3de>7333A<=86F9499K2f=#:kn1>kk4$34g>6=n?90;66g7b;29?j4c>3:17pl94483>6<729q/>kh52468L3033A;j53:k44?6=3`2i6=44o3f5>5<54;294~"5no099:5G6768L32?3A"5>m0h7d9?:188m=d=831b>i:50;9l6a0=831vn;=8:187>5<7s-8mj7<:7:J521=O>=20(?8k:b9j35<722c3n7>5;h0g0?6=3f8o:7>5;|`573<72=0;6=u+2gd9601<@?1<75`2e494?=zj?9>6=4;:183!4an38>;6F9659K21><,;>o?j3:17d3:17pl93d83>6<729q/>kh52468L3033A;j53:k44?6=3`2i6=44o3f5>5<54;294~"5no099:5G6768L32?3-8=h7m4i6294?=n0k0;66g=d583>>i5l?0;66sm62c94?2=83:p(?hi:374?M01<2B=855+27f9g>o083:17d6m:188m7b32900c?j9:188yg03<3:1?7>50z&1bc<5==1C:;:4H76;?M0d3-8ih75<3290;w)N1>=1C:964H7a8 7dc2;ln7)<9d;a8m26=831b4o4?::k1`1<722e9h;4?::a1ag=83?1<7>t$3de>73>3A<=86F9499'63b=;2c<<7>5;h52>5<5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>e2900e?j8:188k7b12900qo;k7;291?6=8r.9jk4=589K232<@?>37)<9d;18m26=831b;<4?::k;f?6=3`8o;7>5;n0g2?6=3th>h;4?:483>5}#:ol1>874H747?M0302.9:i4<;h53>5<;1<75f8c83>>o5l>0;66a=d783>>{e=m?1<7;50;2x 7`a2;?27E894:J50==#:?n1?6g80;29?l162900e5l50;9j6a1=831d>i850;9~f0b3290>6=4?{%0eb?4212B=:95G65:8 70c2:1b;=4?::k45?6=3`2i6=44i3f4>5<?>0D;:7;%05`?5:1<75f7083>>o?j3:17d3:17pl:d383>0<729q/>kh524;8L3033AN1<11/>;j53:k44?6=3`=:6=44i9`94?=n:m=1<75`2e494?=zj56F9659K21><,;5f7183>>o093:17d6m:188m7b02900c?j9:188yg3dn3:197>50z&1bc<5=01C:;:4H76;?!41l390e:>50;9j34<722c3n7>5;h0g3?6=3f8o:7>5;|`6g`<72<0;6=u+2gd960?<@?>i5l?0;66sm5ba94?3=83:p(?hi:37:?M01<2B=855+27f97>o083:17d9>:188m=d=831b>i950;9l6a0=831vn8mm:186>5<7s-8mj7<:9:J521=O>=20(?8k:29j35<722c<=7>5;h:a>5<5<55;294~"5no09945G6768L32?3-8=h7=4i6294?=n?80;66g7b;29?l4c?3:17b"5>m087d9?:188m27=831b4o4?::k1`2<722e9h;4?::a1f>=83?1<7>t$3de>73>3A<=86F9499'63b=;2c<<7>5;h52>5<5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>e2900e?j8:188k7b12900qo;l6;291?6=8r.9jk4=589K232<@?>37)<9d;18m26=831b;<4?::k;f?6=3`8o;7>5;n0g2?6=3th>o84?:483>5}#:ol1>874H747?M0302.9:i4<;h53>5<;1<75f8c83>>o5l>0;66a=d783>>{e=j>1<7;50;2x 7`a2;?27E894:J50==#:?n1?6g80;29?l162900e5l50;9j6a1=831d>i850;9~f0e4290>6=4?{%0eb?4212B=:95G65:8 70c2:1b;=4?::k45?6=3`2i6=44i3f4>5<?>0D;:7;%05`?5:1<75f7083>>o?j3:17d3:17pl:c183>0<729q/>kh524;8L3033AN1<11/>;j53:k44?6=3`=:6=44i9`94?=n:m=1<75`2e494?=zj56F9659K21><,;5f7183>>o093:17d6m:188m7b02900c?j9:188yg3el3:197>50z&1bc<5=01C:;:4H76;?!41l390e:>50;9j34<722c3n7>5;h0g3?6=3f8o:7>5;|`6ff<72<0;6=u+2gd960?<@?>i5l?0;66sm5c`94?3=83:p(?hi:37:?M01<2B=855+27f97>o083:17d9>:188m=d=831b>i950;9l6a0=831vn8ln:186>5<7s-8mj7<:9:J521=O>=20(?8k:29j35<722c<=7>5;h:a>5<5<55;294~"5no09945G6768L32?3-8=h7=4i6294?=n?80;66g7b;29?l4c?3:17b"5>m087d9?:188m27=831b4o4?::k1`2<722e9h;4?::a1g0=83?1<7>t$3de>73>3A<=86F9499'63b=;2c<<7>5;h52>5<5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>e2900e?j8:188k7b12900qo;m4;291?6=8r.9jk4=589K232<@?>37)<9d;18m26=831b;<4?::k;f?6=3`8o;7>5;n0g2?6=3th>n>4?:483>5}#:ol1>874H747?M0302.9:i4<;h53>5<;1<75f8c83>>o5l>0;66a=d783>>{e=k81<7;50;2x 7`a2;?27E894:J50==#:?n1?6g80;29?l162900e5l50;9j6a1=831d>i850;9~f0d6290>6=4?{%0eb?4212B=:95G65:8 70c2:1b;=4?::k45?6=3`2i6=44i3f4>5<?>0D;:7;%05`?5:1<75f7083>>o?j3:17d3:17pl:ag83>0<729q/>kh524;8L3033AN1<11/>;j53:k44?6=3`=:6=44i9`94?=n:m=1<75`2e494?=zj56F9659K21><,;5f7183>>o093:17d6m:188m7b02900c?j9:188yg3fj3:197>50z&1bc<5=01C:;:4H76;?!41l390e:>50;9j34<722c3n7>5;h0g3?6=3f8o:7>5;|`6ed<72<0;6=u+2gd960?<@?>i5l?0;66sm5`;94?3=83:p(?hi:37:?M01<2B=855+27f97>o083:17d9>:188m=d=831b>i950;9l6a0=831vn8o7:186>5<7s-8mj7<:9:J521=O>=20(?8k:29j35<722c<=7>5;h:a>5<5<55;294~"5no09945G6768L32?3-8=h7=4i6294?=n?80;66g7b;29?l4c?3:17b"5>m087d9?:188m27=831b4o4?::k1`2<722e9h;4?::a1d3=83?1<7>t$3de>73>3A<=86F9499'63b=;2c<<7>5;h52>5<5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>e2900e?j8:188k7b12900qo;n3;291?6=8r.9jk4=589K232<@?>37)<9d;18m26=831b;<4?::k;f?6=3`8o;7>5;n0g2?6=3th>m?4?:483>5}#:ol1>874H747?M0302.9:i4<;h53>5<;1<75f8c83>>o5l>0;66a=d783>>{e=h:1<7;50;2x 7`a2;?27E894:J50==#:?n1?6g80;29?l162900e5l50;9j6a1=831d>i850;9~f0?a290>6=4?{%0eb?4212B=:95G65:8 70c2:1b;=4?::k45?6=3`2i6=44i3f4>5<?>0D;:7;%05`?5:1<75f7083>>o?j3:17d3:17pl:9e83>0<729q/>kh524;8L3033AN1<11/>;j53:k44?6=3`=:6=44i9`94?=n:m=1<75`2e494?=zj<3i6=4::183!4an38>56F9659K21><,;5f7183>>o093:17d6m:188m7b02900c?j9:188yg3>i3:197>50z&1bc<5=01C:;:4H76;?!41l390e:>50;9j34<722c3n7>5;h0g3?6=3f8o:7>5;|`6=<<72<0;6=u+2gd960?<@?>i5l?0;66sm58:94?3=83:p(?hi:37:?M01<2B=855+27f97>o083:17d9>:188m=d=831b>i950;9l6a0=831vn878:186>5<7s-8mj7<:9:J521=O>=20(?8k:29j35<722c<=7>5;h:a>5<5<55;294~"5no09945G6768L32?3-8=h7=4i6294?=n?80;66g7b;29?l4c?3:17b"5>m087d9?:188m27=831b4o4?::k1`2<722e9h;4?::a1a>=83?1<7>t$3de>73>3A<=86F9499'63b=;2c<<7>5;h52>5<5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>e2900e?j8:188k7b12900qo;l2;291?6=8r.9jk4=589K232<@?>37)<9d;18m26=831b;<4?::k;f?6=3`8o;7>5;n0g2?6=3th>n:4?:483>5}#:ol1>874H747?M0302.9:i4<;h53>5<;1<75f8c83>>o5l>0;66a=d783>>{e=hi1<7;50;2x 7`a2;?27E894:J50==#:?n1?6g80;29?l162900e5l50;9j6a1=831d>i850;9~f0g6290>6=4?{%0eb?4212B=:95G65:8 70c2:1b;=4?::k45?6=3`2i6=44i3f4>5<?>0D;:7;%05`?5:1<75f7083>>o?j3:17d3:17pl:9483>0<729q/>kh524;8L3033A3>13S3;32>6?=<809h7?;:0097d<3:38n6>>530877?{#>l097d1e>i?50:9j13<72-8o>7;8;o0g5?7<3`?>6=4+2e0912=i:m;1>65f5583>!4c:3?<7ci<5569m6a7=<21b9?4?:%0g6?303g8o=7;4;h72>5<#:m819:5a2e392>=n=90;6)1e>i?58:9j54>=83.9h?4>169m6a7=821b=<850;&1`7<69>1e>i?51:9j542=83.9h?4>169m6a7=:21b=<=50;&1`7<69>1e>i?53:9j544=83.9h?4>169m6a7=<21b=1e>i?55:9j546=83.9h?4>169m6a7=>21b==h50;&1`7<69>1e>i?57:9j55c=83.9h?4>169m6a7=021b==j50;&1`7<69>1e>i?59:9j55e=83.9h?4>169m6a7=i21b==l50;&1`7<69>1e>i?5b:9j55?=83.9h?4>169m6a7=k21b==650;&1`7<69>1e>i?5d:9j551=83.9h?4>169m6a7=m21b==850;&1`7<69>1e>i?5f:9j553=83.9h?4>169m6a7=9910e<>;:18'6a4=98=0b?j>:038?l77;3:1(?j=:034?k4c93;976g>0383>!4c:3;:;6`=d0827>=n99;1<7*=d38252=i:m;1=954i023>5<#:m81=<94n3f2>43<3`ln6=4+2e095415<#:m81=<94n3f2>41<3`lh6=4+2e095415<#:m81=<94n3f2>4?<3`lj6=4+2e095415<#:m81=<94n3f2>4d<3`l36=4+2e095415<#:m81=<94n3f2>4b<3`l=6=4+2e095415<#:m81=<94n3f2>4`<3`l86=4+2e095414;hd1>5<#:m81=<94n3f2>77<3`l:6=4+2e095415<#:m81=<94n3f2>75<3`om6=4+2e095415<#:m81=<94n3f2>73<3`oo6=4+2e095415<#:m81=<94n3f2>71<3`oi6=4+2e095415<#:m81=<94n3f2>7?<3`o36=4+2e095415<#:m81=<94n3f2>7d<3`o=6=4+2e095415<#:m81=<94n3f2>7b<3`o?6=4+2e095415<#:m81=<94n3f2>7`<3`o96=4+2e09541>4;hg2>5<#:m81=<94n3f2>67<3`o;6=4+2e09541<4;hfe>5<#:m81=<94n3f2>65<3`no6=4+2e09541:4;hf`>5<#:m81=<94n3f2>63<3`ni6=4+2e0954184;hfb>5<#:m81=<94n3f2>61<3`n26=4+2e0954164;hf;>5<#:m81=<94n3f2>6?<3`n<6=4+2e09541o4;hf5>5<#:m81=<94n3f2>6d<3`n>6=4+2e09541m4;hf7>5<#:m81=<94n3f2>6b<3`;:n7>5$3f1>4703g8o=7=j;:k25d<72-8o>7?>7:l1`4<4n21b=<750;&1`7<69>1e>i?54198m472290/>i<51058j7b62=;07d??a;29 7b528;<7coan3:1(?j=:034?k4c93>876gi4;29 7b528;<7cob13:1(?j=:034?k4c93>>76gke;29 7b528;<7coc;3:1(?j=:034?k4c93><76g=ed83>!4c:38nh6`=d083?>o5m10;6)h5l80;76g95d83>!4c:3<>h6`=d083?>o1=j0;6)h5l80:76g95c83>!4c:3<>h6`=d081?>o1=h0;6)h5l80876g95883>!4c:3<>h6`=d087?>o1=>0;6)h5l80>76g95783>!4c:3<>h6`=d085?>o1=<0;6)h5l80<76g98;29 7b52?=0b?j>:198m30=83.9h?497:l1`4<632c=97>5$3f1>31o1;3:1(?j=:758j7b62=10e;<50;&1`7<1?2d9h<4:;:k55?6=,;n96;94n3f2>3=h5l80<76g:a;29 7b52?=0b?j>:998m406290/>i<51728j7b62910e<;i:18'6a4=9?:0b?j>:098m43c290/>i<51728j7b62;10e<;l:18'6a4=9?:0b?j>:298m43e290/>i<51728j7b62=10e<;n:18'6a4=9?:0b?j>:498m43>290/>i<51728j7b62?10e<;7:18'6a4=9?:0b?j>:698m430290/>i<51728j7b62110e<;9:18'6a4=9?:0b?j>:898m432290/>i<51728j7b62h10e<;;:18'6a4=9?:0b?j>:c98m435290/>i<51728j7b62j10e<;>:18'6a4=9?:0b?j>:e98m437290/>i<51728j7b62l10e<:i:18'6a4=9?:0b?j>:g98m42b290/>i<51728j7b628:07d?;d;29 7b528<;7co6h5l80:>65f15`94?"5l;0::=5a2e3956=j6=4+2e095366:9j510=83.9h?4>619m6a7=9>10e<:::18'6a4=9?:0b?j>:0:8?l73<3:1(?j=:043?k4c93;276g>4283>!4c:3;=<6`=d082e>=n9=81<7*=d38225=i:m;1=o54i062>5<#:m81=;>4n3f2>4e<3`;?<7>5$3f1>4073g8o=7?k;:k27c<72-8o>7?90:l1`4<6m21b=>k50;&1`7<6>91e>i?51g98m45d290/>i<51728j7b62;:07d?o6;h0;6)h5l809>65f12;94?"5l;0::=5a2e3966=619m6a7=:>10e<=;:18'6a4=9?:0b?j>:3:8?l74;3:1(?j=:043?k4c938276g>3083>!4c:3;=<6`=d081e>=n9::1<7*=d38225=i:m;1>o54i00e>5<#:m81=;>4n3f2>7e<3`;9i7>5$3f1>4073g8o=77?90:l1`4<5m21b=?m50;&1`7<6>91e>i?52g98m44e290/>i<51728j7b62::07d?=a;29 7b528<;7co6:00;6)h5l808>65f13:94?"5l;0::=5a2e3976=:4;h311?6=,;n96<8?;o0g5?5232c:>94?:%0g6?7182d9h<4<6:9j575=83.9h?4>619m6a7=;>10e<<=:18'6a4=9?:0b?j>:2:8?l7593:1(?j=:043?k4c939276g>2183>!4c:3;=<6`=d080e>=n98l1<7*=d38225=i:m;1?o54i03f>5<#:m81=;>4n3f2>6e<3`;:h7>5$3f1>4073g8o=7=k;:k221<72-8o>7?90:l1`4<4m21b=;=50;&1`7<6>91e>i?53g98m405290/>i<51728j7b62=:07d?:e;29 7b528<;7co6=:0;6)h5l80?>65f15:94?"5l;0::=5a2e3906=:4?:%0g6?7182d9h<4;6:9j54e=83.9h?4>619m6a7=<>10e:o50;9j61`=831b;k4?::k115<722c9n?4?::k1f4<722e97777732e:j;4?:%0g6?4792d9h<4n;:m2b0<72-8o>74?:%0g6?4792d9h<4l;:m2b7<72-8o>770:9l5`c=83.9h?4=009m6a7=9810c:008?j7bk3:1(?j=:322?k4c93;876a>ec83>!4c:38;=6`=d0820>=h9lk1<7*=d38144=i:m;1=854o0g;>5<#:m81>=?4n3f2>40<3f;n;7>5$3f1>7663g8o=7?8;:m2a3<72-8o>7i?51898k4c3290/>i<52138j7b628k07b?j3;29 7b52;::7ci6m;0;6)h5l80:o65`1d394?"5l;09<<5a2e395a=>;o0g5?7a32e:hi4?:%0g6?4792d9h<4=0:9l5ae=83.9h?4=009m6a7=:810c:308?j7ci3:1(?j=:322?k4c938876a>d883>!4c:38;=6`=d0810>=h9m21<7*=d38144=i:m;1>854o0f4>5<#:m81>=?4n3f2>70<3f;o:7>5$3f1>7663g8o=7<8;:m2`0<72-8o>7i?52898k4b5290/>i<52138j7b62;k07b?k1;29 7b52;::7ci6l90;6)h5l809o65`1bd94?"5l;09<<5a2e396a=>;o0g5?4a32e:on4?:%0g6?4792d9h<4<0:9l5fd=83.9h?4=009m6a7=;810c:208?j7d13:1(?j=:322?k4c939876a>c683>!4c:38;=6`=d0800>=h9j<1<7*=d38144=i:m;1?854o0a6>5<#:m81>=?4n3f2>60<3f;h87>5$3f1>7663g8o=7=8;:m2g6<72-8o>7i?53898k4e6290/>i<52138j7b62:k07b?l0;29 7b52;::7ci6jo0;6)h5l808o65`1cg94?"5l;09<<5a2e397a=6=4+2e09657k4;n030?6=,;n96?>>;o0g5?5a32e9<>4?:%0g6?4792d9h<4;0:9l5c`=83.9h?4=009m6a7=<810c:508?j7b13:1(?j=:322?k4c93>876a>dd83>!4c:38;=6`=d0870>=h9m91<7*=d38144=i:m;18854o0a;>5<#:m81>=?4n3f2>10<3f;ih7>5$3f1>7663g8o=7:8;:m10g<72-8o>7<;a:l1`4<732e9844?:%0g6?43i2d9h<4>;:m102<72-8o>7<;a:l1`4<532e98;4?:%0g6?43i2d9h<4<;:m100<72-8o>7<;a:l1`4<332e9894?:%0g6?43i2d9h<4:;:m106<72-8o>7<;a:l1`4<132e98?4?:%0g6?43i2d9h<48;:m104<72-8o>7<;a:l1`47<;a:l1`47<;a:l1`47<;a:l1`47<;a:l1`4<6821d>>950;&1`7<5i?51098k751290/>i<525c8j7b628807b<<5;29 7b52;>j7ci5;=0;6)h5l80:865`22194?"5l;098l5a2e3950=k4?:%0g6?43i2d9h<4>8:9l67c=83.9h?4=4`9m6a7=9010c?:0c8?j45k3:1(?j=:36b?k4c93;i76a=2c83>!4c:38?m6`=d082g>=h:;k1<7*=d3810d=i:m;1=i54o30:>5<#:m81>9o4n3f2>4c<3f8947>5$3f1>72f3g8o=7?i;:m163<72-8o>7<;a:l1`4<5821d>?;50;&1`7<5i?52098k743290/>i<525c8j7b62;807b<=3;29 7b52;>j7ci5:;0;6)h5l809865`23394?"5l;098l5a2e3960=:3c8?j46i3:1(?j=:36b?k4c938i76a=1883>!4c:38?m6`=d081g>=h:821<7*=d3810d=i:m;1>i54o334>5<#:m81>9o4n3f2>7c<3f8::7>5$3f1>72f3g8o=77<;a:l1`4<4821d><:50;&1`7<5i?53098k774290/>i<525c8j7b62:807b<>2;29 7b52;>j7ci5990;6)h5l808865`21d94?"5l;098l5a2e3970=84;n03`?6=,;n96?:n;o0g5?5032e9n:18'6a4=:=k0b?j>:2c8?j4713:1(?j=:36b?k4c939i76a=0983>!4c:38?m6`=d080g>=h:9=1<7*=d3810d=i:m;1?i54o36f>5<#:m81>9o4n3f2>6c<3f8?h7>5$3f1>72f3g8o=7=i;:m10f<72-8o>7<;a:l1`4<3821d>9650;&1`7<5i?54098k75c290/>i<525c8j7b62=807b<<2;29 7b52;>j7ci5:>0;6)h5l80?865`20a94?"5l;098l5a2e3900=77775;c451?6=93:1?>0D;:7;n06g?6=3thN1<11Q:k4l{g824?7e28l1=n4=0;3g>4?=910:m7?j:059y!4dl3=8>6`7c;07?k>c2;>0b<7?:19m5g4=82.99<4=529'604=:oo0(?8::49'630==2.9::4:;%05"5>k0>7)<9c;78 70b2<1/>;h55:&135<23-8<=7;4$351>0=#:>9196*=7586?!40=3?0(?99:49'621==2.9;54:;%04=?3<,;=j685+26`91>"5?j0>7)<8d;78 71b2<1/>:h55:&1<5<23-83=7;4$3:1>0=#:19196*=8586?!4?=3?0(?69:49'6=1==2.9454:;%0;=?3<,;2j685+29`91>"50j0>7)<7d;78 7>b2<1/>5h55:&1=5<23-82=7;4$3;1>0=#:09196*=9586?!4>=3?0(?79:49'6<1==2.9554:;%0:=?3<,;3j685+28`91>"51j0>7)<6d;78 7?b2<1/>4h55:&1e5<23-8j=7;4$3c1>0=#:h9196*=a586?!4f=3?0(?o9:49'6d1==2.9m54:;%0b=?3<,;kj685+2``91>"5ij0>7)lh54:&1f5<5kk1/>hh52gf8 7`72>;0(?h>:638 7`12?h0(?h8:3d:?!4ai3>0(?hm:59'236=>:77e?l4b;3:17d9n:188m7372900e?kl:188m2`=831b>h850;9j6`?=831b>9h50;9j53?=83.9h?4>699m6a7=821b=;950;&1`7<6>11e>i?51:9j530=83.9h?4>699m6a7=:21b=;;50;&1`7<6>11e>i?53:9j21`=83.9h?494d9m6a7=821b:9j50;&1`7<1i?51:9j21e=83.9h?494d9m6a7=:21b:9l50;&1`7<1i?53:9l5=>=83.9h?4>869m6a7=821d=5850;&1`7<60>1e>i?51:9l5=2=83.9h?4>869m6a7=:21d=5=50;&1`7<60>1e>i?53:9l5=4=83.9h?4>869m6a7=<21d=5?50;&1`7<60>1e>i?55:9l5=6=83.9h?4>869m6a7=>21d=:h50;&1`7<60>1e>i?57:9l52c=83.9h?4>869m6a7=021d=:j50;&1`7<60>1e>i?59:9l52e=83.9h?4>869m6a7=i21d=:l50;&1`7<60>1e>i?5b:9l52?=83.9h?4>869m6a7=k21d=:650;&1`7<60>1e>i?5d:9l521=83.9h?4>869m6a7=m21d=:850;&1`7<60>1e>i?5f:9l523=83.9h?4>869m6a7=9910c<9;:18'6a4=91=0b?j>:038?j70;3:1(?j=:0:4?k4c93;976a>7383>!4c:3;3;6`=d0827>=h9>;1<7*=d382<2=i:m;1=954o053>5<#:m81=594n3f2>43<3f;3j7>5$3f1>4>03g8o=7?9;:m2<`<72-8o>7?77:l1`4<6?21d=5j50;&1`7<60>1e>i?51998k4>d290/>i<51958j7b628307b?7b;29 7b5282<7ci60h0;6)h5l80:n65`19;94?"5l;0:4:5a2e395f=6=4+2e095=1f:9j0c<72-8o>7:j;o0g5?6<3`>o6=4+2e090`=i:m;1=65f4b83>!4c:3>n7ci<54d9m6a7=;21b944?:%0g6?2b3g8o=7:4;h7;>5<#:m818h5a2e391>=n=?0;6)i?57:9j11<72-8o>7:j;o0g5?><3`?86=4+2e090`=i:m;1565f5383>!4c:3>n7ci<54d9m6a7=j21b9=4?:%0g6?2b3g8o=7m4;h6b>5<#:m818h5a2e39`>=n=o0;6)i?51:9j1f<72-8o>7;j;o0g5?4<3`?i6=4+2e091`=i:m;1?65f6883>!4c:3?n7ci<55d9m6a7==21b:;4?:%0g6?3b3g8o=784;h46>5<#:m819h5a2e393>=n>=0;6)i?59:9j27<72-8o>7;j;o0g5?g<3`<:6=4+2e091`=i:m;1n65f6183>!4c:3?n7ci<55d9m6a7=l21boo4?:%0g6?ef3g8o=7>4;ha:>5<#:m81ol5a2e395>=nk>0;6)i?53:9jg0<72-8o>7mn;o0g5?2<3`i?6=4+2e09gd=i:m;1965fc283>!4c:3ij7ci<5c`9m6a7=?21bo<4?:%0g6?ef3g8o=764;ha3>5<#:m81ol5a2e39=>=njo0;6)i?5b:9jff<72-8o>7mn;o0g5?e<3`hi6=4+2e09gd=i:m;1h65fb`83>!4c:3ij7c290/>i<5c`9m6a7=n21bn54?:%0g6?ef3g8o=7??;:ka3?6=,;n96no4n3f2>47<3`h=6=4+2e09gd=i:m;1=?54ic794?"5l;0hm6`=d0827>=nj=0;6):078?lb5290/>i<5c`9m6a7=9?10ei?50;&1`77:9j`5<72-8o>7mn;o0g5?7?32chj7>5$3f1>fg5<#:m81ol5a2e395d=h5l80:n65fcb83>!4c:3ij7cod03:1(?j=:bc8j7b628n07dlk:18'6a4=kh1e>i?51d98mg4=83.9h?4la:l1`4<6n21d=o950;&1`7<6j?1e>i?50:9l5g3=83.9h?4>b79m6a7=921d=o:50;&1`7<6j?1e>i?52:9l5g5=83.9h?4>b79m6a7=;21b=;k50;&1`7<6>m1e>i?50:9j53e=83.9h?4>6e9m6a7=921b=;l50;&1`7<6>m1e>i?52:9j53g=83.9h?4>6e9m6a7=;21d=om50;&1`7<6jk1e>i?50:9l5gg=83.9h?4>bc9m6a7=921d=o750;&1`7<6jk1e>i?52:9l5g>=83.9h?4>bc9m6a7=;21d=lo50;&1`7<6i01e>i?50:9l5d>=83.9h?4>a89m6a7=921d=l850;&1`7<6i01e>i?52:9l5d3=83.9h?4>a89m6a7=;21d=l:50;&1`7<6i01e>i?54:9l5d5=83.9h?4>a89m6a7==21d=l<50;&1`7<6i01e>i?56:9l5d7=83.9h?4>a89m6a7=?21d=l>50;&1`7<6i01e>i?58:9l5<`=83.9h?4>a89m6a7=121d=4k50;&1`7<6i01e>i?5a:9l5a89m6a7=j21d=4l50;&1`7<6i01e>i?5c:9l5a89m6a7=l21d=4750;&1`7<6i01e>i?5e:9l5<>=83.9h?4>a89m6a7=n21d=4950;&1`7<6i01e>i?51198k4?1290/>i<51`;8j7b628;07b?65;29 7b528k27ci61=0;6)h5l80:?65`18194?"5l;0:m45a2e3951=7:9l5d`=83.9h?4>a89m6a7=9110c:0;8?j7fl3:1(?j=:0c:?k4c93;j76a>ab83>!4c:3;j56`=d082f>=h9hh1<7*=d382e<=i:m;1=n54o0c4>5<#:m81=l74n3f2>4b<3f;2o7>5$3f1>4g>3g8o=7?j;:m2=4<72-8o>7?n9:l1`4<6n21b:8750;&1`7<1=11e>i?50:9j201=83.9h?49599m6a7=921b:8850;&1`7<1=11e>i?52:9j203=83.9h?49599m6a7=;21bml4?:%0g6?g>3g8o=7>4;hc;>5<#:m81m45a2e395>=ni?0;6)i?53:9je1<72-8o>7o6;o0g5?2<3`k86=4+2e09e<=i:m;1965fa383>!4c:3k27ci<5a89m6a7=?21bm=4?:%0g6?g>3g8o=764;h;e>5<#:m81m45a2e39=>=n1l0;6)i?5b:9j=g<72-8o>7o6;o0g5?e<3`3j6=4+2e09e<=i:m;1h65f9883>!4c:3k27ci<5a89m6a7=n21b5:4?:%0g6?g>3g8o=7??;:k:2?6=,;n96l74n3f2>47<3`3>6=4+2e09e<=i:m;1=?54i8694?"5l;0j56`=d0827>=n1:0;6):078?ld6290/>i<5a89m6a7=9?10eo>50;&1`77:9jec<72-8o>7o6;o0g5?7?32cji7>5$3f1>d?5<#:m81m45a2e395d=h5l80:n65fac83>!4c:3k27cof?3:1(?j=:`;8j7b628n07d7l:18'6a4=i01e>i?51d98m<7=83.9h?4n9:l1`4<6n21vn:=::18ag?6=8r.9jk4=d99K232<@?>37W8i:bye>46=9k0:j7?l:3295a<613;36<4n9a961=i0m0986`>9183?k7e:3:0(?;>:370?!42:38mi6*=6486?!41>3?0(?88:49'63>==2.9:44:;%05e?3<,;"5>l0>7)<9f;78 7172<1/>:?55:&137<23-80=#:>?196*=7786?!40?3?0(?97:49'62?==2.9;l4:;%04f?3<,;=h685+26f91>"5?l0>7)<8f;78 7>72<1/>5?55:&1<7<23-83?7;4$3:7>0=#:1?196*=8786?!4??3?0(?67:49'6=?==2.94l4:;%0;f?3<,;2h685+29f91>"50l0>7)<7f;78 7?72<1/>4?55:&1=7<23-82?7;4$3;7>0=#:0?196*=9786?!4>?3?0(?77:49'6"51l0>7)<6f;78 7g72<1/>l?55:&1e7<23-8j?7;4$3c7>0=#:h?196*=a786?!4f?3?0(?o7:49'6d?==2.9ml4:;%0bf?3<,;kh685+2`f91>"5il0?7)"5n90<=6*=f0845>"5n?0=n6*=f681b<=#:ok186*=fc87?!0183<>j6*960851c=n:l91<75f7`83>>o5=90;66g=eb83>>o0n3:17d3:1(?j=:04;?k4c93807d?95;29 7b528<37cn7cn7c07b?71;29 7b5282<7c7583>!4c:3;3;6`=d0825>=h9>91<7*=d382<2=i:m;1=?54o051>5<#:m81=594n3f2>45<3f;<=7>5$3f1>4>03g8o=7?;;:m235<72-8o>7?77:l1`4<6=21d=5h50;&1`7<60>1e>i?51798k4>b290/>i<51958j7b628=07b?7d;29 7b5282<7ci60j0;6)h5l80:565`19`94?"5l;0:4:5a2e395d=d:9l52g=83.9h?4>869m6a7=9l10c<8i:18'6a4=91=0b?j>:0d8?l2a290/>i<54d9m6a7=821b8i4?:%0g6?2b3g8o=7?4;h6`>5<#:m818h5a2e396>=ni?54:9j1=<72-8o>7:j;o0g5?3<3`?=6=4+2e090`=i:m;1:65f5483>!4c:3>n7ci<54d9m6a7=021b9>4?:%0g6?2b3g8o=774;h71>5<#:m818h5a2e39e>=n=80;6)i?5c:9j0d<72-8o>7:j;o0g5?b<3`?m6=4+2e091`=i:m;1<65f5e83>!4c:3?n7ci<55d9m6a7=:21b9o4?:%0g6?3b3g8o=7=4;h4:>5<#:m819h5a2e390>=n>10;6)i?56:9j20<72-8o>7;j;o0g5?1<3`!4c:3?n7ci<55d9m6a7=i21b:<4?:%0g6?3b3g8o=7l4;h43>5<#:m819h5a2e39g>=n=h0;6)i?50:9jg<<72-8o>7mn;o0g5?7<3`i<6=4+2e09gd=i:m;1>65fc783>!4c:3ij7ci<5c`9m6a7=<21bo94?:%0g6?ef3g8o=7;4;ha0>5<#:m81ol5a2e392>=nk;0;6):18'6a4=kh1e>i?58:9jg5<72-8o>7mn;o0g5??<3`hm6=4+2e09gd=i:m;1m65fbd83>!4c:3ij7ci<5c`9m6a7=k21bno4?:%0g6?ef3g8o=7j4;h`b>5<#:m81ol5a2e39a>=nj00;6)i?51198mg1=83.9h?4la:l1`4<6921bn;4?:%0g6?ef3g8o=7?=;:ka1?6=,;n96no4n3f2>45<3`h?6=4+2e09gd=i:m;1=954ic194?"5l;0hm6`=d0821>=nl;0;6):058?lb7290/>i<5c`9m6a7=9110enh50;&1`79:9jg`<72-8o>7mn;o0g5?7f32chh7>5$3f1>fg5<#:m81ol5a2e395f=h5l80:h65fbe83>!4c:3ij7coe:3:1(?j=:bc8j7b628l07b?m7;29 7b528h=7cn3:1(?j=:0c:?k4c93307b?6e;29 7b528k27cl3:1(?j=:0c:?k4c93h07b?6b;29 7b528k27ci3:1(?j=:0c:?k4c93n07b?69;29 7b528k27c03:1(?j=:0c:?k4c93l07b?67;29 7b528k27ci61?0;6)h5l80:=65`18794?"5l;0:m45a2e3957=5:9l5g7=83.9h?4>a89m6a7=9?10c:058?j7fn3:1(?j=:0c:?k4c93;376a>ad83>!4c:3;j56`=d082=>=h9hn1<7*=d382e<=i:m;1=l54o0c`>5<#:m81=l74n3f2>4d<3f;jn7>5$3f1>4g>3g8o=7?l;:m2e2<72-8o>7?n9:l1`4<6l21d=4m50;&1`7<6i01e>i?51d98k4?6290/>i<51`;8j7b628l07d8:9;29 7b52??37ci?50:9je=<72-8o>7o6;o0g5?7<3`k=6=4+2e09e<=i:m;1>65fa483>!4c:3k27ci<5a89m6a7=<21bm>4?:%0g6?g>3g8o=7;4;hc1>5<#:m81m45a2e392>=ni80;6)i?58:9j=c<72-8o>7o6;o0g5??<3`3n6=4+2e09e<=i:m;1m65f9e83>!4c:3k27ci<5a89m6a7=k21b5l4?:%0g6?g>3g8o=7j4;h;:>5<#:m81m45a2e39a>=n110;6)i?51198m<0=83.9h?4n9:l1`4<6921b584?:%0g6?g>3g8o=7?=;:k:0?6=,;n96l74n3f2>45<3`386=4+2e09e<=i:m;1=954i8094?"5l;0j56`=d0821>=nj80;6):058?lga290/>i<5a89m6a7=9110elk50;&1`79:9jea<72-8o>7o6;o0g5?7f32cjo7>5$3f1>d?5<#:m81m45a2e395f=h5l80:h65f9b83>!4c:3k27co>93:1(?j=:`;8j7b628l07p}=ec83>6}Y:lh01:=;:3g:?814=38n56s|65`94??|V?>i709<4;47b>;0;=0=8i52726921e<5>9?6;:m;<501?03n27363=>=i01:=::76a?xu203:1?vP:8:?471<3n27?7>53z\67>;0;=0>56383486=>{t=;0;6>uQ539>362==116;>;5599~w07=839pR8?4=617>00<5>9>6884}r73>5<4sW?;709<4;76?814=3?>7p};a;297~X3i271=;74}rgf>5<5sWon709<4;cb?xubl3:1>vPjd:?4711m;5rsd`94?4|Vlh01:=;:`78yvcf2909wSkn;<500?g33tyn47>52z\f<>;0;=0j?6s|e683>7}Ym>16;>:5a39~w`0=838pRh84=617>d76=4={_g6?814<3;=;6s|e583>7}Ym=16;>:5a19~w`5=838pRh=4=617><`{tlk0;6?uQdc9>362=9?<0q~jn:181[bf34=88778;|qg=?6=:rTo5638358:2>{tl10;6?uQd99>362=1<1vi950;0xZa1<5>9?64:4}rf5>5<5sWn=709<4;;0?xuc=3:1>vPk5:?471<>:2wxh94?:3y]`1=:?:>1n<5rs03a>5<5sW;:n638358a4>{t98k1<7:5ae9~wc`=838pRkh4=617>de8;296~X69116;>;517;8yv76>3:1>vP>179>363=ih1v9>6l;4}r325?6=:rT:=<527279e1=z{8;;6=4={_324>;0;<0j?6s|11d94?4|V8:m709<5;c1?xu68l0;6?uQ11g892522h;0q~??d;296~X68m16;>;51758yv77k3:1>vP>0b9>363=i91v<>m:181[77j276;<501??b3ty:<54?:3y]55><5>9>64j4}r333?6=:rT:<:527279=g=z{8:=6=4={_332>;0;<02m6s|11794?4|V8:>709<5;;:?xu68=0;6?uQ116892522020q~??3;296~X68:16;>;51748yv77:3:1>vP>039>363=1>1v<>>:181[77927?;<501??23tymi7>52z\ea>;0;<0286s|fe83>7}Ynm16;>;5929~wce=838pRkm4=616><4638348b3>{tn80;6?uQf09>363=1j1vk>50;0xZc6<5>9>64?4}r0fa?6=;rT9ih5272696`e<5>9>6?kl;|q5;0;<0>j6s|6783>6}Y>?16;>:55e9>363==m1v;;50;1xZ33<5>9?68m4=616>0em7>53z\6e>;0;=0=863834850>{t?h0;6>uQ7`9>362=?h16;>;57`9~w72a2908wS<;f:?471<5;525d8yv1a2908wS9i;<500?1a34=8979i;|q115<72:qU>8>4=617>73734=897<:0:p674=838pR?<=;<500?7ek2wx>??50;0xZ74634=887?na:p676=838pR?<650;0xZ77?34=887?ma:p641=838pR??8;<500?7f82wx><850;0xZ77134=887?6f:p643=838pR??:;<500?7>m2wx><:50;0xZ77334=887?6d:p645=838pR??<;<500?7>j2wx><<50;0xZ77534=887?6a:p646=838pR???;<500?7>12wx>=h50;0xZ76a34=887?68:p65c=838pR?>j;<500?7e12wx>=j50;0xZ76c34=887?67:p65e=838pR?>l;<500?7>>2wx>=l50;0xZ76e34=887?65:p65g=838pR?>n;<500?7><2wx>=750;0xZ76>34=887?63:p65>=838pR?>7;<500?7>:2wx>=950;0xZ76034=887?m1:p61c=838pR?:j;<500?7e82wx>9j50;0xZ72c34=887?m8:p61e=838pR?:l;<500?7fn2wx>9650;0xZ72?34=887?ne:p66b=838pR?=k;<500?7fl2wx>><50;0xZ75534=887?nc:p671=838pR?<8;<500?7fj2wx>;<500?7>k2wx>=850;0xZ76134=887?61:p61d=838pR?:m;<501?7ek2wx>9750;0xZ72>34=897?na:p611=838pR?:8;<501?7f02wx>9850;0xZ72134=897?n6:p613=838pR?::;<501?7f=2wx>9:50;0xZ72334=897?n4:p615=838pR?:<;<501?7f;2wx>9<50;0xZ72534=897?n2:p617=838pR?:>;<501?7f92wx>9>50;0xZ72734=897?ma:p66`=838pR?=i;<501?7f82wx>>k50;0xZ75b34=897?6f:p66e=838pR?=l;<501?7>m2wx>>l50;0xZ75e34=897?6d:p66g=838pR?=n;<501?7>j2wx>>750;0xZ75>34=897?6a:p66>=838pR?=7;<501?7>12wx>>950;0xZ75034=897?68:p660=838pR?=9;<501?7e12wx>>;50;0xZ75234=897?67:p662=838pR?=;;<501?7>>2wx>>=50;0xZ75434=897?65:p667=838pR?=>;<501?7><2wx>>>50;0xZ75734=897?63:p67`=838pR?:2wx>?k50;0xZ74b34=897?m1:p67b=838pR??m50;0xZ74d34=897?m8:p67d=838pR??o50;0xZ74f34=897?ne:p67?=838pR?<6;<501?7fl2wx>?650;0xZ74?34=897?nc:p670=838pR?<9;<501?7fj2wx>?;50;0xZ74234=897?n7:p672=838pR?<;;<501?7>k2wx>?=50;0xZ74434=897?61:p<`<72kip1;8::37`?[>b3W8m?6P=e39]6`6X5l016;>:52d4892532;o87S?md:?471<2;2727l16;>:517a8925328;0;=0=94527269201<5>9?6;;9;<500?02=2T:o55Q1e18Z4bb3W;n56P>f59]5c`X6k81U=n<4^0a0?[7d<2T:o85Q1b48Z4e03W;h56P>c`9]5fdX6l;1U=i:4^0f6?[7c>2T:h:5Q1e:8Z4b>34=89705<5>9>68<4=616>07<5>9>68>4=616>1g<5>9>6;=4=616>34<5>9>6;?4=616>36<5>9>68o4=616>fd<5>9>6n74=616>f1<5>9>6n84=616>f3<5>9>6n:4=616>f5<5>9>6n<4=616>f7<5>9>6n>4=616>g`<5>9>6ok4=616>ge<5>9>6ol4=616>gg<5>9>6o74=616>g><5>9>6o94=616>g0<5>9>6o;4=616>g2<5>9>6o=4=616>a4<5>9>6i?4=616>a6<5>9>6nh4=616>fc<5>9>6nj4=616>fe<5>9>6n64=616>gb<5>9>6o<4=616>40b34=897?9c:?470<6>k16;>;517c892522??2709<5;463>;0;<0=9;527279203X6m;1U=h=4^0g7?[7b=2T:i;5Q1d58Z4c?3W;nm6P>ec9]5`e;_3e6>X6n:1U=k;4^0d5?[7a?2T:j55Q1g;8Z4`f3W;mn6P>fb9]5cb2;7>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<?>0D;:7;%0``?14:2c5;h;3>5<5<297>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<?>0D;:7;%0``?14:2c5;h;3>5<5<247>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<?>0D;:7;%0``?14:2c5;h;3>5<5<j57>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<?>0D;:7;%0``?14:2c5;h;3>5<5<j;7>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<?>0D;:7;%0``?14:2c5;h;3>5<5<8;7>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<?>0D;:7;%0``?14:2c5;h;3>5<5<897>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<?>0D;:7;%0``?14:2c5;h;3>5<5<847>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<?>0D;:7;%0``?14:2c5;h;3>5<5<?57>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<?>0D;:7;%0``?14:2c5;h;3>5<5<?;7>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<?>0D;:7;%0``?14:2c5;h;3>5<5<53;294~"5no0=:>5G6768L32?3`=86=44i3`7>5<?>0D;:7;%05`?5d3`8>j7>5;h054?6=3f8ho7>5;|`0b3<72:0;6=u+2gd9235<@?5;n0`g?6=3th8i84?:583>5}#:ol1>ok4H747?M0302c5;h;3>5<5<53;294~"5no09n55G6768L32?3-8=h7=l;h06b?6=3`8=<7>5;n0`g?6=3th8j84?:283>5}#:ol1:;=4H747?M0302c5;h0a0?6=3f8ho7>5;|`0a1<72=0;6=u+2gd96gc<@?5<?>0D;:7;%05`?5d3`8>j7>5;h054?6=3f8ho7>5;|`0b1<72:0;6=u+2gd9235<@?5;n0`g?6=3th8i>4?:583>5}#:ol1>ok4H747?M0302c5;h;3>5<5<53;294~"5no09n55G6768L32?3-8=h7=l;h06b?6=3`8=<7>5;n0`g?6=3th8j>4?:283>5}#:ol1:;=4H747?M0302c5;h0a0?6=3f8ho7>5;|`0a7<72=0;6=u+2gd96gc<@?5<?>0D;:7;%05`?5d3`8>j7>5;h054?6=3f8ho7>5;|`0b7<72:0;6=u+2gd9235<@?5;n0`g?6=3th8i<4?:583>5}#:ol1>ok4H747?M0302c5;h;3>5<5<53;294~"5no09n55G6768L32?3-8=h7=l;h06b?6=3`8=<7>5;n0`g?6=3th8j<4?:283>5}#:ol1:;=4H747?M0302c5;h0a0?6=3f8ho7>5;|`0a5<72=0;6=u+2gd96gc<@?5<?>0D;:7;%05`?5d3`8>j7>5;h054?6=3f8ho7>5;|`0b5<72:0;6=u+2gd9235<@?5;n0`g?6=3th8hk4?:583>5}#:ol1>ok4H747?M0302c5;h;3>5<5<53;294~"5no09n55G6768L32?3-8=h7=l;h06b?6=3`8=<7>5;n0`g?6=3th8ik4?:283>5}#:ol1:;=4H747?M0302c5;h0a0?6=3f8ho7>5;|`0``<72=0;6=u+2gd96gc<@?5<?>0D;:7;%05`?5d3`8>j7>5;h054?6=3f8ho7>5;|`767<72=0;6=u+2gd9603<@?>{e<;;1<7:50;2x 7`a2;?>7E894:J50==#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn95<7s-8mj7<:5:J521=O>=20(?8k:29j35<722c3;7>5;h:a>5<?>0D;:7;%05`?5:1<75f8683>>o?j3:17b"5>m087d9?:188m=1=831b4o4?::m1`3<722wi8N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb53`>5<3290;w)N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg26j3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th?=l4?:583>5}#:ol1>8;4H747?M0302.9:i4<;h53>5<>i5l?0;66sm40;94?2=83:p(?hi:376?M01<2B=855+27f97>o083:17d68:188m=d=831d>i850;9~f1>b290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb5:g>5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn96l:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<:6F9659K21><,;5f7183>>o093:17d68:188m=d=831d>i850;9~f1>f290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb5::>5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn967:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<:6F9659K21><,;5f7183>>o093:17d68:188m=d=831d>i850;9~f1>1290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb55`>5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn99m:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<:6F9659K21><,;5f7183>>o093:17d68:188m=d=831d>i850;9~f11>290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb55;>5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn998:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<:6F9659K21><,;5f7183>>o093:17d68:188m=d=831d>i850;9~f112290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb50;>5<3290;w)N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg25=3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th?>k4?:583>5}#:ol1>8;4H747?M0302.9:i4<;h53>5<>i5l?0;66sm43`94?2=83:p(?hi:374?M01<2B=855+27f9g>o083:17d6m:188m7b32900c?j9:188yg25i3:187>50z&1bc<5=>1C:;:4H76;?!41l3i0e:>50;9jN1<11b;>4?::k1f1<722e9on4?::a0`6=8391<7>t$3de>7d?3A<=86F9499'63b=;j1b>8h50;9j636=831d>nm50;9~f1c?29086=4?{%0eb?01;2B=:95G65:8m25=831b>o:50;9l6fe=831vn9j8:187>5<7s-8mj7=20e:=50;9j=5<722c9j?4?::m1gf<722wi8ih50;194?6|,;lm6?l7;I450>N1<11/>;j53b9j60`=831b>;>50;9l6fe=831vn9k8:180>5<7s-8mj7893:J521=O>=20e:=50;9j6g2=831d>nm50;9~f1b1290?6=4?{%0eb?4em2B=:95G65:8m25=831b5=4?::k1b7<722e9on4?::a0ac=8391<7>t$3de>7d?3A<=86F9499'63b=;j1b>8h50;9j636=831d>nm50;9~f1c129086=4?{%0eb?01;2B=:95G65:8m25=831b>o:50;9l6fe=831vn9j::187>5<7s-8mj7=20e:=50;9j=5<722c9j?4?::m1gf<722wi8ij50;194?6|,;lm6?l7;I450>N1<11/>;j53b9j60`=831b>;>50;9l6fe=831vn9k::180>5<7s-8mj7893:J521=O>=20e:=50;9j6g2=831d>nm50;9~f1b3290?6=4?{%0eb?4em2B=:95G65:8m25=831b5=4?::k1b7<722e9on4?::a0ae=8391<7>t$3de>7d?3A<=86F9499'63b=;j1b>8h50;9j636=831d>nm50;9~f1c329086=4?{%0eb?01;2B=:95G65:8m25=831b>o:50;9l6fe=831vn9j<:187>5<7s-8mj7=20e:=50;9j=5<722c9j?4?::m1gf<722wi8il50;194?6|,;lm6?l7;I450>N1<11/>;j53b9j60`=831b>;>50;9l6fe=831vn9k<:180>5<7s-8mj7893:J521=O>=20e:=50;9j6g2=831d>nm50;9~f1b5290?6=4?{%0eb?4em2B=:95G65:8m25=831b5=4?::k1b7<722e9on4?::a0ag=8391<7>t$3de>7d?3A<=86F9499'63b=;j1b>8h50;9j636=831d>nm50;9~f1c529086=4?{%0eb?01;2B=:95G65:8m25=831b>o:50;9l6fe=831vn9j>:187>5<7s-8mj7=20e:=50;9j=5<722c9j?4?::m1gf<722wi8h?50;194?6|,;lm6;8<;I450>N1<11b;>4?::k1f1<722e9on4?::a0a6=83>1<7>t$3de>7db3A<=86F9499j36<722c2<7>5;h0e6?6=3f8ho7>5;|`643<72=0;6=u+2gd9603<@?>{e=9?1<7:50;2x 7`a2;?>7E894:J50==#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn8>;:187>5<7s-8mj7<:5:J521=O>=20(?8k:29j35<722c3;7>5;h:a>5<?>0D;:7;%05`?5:1<75f8683>>o?j3:17b"5>m087d9?:188m=1=831b4o4?::m1`3<722wi9=?50;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb423>5<3290;w)N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg2an3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th?jh4?:583>5}#:ol1>8;4H747?M0302.9:i4<;h53>5<>i5l?0;66sm4gf94?2=83:p(?hi:376?M01<2B=855+27f97>o083:17d68:188m=d=831d>i850;9~f02?290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb464>5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn8:9:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<>6=4::183!4an38>:6F9659K21><,;5f7183>>o093:17d68:188m=d=831d>i850;9~f023290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb460>5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn8:=:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<:6F9659K21><,;5f7183>>o093:17d68:188m=d=831d>i850;9~f05>290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb41;>5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn8=8:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<:6F9659K21><,;5f7183>>o093:17d68:188m=d=831d>i850;9~f052290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb417>5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn8=<:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<46F9659K21><,;>o093:17d6m:188m7b32900c?j9:188yg3503:197>50z&1bc<5=?1C:;:4H76;?!41l390e:>50;9j34<722c3;7>5;h:a>5<?>0D;:7;%05`?5:1<75f7083>>o??3:17d6m:188k7b12900qo;=6;291?6=8r.9jk4=579K232<@?>37)<9d;18m26=831b;<4?::k;3?6=3`2i6=44o3f5>5<55;294~"5no099;5G6768L32?3-8=h7=4i6294?=n?80;66g77;29?l>e2900c?j9:188yg35<3:197>50z&1bc<5=?1C:;:4H76;?!41l390e:>50;9j34<722c3;7>5;h:a>5<?>0D;:7;%05`?5:1<75f7083>>o??3:17d6m:188k7b12900qo;=2;291?6=8r.9jk4=579K232<@?>37)<9d;18m26=831b;<4?::k;3?6=3`2i6=44o3f5>5<55;294~"5no09955G6768L32?3-8=h7m4i6294?=n?80;66g7b;29?l4c<3:17b0;694?:1y'6c`=:"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?o850;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb2`6>5<3290;w)N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg5e<3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th8n>4?:583>5}#:ol1>8;4H747?M0302.9:i4<;h53>5<>i5l?0;66sm3c094?2=83:p(?hi:376?M01<2B=855+27f97>o083:17d68:188m=d=831d>i850;9~f6d6290?6=4?{%0eb?42=2B=:95G65:8 70c2:1b;=4?::k;3?6=3`2i6=44o3f5>5<54;294~"5no09985G6768L32?3-8=h7=4i6294?=n0>0;66g7b;29?j4c>3:17pl1<729q/>kh52478L3033A1<7>t$3de>7323A<=86F9499'63b=;2c<<7>5;h:4>5<96F9659K21><,;5f7183>>o??3:17d6m:188k7b12900qo=79;290?6=8r.9jk4=549K232<@?>37)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`0<=<72=0;6=u+2gd9603<@?>{e;1=1<7:50;2x 7`a2;?>7E894:J50==#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn>69:187>5<7s-8mj7<:5:J521=O>=20(?8k:29j35<722c3;7>5;h:a>5<?>0D;:7;%05`?5:1<75f8683>>o?j3:17b"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?5=50;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb2;7>5<3290;w)N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg5>;3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th85?4?:583>5}#:ol1>8;4H747?M0302.9:i4<;h53>5<>i5l?0;66sm38394?2=83:p(?hi:376?M01<2B=855+27f97>o083:17d68:188m=d=831d>i850;9~f6?7290?6=4?{%0eb?42=2B=:95G65:8 70c2:1b;=4?::k;3?6=3`2i6=44o3f5>5<54;294~"5no09985G6768L32?3-8=h7=4i6294?=n0>0;66g7b;29?j4c>3:17pl<8d83>1<729q/>kh52478L3033A1<7>t$3de>7323A<=86F9499'63b=;2c<<7>5;h:4>5<96F9659K21><,;5f7183>>o??3:17d6m:188k7b12900qo=l0;290?6=8r.9jk4=549K232<@?>37)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`0fc<72=0;6=u+2gd9603<@?>{e;ko1<7:50;2x 7`a2;?>7E894:J50==#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn>lk:187>5<7s-8mj7<:5:J521=O>=20(?8k:29j35<722c3;7>5;h:a>5<?>0D;:7;%05`?5:1<75f8683>>o?j3:17b"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?oo50;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb2`:>5<3290;w)N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg5e03:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th89h4?:583>5}#:ol1>8;4H747?M0302B=o6*=be81b`=#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn>;k:187>5<7s-8mj7<:5:J521=O>=20D;m4$3`g>7`b3-8=h7=4i6294?=n0>0;66g7b;29?j4c>3:17pl<5b83>1<729q/>kh52478L3033A;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb27a>5<3290;w)N1>=1C:964H7a8 7dc2;ln7)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`01d<72=0;6=u+2gd9603<@?"5jm09jh5+27f97>o083:17d68:188m=d=831d>i850;9~f63>290?6=4?{%0eb?42=2B=:95G65:8L3e<,;ho6?hj;%05`?5:1<75f8683>>o?j3:17bN1k2.9ni4=fd9'63b=;2c<<7>5;h:4>5<96F9659K21><@?i0(?lk:3df?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th89;4?:583>5}#:ol1>8;4H747?M0302B=o6*=be81b`=#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn>=>:187>5<7s-8mj7<:5:J521=O>=20D;m4$3`g>7`b3-8=h7=4i6294?=n0>0;66g7b;29?j4c>3:17pl<3183>1<729q/>kh52478L3033A;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb20e>5<3290;w)N1>=1C:964H7a8 7dc2;ln7)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`06`<72=0;6=u+2gd9603<@?"5jm09jh5+27f97>o083:17d68:188m=d=831d>i850;9~f64c290?6=4?{%0eb?42=2B=:95G65:8L3e<,;ho6?hj;%05`?5:1<75f8683>>o?j3:17bN1k2.9ni4=fd9'63b=;2c<<7>5;h:4>5<96F9659K21><@?i0(?lk:3df?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th8>l4?:583>5}#:ol1>8;4H747?M0302B=o6*=be81b`=#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn><6:187>5<7s-8mj7<:5:J521=O>=20D;m4$3`g>7`b3-8=h7=4i6294?=n0>0;66g7b;29?j4c>3:17pl<7883>1<729q/>kh52478L3033A;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb25;>5<3290;w)N1>=1C:964H7a8 7dc2;ln7)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`032<72=0;6=u+2gd9603<@?"5jm09jh5+27f97>o083:17d68:188m=d=831d>i850;9~f611290?6=4?{%0eb?42=2B=:95G65:8L3e<,;ho6?hj;%05`?5:1<75f8683>>o?j3:17bN1k2.9ni4=fd9'63b=;2c<<7>5;h:4>5<96F9659K21><@?i0(?lk:3df?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th8;>4?:583>5}#:ol1>8;4H747?M0302B=o6*=be81b`=#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn>9=:187>5<7s-8mj7<:5:J521=O>=20D;m4$3`g>7`b3-8=h7=4i6294?=n0>0;66g7b;29?j4c>3:17pl<7083>1<729q/>kh52478L3033A;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb260>5<3290;w)N1>=1C:964H7a8 7dc2;ln7)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`007<72=0;6=u+2gd9603<@?"5jm09jh5+27f97>o083:17d68:188m=d=831d>i850;9~f626290?6=4?{%0eb?42=2B=:95G65:8L3e<,;ho6?hj;%05`?5:1<75f8683>>o?j3:17bN1k2.9ni4=fd9'63b=;2c<<7>5;h:4>5<96F9659K21><@?i0(?lk:3df?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th8?h4?:583>5}#:ol1>8;4H747?M0302B=o6*=be81b`=#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn>=k:187>5<7s-8mj7<:5:J521=O>=20D;m4$3`g>7`b3-8=h7=4i6294?=n0>0;66g7b;29?j4c>3:17pl<3b83>1<729q/>kh52478L3033A;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb21a>5<3290;w)N1>=1C:964H7a8 7dc2;ln7)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`642<72:0;6=u+2gd96g><@?49729j60`=831b>;>50;9l6fe=831vn96i:185>5<7s-8mj7=20(?8k:308 7b42?=87d<:f;29?l4183:17d<91;29?l41:3:17d<93;29?j4dk3:17pl92b83>6<729q/>kh52c:8L3033A"5l:0=;i5f24d94?=n:?:1<75`2ba94?=zj?8o6=4<:183!4an38i46F9659K21><,;31d3`8>j7>5;h054?6=3f8ho7>5;|`504<72:0;6=u+2gd96g><@?497e9j60`=831b>;>50;9l6fe=831vn;:8:180>5<7s-8mj7=20(?8k:078 7b42?=h7d<:f;29?l4183:17b"5>m08h6g=5g83>>o5>90;66g=6083>>o5>;0;66a=cb83>>{e<0o1<7;50;2x 7`a2;hj7E894:J50==#:?n1?i5f24d94?=n:?:1<75f27394?=n:?81<75`2ba94?=zj=3h6=4::183!4an38im6F9659K21><,;j4i37e>5<5<5<2m7>55;294~"5no09nl5G6768L32?3-8=h7=k;h06b?6=3`8=<7>5;h055?6=3`8=>7>5;n0`g?6=3th?m?4?:283>5}#:ol1>o64H747?M0302.9:i4N1<11/>;j53e9j60`=831b>;>50;9j637=831b>;<50;9l6fe=831vn9l>:186>5<7s-8mj7=20(?8k:2f8m73a2900e?8?:188m7062900e?8=:188k7ed2900qo:nf;291?6=8r.9jk4=b`9K232<@?>37)<9d;1g?l42n3:17d<90;29?l4193:17d<92;29?j4dk3:17pl;b483>6<729q/>kh52c:8L3033Ao5=o0;66g=6183>>i5kj0;66sm45294?3=83:p(?hi:3`b?M01<2B=855+27f97a=n:5<2290;w)N1>=1C:964$34g>6b5<5<?>0D;:7;%05`?5c3`8>j7>5;h054?6=3`8==7>5;h056?6=3f8ho7>5;|`77d<72<0;6=u+2gd96gg<@?t$3de>7d?3A<=86F9499'63b=;j1b>8h50;9j636=831d>nm50;9~f134290>6=4?{%0eb?4ei2B=:95G65:8 70c2:n0e?;i:188m7072900e?8>:188m7052900c?ml:188yg2293:197>50z&1bc<5jh1C:;:4H76;?!41l39o7d<:f;29?l4183:17d<91;29?l41:3:17b"5>m08h6g=5g83>>o5>90;66g=6083>>o5>;0;66a=cb83>>{e<<,;=4i37e>5<5<?>0D;:7;%05`?5?3`8>j7>5;h054?6=3`8==7>5;h056?6=3`8=?7>5;h050?6=3f8ho7>5;|`0`d<72=0;6=u+2gd96g?<@?49719j60`=831b>;>50;9j637=831d>nm50;9~f6bd290>6=4?{%0eb?4ei2B=:95G65:8 70c211/>i=566;8m73a2900e?8?:188m7062900e?8=:188k7ed2900qo=kd;292?6=8r.9jk4=bc9K232<@?>37)<9d;;8 7b42?=27d<:f;29?l4183:17d<91;29?l41:3:17d<93;29?j4dk3:17pl1<729q/>kh52c;8L3033A>o5>90;66g=6083>>i5kj0;66sm47394?5=83:p(?hi:3`;?M01<2B=855+27f97f=n:5<3290;w)N1>=1C:964$34g>12<,;n86;6<;h06b?6=3`8=<7>5;h055?6=3f8ho7>5;|`721<72<0;6=u+2gd96gg<@?49829j60`=831b>;>50;9j637=831b>;<50;9l6fe=831vn9<;:187>5<7s-8mj7=20(?8k:7f8 7b42?2?7d<:f;29?l4183:17d<91;29?j4dk3:17pl;2e83>0<729q/>kh52cc8L3033A"5l:0=495f24d94?=n:?:1<75f27394?=n:?81<75`2ba94?=zj=886=4::183!4an38im6F9659K21><,;3>63`8>j7>5;h054?6=3`8==7>5;h056?6=3f8ho7>5;|`762<72<0;6=u+2gd96gg<@?49809j60`=831b>;>50;9j637=831b>;<50;9l6fe=831vn9<6:187>5<7s-8mj7=20(?8k:7c8 7b42?2>7d<:f;29?l4183:17d<91;29?j4dk3:17pl;cg83>2<729q/>kh52ca8L3033Ao5=o0;66g=6183>>o5>80;66g=6383>>o5>:0;66g=6583>>i5kj0;66sm4cf94?1=83:p(?hi:3``?M01<2B=855+27f92>o5=o0;66g=6183>>o5>80;66g=6383>>o5>:0;66g=6583>>i5kj0;66sm4b594?2=83:p(?hi:3`:?M01<2B=855+27f96==n:<,;=4i37e>5<5<?>0D;:7;%05`?433`8>j7>5;h054?6=3f8ho7>5;|`64a<72:0;6=u+2gd96g><@?1<7>t$3de>7d>3A<=86F9499'63b=<=1/>i=56908m73a2900e?8?:188m7062900c?ml:188yg3683:197>50z&1bc<5jh1C:;:4H76;?!41l3>>7)o5=o0;66g=6183>>o5>80;66g=6383>>i5kj0;66sm38494?5=83:p(?hi:3`;?M01<2B=855+27f961=#:m91::?4i37e>5<5<54;294~"5no09n45G6768L32?3-8=h7=j;%0g7?0092c99k4?::k125<722c9:<4?::m1gf<722wi?nl50;194?6|,;lm6?l7;I450>N1<11/>;j5259j60`=831b>;>50;9l6fe=831vn>mk:187>5<7s-8mj7=20(?8k:2g8 7b42?=97d<:f;29?l4183:17d<91;29?j4dk3:17pl<0g83>6<729q/>kh52c:8L3033A"5l:0=;55f24d94?=n:?:1<75`2ba94?=zj::n6=4<:183!4an38i46F9659K21><,;31?3`8>j7>5;h054?6=3f8ho7>5;|`04a<72:0;6=u+2gd96g><@?t$3de>7d?3A<=86F9499'63b=:=1b>8h50;9j636=831d>nm50;9~f66d29086=4?{%0eb?4e02B=:95G65:8 70c2;>0(?j<:75a?l42n3:17d<90;29?j4dk3:17pl<0c83>6<729q/>kh52c:8L3033A"5l:0=;o5f24d94?=n:?:1<75`2ba94?=zj::<6=4<:183!4an38i46F9659K21><,;3103`8>j7>5;h054?6=3f8ho7>5;|`043<72:0;6=u+2gd96g><@?49769j60`=831b>;>50;9l6fe=831vn>>::180>5<7s-8mj7=20(?8k:368 7b42?=j7d<:f;29?l4183:17b4?:1y'6c`=:k20D;8;;I47<>"5>m0986*=d2853d=n:5<4290;w)N1>=1C:964$34g>72<,;n86;9:;h06b?6=3`8=<7>5;n0`g?6=3th8<>4?:283>5}#:ol1>o64H747?M0302.9:i4=4:&1`6<1??1b>8h50;9j636=831d>nm50;9~f66529086=4?{%0eb?4e02B=:95G65:8 70c2;>0(?j<:755?l42n3:17d<90;29?j4dk3:17pl<0183>6<729q/>kh52c:8L3033A"5l:0=;85f24d94?=n:?:1<75`2ba94?=zj:326=4::183!4an38im6F9659K21><,;31a3`8>j7>5;h054?6=3`8==7>5;h056?6=3f8ho7>5;|`0=g<72?0;6=u+2gd96gd<@?497g9j60`=831b>;>50;9j637=831b>;<50;9j635=831d>nm50;9~f6?c290<6=4?{%0eb?4ek2B=:95G65:8 70c2;=0e?;i:188m7072900e?8>:188m7052900e?8<:188m7032900c?ml:188yg5dm3:197>50z&1bc<5jh1C:;:4H76;?!41l38=7)o5=o0;66g=6183>>o5>80;66g=6383>>i5kj0;66sm3e294?0=83:p(?hi:3`a?M01<2B=855+27f97c=#:m91:5>4i37e>5<5<5<?>0D;:7;%05`?403`8>j7>5;h054?6=3`8==7>5;h056?6=3`8=?7>5;h050?6=3f8ho7>5;|`0e1<72>0;6=u+2gd96ge<@?4?::k121<722e9on4?::a7f>=83=1<7>t$3de>7dd3A<=86F9499'63b=:>1b>8h50;9j636=831b>;?50;9j634=831b>;=50;9j632=831d>nm50;9~f6?a290<6=4?{%0eb?4ek2B=:95G65:8 70c2;=0e?;i:188m7072900e?8>:188m7052900e?8<:188m7032900c?ml:188yg5f:3:187>50z&1bc<5j01C:;:4H76;?!41l38>7d<:f;29?l4183:17d<91;29?j4dk3:17pl2<729q/>kh52ca8L3033Ao5=o0;66g=6183>>o5>80;66g=6383>>o5>:0;66g=6583>>i5kj0;66sm3b194?1=83:p(?hi:3``?M01<2B=855+27f962=n:5<3290;w)N1>=1C:964$34g>73<,;n86;9=;h06b?6=3`8=<7>5;h055?6=3f8ho7>5;|`0g0<72>0;6=u+2gd96ge<@?4?::k121<722e9on4?::a0db=83?1<7>t$3de>7df3A<=86F9499'63b=j2c99k4?::k125<722c9:<4?::k127<722e9on4?::a01b=83?1<7>t$3de>7df3A<=86F9499'63b=j2c99k4?::k125<722c9:<4?::k127<722e9on4?::a0a>=8391<7>t$3de>7d?3A<=86F9499'63b=:=1b>8h50;9j636=831d>nm50;9~f1b>29086=4?{%0eb?4e02B=:95G65:8 70c2;>0e?;i:188m7072900c?ml:188yg2dj3:197>50z&1bc<5jh1C:;:4H76;?!41l3827d<:f;29?l4183:17d<91;29?l41:3:17b"5>m09m6g=5g83>>o5>90;66g=6083>>o5>;0;66g=6283>>i5kj0;66sm4bf94?1=83:p(?hi:3``?M01<2B=855+27f96g=n:5<4290;w)N1>=1C:964$34g>625<?>0D;:7;%05`?4e3`8>j7>5;h054?6=3`8==7>5;h056?6=3`8=?7>5;h050?6=3f8ho7>5;|`71=<72>0;6=u+2gd96ge<@?8h50;9j636=831b>;?50;9j634=831b>;=50;9j632=831d>nm50;9~f6`a290<6=4?{%0eb?4ek2B=:95G65:8 70c2h1b>8h50;9j636=831b>;?50;9j634=831b>;=50;9j632=831d>nm50;9~f6`b29086=4?{%0eb?4e02B=:95G65:8 70c2:>0e?;i:188m7072900c?ml:188yg27:3:1;7>50z&1bc<5jj1C:;:4H76;?!41l3;=7d<:f;29?l4183:17d<91;29?l41:3:17d<93;29?l41<3:17b"5>m0896g=5g83>>o5>90;66g=6083>>o5>;0;66a=cb83>>{e<931<7950;2x 7`a2;hh7E894:J50==#:?n1m6g=5g83>>o5>90;66g=6083>>o5>;0;66g=6283>>o5>=0;66a=cb83>>{e<9=1<7850;2x 7`a2;hi7E894:J50==#:?n1?;5f24d94?=n:?:1<75f27394?=n:?81<75f27194?=h:ji1<75rb52a>5<0290;w)N1>=1C:964$34g>d=n:5<1290;w)N1>=1C:964$34g>605<5<5<;h7>57;294~"5no09nn5G6768L32?3-8=h7=8;h06b?6=3`8=<7>5;h055?6=3`8=>7>5;h057?6=3`8=87>5;n0`g?6=3th?:?4?:683>5}#:ol1>om4H747?M0302.9:i47f:k11c<722c9:=4?::k124<722c9:?4?::k126<722c9:94?::m1gf<722wi9=k50;594?6|,;lm6?ll;I450>N1<11/>;j58g9j60`=831b>;>50;9j637=831b>;<50;9j635=831b>;:50;9l6fe=831vn>>7:180>5<7s-8mj7=20(?8k:368 7b42?=?7d<:f;29?l4183:17b0;6;4?:1y'6c`=:kh0D;8;;I47<>"5>m099l5+2e192=35<5<5<>j7>56;294~"5no09no5G6768L32?3-8=h7:9;%0g7?00m2c99k4?::k125<722c9:<4?::k127<722c9:>4?::m1gf<722wi8;>50;594?6|,;lm6?ll;I450>N1<11/>;j5469j60`=831b>;>50;9j637=831b>;<50;9j635=831b>;:50;9l6fe=831vn9;j:186>5<7s-8mj7=20(?8k:578 7b42?=n7d<:f;29?l4183:17d<91;29?l41:3:17b"5>m0?86*=d2852c=n:<,;m4$3f0>30a3`8>j7>5;h054?6=3f8ho7>5;|`64g<72?0;6=u+2gd96gd<@?2.9h>496d9j60`=831b>;>50;9j637=831b>;<50;9j635=831d>nm50;9~f06d290<6=4?{%0eb?4ek2B=:95G65:8 70c2==0e?;i:188m7072900e?8>:188m7052900e?8<:188m7032900c?ml:188yg37i3:197>50z&1bc<5jh1C:;:4H76;?!41l3>>7)o5=o0;66g=6183>>o5>80;66g=6383>>i5kj0;66sm51;94?2=83:p(?hi:3`:?M01<2B=855+27f901=n:<,;m4i37e>5<5<53;294~"5no09n55G6768L32?3-8=h7=l;%0g7?00<2c99k4?::k125<722e9on4?::a0f3=8381<7>t$3de>7d43A<=86F9499j60c=831d>nm50;9~f02f29096=4?{%0eb?4e;2B=:95G65:8m73b2900c?ml:188yg05j3:1>7>50z&1bc<5j:1C:;:4H76;?l42m3:17bo5=l0;66a=cb83>>{t?=31<79t^66:?805n38o86393181`1=:>:;1>i:4=761>7b334<8o7n?50;`x93012;in708=5;0f1>;1:<09i552486936=:52426936=:<==1;>523eg936=:;ll1;>524e2936=:5rs3a1>5<1mr7=:84=5b9>27`=0k16:>o58c9>26d=0k16:>;58c9>260=0k16:>958c9>273=:lh01;<::77f?805=3<>o63924851g=:>;?1:8o4=706>33>34<9978:7:?560<1=?16:?;56478934228<:708=5;36b>;1:<0:9i52637950e<5?8>6<;m;<411?72i27=>84>589>273=9<201;<::074?805=3;>:639248210=:>;?1=8:4=706>43534<997?:1:?560<6=916:?;515d8934228>n708=5;37`>;1:<0:8n52637951d<5?8>6<:n;<411?73127=>84>469>273=9=<01;<::066?805=3;?8639248206=:>;?1=9<4=706>42634<997?;0:?560<6;o16:?;512g89342289h708=5;30f>;1:<0:?l52637956?<5?8>6<=7;<411?74?27=>84>379>273=9:?01;<::017?805=3;8?639248274=:>;?1=>>4=706>44a34<997?=e:?560<6:m16:?;513a89342288i708=5;31e>;1:<0:>452637957><5?8>6<<9;<411?75=27=>84>259>273=9;901;<::001?805=3;9=639248265=:>;?1=47b34<997?>d:?560<6>=16:?;51718934228<9708=5;36a>;1:<0:9>52637951><5?8>6<=k;<411?74:27=>84>269>273=98i01;<::3`1?805=38i=63;998:4>;31=02<63;948:4>;31?02<63;968:4>;3ik02<63;a68:4>;3i102<63;a88:4>;3ih02<63;398:4>;3;=02<63;348:4>;3;?02<63;368:4>;3;3<102<63;488:4>;30a>=:22;n=70:6c;055>;3io09:<524ec960`54z?0<3<5l?1684m524d891ga2;?m70:kb;06b>{t;>o1<7:t=5f`>7073493;78168o?52738yv50n3:18v3;de8125=:;121>i84=5;f>73a34>i=7<:f:p7=6=83>p19jj:343?85?138o:63;a18124=:;?4}r1;5?6=7=g=:m<019o?:37e?82e;38>j6s|39094?2|5=o;6?8?;<1;f?4c>27?m?4=5g9>0g3=:i84=51b>70534>?h7<92:p7d1=83?p1>k8:37e?85cn33;70=m0;0g2>;3;h09:=5245f963655z?0a=<5=o16?h>5919>7g7=:m<019=l:341?823n38=>6s|3`;94?3|5:o26?;i;<1f5??7349i>791689h52728yv5fi3:19v3;>4}r1bg?6==r78in4=5g9>7`2=1916?o;52e4891272;<970::3;056>{t;hn1<7;t=2gg>73a349n977?;<1a2?4c>27?8=4=619>005=:?:0q~=ne;290~;4ml099k523c596a0<5=>96?8?;<661?4182wx:?o50;01805=3<>8639248517=:>;?1:8?4=706>33734<9978;f:?560<1i708=5;07b>;2:80<=63:23845>;2::0<=63:25845>;2:<0<=63:27845>;2:>0<=63:29845>;2;;0<=63:32845>;2;=0<=63:34845>;2;?0<=63:36845>;2;10<=63:38845>;2;h0<=63:43845>;2<:0<=63:45845>;2<<0<=63:47845>;2<>0<=63:49845>;28>09on5rs5`b>5<5s4>im7;3nm09h;5rs5d7>5<5sW=?i63;fd81`3=z{=l>6=4={_57b>;3no09h;5rs5d5>5<5sW=><63:0181`3=z{=l<6=4={_565>;28809h;5rs5d;>5<5sW=>>63:0381`3=z{=l26=4={_567>;28:09h;5rs5db>5<5sW=>863:0581`3=z{=li6=4={_561>;28<09h;5rs5d`>5<5sW=>;63:0781`3=z{<9i6=49{<411?3634>o>77?;<776?4c>27875?=:?:019jn:343?xu2;j0;6;u2637917=:j63;d58:4>;2<=09h;5231a960`<5::i6?8?;|q67`<72?q6:?;5559>0ab=:;48j09:=5rs41e>5<1s4<997;:;<6ga?42n27?h;460:?603<5l?16?=k524d8966c2;<;7p}:4183>3}:>;?19;524ed960`<5=n<64>4=464>7b1349;j7<:f:?04`<5>91v8:>:186805=3?370:j0;06b>;2<109h;523b29;>4}r41020=?8168:95709>02>=?8168:75709>02g=?8168:l5709>02e=?8168585709>0=1=?8168565709>0=?=?81685o5709>0=d=?81685m5709>0=b=?81685k5709>075=:ji0q~8=9;290<}:=0?1;<52584934=:=h;1;<525`a934=:=k=1;<525b0934=:=jn1;<525e:934=:=mh1;<525ea934=:=0=1;<5258:934=:=031;<5258c934=:=0h1;<5258a934=:=0n1;<5258g934=:=0l1;<525`2934=:=h81;<525`1934=:=h>1;<525`7934=:=h<1;<525`5934=:=h21;<525`;934=:=hk1;<525``934=:=hn1;<525`g934=:=hl1;<525c2934=:=k;1;<525c0934=:=k91;<525c6934=:=k?1;<525c4934=:=k21;<525c;934=:=kk1;<525c`934=:=ki1;<525cf934=:=ko1;<525cd934=:=j:1;<525b3934=:=j91;<525b6934=:=j?1;<525b4934=:=j=1;<525b:934=:=j31;<525bc934=:=jh1;<525ba934=:=jo1;<525bd934=:=m:1;<525e3934=:=m81;<525e1934=:=m>1;<525e7934=:=m<1;<525e5934=:=m31;<525ec934=:<;>1>nm4}r66e?6==r7?9l4=d79>075=:;3:k09h;5rs52e>5<5sW=8463;1881`3=z{=;;6=4={_50=>;39h09h;5rs532>5<5sW=8m63;1c81`3=z{=;96=4={_50f>;39j09h;5rs530>5<5sW=8o63;1e81`3=z{=;?6=4={_50`>;39l09h;5rs536>5<5sW=8i63;1g81`3=z{=;=6=4={_50b>;3:909h;5rs534>5<5sW=?<63;2081`3=z{=;36=4={_576>;3:;09h;5rs55g>506g=:?;01>>?:37e?823l38==63;638125=:<;>4=543>70734>>i7<90:?71a<5>91688m52728934e2;?n7p};7d83>3}:>;?1:=523d59636<5=2<6?j9;<60e?42n278<<4=5g9>756=:?:0q~:8f;292~;1:<0==63i84=51`>706349;=7<90:?047<5=o1v96?:185805=3<970=j9;054>;30009h;5242a960`<5::86?;i;<136?4182wx85?50;4x93422?901>kn:343?82?i38o:63;3d8124=:;9>1>8h4=220>7073ty?4?4?:7y>273=>=16?hl5272891>e2;n=70:;48<099k5231696363?7>56z?560<1=278in4=619>0=e=:m<019:?:342?857>38>j63<048125=z{=2?6=49{<411?01349nh7<90:?7524d896602;?m70=?6;054>{t<1?1<78t=706>3><5:on6?8?;<6;a?4c>2785947b:?707<5=o16?=952728yv33l3:1>vP9db9>1<3=:m<0q~;:8;296~X1m>1694852e48yv31;3:1>vP9f39>1d7=:m<0q~;9e;296~X1nm169lm52e48yv3013:1>vP8099>1g1=:m<0q~;74;296~X09:169n<52e48yv3?n3:1>vP81d9>1fb=:m<0q~;62;296~X0:;169i652e48yv3>;3:1>vP8229>1ad=:m<0q~;64;296~X0:=169im52e48yv33m3:1>vP9de9>1<1=:m<0q~;;f;296~X1ll1694652e48yv3283:1>vP9dg9>1vP9e09>1vP9e29>13:1>vP9e49>1<`=:m<0q~;:7;296~X1m?169l>52e48yv3213:1>vP9e99>1d4=:m<0q~;:a;296~X1m0169l=52e48yv32j3:1>vP9e`9>1d2=:m<0q~;:c;296~X1mk169l;52e48yv32l3:1>vP9eb9>1d0=:m<0q~;:e;296~X1mm169l952e48yv32n3:1>vP9ed9>1d>=:m<0q~;90;296~X1mo169l752e48yv3193:1>vP9f19>1dg=:m<0q~;92;296~X1n8169ll52e48yv31<3:1>vP9f29>1db=:m<0q~;95;296~X1n=169lk52e48yv31>3:1>vP9f49>1d`=:m<0q~;97;296~X1n?169o>52e48yv3103:1>vP9f69>1g7=:m<0q~;99;296~X1n1169o<52e48yv31i3:1>vP9f89>1g5=:m<0q~;9b;296~X1nh169o:52e48yv31k3:1>vP9fc9>1g3=:m<0q~;9d;296~X1nj169o852e48yv31n3:1>vP9fd9>1g>=:m<0q~;80;296~X1no169o752e48yv3093:1>vP8019>1gg=:m<0q~;82;296~X088169ol52e48yv30;3:1>vP8039>1ge=:m<0q~;84;296~X08:169oj52e48yv30=3:1>vP8059>1gc=:m<0q~;86;296~X08<169oh52e48yv30?3:1>vP8079>1f6=:m<0q~;88;296~X08>169n?52e48yv30i3:1>vP8089>1f5=:m<0q~;8b;296~X08h169n:52e48yv30k3:1>vP80c9>1f3=:m<0q~;8d;296~X08j169n852e48yv30m3:1>vP80e9>1f1=:m<0q~;8f;296~X08l169n652e48yv3?83:1>vP80g9>1f?=:m<0q~;71;296~X099169no52e48yv3?:3:1>vP8109>1fd=:m<0q~;73;296~X09;169nm52e48yv3?=3:1>vP8159>1fc=:m<0q~;76;296~X09<169nh52e48yv3??3:1>vP8179>1a6=:m<0q~;78;296~X09>169i?52e48yv3?13:1>vP8199>1a4=:m<0q~;7a;296~X090169i=52e48yv3?j3:1>vP81`9>1a2=:m<0q~;7c;296~X09k169i;52e48yv3?l3:1>vP81b9>1a0=:m<0q~;7e;296~X09m169i952e48yv3>83:1>vP81g9>1a?=:m<0q~;61;296~X0:8169io52e48yv0483:18v3;b881`1=:i:4=712>=d<5?9;6?j9;|q56`<72;q6:?k52e4891>a2;?m7p}93583>74|5=?j6?j;;<403?4c>27?=4477:?75d<477:?767:<1>i84=2:`>=1<5:2o6594=2:f>=1<5:2m6594=2;3>=1<5:3:6594=2;1>=1<5:386594=2;7>=1<5:km6594=2`3>=1<5:h:6594=2`1>=1<5:h86594=2`7>=1<5:h>6594=2`5>=1<5:h<6594=275>=1<5:?<6594=27;>=1<5:?26594=27b>=1<5:?i6594=27`>=1<5:?o6594=27f>=1<5:826594=20b>=1<5:8i6594=20`>=1<5:8o6594=20f>=1<5:8m6594=213>=1<5:9:6594}r406?6=;52e4890?22;n<70;66;0g3>;2i809h:525`a96a1<5oi4=d69>1a>=:m=018jm:3f4?83ck38o;63:9681`2=:=021>i94=4;:>7b034?2m71694m52e5890?c2;n<70;6e;0g3>;21o09h:525`296a1<5m94=d69>1d3=:m=018o9:3f4?83f?38o;63:a981`2=:=h31>i94=4cb>7b034?jn7169lk52e5890ga2;n<70;m0;0g3>;2j809h:525c096a1<5n84=d69>1g0=:m=018l7:3f4?83e138o;63:b`81`2=:=kh1>i94=4``>7b034?ih7169oh52e5890e72;n<70;l1;0g3>;2k:09h:525b696a1<56?j8;<7`2?4c?27>o:4=d69>1f>=:m=018m6:3f4?83di38o;63:cc81`2=:=ji1>i94=4af>7b034?hj7169i?52e5890b52;n<70;k3;0g3>;2l=09h:525e796a1<5h44=d69>1ag=:m=01;<::3gf?805?38=?6s|62;94?44s4<8n7<=477:?644<9477:?640<;477:?664<5l=169?<5869>175=0>169?:5869>173=0>169?85869>171=0>169?65869>164=:m>018=<:958905321=018=::958905121=018=8:958905?21=018=6:958905f21=018:=:958902421=018:;:958902221=018:9:958902021=018:7:958yv0403:1>8u262c96a0<5:h36594=2`:>=1<5:hj6594=2`a>=1<5:hh6594=2`g>=1<5:hn6594=2`e>=1<5:i;6594=2:0>=1<5:2?6594=2:6>=1<5:2=6594=2:4>=1<5:236594=2::>=1<5:2j6594=2:a>=1<5:=:6594=251>=1<5:=86594=257>=1<5:=>6594=255>=1<5:=<6594=25;>=1<5:=26594=21a>=1<5:9h6594=21g>=1<5:9n6594=21e>=1<5:>;6594=262>=1<5:>96594=260>=157>53z\41<=:=9=1>8h4=5:e>7053ty<8n4?:41xZ22d34>i579?;<6ae?1734<9j79?;<470?1734<8m79?;<40f?1734<8<79?;<471?1734<8=79?;<476?1734<9i79?;<411?1f34>mh79?;<6ea?1734>mj79?;<734?1734?;=79?;<736?1734?;?79?;<730?1734?;979?;<732?1734?9=79?;<716?1734?9?79?;<710?1734?9979?;<712?1734?9;79?;<7179?;<707?1734?8879?;<701?1734?8:79?;<703?1734?8479?;<70=?1734?8m79?;<776?1734???79?;<770?1734??979?;<772?1734??;79?;<7779?;<177?173ty<894?:9y]312<5=8o6?8=;<617?41:27?>:4=639>07?=:?;019;7:341?805?38=>63;25811c=z{>9<6=465z\472=:<:?1;=52624935=:>:=1;=5262d935=:>:i1;=52587935=:=0<1;=525`3935=:=hi1;=525c5935=:=j81;=525bf935=:=m21;=525e`935=:=mi1;=52585935=:=021;=5258;935=:=0k1;=5258`935=:=0i1;=5258f935=:=0o1;=5258d935=:=h:1;=525`0935=:=h91;=525`6935=:=h?1;=525`4935=:=h=1;=525`:935=:=h31;=525`c935=:=hh1;=525`f935=:=ho1;=525`d935=:=k:1;=525c3935=:=k81;=525c1935=:=k>1;=525c7935=:=k<1;=525c:935=:=k31;=525cc935=:=kh1;=525ca935=:=kn1;=525cg935=:=kl1;=525b2935=:=j;1;=525b1935=:=j>1;=525b7935=:=j<1;=525b5935=:=j21;=525b;935=:=jk1;=525b`935=:=ji1;=525bg935=:=jl1;=525e2935=:=m;1;=525e0935=:=m91;=525e6935=:=m?1;=525e4935=:=m=1;=525e;935=:=mk1;=5263793c=:<831;=5240c935=:<8h1;=5240a935=:<8n1;=5240g935=:<8l1;=52432935=:<;;1;=52430935=:<>?1;=52464935=:<>=1;=5246:935=:<>31;=5246c935=:<>h1;=5246a935=:<1<1;=52495935=:<121;=5249;935=:<1k1;=5249`935=:<1i1;=5249f935=:<1o1;=5243c935=:<;h1;=5243d935=:<;?1;=5243:935=:;1i1;=5239f935=:;1o1;=5239d935=:;0:1;=52383935=:;081;=52381935=:;0>1;=523`d935=:;k:1;=523c3935=:;k81;=523c1935=:;k>1;=523c7935=:;k<1;=523c5935=:;<<1;=52345935=:;<21;=5234;935=:;;1:<0o?6s|68g94?4|V?3n708=5;ff?xu1i00;6?uQ6`;893422l30q~8m4;296~X1j=16:?;5f59~w3da2909wS8mf:?56034<997?>9:p2ag=838pR;jn;<411?76i2wx:il50;0xZ3be34<997?>b:p2<2=838pR;7;;<411?b33ty=584?:3y]2<3<5?8>6i;4}r4:2?6=:rT=5;526379`3=z{?3<6=4={_4:3>;1:<0o;6s|68:94?4|V?33708=5;f;?xu1100;6?uQ68;893422m30q~86a;296~X11h16:?;5d`9~w3?e2909wS86b:?560ab52z\5=c=:>;?1hk5rs7c3>5<5sW{t>h;1<77}Y>h801;<::d08yv0f;3:1>vP9a29>273=m:1v;o;:181[0f<27=>84j4:p2d3=838pR;o:;<411?c23ty=m;4?:3y]2d0<5?8>6h84}r4b3?6=:rT=m:526379a2=z{?k36=4={_4b<>;1:<0n46s|6`c94?4|V?kj708=5;gb?xu1ik0;6?uQ6``893422lh0q~8nc;296~X1ij16:?;5eb9~w3gc2909wS8nd:?560``52z\5f5=:>;?1j=5rs7`2>5<5sW{t>k81<77}Y>k901;<::g18yv0e=3:1>vP9b49>273=n<1v;l9:181[0e>27=>84i6:p2g1=838pR;l8;<411?`03ty=n54?:3y]2g><5?8>6k64}r4a=?6=:rT=n4526379b<=z{?hj6=4={_4ae>;1:<0mm6s|6c`94?4|V?hi708=5;da?xu1jj0;6?uQ6ca893422oi0q~8md;296~X1jm16:?;5fe9~w3db2909wS8me:?56050;0xZ3e734<997??0:p2f7=838pR;m>;<411?7792wx:n<50;0xZ3e534<997??2:p2f5=838pR;m<;<411?77;2wx:n:50;0xZ3e334<997??4:p2f3=838pR;m:;<411?77=2wx:n850;0xZ3e134<997??6:p2f1=838pR;m8;<411?77?2wx:n650;0xZ3e?34<997??8:p2f?=838pR;m6;<411?7712wx:nl50;0xZ3ee34<997??b:p2fe=838pR;ml;<411?77k2wx:nj50;0xZ3ec34<997??d:p2fc=838pR;mj;<411?77m2wx:nh50;0xZ3ea34<997??f:p2a6=838pR;j?;<411?7682wx:i?50;0xZ3b634<997?>1:p2a4=838pR;j=;<411?76:2wx:i=50;0xZ3b434<997?>3:p2a2=838pR;j;;<411?76<2wx:i850;0xZ3b134<997?>6:p2a>=838pR;j7;<411?7602wx8?h50;`x914a2;n=70:?4;06b>;4lh099k523ea9636<5:no6?8?;<1gf?42n278jk4=5g9>7cc=:?:019>6:37e?827j38>j63;09811c=z{=8>6=4>2z?760<5l?168=:5272896bf2;<;70=kc;06b>;4lm099k523e`9636<5=8o6?8>;<617?41927?>:4=619>07?=:;>4=52a>70734<9;7<91:?761<5>8168=652728yv22j3:1>v3;878;f>;1:k09on5rs546>5<>s4><97034=:?8019;i:342?821838==63;5d8124=:<;?4=57`>73a3ty?:;4?:9y>020=:m<01967:9`8912a2;<:70:92;055>;3=o09:?524729634<5=?n6?8=;<66`?42n2wx8;950;5x91102;n=70:79;:a?823n38>j63;638126=:<;=4=543>70434>>i7<:f:p03>=83;3>;09:95244d960`<5=<;6?8;;|q72<<72e21h019;>:37e?821:38>j63;61811c=z{=27?4n47b:?716<5>8168;?524d891042;<:70:94;055>{t7b134>3h76m;<667?42n27?:>4=5g9>032=:?80q~:9c;290~;3?j09h;5249g98h4=547>73a3ty?9:4?:3y>00g=0k1688652ba8yv2213:1>v3;4c81gf=:<<21>8h4}r662?6=:r7??54=cb9>00>=:?>0q~;>1;29`~;2:809h;525219;>4=42f>705349;47<:f:?64g<5>8169=m52738906f2;<:70;?9;055>;281099k5231;960`<5<>h6?;j;<6g=?4182wx9<<50;:x90452;n=70;<4;:a?82fn38=>63:0d8124=:=9h1>;<4=42`>70534?;m7<92:?64<<5=o1v8?<:184835;38o:63:348;f>;3io09:=5251g9635<5<:i6?8<;<73g?41;27>;2;?03n63;b08127=:=9o1>;:4=42a>73a34?;o7<94:p143=83?p18<::3f5?834?32i70:m1;054>;28l099k5251a960`56z?663<5l?169>658c9>0g5=:?8018>k:37e?837n38==63:118124=z{<;<6=4:{<713?4c>27>?447b:?7f6<5>9169=h524d890772;<97p}:1983>1}:=;21>i84=41b>=d<5=h>6?8?;<724?42n2wx9?750;ax90552;n=70:6a;056>;3im09:?5251g9636<5::36?8?;<73f?41827>15g=:?:018>6:343?837038=<63:4`811`=:;>4}r71e?6=:r7>?>4=d79>0;<4}r71g?6=;r7>?84=d79>115=0k1684m52728yv35l3:1?v3:3781`3=:==>14o5248g963453z?672<5l?1699;58c9>0;<4}r704?6=;r7>?44=d79>111=0k168l>52728yv3493:1?v3:3`81`3=:==214o524`09636i57>53z?7f<<5l?169=95272891>a2;<:7p};b683>6}:nm4}r6a0=`=:?:0q~:m6;296~;31109on5249d963552z?57fn4=cb9~w327290?w08<5;0g0>;1;?09h95262596a2<5?>:6?ml;|q506<72;q6:9<58c9>27b=:ji0q~8;6;297~;1;h09h95262`96a2<5?><6?ml;|q57c<72;q6:>h52e4893262;<;7p}93d83>6}:>:l14o5262g96a0<5?8h6?8?;|q57f<72=q6:>k58c9>26e=:m<01;j6s|65794?4|5?>>6?j9;<473?4182wx:9:50;1x932221h01;:;:3f5?805l38=<6s|65094?2|5?>?65l4=761>7b134<9h7<:f:?502<5=o1v;=>:181805m32i708<1;0g2>{t>;l1<7=d<5?8m6?j9;|q563<72;q6:?;5242893402;ih7p}:de83>7}:=0?14o52637965052z?6=384=109~w0`42909w0;n1;:a?805=38:o6s|5gg94?4|57403ty=<44?:3y>1g1=0k16:?;52208yv06<3:1>v3:c38;f>;1:<09?i5rs73e>5<5s4?hh76m;<411?4302wx:?<50;0x90b?21h01;<::36`?xu1::0;6?u25e`9;?1>9j4}r410?6=:r7>hn47b:?560<5?32i708=5;033>{t=ml1<7=d<5?8>6?>7;|q6a5<72;q694758c9>273=:930q~;j1;296~;21h03n63924814d=z{e34<9977}:=0n14o52637965b52z?6=`84=0d9~w0c12909w0;6f;:a?805=38;j6s|5d594?4|57773ty>i44?:3y>1d4=0k16:?;52008yv3bi3:1>v3:a28;f>;1:<09=>5rs4ga>5<5s4?j876m;<411?46<2wx9hm50;0x90g221h01;<::336?xu2mm0;6?u25`49;?1><84}r7fa?6=:r7>m:47b:?560<59>1v8ki:18183f032i708=5;02<>{t=o:1<7=d<5?8>6??6;|q6b4<72;q69lo58c9>273=:8k0q~;i2;296~;2ik03n63924815g=z{e34<997<>d:p1c3=838p18oj:9`893422;;n7p}:f783>7}:=hl14o52637964`52z?6f584=219~w0`?2909w0;m1;:a?805=389=6s|5g;94?4|57453ty>jl4?:3y>1g5=0k16:?;52318yv3aj3:1>v3:b58;f>;1:<09>95rs4d`>5<5s4?i976m;<411?45=2wx9kj50;0x90d121h01;<::305?xu2no0;6?u25c:9;?1>?64}r434?6=:r7>n447b:?560<5:01v;>>:18183ei32i708=5;01e>{t>981<7=d<5?8>6?273=:;i0q~8?4;296~;2jm03n63924816a=z{?:>6=4={<7aa?>e34<997<=e:p250=838p18li:9`893422;8m7p}90683>7}:=j:14o52637966652z?6g484=309~w36f2909w0;l3;:a?805=388?6s|61`94?4|57533ty=1f3=0k16:?;52278yv07l3:1>v3:c78;f>;1:<09?;5rs72f>5<5s4?h;76m;<411?44?2wx:=h50;0x90e?21h01;<::31;?xu1990;6?u25b;9;?1>>74}r425?6=:r7>ol47b:?560<5;h1v;?=:18183dj32i708=5;00f>{t>891<7=d<5?8>6?=l;|q550<72;q69nk58c9>273=::o0q~8>6;296~;2ko03n63924817c=z{?;<6=4={<7g4?>e34<997<;0:p24>=838p18j>:9`893422;>:7p}91883>7}:=m814o52637961452z?6`684=429~w37e2909w0;k4;:a?805=38?86s|60a94?4|565l4=706>7223ty==i4?:3y>1a0=0k16:?;52548yv06m3:1>v3:d68;f>;1:<098:5rs703>5<5s4?o576m;<411?4312wx:??50;0x90bf21h01;<::36a?xu31:0;6?u248:936=:<0=1>nm4}r6:6?6=:r7?5;4=cb9>0<1=?:1v97>:18182>=38ho63;97847>{t<0:1<77ed34>2979<;|q7=c<72;q684952g0891g72;ih7p};9e83>7}:<0<1>k<4=5;f>7ed3ty?5o4?:3y>0<3=:o80197l:3a`?xu3100;6?u248696c4<5=3j6?ml;|q7e4<72;q684652g0891g52;ih7p};a783>7}:524`c96fej97>52z?7e<<5kj168lo5729~w1g32909w0:n8;0`g>;3i000dg=:o8019l<:3a`?xu3j90;6?u24`;96c4<5=h:6?ml;|q7e`<72;q68l652g0891ga2;ih7p};ab83>7}:k<4=5cg>7ed3ty?n94?:3y>0dd=:o8019l::3a`?xu3;:0;6?u242:936=:<:=1>nm4}r606?6=:r7??;4=cb9>061=?:1v9=>:181824=38ho63;37847>{t<::1<77ed34>8979<;|q77c<72;q68>952g0891272;ih7p};3e83>7}:<:<1>k<4=51f>7ed3ty??o4?:3y>063=:o8019=l:3a`?xu3;00;6?u242696c4<5=9j6?ml;|q704<72;q68>652g0891252;ih7p};4783>7}:<=h1;>5245c96fe?97>52z?70<<5kj1689o5729~w1232909w0:;8;0`g>;3<00<6?ml;<6701g=:o8019;<:3a`?xu3=90;6?u245;96c4<5=?:6?ml;|q70`<72;q689652g08912a2;ih7p};4b83>7}:<==1>k<4=56g>7ed3ty?994?:3y>01d=:o8019;::3a`?xu3890;6?u23gf96fe<5=:?6?8;;|q0`<<72:q6?k952ba8916e2;<:70:?d;06b>{t;m21<7:t=2d5>7ed34>;57<91:?74g<5>;168=j52728yv5c?3:19v31>;?4=52:>70534>;n7<93:?74a<5>81v>j9:18585a<38ho63;058126=:<981>;>4=52:>70434>;;7<:f:?74a<5>;1v>j::18485a;38ho63;058127=:;ol1>;?4=521>70634>;:7<:f:?742<5>9168=j52718yv5c<3:14v3;?4=2fg>706349mj7<94:?747<5>;168=85272891602;<:70:?8;055>{t;m91<77t=2d2>7ed349mh7<90:?0`f<5>;16?ij5271896`a2;<870:?2;057>;38?09:<524159634<5=:36?8=;|q0`7<72hq6?k>52ba896`c2;?m70=kc;055>;4lm09:?523e`9637<5:lm6?8=;<636?41<27?<;4=639>051=:?9019>7:340?xu4ml0;6?u23dg96fe<5:l<6?l;;|q0a0<72;q6?h;52ba896`02>90q~=jd;297~;4mm09on523d796c4<5:l=6?l;;|q0a1<72:q6?h:52ba896c22>901>h9:618yv5bk3:1?v31>k<4=2d6>7d33ty8i>4?:2y>7`5=:ji01>k;:61896`22>90q~=jb;297~;4mk09on523d196c4<5:l?6?l;;|q0a7<72:q6?h<52ba896c42>901>h;:618yv5bi3:1?v3k<4=2d0>7d33ty8i<4?:2y>7`7=:ji01>k=:61896`42>90q~=j9;297~;4m009on523d396c4<5:l96?l;;|q0a5<72:q6?h>52ba896c62>901>h=:618yv5b03:1?v3k<4=2d2>7d33ty8hk4?:2y>7a`=:ji01>k?:61896`62>90q~=j7;297~;4m>09on523ed96c4<5:l;6?l;;|q0``<72:q6?ik52ba896ba2>901>h?:618yv5b>3:1?v3k<4=2ge>7d33ty8j54?:3y>04?=0k16?io52ba8yv5a13:1>v3;1`8;f>;4lk09on5rs2db>5<5s4>:n76m;<1gg?4dk2wx?kl50;0x917d21h01>jk:3a`?xu4nj0;6?u240f9nm4}r635?6=:r7?=h47b:?747<5kj1v9><:181826n32i70:?4;0`g>{t<9?1<7=d<5=:26?ml;|q74d<72;q68??58c9>05d=:ji0q~:?c;296~;3:;03n63;0e81gf=z{=:n6=4={<1fb?4dk278hl4=609~w106290?w0:91;054>;3>:09:=524769636<5=<96?ml;|q72a<72;q68:;58c9>00e=:ji0q~:9e;296~;3??03n63;5e81gf=z{=e34>>i77}:<>314o5247296fe<>7>52z?73d7ed3ty?>;4?:3y>073=0k168?952ba8yv25k3:1?v3;2`8;f>;3:k03n63;2e81gf=z{=8n6=4<{<61b?>e34>9476m;<61=?4dk2wx8?650;:x914?2;n=70:=4;054>;3:m09:=524319636<5=8<6?8>;<61=?41827?954=609>271=:?:0q~:=a;296~;3:h09h;5243f960`h47>53z?7g<<5kj168nh5276891dc2;7}:=9<14o524cf96feh87>53z?7a<<5kj168nh524d891dc2;?m7p};c283>1}:nm4=5af>73a34>hj7<90:?7fa<5>91v9m=:18682b?38ho63;ce811c=:;>4=5ae>70634>ih7<91:p0f7=83j63;ce8126=:;?4=5ae>70534>ih7<92:p0f6=83=p19k::3a`?82el38=?63;cc811c=:;=4=5ag>70334>hi7<92:?7gc<5>:1v9li:18482b<38ho63;c6811c=:8h4=5aa>70734>ho7<90:?7ga<5>9168nk52718yv2em3:14v3;e281gf=:;?4=5a:>70634>h:7<:f:?7gg<5>;168nm5270891ec2;<970:la;06b>{t7ed34>h;7<90:?7g<<5>9168n85272891ee2;<:70:lc;055>;3km09:<524bc9636<5=i>6?;j;|q7a5<72;q68h>52ba891c>2;h?7p};d683>7}:nm4=5g:>25oj7>53z?7`c<5kj168i952g0891c?2;h?7p};d783>6}:nm4=5f4>25<5=o36:=4}r6ga?6=;r7?hh4=cb9>0a0=:o8019k8:3`7?xu3l<0;6>u24e796fe<5=n=6:=4=5g4>25oh7>53z?7`a<5kj168i;52g0891c12;h?7p};d583>6}:1>nm4=5f6>25<5=o=6:=4}r6gg?6=;r7?hn4=cb9>0a2=:o8019k::3`7?xu3l:0;6>u24e196fe<5=n?6:=4=5g6>25on7>53z?7`g<5kj168i=52g0891c32;h?7p};d383>6}:nm4=5f0>25<5=o?6:=4}r6ge?6=;r7?hl4=cb9>0a4=:o8019k<:3`7?xu3l80;6>u24e396fe<5=n96:=4=5g0>25o57>53z?7`4<5n;168h<52c6891b>2;ih7p};d183>6}:nm4=5f2>25<5=o96:=4}r6g0`7=:k>019j7:3a`?xu3mh0;6?u24gg9nm4}r6ff?6=:r7?jk47b:?7g3<5kj1v9kl:181837832i70:l7;0`g>{t=d<5=ii6?ml;|q7a`<72;q69=<58c9>0fe=:ji0q~:jf;296~;28:03n63;ce81gf=z{=l;6=4={<730?>e34>hi7::9`891ea2;ih7p};f383>7}:nm4=5dg>=d54z?64a<5>9169=h5272890772;<;70;?e;0`g>{t=831<7=d<5<:36?ml;|q65d<72;q69?<58c9>15?=:ji0q~;>b;296~;2::03n63:0`81gf=z{<;h6=4={<710?>e34?;n77}:=;<14o5251f96fe52z?6627ed3ty8o94?:3y>7g7=0k16?n=52ba8yv5d:3:1>v3;4k809on5rs2f2>5<5s49i?76m;<1g4?4dk2wx?nh50;1x96d321h01>mj:3a`?85d038=86s|3ba94?4|5:h>65l4=2ag>7ed3ty8ol4?:2y>7g0=0k16?nl52ba896e42;7}:;1>14o523`396fe52z?0<0l38ho6s|38a94?4|5:2<65l4=2;a>7ed3ty85l4?:2y>7=>=0k16?4752ba896g32;7}:;1314o5238:96fe53z?07<`=:?>0q~=>0;296~;40j03n63<0181gf=z{:;:6=4={<1;`?>e349;=76j:9`896652;ih7p}<1283>7}:;1l14o5231196fe52z?0=57ed3ty8=:4?:3y>7<5=0k16?=952ba8yv5603:1>v3;48109on5rs23:>5<5s49i576m;<13=?4dk2wx?>n:3a`?xu49k0;6?u23c`9nm4}r12g?6=:r78nn47b:?04f<5kj1v>?k:18185el32i70=?d;0`g>{t;8o1<7=d<5::n6?ml;|q05c<72;q6?oh58c9>75`=:ji0q~=l9;296~;4io03n636=4={<1;7?>e349j87=m:9`896>d2;n=7p}<3283>7}:;:i14o5239f96a052z?07a7b13ty8?:4?:3y>716=0k16?4?52e48yv5403:1>v3<408;f>;41;09h;5rs21:>5<5s49?>76m;<1:7?4c>2wx?>o50;0x962421h01>7;:3f5?xu4{t;=l1<7:t=27;>7b1349h47<:f:?0g6<5=o16?n;524d8yv5283:19v3<5881`3=:;j;1>8h4=2a;>705349h?7<91:?0g0<5>;1v>;>:185852i38o:63;>4=2a;>706349h?7<90:?0g0<5>81v>;=:185852j38o:63;>4=2a2>706349h?7<93:?0g3<5=o1v>;<:184852k38o:63;>4=2f3>706349h=7<92:?0g6<5>;16?n;52718yv52<3:1;v3<5e81`3=:;jh1>8h4=2ag>73a349hi7<91:?0`5<5>;16?n?5271896e12;<:7p}<5483>=}:;k=14o5234g96a0<5:ii6?8?;<1``?418278oh4=639>7a6=:?901>m>:347?85d>38=<6s|35694?4|5:?=65l4=20:>7b13ty8884?:3y>701=0k16??o52e48yv53>3:1>v3<598;f>;4:k09h;5rs264>5<5s49>576m;<11g?4c>2wx?9650;0x963f21h01>i84}r17e?6=:r789n47b:?06c<5l?1v>:m:181852l32i70=<0;0g2>{t;=i1<7=d<5:9:6?j9;|q065<72;q6??758c9>7g>=:m<0q~==1;296~;4:h03n63e349im77}:;;n14o523ca96a052z?06`7b13ty8>54?:3y>767=0k16?n>52e48yv5103:1>v3<7081`3=:;h>1>;=4}r15=?6=;r78;?4=d79>7d2=:?:01>o>:343?xu4>h0;69u236196a0<5:k?6?;i;<1:b?42n278m<4=5g9~w60e290>w0=84;0g2>;41m099k523`69634<5:3m6?8>;<1b5?41:2wx?;m50;4x96122;n=70=6b;06b>;41m09:=523`69637<5:3m6?8?;<1b5?4192wx?;j50;4x96112;n=70=69;06b>;41k09:=5238f9637<5:3m6?8<;<1b6?42n2wx?;k50;5x96102;n=70=68;055>;41009:=5238`9637<5:3o6?8=;<1:b?41:278m<4=629~w60a290;41?099k5238:960`<5:326?8>;<1:f?41:2785i4=629>7d4=:?;0q~=80;29<~;40k03n63<7881`3=:;0<1>;>4=2;;>707349257<92:?0=g<5>:16?4j5276896g52;<;7p}<5g83>7}:;>;14o5232`96a052z?0377b13ty8:>4?:3y>723=0k16?>h52e48yv51<3:1>v3<778;f>;4<909h;5rs246>5<5s49<;76m;<175?4c>2wx?;850;0x961?21h01>:=:3f5?xu4>>0;6?u236;9i84}r77=?6=84;a:?7`5<>827>??47b:?60d<5kj1v8:m:180805=3?;70:k1;;3?833k38ho6s|2b;94?4|5:k96?ml;<1b5?41<2wx>n=50;0x96e12;ih70=l5;050>{t:j>1<77ed34>hi7<94:p6f3=838p1>hj:3a`?827:38>j6s|2b494?4|5=:=6?ml;<63=?41<2wx>n950;0x91602;ih70:?b;050>{t:j21<77ed34>;h7<94:~jfc5290:wE8;8:mg`5=83;pD;:7;|l`a1<72;qC:964}oaf1?6=9rB=855rnbg5>5<6sA37p`le983>4}O>=20qcmj9;295~N1<11vbnkn:182M0302weohl50;3xL32?3tdhin4?:0yK21>51zJ50==zfjon6=4>{I47<>{ikll1<7?tH76;?xhdn90;65<6sA37p`lf983>4}O>=20qcmi9;295~N1<11vbnhn:182M0302weokl50;3xL32?3tdhjn4?:0yK21>51zJ50==zfjln6=4>{I47<>{ikol1<7?tH76;?xhc890;65<5sA37p`k0983>7}O>=20qcj?9;296~N1<11vbi>n:182M0302weh=l50;3xL32?3tdo51zJ50==zfm:n6=4>{I47<>{il9l1<7?tH76;?xhc990;65<6sA37p`k1983>7}O>=20qcj>9;296~N1<11vbi?n:181M0302weh52zJ50==zfm;n6=4={I47<>{il8l1<75<6sA37p`k2983>4}O>=20qcj=9;295~N1<11vbin4?:0yK21>51zJ50==zfm8n6=4>{I47<>{il;l1<7?tH76;?xhc;90;65<6sA37p`k3983>4}O>=20qcj<9;295~N1<11vbi=n:182M0302weh>l50;3xL32?3tdo?n4?:0yK21>51zJ50==zfm9n6=4>{I47<>{il:l1<7?tH76;?xhc<90;65<6sA37p`k4983>4}O>=20qcj;9;295~N1<11vbi:n:182M0302weh9l50;3xL32?3tdo8n4?:0yK21>51zJ50==zfm>n6=4>{I47<>{il=l1<7?tH76;?xhc=90;65<6sA37p`k5983>4}O>=20qcj:9;295~N1<11vbi;n:182M0302weh8l50;3xL32?3tdo9n4?:0yK21>h7>51zJ50==zfm?n6=4>{I47<>{il90;65<6sA37p`k6983>4}O>=20qcj99;295~N1<11vbi8n:182M0302weh;l50;3xL32?3tdo:n4?:0yK21>52zJ50==zfm{I47<>{il?l1<7?tH76;?xhc?90;6vF9499~ja15290:wE8;8:m`25=838pD;:7;|lg31<72;qC:964}of41?6=:rB=855rne55>5<5sA37p`k7983>7}O>=20qcj89;296~N1<11vbi9n:181M0302weh:l50;0xL32?3tdo;n4?:3yK21>52zJ50==zfm=n6=4={I47<>{il>l1<7vF9499~ja>52909wE8;8:m`=5=838pD;:7;|lg<1<72;qC:964}of;1?6=:rB=855rne:5>5<5sA37p`k8983>7}O>=20qcj79;296~N1<11vbi6n:181M0302weh5l50;0xL32?3tdo4n4?:3yK21>52zJ50==zfm2n6=4={I47<>{il1l1<793:1>vF9499~ja?52909wE8;8:m`<5=838pD;:7;|lg=1<72;qC:964}of:1?6=:rB=855rne;5>5<5sA37p`k9983>7}O>=20qcj69;296~N1<11vbi7n:181M0302weh4l50;0xL32?3tdo5n4?:3yK21>52zJ50==zfm3n6=4={I47<>{il0l1<7vF9499~jag52909wE8;8:m`d5=838pD;:7;|lge1<72;qC:964}ofb1?6=:rB=855rnec5>5<5sA37p`ka983>7}O>=20qcjn9;296~N1<11vbion:181M0302wehll50;0xL32?3tdomn4?:3yK21>52zJ50==zfmkn6=4={I47<>{ilhl1<7vF9499~jad52909wE8;8:m`g5=838pD;:7;|lgf1<72;qC:964}ofa1?6=:rB=855rne`5>5<5sA37p`kb983>7}O>=20qcjm9;296~N1<11vbiln:181M0302wehol50;0xL32?3tdonn4?:0yK21>51zJ50==zfmhn6=4>{I47<>{ilkl1<7?tH76;?xhck90;65<6sA37p`kc983>4}O>=20qcjl9;295~N1<11vbimn:182M0302wehnl50;3xL32?3tdoon4?:0yK21>51zJ50==zfmin6=4>{I47<>{iljl1<7?tH76;?xhcl90;65<6sA37p`kd983>4}O>=20qcjk9;295~N1<11vbijn:182M0302wehil50;3xL32?3tdohn4?:0yK21>51zJ50==zfmnn6=4>{I47<>{ilml1<7?tH76;?xhcm90;65<6sA37p`ke983>4}O>=20qcjj9;295~N1<11vbikn:182M0302wehhl50;0xL32?3tdoin4?:3yK21>52zJ50==zfmon6=4={I47<>{illl1<7vF9499~ja`52909wE8;8:m`c5=838pD;:7;|lgb1<72;qC:964}ofe1?6=:rB=855rned5>5<5sA37p`kf983>7}O>=20qcji9;296~N1<11vbihn:181M0302wehkl50;0xL32?3tdojn4?:3yK21>52zJ50==zfmln6=4={I47<>{ilol1<7vF9499~j`652909wE8;8:ma55=838pD;:7;|lf41<72;qC:964}og31?6=:rB=855rnd25>5<5sA37p`j0983>7}O>=20qck?9;296~N1<11vbh>n:181M0302wei=l50;0xL32?3tdn52zJ50==zfl:n6=4={I47<>{im9l1<7vF9499~j`752909wE8;8:ma45=838pD;:7;|lf51<72;qC:964}og21?6=:rB=855rnd35>5<5sA37p`j1983>7}O>=20qck>9;296~N1<11vbh?n:181M0302wei52zJ50==zfl;n6=4={I47<>{im8l1<7vF9499~j`452909wE8;8:ma75=838pD;:7;|lf61<72;qC:964}og11?6=:rB=855rnd05>5<5sA37p`j2983>7}O>=20qck=9;296~N1<11vbhn4?:3yK21>52zJ50==zfl8n6=4={I47<>{im;l1<7vF9499~j`552909wE8;8:ma65=838pD;:7;|lf71<728qC:964}og01?6=9rB=855rnd15>5<6sA37p`j3983>4}O>=20qck<9;295~N1<11vbh=n:182M0302wei>l50;3xL32?3tdn?n4?:0yK21>51zJ50==zfl9n6=4>{I47<>{im:l1<7?tH76;?xhb<90;65<6sA37p`j4983>4}O>=20qck;9;295~N1<11vbh:n:182M0302wei9l50;3xL32?3tdn8n4?:0yK21>51zJ50==zfl>n6=4>{I47<>{im=l1<7?tH76;?xhb=90;65<6sA37p`j5983>4}O>=20qck:9;295~N1<11vbh;n:182M0302wei8l50;3xL32?3tdn9n4?:0yK21>h7>51zJ50==zfl?n6=4>{I47<>{im90;65<6sA37p`j6983>4}O>=20qck99;295~N1<11vbh8n:182M0302wei;l50;3xL32?3tdn:n4?:0yK21>51zJ50==zfl{I47<>{im?l1<7?tH76;?xhb?90;65<6sA37p`j7983>4}O>=20qck89;295~N1<11vbh9n:182M0302wei:l50;3xL32?3tdn;n4?:0yK21>51zJ50==zfl=n6=4>{I47<>{im>l1<7?tH76;?xhb090;65290:wE8;8:ma=5=83;pD;:7;|lf<1<728qC:964}og;1?6=9rB=855rnd:5>5<6sA37p`j8983>4}O>=20qck79;295~N1<11vbh6n:182M0302wei5l50;3xL32?3tdn4n4?:0yK21>51zJ50==zfl2n6=4>{I47<>{im1l1<7?tH76;?xhb190;693:1=vF9499~j`?5290:wE8;8:ma<5=83;pD;:7;|lf=1<728qC:964}og:1?6=9rB=855rnd;5>5<6sA37p`j9983>4}O>=20qck69;295~N1<11vbh7n:182M0302wei4l50;3xL32?3tdn5n4?:0yK21>51zJ50==zfl3n6=4>{I47<>{im0l1<7?tH76;?xhbi90;65<6sA37p`ja983>4}O>=20qckn9;295~N1<11vbhon:182M0302weill50;3xL32?3tdnmn4?:0yK21>51zJ50==zflkn6=4>{I47<>{imhl1<7?tH76;?xhbj90;65<6sA37p`jb983>4}O>=20qckm9;295~N1<11vbhln:182M0302weiol50;3xL32?3tdnnn4?:0yK21>51zJ50==zflhn6=4>{I47<>{imkl1<7?tH76;?xhbk90;65<6sA37p`jc983>4}O>=20qckl9;295~N1<11vbhmn:182M0302weinl50;3xL32?3tdnon4?:0yK21>51zJ50==zflin6=4>{I47<>{imjl1<7?tH76;?xhbl90;65<6sA37p`jd983>4}O>=20qckk9;295~N1<11vbhjn:182M0302weiil50;3xL32?3tdnhn4?:0yK21>51zJ50==zflnn6=4>{I47<>{imml1<7?tH76;?xhbm90;65<6sA37p`je983>4}O>=20qckj9;295~N1<11vbhkn:182M0302weihl50;3xL32?3tdnin4?:0yK21>51zJ50==zflon6=4>{I47<>{imll1<7?tH76;?xhbn90;65<6sA37p`jf983>4}O>=20qcki9;295~N1<11vbhhn:182M0302weikl50;3xL32?3tdnjn4?:0yK21>51zJ50==zflln6=4>{I47<>{imol1<7?tH76;?xha890;65<6sA37p`i0983>4}O>=20qch?9;295~N1<11vbk>n:182M0302wej=l50;3xL32?3tdm51zJ50==zfo:n6=4={I47<>{in9l1<75<6sA37psr}AB@473l3k< + +fifo_generator_v9_3_vinfo + + + + + + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/doc/pg057-fifo-generator.pdf b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/doc/pg057-fifo-generator.pdf new file mode 100644 index 000000000..5ec45fbcb Binary files /dev/null and b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/doc/pg057-fifo-generator.pdf differ diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf new file mode 100755 index 000000000..62e5058ab --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf @@ -0,0 +1,56 @@ +################################################################################ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +################################################################################ + +# Core Period Constraint. This constraint can be modified, and is +# valid as long as it is met after place and route. + NET "RD_CLK" TNM_NET = "RD_CLK"; + NET "WR_CLK" TNM_NET = "WR_CLK"; + TIMESPEC "TS_RD_CLK" = PERIOD "RD_CLK" 50 MHZ; + TIMESPEC "TS_WR_CLK" = PERIOD "WR_CLK" 50 MHZ; +################################################################################ diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd new file mode 100755 index 000000000..2674443c8 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd @@ -0,0 +1,145 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core - core top file for implementation +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_exdes.vhd +-- +-- Description: +-- This is the FIFO core wrapper with BUFG instances for clock connections. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; + +library unisim; +use unisim.vcomponents.all; + +-------------------------------------------------------------------------------- +-- Entity Declaration +-------------------------------------------------------------------------------- +entity fifo_4k_2clk_exdes is + PORT ( + WR_CLK : IN std_logic; + RD_CLK : IN std_logic; + WR_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); + RD_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); + RST : IN std_logic; + WR_EN : IN std_logic; + RD_EN : IN std_logic; + DIN : IN std_logic_vector(72-1 DOWNTO 0); + DOUT : OUT std_logic_vector(72-1 DOWNTO 0); + FULL : OUT std_logic; + EMPTY : OUT std_logic); + +end fifo_4k_2clk_exdes; + + + +architecture xilinx of fifo_4k_2clk_exdes is + + signal wr_clk_i : std_logic; + signal rd_clk_i : std_logic; + + + + component fifo_4k_2clk is + PORT ( + WR_CLK : IN std_logic; + RD_CLK : IN std_logic; + WR_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); + RD_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); + RST : IN std_logic; + WR_EN : IN std_logic; + RD_EN : IN std_logic; + DIN : IN std_logic_vector(72-1 DOWNTO 0); + DOUT : OUT std_logic_vector(72-1 DOWNTO 0); + FULL : OUT std_logic; + EMPTY : OUT std_logic); + + end component; + + +begin + + wr_clk_buf: bufg + PORT map( + i => WR_CLK, + o => wr_clk_i + ); + + rd_clk_buf: bufg + PORT map( + i => RD_CLK, + o => rd_clk_i + ); + + + exdes_inst : fifo_4k_2clk + PORT MAP ( + WR_CLK => wr_clk_i, + RD_CLK => rd_clk_i, + WR_DATA_COUNT => wr_data_count, + RD_DATA_COUNT => rd_data_count, + RST => rst, + WR_EN => wr_en, + RD_EN => rd_en, + DIN => din, + DOUT => dout, + FULL => full, + EMPTY => empty); + +end xilinx; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/fifo_generator_v9_3_readme.txt b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/fifo_generator_v9_3_readme.txt new file mode 100644 index 000000000..7853ebde8 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/fifo_generator_v9_3_readme.txt @@ -0,0 +1,236 @@ +CHANGE LOG for LogiCORE FIFO Generator V9.3 Rev 1 + + Release Date: December 18, 2012 +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURE HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm + +For system requirements: + + http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm + +This file contains release notes for the Xilinx LogiCORE IP FIFO Generator v9.3 Rev 1 +solution. For the latest core updates, see the product page at: + + http://www.xilinx.com/products/ipcenter/FIFO_Generator.htm + +................................................................................ + + +2. DEVICE SUPPORT + + + 2.1 ISE + + The following device families are supported by the core for this release. + + + All 7 Series devices + Zynq-7000 devices + All Virtex-6 devices + All Spartan-6 devices + All Virtex-5 devices + All Spartan-3 devices + All Virtex-4 devices + + + 2.2 Vivado + + All 7 Series devices + Zynq-7000 devices + +................................................................................ + + +3. NEW FEATURE HISTORY + + + 3.1 ISE + + - ISE 14.4 software support + + + 3.2 Vivado + + - 2012.4 software support + - IP level constraint for Built-in FIFO reset synchronizer + +................................................................................ + + +4. RESOLVED ISSUES + + + 4.1 ISE + + - N/A + + + 4.2 Vivado + + - N/A + + +................................................................................ + + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + The following are known issues for v9.3 Rev 1 of this core at time of release: + + 1. Importing an XCO file alters the XCO configurations + + Description: In the FIFO Generator GUI, after importing an XCO file (Independent clock, distributed memory configuration) + into a Virtex-4 CORE Generator project, if the FIFO type is changed to "Independent Clocks, Built-in FIFO" in page 1, + page 2 does not correctly offer the Read Clock Frequency and Write Clock Frequency options as it should. + + CR 467240 + AR 31379 + + 2. Status flags after the first write to Common Clock Built-in FIFO not guaranteed + + Description: When using Common Clock Built-in FIFO configuration with asynchronous reset for Virtex-6 FPGA, + correct behavior of the FIFO status flags cannot be guaranteed after the first write. + + Workaround: To work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK. + For more information and additional workaround see Answer Record 41099. + + 5.2 Vivado + + The following are known issues for v9.3 Rev 1 of this core at time of release: + + 1. Description: When Trying to upgrade to latest version of FIFO Generator from older verions, following error message is seen + ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work. + + CR 665836 + +The most recent information, including known issues, workarounds, and +resolutions for this version is provided in the IP Release Notes User Guide +located at + + www.xilinx.com/support/documentation/user_guides/xtp025.pdf + +................................................................................ + + +6. TECHNICAL SUPPORT & FEEDBACK + +To obtain technical support, create a WebCase at www.xilinx.com/support. +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + +................................................................................ + + +7. CORE RELEASE HISTORY + +Date By Version Description +================================================================================ +12/18/2012 Xilinx, Inc. 9.3 Rev 1 ISE 14.4 and Vivado 2012.4 support; IP level constraint for Built-in FIFO reset synchronizer +10/16/2012 Xilinx, Inc. 9.3 ISE 14.3 and Vivado 2012.3 support; Clock Enable support for AXI4 Stream FIFO +07/25/2012 Xilinx, Inc. 9.2 ISE 14.2 and Vivado 2012.2 support; Accurate data count support for AXI4 Stream Packet FIFO +04/24/2012 Xilinx, Inc. 9.1 ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support + AXI FIFO data width support up to 4096; Programmable Full/Empty as sideband signals for AXI FIFO +01/18/2012 Xilinx, Inc. 8.4 ISE 13.4 support and Packet FIFO feature addition; Artix-7 Lower Power and Automotive Artix-7 device support +10/19/2011 Xilinx, Inc. 8.3 ISE 13.3 support and QVirtex-6L device support +06/22/2011 Xilinx, Inc. 8.2 ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support +03/01/2011 Xilinx, Inc. 8.1 ISE 13.1 support and Virtex-7 and Kintex-7 device support; Wiring Logic and Register Slice Support +10/29/2010 Xilinx, Inc. 7.3 ISE 13.0.2 support +09/21/2010 Xilinx, Inc. 7.2 ISE 12.3 support; AXI4 Support +07/30/2010 Xilinx, Inc. 7.1 ISE 13.0.1 support +06/18/2010 Xilinx, Inc. 6.2 ISE 12.2 support +04/19/2010 Xilinx, Inc. 6.1 ISE 12.1 support +12/02/2009 Xilinx, Inc. 5.3 rev 1 ISE 11.4 support; Spartan-6 Low Power and Automotive Spartan-6 Device support +09/16/2009 Xilinx, Inc. 5.3 Update to add 11.3; Virtex-6 Low Power and Virtex-6 HXT Device support +06/24/2009 Xilinx, Inc. 5.2 Update to add 11.2 and Virtex-6 CXT device support +04/24/2009 Xilinx, Inc. 5.1 Update to add 11.1 and Virtex-6 and Spartan-6 device support +09/19/2008 Xilinx, Inc. 4.4 Update to add 10.1 SP3 and Virtex-5 TXT device support and miscellaneous bug fixes +03/24/2008 Xilinx, Inc. 4.3 Update to add 10.1 support and miscellaneous bug fixes +10/03/2007 Xilinx, Inc. 4.2 Support for FWFT for Block RAM and Distributed RAM Common Clock FIFOs +08/08/2007 Xilinx, Inc. 4.1 Update to add 9.2i support; Revised to v4.1; ECC support for block RAM FIFO +04/02/2007 Xilinx, Inc. 3.3 Update to add 9.1i support; Revised to v3.3; Spartan-3A and Spartan-3A DSP support; ECC support +09/21/2006 Xilinx, Inc. 3.2 Revised to v3.2; Spartan-3 and Virtex-4 automotive device support +07/13/2006 Xilinx, Inc. 3.1 Update to add 8.2i support; Revised to v3.1; Virtex-5 support +01/11/2006 Xilinx, Inc. 2.3 Update to add 8.1i support; Revised to v2.3 +08/31/2005 Xilinx, Inc. 2.2 Update to add 7.1i SP4 support; Revised to v2.2 +04/28/2005 Xilinx, Inc. 2.1 Update to add 7.1i SP1 support; Revised to v2.1 +11/04/2004 Xilinx, Inc. 2.0 Update to add 6.3i support; Revised to v2.0 +05/21/2004 Xilinx, Inc. 1.1 Revised to v1.1; Virtex-4 support +04/23/2004 Xilinx, Inc. 1.0 Update to add 6.2i support; First release +================================================================================ + +................................................................................ + + +8. LEGAL DISCLAIMER + +(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved. + + This file contains confidential and proprietary information + of Xilinx, Inc. and is protected under U.S. and + international copyright and other intellectual property + laws. + + DISCLAIMER + This disclaimer is not a license and does not grant any + rights to the materials distributed herewith. Except as + otherwise provided in a valid license issued to you by + Xilinx, and to the maximum extent permitted by applicable + law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND + WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES + AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING + BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- + INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and + (2) Xilinx shall not be liable (whether in contract or tort, + including negligence, or under any other theory of + liability) for any loss or damage of any kind or nature + related to, arising under or in connection with these + materials, including for any direct, or any indirect, + special, incidental, or consequential loss or damage + (including loss of data, profits, goodwill, or any type of + loss or damage suffered as a result of any action brought + by a third party) even if such damage or loss was + reasonably foreseeable or Xilinx had been advised of the + possibility of the same. + + CRITICAL APPLICATIONS + Xilinx products are not designed or intended to be fail- + safe, or for use in any application requiring fail-safe + performance, such as life-support or safety devices or + systems, Class III medical devices, nuclear facilities, + applications related to the deployment of airbags, or any + other applications that could lead to death, personal + injury, or severe property or environmental damage + (individually and collectively, "Critical + Applications"). Customer assumes the sole risk and + liability of any use of Xilinx products in Critical + Applications, subject only to applicable laws and + regulations governing limitations on product liability. + + THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS + PART OF THIS FILE AT ALL TIMES. diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement.bat new file mode 100755 index 000000000..e6d9fb0f6 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement.bat @@ -0,0 +1,88 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +rem Clean up the results directory +rmdir /S /Q results +mkdir results + +rem Synthesize the VHDL Wrapper Files + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with XST'; +xst -ifn xst.scr +copy fifo_4k_2clk_exdes.ngc .\results\ + + +rem Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +copy ..\..\fifo_4k_2clk.ngc results\ + + +rem Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +copy ..\example_design\fifo_4k_2clk_exdes.ucf results\ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-csg484-3 -sd ../../../ fifo_4k_2clk_exdes + +echo 'Running map' +map fifo_4k_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_4k_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement.sh new file mode 100755 index 000000000..0b56fa62c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement.sh @@ -0,0 +1,87 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +# Clean up the results directory +rm -rf results +mkdir results + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with XST'; +xst -ifn xst.scr +cp fifo_4k_2clk_exdes.ngc ./results/ + + +# Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +cp ../../fifo_4k_2clk.ngc results/ + +# Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +cp ../example_design/fifo_4k_2clk_exdes.ucf results/ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-csg484-3 -sd ../../../ fifo_4k_2clk_exdes + +echo 'Running map' +map fifo_4k_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_4k_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement_synplify.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement_synplify.bat new file mode 100755 index 000000000..e0e613a94 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement_synplify.bat @@ -0,0 +1,87 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +rem Clean up the results directory +rmdir /S /Q results +mkdir results + +rem Synthesize the VHDL Wrapper Files + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with Synplify' +synplify_pro -batch synplify.prj -licensetype synplifypro_xilinx + + +rem Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +copy ..\..\fifo_4k_2clk.ngc results\ + + +rem Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +copy ..\example_design\fifo_4k_2clk_exdes.ucf results\ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-csg484-3 -sd ../../../ fifo_4k_2clk_exdes + +echo 'Running map' +map fifo_4k_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_4k_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement_synplify.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement_synplify.sh new file mode 100755 index 000000000..fa07eb47d --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement_synplify.sh @@ -0,0 +1,86 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +# Clean up the results directory +rm -rf results +mkdir results + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with Synplify' +synplify_pro -batch synplify.prj -licensetype synplifypro_xilinx + + +# Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +cp ../../fifo_4k_2clk.ngc results/ + +# Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +cp ../example_design/fifo_4k_2clk_exdes.ucf results/ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-csg484-3 -sd ../../../ fifo_4k_2clk_exdes + +echo 'Running map' +map fifo_4k_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_4k_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.bat new file mode 100755 index 000000000..a48fea790 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.bat @@ -0,0 +1,54 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +rem ----------------------------------------------------------------------------- +rem Script to synthesize and implement the Coregen FIFO Generator +rem ----------------------------------------------------------------------------- +rmdir /S /Q results +mkdir results +cd results +copy ..\..\..\fifo_4k_2clk.ngc . +planAhead -mode batch -source ..\planAhead_ise.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.sh new file mode 100755 index 000000000..7171a0e5e --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.sh @@ -0,0 +1,55 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +#----------------------------------------------------------------------------- +# Script to synthesize and implement the Coregen FIFO Generator +#----------------------------------------------------------------------------- +rm -rf results +mkdir results +cd results +cp ../../../fifo_4k_2clk.ngc . +planAhead -mode batch -source ../planAhead_ise.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.tcl new file mode 100755 index 000000000..98c6556e8 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.tcl @@ -0,0 +1,67 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + + +set device xc6slx75csg484-3 +set projName fifo_4k_2clk +set design fifo_4k_2clk +set projDir [file dirname [info script]] +create_project $projName $projDir/results/$projName -part $device -force +set_property design_mode RTL [current_fileset -srcset] +set top_module fifo_4k_2clk_exdes +add_files -norecurse {../../example_design/fifo_4k_2clk_exdes.vhd} +add_files -norecurse {./fifo_4k_2clk.ngc} +import_files -fileset [get_filesets constrs_1] -force -norecurse {../../example_design/fifo_4k_2clk_exdes.xdc} +set_property top fifo_4k_2clk_exdes [get_property srcset [current_run]] +synth_design +opt_design +place_design +route_design +write_sdf -rename_top_module fifo_4k_2clk_exdes -file routed.sdf +write_verilog -nolib -mode timesim -sdf_anno false -rename_top_module fifo_4k_2clk_exdes routed.v +report_timing -nworst 30 -path_type full -file routed.twr +report_drc -file report.drc +write_bitstream -bitgen_options {-g UnconstrainedPins:Allow} diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/xst.prj b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/xst.prj new file mode 100755 index 000000000..573a1716f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/xst.prj @@ -0,0 +1 @@ +work ../example_design/fifo_4k_2clk_exdes.vhd diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/xst.scr b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/xst.scr new file mode 100755 index 000000000..11c7d5a89 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/xst.scr @@ -0,0 +1,13 @@ +run +-ifmt VHDL +-ent fifo_4k_2clk_exdes +-p xc6slx75-csg484-3 +-ifn xst.prj +-write_timing_constraints No +-iobuf YES +-max_fanout 100 +-ofn fifo_4k_2clk_exdes +-ofmt NGC +-bus_delimiter () +-hierarchy_separator / +-case Maintain diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd new file mode 100755 index 000000000..d14bb7a51 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd @@ -0,0 +1,123 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_dgen.vhd +-- +-- Description: +-- Used for write interface stimulus generation +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +LIBRARY work; +USE work.fifo_4k_2clk_pkg.ALL; + +ENTITY fifo_4k_2clk_dgen IS + GENERIC ( + C_DIN_WIDTH : INTEGER := 32; + C_DOUT_WIDTH : INTEGER := 32; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT ( + RESET : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + PRC_WR_EN : IN STD_LOGIC; + FULL : IN STD_LOGIC; + WR_EN : OUT STD_LOGIC; + WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) + ); +END ENTITY; + + +ARCHITECTURE fg_dg_arch OF fifo_4k_2clk_dgen IS + + CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); + CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); + + SIGNAL pr_w_en : STD_LOGIC := '0'; + SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); + SIGNAL wr_data_i : STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); + BEGIN + + WR_EN <= PRC_WR_EN ; + WR_DATA <= wr_data_i AFTER 100 ns; + + ---------------------------------------------- + -- Generation of DATA + ---------------------------------------------- + gen_stim:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE + rd_gen_inst1:fifo_4k_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED+N + ) + PORT MAP( + CLK => WR_CLK, + RESET => RESET, + RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), + ENABLE => pr_w_en + ); + END GENERATE; + + pr_w_en <= PRC_WR_EN AND NOT FULL; + wr_data_i <= rand_num(C_DIN_WIDTH-1 DOWNTO 0); + + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd new file mode 100755 index 000000000..0a7c2aa87 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd @@ -0,0 +1,150 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_dverif.vhd +-- +-- Description: +-- Used for FIFO read interface stimulus generation and data checking +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +LIBRARY work; +USE work.fifo_4k_2clk_pkg.ALL; + +ENTITY fifo_4k_2clk_dverif IS + GENERIC( + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_USE_EMBEDDED_REG : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + PRC_RD_EN : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + RD_EN : OUT STD_LOGIC; + DOUT_CHK : OUT STD_LOGIC + ); +END ENTITY; + + +ARCHITECTURE fg_dv_arch OF fifo_4k_2clk_dverif IS + + CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); + CONSTANT EXTRA_WIDTH : INTEGER := if_then_else(C_CH_TYPE = 2,1,0); + CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH+EXTRA_WIDTH,8); + + SIGNAL expected_dout : STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); + SIGNAL data_chk : STD_LOGIC := '1'; + SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 downto 0); + SIGNAL rd_en_i : STD_LOGIC := '0'; + SIGNAL pr_r_en : STD_LOGIC := '0'; + SIGNAL rd_en_d1 : STD_LOGIC := '1'; +BEGIN + + + DOUT_CHK <= data_chk; + RD_EN <= rd_en_i; + rd_en_i <= PRC_RD_EN; + rd_en_d1 <= '1'; + + + data_fifo_chk:IF(C_CH_TYPE /=2) GENERATE + ------------------------------------------------------- + -- Expected data generation and checking for data_fifo + ------------------------------------------------------- + + pr_r_en <= rd_en_i AND NOT EMPTY AND rd_en_d1; + expected_dout <= rand_num(C_DOUT_WIDTH-1 DOWNTO 0); + + gen_num:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE + rd_gen_inst2:fifo_4k_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED+N + ) + PORT MAP( + CLK => RD_CLK, + RESET => RESET, + RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), + ENABLE => pr_r_en + ); + END GENERATE; + + PROCESS (RD_CLK,RESET) + BEGIN + IF(RESET = '1') THEN + data_chk <= '0'; + ELSIF (RD_CLK'event AND RD_CLK='1') THEN + IF(EMPTY = '0') THEN + IF(DATA_OUT = expected_dout) THEN + data_chk <= '0'; + ELSE + data_chk <= '1'; + END IF; + END IF; + END IF; + END PROCESS; + END GENERATE data_fifo_chk; + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd new file mode 100755 index 000000000..fa32e4781 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd @@ -0,0 +1,541 @@ + +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_pctrl.vhd +-- +-- Description: +-- Used for protocol control on write and read interface stimulus and status generation +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +LIBRARY work; +USE work.fifo_4k_2clk_pkg.ALL; + +ENTITY fifo_4k_2clk_pctrl IS + GENERIC( + AXI_CHANNEL : STRING :="NONE"; + C_APPLICATION_TYPE : INTEGER := 0; + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_WR_PNTR_WIDTH : INTEGER := 0; + C_RD_PNTR_WIDTH : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 2; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET_WR : IN STD_LOGIC; + RESET_RD : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + FULL : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + ALMOST_FULL : IN STD_LOGIC; + ALMOST_EMPTY : IN STD_LOGIC; + DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + DOUT_CHK : IN STD_LOGIC; + PRC_WR_EN : OUT STD_LOGIC; + PRC_RD_EN : OUT STD_LOGIC; + RESET_EN : OUT STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); +END ENTITY; + + +ARCHITECTURE fg_pc_arch OF fifo_4k_2clk_pctrl IS + + CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); + CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); + CONSTANT D_WIDTH_DIFF : INTEGER := log2roundup(C_DOUT_WIDTH/C_DIN_WIDTH); + + SIGNAL data_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); + SIGNAL full_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); + SIGNAL empty_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); + SIGNAL status_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); + SIGNAL status_d1_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); + SIGNAL wr_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); + SIGNAL rd_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); + SIGNAL wr_cntr : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); + SIGNAL full_as_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); + SIGNAL full_ds_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); + SIGNAL rd_cntr : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); + SIGNAL empty_as_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); + SIGNAL empty_ds_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0):= (OTHERS => '0'); + SIGNAL wr_en_i : STD_LOGIC := '0'; + SIGNAL rd_en_i : STD_LOGIC := '0'; + SIGNAL state : STD_LOGIC := '0'; + SIGNAL wr_control : STD_LOGIC := '0'; + SIGNAL rd_control : STD_LOGIC := '0'; + SIGNAL stop_on_err : STD_LOGIC := '0'; + SIGNAL sim_stop_cntr : STD_LOGIC_VECTOR(7 DOWNTO 0):= conv_std_logic_vector(if_then_else(C_CH_TYPE=2,64,TB_STOP_CNT),8); + SIGNAL sim_done_i : STD_LOGIC := '0'; + SIGNAL rdw_gt_wrw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); + SIGNAL wrw_gt_rdw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); + SIGNAL rd_activ_cont : STD_LOGIC_VECTOR(25 downto 0):= (OTHERS => '0'); + SIGNAL prc_we_i : STD_LOGIC := '0'; + SIGNAL prc_re_i : STD_LOGIC := '0'; + SIGNAL reset_en_i : STD_LOGIC := '0'; + SIGNAL sim_done_d1 : STD_LOGIC := '0'; + SIGNAL sim_done_wr1 : STD_LOGIC := '0'; + SIGNAL sim_done_wr2 : STD_LOGIC := '0'; + SIGNAL empty_d1 : STD_LOGIC := '0'; + SIGNAL empty_wr_dom1 : STD_LOGIC := '0'; + SIGNAL state_d1 : STD_LOGIC := '0'; + SIGNAL state_rd_dom1 : STD_LOGIC := '0'; + SIGNAL rd_en_d1 : STD_LOGIC := '0'; + SIGNAL rd_en_wr1 : STD_LOGIC := '0'; + SIGNAL wr_en_d1 : STD_LOGIC := '0'; + SIGNAL wr_en_rd1 : STD_LOGIC := '0'; + SIGNAL full_chk_d1 : STD_LOGIC := '0'; + SIGNAL full_chk_rd1 : STD_LOGIC := '0'; + SIGNAL empty_wr_dom2 : STD_LOGIC := '0'; + + SIGNAL state_rd_dom2 : STD_LOGIC := '0'; + SIGNAL state_rd_dom3 : STD_LOGIC := '0'; + SIGNAL rd_en_wr2 : STD_LOGIC := '0'; + SIGNAL wr_en_rd2 : STD_LOGIC := '0'; + SIGNAL full_chk_rd2 : STD_LOGIC := '0'; + SIGNAL reset_en_d1 : STD_LOGIC := '0'; + SIGNAL reset_en_rd1 : STD_LOGIC := '0'; + SIGNAL reset_en_rd2 : STD_LOGIC := '0'; + + SIGNAL data_chk_wr_d1 : STD_LOGIC := '0'; + SIGNAL data_chk_rd1 : STD_LOGIC := '0'; + SIGNAL data_chk_rd2 : STD_LOGIC := '0'; + SIGNAL post_rst_dly_wr : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); + SIGNAL post_rst_dly_rd : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); +BEGIN + status_i <= data_chk_i & full_chk_rd2 & empty_chk_i & '0' & '0'; + STATUS <= status_d1_i & '0' & '0' & rd_activ_cont(rd_activ_cont'high); + + prc_we_i <= wr_en_i WHEN sim_done_wr2 = '0' ELSE '0'; + prc_re_i <= rd_en_i WHEN sim_done_i = '0' ELSE '0'; + + SIM_DONE <= sim_done_i; + rdw_gt_wrw <= (OTHERS => '1'); + wrw_gt_rdw <= (OTHERS => '1'); + + PROCESS(RD_CLK) + BEGIN + IF (RD_CLK'event AND RD_CLK='1') THEN + IF(prc_re_i = '1') THEN + rd_activ_cont <= rd_activ_cont + "1"; + END IF; + END IF; + END PROCESS; + + + PROCESS(sim_done_i) + BEGIN + assert sim_done_i = '0' + report "Simulation Complete for:" & AXI_CHANNEL + severity note; + END PROCESS; + +----------------------------------------------------- +-- SIM_DONE SIGNAL GENERATION +----------------------------------------------------- +PROCESS (RD_CLK,RESET_RD) +BEGIN + IF(RESET_RD = '1') THEN + --sim_done_i <= '0'; + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF((OR_REDUCE(sim_stop_cntr) = '0' AND TB_STOP_CNT /= 0) OR stop_on_err = '1') THEN + sim_done_i <= '1'; + END IF; + END IF; +END PROCESS; + + -- TB Timeout/Stop + fifo_tb_stop_run:IF(TB_STOP_CNT /= 0) GENERATE + PROCESS (RD_CLK) + BEGIN + IF (RD_CLK'event AND RD_CLK='1') THEN + IF(state_rd_dom2 = '0' AND state_rd_dom3 = '1') THEN + sim_stop_cntr <= sim_stop_cntr - "1"; + END IF; + END IF; + END PROCESS; + END GENERATE fifo_tb_stop_run; + + + -- Stop when error found + PROCESS (RD_CLK) + BEGIN + IF (RD_CLK'event AND RD_CLK='1') THEN + IF(sim_done_i = '0') THEN + status_d1_i <= status_i OR status_d1_i; + END IF; + IF(FREEZEON_ERROR = 1 AND status_i /= "0") THEN + stop_on_err <= '1'; + END IF; + END IF; + END PROCESS; + ----------------------------------------------------- + + ----------------------------------------------------- + -- CHECKS FOR FIFO + ----------------------------------------------------- + + + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + post_rst_dly_rd <= (OTHERS => '1'); + ELSIF (RD_CLK'event AND RD_CLK='1') THEN + post_rst_dly_rd <= post_rst_dly_rd-post_rst_dly_rd(4); + END IF; + END PROCESS; + + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + post_rst_dly_wr <= (OTHERS => '1'); + ELSIF (WR_CLK'event AND WR_CLK='1') THEN + post_rst_dly_wr <= post_rst_dly_wr-post_rst_dly_wr(4); + END IF; + END PROCESS; + + + -- FULL de-assert Counter + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + full_ds_timeout <= (OTHERS => '0'); + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(state = '1') THEN + IF(rd_en_wr2 = '1' AND wr_en_i = '0' AND FULL = '1' AND AND_REDUCE(wrw_gt_rdw) = '1') THEN + full_ds_timeout <= full_ds_timeout + '1'; + END IF; + ELSE + full_ds_timeout <= (OTHERS => '0'); + END IF; + END IF; + END PROCESS; + + + -- EMPTY deassert counter + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + empty_ds_timeout <= (OTHERS => '0'); + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(state = '0') THEN + IF(wr_en_rd2 = '1' AND rd_en_i = '0' AND EMPTY = '1' AND AND_REDUCE(rdw_gt_wrw) = '1') THEN + empty_ds_timeout <= empty_ds_timeout + '1'; + END IF; + ELSE + empty_ds_timeout <= (OTHERS => '0'); + END IF; + END IF; + END PROCESS; + + -- Full check signal generation + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + full_chk_i <= '0'; + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN + full_chk_i <= '0'; + ELSE + full_chk_i <= AND_REDUCE(full_as_timeout) OR + AND_REDUCE(full_ds_timeout); + END IF; + END IF; + END PROCESS; + + -- Empty checks + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + empty_chk_i <= '0'; + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN + empty_chk_i <= '0'; + ELSE + empty_chk_i <= AND_REDUCE(empty_as_timeout) OR + AND_REDUCE(empty_ds_timeout); + END IF; + END IF; + END PROCESS; + + fifo_d_chk:IF(C_CH_TYPE /= 2) GENERATE + PRC_WR_EN <= prc_we_i AFTER 100 ns; + PRC_RD_EN <= prc_re_i AFTER 50 ns; + data_chk_i <= dout_chk; + END GENERATE fifo_d_chk; + ----------------------------------------------------- + + + ----------------------------------------------------- + -- SYNCHRONIZERS B/W WRITE AND READ DOMAINS + ----------------------------------------------------- + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + empty_wr_dom1 <= '1'; + empty_wr_dom2 <= '1'; + state_d1 <= '0'; + wr_en_d1 <= '0'; + rd_en_wr1 <= '0'; + rd_en_wr2 <= '0'; + full_chk_d1 <= '0'; + reset_en_d1 <= '0'; + sim_done_wr1 <= '0'; + sim_done_wr2 <= '0'; + ELSIF (WR_CLK'event AND WR_CLK='1') THEN + sim_done_wr1 <= sim_done_d1; + sim_done_wr2 <= sim_done_wr1; + reset_en_d1 <= reset_en_i; + state_d1 <= state; + empty_wr_dom1 <= empty_d1; + empty_wr_dom2 <= empty_wr_dom1; + wr_en_d1 <= wr_en_i; + rd_en_wr1 <= rd_en_d1; + rd_en_wr2 <= rd_en_wr1; + full_chk_d1 <= full_chk_i; + END IF; + END PROCESS; + + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + empty_d1 <= '1'; + state_rd_dom1 <= '0'; + state_rd_dom2 <= '0'; + state_rd_dom3 <= '0'; + wr_en_rd1 <= '0'; + wr_en_rd2 <= '0'; + rd_en_d1 <= '0'; + full_chk_rd1 <= '0'; + full_chk_rd2 <= '0'; + reset_en_rd1 <= '0'; + reset_en_rd2 <= '0'; + sim_done_d1 <= '0'; + ELSIF (RD_CLK'event AND RD_CLK='1') THEN + sim_done_d1 <= sim_done_i; + reset_en_rd1 <= reset_en_d1; + reset_en_rd2 <= reset_en_rd1; + empty_d1 <= EMPTY; + rd_en_d1 <= rd_en_i; + state_rd_dom1 <= state_d1; + state_rd_dom2 <= state_rd_dom1; + state_rd_dom3 <= state_rd_dom2; + wr_en_rd1 <= wr_en_d1; + wr_en_rd2 <= wr_en_rd1; + full_chk_rd1 <= full_chk_d1; + full_chk_rd2 <= full_chk_rd1; + END IF; + END PROCESS; + + RESET_EN <= reset_en_rd2; + + + data_fifo_en:IF(C_CH_TYPE /= 2) GENERATE + ----------------------------------------------------- + -- WR_EN GENERATION + ----------------------------------------------------- + gen_rand_wr_en:fifo_4k_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED+1 + ) + PORT MAP( + CLK => WR_CLK, + RESET => RESET_WR, + RANDOM_NUM => wr_en_gen, + ENABLE => '1' + ); + + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + wr_en_i <= '0'; + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(state = '1') THEN + wr_en_i <= wr_en_gen(0) AND wr_en_gen(7) AND wr_en_gen(2) AND wr_control; + ELSE + wr_en_i <= (wr_en_gen(3) OR wr_en_gen(4) OR wr_en_gen(2)) AND (NOT post_rst_dly_wr(4)); + END IF; + END IF; + END PROCESS; + + ----------------------------------------------------- + -- WR_EN CONTROL + ----------------------------------------------------- + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + wr_cntr <= (OTHERS => '0'); + wr_control <= '1'; + full_as_timeout <= (OTHERS => '0'); + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(state = '1') THEN + IF(wr_en_i = '1') THEN + wr_cntr <= wr_cntr + "1"; + END IF; + full_as_timeout <= (OTHERS => '0'); + ELSE + wr_cntr <= (OTHERS => '0'); + IF(rd_en_wr2 = '0') THEN + IF(wr_en_i = '1') THEN + full_as_timeout <= full_as_timeout + "1"; + END IF; + ELSE + full_as_timeout <= (OTHERS => '0'); + END IF; + END IF; + + wr_control <= NOT wr_cntr(wr_cntr'high); + + END IF; + END PROCESS; + + ----------------------------------------------------- + -- RD_EN GENERATION + ----------------------------------------------------- + gen_rand_rd_en:fifo_4k_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED + ) + PORT MAP( + CLK => RD_CLK, + RESET => RESET_RD, + RANDOM_NUM => rd_en_gen, + ENABLE => '1' + ); + + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + rd_en_i <= '0'; + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(state_rd_dom2 = '0') THEN + rd_en_i <= rd_en_gen(1) AND rd_en_gen(5) AND rd_en_gen(3) AND rd_control AND (NOT post_rst_dly_rd(4)); + ELSE + rd_en_i <= rd_en_gen(0) OR rd_en_gen(6); + END IF; + END IF; + END PROCESS; + + ----------------------------------------------------- + -- RD_EN CONTROL + ----------------------------------------------------- + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + rd_cntr <= (OTHERS => '0'); + rd_control <= '1'; + empty_as_timeout <= (OTHERS => '0'); + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(state_rd_dom2 = '0') THEN + IF(rd_en_i = '1') THEN + rd_cntr <= rd_cntr + "1"; + END IF; + empty_as_timeout <= (OTHERS => '0'); + ELSE + rd_cntr <= (OTHERS => '0'); + IF(wr_en_rd2 = '0') THEN + IF(rd_en_i = '1') THEN + empty_as_timeout <= empty_as_timeout + "1"; + END IF; + ELSE + empty_as_timeout <= (OTHERS => '0'); + END IF; + END IF; + + rd_control <= NOT rd_cntr(rd_cntr'high); + + END IF; + END PROCESS; + + ----------------------------------------------------- + -- STIMULUS CONTROL + ----------------------------------------------------- + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + state <= '0'; + reset_en_i <= '0'; + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + CASE state IS + WHEN '0' => + IF(FULL = '1' AND empty_wr_dom2 = '0') THEN + state <= '1'; + reset_en_i <= '0'; + END IF; + WHEN '1' => + IF(empty_wr_dom2 = '1' AND FULL = '0') THEN + state <= '0'; + reset_en_i <= '1'; + END IF; + WHEN OTHERS => state <= state; + END CASE; + END IF; + END PROCESS; + END GENERATE data_fifo_en; + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd new file mode 100755 index 000000000..46d4ac9cf --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd @@ -0,0 +1,350 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_pkg.vhd +-- +-- Description: +-- This is the demo testbench package file for FIFO Generator core. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE ieee.std_logic_arith.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +PACKAGE fifo_4k_2clk_pkg IS + + FUNCTION divroundup ( + data_value : INTEGER; + divisor : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : INTEGER; + false_case : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : STD_LOGIC; + false_case : STD_LOGIC) + RETURN STD_LOGIC; + ------------------------ + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : TIME; + false_case : TIME) + RETURN TIME; + ------------------------ + FUNCTION log2roundup ( + data_value : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION hexstr_to_std_logic_vec( + arg1 : string; + size : integer ) + RETURN std_logic_vector; + ------------------------ + COMPONENT fifo_4k_2clk_rng IS + GENERIC (WIDTH : integer := 8; + SEED : integer := 3); + PORT ( + CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + ENABLE : IN STD_LOGIC; + RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + + COMPONENT fifo_4k_2clk_dgen IS + GENERIC ( + C_DIN_WIDTH : INTEGER := 32; + C_DOUT_WIDTH : INTEGER := 32; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT ( + RESET : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + PRC_WR_EN : IN STD_LOGIC; + FULL : IN STD_LOGIC; + WR_EN : OUT STD_LOGIC; + WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + + COMPONENT fifo_4k_2clk_dverif IS + GENERIC( + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_USE_EMBEDDED_REG : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + PRC_RD_EN : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + RD_EN : OUT STD_LOGIC; + DOUT_CHK : OUT STD_LOGIC + ); + END COMPONENT; + ------------------------ + + COMPONENT fifo_4k_2clk_pctrl IS + GENERIC( + AXI_CHANNEL : STRING := "NONE"; + C_APPLICATION_TYPE : INTEGER := 0; + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_WR_PNTR_WIDTH : INTEGER := 0; + C_RD_PNTR_WIDTH : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 2; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET_WR : IN STD_LOGIC; + RESET_RD : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + FULL : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + ALMOST_FULL : IN STD_LOGIC; + ALMOST_EMPTY : IN STD_LOGIC; + DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + DOUT_CHK : IN STD_LOGIC; + PRC_WR_EN : OUT STD_LOGIC; + PRC_RD_EN : OUT STD_LOGIC; + RESET_EN : OUT STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + COMPONENT fifo_4k_2clk_synth IS + GENERIC( + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 0; + TB_SEED : INTEGER := 1 + ); + PORT( + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + COMPONENT fifo_4k_2clk_exdes IS + PORT ( + WR_CLK : IN std_logic; + RD_CLK : IN std_logic; + WR_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); + RD_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); + RST : IN std_logic; + WR_EN : IN std_logic; + RD_EN : IN std_logic; + DIN : IN std_logic_vector(72-1 DOWNTO 0); + DOUT : OUT std_logic_vector(72-1 DOWNTO 0); + FULL : OUT std_logic; + EMPTY : OUT std_logic); + + END COMPONENT; + ------------------------ + + +END fifo_4k_2clk_pkg; + + + +PACKAGE BODY fifo_4k_2clk_pkg IS + + FUNCTION divroundup ( + data_value : INTEGER; + divisor : INTEGER) + RETURN INTEGER IS + VARIABLE div : INTEGER; + BEGIN + div := data_value/divisor; + IF ( (data_value MOD divisor) /= 0) THEN + div := div+1; + END IF; + RETURN div; + END divroundup; + --------------------------------- + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : INTEGER; + false_case : INTEGER) + RETURN INTEGER IS + VARIABLE retval : INTEGER := 0; + BEGIN + IF condition=false THEN + retval:=false_case; + ELSE + retval:=true_case; + END IF; + RETURN retval; + END if_then_else; + --------------------------------- + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : STD_LOGIC; + false_case : STD_LOGIC) + RETURN STD_LOGIC IS + VARIABLE retval : STD_LOGIC := '0'; + BEGIN + IF condition=false THEN + retval:=false_case; + ELSE + retval:=true_case; + END IF; + RETURN retval; + END if_then_else; + --------------------------------- + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : TIME; + false_case : TIME) + RETURN TIME IS + VARIABLE retval : TIME := 0 ps; + BEGIN + IF condition=false THEN + retval:=false_case; + ELSE + retval:=true_case; + END IF; + RETURN retval; + END if_then_else; + ------------------------------- + FUNCTION log2roundup ( + data_value : INTEGER) + RETURN INTEGER IS + + VARIABLE width : INTEGER := 0; + VARIABLE cnt : INTEGER := 1; + BEGIN + IF (data_value <= 1) THEN + width := 1; + ELSE + WHILE (cnt < data_value) LOOP + width := width + 1; + cnt := cnt *2; + END LOOP; + END IF; + + RETURN width; + END log2roundup; + ------------------------------------------------------------------------------ + -- hexstr_to_std_logic_vec + -- This function converts a hex string to a std_logic_vector + ------------------------------------------------------------------------------ + FUNCTION hexstr_to_std_logic_vec( + arg1 : string; + size : integer ) + RETURN std_logic_vector IS + VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0'); + VARIABLE bin : std_logic_vector(3 DOWNTO 0); + VARIABLE index : integer := 0; + BEGIN + FOR i IN arg1'reverse_range LOOP + CASE arg1(i) IS + WHEN '0' => bin := (OTHERS => '0'); + WHEN '1' => bin := (0 => '1', OTHERS => '0'); + WHEN '2' => bin := (1 => '1', OTHERS => '0'); + WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0'); + WHEN '4' => bin := (2 => '1', OTHERS => '0'); + WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0'); + WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0'); + WHEN '7' => bin := (3 => '0', OTHERS => '1'); + WHEN '8' => bin := (3 => '1', OTHERS => '0'); + WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0'); + WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1'); + WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1'); + WHEN 'B' => bin := (2 => '0', OTHERS => '1'); + WHEN 'b' => bin := (2 => '0', OTHERS => '1'); + WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1'); + WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1'); + WHEN 'D' => bin := (1 => '0', OTHERS => '1'); + WHEN 'd' => bin := (1 => '0', OTHERS => '1'); + WHEN 'E' => bin := (0 => '0', OTHERS => '1'); + WHEN 'e' => bin := (0 => '0', OTHERS => '1'); + WHEN 'F' => bin := (OTHERS => '1'); + WHEN 'f' => bin := (OTHERS => '1'); + WHEN OTHERS => + FOR j IN 0 TO 3 LOOP + bin(j) := 'X'; + END LOOP; + END CASE; + FOR j IN 0 TO 3 LOOP + IF (index*4)+j < size THEN + result((index*4)+j) := bin(j); + END IF; + END LOOP; + index := index + 1; + END LOOP; + RETURN result; + END hexstr_to_std_logic_vec; + +END fifo_4k_2clk_pkg; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd new file mode 100755 index 000000000..bed58d88e --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd @@ -0,0 +1,100 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_rng.vhd +-- +-- Description: +-- Used for generation of pseudo random numbers +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +ENTITY fifo_4k_2clk_rng IS + GENERIC ( + WIDTH : integer := 8; + SEED : integer := 3); + PORT ( + CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + ENABLE : IN STD_LOGIC; + RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0)); +END ENTITY; + +ARCHITECTURE rg_arch OF fifo_4k_2clk_rng IS +BEGIN +PROCESS (CLK,RESET) + VARIABLE rand_temp : STD_LOGIC_VECTOR(width-1 DOWNTO 0):=conv_std_logic_vector(SEED,width); + VARIABLE temp : STD_LOGIC := '0'; +BEGIN + IF(RESET = '1') THEN + rand_temp := conv_std_logic_vector(SEED,width); + temp := '0'; + ELSIF (CLK'event AND CLK = '1') THEN + IF (ENABLE = '1') THEN + temp := rand_temp(width-1) xnor rand_temp(width-3) xnor rand_temp(width-4) xnor rand_temp(width-5); + rand_temp(width-1 DOWNTO 1) := rand_temp(width-2 DOWNTO 0); + rand_temp(0) := temp; + END IF; + END IF; + + RANDOM_NUM <= rand_temp; + +END PROCESS; + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd new file mode 100755 index 000000000..4149735c5 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd @@ -0,0 +1,300 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_synth.vhd +-- +-- Description: +-- This is the demo testbench for fifo_generator core. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + + +LIBRARY ieee; +USE ieee.STD_LOGIC_1164.ALL; +USE ieee.STD_LOGIC_unsigned.ALL; +USE IEEE.STD_LOGIC_arith.ALL; +USE ieee.numeric_std.ALL; +USE ieee.STD_LOGIC_misc.ALL; + +LIBRARY std; +USE std.textio.ALL; + +LIBRARY work; +USE work.fifo_4k_2clk_pkg.ALL; + +-------------------------------------------------------------------------------- +-- Entity Declaration +-------------------------------------------------------------------------------- +ENTITY fifo_4k_2clk_synth IS + GENERIC( + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 0; + TB_SEED : INTEGER := 1 + ); + PORT( + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); +END ENTITY; + +ARCHITECTURE simulation_arch OF fifo_4k_2clk_synth IS + + -- FIFO interface signal declarations + SIGNAL wr_clk_i : STD_LOGIC; + SIGNAL rd_clk_i : STD_LOGIC; + SIGNAL wr_data_count : STD_LOGIC_VECTOR(10-1 DOWNTO 0); + SIGNAL rd_data_count : STD_LOGIC_VECTOR(10-1 DOWNTO 0); + SIGNAL rst : STD_LOGIC; + SIGNAL wr_en : STD_LOGIC; + SIGNAL rd_en : STD_LOGIC; + SIGNAL din : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL dout : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL full : STD_LOGIC; + SIGNAL empty : STD_LOGIC; + -- TB Signals + SIGNAL wr_data : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL dout_i : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL wr_en_i : STD_LOGIC := '0'; + SIGNAL rd_en_i : STD_LOGIC := '0'; + SIGNAL full_i : STD_LOGIC := '0'; + SIGNAL empty_i : STD_LOGIC := '0'; + SIGNAL almost_full_i : STD_LOGIC := '0'; + SIGNAL almost_empty_i : STD_LOGIC := '0'; + SIGNAL prc_we_i : STD_LOGIC := '0'; + SIGNAL prc_re_i : STD_LOGIC := '0'; + SIGNAL dout_chk_i : STD_LOGIC := '0'; + SIGNAL rst_int_rd : STD_LOGIC := '0'; + SIGNAL rst_int_wr : STD_LOGIC := '0'; + SIGNAL rst_s_wr1 : STD_LOGIC := '0'; + SIGNAL rst_s_wr2 : STD_LOGIC := '0'; + SIGNAL rst_gen_rd : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); + SIGNAL rst_s_wr3 : STD_LOGIC := '0'; + SIGNAL rst_s_rd : STD_LOGIC := '0'; + SIGNAL reset_en : STD_LOGIC := '0'; + SIGNAL rst_async_wr1 : STD_LOGIC := '0'; + SIGNAL rst_async_wr2 : STD_LOGIC := '0'; + SIGNAL rst_async_wr3 : STD_LOGIC := '0'; + SIGNAL rst_async_rd1 : STD_LOGIC := '0'; + SIGNAL rst_async_rd2 : STD_LOGIC := '0'; + SIGNAL rst_async_rd3 : STD_LOGIC := '0'; + + + BEGIN + + ---- Reset generation logic ----- + rst_int_wr <= rst_async_wr3 OR rst_s_wr3; + rst_int_rd <= rst_async_rd3 OR rst_s_rd; + + --Testbench reset synchronization + PROCESS(rd_clk_i,RESET) + BEGIN + IF(RESET = '1') THEN + rst_async_rd1 <= '1'; + rst_async_rd2 <= '1'; + rst_async_rd3 <= '1'; + ELSIF(rd_clk_i'event AND rd_clk_i='1') THEN + rst_async_rd1 <= RESET; + rst_async_rd2 <= rst_async_rd1; + rst_async_rd3 <= rst_async_rd2; + END IF; + END PROCESS; + + PROCESS(wr_clk_i,RESET) + BEGIN + IF(RESET = '1') THEN + rst_async_wr1 <= '1'; + rst_async_wr2 <= '1'; + rst_async_wr3 <= '1'; + ELSIF(wr_clk_i'event AND wr_clk_i='1') THEN + rst_async_wr1 <= RESET; + rst_async_wr2 <= rst_async_wr1; + rst_async_wr3 <= rst_async_wr2; + END IF; + END PROCESS; + + --Soft reset for core and testbench + PROCESS(rd_clk_i) + BEGIN + IF(rd_clk_i'event AND rd_clk_i='1') THEN + rst_gen_rd <= rst_gen_rd + "1"; + IF(reset_en = '1' AND AND_REDUCE(rst_gen_rd) = '1') THEN + rst_s_rd <= '1'; + assert false + report "Reset applied..Memory Collision checks are not valid" + severity note; + ELSE + IF(AND_REDUCE(rst_gen_rd) = '1' AND rst_s_rd = '1') THEN + rst_s_rd <= '0'; + END IF; + END IF; + END IF; + END PROCESS; + + PROCESS(wr_clk_i) + BEGIN + IF(wr_clk_i'event AND wr_clk_i='1') THEN + rst_s_wr1 <= rst_s_rd; + rst_s_wr2 <= rst_s_wr1; + rst_s_wr3 <= rst_s_wr2; + IF(rst_s_wr3 = '1' AND rst_s_wr2 = '0') THEN + assert false + report "Reset removed..Memory Collision checks are valid" + severity note; + END IF; + END IF; + END PROCESS; + ------------------ + + ---- Clock buffers for testbench ---- + wr_clk_i <= WR_CLK; + rd_clk_i <= RD_CLK; + ------------------ + + rst <= RESET OR rst_s_rd AFTER 12 ns; + din <= wr_data; + dout_i <= dout; + wr_en <= wr_en_i; + rd_en <= rd_en_i; + full_i <= full; + empty_i <= empty; + + fg_dg_nv: fifo_4k_2clk_dgen + GENERIC MAP ( + C_DIN_WIDTH => 72, + C_DOUT_WIDTH => 72, + TB_SEED => TB_SEED, + C_CH_TYPE => 0 + ) + PORT MAP ( -- Write Port + RESET => rst_int_wr, + WR_CLK => wr_clk_i, + PRC_WR_EN => prc_we_i, + FULL => full_i, + WR_EN => wr_en_i, + WR_DATA => wr_data + ); + + fg_dv_nv: fifo_4k_2clk_dverif + GENERIC MAP ( + C_DOUT_WIDTH => 72, + C_DIN_WIDTH => 72, + C_USE_EMBEDDED_REG => 0, + TB_SEED => TB_SEED, + C_CH_TYPE => 0 + ) + PORT MAP( + RESET => rst_int_rd, + RD_CLK => rd_clk_i, + PRC_RD_EN => prc_re_i, + RD_EN => rd_en_i, + EMPTY => empty_i, + DATA_OUT => dout_i, + DOUT_CHK => dout_chk_i + ); + + fg_pc_nv: fifo_4k_2clk_pctrl + GENERIC MAP ( + AXI_CHANNEL => "Native", + C_APPLICATION_TYPE => 0, + C_DOUT_WIDTH => 72, + C_DIN_WIDTH => 72, + C_WR_PNTR_WIDTH => 9, + C_RD_PNTR_WIDTH => 9, + C_CH_TYPE => 0, + FREEZEON_ERROR => FREEZEON_ERROR, + TB_SEED => TB_SEED, + TB_STOP_CNT => TB_STOP_CNT + ) + PORT MAP( + RESET_WR => rst_int_wr, + RESET_RD => rst_int_rd, + RESET_EN => reset_en, + WR_CLK => wr_clk_i, + RD_CLK => rd_clk_i, + PRC_WR_EN => prc_we_i, + PRC_RD_EN => prc_re_i, + FULL => full_i, + ALMOST_FULL => almost_full_i, + ALMOST_EMPTY => almost_empty_i, + DOUT_CHK => dout_chk_i, + EMPTY => empty_i, + DATA_IN => wr_data, + DATA_OUT => dout, + SIM_DONE => SIM_DONE, + STATUS => STATUS + ); + + + + + + fifo_4k_2clk_inst : fifo_4k_2clk_exdes + PORT MAP ( + WR_CLK => wr_clk_i, + RD_CLK => rd_clk_i, + WR_DATA_COUNT => wr_data_count, + RD_DATA_COUNT => rd_data_count, + RST => rst, + WR_EN => wr_en, + RD_EN => rd_en, + DIN => din, + DOUT => dout, + FULL => full, + EMPTY => empty); + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd new file mode 100755 index 000000000..51d699e21 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd @@ -0,0 +1,208 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_tb.vhd +-- +-- Description: +-- This is the demo testbench top file for fifo_generator core. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +LIBRARY std; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.ALL; +USE IEEE.std_logic_arith.ALL; +USE IEEE.std_logic_misc.ALL; +USE ieee.numeric_std.ALL; +USE ieee.std_logic_textio.ALL; +USE std.textio.ALL; + +LIBRARY work; +USE work.fifo_4k_2clk_pkg.ALL; + +ENTITY fifo_4k_2clk_tb IS +END ENTITY; + + +ARCHITECTURE fifo_4k_2clk_arch OF fifo_4k_2clk_tb IS + SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000"; + SIGNAL wr_clk : STD_LOGIC; + SIGNAL rd_clk : STD_LOGIC; + SIGNAL reset : STD_LOGIC; + SIGNAL sim_done : STD_LOGIC := '0'; + SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); + -- Write and Read clock periods + CONSTANT wr_clk_period_by_2 : TIME := 200 ns; + CONSTANT rd_clk_period_by_2 : TIME := 100 ns; + -- Procedures to display strings + PROCEDURE disp_str(CONSTANT str:IN STRING) IS + variable dp_l : line := null; + BEGIN + write(dp_l,str); + writeline(output,dp_l); + END PROCEDURE; + + PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS + variable dp_lx : line := null; + BEGIN + hwrite(dp_lx,hex); + writeline(output,dp_lx); + END PROCEDURE; + +BEGIN + + -- Generation of clock + + PROCESS BEGIN + WAIT FOR 400 ns; -- Wait for global reset + WHILE 1 = 1 LOOP + wr_clk <= '0'; + WAIT FOR wr_clk_period_by_2; + wr_clk <= '1'; + WAIT FOR wr_clk_period_by_2; + END LOOP; + END PROCESS; + + PROCESS BEGIN + WAIT FOR 200 ns;-- Wait for global reset + WHILE 1 = 1 LOOP + rd_clk <= '0'; + WAIT FOR rd_clk_period_by_2; + rd_clk <= '1'; + WAIT FOR rd_clk_period_by_2; + END LOOP; + END PROCESS; + + -- Generation of Reset + + PROCESS BEGIN + reset <= '1'; + WAIT FOR 4200 ns; + reset <= '0'; + WAIT; + END PROCESS; + + + -- Error message printing based on STATUS signal from fifo_4k_2clk_synth + + PROCESS(status) + BEGIN + IF(status /= "0" AND status /= "1") THEN + disp_str("STATUS:"); + disp_hex(status); + END IF; + + IF(status(7) = '1') THEN + assert false + report "Data mismatch found" + severity error; + END IF; + + IF(status(1) = '1') THEN + END IF; + + IF(status(5) = '1') THEN + assert false + report "Empty flag Mismatch/timeout" + severity error; + END IF; + + IF(status(6) = '1') THEN + assert false + report "Full Flag Mismatch/timeout" + severity error; + END IF; + END PROCESS; + + + PROCESS + BEGIN + wait until sim_done = '1'; + IF(status /= "0" AND status /= "1") THEN + assert false + report "Simulation failed" + severity failure; + ELSE + assert false + report "Test Completed Successfully" + severity failure; + END IF; + END PROCESS; + + PROCESS + BEGIN + wait for 400 ms; + assert false + report "Test bench timed out" + severity failure; + END PROCESS; + + -- Instance of fifo_4k_2clk_synth + + fifo_4k_2clk_synth_inst:fifo_4k_2clk_synth + GENERIC MAP( + FREEZEON_ERROR => 0, + TB_STOP_CNT => 2, + TB_SEED => 76 + ) + PORT MAP( + WR_CLK => wr_clk, + RD_CLK => rd_clk, + RESET => reset, + SIM_DONE => sim_done, + STATUS => status + ); + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.bat new file mode 100755 index 000000000..3d0783055 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.bat @@ -0,0 +1,63 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ..\\..\\..\\fifo_4k_2clk.v +vhpcomp -work work ..\\..\\example_design\\fifo_4k_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vhpcomp -work work ..\\fifo_4k_2clk_pkg.vhd +vhpcomp -work work ..\\fifo_4k_2clk_rng.vhd +vhpcomp -work work ..\\fifo_4k_2clk_dgen.vhd +vhpcomp -work work ..\\fifo_4k_2clk_dverif.vhd +vhpcomp -work work ..\\fifo_4k_2clk_pctrl.vhd +vhpcomp -work work ..\\fifo_4k_2clk_synth.vhd +vhpcomp -work work ..\\fifo_4k_2clk_tb.vhd + +vlogcomp -work work $XILINX\\verilog\\src\\glbl.v +fuse work.fifo_4k_2clk_tb work.glbl -L xilinxcorelib_ver -L unisims_ver -o fifo_4k_2clk_tb.exe + +.\\fifo_4k_2clk_tb.exe -gui -tclbatch .\\wave_isim.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.sh new file mode 100755 index 000000000..c3abd5a51 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.sh @@ -0,0 +1,65 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ../../../fifo_4k_2clk.v +vhpcomp -work work ../../example_design/fifo_4k_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vhpcomp -work work ../fifo_4k_2clk_pkg.vhd +vhpcomp -work work ../fifo_4k_2clk_rng.vhd +vhpcomp -work work ../fifo_4k_2clk_dgen.vhd +vhpcomp -work work ../fifo_4k_2clk_dverif.vhd +vhpcomp -work work ../fifo_4k_2clk_pctrl.vhd +vhpcomp -work work ../fifo_4k_2clk_synth.vhd +vhpcomp -work work ../fifo_4k_2clk_tb.vhd + +vlogcomp -work work $XILINX/verilog/src/glbl.v +fuse work.fifo_4k_2clk_tb work.glbl -L xilinxcorelib_ver -L unisims_ver -o fifo_4k_2clk_tb.exe + +./fifo_4k_2clk_tb.exe -gui -tclbatch ./wave_isim.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.bat new file mode 100755 index 000000000..35375ce20 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.bat @@ -0,0 +1,47 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.do b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.do new file mode 100755 index 000000000..af53abefb --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.do @@ -0,0 +1,74 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vlib work +vmap work work + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlog -work work ../../../fifo_4k_2clk.v +vcom -work work ../../example_design/fifo_4k_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vcom -work work ../fifo_4k_2clk_pkg.vhd +vcom -work work ../fifo_4k_2clk_rng.vhd +vcom -work work ../fifo_4k_2clk_dgen.vhd +vcom -work work ../fifo_4k_2clk_dverif.vhd +vcom -work work ../fifo_4k_2clk_pctrl.vhd +vcom -work work ../fifo_4k_2clk_synth.vhd +vcom -work work ../fifo_4k_2clk_tb.vhd + +vlog -work work $env(XILINX)/verilog/src/glbl.v +vsim -t ps -voptargs="+acc" -L XilinxCoreLib_ver -L unisims_ver glbl work.fifo_4k_2clk_tb + +add log -r /* +do wave_mti.do +#Ignore integer warnings at time 0 +set StdArithNoWarnings 1 +run 0 +set StdArithNoWarnings 0 + +run -all diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.sh new file mode 100755 index 000000000..edb1b0dd9 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.sh @@ -0,0 +1,49 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_ncsim.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_ncsim.sh new file mode 100755 index 000000000..0d3376452 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_ncsim.sh @@ -0,0 +1,69 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +mkdir work + +echo "Compiling Core Verilog UNISIM/Behavioral model" +ncvlog -work work ../../../fifo_4k_2clk.v +ncvhdl -v93 -work work ../../example_design/fifo_4k_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +ncvhdl -v93 -work work ../fifo_4k_2clk_pkg.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_rng.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_dgen.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_dverif.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_pctrl.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_synth.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_tb.vhd + +echo "Elaborating Design" +ncvlog -work work $XILINX/verilog/src/glbl.v +ncelab -access +rwc glbl work.fifo_4k_2clk_tb + +echo "Simulating Design" +ncsim -gui -input @"simvision -input wave_ncsim.sv" work.fifo_4k_2clk_tb + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_vcs.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_vcs.sh new file mode 100755 index 000000000..8c26af20c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_vcs.sh @@ -0,0 +1,69 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +rm -rf simv* csrc DVEfiles AN.DB + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogan +v2k ../../../fifo_4k_2clk.v +vhdlan ../../example_design/fifo_4k_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vhdlan ../fifo_4k_2clk_pkg.vhd +vhdlan ../fifo_4k_2clk_rng.vhd +vhdlan ../fifo_4k_2clk_dgen.vhd +vhdlan ../fifo_4k_2clk_dverif.vhd +vhdlan ../fifo_4k_2clk_pctrl.vhd +vhdlan ../fifo_4k_2clk_synth.vhd +vhdlan ../fifo_4k_2clk_tb.vhd + +echo "Elaborating Design" +vlogan +v2k $XILINX/verilog/src/glbl.v +vcs -time_res 1ps +vcs+lic+wait -debug fifo_4k_2clk_tb glbl + +echo "Simulating Design" +./simv -ucli -i ucli_commands.key +dve -session vcs_session.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/ucli_commands.key b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/ucli_commands.key new file mode 100755 index 000000000..9c95a2ade --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/ucli_commands.key @@ -0,0 +1,4 @@ +dump -file fifo_4k_2clk.vpd -type VPD +dump -add fifo_4k_2clk_tb +run +quit diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/vcs_session.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/vcs_session.tcl new file mode 100755 index 000000000..b9ef9837a --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/vcs_session.tcl @@ -0,0 +1,77 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: vcs_session.tcl +# +# Description: +# This is the VCS wave form file. +# +#-------------------------------------------------------------------------------- +if { ![gui_is_db_opened -db {fifo_4k_2clk.vpd}] } { + gui_open_db -design V1 -file fifo_4k_2clk.vpd -nosource +} +gui_set_precision 1ps +gui_set_time_units 1ps + + +gui_open_window Wave +gui_sg_create fifo_4k_2clk_Group +gui_list_add_group -id Wave.1 {fifo_4k_2clk_Group} + +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +gui_sg_addsignal -group fifo_4k_2clk_Group WRITE -divider +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +gui_sg_addsignal -group fifo_4k_2clk_Group READ -divider +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +gui_zoom -window Wave.1 -full diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_isim.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_isim.tcl new file mode 100755 index 000000000..10be1a965 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_isim.tcl @@ -0,0 +1,68 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_isim.tcl +# +# Description: +# This is the ISIM wave form file. +# +#-------------------------------------------------------------------------------- +wcfg new +isim set radix hex +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +run all +quit + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_mti.do b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_mti.do new file mode 100755 index 000000000..adc4cb8d7 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_mti.do @@ -0,0 +1,88 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +# Filename: wave_mti.do +# +# Description: +# This is the modelsim wave form file. +# +#-------------------------------------------------------------------------------- + +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +add wave -noupdate -divider WRITE +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +add wave -noupdate -radix hexadecimal /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/DIN +add wave -noupdate -divider READ +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +add wave -noupdate -radix hexadecimal /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/DOUT + +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {2164886 ps} 0} +configure wave -namecolwidth 197 +configure wave -valuecolwidth 106 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {0 ps} {9464063 ps} diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_ncsim.sv b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_ncsim.sv new file mode 100755 index 000000000..51819bad9 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_ncsim.sv @@ -0,0 +1,70 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_ncsim.sv +# +# Description: +# This is the IUS wave form file. +# +#-------------------------------------------------------------------------------- + +window new WaveWindow -name "Waves for FIFO Generator Example Design" +waveform using "Waves for FIFO Generator Example Design" + +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +waveform add -label WRITE +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +waveform add -label READ +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +console submit -using simulator -wait no "run" diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.bat new file mode 100755 index 000000000..a6f8ce91f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.bat @@ -0,0 +1,61 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ..\\..\\implement\\results\\routed.v + +echo "Compiling Test Bench Files" +vhpcomp -work work ..\\fifo_4k_2clk_pkg.vhd +vhpcomp -work work ..\\fifo_4k_2clk_rng.vhd +vhpcomp -work work ..\\fifo_4k_2clk_dgen.vhd +vhpcomp -work work ..\\fifo_4k_2clk_dverif.vhd +vhpcomp -work work ..\\fifo_4k_2clk_pctrl.vhd +vhpcomp -work work ..\\fifo_4k_2clk_synth.vhd +vhpcomp -work work ..\\fifo_4k_2clk_tb.vhd + +fuse work.fifo_4k_2clk_tb work.glbl -L simprims_ver -o fifo_4k_2clk_tb.exe + +.\\fifo_4k_2clk_tb.exe -sdfmax /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst=..\\..\\implement\\results\\routed.sdf -gui -tclbatch .\\wave_isim.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.sh new file mode 100755 index 000000000..f5ad36b7e --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.sh @@ -0,0 +1,63 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +vhpcomp -work work ../fifo_4k_2clk_pkg.vhd +vhpcomp -work work ../fifo_4k_2clk_rng.vhd +vhpcomp -work work ../fifo_4k_2clk_dgen.vhd +vhpcomp -work work ../fifo_4k_2clk_dverif.vhd +vhpcomp -work work ../fifo_4k_2clk_pctrl.vhd +vhpcomp -work work ../fifo_4k_2clk_synth.vhd +vhpcomp -work work ../fifo_4k_2clk_tb.vhd + +fuse work.fifo_4k_2clk_tb work.glbl -L simprims_ver -o fifo_4k_2clk_tb.exe + +./fifo_4k_2clk_tb.exe -sdfmax /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst=../../implement/results/routed.sdf -gui -tclbatch ./wave_isim.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.bat new file mode 100755 index 000000000..35375ce20 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.bat @@ -0,0 +1,47 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.do b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.do new file mode 100755 index 000000000..74e930a13 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.do @@ -0,0 +1,72 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vlib work +vmap work work + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlog -work work ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +vcom -work work ../fifo_4k_2clk_pkg.vhd +vcom -work work ../fifo_4k_2clk_rng.vhd +vcom -work work ../fifo_4k_2clk_dgen.vhd +vcom -work work ../fifo_4k_2clk_dverif.vhd +vcom -work work ../fifo_4k_2clk_pctrl.vhd +vcom -work work ../fifo_4k_2clk_synth.vhd +vcom -work work ../fifo_4k_2clk_tb.vhd + +vsim -t ps -voptargs="+acc" +transport_int_delays -L simprims_ver glbl -sdfmax /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst=../../implement/results/routed.sdf work.fifo_4k_2clk_tb + +add log -r /* +do wave_mti.do +#Ignore integer warnings at time 0 +set StdArithNoWarnings 1 +run 0 +set StdArithNoWarnings 0 + +run -all diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.sh new file mode 100755 index 000000000..edb1b0dd9 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.sh @@ -0,0 +1,49 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_ncsim.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_ncsim.sh new file mode 100755 index 000000000..a1967adcf --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_ncsim.sh @@ -0,0 +1,73 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +mkdir work +echo "Compiling Core Verilog UNISIM/Behavioral model" +ncvlog -work work ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +ncvhdl -v93 -work work ../fifo_4k_2clk_pkg.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_rng.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_dgen.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_dverif.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_pctrl.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_synth.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_tb.vhd + +echo "Compiling SDF file" +ncsdfc ../../implement/results/routed.sdf -output ./routed.sdf.X + +echo "Generating SDF command file" +echo 'COMPILED_SDF_FILE = "routed.sdf.X",' > sdf.cmd +echo 'SCOPE = :fifo_4k_2clk_synth_inst:fifo_4k_2clk_inst,' >> sdf.cmd +echo 'MTM_CONTROL = "MAXIMUM";' >> sdf.cmd + +echo "Elaborating Design" +ncelab -access +rwc glbl -sdf_cmd_file sdf.cmd work.fifo_4k_2clk_tb + +echo "Simulating Design" +ncsim -gui -input @"simvision -input wave_ncsim.sv" work.fifo_4k_2clk_tb diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_vcs.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_vcs.sh new file mode 100755 index 000000000..f62f540be --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_vcs.sh @@ -0,0 +1,67 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +rm -rf simv* csrc DVEfiles AN.DB + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogan +v2k ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +vhdlan ../fifo_4k_2clk_pkg.vhd +vhdlan ../fifo_4k_2clk_rng.vhd +vhdlan ../fifo_4k_2clk_dgen.vhd +vhdlan ../fifo_4k_2clk_dverif.vhd +vhdlan ../fifo_4k_2clk_pctrl.vhd +vhdlan ../fifo_4k_2clk_synth.vhd +vhdlan ../fifo_4k_2clk_tb.vhd + +echo "Elaborating Design" +vcs -time_res 1ps +neg_tchk -sdf max:/fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst:../../implement/results/routed.sdf +vcs+lic+wait -debug fifo_4k_2clk_tb glbl + +echo "Simulating Design" +./simv -ucli -i ucli_commands.key +dve -session vcs_session.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/ucli_commands.key b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/ucli_commands.key new file mode 100755 index 000000000..9c95a2ade --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/ucli_commands.key @@ -0,0 +1,4 @@ +dump -file fifo_4k_2clk.vpd -type VPD +dump -add fifo_4k_2clk_tb +run +quit diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/vcs_session.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/vcs_session.tcl new file mode 100755 index 000000000..28ebc1163 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/vcs_session.tcl @@ -0,0 +1,76 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: vcs_session.tcl +# +# Description: +# This is the VCS wave form file. +# +#-------------------------------------------------------------------------------- +if { ![gui_is_db_opened -db {fifo_4k_2clk.vpd}] } { + gui_open_db -design V1 -file fifo_4k_2clk.vpd -nosource +} +gui_set_precision 1ps +gui_set_time_units 1ps + +gui_open_window Wave +gui_sg_create fifo_4k_2clk_Group +gui_list_add_group -id Wave.1 {fifo_4k_2clk_Group} + +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +gui_sg_addsignal -group fifo_4k_2clk_Group WRITE -divider +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +gui_sg_addsignal -group fifo_4k_2clk_Group READ -divider +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +gui_zoom -window Wave.1 -full diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_isim.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_isim.tcl new file mode 100755 index 000000000..10be1a965 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_isim.tcl @@ -0,0 +1,68 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_isim.tcl +# +# Description: +# This is the ISIM wave form file. +# +#-------------------------------------------------------------------------------- +wcfg new +isim set radix hex +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +run all +quit + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_mti.do b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_mti.do new file mode 100755 index 000000000..adc4cb8d7 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_mti.do @@ -0,0 +1,88 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +# Filename: wave_mti.do +# +# Description: +# This is the modelsim wave form file. +# +#-------------------------------------------------------------------------------- + +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +add wave -noupdate -divider WRITE +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +add wave -noupdate -radix hexadecimal /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/DIN +add wave -noupdate -divider READ +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +add wave -noupdate -radix hexadecimal /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/DOUT + +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {2164886 ps} 0} +configure wave -namecolwidth 197 +configure wave -valuecolwidth 106 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {0 ps} {9464063 ps} diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_ncsim.sv b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_ncsim.sv new file mode 100755 index 000000000..51819bad9 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_ncsim.sv @@ -0,0 +1,70 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_ncsim.sv +# +# Description: +# This is the IUS wave form file. +# +#-------------------------------------------------------------------------------- + +window new WaveWindow -name "Waves for FIFO Generator Example Design" +waveform using "Waves for FIFO Generator Example Design" + +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +waveform add -label WRITE +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +waveform add -label READ +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +console submit -using simulator -wait no "run" diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk_flist.txt b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk_flist.txt new file mode 100644 index 000000000..a0152ac70 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk_flist.txt @@ -0,0 +1,57 @@ +# Output products list for +_xmsgs/pn_parser.xmsgs +fifo_4k_2clk/doc/fifo_generator_v9_3_readme.txt +fifo_4k_2clk/doc/fifo_generator_v9_3_vinfo.html +fifo_4k_2clk/doc/pg057-fifo-generator.pdf +fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf +fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd +fifo_4k_2clk/fifo_generator_v9_3_readme.txt +fifo_4k_2clk/implement/implement.bat +fifo_4k_2clk/implement/implement.sh +fifo_4k_2clk/implement/implement_synplify.bat +fifo_4k_2clk/implement/implement_synplify.sh +fifo_4k_2clk/implement/planAhead_ise.bat +fifo_4k_2clk/implement/planAhead_ise.sh +fifo_4k_2clk/implement/planAhead_ise.tcl +fifo_4k_2clk/implement/xst.prj +fifo_4k_2clk/implement/xst.scr +fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd +fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd +fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd +fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd +fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd +fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd +fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd +fifo_4k_2clk/simulation/functional/simulate_isim.bat +fifo_4k_2clk/simulation/functional/simulate_isim.sh +fifo_4k_2clk/simulation/functional/simulate_mti.bat +fifo_4k_2clk/simulation/functional/simulate_mti.do +fifo_4k_2clk/simulation/functional/simulate_mti.sh +fifo_4k_2clk/simulation/functional/simulate_ncsim.sh +fifo_4k_2clk/simulation/functional/simulate_vcs.sh +fifo_4k_2clk/simulation/functional/ucli_commands.key +fifo_4k_2clk/simulation/functional/vcs_session.tcl +fifo_4k_2clk/simulation/functional/wave_isim.tcl +fifo_4k_2clk/simulation/functional/wave_mti.do +fifo_4k_2clk/simulation/functional/wave_ncsim.sv +fifo_4k_2clk/simulation/timing/simulate_isim.bat +fifo_4k_2clk/simulation/timing/simulate_isim.sh +fifo_4k_2clk/simulation/timing/simulate_mti.bat +fifo_4k_2clk/simulation/timing/simulate_mti.do +fifo_4k_2clk/simulation/timing/simulate_mti.sh +fifo_4k_2clk/simulation/timing/simulate_ncsim.sh +fifo_4k_2clk/simulation/timing/simulate_vcs.sh +fifo_4k_2clk/simulation/timing/ucli_commands.key +fifo_4k_2clk/simulation/timing/vcs_session.tcl +fifo_4k_2clk/simulation/timing/wave_isim.tcl +fifo_4k_2clk/simulation/timing/wave_mti.do +fifo_4k_2clk/simulation/timing/wave_ncsim.sv +fifo_4k_2clk.asy +fifo_4k_2clk.gise +fifo_4k_2clk.ngc +fifo_4k_2clk.v +fifo_4k_2clk.veo +fifo_4k_2clk.xco +fifo_4k_2clk.xise +fifo_4k_2clk_flist.txt +fifo_4k_2clk_xmdf.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk_xmdf.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk_xmdf.tcl new file mode 100644 index 000000000..bdc5c355d --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk_xmdf.tcl @@ -0,0 +1,251 @@ +# The package naming convention is _xmdf +package provide fifo_4k_2clk_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::fifo_4k_2clk_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::fifo_4k_2clk_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name fifo_4k_2clk +} +# ::fifo_4k_2clk_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::fifo_4k_2clk_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/doc/fifo_generator_v9_3_readme.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/doc/fifo_generator_v9_3_vinfo.html +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/doc/pg057-fifo-generator.pdf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/fifo_generator_v9_3_readme.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/implement.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/implement.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/implement_synplify.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/implement_synplify.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/planAhead_ise.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/planAhead_ise.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/planAhead_ise.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/xst.prj +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/xst.scr +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_isim.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_isim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_mti.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_mti.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_ncsim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_vcs.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/ucli_commands.key +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/vcs_session.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/wave_isim.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/wave_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/wave_ncsim.sv +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_isim.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_isim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_mti.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_mti.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_ncsim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_vcs.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/ucli_commands.key +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/vcs_session.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/wave_isim.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/wave_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/wave_ncsim.sv +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module fifo_4k_2clk +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.asy b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.asy new file mode 100644 index 000000000..1c03599f3 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.asy @@ -0,0 +1,49 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 fifo_short_2clk +RECTANGLE Normal 32 32 800 4064 +LINE Normal 0 112 32 112 +PIN 0 112 LEFT 36 +PINATTR PinName rst +PINATTR Polarity IN +LINE Normal 0 208 32 208 +PIN 0 208 LEFT 36 +PINATTR PinName wr_clk +PINATTR Polarity IN +LINE Wide 0 240 32 240 +PIN 0 240 LEFT 36 +PINATTR PinName din[71:0] +PINATTR Polarity IN +LINE Normal 0 272 32 272 +PIN 0 272 LEFT 36 +PINATTR PinName wr_en +PINATTR Polarity IN +LINE Normal 0 464 32 464 +PIN 0 464 LEFT 36 +PINATTR PinName full +PINATTR Polarity OUT +LINE Wide 0 624 32 624 +PIN 0 624 LEFT 36 +PINATTR PinName wr_data_count[5:0] +PINATTR Polarity OUT +LINE Normal 832 240 800 240 +PIN 832 240 RIGHT 36 +PINATTR PinName rd_clk +PINATTR Polarity IN +LINE Wide 832 272 800 272 +PIN 832 272 RIGHT 36 +PINATTR PinName dout[71:0] +PINATTR Polarity OUT +LINE Normal 832 304 800 304 +PIN 832 304 RIGHT 36 +PINATTR PinName rd_en +PINATTR Polarity IN +LINE Normal 832 496 800 496 +PIN 832 496 RIGHT 36 +PINATTR PinName empty +PINATTR Polarity OUT +LINE Wide 832 656 800 656 +PIN 832 656 RIGHT 36 +PINATTR PinName rd_data_count[5:0] +PINATTR Polarity OUT + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.ncf b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.ncf new file mode 100644 index 000000000..e69de29bb diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.ngc b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.ngc new file mode 100644 index 000000000..6d6ba3499 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$5a044<,[o}e~g`n;"2*73>(-80!?012355=78123<=6?892;<56682:34=>7092;4=6?09;;7=6789:;<=6789:;<<5>8:3305668=;0>95=32124>4=AGZ^X7JFA=394;773;0BB][[:EKA84<768:0>7GAPTV9@LE;93:5==5=:HLSQQ1:09KPRW]]0OCL2>:1<25>4=G\^[YY4KOC>2>5869281CXZ_UU8GKF:6294:=6<5OTVSQQ;8=:?54234567991>9LO69746=D0>I???78;K5028123A?OLM<<>:147657=22@D[YY4NDEPB81<7688097GAPTV9EABUJ5>1<3?=;48JJUSS2HNO^N2;:1<26>3=AGZ^X7OKDSF?0?69991>M;ON5876100FIH3:7;:4681@<>0>>?32:4:468;55g=12F__\XZ5re]geqgXkfex1;50?3a?312:4B0>0FIH20:NMLCBA@a>0tdq=>o~i;85/05>16:2=96D@_UU8gmk:093:5=9582;MVPUSS2me~x19>:1<24>1>MOLMJK691123457731:;<=>?0123456788:04=>7812;<56?812;==570521446709>;>=?;;90BE46<0?;;<=>?01234567<22N4L?4999:456780:?74>?9028=56>89:;<=>?912:0>?4>J805;??;84523011?<=:;86999:23?11070920M886687B6>G1<2KJ:L64A@CB230F02KOH_O30?:8EABUI5;546OKDSC?6;>18:CG@WD;9720MIJ]B=0=<>GCL[H7?3o4AEFQF92=8720MIJ]B=6=<>GCL[I7<364AEFQG97902KOH_M32?:8EABUK595m6OKDSA?0?6902KOH_M34?:8EABUL5:546OKDSF?5;>GBIHK9ML74AR[MGZTBO;1I<55M4D3;37B?3K_XSD@IO09@<>E1KJIHON<4CD31?FNBKBUGENKASD]W]UC33JF@<;5LLJ3;43=DDB8<<85LLJ0[<>EKC;R:4=;4CMI:40=DDBK:;6MCK@3G62=DDBK:H994CMIB5A>23JF@N<;4CMI@50=DDBN:96MCKET`?FJLL_UOE[GKE49@HN@_02IGGKV>8118GIT>3JEFADZ[EE37?FIUMVMNBH\NTHMM[LHAG>1H^HO[EE38@7=CN?1OEL2?>99GMD:6294=7IGN<0<5?AOE49437IGM<083:3=CAK6:2;5KIB>3:==CAJ6:6=09;EK@84813MCO0=07;EKG84<76?1OEI2>>79GKD:7611OCL2>:1<5?AIF484=7IAM<1<;?AIE480;2;5KOC>2:3=CGJ6;255KOB>2>5813MEH0<09;EMG858?3MEO0<4?>79GKA:6681N86KL8133?@@BN1<<5:776022446F2523457:2LO?6HKC59E@FC43ON[86HKPD68B@@A92M87J@K1:K1?L653@;97D<=;H11?L253@?27D@FTRVBP@0JR\;>0@XZ<4:NVP1286BZT778IVAHF<1FYUH8c:ObnjtQm{ybccm4MhllvScu{`ee46C}al]nah5H69:1E=?=4N010?K72;2D::?5A639M<7=I1>1EIYY@RJ38Kf=W&;::?<>>3^N7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD;8TNYOD\^EA>5_RD48TVBHFL;0];5]AL11I4=T981XD_KH_DZWVDESWGMHi6]GRDE\ILHX[@PN:6]@USAF2>USI]_X86Z]UD68P\VB>o1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv Mymq[WBXXG^YW=SPRE]SJQT\9TUSC_Q>8^m\|j:768 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.O{kwYULVZEX_U>]^PG[UHSZR8VSUA]_05\kZ~h494::k5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,I}iuW[NT\CZ][3_\VAYWF]XP?PQWOS]22ZiXpf6;2<8i;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*Kg{UYHR^ATSY0YZTCWYD_^V:R_YMQ[43XgVrd0=0>6d9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(EqeySZ\PPOVQ_5[X_[U[BY\T1\][KWY3WfUsc1>117g8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'Drd~RY]_QLWV^7ZW^XT\CZ][3_\\JTX;VeTtb2?>04f?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&GscQXR^RMPW]5UV]YS]@[RZ1^[]IUW;UdSua30?35a>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%Ftb|PWS]SJQT\;TU\^R^ATSY7YZ^HZV;TcRv`<1<226=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$^IQ_NUPX4XYULVZEX_U>]^ZLVZ7?Wf<87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.PG[UHSZR;VS_JPPOVQ_7[XPFXT=:Q`629V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ZMU[BY\T2\]Q@ZVI\[Q8QRV@R^35[j043\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"\K_QLWV^5ZW[NT\CZ][5_\\JTX9PQWOS]0[j053\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"Y]_QLWV^4ZW^XT\CZ][2_\\JTX:Ve=>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-TVZVI\[Q8QRY]_QLWV^2ZWQEYS1:32<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS8W%~iQ|tf]jjvr(L595:95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT1\,q`ZusoVcey!K<5<53>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]6U'xoS~zh_hlpp*WXzlmT<;94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[0_-vaYt|nUbb~z Q^pfcZ71?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ:Q#|k_rvd[lht|&[T~hiP2758Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_4[)zmUxxjQfnrv,UZtboV9=;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU>]/pg[vr`W`dxx"_Prde\032<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS8W%{Q|tf]jjvr(L5:5:95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT1\,tvZusoVcey!K<0<50>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]6U'}yS~zh_hlpp*B;:7 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV?R.vp\wqaXagy#I2<>768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_4[){UxxjQfnrv,@929>>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP=P xr^qwcZoi{}%ZSkh_144?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^7Z&~xTyiPioqw+TYumnU:::5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT1\,tvZusoVcey!^_sgd[7003\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR;V"z|Psue\mkus'XUyijQ<669V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX5X(pzVykRgasu-R[wc`W= xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[)zmUxxjQfnrv,@979>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P }d^qwcZoi{}%O0?094:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+tcWz~lSd`|t.F?7;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"jPsue\mkus'M6?2;64U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-vaYt|nUbb~z Q^pfc969>11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P }d^qwcZoi{}%ZSkh<0<5<>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'xoS~zh_hlpp*WXzlm7>387;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ0^*wbX{}mTec}{/P]qab:46?20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!re]ppbYnfz~$]R|jg=6=22=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$yhR}{g^kmwq)VW{olS=88;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ0^*wbX{}mTec}{/P]qabY6>>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P }d^qwcZoi{}%ZSkh_344?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^4Z&{nTyiPioqw+TYumnU8::5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT2\,q`ZusoVcey!^_sgd[1033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"z|Psue\mkus'M6;2;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-swYt|nUbb~z D=3=21=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$|~R}{g^kmwq)C4;4=86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/uq[vr`W`dxx"J33?47?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^4Z&~xTyiPioqw+A:36?20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!ws]ppbYnfz~$]R|jg=2=2==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$|~R}{g^kmwq)VW{ol0<098:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+quWz~lSd`|t.S\v`a;:7<37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV0:3><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%{Q|tf]jjvr(YVxnk1:1669V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX6X(pzVykRgasu-R[wc`W9<<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P xr^qwcZoi{}%ZSkh_570?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&xoS}`{r^`jj969=:1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv re]sjqtXj`d7=3;<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*tcWyd~Rlfn=0=16=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\flh;;7?87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVhbb1:1539V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pbhl\404<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[goiW8?97X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVhbbR<:2:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ulVzexQmio]017=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\flhX<<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniT<8?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+wbXxg~ySjmP1438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_fa\607<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[beX;<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniT8;j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+wbXxg~ySjmParpfcZjf{}Q?QR|jdtaf[}iuW88Tc;k4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+wbXxg~ySjmParpfcZjf{}Q?QR|jdtaf[}iuW88Tc<8k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*tcWyd~Ril_`qqabYkiz~P8PQ}eew`aZ~hzV;8Sb8j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*tcWyd~Ril_`qqabYkiz~P8PQ}eew`aZ~hzV;8Sb?83:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ulVzexQhc^cpv`aXdhyW9SPrdfvg`Yg{U:8?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-tvZvi|{Uiec2?>418Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_ckm8482;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!xr^rmpwYeag6928=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySoga<2<67>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]amk:36<80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWkceS=;=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Rlfn^366>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]amkY5=;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXj`dT?8<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySoga_572?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&}yS}`{r^e`[5363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"y}_qlwvZadW8?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.uq[uhszVmhS?;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Ril_272?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&}yS}`{r^e`[10d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"y}_qlwvZadWhyyijQcarvX0XYummhiRv`r^4\k3b<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#z|Ppovq[beXizxnkRbnsuY7YZtbl|inSua}_7]l53e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#z|Ppovq[beXizxnkRbnsuY7YZtbl|inSua}_6]l2a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\cfYf{{olSao|tZ6^[wcc}joTtb|P7^m237=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\cfYf{{olSao|tZ6^[wcc}joTtb|P80]{k9699?i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWniTm~|jg^nbwq]3UVxnhxmj_ymq[=Yh?;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXojUjkh_mcpp^2ZW{ooynkPxnp\=4Yg5:5=;m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySjmParpfcZjf{}Q?QR|jdtaf[}iuW0Ud;n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im M`fg[aoanV}ySjT5\]DJAY49VeTmijP3^MVPZe~484.fpgg7(ulj%FaxvPdhde[rtXzmQ>QRIAD^12[jYj}qU>SB[[40]PS51d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GfyuQkigd\swYulR?VSJ@K_23\kZkrpV?TCXZ;1^QT52><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'Dg~tRjffg]tvZtcS799V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhnj1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pcx>3:471j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%FxlPdhde[rtXzmUa}Qly=1=53e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'Dy~nRjffg]tvZtcW}g{Snw33?322g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(EziSigif^uq[wbX|dzT`xz31?4`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*Kt}kUoekhPws]q`ZrjxVf~x1?117`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWe0?09c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-NwpdXl`lmSz|Pre]wiuYk}}692<8m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)o{nh>#|kc.OpqgYcaolT{Q}d^vntZjr|595:n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im Mrwa[aoanV}ySjPtlr\hpr;;7;=h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]{kw:668;=h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]{kw:568;=h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]{kw:468;=j6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]{kw:468;TJ;h4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[}iu4:4:=RI9d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-NwpdXl`lmSz|Pre]wiuYg{6?2!re-dv4(`zmi9"jl/ekebZquW{nP9PQHNE]05ZiXe|rT9RAZT=0=31=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(l`lmSz|PreY6YZAILV9:SbQbuy]6[JSS4:4<86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!kigd\swYulR?VSJ@K_23\kZkrpV?TCXZ34?57?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*bnnoU|~R|k[4_\CKBX;8UdS`{w_4]LQQ:26.fpgg7(ulj%yhRjl_h>2:0d<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'{nThnQf<3<6f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)ulVnhSd2<>4`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+wbXljUb090:b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-q`ZbdW`6>28o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/sf\`fYnW9?j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"|k_ea\mZ72i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%yhRjl_h]11d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(zmUooRgP34c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+wbXljUbS9;n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)o{nh>#|kc.pg[aeXaV?=>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*w`pn}%FaxvPR@O\VAYBFVL\JY?>699V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'xm{kz Mlw{[WGJW[NTICQIWGV25Zebxf~=i6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*w`pn}%FaxvPR@O\VAYBFVL\JY?>_bgskqYNF_U;:45Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+tao~$A`{w_SCN[WBXMGUM[KZ>1^pppuis=k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/pescr(ZHGT^HI@UU]FJ4023\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!rguep*erz{Um{kzPsucwaZ@TEVLMh<87;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)zo}mx"mzrs]escrX{}kiRH\M^DE`4+Nf?30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,qbr`s'jy~Rhxfu]ppdrbWOYFSKHk1,Km533<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< }fvdw+fsuzVl|jyQ|t`vf[CUJWOLo>;74U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(un~l#n{}r^dtbqYt|h~nSK]B_GDg6(Oi93\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!rguep*cjx}sTjzh{_h54?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%~kyit.elmkaXmdzuRhxfu]oadpnfnUna}zv_guepZIUW.sdtbq)imq~Tjzh{_rvbp`:76<=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,qbr`s'|yn~Rxnlhf6b>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxj#||tr-`khv7Wjef|_NP\7Zi6>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(kfg{94:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btf{'xxx~!lolr3[fijx8UD^R=Po3]PS4033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$ko|.sqww*ehey:Tobc1^MQ[6Yh:VY\>;>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvdu)zz~x#nabp1]`khv6WFXT?Ra<619V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*auiz$yy} cnos4Zehey;TC_Q<_n66f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxj#||tr-qehYbey~rSklPi458Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)ulVzexQltq2858Xag|!cnwmp^2ZWNDOS?=Po^cg`Z6XG\^Ttb|30?32[LHQW9==7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*KflmUlo= lotlw_1[XOGNT>>Q`_`fg[5YH]]Usc2>>0342>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!Baef\cf6)kfexV:R_FLG[75XgVkohR>POTV\|jt;:7;:985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=2=10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|5;5985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=0=10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|595985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=6=2g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|R>VSJ@K_31\kZgclV:TCXZ31?4a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkr\!cnwmp^2ZWNDOS?=Po^cg`Z6XG\^7?38l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uovX0XY@FMU9?RaPaef\4ZIR\595=;l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznuY7YZAILV88SbQnde]3[JSS4=4=o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{[5_\CKBX::UdSljk_1]LQQ:368??7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczP04;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqY7Wmzdx8:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznu]211=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|V8>86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_277?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkrX<<20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byQk1=3=1==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|Vn:0?0:8:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7;;7?37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0>7:01<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=R?:9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7X9V;>;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_e3\60?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=R56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_e3\7Z72?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dSi?P47c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`hy%{~z|/Lov|Zehey;TKCJP34]l[HS_W08Tc<8m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crgt&~y"Cbuy]`khv6WNDOS>;Po^OV\Z?5Wf;::o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etev(p{}y$A`{w_bmnt4Y@FMU89RaPMTZ\=7Yh9; xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvcp*rus{&GfyuQlolr2[BHCW:?TcRCZX^;1[j74>k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixar,twqu(EdsSnabp0]DJAY4=VeTAXVP93]l510e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzo|.vqww*Kj}qUhc`~>_FLG[63XgVG^TR7=_n362g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|m~ xsuq,IhsWjef|UdSljk_5]LQQYdq5>5=<9l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/Lcg`ZbnnoU|~R|k[4_\CKBX;>UdSljk_5]LQQYk}}682:m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz M`fg[aoanV}ySjT5\]DJAY4?VeTmijP4^MVPZjr|5>5;h5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!Baef\`l`aW~xT~iU:]^EM@Z50WfUjhiQ;_NWW[}iu484:=:k4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz M`fg[aoanV}ySjT5\]DJAY4?VeTmijP4^MVPZ~hz585=<9j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/Lcg`ZbnnoU|~R|k[4_\CKBX;>UdSljk_5]LQQYg{682689V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-NwpdXl`lmSz|Pre]wiuYdq5:5:o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!Bst`\`l`aW~xT~iQ{mq]`}96998 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_b{?7;71j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rmv<2<253g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(EziSigif^uq[wbX|dzT`xz32?4a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWe0?0>6b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-NwpdXl`lmSz|Pre]wiuYg{6;2_RU235=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)J{|hThdhi_vp\vaYseyUsc2<>03\WR41k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rv`r=6=54143\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'mcmjRy}_sfX1XY@FMU8;RaPaef\0ZIR\5;5;>5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!kigd\swYulR?VSJ@K_25\kZgclV>TCXZ32?50?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+aoanV}ySjT5\]DJAY4?VeTmijP4^MVP959?:1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixdb3-saebp}%oekhPws]q`^3ZWNDOS>9Po^cg`Z2XG\^7838>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/ekebZquW{nTx`~30?41?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+rtXlh~jSnaznu]j8581:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&}ySio{a^alqkrXa5;5:?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!xr^fbpdYdg|dSd2=>708Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,swYci}kTob{at^k?7;053\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'~xThlzn_bmvjqYn4=4=>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"y}_ecweZeh}g~Te1;1609V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-tvZbf|hUhcx`{_h]324=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)pzVnjxlQlotlw[lY6>81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixdb3-saebp}%|~Rjnt`]`kphsW`U9:<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!xr^fbpdYdg|dSdQ<609V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-tvZbf|hUhcx`{_h]724=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)pzVnjxlQlotlw[lY2 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*KflmUlen>!gb2-gjsi|R>VSJ@K_21\kZgclV:TCXZPxnp?5;76?k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,IdbcWnch<#il0/alqkr\S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfex1<15`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$kdm?.fa3*firf}6828o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nch<#il0/alqkr;<7=;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw_1[XOGNT?>Q`_`fg[5YH]]6:2:>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nch<#il0/alqkr\S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexV:R_FLG[65XgVkohR>POTV?7;7082_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dmf6)oj:%ob{atZ6^[BHCW:9TcRokd^2\KPR;<7=:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw_1[XOGNT?>Q`_`fg[5YH]]6?2<;6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsW8?27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[73>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.ejg5(`k9$hcx`{_27:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ifc1,dg5(dg|dS9;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsWm;7<3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsWm;7=3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsWm;7>3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsWm;7?3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsWm;783;l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsWm;T<8m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nch<#il0/alqkrXl8U:9n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(o`i;"jm?.bmvjqYc9V8>o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmpZb6W:?h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a7X<i6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vp\tkruWyf~?2>>^kmr4YNF_U;845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9691?6b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc7=?0;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn48958l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9736=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>21;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg317<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0<914`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5;329o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:617>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?5;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg321<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0??14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa58929o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:5;7>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?6183i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<37=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1<9>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`69;3:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;:14?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j87?9<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi=0=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1=?>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`68=3:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;;;4?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j86590=;2>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg33?6b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc78=0;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4=;58l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9256=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>77;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg345<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub09;14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5>=29o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:3?7>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?0=83i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<5;=0<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1:14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5?;29o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:297>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?1783i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<41=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1;;>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6>93:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;=?4?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j801994?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j837953;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg369<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0;71489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5<58l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9176=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>45;2>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg37?6:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc743:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;17>37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\41><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ>489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV;;845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ76<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^310<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR?<489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV;?845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ72<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^350<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR?8489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV;3845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ7><11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^07=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS?>;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW;;?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[74312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_317=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS?:;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW;??56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[70312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_357=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS?6;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW;3?46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[62>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP316:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT?<:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX;;>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\762>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP356:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT?8:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX;?>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\722>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP396:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT?4:7;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX<=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]741?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ;15;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U?>974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY3;=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]701?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ;55;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U?:974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY3?=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]7<1?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ;95:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U>845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ37<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^720<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR;=489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV?8845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ33<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^760<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR;9489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV?<845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ3?<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^7:0==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR8;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW?:?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[37312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_707=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS;=;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW?>?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[33312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_747=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS;9;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW?2?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[3?302_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_66:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT;=:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX?8>37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\<1><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ6519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Gym`Q]AL365>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#@|nm^PBI46292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/LpbiZTFE8;>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+HtfeVXJA<<:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'DxjaR\NM373?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Aob_SCN706<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Br`o\VDK3=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.OqehYUID?><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+HtfeVXJA;;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(E{kfS_OB7428Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%F~lcPR@O;15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"C}al]QEH?282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/^l3442;87?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679=6:<3;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(Wg:;=92>1?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Sc>?15>26;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic _o2351:6;7?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679=6:83;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(Wg:;=92>5?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Sc>?15>22;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic _o2351:6?7?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679=6:43;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(Wg:;=92>9?73?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Sc>?15>2:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn12209476<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<58:28?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9::81<=>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>4=00:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn12209436<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<58>28?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9::81<9>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>4=04:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn122094?6<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<58228>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9::81<1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?;<22=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa01378679=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.]m45734:859<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*Yi89;?0>=1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?;<26=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa01378639=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.]m45734:<59<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*Yi89;?0>91509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?;<2:=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa013786?9=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.]m45734:4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+Zh788>78=0:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'Vd;<<:340<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#R`?006?078292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/^l3442;<:4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+Zh788>7890:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'Vd;<<:344<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#R`?006?038292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/^l3442;<>4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+Zh788>7850:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'Vd;<<:348<64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#R`?006?0;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic _o2351:287?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679=6>=3;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(Wg:;=92:2?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Sc>?15>67;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic _o2351:2<7?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679=6>93;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(Wg:;=92:6?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Sc>?15>63;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic _o2351:207?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679=6>53;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(Wg:;=92:>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>4=43:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn12209066<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<5<928?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9::818<>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>4=47:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn12209026<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<5<=28?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9::8188>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>4=4;:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn122090>6<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<5<59<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*Yi89;?0:>1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?;<63=15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa0137828282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/^l3442;07?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679=6228>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~Te1>1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<02=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j8479=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYn48859<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}Ub0<=1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<06=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j8439=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYn48<59<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}Ub0<91509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<0:=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j84?9=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYn484>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7>=0:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg320<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?678292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;::4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7>90:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg324<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?638292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;:>4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7>50:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg328<64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?6;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:487?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`68=3;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSd2<2?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h>07;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:4<7?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`6893;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSd2<6?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h>03;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:407?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`6853;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSd2<>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi=63:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\m9266<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXa5>928?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~Te1:<>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi=67:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\m9226<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXa5>=28?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~Te1:8>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi=6;:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\m92>6<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXa5>59<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}Ub08>1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<43=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j8049=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYn4<959<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}Ub08:1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<47=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j8009=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYn4<=59<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}Ub0861509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<4;=15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j808292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;>94>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7:<0:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg363<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?268292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;>=4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7:80:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg367<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?228292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;>14>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7:40:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg36?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h>44;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:097?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`6<28>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~Te161519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<8<7b>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\402<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ6Xlye8k5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS<;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ>0478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^33[avh|<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV;:985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U:88;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR?;_erlp06<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ72=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW8?Th}a{519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_0461>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\53Ycxf~><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT=:;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ>7^fskq373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY60S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\606<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ47=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW;:Th}a{519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_3361>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\64Ycxf~><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT>?;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ=2^fskq373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY5;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U9:Rjou73?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]1303<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ40Wmzdx8>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR<7549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_3:\`uis=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW;3>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT>4Qkpnv60>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\6Zbwg}>m7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U89=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS>>:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP31]gtjr282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX;8?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U8=Rjou73?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]0603<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ55Wmzdx8>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR=<549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_21\`uis=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW:>>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT?9Qkpnv64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\70323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY4=Vn{cy;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ<6478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^15[avh|<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV9<985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS>9Pdqmw15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[6>2=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX;1Uo|bz:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP3876?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]0=Zbwg}??7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U8Si~`t5d8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^664>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\05323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY38Vn{cy;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ;1478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^62[avh|<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV>9985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS9 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U?98;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR::_erlp06<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ21=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW=S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\02Ycxf~><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT85;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ;8^fskq373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY312Si~`t468Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^6\`uis xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U><8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR;?_erlp06<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ36=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW<;Th}a{519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_4061>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\17Ycxf~><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT9>;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ:3^fskq373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY2<?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U>;Rjou73?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]6<03<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ3?Wmzdx8>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR;6549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_4;\`uis==1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW;?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U=>Rjou73?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]5703<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ04Wmzdx8>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR8;549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_76\`uis=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW??>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT:8Qkpnv64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\23323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY1>Vn{cy;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ97478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^44[avh|<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV<3985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS;6Pdqmw15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[3?2=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX>0Uo|bz:4:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP6^fskq2a3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY0=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW>:>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT;=Qkpnv64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\34323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY09Vn{cy;;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ8_erlp1`<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ>2<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX0Vn{cy:i;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ6559V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_8]gtjr3j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&xjaR|k_dl\m61<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pbiZtbofSh`<3:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~lcPws]fj03<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'Dg~tR|k_sqw[duumnULBIQ>2^m\IP^X:Ve:=8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/Lov|ZquW{ySl}}ef]DJAY6:VeTAXVP1^m256b<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'[Y_SK[CL^EFJ1><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'nxxxi?!gsqw[cskd'xxxRj>499V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,cwusl8$l~~zPftno*wusWm8?46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!hrrvg5+au{}Umyab!rrv\`62d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&dl{j}su,dvvr)zmUyyQ}ef>3:1e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'gm|~i||t/eqwq(ulVxxxR|jg=3=0f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/sqwfim(fn}yh}{.fppp+tcW{ySkh<3<7f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)io~xo~~z!gsqw*wbXzz~T~hiP05`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+kapzmxxx#i}su,q`Ztt|VxnkR?;b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~~zmlj-mcrtczz~%k}{.sf\vvrXzlmT>9m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/oetvatt|'myy xr^pppZtbo5:58n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{ynae nfuq`wus&nxxx#y}_sqw[wc`484?n6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!agvpgvvr)o{y"z|Prrv\v`aX8=h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tt|kf`#cixreppp+au{}$|~R||t^pfcZ74l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%yhR||t^cpv`a3m2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%yhR||t^cpv`aXOGNT=?Q`_LW[[7Yh<91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$~iQ}su]bwwc`Wm;?<6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!}d^pppZgtzlmTh?=l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.pg[wusWjefn>j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/sf\vvrXkfgi=>j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/vp\vvrXizxnk9k4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/vp\vvrXizxnkRIAD^31[jYJ]QU:Sb:?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.uq[wusWhyyijQk1528Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+rtXzz~Tm~|jg^f17f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/sqwfim({UyyQlol`0`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)pzVxxxRm`mc3`?PUBZV\B_DLCE89UM@QX\PZN86YLLJ08S@7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML0^cjVCoj6Vkh^RqmhPbzzcdb<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl0?]us;2Sn5o4_^][HKKXWV:TSR[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bmtz\`l`aW~xT~iU:]^EM@Z56WfUfyuQ:_NWW04YT_92<7RQPXMLN[ZY68VUTY=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,qbr`s'nebbjQjmqvz[cqa|Vfnm{gag^gntqXn~lSB\P5^m23d=XWVRGB@QP_03\[ZS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}oo< xdbg{p*Kt}kUoekhPws]q`ZrjxVf~x1<11978[ZY_DGGTSR?=_^]V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$Aljk_fa3*firf}Q?QRIAD^00[jYflmU;SB[[_ymq87869>n0SRQWLOO\[Z74WVU^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv re]sjqtXojUjkh_mcpp^2ZW{ooynkPxnp\57Yh9>i0SRQWLOO\[Z73WVU^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXojUjkh_mcpp^2ZW{ooynkPxnp\2Zi6?h1TSRVCNL]\[43XWV_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rmv<1<252d034e>YXWQFEARQP16]\[P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~kx"z}{s.Onq}Ydgdz:SJ@K_27\kZKRPV39Sb?<7d9\[Z^KFDUTS<6P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})JpfxT^IQ_NUPX7XYULVZEX_U;]^ZLVZ72WfUsc1>116f8[ZY_DGGTSR?6_^]V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(EqeySZ\PPOVQ_6[X_[U[BY\T4\][KWY6WfUsc1>116g8[ZY_DGGTSR?P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.OpqgYcaolT{Q}d^vntZ~hz595=YXWQFEARQP20]\[P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&GscQXR^RMPW]7UV]YS]@[RZ3^[]IUW=UdSua30?34e>YXWQFEARQP23]\[P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~kx"z}{s.Onq}Ydgdz:SJ@K_27\kZKRPV39Sb?;659\[Z^KFDUTS?=P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~~zmlj-Nip~X{UyyQnssgd[BHCW88TcRCZX^3\k471<2UTSUBAM^]\61YXW\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&GfyuQ}d^pppZgtzlmTKCJP13]l[HS_W;Ud=<9=;^]\\IHJWVU9SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqev(u{}y$obc0^aliu7XG[U8SbYXWQFEARQP3^]\Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#jgl0/e`4+eh}g~P8PQHNE]07ZiXimnT50]{k9699>k0SRQWLOO\[Z3XWV_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dW9SPGOF\66YhWhnoS=Q@UU>0:4>73VUTTA@B_^]5[ZYR8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\cfYf{{olSao|tZ6^[wcc}joTtb|P90]{k9699>o0SRQWLOO\[Z1XWV_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rv`r=1=54YT_;=i7RQPXMLN[ZY?WVU^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pxnp?5;76?>1TSRVCNL]\[69gmk:6;7=0hd`315<4?aoi48?5;6jfn=35:2=cag6:;394dhl?5=803mce0<716:fjj979?2nbb169gmk:597=0hd`323<4?aoi4;95;6jfn=07:2=cag699394dhl?63803mce0?917:fjj94?6>1oec2=9?48`lh;:7=0hd`331<4?aoi4:;5;6jfn=11:2=cag68?394dhl?71803mce0>;17:fjj9516>1oec2<7?58`lh;;14<7iga<2;=2>bnf595;6jfn=63:2=cag6?=394dhl?07803mce09=17:fjj9236>1oec2;5?58`lh;bnf5>32:5kio>7=;01oec2:3?58`lh;==4<7iga<47=3>bnf5?=2:5kio>63;12nbb1;17:fjj9076>1oec291?58`lh;>;4<7iga<71=3>bnf551;169gmk:117<0hd`36?58`lh;?9427iga<6394;118:flqq:69720hb{{<00=<>bh}}6:?364dnww842902ndyy2>5?:8`jss48<546j`uu>23;>99gkpr;::437iazt=07:==cg|~7>807;emvp941611ocxz326<;?air|583255kotv?6<803me~x1<18:flqq:48720hb{{<23=<>bh}}68>364dnww865902ndyy2<4?:8`jss4:?546j`uu>02;>918:flqq:40720hb{{<2;=3>bh}}68255kotv?058?3me~x1:>>99gkpr;<;437iazt=60:==cg|~78907;emvp922611ocxz347<;?air|5><255kotv?0=8?3me~x1:6>69gkpr;<720hb{{<42=<>bh}}6>=364dnww804902ndyy2:3?:8`jss4<>546j`uu>61;>bh}}6>5394dnww808?3me~x18?>99gkpr;>8437iazt=41:==cg|~7:>07;emvp903611ocxz364<;?air|5<=255kotv?228?3me~x187>99gkpr;>04<7iazt=4=<>bh}}6<<3o4dnww827=8720hb{{<63=3>bh}}6<2:5kotv?<;12:djbjY`mgoymya}_ums56=aaoeTkh`jr`vlvZp>W:8m7kgio^efj`tf|fxTz4Q<,!Zjhlh(JEYI-Ijndpbpjt'9=$<=:5iigm\c`hbzh~d~Rx6_2]{wq`4)eXiyzgeno{inl\p|vbW~khd0>#c^cstiodi}cdbRzvpd]t`fn:8%iTm}~cibcwmjhX|pznSz|lh<2/gZgaVkohQxievk964+kVkseRo}urgq[roc|a7: nQnxh]bspubzV}bhyf21-a\e}oXj|yn~Ryfduj>5)eXiqcThlzn_vkgpm;1<%iTmugPie]tmaro5=&hSlvf_swpawYpam~c1<"l_`zj[qwm4:'oRowi^uvw`tX`nd0?#c^c{mvYslh~jSzgkti?50)eXiqcxSyjjsu]tmaro5=&hSlvfs^vj`Zqnl}b64!mPaykp[qmbmyU|eizg=5.`[d~n{V~xxlPwhfwl82+kVkse~Q{urgq[roc|a7? nQnxhq\p|vb59&hSnabmnl\giidc4:'oRm`uov\p|vb59&hSio{a^alqkrX`nd0;#c^ffbdsk|V|j`xk2BmcmoVruagm'oRjfn^uj`qn:?;&hSiga_vkgpmYfp`y6=!mPdhl\slbs`Vxjoe3<2-a\`lhX`ndR|kci?50)eXl`dT{dj{h^ubgm;4:%iThd`PwhfwlZqcka7=8!mPdhl\slbs`V}yoe3=,b]gkprXzz~Tzlb20-a\`jssW~coxe382-a\akgedlUy`bm|=1.`[`hfjeoT~~zPsxl`94*dWlxycQfnkg`pliiW}s{i0>#c^gqvjtXag`noyg`n^vzt`Yfp`y64)eXm{xd~RgajdawmjhX|pznSz|lh<2/gZ`fe`fr1~~nrucm2)eXn|fgSkbngr]qwqYqie7: nQgar]bhhit|Vof|yw20-a\lduXiegdyQiumn>4)eX`hyTmugPaswpaw;7$jUcm~Qnxh]bspubz4:'oRfns^c{mZdr{lx6#c^jbwZgazUhlzn=1.`[mgtWhrbRzkerv>4)eX`hyTmug|_ukg95*dWakxSlvfs^vha`v:8%iTdl}Paykp[qjf{}7; nQgar]b|luX|{ojht3>,b]kevYfp`yTx~z}b<2/gZnf{Vkse~Q{urgq95*dWakxSoolktr>4)eX`hyThlzn_bmvjq;7$jUcm~Qkauc\gjsi|zUjtd}20-a\lduXlh~jSnaznuq\vdeo59&hSeo|_ecweZeh}g~xSjlh<2/gZnf{VnjxlQlotlwwZqfka7; nQgar]geqgXkfex~Qxdbj>4)eX`hyThlzn_bmvjquX{ic1="l_icp[lhsWjf`1="l_icp[hgt|lxToh3?,b]kevYjmdceeyQiimg>4)eX`hyTc{k}fmmt95*dWakxS}|`g^doebuXiqcx1="l_icp[uthoVlgmj}Pr`ak95*dWakxS}|`g^doebuXzmic1="l_icp[uthoVlgmj}Pw`ak95*dWakxS}|`g^doebuXmic1="l_icp[uthoVlgmj}Pwsak95*dWakxSjPd`vb[firf}7: nQgar]q`Ztt|4:'oRfns^ppp87+kVbjR}cawg\g`;7$jUcm~Q|rrv>4)eX`hyTycjjrgnls86+kVbjRxnlhf>4)eX`hyT{Qncj?3(fYoizU|~Rjnt`]`kphs58&hSeo|_vp\vvr:8%iTe`~celgmpdrnggUu}k22-a\mhvkmdoexlzfoo]w}ucXiqcx1<"l_hosh`kbf}keb`Ptxrf[wgd`4;'oRgbpmgnakrf|`eeSywe^pggm;6$jUba}bjmdlweqohfV~r|hQxabj>5)eXadzgi`kat`vjkkYsqyoT{img=0.`[lkwdlgnbyo{inl\p|vbW~xhd0?#c^kmmqYpzVzexQyam?3(fYnf}oyjlmj_u{sa86+kVgnab|v_u{sa84+kVgbjRhfld]mehc:Jekeg^z}ioe/gZktofdTzlb21-a\kurne`pjxdaa_lmga86+kVe}ihcov]okr;7$jU{~hb`ae]oeqcikp7; nQrdnleaYumny6=!mPpskn[coagV~r|h3:13z46)eXx{elShctx]wlwct`Vkxh|{_wco91*dWyxdkRkbpu{\pmtb{aUj~k}t^tbhZgaz7:5545$jU{~biPelrw}ZrozlycSl}|esv\rdjXzmic1<>=2-a\twi`Wlg{xtQ{hsgplZgt{lxS{oc_vc`l877:;&hS}|`g^gntqX|axneQnsrgqpZpfdV}ooe3>030/gZvugnUna}zv_ujqavnXizyn~yQyam]tvfn:9989 nQrne\ahvsqV~c~h}g_ogdeqcX~hf69!mPpsmd[`kw|pUu}k20-a\twi`Wlg{xtQ{yqg\e}ot59&hS}|`g^gntqX|pznSolh<2/gZvugnUna}zv_u{saZtcka7; nQrne\ahvsqV~r|hQxabj>4)eXx{elShctx]w}ucXmic1="l_qplcZcjx}sTxt~j_vp`l86+kVzycjQiumn\pmtb{aUj~k}t^tbh856$jU{~biPftno[qnumzbTm~}jru]ueiYfp`y6==<<,b]svjaXn|fgSyf}erj\evubz}U}maQ}abj>5544$jU{~biPftno[qnumzbTm~}jru]ueiYuljb6==<<,b]svjaXn|fgSyf}erj\evubz}U}maQxabj>5544$jU{~biPftno[qnumzbTm~}jru]ueiYpljb6==<<,b]svjaXn|fgSyf}erj\evubz}U}maQxrbj>5544$jU{~biPftno[qnumzbTbhintd]uei;48%iT|ah_gwohZr~xl7; nQrne\bpjkW}s{iRowir?3(fYwzfmTjxbc_u{saZtfka7; nQrne\bpjkW}s{iR|kci?3(fYwzfmTjxbc_u{saZqfka7; nQrne\bpjkW}s{iRykci?3(fYwzfmTjxbc_u{saZquka7; nQ}abj\p|vb59&hSjPd`vb[firf}U|eizg=7.`[wbXllzd0==,b]q`Z`umx7: nQ}d^rmpwYpam~c18"l_sf`lZr~xl7; nQ}ef]phlebWdeoiRowir?3(fYumnUx`dmj_lmgaZtfka7; nQ}ef]phlebWdeoiR|kci?3(fYumnUx`dmj_lmgaZqfka7; nQ}ef]phlebWdeoiRykci?3(fYumnUx`dmj_lmgaZquka7; nQ|yoakvjhnrlxTyohe<0/gZsillxm`byPlnu>4)eX}zoTobcboo]lr`tadf}6#c^wpaZbh}}Uyy3>,b]vw`Ybkj7; nQzsd]fgfYfp`y6#c^wpaZcdkV}joe3?,b]vw`YbkjU|hnf20-a\qvcXmjiT{mg=1.`[pubWlgiiijjd^pfc86+kVxiRhffn35[cjfoz7; nQzsd]escrXlh~jSnaznu?2(fYqiecoSaax=1.`[rgd`V~r|h3?,b]t`fnX|pzn1="l_vp\efmXdf}62)eX{Uoi}zg=20/gZquWmo{xeQnxhq>5543$jU|~Rjjpuj\vdeo58<'oRy}_egspmYuljb6==<;,b]tvZbbx}bT{lmg=04/gZquWmo{xeQxdbj>5543$jU|~Rjjpuj\sweo58<'oRy}_gpfu87+kV}yS}`{r^uj`qn:=%iT{Qnup\slbs`Vkse~3>0-a\swYwf}xT{dj{h^pbgm;3$jU|~R~ats]tmaroW{nhd0??,b]tvZvi|{U|eizg_vc`l82+kV}yS}`{r^uj`qnXmic1<>#c^uq[uhszV}bhyfPwsak91*dW~xT~h}ooqf[igsmgir1<"l_vp`lZr~xl7;q?84fhdl[vnhz}U9oae#fhdl[bcim{kcQy9^1/x24re]geqgXkfex1?1119q`Zbf|hUhcx`{<3<24>tcWmkmRm`uov?7;773{nThlzn_bmvjq:36880~iQkauc\gjsi|5?1<3??;sf\`drfWje~by2:>038vaYckVl|jyQjxu]bw0=ulVoe;6|k_guep==ulVfdkdm>2:pg[vrf||yTjaohs^cp54=umzoSobd_scnbl`h;2xxxk5|yoakvjhnrlxTjkl4ws]amkYdf}x=7z|Pcmi24>quWmkmRm`uov?4;773~xThlzn_bmvjq:668:0{Qkauc\gjsi|585==5xr^fbpdYdg|d0>0>0:uq[agsiVidycz34?31?rtXlh~jSnaznu>6>58682}ySio{a^alqkr;=7;:7z|Pdb]escrXmq~Tm~;4ws]fj==pzVfdkdm>2:uq[vrf||yTjaohs^cpyEFw99;:7MNw48f9B?2=9rY<:7=j5;a7>454?19=6?h=19ym7`5=92d8i949;%1f5?5cl2wX;9428:728:7:08163}T??08i84l4;3072>4>38m>de<^:o96?uzb582?pd2291v(n;5279a0:309y!5b83>2n6*91;6:b>"0=3>2i6*lf;38 fc=;l<0e9:6:18'g2<3<11eo;4?;:k702<72-i<69:7;oa5>4=>6=4+c6870==ik?0976g;4583>!e02=>37cm9:298m124290/o:4;499mg3<332c?8?4?:%a4>12?3gi=6854i562>5<#k>0?855ac785?>o3<90;6)m8:56;?ke12>10e9=i:18'g2<3<11eo;47;:k77`<72-i<69:7;oa5><=!e02=>37cm9:c98m15f290/o:4;499mg312?3gi=6i54i51;>5<#k>0?855ac78f?>o3;>0;6)m8:56;?ke12o10e9=9:18'g2<3<11eo;4>0:9j063=83.h;7:;8:l`2?7632c??94?:%a4>12?3gi=6<<4;h607?6=,j=18964nb4956=?46`l6;36?>o3:o0;6)m8:56;?ke128<07d:=e;29 f1=<=20bn851698m14c290/o:4;499mg3<6021b8?m50;&`3?2302dh:7?6;:k76g<72-i<69:7;oa5>4g<3`>9m7>5$b5901>5<#k>0?855ac782g>=n<;21<7*l7;67<>hd>3;o76g;2683>!e02=>37cm9:0g8?l25>3:1(n9545:8jf0=9o10e9<;:18'g2<3<11eo;4=0:9j075=83.h;7:;8:l`2?4632c?>?4?:%a4>12?3gi=6?<4;h615?6=,j=18964nb4966=?46`l6;06?>o39l0;6)m8:56;?ke12;<07d:>d;29 f1=<=20bn852698m17d290/o:4;499mg3<5021b87g<3`>:47>5$b5901>o54i534>5<#k>0?855ac781g>=n<8<1<7*l7;67<>hd>38o76g;1483>!e02=>37cm9:3g8?l26<3:1(n9545:8jf0=:o10e9?<:18'g2<3<11eo;4<0:9j044=83.h;7:;8:l`2?5632c?=<4?:%a4>12?3gi=6><4;h624?6=,j=18964nb4976=?46`l6;16?>o38j0;6)m8:56;?ke12:<07d:?b;29 f1=<=20bn853698m16f290/o:4;499mg3<4021b8=750;&`3?2302dh:7=6;:k74=<72-i<69:7;oa5>6g<3`>;;7>5$b5901>5<#k>0?855ac780g>=n<9?1<7*l7;67<>hd>39o76g;4b83>!e02=>37cm9:2g8?l23j3:1(n9545:8jf0=;o10e9:n:18'g2<3<11eo;4;0:9j010=83.h;7:;8:l`2?2632c??o4?:%a4>12?3gi=69<4;h604?6=,j=18964nb4906=6=4+c6870==ik?0?865f40c94?"d?3>?46`l6;66?>o38o0;6)m8:56;?ke12=<07d:?4;29 f1=<=20bn854698m1d32900e9l8:188m1g12900e9m?:188m1ga2900c97<:18'g2<31;1eo;4?;:m7=4<72-i<697=;oa5>4=!e02=397cm9:298k1>c290/o:4;939mg3<332e?4n4?:%a4>1?53gi=6854o5:a>5<#k>0?5?5ac785?>i30h0;6)m8:5;1?ke12>10c966:18'g2<31;1eo;47;:m7<=<72-i<697=;oa5><=!e02=397cm9:c98k1>3290/o:4;939mg34?:%a4>1?53gi=6i54o5:1>5<#k>0?5?5ac78f?>i3080;6)m8:5;1?ke12o10c96?:18'g2<31;1eo;4>0:9l02`=83.h;7:62:l`2?7632e?;h4?:%a4>1?53gi=6<<4;n64`?6=,j=184<4nb4956=2>6`l6;36?>i3?00;6)m8:5;1?ke128<07b:88;29 f1=<080bn851698k110290/o:4;939mg3<6021d8:850;&`3?2>:2dh:7?6;:m730<72-i<697=;oa5>4g<3f><87>5$b590<45<#k>0?5?5ac782g>=h<>81<7*l7;6:6>hd>3;o76a;7083>!e02=397cm9:0g8?j2083:1(n954808jf0=9o10c98j:18'g2<31;1eo;4=0:9l03b=83.h;7:62:l`2?4632e?:n4?:%a4>1?53gi=6?<4;n65f?6=,j=184<4nb4966=2>6`l6;06?>i3>10;6)m8:5;1?ke12;<07b:97;29 f1=<080bn852698k101290/o:4;939mg3<5021d8;;50;&`3?2>:2dh:7<6;:m726<72-i<697=;oa5>7g<3f>=>7>5$b590<4o54o542>5<#k>0?5?5ac781g>=hhd>38o76a;5g83>!e02=397cm9:3g8?j22m3:1(n954808jf0=:o10c9;k:18'g2<31;1eo;4<0:9l00e=83.h;7:62:l`2?5632e?9o4?:%a4>1?53gi=6><4;n66e?6=,j=184<4nb4976=2>6`l6;16?>i3=?0;6)m8:5;1?ke12:<07b::5;29 f1=<080bn853698k133290/o:4;939mg3<4021d88=50;&`3?2>:2dh:7=6;:m717<72-i<697=;oa5>6g<3f>>=7>5$b590<45<#k>0?5?5ac780g>=h<=l1<7*l7;6:6>hd>39o76a;9783>!e02=397cm9:2g8?j2>=3:1(n954808jf0=;o10c97;:18'g2<31;1eo;4;0:9l0<6=83.h;7:62:l`2?2632e?484?:%a4>1?53gi=69<4;n64e?6=,j=184<4nb4906=2>6`l6;66?>i3=00;6)m8:5;1?ke12=<07b:;e;29 f1=<080bn854698k1gc290/o:4;ab9mg3<732e?mo4?:%a4>1gd3gi=6<54o5cb>5<#k>0?mn5ac781?>i3i00;6)m8:5c`?ke12:10c9o7:18'g2<3ij1eo;4;;:m7e2<72-i<69ol;oa5>0=!e02=ho7cm9:098k1de290/o:4;be9mg3<532e?nl4?:%a4>1dc3gi=6>54o5`:>5<#k>0?ni5ac787?>i3j10;6)m8:5`g?ke12<10c9o?:188k1?02900n>ji:182>5<7s-n864m4H2ff?M5c12e2n7>5;|`2<<<72=0;6=u+d28:<>N4ll1C?i74$`693>o2m3:17d98:188mf?=831doo4?::a5g5=83>1<7>t$e19===O;mo0D>j6;%c7>2=n=l0;66g87;29?le>2900cnl50;9~f4d5290?6=4?{%f0><><@:nn7E=k9:&b0?1>od13:17bmm:188yg5en3:187>50z&g7??13A9oi6F0;66alb;29?xd4km0;694?:1y'`6<>02B8hh5G3e;8L0g<,k81h?5f5d83>>o0?3:17dm6:188kfd=831vn>j<:187>5<7s-n86464H2ff?M5c12B>m6*m2;f1?l3b2900e:950;9jg<<722ehn7>5;|`0g7<72=0;6=u+d28:<>N4ll1C?i74H4c8 g4=l;1/m948;h7f>5<=1<75fc883>>idj3:17pl6<729q/h>465:J0``=O;m30D8o4$c09`7=#i=097d;j:188m21=831doo4?::a7a0=8391<7>t$e19=0=O;mo0D>j6;I7b?!d52m80(l:52:k6a?6=3`=<6=44ob`94?=zj:i:6=4;:183!b42020D>jj;I1g=>N2i2.i>7j=;%c7>2=n=l0;66g87;29?le>2900cnl50;9~f6e?290?6=4?{%f0><><@:nn7E=k9:&b0?1>od13:17bmm:188yg5d?3:187>50z&g7???3A9oi6F02B8hh5G3e;8 d2=?2c>i7>5;h54>5<>{e;jl1<7=50;2x a5=1<1C?ik4H2f:?M3f3-h96i<4$`696>o2m3:17d98:188kfd=831vn>ml:187>5<7s-n86464H2ff?M5c12.j8794i4g94?=n?>0;66gl9;29?jee2900qo=lb;290?6=8r.o?777;I1ga>N4l01/m948;h7f>5<=1<75fc883>>idj3:17pl6<729q/h>465:J0``=O;m30D8o4$c09`7=#i=097d;j:188m21=831doo4?::a7f6=83>1<7>t$e19===O;mo0D>j6;I7b?!d52m80(l:57:k6a?6=3`=<6=44ib;94?=hkk0;66sm29`94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:1k1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm29:94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:1=1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm29494?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:1?1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm29694?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:191<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm29094?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:1;1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm29294?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:>l1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm26f94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:>i1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm26`94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:>k1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm26;94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:>21<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm26594?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:><1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm26794?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:>>1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm26094?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:>;1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm26294?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:?l1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm27g94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:?n1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm27a94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:?h1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm27c94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:?31<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm27594?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:?<1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm27794?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:?>1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm27194?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:?81<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm27394?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:?:1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm24d94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm24a94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm24c94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:<31<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm24:94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:<=1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm24494?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm24694?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:<91<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm24394?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:<:1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm25d94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:=o1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm25f94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:=i1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm25`94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:=k1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm25;94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:=21<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm29f94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:1i1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm29;94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:>o1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm26194?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:?21<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm24f94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:<81<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm25594?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:=<1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm28294?41290;w)j<:ba8L6bb3A9o56T:d;ax5=<613;j6"f0390e>jm:188m6bd2900e:l50;&`3?1f3gi=6=54i6;94?"d?3=j7cm9:098m2c=83.h;79k;oa5>5=i1<7*l7;5g?ke12810e5?50;&`3?>73gi=6=54i6d94?"d?32;7cm9:098m=2=83.h;76<;oa5>5=?7cm9:098m14=83.h;7:;;oa5>7=50;&`3?233gi=6954i5`94?"d?3>j7cm9:198m1?=83.h;7:n;oa5>4=54i5494?"d?3>j7cm9:598m07=83.h;7;?;oa5>5=1=6=13gi=6=54o9794?"d?32=7cm9:098k=g=83.h;766;oa5>5=d3gi=6=54o9`94?"d?32h7cm9:098k<6=83.h;76i;oa5>5=4lc:J0``=O;m30V8j5cz3;>4?=9h0:n7l5c;f9a?`=990:=7?=:|&b1?5<,h<1?6*n7;18 d>=;2c8ho4?::k0`f<722c5$b593d=ik?0;76g89;29 f1=?h1eo;4>;:k4a?6=,j=1;i5ac783?>o0k3:1(n957e9mg3<632c3=7>5$b59<5=ik?0;76g8f;29 f1=091eo;4>;:k;0?6=,j=14>5ac783?>o?:3:1(n95829mg3<632c?97>5$b5901=ik?0;76g;3;29 f1=<=1eo;4>;:k76?6=,j=1895ac781?>o393:1(n95459mg3<432c?<7>5$b5901=ik?0?76g;b;29 f1=o303:1(n954`9mg3<532c?;7>5$b590d=ik?0876g;6;29 f1=o3n3:1(n95519mg3<632c?i7>5$b5915=ik?0976g;d;29 f1==91eo;4<;:k7g?6=,j=19=5ac787?>o2?3:1(n95579mg3<732c>97>5$b5913=ik?0:76g:4;29 f1==?1eo;4=;:k67?6=,j=19;5ac780?>o2:3:1(n95579mg3<332e3;7>5$b59<3=ik?0;76a75;29 f1=0?1eo;4>;:m;e?6=,j=1445ac783?>i?03:1(n95889mg3<632e3h7>5$b59;:m:4?6=,j=14k5ac783?>i?m3:1(n958g9mg3<632wi>4?50;05>5<7s-n86nm4H2ff?M5c12P>h7mt1982=?7f28h1n7m5d;g9b?7728;1=?4r$`797>"f>390(l953:&b5<h1<7*l7;5b?ke12910e:750;&`3?1f3gi=6<54i6g94?"d?3=o7cm9:198m2e=83.h;79k;oa5>4=73gi=6<54i9694?"d?3287cm9:198m=4=83.h;76<;oa5>4=?7cm9:398m17=83.h;7:;;oa5>6=j7cm9:098m1>=83.h;7:n;oa5>7=4=54i5a94?"d?3?;7cm9:598m01=83.h;7;9;oa5>5=1=13gi=6<54o9c94?"d?3227cm9:198k=>=83.h;766;oa5>4=d3gi=6<54o8294?"d?32m7cm9:198k=c=83.h;76i;oa5>4=52783>5}#l:0ho6Fo4lk0;66g>o0j3:1(n957`9mg3<732c<57>5$b593d=ik?0:76g8e;29 f1=?m1eo;4?;:k4g?6=,j=1;i5ac782?>o?93:1(n95819mg3<732c5$b59<5=ik?0:76g74;29 f1=0:1eo;4?;:k;6?6=,j=14>5ac782?>o3=3:1(n95459mg3<732c??7>5$b5901=ik?0:76g;2;29 f1=<=1eo;4=;:k75?6=,j=1895ac780?>o383:1(n95459mg3<332c?n7>5$b590d=ik?0;76g;9;29 f1=;:k7o3?3:1(n954`9mg3<432c?:7>5$b590d=ik?0?76g:1;29 f1==91eo;4?;:k7b?6=,j=19=5ac782?>o3m3:1(n95519mg3<532c?h7>5$b5915=ik?0876g;c;29 f1==91eo;4;;:k63?6=,j=19;5ac783?>o2=3:1(n95579mg3<632c>87>5$b5913=ik?0976g:3;29 f1==?1eo;4<;:k66?6=,j=19;5ac787?>i??3:1(n95879mg3<732e397>5$b59<3=ik?0:76a7a;29 f1=001eo;4?;:m;i?l3:1(n958b9mg3<732e3n7>5$b59{e:0=1<7<9:183!b42ji0D>jj;I1g=>\2l3ip=54>9;3b>4d=j3i1h7k5f;33>47=9;0v(l;53:&b2?5<,h=1?6*n8;18m6be2900e>jl:188m2d=83.h;79n;oa5>5=31<7*l7;5b?ke12810e:k50;&`3?1c3gi=6=54i6a94?"d?3=o7cm9:098m=7=83.h;76?;oa5>5=l1<7*l7;:3?ke12810e5:50;&`3?>43gi=6=54i9094?"d?3287cm9:098m13=83.h;7:;;oa5>5=?7cm9:298m16=83.h;7:;;oa5>1=j7cm9:398m11=83.h;7:n;oa5>6=7=4=1<7*l7;75?ke12;10e8=50;&`3?313gi=6>54i4094?"d?3?=7cm9:598k=1=83.h;769;oa5>5=>3gi=6=54o9:94?"d?3227cm9:098k=b=83.h;76l;oa5>5=50;&`3?>a3gi=6=54o9g94?"d?32m7cm9:098yg4>13:1>;4?:1y'`6"f?390(l653:k0`g<722c8hn4?::k4f?6=,j=1;l5ac783?>o013:1(n957`9mg3<632c5$b593a=ik?0;76g8c;29 f1=?m1eo;4>;:k;5?6=,j=14=5ac783?>o0n3:1(n95819mg3<632c387>5$b59<6=ik?0;76g72;29 f1=0:1eo;4>;:k71?6=,j=1895ac783?>o3;3:1(n95459mg3<632c?>7>5$b5901=ik?0976g;1;29 f1=<=1eo;4<;:k74?6=,j=1895ac787?>o3j3:1(n954`9mg3<732c?57>5$b590d=ik?0:76g;8;29 f1=o3>3:1(n954`9mg3<332c>=7>5$b5915=ik?0;76g;f;29 f1==91eo;4>;:k7a?6=,j=19=5ac781?>o3l3:1(n95519mg3<432c?o7>5$b5915=ik?0?76g:7;29 f1==?1eo;4?;:k61?6=,j=19;5ac782?>o2<3:1(n95579mg3<532c>?7>5$b5913=ik?0876g:2;29 f1==?1eo;4;;:m;3?6=,j=14;5ac783?>i?=3:1(n95879mg3<632e3m7>5$b59<<=ik?0;76a78;29 f1=001eo;4>;:m;`?6=,j=14n5ac783?>i?j3:1(n958b9mg3<632e2<7>5$b59;:a6<3=838=6=4?{%f0>fe<@:nn7E=k9:X6`?e|910:57?n:0`9f?e=l3o1j7??:039575<4=o1<7*l7;5g?ke12910e:m50;&`3?1c3gi=6<54i9394?"d?32;7cm9:198m2`=83.h;76?;oa5>4=1<7*l7;:0?ke12910e5<50;&`3?>43gi=6<54i5794?"d?3>?7cm9:198m15=83.h;7:;;oa5>4=54i5294?"d?3>?7cm9:598m1d=83.h;7:n;oa5>5=j7cm9:298m10=83.h;7:n;oa5>1=6=7=4=>3gi=6<54o9f94?"d?32h7cm9:198k=d=83.h;76l;oa5>4=a3gi=6<54}c0:0?6=:?0;6=u+d28`g>N4ll1C?i74Z4f9g~7?2831=l4>b;`9g?b=m3l1==4>1;31>x"f=390(l853:&b3?5<,h21?6g>o4lj0;66g8b;29 f1=?h1eo;4?;:k4=?6=,j=1;l5ac782?>o0m3:1(n957e9mg3<732c5$b593a=ik?0:76g71;29 f1=091eo;4?;:k4b?6=,j=14=5ac782?>o?<3:1(n95829mg3<732c3>7>5$b59<6=ik?0:76g;5;29 f1=<=1eo;4?;:k77?6=,j=1895ac782?>o3:3:1(n95459mg3<532c?=7>5$b5901=ik?0876g;0;29 f1=<=1eo;4;;:k7f?6=,j=18l5ac783?>o313:1(n954`9mg3<632c?47>5$b590d=ik?0976g;7;29 f1=o293:1(n95519mg3<732c?j7>5$b5915=ik?0:76g;e;29 f1==91eo;4=;:k7`?6=,j=19=5ac780?>o3k3:1(n95519mg3<332c>;7>5$b5913=ik?0;76g:5;29 f1==?1eo;4>;:k60?6=,j=19;5ac781?>o2;3:1(n95579mg3<432c>>7>5$b5913=ik?0?76a77;29 f1=0?1eo;4?;:m;1?6=,j=14;5ac782?>i?i3:1(n95889mg3<732e347>5$b59<<=ik?0:76a7d;29 f1=0j1eo;4?;:m;f?6=,j=14n5ac782?>i>83:1(n958g9mg3<732e3i7>5$b59"f0390e>jm:188m6bd2900e:l50;&`3?1f3gi=6=54i6;94?"d?3=j7cm9:098m2c=83.h;79k;oa5>5=i1<7*l7;5g?ke12810e5?50;&`3?>73gi=6=54i6d94?"d?32;7cm9:098m=2=83.h;76<;oa5>5=?7cm9:098m14=83.h;7:;;oa5>7=50;&`3?233gi=6954i5`94?"d?3>j7cm9:198m1?=83.h;7:n;oa5>4=54i5494?"d?3>j7cm9:598m07=83.h;7;?;oa5>5=1=6=13gi=6=54o9794?"d?32=7cm9:098k=g=83.h;766;oa5>5=d3gi=6=54o9`94?"d?32h7cm9:098k<6=83.h;76i;oa5>5=4lc:J0``=O;m30V8j5cz3;>4?=9h0:n7l5c;f9a?`=990:=7?=:|&b1?5<,h<1?6*n7;18 d>=;2c8ho4?::k0`f<722c5$b593d=ik?0;76g89;29 f1=?h1eo;4>;:k4a?6=,j=1;i5ac783?>o0k3:1(n957e9mg3<632c3=7>5$b59<5=ik?0;76g8f;29 f1=091eo;4>;:k;0?6=,j=14>5ac783?>o?:3:1(n95829mg3<632c?97>5$b5901=ik?0;76g;3;29 f1=<=1eo;4>;:k76?6=,j=1895ac781?>o393:1(n95459mg3<432c?<7>5$b5901=ik?0?76g;b;29 f1=o303:1(n954`9mg3<532c?;7>5$b590d=ik?0876g;6;29 f1=o3n3:1(n95519mg3<632c?i7>5$b5915=ik?0976g;d;29 f1==91eo;4<;:k7g?6=,j=19=5ac787?>o2?3:1(n95579mg3<732c>97>5$b5913=ik?0:76g:4;29 f1==?1eo;4=;:k67?6=,j=19;5ac780?>o2:3:1(n95579mg3<332e3;7>5$b59<3=ik?0;76a75;29 f1=0?1eo;4>;:m;e?6=,j=1445ac783?>i?03:1(n95889mg3<632e3h7>5$b59;:m:4?6=,j=14k5ac783?>i?m3:1(n958g9mg3<632wi>5k50;05>5<7s-n86nm4H2ff?M5c12P>h7mt1982=?7f28h1n7m5d;g9b?7728;1=?4r$`797>"f>390(l953:&b5<h1<7*l7;5b?ke12910e:750;&`3?1f3gi=6<54i6g94?"d?3=o7cm9:198m2e=83.h;79k;oa5>4=73gi=6<54i9694?"d?3287cm9:198m=4=83.h;76<;oa5>4=?7cm9:398m17=83.h;7:;;oa5>6=j7cm9:098m1>=83.h;7:n;oa5>7=4=54i5a94?"d?3?;7cm9:598m01=83.h;7;9;oa5>5=1=13gi=6<54o9c94?"d?3227cm9:198k=>=83.h;766;oa5>4=d3gi=6<54o8294?"d?32m7cm9:198k=c=83.h;76i;oa5>4=52783>5}#l:0ho6Fo4lk0;66g>o0j3:1(n957`9mg3<732c<57>5$b593d=ik?0:76g8e;29 f1=?m1eo;4?;:k4g?6=,j=1;i5ac782?>o?93:1(n95819mg3<732c5$b59<5=ik?0:76g74;29 f1=0:1eo;4?;:k;6?6=,j=14>5ac782?>o3=3:1(n95459mg3<732c??7>5$b5901=ik?0:76g;2;29 f1=<=1eo;4=;:k75?6=,j=1895ac780?>o383:1(n95459mg3<332c?n7>5$b590d=ik?0;76g;9;29 f1=;:k7o3?3:1(n954`9mg3<432c?:7>5$b590d=ik?0?76g:1;29 f1==91eo;4?;:k7b?6=,j=19=5ac782?>o3m3:1(n95519mg3<532c?h7>5$b5915=ik?0876g;c;29 f1==91eo;4;;:k63?6=,j=19;5ac783?>o2=3:1(n95579mg3<632c>87>5$b5913=ik?0976g:3;29 f1==?1eo;4<;:k66?6=,j=19;5ac787?>i??3:1(n95879mg3<732e397>5$b59<3=ik?0:76a7a;29 f1=001eo;4?;:m;i?l3:1(n958b9mg3<732e3n7>5$b59{e;k91<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm3c394?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;hn1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm3``94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;h31<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm3`594?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;h?1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm3`194?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;h;1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm38d94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;0n1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm38`94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;0=1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm38794?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;091<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm38394?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;1l1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm39f94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;1h1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm39;94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;1=1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm39794?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;1;1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm36d94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;>n1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm36`94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;>31<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm36594?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;>?1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm36194?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;>;1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm37d94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;?h1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm37;94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;?=1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm37794?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;?91<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm37394?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm34f94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm34;94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm34194?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;<;1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm35d94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;=n1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm35`94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;=31<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm35594?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;=?1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm35194?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;:l1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm32f94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;:h1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm32;94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;:=1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm32794?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;:91<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm32394?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;;l1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm33f94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;k21<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm3c494?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;k:1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm38c94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;1>1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm37g94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;<21<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm35094?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;;i1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm33c94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e9>;1<7:50;2x a5=1?1C?ik4H2f:?!g32;1b9h4?::k47?6=3`=<6=44ob`94?=zj8=;6=4;:183!b420<0D>jj;I1g=>"f<380e8k50;9j36<722c<;7>5;naa>5<54;294~"c;33=7E=ke:J0`<=#i=097d;j:188m25=831b;:4?::m`f?6=3th::h4?:583>5}#l:02:6F1>6g:e;29?l142900e:950;9lgg<722wi=;j50;694?6|,m915;5G3eg8L6b>3-k?6?5f5d83>>o0;3:17d98:188kfd=831vn<8l:187>5<7s-n86484H2ff?M5c12.j87<4i4g94?=n?:0;66g87;29?jee2900qo?n9;291?6=8r.o?778;I1ga>N4l01/m94=;h7f>5<>o0?3:17bmm:188yg7f?3:197>50z&g7??03A9oi6FN4l01/m94=;h7f>5<>o0?3:17bmm:188yg7f;3:197>50z&g7??03A9oi6FN4l01/m94=;h7f>5<>o0?3:17bmm:188yg7>l3:197>50z&g7??03A9oi6FN4l01/m94=;h7f>5<>o0?3:17bmm:188yg7>j3:197>50z&g7??03A9oi6FN4l01/m948;h7f>5<>od13:17bmm:188yg70i3:187>50z&g7??13A9oi6F0;66alb;29?xd6?>0;694?:1y'`6<>>2B8hh5G3e;8 d2=:2c>i7>5;h50>5<=1<75`cc83>>{e91;1<7:50;2x a5=1?1C?ik4H2f:?!g32;1b9h4?::k47?6=3`=<6=44ob`94?=zj8=o6=4;:183!b42020D>jj;I1g=>"f<3=0e8k50;9j32<722ch57>5;naa>5<54;294~"c;3337E=ke:J0`<=#i=0<7d;j:188m21=831bo44?::m`f?6=3th:h54?:583>5}#l:02:6F1>6g:e;29?l142900e:950;9lgg<722wi=i950;694?6|,m915;5G3eg8L6b>3-k?6?5f5d83>>o0;3:17d98:188kfd=831vn5<7s-n86484H2ff?M5c12.j87<4i4g94?=n?:0;66g87;29?jee2900qo?k5;290?6=8r.o?779;I1ga>N4l01/m94=;h7f>5<91<75f7683>>idj3:17pl>d583>1<729q/h>466:J0``=O;m30(l:52:k6a?6=3`=86=44i6594?=hkk0;66sm1e194?2=83:p(i=5979K7ac<@:n27)o;:39j1`<722c5;h54>5<5<2290;w)j<:858L6bb3A9o56*n4;08m0c=831b:=4?::k47?6=3`=<6=44ob`94?=zj8l36=4::183!b420=0D>jj;I1g=>"f<380e8k50;9j25<722c5;h54>5<5<2290;w)j<:858L6bb3A9o56*n4;08m0c=831b:=4?::k47?6=3`=<6=44ob`94?=zj8l86=4::183!b420=0D>jj;I1g=>"f<380e8k50;9j25<722c5;h54>5<5<2290;w)j<:858L6bb3A9o56*n4;08m0c=831b:=4?::k47?6=3`=<6=44ob`94?=zj8l:6=4::183!b420=0D>jj;I1g=>"f<380e8k50;9j25<722c5;h54>5<5<2290;w)j<:858L6bb3A9o56*n4;08m0c=831b:=4?::k47?6=3`=<6=44ob`94?=zj8om6=4::183!b42030D>jj;I1g=>"f<3=0e8k50;9j25<722c<;7>5;ha:>5<5<2290;w)j<:858L6bb3A9o56*n4;08m0c=831b:=4?::k47?6=3`=<6=44ob`94?=zj8o36=4::183!b420=0D>jj;I1g=>"f<380e8k50;9j25<722c5;h54>5<5<2290;w)j<:858L6bb3A9o56*n4;08m0c=831b:=4?::k47?6=3`=<6=44ob`94?=zj8o=6=4::183!b42030D>jj;I1g=>"f<3=0e8k50;9j25<722c<;7>5;ha:>5<a4<,h>1>6g:e;29?l142900e:950;9lgg<722wij94?:583>5}#l:02:6F0;66alb;29?xda=3:187>50z&g7??13A9oi6F6*n4;08m0c=831b;>4?::k43?6=3fii6=44}cd5>5<3290;w)j<:848L6bb3A9o56F:a:&a6?b53-k?6?5f5d83>>o0;3:17d98:188kfd=831vnk950;694?6|,m915;5G3eg8L6b>3A?j7)l=:e08 d2=:2c>i7>5;h50>5<=1<75`cc83>>{e98;1<7:50;2x a5=1?1C?ik4H2f:?M3f3-h96i<4$`696>o2m3:17d9<:188m21=831doo4?::a544=83>1<7>t$e19=3=O;mo0D>j6;I7b?!d52m80(l:52:k6a?6=3`=86=44i6594?=hkk0;66sm10194?2=83:p(i=5979K7ac<@:n27E;n;%`1>a4<,h>1>6g:e;29?l142900e:950;9lgg<722wi=<:50;694?6|,m915;5G3eg8L6b>3A?j7)l=:e08 d2=:2c>i7>5;h50>5<=1<75`cc83>>{e98?1<7:50;2x a5=1?1C?ik4H2f:?M3f3-h96i<4$`696>o2m3:17d9<:188m21=831doo4?::aa<<72=0;6=u+d28:2>N4ll1C?i74H4c8 g4=l;1/m94=;h7f>5<91<75f7683>>idj3:17plja;290?6=8r.o?779;I1ga>N4l01C9l5+b38g6>"f<380e8k50;9j36<722c<;7>5;naa>5<jj;I1g=>N2i2.i>7j=;%c7>7=n=l0;66g83;29?l102900cnl50;9~f`e=83>1<7>t$e19=3=O;mo0D>j6;I7b?!d52m80(l:52:k6a?6=3`=86=44i6594?=hkk0;66smee83>1<729q/h>466:J0``=O;m30D8o4$c09`7=#i=097d;j:188m25=831b;:4?::m`f?6=3th:5}#l:02:6F0;66alb;29?xd68:0;694?:1y'`6<>>2B8hh5G3e;8L0g<,k81h?5+a581?l3b2900e:=50;9j32<722ehn7>5;|`241<72=0;6=u+d28:2>N4ll1C?i74H4c8 g4=l;1/m94=;h7f>5<91<75f7683>>idj3:17pl>0483>1<729q/h>466:J0``=O;m30D8o4$c09`7=#i=097d;j:188m25=831b;:4?::m`f?6=3th:<;4?:583>5}#l:02:6F0;66alb;29?xd6;?0;694?:1y'`6<>>2B8hh5G3e;8 d2=:2c>i7>5;h50>5<=1<75`cc83>>{e9:?1<7:50;2x a5=1?1C?ik4H2f:?!g32;1b9h4?::k47?6=3`=<6=44ob`94?=zj89?6=4;:183!b420<0D>jj;I1g=>"f<380e8k50;9j36<722c<;7>5;naa>5<54;294~"c;33=7E=ke:J0`<=#i=097d;j:188m25=831b;:4?::m`f?6=3th:??4?:583>5}#l:02:6F1>6g:e;29?l142900e:950;9lgg<722wi=3-k?6?5f5d83>>o0;3:17d98:188kfd=831vn5<7s-n86484H2ff?M5c12.j87<4i4g94?=n?:0;66g87;29?jee2900qo?>d;290?6=8r.o?779;I1ga>N4l01/m94=;h7f>5<91<75f7683>>idj3:17pl>1b83>1<729q/h>466:J0``=O;m30(l:52:k6a?6=3`=86=44i6594?=hkk0;66sm10`94?2=83:p(i=5979K7ac<@:n27)o;:39j1`<722c5;h54>5<5<3290;w)j<:848L6bb3A9o56*n4;08m0c=831b;>4?::k43?6=3fii6=44}c317?6=<3:15;|`267<72=0;6=u+d28:2>N4ll1C?i74$`696>o2m3:17d9<:188m21=831doo4?::a577=83>1<7>t$e19=3=O;mo0D>j6;%c7>7=n=l0;66g83;29?l102900cnl50;9~f447290?6=4?{%f0><0<@:nn7E=k9:&b0?4>o0?3:17bmm:188yg74j3:187>50z&g7??13A9oi6F0;66alb;29?xd6;h0;694?:1y'`6<>>2B8hh5G3e;8 d2=:2c>i7>5;h50>5<=1<75`cc83>>{e9:31<7:50;2x a5=1?1C?ik4H2f:?!g32;1b9h4?::k47?6=3`=<6=44ob`94?=zj8936=4;:183!b420<0D>jj;I1g=>"f<380e8k50;9j36<722c<;7>5;naa>5<54;294~"c;33=7E=ke:J0`<=#i=097d;j:188m25=831b;:4?::m`f?6=3th:h44?:283>5}#l:0jh6F156g6e;29?l?a2900cn=50;9~f6dc29086=4?{%f0>db<@:nn7E=k9:&b0??<,j21?k94i8g94?=n1o0;66al3;29?xd4jl0;6>4?:1y'`6jj;I1g=>"f<330(n653g58m5}#l:0jh6F156*l8;1e2>o>m3:17d7i:188kf5=831vn<:j:184>5<7s-n86o?4H2ff?M5c12.j8784i8g94?=n1o0;66gn0;29?lg62900el<50;9je6<722eh?7>5;|`20c<72>0;6=u+d28a5>N4ll1C?i74$`696g=n1l0;66g6f;29?lg72900el?50;9je7<722cj?7>5;na0>5<57>53;294~"c;3ko7E=ke:J0`<=#i=08=6g6e;29?l?a2900cn=50;9~f43029086=4?{%f0>db<@:nn7E=k9:&b0?563`3n6=44i8d94?=hk:0;66sm14794?5=83:p(i=5ae9K7ac<@:n27)o;:238m5}#l:0jj6F1>95+c980bg=n1l0;66g6f;29?lg72900el?50;9lg6<722wi=4650;494?6|,m91n=5G3eg8L6b>3-k?6?;4$b:97cd>of83:17do>:188md4=831do>4?::a5=b=83>1<7>t$e19e`=O;mo0D>j6;%c7>75<,j21?ho4i8g94?=n1o0;66gn0;29?je42900qo?7c;297?6=8r.o?7ok;I1ga>N4l01/m94>d:&`5;h;e>5<5<3290;w)j<:`g8L6bb3A9o56*n4;7a?l?b2900e4h50;9je5<722eh?7>5;|`23c<72<0;6=u+d28bb>N4ll1C?i74$`6975=#k108i55f9d83>>o>n3:17do?:188md7=831do>4?::a524=83?1<7>t$e19ec=O;mo0D>j6;%c7>d=n1l0;66g6f;29?lg72900el?50;9lg6<722wi=:750;794?6|,m91mk5G3eg8L6b>3-k?6<84$b:97c`>of83:17do>:188kf5=831vn<9m:187>5<7s-n86lk4H2ff?M5c12.j87;7;%a;>6`a3`3n6=44i8d94?=ni90;66al3;29?xd6k80;6>4?:1y'`65;na0>5<53;294~"c;3ko7E=ke:J0`<=#i=0:h6*l8;1ff>o>m3:17d7i:188kf5=831vn<<7:187>5<7s-n86lk4H2ff?M5c12.j87=9;%a;>6ce3`3n6=44i8d94?=ni90;66al3;29?xd6;m0;6>4?:1y'`65;na0>5<54;294~"c;3kn7E=ke:J0`<=#i=08:6*l8;1fg>o>m3:17d7i:188md6=831do>4?::a`g<72:0;6=u+d28b`>N4ll1C?i74$`695a=#k108j<5f9d83>>o>n3:17bm<:188ygbf29086=4?{%f0>db<@:nn7E=k9:&b0?7c3`3n6=44i8d94?=hk:0;66smd683>6<729q/h>4nd:J0``=O;m30(l:51e9'g=<4n91b5h4?::k:b?6=3fi86=44}cf5>5<4290;w)j<:`f8L6bb3A9o56*n4;3g?!e?2:l87d7j:188m<`=831do>4?::a`0<72:0;6=u+d28b`>N4ll1C?i74$`695a=#k108j>5f9d83>>o>n3:17bm<:188yg75j3:1:7>50z&g7?d73A9oi6FN4l01/m94<8:&`5;h;e>5<>of:3:17bm<:188yg7513:197>50z&g7?ga3A9oi6F5;hc3>5<>{e9191<7950;2x a5=j81C?ik4H2f:?!g32:>0e4k50;9j=c<722cj<7>5;hc2>5<>id;3:17pl>8683>2<729q/h>4m1:J0``=O;m30(l:52e9j=`<722c2j7>5;hc3>5<>of;3:17bm<:188yg7fj3:197>50z&g7?ga3A9oi6F5;h;e>5<>id;3:17pl>ab83>2<729q/h>4m1:J0``=O;m30(l:5359j=`<722c2j7>5;hc3>5<>of;3:17bm<:188yg7fm3:1?7>50z&g7?gc3A9oi6Fjj;I1g=>"f<3997d7j:188m<`=831bm=4?::kb5?6=3`k96=44ob194?=zj8h;6=48:183!b42k;0D>jj;I1g=>"f<3;?7d7j:188m<`=831bm=4?::kb5?6=3`k96=44i`194?=hk:0;66sm15c94?1=83:p(i=5b09K7ac<@:n27)o;:49j=`<722c2j7>5;hc3>5<>of;3:17bm<:188yg72;3:1;7>50z&g7?d63A9oi6F<2c2i7>5;h;e>5<>of:3:17do<:188kf5=831vn5<7s-n86o?4H2ff?M5c12.j87?8;h;f>5<>of93:17do=:188md5=831do>4?::a507=8391<7>t$e19ea=O;mo0D>j6;%c7><7<,j21?hk4i8g94?=n1o0;66al3;29?xd65;h;e>5<>of:3:17do<:188kf5=831vn<;i:185>5<7s-n86o>4H2ff?M5c12.j87?i;%a;>6`73`3n6=44i8d94?=ni90;66gn1;29?lg52900cn=50;9~f4e0290=6=4?{%f0>g6<@:nn7E=k9:&b0?7a3-i36>h>;h;f>5<>of93:17do=:188kf5=831vn5<7s-n86lh4H2ff?M5c12.j877=;%a;>6`c3`3n6=44i8d94?=ni90;66gn1;29?je42900qo?l3;292?6=8r.o?7l?;I1ga>N4l01/m945;h;e>5<>of:3:17bm<:188yg72j3:1;7>50z&g7?d63A9oi6F5;h;e>5<>of:3:17do<:188kf5=831vn<:l:185>5<7s-n86o>4H2ff?M5c12.j87=i;%a;>6c03`3n6=44i8d94?=ni90;66gn1;29?lg52900cn=50;9~f42c290>6=4?{%f0>d`<@:nn7E=k9:&b0??f3-i36>k8;h;f>5<>of93:17bm<:188yg72i3:197>50z&g7?ga3A9oi6FN4l01/m94=7:&`5;h;e>5<5<2290;w)j<:`d8L6bb3A9o56*n4;1b?!e?2:ln7d7j:188m<`=831bm=4?::kb5?6=3fi86=44}c3;1?6==3:1"d039m46g6e;29?l?a2900el>50;9je4<722eh?7>5;|`2<3<72?0;6=u+d28a4>N4ll1C?i74$`691f=#k108j55f9d83>>o>n3:17do?:188md7=831bm?4?::m`7?6=3th:454?:683>5}#l:0i=6F1?o5f9d83>>o>n3:17do?:188md7=831bm?4?::kb7?6=3fi86=44}c3af?6=?3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3`k86=44ob194?=zj8h>6=48:183!b42k;0D>jj;I1g=>"f<38m7d7j:188m<`=831bm=4?::kb5?6=3`k96=44i`194?=hk:0;66sm1b694?3=83:p(i=5ag9K7ac<@:n27)o;:0g8 f>=;o80e4k50;9j=c<722cj<7>5;hc2>5<5<2290;w)j<:`d8L6bb3A9o56*n4;1:?!e?2:l97d7j:188m<`=831bm=4?::kb5?6=3fi86=44}c3ae?6=?3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3`k86=44ob194?=zjm21<7=50;2x a5=im1C?ik4H2f:?!g328n0(n653g68m5}#l:0i=6F1>n5f9d83>>o>n3:17do?:188md7=831bm?4?::kb7?6=3fi86=44}c3a"d039mh6g6e;29?l?a2900el>50;9je4<722eh?7>5;|`20=<72<0;6=u+d28bb>N4ll1C?i74$`696`=#k108ih5f9d83>>o>n3:17do?:188md7=831do>4?::a5f4=8391<7>t$e19ea=O;mo0D>j6;%c7>67<,j21?hj4i8g94?=n1o0;66al3;29?xd6m:0;684?:1y'`65;hc3>5<>{e9l?1<7850;2x a5=j91C?ik4H2f:?!g32=;o?0e4k50;9j=c<722cj<7>5;na0>5<53;294~"c;3ko7E=ke:J0`<=#i=08=6*l8;1fb>o>m3:17d7i:188kf5=831vni750;194?6|,m91mi5G3eg8L6b>3-k?6>?4$b:97c2>id;3:17plk4;297?6=8r.o?7ok;I1ga>N4l01/m94<1:k:a?6=3`3m6=44ob194?=zj8h26=48:183!b42k;0D>jj;I1g=>"f<39<7d7j:188m<`=831bm=4?::kb5?6=3`k96=44i`194?=hk:0;66sm1`694?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e9h21<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm1`494?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e9>?1<7:50;2x a5=il1C?ik4H2f:?!g32>20(n653d:8m3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c175?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c163?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c15`?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1;7?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1:=?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1bb?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1a1?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1a3?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1a=?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c11a?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c104?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c106?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c100?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c102?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c103:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c10e?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c10g?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c10a?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c174?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c170?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c172?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c173:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c17e?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c17g?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c17a?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c164?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c166?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c160?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c162?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c16e?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c16g?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c16a?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c154?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c156?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c150?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c152?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c153:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c15e?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c15g?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c144?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c146?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c140?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c142?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c143:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c14e?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c14g?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c14a?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1;4?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1;6?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1;2?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1;3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1;e?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1;g?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1;a?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1:4?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1:6?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1:0?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1:2?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1:3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1:g?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1:a?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1b4?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1b6?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1b0?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1b2?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1b3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1be?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1bg?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1ba?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1a6?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1a0?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c3:e?6=;3:1o>m3:17d7i:188kf5=831vn<6m:181>5<7s-n86l74H2ff?M5c12c2h7>5;na0>5<52;294~"c;3k27E=ke:J0`<=n1m0;66al3;29?xd6nm0;6?4?:1y'`64?::a522=8381<7>t$e19e<=O;mo0D>j6;h;g>5<5<3290;w)j<:c18L6bb3A9o56g6e;29?l?a2900enj50;9lg6<722wi=8j50;494?6|,m91n=5G3eg8L6b>3-k?63-k?6<;4i8g94?=n1o0;66gn0;29?lg62900el<50;9je6<722eh?7>5;|q7f1<72>qU8o:4=2a3>f?<5:i:6n74=2a1>f?<5:n86n74=2ag>f?<5:hm6:=4}r`;>5<6nr78hk46b:?0g5<0?278oo487:?0gf<0?278o;487:?0g2<0?278o5487:?1=6474:?1<`1b8`f>;6ik02j63>b98:b>;6j00j>63>bg8b4>;6k?02j63>ae8b4>;6jk02i63>b48:a>;6jh02i6s|10:94??|58;o6nl4=0c`><`<58kn64h4=0a0>d7<58i?6l?4=0a6>d7<58h264h4=0a2>4n0:?2g1p1508:b>;64e8:a>;60=02j63>488b6>;6<102j63>5d8b7>;6=:0j=63>5c8:b>;6=h02i63>848b4>;60?02j6s|13g94?b|58986nl4=06b>d5<58>o6l>4=0:7>d6<582=6l>4=06:>d6<58?o6l>4=076><`<58?86l<4=07a>d6<58>h64h4=07b><`<582>64h4}r31b?6=1r7:?94lb:?212<>m27:8n46e:?21a20o01<;i:`28943c20l01<;j:8g894>42h;01<68:8d8yv7493:18v3>378`f>;6=o02i63>828:a>;60>02i6s|3ca94?7>s482?7=kc:?1<`<4lj16>4<53ea897?12:nh70<64;1gg>;51<08hn5228;97ae<5;3<6>jl;<0:6=`=;mi01?7?:2f`?87b>3<;70?j7;43?87b03<;70?j9;43?87bn3<;70?i0;43?87a93<;70?i2;43?87a;3<;70?i7;43?87a03<;70?i9;43?87c13i87p}>b283>7}:9k91oo5Q4`28yv7dl3:1>vP;b99>5a5=kk1v;6l10hn6s|1g694?72s482?7;;;<0;a?333482>7;;;<0:2?33348287;;;<0:1?33348257;;;<0:3?33348247;;;<0:5?333483j7;;;<0:4?3334;m;7mm;6l>4=e;9=c=:9k315h521b39=c=:9kl1m>5rs0d6>5<6;r795>4:5:?1<`<2=2795?4:5:?1=3<2=279594:5:?1=0<2=279544:5:?1=2<2=279554:5:?1=4<2=2794k4:5:?1=5<2=27:j54lb:?gf??b34nj64h4=0a4>d6<58i864k4=0a7><`<58i>64k4}r3e2?6=99q6>4=5569>6=c==>16>4<5569>6<0==>16>4:5569>6<3==>16>475569>6<1==>16>465569>6<7==>16>5h5569>6<6==>16=k75cc9>56d=?>16ho46f:?2g2<>m2wx?ol50;6:843>3<;70<;7;43?842:3<;70<:d;43?84103<;70<83;43?840m3<;70<79;43?84?k3<;70<7d;43?84303<;70<;9;43?843i3<;70<;b;43?843k3<;70<;d;43?843m3<;70<;f;43?84283<;70<:1;43?842;3<;70<:4;43?842=3<;70<:6;43?842?3<;70<:8;43?84213<;70<:a;43?842j3<;70<:c;43?842m3<;70<:f;43?84183<;70<91;43?841:3<;70<93;43?841<3<;70<95;43?841>3<;70<97;43?84113<;70<9a;43?841j3<;70<9c;43?841l3<;70<9e;43?841n3<;70<80;43?84093<;70<82;43?840<3<;70<85;43?840>3<;70<87;43?84003<;70<89;43?840i3<;70<8b;43?840k3<;70<8d;43?840n3<;70<70;43?84?93<;70<72;43?84?;3<;70<74;43?84?=3<;70<76;43?84??3<;70<78;43?84?i3<;70<7b;43?870>3i87p}>8883>1}:9131oo5216;9=`=:9>>15i521609=c=z{8=o6=4={_6:3>;6?m0hn6s|17494?4|V=k<70?9c;aa?xu6>>0;6?uQ4`:8940c2jh0q~?98;296~X3i016=;k5cc9~w40>2909wS:na:?22cfd52dy>6<5=<816>4=5469>6<5=5k5409>6=c=<>16>5k54e9>6<4=<816>4<5469>6<4=485409>6<0=<>16>4854e9>6<2=<816>4:5469>6<2=4;5409>6<3=<>16>4;54e9>6475469>6495409>6<1=<>16>4954e9>6<>=<816>465469>6<>=4?5409>6<7=<>16>4?54e9>6=`=<816>5h5469>6=`=4>5409>6<6=<>16>4>54e9>5d5=kk16h846e:?20ab2=801?6j:5:897>b2=o01?7=:50897?52=201?7=:5g897?12=801?79:5:897?12=o01?7;:50897?32=201?7;:5g897?22=801?7::5:897?22=o01?76:50897?>2=201?76:5g897?02=801?78:5:897?02=o01?77:50897??2=201?77:5g897?62=801?7>:5:897?62=o01?6i:50897>a2=201?6i:5g897?72=801?7?:5:897?72=o01;3>270<63;6e?84?m3>870<7e;6:?84?m3>m70<62;60?84>:3>270<62;6e?84>>3>870<66;6:?84>>3>m70<64;60?84><3>270<64;6e?84>=3>870<65;6:?84>=3>m70<69;60?84>13>270<69;6e?84>?3>870<67;6:?84>?3>m70<68;60?84>03>270<68;6e?84>93>870<61;6:?84>93>m70<7f;60?84?n3>270<7f;6e?84>83>870<60;6:?84>83>m70?n7;aa?8b020o01i859g9>5=1=i;16=8h5a09~w4g529095v3=92871>;51:0?n63=92865>;50l0?963=8d87f>;50l0>=63=93871>;51;0?n63=93865>;51?0?963=9787f>;51?0>=63=95871>;51=0?n63=95865>;51<0?963=9487f>;51<0>=63=98871>;5100?n63=98865>;51>0?963=9687f>;51>0>=63=99871>;5110?n63=99865>;5180?963=9087f>;5180>=63=8g871>;50o0?n63=8g865>;5190?963=9187f>;5190>=63>a88`f>;6:=0<;63k7;;e?872n33m70?77;c2?xu6nl0;6?uQ45g897212jh0q~995cc9~w7732909wS:94:?117h7mm;|q16d<72;qU8:o4=34;>fd52z\7<0=::>91oo5rs363>5<5sW>2<63=7d8`f>{t:=91<77}Y<0?01?6l:b`8yv43=3:1>vP;979>6=b=kk1vj6nl4}r036?6=:rT?9?5225`9gg=z{;:86=4={_667>;59h5cc9~w7602909wS::7:?115=650;0xZ13?348>=7mm;|q14d<72;qU88o4=370>fd52z\71g=::<>1oo5rs32`>5<5sW>>o63=548`f>{t:9n1<73ii7p}=0d83>7}Y<vP;5g9>60>=kk1v???:181[218279944lb:p647=838pR98>;<06e?ee3ty9=?4?:3y]034<5;?i6nl4}r027?6=:rT?:>5224a9gg=z{;;>6=4={_651>;5=l0hn6s|20494?4|V=<=70<:f;aa?xu59>0;6?uQ475897072jh0q~<>8;296~X3>116>;?5cc9~w77>2909wS:99:?127fd52z\72f=::??1oo5rs33g>5<5sW>=h63=678`f>{t:8o1<77}Y<>:01?86:b`8yv4593:1>vP;709>63g=kk1v?<=:181[20:279:o4lb:p675=838pR99<;<05g?ee3ty9>94?:3y]022<5;;5>o0hn6s|23594?4|V==<70<80;aa?xu5:10;6?uQ46:897162jh0q~<=9;296~X3?016>:<5cc9~w74e2909wS:8b:?131?m50;0xZ11d348<97mm;|q16a<72;qU8:j4=355>fd52z\73`=::>=1oo5rs30e>5<5sW>{t:::1<77}Y<1;01?9n:b`8yv44:3:1>vP;839>62d=kk1v?=<:181[2?;279;n4lb:p662=838pR96;;<04`?ee3ty9?;4?:3y]0=0<5;=m6nl4}r003?6=:rT?4:522929gg=z{;936=4={_6;<>;5080hn6s|22;94?4|V=2270<72;aa?xu5;h0;6?uQ49c897>42jh0q~<5:5cc9~w75d2909wS:7c:?1<0>j50;0xZ1>c3483:7mm;|q17`<72;qU85k4=3:4>fd52z\75<5sW>2=63=8`8`f>{t:=81<71}:9k81o4521c19g<=:;j81;:523b39gg=z{:hm6=4={<1ab?ee34;i<77j;|q0g0<7283p1<66:b;896e?2jh01<8l:618940c2>901<8j:618940a2>901<9?:61894162>901<76:b;894?e2>901<7l:61894?c2>901<7j:61894g42>90190162>901<98:618941f2>90190152133936=:9;81;>52131936=:9;>1;>52120936=:9:91;>52126936=:9:?1;>52124936=:9981;>52111936=:99>1;>52117936=:99<1;>52e8847>;bi3=870km:6189`e=?:16ii483:p7f5=833:w0=l6;aa?843>3=870<;7;50?842:3=870<:d;50?84103=870<83;50?840m3=870<79;50?84?k3=870<7d;50?84303=870<;9;50?843i3=870<;b;50?843k3=870<;d;50?843m3=870<;f;50?84283=870<:1;50?842;3=870<:4;50?842=3=870<:6;50?842?3=870<:8;50?84213=870<:a;50?842j3=870<:c;50?842m3=870<:f;50?84183=870<91;50?841:3=870<93;50?841<3=870<95;50?841>3=870<97;50?84113=870<9a;50?841j3=870<9c;50?841l3=870<9e;50?841n3=870<80;50?84093=870<82;50?840<3=870<85;50?840>3=870<87;50?84003=870<89;50?840i3=870<8b;50?840k3=870<8d;50?840n3=870<70;50?84?93=870<72;50?84?;3=870<74;50?84?=3=870<76;50?84??3=870<78;50?84?i3=870<7b;50?855i3=870==c;50?853:3=870=:8;50?851m3=870=74;50?85>i3=870=m0;50?85e>3=870=m8;50?855l3=870==f;50?85493=870=<3;50?854=3=870=<7;50?85413=870=93=870=63;50?85>=3=870=67;50?85>j3=870=6d;50?85>n3=870=n1;50?85f;3=870=n5;50?85f?3=870=n9;50?85fj3=870=nd;50?85e93=870=m3;50?xu4kh0;6<=t=2a`>fd<58n86:=4=0f7>25<58n>6:=4=0f5>25<58n<6:=4=0f;>25<58o=6n74=0g4>25<58o36:=4=0g:>25<58om6n74=0d3>25<58l:6:=4=0d1>25<58l86:=4=0d4>25<58l36:=4=0d:>25514y>7fd=kk16=>95729>56>=?:16=>75729>56g=?:16=>l5729>54d=?:16=54b=?:16=54`=?:16=544=?:16=<=5729>542=?:16=<;5729>b6<0;27m879<;25<5o<1;>52f6847>{t0;6>kt^5`4?87e:3?n70?m3;7f?85d83?n70=k5;7f?85dj3?n70=lc;7f?85d93?n70=k6;7f?85d:3?n70=k3;7f?85en3?n70<63;1gf>;50l08ho5228097ad<5;3=6>jm;<0:0?5cj2795846039on63=9080`g=::1l1?il4=3;3>6be34;o?7;j;<3g0?3b34;o97;j;<3g2?3b34;o;7;j;<3g0c<5o>19h52f486a>;a>3?n70h8:4g8yv2fn3:18kuQ4`d8941a2h;01<96:`38941e2h:01<9::8d8964e2h:01>:>:`2896302h:01>8k:`2896>42h:01>76:`2896ga2h:01>l::`2896d02h:01>l6:`28964b2h:01>=?:`2896552h:01>=;:`2896512h:01>=7:`28965f2h:01>=l:`28965b2h:01>:?:`2896232h:01>:9:`28962?2h:01>:n:`28962d2h:01>:j:`2896372h:01>;=:`2896332h:01>;9:`28963f2h:01>;l:`28963b2h:01>8?:`2896052h:01>8;:`2896012h:01>87:`28960f2h:01>8l:`2896172h:01>9=:`2896132h:01>99:`28961?2h:01>9n:`28961d2h:01>9j:`2896>72h:01>6=:`2896>12h:01>67:`2896>f2h:01>6l:`2896>b2h:01>7?:`2896?52h:01>7;:`2896?12h:01>77:`2896?d2h:01>7j:`2896g72h:01>o=:`2896g32h:01>o9:`2896g?2h:01>on:`2896gd2h:01>oj:`2896d52h:01>l;:`28941120o01<9=:8g8yv2f>3:1o>uQ4`4894>>2mi:4g896e12m8:4g896e?2j?:4g896ec2>2c22:4g897152272:4g897>523212?2e2:=:4g8963?28j:4g896>327n:4g896d72l9:4g896d?2=>:4g896542=::4g896502=6:4g8965e2=k:4g8965a2:<:4g896222:8:4g8962>2:m:4g8962c2:i:4g896362;<:4g896322;6:4g8963e2;k:4g8963a28>:4g8960428::4g89600286:4g8960e28i:4g8961629<:4g89612298:4g8961>29m:4g8961c29i:4g896>626::4g896>0266:4g896>e26k:4g896>a27>:4g896?427::4g896?027m:4g896?c27i:4g896g62o<:4g896g22o8:4g896g>2om:4g896gc2l>:4g896d4262=:4g894642;:4g8946229:4g89`?==l16il4:e:?ff?3b34oh68k4=df91`=:9h>19h521`:91`=:9h<19h5rs527>5<5sW>;863=8d84=>{t<9l1<77}Y<8k01?6j:6a8yv25=3:1>vP;249>6=c=?l1v9=?:181[2482794h48f:p06d=838pR9=m;<0;a?>63ty?8;4?:3y]010<5;396:74}r67e?6=:rT?8l5228093g=z{=>i6=4={_67f>;51;0h70<62;5f?xu38<0;6?uQ417897?52>l0q~:?6;296~X38?16>4<5809~w1602909wS:?7:?1=6<012wx8=650;0xZ16?3482?79m;|q74<<72;qU8=74=3;0>2e;m7>52z\74d=::091;h5rs52a>5<5sW>;n63=9284b>{t<9i1<7;32:7p};0e83>7}Y<9n01?7;:6;8yv27m3:1>vP;0d9>6<2=?k1v9??:181[2682795948c:p047=838pR9?>;<0:0?1b3ty?=?4?:3y]044<5;3?6:h4}r627?6=:rT?=>522869<4=z{=;?6=4={_620>;51<0<56s|40794?4|V=;>70<65;5a?xu39?0;6?uQ404897?22>i0q~:>7;296~X39>16>4;57d9~w17?2909wS:>8:?1=0<0n2wx8<750;0xZ17>3482976>;|q75g<72;qU82?:o7>52z\75f=::0<1;o5rs53g>5<5sW>:h63=9784g>{t<8o1<7>3=n7p};1g83>7}Y<8l01?79:6d8yv2583:1>vP;219>6<0=081v9<>:181[2592795:489:p074=838pR9<=;<0:3?1e3ty?>>4?:3y]075<5;3<6:m4}r610?6=:rT?>95228593`=z{=8=6=4={_612>;51>030q~:=9;296~X3:016>4657c9~w14f2909wS:=a:?1==<0k2wx8?l50;0xZ14e3482479j;|q76f<72;qU8?m4=3;;>2`9h7>52z\76a=::0214<5rs50f>5<5sW>9i63=9884=>{t<;l1<713=i7p};3083>7}Y<:;01?76:6a8yv24:3:1>vP;339>663ty??84?:3y]063<5;2m6:74}r602?6=:rT??;5229d93g=z{=9<6=4={_603>;50o0a2>l0q~:5h5809~w15d2909wS:j50;0xZ15c3482<79m;|q77`<72;qU8>k4=3;3>2e8j7>52z\77c=::0:1;h5rs563>5<5sW>?<63=9184b>{t<=;1<7832:7p};4383>7}Y<=801?7>:6;8yv23;3:1>vP;429>6<7=?k1v9:;:181[23<2795<48c:p013=838pR9::;<0:5?1b3ty?8:4?:3y]011<5;3:6:h4}r67=?6=:rT?84522839<4=z{82:6=48{<3;5?ee34;?j77j;<37e??a34;?n77i;<37=??b34;?477j;<37a??b3ty:;:4?:47x94102jh01<:i:8d8941a2h:01<96:8d8941e20o01<:n:8g8942e20o01<:6:8d8942?2h;01<9::`28964e2h;01>:>:`3896302h;01>8k:`3896>42h;01>76:`3896ga2h;01>l::`3896d02h;01>l6:`38964b2h;01>=?:`3896552h;01>=;:`3896512h;01>=7:`38965f2h;01>=l:`38965b2h;01>:?:`3896232h;01>:9:`38962?2h;01>:n:`38962d2h;01>:j:`3896372h;01>;=:`3896332h;01>;9:`38963f2h;01>;l:`38963b2h;01>8?:`3896052h;01>8;:`3896012h;01>87:`38960f2h;01>8l:`3896172h;01>9=:`3896132h;01>99:`38961?2h;01>9n:`38961d2h;01>9j:`3896>72h;01>6=:`3896>12h;01>67:`3896>f2h;01>6l:`3896>b2h;01>7?:`3896?52h;01>7;:`3896?12h;01>77:`3896?d2h;01>7j:`3896g72h;01>o=:`3896g32h;01>o9:`3896g?2h;01>on:`3896gd2h;01>oj:`3896d52h;01>l;:`38942b20l01<99:`2894152h;0q~?7e;2951}:9031oo521849=c=:9021m=5219f9=c=:91i15k521439=`=:9=n15k521969=`=:9=31m>5215:9e5=:l=02i63>9`8:b>;60k02h63>5d8b4>;6=:0j?63>5c8b5>;65`8b4>;60<0j=63>878b5>{t91l1<77t=0;a>fd<58k86:94=0;5>d6<58336l?4=0:g>d6<582h64k4=0c7>21<582>64k4=0:5>57z?2=fm27:m;487:?2<6=01<6<:`28yv7>:3:18v3>9d8`f>;6i00<;63>998:b>;60:02j6s|19094?4|58226:94=0:;>f5510y>5`0=kk16=k>5769>5f0=i816=ol59g9>`=<>m27:n54n1:?2a6n27:hl46e:?g=??b34;i57o>;<3e`??c34;ij7o=;<3b`?g534;i977i;<3ae??a3ty:hi4?:7y>5`1=kk16=k?5769>5dc=1l16=h=59g9>5`3=i;16=il59d9~w4bb290>w0?j8;aa?87a:3=<70?nf;c2?87b;33n70?j5;;f?xu6lo0;69u21d;9gg=:9o91;:521`d9=`=:9l?15k5rs0gb>5<`<58h36l>4=0a1>d6<58ni6l>4=0fb><`<58h26l>4=0da>d6<58ko6l?4}r3ff?6=:r7:j=4lb:?2eg<>m2wx=hm50;1x94`62jh01f9843>;6ij0j>6s|1dg94?5|58l86nl4=0d:>21<58kh6l>4}r3a6?6=;r7:n?4lb:?2`<<>n27:mi46e:p5g7=839p1=01v3;4jm0h?6s|3e394?2|5:i=6n74=2a4>f?<5:i36n74=2f1>f552z?0`6<0?278nh4l3:p7a1=839p1>mm:b;896ed2j301>j7:b18yv5c83:1>v3;4l;02j6s|3bd94?5|5:n;6:94=2ae>fd<5:ho64h4}r1``?6=m2wx?i850;0x96b12jh01>j7:8d8yv5c=3:1?v3;4l<0hn63{t;m91<7:t=2f6>21<5:n86nl4=2`f>50;0x96e62>=01>m?:b`8yv5ei3:1hv3>9c854>;61j0=<63>9e854>;61l0=<63>a2854>;6i<0=<63>a6854>;6i00=<63>738`7>;6i=0=<63>a9854>;6i?0=<63>898b4>{t:l81<7=t=365>21<5:8j6nl4=20a>d453z?102<0?278>n4lb:?004k650;1x97352>=01>:=:b`896302h80q~=?3;297~;5=m0<;63<598`f>;4>m0j>6s|31g94?5|5;<36:94=24f>fd<5:286l<4}r12=?6=;r79;>487:?0<1oi:`08yv55?3:1?v3=88843>;4j90hn63{t;;21<7=t=3:`>21<5:h=6nl4=2`4>d453z?1h=50;1x972?2>=01>;4;90j>6s|2d794?5|5;>j6:94=212>fd<5:996l<4}r0f2?6=;r798o487:?076=9:`08yv4b03:1?v3=4e843>;4;>0hn63<398b6>{t:l31<7=t=36f>21<5:926nl4=21b>d453z?10c<0?278?o4lb:?07fhl50;1x97372>=01>=k:b`8965b2h80q~;4<90j>6s|2dg94?5|5;?86:94=260>fd<5:>?6l<4}r0fb?6=;r7999487:?000:7:`08yv4a93:1?v3=57843>;4<00hn63<4`8b6>{t:o81<7=t=374>21<5:>i6nl4=26`>d453z?11=<0?2788i4lb:?00`k:50;1x973>2>=01>:i:b`896372h80q~;4=;0j>6s|2g494?5|5;?i6:94=270>fd<5:??6l<4}r0e3?6=;r799n487:?0102jh01>;n:`08yv4ai3:1?v3=5g843>;4=k0hn63<5b8b6>{t:oh1<7=t=343>21<5:?o6nl4=27f>d453z?124<0?2789k4lb:?025kj50;1x97052>=01>8>:b`896052h80q~:0<;63<628`f>;4>=0j>6s|2gd94?5|5;fd<5:<=6l<4}r134?6=;r79:8487:?0222jh01>8n:`08yv57:3:1?v3=66843>;4>k0hn63<6b8b6>{t;9>1<7=t=34:>21<5:d453z?12d<0?278;<4lb:?037=01>9<:b`896132h80q~=?7;297~;5>j0<;63<748`f>;4??0j>6s|31:94?5|5;fd<5:=36l<4}r13=?6=;r79:h487:?03<9l:`08yv57j3:1?v3=71843>;4?m0hn63<7d8b6>{t;9i1<7=t=352>21<5:=m6nl4=2:3>d453z?137<0?2784<4lb:?0<7=01>6::b`896>12h80q~=>0;297~;5?<0<;63<868`f>;4010j>6s|30394?5|5;==6:94=2::>fd<5:2j6l<4}r126?6=;r79;:487:?0c2jh01>6j:`08yv56<3:1?v3=78843>;40o0hn63<918b6>{t;8?1<7=t=35b>21<5:3:6nl4=2;1>d453z?13g<0?2785>4lb:?0=1=01>7::b`896?12h80q~=>8;297~;5?m0<;63<968`f>;4110j>6s|30c94?5|5;=m6:94=2;a>fd<5:3h6l<4}r12f?6=;r794=487:?0=a:65896?a2jh01>o?:`08yv56l3:1?v3=83843>;4i80hn63{t;8o1<7=t=3:0>21<5:k86nl4=2c7>d453z?1<1<0?278m84lb:?0e350;1x97>22>=01>o8:b`896g?2h80q~==1;297~;50?0<;63;4ih0j>6s|33094?5|5;2<6:94=2ca>fd<5:kh6l<4}r117?6=;r7945487:?0eal=:`08yv55>3:1?v3=8c843>;4j:0hn63{t:0k1<7=3<5:8i64k4}r0b1?6=:r794h477:?004<>m2wx>o>50;0x97>b21201>;8:8g8yv4ej3:1>v3=8d8;e>;4>m02i6s|2b494?4|5;2n65l4=2:0>52z?1<`{t:l:1<7=><5:h<64k4}r0f5?6=:r795?47a:?0f<<>m2wx>4l50;0x97?521h01>k3:1>v3=938;`>;4;902i6s|28f94?4|5;3865;4=211>52z?1=6{t:h;1<7=d<5:9j64k4}r0b6?6=:r795>47d:?07f<>m2wx>l=50;0x97?321?01>=j:8g8yv4f<3:1>v3=958;3>;4<902i6s|2`494?4|5;3?6564=267>52z?1=1=838p1?7;:9`8962?20o0q~{t:hk1<7=3<5:>h64k4}r0bf?6=:r7958477:?00`<>m2wx>lm50;0x97?221201>;?:8g8yv4fl3:1>v3=948;e>;4=;02i6s|2`g94?4|5;3>65l4=277>52z?1=0{t:k91<7=><5:?n64k4}r0a0?6=:r795;47a:?025<>m2wx>o;50;0x97?121h01>8=:8g8yv4e>3:1>v3=978;`>;4>=02i6s|2c594?4|5;3<65;4=245>52z?1=203m63<6b8:a>{t:ki1<7=d<5:=;64k4}r0a`?6=:r795:47d:?037<>m2wx>ok50;0x97??21?01>9;:8g8yv4en3:1>v3=998;3>;4??02i6s|2b294?4|5;336564=25;>52z?1=={t:j>1<7=3<5:2;64k4}r0`1?6=:r7954477:?0<7<>m2wx>n950;0x97?>21201>69:8g8yv4d03:1>v3=988;e>;40102i6s|2b;94?4|5;3265l4=2:b>52z?1=<b20o0q~{t:jn1<7=><5:3964k4}r0`a?6=:r794k47a:?0=1<>m2wx>nh50;0x97>a21h01>79:8g8yv4c83:1>v3=8g8;`>;41102i6s|2e094?4|5;3;65;4=2;`>52z?1=5{t:m<1<7=d<5:k?64k4}r0g3?6=:r795=47d:?0e3<>m2wx>i650;0x97?621?01>o7:8g8yv4c13:1>v3=908;3>;4ih02i6s|2ec94?4|5;3:6564=2c`>52z?1=4:9`896d520o0q~{t9==1<7=t=07e>f5<58>n6l=4=06e>d553z?20c4d8b4>;6d7:7>53z?21263>508`7>{t9?:1<721<58>36n=4}r355?6=:r7::i487:?20<=01<:n:b18yv71;3:1>v3>6g843>;6f552z?234<0?27:8k4l3:p5<5=838p1<7m:65894>d2j90q~?64;296~;61j0<;63>8e8`7>{t90?1<721<583=6n=4}r3:3?6=:r7:5h487:?2===01<96:b18yv70m3:1?v3>7b843>;6?m0<;63>7g8`7>{t91:1<7=t=0:2>21<58=j6:94=05a>f554gy>52g=kk16=:859g9>52`=1o16=:75a19>52d=1o16=:;59d9>77d=1o16?9?59g9>701=1o16?;j59g9>7=5=1o16?4759g9>7d`=1o16?o;59g9>7g1=1o16?o759g9>77c=1o16?>>59g9>764=1o16?>:59g9>760=1o16?>659g9>76g=1o16?>m59g9>76c=1o16?9>59g9>712=1o16?9859g9>71>=1o16?9o59g9>71e=1o16?9k59g9>706=1o16?8<59g9>702=1o16?8859g9>70g=1o16?8m59g9>70c=1o16?;>59g9>734=1o16?;:59g9>730=1o16?;659g9>73g=1o16?;m59g9>726=1o16?:<59g9>722=1o16?:859g9>72>=1o16?:o59g9>72e=1o16?:k59g9>7=6=1o16?5<59g9>7=0=1o16?5659g9>7=g=1o16?5m59g9>7=c=1o16?4>59g9>7<4=1o16?4:59g9>7<0=1o16?4659g9>77d6=1o16?l<59g9>7d2=1o16?l859g9>7d>=1o16?lo59g9>7de=1o16?lk59g9>7g4=1o16?o:59g9>524=i91v<9l:181870k3ii70?8f;;f?xu6j=0;6?u21e:932=:9k?1o>5rs0`4>5<4s4;h;7m<;<3af?g434;i97o<;|q2f3<72:q6=n=5c29>5gd=i;16=o;5a39~w4e72908w0?l1;a0?87ej3k:70?m5;c2?xu6jj0;69u21cf9g6=:9kh1m=521c79e5=:9kk1m=5rs0a;>5<5s4;o8798;<3a5a3=?>16=o75c29~w4ef2909w0?k6;54?87ei3i87p}>cc83>7}:9m=1;:521c`9g6=z{8ih6=4={<3g7?1034;h>7m<;|q2a5<72;q6=h85769>5ag=k:1v:18187b?3=<70?kb;a0?xu6m;0;6?u21d:932=:9l91o>5rs0g7>5<5s4;n5798;<3f1?e43ty:8<4?:3y>565=?>16=9>5c29~w45b2909w0?<4;54?874n3i87p}>3b83>7}:9:?1;:5212f9g6=z{88j6=4={<32g?1034;957m<;|q262<72;q6=57>=k:1v<<::181876m3=<70?=6;a0?xuck3:1>v3>21843>;c<3i87p}kd;296~;6:80<;63k5;a0?xucm3:1>v3>23843>;c>3i87p}kf;296~;6::0<;63k7;a0?xub83:1>v3>36843>;c03i87p}j1;296~;6;10<;63k9;a0?xub:3:1>v3>38843>;ci3i87p}j3;296~;6;h0<;63kb;a0?xu6<:0;6?u2120932=:9=81o>5rs00`>5<5s4;:n798;<31f?e43tyni7>52z?e7?1034;9<7mm;|qfb?6=:r7m8798;<315?ee3tym<7>52z?e1?1034;9>7mm;|qe5?6=:r7m:798;<317?ee3tym>7>52z?e3?1034;987mm;|qe`?6=:r7:m2wxjh4?:2y>555=kk16=9<59g9>516=1l1vkh50;6x94632jh01<=i:`2894252h:01<:?:8d8yv7783:19v3>048`f>;6;m02i63>3g8:a>;6<;0j=63>418b4>{t99;1<78t=015>21<58:=6nl4=01g><`<589m64h4=061>d4<58>;6l?4}rd;>5<5s4;;>798;fd5<5s4;;8798;fd5<5s4;;:798;fd21<589<6nl4}rg6>5<5s4oj6:94=01;>fd21<58926nl4}rg4>5<5s4oh6:94=01b>fd21<589i6nl4}r33g?6=:r7:=<4lb:?26g<>m2wx==j50;1x94752jh01<20o0q~??e;290~;69:0hn63>298b4>;6:k0j<63>288:b>{t99l1<7;t=037>fd<588=64k4=00;>d656z?25c<0?27:=84lb:?263<>n27:>546f:?26g44n1:p551=838p1:6589c5=kk1v<>7:181876:3=<70h;:b`8yv7713:1>v3>12843>;a=3ii7p}>0`83>7}:98>1;:52f78`f>{t99h1<721<5o=1oo5rs0db>57;=;<0:2?35348287;=;<0:1?35348257;=;<0:3?35348247;=;<0:5?353483j7;=;<0:4?3534;nj798;<3ef?e43ty:jn4?:ey>6<5==:16>5k5529>6<4==:16>485529>6<2==:16>4;5529>6495529>6<>==:16>4?5529>6=`==:16>4>5529>5cb=k:1v<6n:1811~;51:0?<63=92872>;51:0?o63=8d874>;50l0?:63=8d87g>;51;0?<63=93872>;51;0?o63=97874>;51?0?:63=9787g>;51=0?<63=95872>;51=0?o63=94874>;51<0?:63=9487g>;5100?<63=98872>;5100?o63=96874>;51>0?:63=9687g>;5110?<63=99872>;5110?o63=90874>;5180?:63=9087g>;50o0?<63=8g872>;50o0?o63=91874>;5190?:63=9187g>;60k0h?6s|19194?4|58286n=4=0:;>52z?2<2b18b6>{t9hi1<7f5<58h;6l=4}r3b`?6=:r7:mh4l3:?2f5<>n2wx=lk50;0x94ga2j901v3>528`7>;6=o0j>6s|1cg94?4|58hm6n=4=0a4>d45f0=k:16=n=5a39>5gg=i81vn>50;0x94>32j901<67:`08yve62909w0?75;a0?87?033m7p}m9;296~;60?0h?63>898b5>{tjk0;6?u21b69g6=:9kk1m>5rsca94?4|58i>6n=4=0`b>d453z?2e1m2wx=l650;6x94g?2jh01<;6:8d8943c20o01<;j:`38yv7f>3:19v3>a78`f>;6=>02j63>5e8b5>;6=l02j63>528:b>{t9>?1<7f5<583j64k4}r347?6=77e=>916?9<5619>70>=>916?;k5619>7=2=>916?4o5619>7g6=>916?o85619>7g>=>916??j5619>77`=>916?>?5619>765=>916?>;5619>761=>916?>75619>76d=>916?>j5619>76`=>916?9=5619>713=>916?995619>71?=>916?9l5619>71b=>916?9h5619>707=>916?8=5619>703=>916?875619>70d=>916?8j5619>70`=>916?;?5619>735=>916?;;5619>731=>916?;75619>73d=>916?;h5619>727=>916?:=5619>723=>916?:95619>72?=>916?:l5619>72b=>916?:h5619>7=7=>916?5;5619>7=1=>916?575619>7=d=>916?5j5619>7=`=>916?4?5619>7<5=>916?4;5619>7<1=>916?4l5619>7916?4h5619>7d7=>916?l=5619>7d3=>916?l95619>7d?=>916?ll5619>7db=>916?o?5619>7g5=>916=475619>522=k:1v>5rs274>5<5s49?>798;<163?e43ty8:i4?:3y>70>=?>16?;j5c29~w6>42909w0=9e;54?85?;3i87p}<9883>7}:;1>1;:5238;9g6=z{:km6=4={<1:e?10349jj7m<;|q0f0<72;q6?o>5769>7g3=k:1v>l8:18185e>3=<70=m7;a0?xu4j00;6?u23c:932=:;k31o>5rs20f>5<5s499h798;<11a?e43ty8?=4?:3y>77`=?>16?>>5c29~w6552909w0=<1;54?854:3i87p}<3583>7}:;:91;:523269g6=z{:9=6=4={<101?103498:7m<;|q07=<72;q6?>95769>76>=k:1v>=n:18185413=<70=5rs21f>5<5s498h798;<10a?e43ty88=4?:3y>76`=?>16?9>5c29~w6232909w0=;3;54?853<3i87p}<4783>7}:;=?1;:523549g6=z{:>36=4={<173?10349?47m<;|q00d<72;q6?975769>71g=k:1v>:l:181853j3=<70=;c;a0?xu45rs273>5<5s49?j798;<164?e43ty89?4?:3y>707=?>16?8<5c29~w6332909w0=:3;54?852<3i87p}<5783>7}:;m7m<;|q01f<72;q6?8l5769>70e=k:1v>;j:181852l3=<70=:e;a0?xu4>90;6?u234d932=:;?:1o>5rs241>5<5s49==798;<156?e43ty8:94?:3y>735=?>16?;:5c29~w6012909w0=95;54?851>3i87p}<6983>7}:;?=1;:5237:9g6=z{:73e=k:1v>9?:181851n3=<70=80;a0?xu4?;0;6?u2363932=:;>81o>5rs257>5<5s49723=?>16?:85c29~w61?2909w0=87;54?85003i87p}<7`83>7}:;>31;:5236c9g6=z{:=h6=4={<14f?1034972c=k:1v>6?:181850n3=<70=70;a0?xu40;0;6?u2393932=:;181o>5rs2:5>5<5s4939798;<1;2?e43ty8454?:3y>7=1=?>16?565c29~w6>f2909w0=79;54?85?i3i87p}<8b83>7}:;1h1;:5239a9g6=z{:2n6=4={<1;`?103493i7m<;|q0=5<72;q6?5h5769>7<6=k:1v>7=:18185>93=<70=62;a0?xu41=0;6?u2381932=:;0>1o>5rs2;5>5<5s4929798;<1:2?e43ty8554?:3y>7<1=?>16?465c29~w6?d2909w0=6b;54?85>k3i87p}<9d83>7}:;0n1;:5238g9g6=z{:k;6=4={<1:b?10349j<7m<;|q0e7<72;q6?l?5769>7d4=k:1v>o;:18185f;3=<70=n4;a0?xu4i?0;6?u23`7932=:;h<1o>5rs2c;>5<5s49j;798;<1b7d?=?>16?lo5c29~w6gd2909w0=nb;54?85fk3i87p}7}:;hn1;:523`g9g6=z{:h96=4={<1a5?10349i>7m<;|q0f1<72;q6?o=5769>7g2=k:1v<7n:18187>13=<70?6a;a0?xuel3:1>v3>5e8`7>;6=j02i6s|bd83>7}:95214a9=c=zughh97>51zJ0`<=zfki=6=4>{I1g=>{ijj=1<7?tH2f:?xhek10;6j6;|lagf<728qC?i74}o```?6=9rB8h45rncaf>5<6sA9o56sabbd94?7|@:n27p`md183>4}O;m30qclk1;295~N4l01vboj=:182M5c12weni=50;3xL6b>3tdih94?:0yK7a?51zJ0`<=zfkn=6=4>{I1g=>{ijm=1<7?tH2f:?xhel10;6j6;|la`f<728qC?i74}o`g`?6=9rB8h45rncff>5<6sA9o56sabed94?7|@:n27p`me183>4}O;m30qclj1;295~N4l01vbok=:182M5c12wenh=50;3xL6b>3tdii94?:0yK7a?51zJ0`<=zfko=6=4>{I1g=>{ijl=1<7?tH2f:?xhem10;6j6;|laaf<728qC?i74}o`f`?6=9rB8h45rncgf>5<6sA9o56sabdd94?7|@:n27p`mf183>4}O;m30qcli1;295~N4l01vboh=:182M5c12wenk=50;3xL6b>3tdij94?:0yK7a?51zJ0`<=zfkl=6=4>{I1g=>{ijo=1<7?tH2f:?xhen10;6j6;|labf<728qC?i74}o`e`?6=9rB8h45rncdf>5<6sA9o56sabgd94?7|@:n27p`l0183>4}O;m30qcm?1;295~N4l01vbn>=:182M5c12weo==50;3xL6b>3tdh<94?:0yK7a?51zJ0`<=zfj:=6=4>{I1g=>{ik9=1<7?tH2f:?xhd810;6j6;|l`4f<728qC?i74}oa3`?6=9rB8h45rnb2f>5<6sA9o56sac1d94?7|@:n27p`l1183>4}O;m30qcm>1;295~N4l01vbn?=:182M5c12weo<=50;3xL6b>3tdh=94?:0yK7a?51zJ0`<=zfj;=6=4>{I1g=>{ik8=1<7?tH2f:?xhd910;6j6;|l`5f<728qC?i74}oa2`?6=9rB8h45rnb3f>5<6sA9o56sac0d94?7|@:n27p`l2183>4}O;m30qcm=1;295~N4l01vbn<=:182M5c12weo?=50;3xL6b>3tdh>94?:0yK7a?51zJ0`<=zfj8=6=4>{I1g=>{ik;=1<7?tH2f:?xhd:10;6j6;|l`6f<728qC?i74}oa1`?6=9rB8h45rnb0f>5<6sA9o56sac3d94?7|@:n27p`l3183>4}O;m30qcm<1;295~N4l01vbn==:182M5c12weo>=50;3xL6b>3tdh?94?:0yK7a?51zJ0`<=zfj9=6=4>{I1g=>{ik:=1<7?tH2f:?xhd;10;6j6;|l`7f<728qC?i74}oa0`?6=9rB8h45rnb1f>5<6sA9o56sac2d94?7|@:n27p`l4183>4}O;m30qcm;1;295~N4l01vbn:=:182M5c12weo9=50;3xL6b>3tdh894?:0yK7a?51zJ0`<=zfj>=6=4>{I1g=>{ik==1<7?tH2f:?xhd<10;6j6;|l`0f<728qC?i74}oa7`?6=9rB8h45rnb6f>5<6sA9o56sac5d94?7|@:n27p`l5183>4}O;m30qcm:1;295~N4l01vbn;=:182M5c12weo8=50;3xL6b>3tdh994?:0yK7a?97>51zJ0`<=zfj?=6=4>{I1g=>{ik<=1<7?tH2f:?xhd=10;6j6;|l`1f<728qC?i74}oa6`?6=9rB8h45rnb7f>5<6sA9o56sac4d94?7|@:n27p`l6183>4}O;m30qcm91;295~N4l01vbn8=:182M5c12weo;=50;3xL6b>3tdh:94?:0yK7a?51zJ0`<=zfj<=6=4>{I1g=>{ik?=1<7?tH2f:?xhd>10;6j6;|l`2f<728qC?i74}oa5`?6=9rB8h45rnb4f>5<6sA9o56sac7d94?7|@:n27p`l7183>4}O;m30qcm81;295~N4l01vbn9=:182M5c12weo:=50;3xL6b>3tdh;94?:0yK7a?51zJ0`<=zfj==6=4>{I1g=>{ik>=1<7?tH2f:?xhd?10;6j6;|l`3f<728qC?i74}oa4`?6=9rB8h45rnb5f>5<6sA9o56sac6d94?7|@:n27p`l8183>4}O;m30qcm71;295~N4l01vbn6=:182M5c12weo5=50;3xL6b>3tdh494?:0yK7a?51zJ0`<=zfj2=6=4>{I1g=>{ik1=1<7?tH2f:?xhd010;6f290:wE=k9:mg=d=83;pD>j6;|l`5<6sA9o56sac9d94?7|@:n27p`l9183>4}O;m30qcm61;295~N4l01vbn7=:182M5c12weo4=50;3xL6b>3tdh594?:0yK7a?51zJ0`<=zfj3=6=4>{I1g=>{ik0=1<7?tH2f:?xhd110;613:1=vFj6;|l`=f<728qC?i74}oa:`?6=9rB8h45rnb;f>5<6sA9o56sac8d94?7|@:n27p`la183>4}O;m30qcmn1;295~N4l01vbno=:182M5c12weol=50;3xL6b>3tdhm94?:0yK7a?51zJ0`<=zfjk=6=4>{I1g=>{ikh=1<7?tH2f:?xhdi10;6j6;|l`ef<728qC?i74}oab`?6=9rB8h45rnbcf>5<6sA9o56sac`d94?7|@:n27p`lb183>4}O;m30qcmm1;295~N4l01vbnl=:182M5c12weoo=50;3xL6b>3tdhn94?:0yK7a?51zJ0`<=zfjh=6=4>{I1g=>{ikk=1<7?tH2f:?xhdj10;6j6;|l`ff<728qC?i74}oaa`?6=9rB8h45rnb`f>5<6sA9o56saccd94?7|@:n27p`lc183>4}O;m30qcml1;295~N4l01vbnm=:182M5c12weon=50;3xL6b>3tdho94?:0yK7a?51zJ0`<=zfji=6=4>{I1g=>{ikj=1<7?tH2f:?xhdk10;6j6;|l`gf<728qC?i74}oa``?6=9rB8h45rnbaf>5<6sA9o56sacbd94?7|@:n27p`ld183>4}O;m30qcmk1;295~N4l01vbnj=:182M5c12weoi=50;3xL6b>3tdhh94?:0yK7a?51zJ0`<=zfjn=6=4>{I1g=>{ikm=1<7?tH2f:?xhdl10;6j6;|l``f<728qC?i74}oag`?6=9rB8h45rnbff>5<6sA9o56saced94?7|@:n27p`le183>4}O;m30qcmj1;295~N4l01vbnk=:182M5c12weoh=50;3xL6b>3tdhi94?:0yK7a?51zJ0`<=zfjo=6=4>{I1g=>{ikl=1<7?tH2f:?xhdm10;6j6;|l`af<728qC?i74}oaf`?6=9rB8h45rnbgf>5<6sA9o56sacdd94?7|@:n27p`lf183>4}O;m30qcmi1;295~N4l01vbnh=:182M5c12weok=50;3xL6b>3tdhj94?:0yK7a?51zJ0`<=zfjl=6=4>{I1g=>{iko=1<7?tH2f:?xhdn10;6j6;|l`bf<728qC?i74}oae`?6=9rB8h45rnbdf>5<6sA9o56sacgd94?7|@:n27p`k0183>4}O;m30qcj?1;295~N4l01vbi>=:182M5c12weh==50;3xL6b>3tdo<94?:0yK7a?51zJ0`<=zfm:=6=4>{I1g=>{il9=1<7?tH2f:?xhc810;6j6;|lg4f<728qC?i74}of3`?6=9rB8h45rne2f>5<6sA9o56sad1d94?7|@:n27p`k1183>4}O;m30qcj>1;295~N4l01vbi?=:182M5c12weh<=50;3xL6b>3tdo=94?:0yK7a?51zJ0`<=zfm;=6=4>{I1g=>{il8=1<7?tH2f:?xhc910;6j6;|lg5f<728qC?i74}of2`?6=9rB8h45rne3f>5<6sA9o56sad0d94?7|@:n27p`k2183>4}O;m30qcj=1;295~N4l01vbi<=:182M5c12weh?=50;3xL6b>3tdo>94?:0yK7a?51zJ0`<=zfm8=6=4>{I1g=>{il;=1<7?tH2f:?xhc:10;6j6;|lg6f<728qC?i74}of1`?6=9rB8h45rne0f>5<6sA9o56sad3d94?7|@:n27p`k3183>4}O;m30qcj<1;295~N4l01vbi==:182M5c12weh>=50;3xL6b>3tdo?94?:0yK7a?51zJ0`<=zfm9=6=4>{I1g=>{il:=1<7?tH2f:?xhc;10;6j6;|lg7f<728qC?i74}of0`?6=9rB8h45rne1f>5<6sA9o56sad2d94?7|@:n27p`k4183>4}O;m30qcj;1;295~N4l01vbi:=:182M5c12weh9=50;3xL6b>3tdo894?:0yK7a?51zJ0`<=zfm>=6=4>{I1g=>{il==1<7?tH2f:?xhc<10;6j6;|lg0f<728qC?i74}of7`?6=9rB8h45rne6f>5<6sA9o56sad5d94?7|@:n27p`k5183>4}O;m30qcj:1;295~N4l01vbi;=:182M5c12weh8=50;3xL6b>3tdo994?:0yK7a?97>51zJ0`<=zfm?=6=4>{I1g=>{il<=1<7?tH2f:?xhc=10;6j6;|lg1f<728qC?i74}of6`?6=9rB8h45rne7f>5<6sA9o56sad4d94?7|@:n27p`k6183>4}O;m30qcj91;295~N4l01vbi8=:182M5c12weh;=50;3xL6b>3tdo:94?:0yK7a?51zJ0`<=zfm<=6=4>{I1g=>{il?=1<7?tH2f:?xhc>10;6j6;|lg2f<728qC?i74}of5`?6=9rB8h45rne4f>5<6sA9o56sad7d94?7|@:n27p`k7183>4}O;m30qcj81;295~N4l01vbi9=:182M5c12weh:=50;3xL6b>3tdo;94?:0yK7a?51zJ0`<=zfm==6=4>{I1g=>{il>=1<7?tH2f:?xhc?10;6j6;|lg3f<728qC?i74}of4`?6=9rB8h45rne5f>5<6sA9o56sad6d94?7|@:n27p`k8183>4}O;m30qcj71;295~N4l01vbi6=:182M5c12weh5=50;3xL6b>3tdo494?:0yK7a?51zJ0`<=zfm2=6=4>{I1g=>{il1=1<7?tH2f:?xhc010;6f290:wE=k9:m`=d=83;pD>j6;|lg5<6sA9o56sad9d94?7|@:n27p`k9183>4}O;m30qcj61;295~N4l01vbi7=:182M5c12weh4=50;3xL6b>3tdo594?:0yK7a?51zJ0`<=zfm3=6=4>{I1g=>{il0=1<7?tH2f:?xhc110;613:1=vFj6;|lg=f<728qC?i74}of:`?6=9rB8h45rne;f>5<6sA9o56sad8d94?7|@:n27p`ka183>4}O;m30qcjn1;295~N4l01vbio=:182M5c12wehl=50;3xL6b>3tdom94?:0yK7a?51zJ0`<=zfmk=6=4>{I1g=>{ilh=1<7?tH2f:?xhci10;6j6;|lgef<728qC?i74}ofb`?6=9rB8h45rnecf>5<6sA9o56sad`d94?7|@:n27p`kb183>4}O;m30qcjm1;295~N4l01vbil=:182M5c12weho=50;3xL6b>3tdon94?:0yK7a?51zJ0`<=zfmh=6=4>{I1g=>{ilk=1<7?tH2f:?xhcj10;6j6;|lgff<728qC?i74}ofa`?6=9rB8h45rne`f>5<6sA9o56sadcd94?7|@:n27p`kc183>4}O;m30qcjl1;295~N4l01vbim=:182M5c12wehn=50;3xL6b>3tdoo94?:0yK7a?51zJ0`<=zfmi=6=4>{I1g=>{ilj=1<7?tH2f:?xhck10;6j6;|lggf<728qC?i74}of``?6=9rB8h45rneaf>5<6sA9o56sadbd94?7|@:n27p`kd183>4}O;m30qcjk1;295~N4l01vbij=:182M5c12wehi=50;3xL6b>3tdoh94?:0yK7a?51zJ0`<=zfmn=6=4>{I1g=>{ilm=1<7?tH2f:?xhcl10;6j6;|lg`f<728qC?i74}ofg`?6=9rB8h45rneff>5<6sA9o56saded94?7|@:n27p`ke183>4}O;m30qcjj1;295~N4l01vbik=:182M5c12wehh=50;3xL6b>3tdoi94?:0yK7a?51zJ0`<=zfmo=6=4>{I1g=>{ill=1<7?tH2f:?xhcm10;6 + +fifo_generator_v9_3_vinfo + + + +

+CHANGE LOG for LogiCORE FIFO Generator V9.3 Rev 1
+
+                    Release Date: December 18, 2012
+--------------------------------------------------------------------------------
+
+Table of Contents
+
+1. INTRODUCTION 
+2. DEVICE SUPPORT    
+3. NEW FEATURE HISTORY   
+4. RESOLVED ISSUES 
+5. KNOWN ISSUES & LIMITATIONS 
+6. TECHNICAL SUPPORT & FEEDBACK
+7. CORE RELEASE HISTORY 
+8. LEGAL DISCLAIMER 
+
+--------------------------------------------------------------------------------  
+
+
+1. INTRODUCTION
+
+For installation instructions for this release, please go to:
+
+   www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm
+
+For system requirements:
+
+   www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm
+
+This file contains release notes for the Xilinx LogiCORE IP FIFO Generator v9.3 Rev 1
+solution. For the latest core updates, see the product page at:
+ 
+   www.xilinx.com/products/ipcenter/FIFO_Generator.htm
+
+................................................................................
+
+
+2. DEVICE SUPPORT
+
+
+  2.1 ISE 
+   
+    The following device families are supported by the core for this release.
+    
+    
+    All 7 Series devices
+    Zynq-7000 devices
+    All Virtex-6 devices
+    All Spartan-6 devices
+    All Virtex-5 devices
+    All Spartan-3 devices
+    All Virtex-4 devices
+  
+  
+  2.2 Vivado 
+  
+    All 7 Series devices
+    Zynq-7000 devices
+
+................................................................................
+
+
+3. NEW FEATURE HISTORY
+
+
+  3.1 ISE 
+  
+    - ISE 14.4 software support
+
+  
+  3.2 Vivado
+  
+    - 2012.4 software support
+    - IP level constraint for Built-in FIFO reset synchronizer
+
+................................................................................
+
+
+4. RESOLVED ISSUES 
+
+
+  4.1 ISE 
+
+    - N/A
+
+
+  4.2 Vivado 
+
+    - N/A
+
+
+................................................................................
+
+
+5. KNOWN ISSUES & LIMITATIONS 
+
+
+  5.1 ISE 
+  
+    The following are known issues for v9.3 Rev 1 of this core at time of release:
+  
+    1. Importing an XCO file alters the XCO configurations
+  
+       Description: In the FIFO Generator GUI, after importing an XCO file (Independent clock, distributed memory configuration)
+       into a Virtex-4 CORE Generator project, if the FIFO type is changed to "Independent Clocks, Built-in FIFO" in page 1, 
+       page 2 does not correctly offer the Read Clock Frequency and Write Clock Frequency options as it should.
+    
+       CR 467240
+       AR 31379
+  
+    2. Status flags after the first write to Common Clock Built-in FIFO not guaranteed
+  
+       Description: When using Common Clock Built-in FIFO configuration with asynchronous reset for Virtex-6 FPGA,
+       correct behavior of the FIFO status flags cannot be guaranteed after the first write.
+    
+       Workaround: To work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK.
+       For more information and additional workaround see Answer Record 41099.
+  
+  5.2 Vivado 
+
+    The following are known issues for v9.3 Rev 1 of this core at time of release:
+     
+    1. Description: When Trying to upgrade to latest version of FIFO Generator from older verions, following error message is seen 
+       ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work.
+      
+       CR 665836
+
+The most recent information, including known issues, workarounds, and
+resolutions for this version is provided in the IP Release Notes User Guide
+located at 
+
+   www.xilinx.com/support/documentation/user_guides/xtp025.pdf 
+
+................................................................................
+
+
+6. TECHNICAL SUPPORT & FEEDBACK
+
+To obtain technical support, create a WebCase at www.xilinx.com/support.
+Questions are routed to a team with expertise using this product.  
+
+Xilinx provides technical support for use of this product when used
+according to the guidelines described in the core documentation, and
+cannot guarantee timing, functionality, or support of this product for
+designs that do not follow specified guidelines.
+
+................................................................................
+
+
+7. CORE RELEASE HISTORY 
+
+Date        By            Version      Description
+================================================================================
+12/18/2012  Xilinx, Inc.  9.3 Rev 1    ISE 14.4 and Vivado 2012.4 support; IP level constraint for Built-in FIFO reset synchronizer
+10/16/2012  Xilinx, Inc.  9.3          ISE 14.3 and Vivado 2012.3 support; Clock Enable support for AXI4 Stream FIFO
+07/25/2012  Xilinx, Inc.  9.2          ISE 14.2 and Vivado 2012.2 support; Accurate data count support for AXI4 Stream Packet FIFO
+04/24/2012  Xilinx, Inc.  9.1          ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support
+                                       AXI FIFO data width support up to 4096; Programmable Full/Empty as sideband signals for AXI FIFO
+01/18/2012  Xilinx, Inc.  8.4          ISE 13.4 support and Packet FIFO feature addition; Artix-7 Lower Power and Automotive Artix-7 device support
+10/19/2011  Xilinx, Inc.  8.3          ISE 13.3 support and QVirtex-6L device support
+06/22/2011  Xilinx, Inc.  8.2          ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support
+03/01/2011  Xilinx, Inc.  8.1          ISE 13.1 support and Virtex-7 and Kintex-7 device support; Wiring Logic and Register Slice Support
+10/29/2010  Xilinx, Inc.  7.3          ISE 13.0.2 support
+09/21/2010  Xilinx, Inc.  7.2          ISE 12.3 support; AXI4 Support
+07/30/2010  Xilinx, Inc.  7.1          ISE 13.0.1 support
+06/18/2010  Xilinx, Inc.  6.2          ISE 12.2 support
+04/19/2010  Xilinx, Inc.  6.1          ISE 12.1 support
+12/02/2009  Xilinx, Inc.  5.3 rev 1    ISE 11.4 support; Spartan-6 Low Power and Automotive Spartan-6 Device support
+09/16/2009  Xilinx, Inc.  5.3          Update to add 11.3; Virtex-6 Low Power and Virtex-6 HXT Device support
+06/24/2009  Xilinx, Inc.  5.2          Update to add 11.2 and Virtex-6 CXT device support
+04/24/2009  Xilinx, Inc.  5.1          Update to add 11.1 and Virtex-6 and Spartan-6 device support
+09/19/2008  Xilinx, Inc.  4.4          Update to add 10.1 SP3 and Virtex-5 TXT device support and miscellaneous bug fixes
+03/24/2008  Xilinx, Inc.  4.3          Update to add 10.1 support and miscellaneous bug fixes
+10/03/2007  Xilinx, Inc.  4.2          Support for FWFT for Block RAM and Distributed RAM Common Clock FIFOs
+08/08/2007  Xilinx, Inc.  4.1          Update to add 9.2i support; Revised to v4.1; ECC support for block RAM FIFO
+04/02/2007  Xilinx, Inc.  3.3          Update to add 9.1i support; Revised to v3.3; Spartan-3A and Spartan-3A DSP support; ECC support
+09/21/2006  Xilinx, Inc.  3.2          Revised to v3.2; Spartan-3 and Virtex-4 automotive device support
+07/13/2006  Xilinx, Inc.  3.1          Update to add 8.2i support; Revised to v3.1; Virtex-5 support
+01/11/2006  Xilinx, Inc.  2.3          Update to add 8.1i support; Revised to v2.3
+08/31/2005  Xilinx, Inc.  2.2          Update to add 7.1i SP4 support; Revised to v2.2
+04/28/2005  Xilinx, Inc.  2.1          Update to add 7.1i SP1 support; Revised to v2.1
+11/04/2004  Xilinx, Inc.  2.0          Update to add 6.3i support; Revised to v2.0
+05/21/2004  Xilinx, Inc.  1.1          Revised to v1.1; Virtex-4 support
+04/23/2004  Xilinx, Inc.  1.0          Update to add 6.2i support; First release
+================================================================================
+
+................................................................................
+
+
+8. LEGAL DISCLAIMER
+
+(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved.
+
+  This file contains confidential and proprietary information
+  of Xilinx, Inc. and is protected under U.S. and
+  international copyright and other intellectual property
+  laws.
+
+  DISCLAIMER
+  This disclaimer is not a license and does not grant any
+  rights to the materials distributed herewith. Except as
+  otherwise provided in a valid license issued to you by
+  Xilinx, and to the maximum extent permitted by applicable
+  law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+  WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+  AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+  BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+  INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+  (2) Xilinx shall not be liable (whether in contract or tort,
+  including negligence, or under any other theory of
+  liability) for any loss or damage of any kind or nature
+  related to, arising under or in connection with these
+  materials, including for any direct, or any indirect,
+  special, incidental, or consequential loss or damage
+  (including loss of data, profits, goodwill, or any type of
+  loss or damage suffered as a result of any action brought
+  by a third party) even if such damage or loss was
+  reasonably foreseeable or Xilinx had been advised of the
+  possibility of the same. 
+
+  CRITICAL APPLICATIONS
+  Xilinx products are not designed or intended to be fail-
+  safe, or for use in any application requiring fail-safe
+  performance, such as life-support or safety devices or
+  systems, Class III medical devices, nuclear facilities,
+  applications related to the deployment of airbags, or any
+  other applications that could lead to death, personal
+  injury, or severe property or environmental damage
+  (individually and collectively, "Critical 
+  Applications"). Customer assumes the sole risk and 
+  liability of any use of Xilinx products in Critical 
+  Applications, subject only to applicable laws and 
+  regulations governing limitations on product liability. 
+ 
+  THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+  PART OF THIS FILE AT ALL TIMES.
+
+
+ + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/doc/pg057-fifo-generator.pdf b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/doc/pg057-fifo-generator.pdf new file mode 100644 index 000000000..5ec45fbcb Binary files /dev/null and b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/doc/pg057-fifo-generator.pdf differ diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf new file mode 100755 index 000000000..62e5058ab --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf @@ -0,0 +1,56 @@ +################################################################################ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +################################################################################ + +# Core Period Constraint. This constraint can be modified, and is +# valid as long as it is met after place and route. + NET "RD_CLK" TNM_NET = "RD_CLK"; + NET "WR_CLK" TNM_NET = "WR_CLK"; + TIMESPEC "TS_RD_CLK" = PERIOD "RD_CLK" 50 MHZ; + TIMESPEC "TS_WR_CLK" = PERIOD "WR_CLK" 50 MHZ; +################################################################################ diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd new file mode 100755 index 000000000..9e38bcb7c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd @@ -0,0 +1,145 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core - core top file for implementation +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_exdes.vhd +-- +-- Description: +-- This is the FIFO core wrapper with BUFG instances for clock connections. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; + +library unisim; +use unisim.vcomponents.all; + +-------------------------------------------------------------------------------- +-- Entity Declaration +-------------------------------------------------------------------------------- +entity fifo_short_2clk_exdes is + PORT ( + WR_CLK : IN std_logic; + RD_CLK : IN std_logic; + WR_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0); + RD_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0); + RST : IN std_logic; + WR_EN : IN std_logic; + RD_EN : IN std_logic; + DIN : IN std_logic_vector(72-1 DOWNTO 0); + DOUT : OUT std_logic_vector(72-1 DOWNTO 0); + FULL : OUT std_logic; + EMPTY : OUT std_logic); + +end fifo_short_2clk_exdes; + + + +architecture xilinx of fifo_short_2clk_exdes is + + signal wr_clk_i : std_logic; + signal rd_clk_i : std_logic; + + + + component fifo_short_2clk is + PORT ( + WR_CLK : IN std_logic; + RD_CLK : IN std_logic; + WR_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0); + RD_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0); + RST : IN std_logic; + WR_EN : IN std_logic; + RD_EN : IN std_logic; + DIN : IN std_logic_vector(72-1 DOWNTO 0); + DOUT : OUT std_logic_vector(72-1 DOWNTO 0); + FULL : OUT std_logic; + EMPTY : OUT std_logic); + + end component; + + +begin + + wr_clk_buf: bufg + PORT map( + i => WR_CLK, + o => wr_clk_i + ); + + rd_clk_buf: bufg + PORT map( + i => RD_CLK, + o => rd_clk_i + ); + + + exdes_inst : fifo_short_2clk + PORT MAP ( + WR_CLK => wr_clk_i, + RD_CLK => rd_clk_i, + WR_DATA_COUNT => wr_data_count, + RD_DATA_COUNT => rd_data_count, + RST => rst, + WR_EN => wr_en, + RD_EN => rd_en, + DIN => din, + DOUT => dout, + FULL => full, + EMPTY => empty); + +end xilinx; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/fifo_generator_v9_3_readme.txt b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/fifo_generator_v9_3_readme.txt new file mode 100644 index 000000000..7853ebde8 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/fifo_generator_v9_3_readme.txt @@ -0,0 +1,236 @@ +CHANGE LOG for LogiCORE FIFO Generator V9.3 Rev 1 + + Release Date: December 18, 2012 +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURE HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm + +For system requirements: + + http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm + +This file contains release notes for the Xilinx LogiCORE IP FIFO Generator v9.3 Rev 1 +solution. For the latest core updates, see the product page at: + + http://www.xilinx.com/products/ipcenter/FIFO_Generator.htm + +................................................................................ + + +2. DEVICE SUPPORT + + + 2.1 ISE + + The following device families are supported by the core for this release. + + + All 7 Series devices + Zynq-7000 devices + All Virtex-6 devices + All Spartan-6 devices + All Virtex-5 devices + All Spartan-3 devices + All Virtex-4 devices + + + 2.2 Vivado + + All 7 Series devices + Zynq-7000 devices + +................................................................................ + + +3. NEW FEATURE HISTORY + + + 3.1 ISE + + - ISE 14.4 software support + + + 3.2 Vivado + + - 2012.4 software support + - IP level constraint for Built-in FIFO reset synchronizer + +................................................................................ + + +4. RESOLVED ISSUES + + + 4.1 ISE + + - N/A + + + 4.2 Vivado + + - N/A + + +................................................................................ + + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + The following are known issues for v9.3 Rev 1 of this core at time of release: + + 1. Importing an XCO file alters the XCO configurations + + Description: In the FIFO Generator GUI, after importing an XCO file (Independent clock, distributed memory configuration) + into a Virtex-4 CORE Generator project, if the FIFO type is changed to "Independent Clocks, Built-in FIFO" in page 1, + page 2 does not correctly offer the Read Clock Frequency and Write Clock Frequency options as it should. + + CR 467240 + AR 31379 + + 2. Status flags after the first write to Common Clock Built-in FIFO not guaranteed + + Description: When using Common Clock Built-in FIFO configuration with asynchronous reset for Virtex-6 FPGA, + correct behavior of the FIFO status flags cannot be guaranteed after the first write. + + Workaround: To work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK. + For more information and additional workaround see Answer Record 41099. + + 5.2 Vivado + + The following are known issues for v9.3 Rev 1 of this core at time of release: + + 1. Description: When Trying to upgrade to latest version of FIFO Generator from older verions, following error message is seen + ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work. + + CR 665836 + +The most recent information, including known issues, workarounds, and +resolutions for this version is provided in the IP Release Notes User Guide +located at + + www.xilinx.com/support/documentation/user_guides/xtp025.pdf + +................................................................................ + + +6. TECHNICAL SUPPORT & FEEDBACK + +To obtain technical support, create a WebCase at www.xilinx.com/support. +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + +................................................................................ + + +7. CORE RELEASE HISTORY + +Date By Version Description +================================================================================ +12/18/2012 Xilinx, Inc. 9.3 Rev 1 ISE 14.4 and Vivado 2012.4 support; IP level constraint for Built-in FIFO reset synchronizer +10/16/2012 Xilinx, Inc. 9.3 ISE 14.3 and Vivado 2012.3 support; Clock Enable support for AXI4 Stream FIFO +07/25/2012 Xilinx, Inc. 9.2 ISE 14.2 and Vivado 2012.2 support; Accurate data count support for AXI4 Stream Packet FIFO +04/24/2012 Xilinx, Inc. 9.1 ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support + AXI FIFO data width support up to 4096; Programmable Full/Empty as sideband signals for AXI FIFO +01/18/2012 Xilinx, Inc. 8.4 ISE 13.4 support and Packet FIFO feature addition; Artix-7 Lower Power and Automotive Artix-7 device support +10/19/2011 Xilinx, Inc. 8.3 ISE 13.3 support and QVirtex-6L device support +06/22/2011 Xilinx, Inc. 8.2 ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support +03/01/2011 Xilinx, Inc. 8.1 ISE 13.1 support and Virtex-7 and Kintex-7 device support; Wiring Logic and Register Slice Support +10/29/2010 Xilinx, Inc. 7.3 ISE 13.0.2 support +09/21/2010 Xilinx, Inc. 7.2 ISE 12.3 support; AXI4 Support +07/30/2010 Xilinx, Inc. 7.1 ISE 13.0.1 support +06/18/2010 Xilinx, Inc. 6.2 ISE 12.2 support +04/19/2010 Xilinx, Inc. 6.1 ISE 12.1 support +12/02/2009 Xilinx, Inc. 5.3 rev 1 ISE 11.4 support; Spartan-6 Low Power and Automotive Spartan-6 Device support +09/16/2009 Xilinx, Inc. 5.3 Update to add 11.3; Virtex-6 Low Power and Virtex-6 HXT Device support +06/24/2009 Xilinx, Inc. 5.2 Update to add 11.2 and Virtex-6 CXT device support +04/24/2009 Xilinx, Inc. 5.1 Update to add 11.1 and Virtex-6 and Spartan-6 device support +09/19/2008 Xilinx, Inc. 4.4 Update to add 10.1 SP3 and Virtex-5 TXT device support and miscellaneous bug fixes +03/24/2008 Xilinx, Inc. 4.3 Update to add 10.1 support and miscellaneous bug fixes +10/03/2007 Xilinx, Inc. 4.2 Support for FWFT for Block RAM and Distributed RAM Common Clock FIFOs +08/08/2007 Xilinx, Inc. 4.1 Update to add 9.2i support; Revised to v4.1; ECC support for block RAM FIFO +04/02/2007 Xilinx, Inc. 3.3 Update to add 9.1i support; Revised to v3.3; Spartan-3A and Spartan-3A DSP support; ECC support +09/21/2006 Xilinx, Inc. 3.2 Revised to v3.2; Spartan-3 and Virtex-4 automotive device support +07/13/2006 Xilinx, Inc. 3.1 Update to add 8.2i support; Revised to v3.1; Virtex-5 support +01/11/2006 Xilinx, Inc. 2.3 Update to add 8.1i support; Revised to v2.3 +08/31/2005 Xilinx, Inc. 2.2 Update to add 7.1i SP4 support; Revised to v2.2 +04/28/2005 Xilinx, Inc. 2.1 Update to add 7.1i SP1 support; Revised to v2.1 +11/04/2004 Xilinx, Inc. 2.0 Update to add 6.3i support; Revised to v2.0 +05/21/2004 Xilinx, Inc. 1.1 Revised to v1.1; Virtex-4 support +04/23/2004 Xilinx, Inc. 1.0 Update to add 6.2i support; First release +================================================================================ + +................................................................................ + + +8. LEGAL DISCLAIMER + +(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved. + + This file contains confidential and proprietary information + of Xilinx, Inc. and is protected under U.S. and + international copyright and other intellectual property + laws. + + DISCLAIMER + This disclaimer is not a license and does not grant any + rights to the materials distributed herewith. Except as + otherwise provided in a valid license issued to you by + Xilinx, and to the maximum extent permitted by applicable + law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND + WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES + AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING + BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- + INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and + (2) Xilinx shall not be liable (whether in contract or tort, + including negligence, or under any other theory of + liability) for any loss or damage of any kind or nature + related to, arising under or in connection with these + materials, including for any direct, or any indirect, + special, incidental, or consequential loss or damage + (including loss of data, profits, goodwill, or any type of + loss or damage suffered as a result of any action brought + by a third party) even if such damage or loss was + reasonably foreseeable or Xilinx had been advised of the + possibility of the same. + + CRITICAL APPLICATIONS + Xilinx products are not designed or intended to be fail- + safe, or for use in any application requiring fail-safe + performance, such as life-support or safety devices or + systems, Class III medical devices, nuclear facilities, + applications related to the deployment of airbags, or any + other applications that could lead to death, personal + injury, or severe property or environmental damage + (individually and collectively, "Critical + Applications"). Customer assumes the sole risk and + liability of any use of Xilinx products in Critical + Applications, subject only to applicable laws and + regulations governing limitations on product liability. + + THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS + PART OF THIS FILE AT ALL TIMES. diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement.bat new file mode 100755 index 000000000..8745ae5d9 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement.bat @@ -0,0 +1,88 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +rem Clean up the results directory +rmdir /S /Q results +mkdir results + +rem Synthesize the VHDL Wrapper Files + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with XST'; +xst -ifn xst.scr +copy fifo_short_2clk_exdes.ngc .\results\ + + +rem Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +copy ..\..\fifo_short_2clk.ngc results\ + + +rem Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +copy ..\example_design\fifo_short_2clk_exdes.ucf results\ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-csg484-3 -sd ../../../ fifo_short_2clk_exdes + +echo 'Running map' +map fifo_short_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_short_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement.sh new file mode 100755 index 000000000..3a143e17c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement.sh @@ -0,0 +1,87 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +# Clean up the results directory +rm -rf results +mkdir results + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with XST'; +xst -ifn xst.scr +cp fifo_short_2clk_exdes.ngc ./results/ + + +# Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +cp ../../fifo_short_2clk.ngc results/ + +# Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +cp ../example_design/fifo_short_2clk_exdes.ucf results/ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-csg484-3 -sd ../../../ fifo_short_2clk_exdes + +echo 'Running map' +map fifo_short_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_short_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement_synplify.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement_synplify.bat new file mode 100755 index 000000000..ac8f61fea --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement_synplify.bat @@ -0,0 +1,87 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +rem Clean up the results directory +rmdir /S /Q results +mkdir results + +rem Synthesize the VHDL Wrapper Files + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with Synplify' +synplify_pro -batch synplify.prj -licensetype synplifypro_xilinx + + +rem Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +copy ..\..\fifo_short_2clk.ngc results\ + + +rem Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +copy ..\example_design\fifo_short_2clk_exdes.ucf results\ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-csg484-3 -sd ../../../ fifo_short_2clk_exdes + +echo 'Running map' +map fifo_short_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_short_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement_synplify.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement_synplify.sh new file mode 100755 index 000000000..0ae5d7af5 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement_synplify.sh @@ -0,0 +1,86 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +# Clean up the results directory +rm -rf results +mkdir results + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with Synplify' +synplify_pro -batch synplify.prj -licensetype synplifypro_xilinx + + +# Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +cp ../../fifo_short_2clk.ngc results/ + +# Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +cp ../example_design/fifo_short_2clk_exdes.ucf results/ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-csg484-3 -sd ../../../ fifo_short_2clk_exdes + +echo 'Running map' +map fifo_short_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_short_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.bat new file mode 100755 index 000000000..49053fd63 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.bat @@ -0,0 +1,54 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +rem ----------------------------------------------------------------------------- +rem Script to synthesize and implement the Coregen FIFO Generator +rem ----------------------------------------------------------------------------- +rmdir /S /Q results +mkdir results +cd results +copy ..\..\..\fifo_short_2clk.ngc . +planAhead -mode batch -source ..\planAhead_ise.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.sh new file mode 100755 index 000000000..42916c2bc --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.sh @@ -0,0 +1,55 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +#----------------------------------------------------------------------------- +# Script to synthesize and implement the Coregen FIFO Generator +#----------------------------------------------------------------------------- +rm -rf results +mkdir results +cd results +cp ../../../fifo_short_2clk.ngc . +planAhead -mode batch -source ../planAhead_ise.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.tcl new file mode 100755 index 000000000..d2c954858 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.tcl @@ -0,0 +1,67 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + + +set device xc6slx75csg484-3 +set projName fifo_short_2clk +set design fifo_short_2clk +set projDir [file dirname [info script]] +create_project $projName $projDir/results/$projName -part $device -force +set_property design_mode RTL [current_fileset -srcset] +set top_module fifo_short_2clk_exdes +add_files -norecurse {../../example_design/fifo_short_2clk_exdes.vhd} +add_files -norecurse {./fifo_short_2clk.ngc} +import_files -fileset [get_filesets constrs_1] -force -norecurse {../../example_design/fifo_short_2clk_exdes.xdc} +set_property top fifo_short_2clk_exdes [get_property srcset [current_run]] +synth_design +opt_design +place_design +route_design +write_sdf -rename_top_module fifo_short_2clk_exdes -file routed.sdf +write_verilog -nolib -mode timesim -sdf_anno false -rename_top_module fifo_short_2clk_exdes routed.v +report_timing -nworst 30 -path_type full -file routed.twr +report_drc -file report.drc +write_bitstream -bitgen_options {-g UnconstrainedPins:Allow} diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/xst.prj b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/xst.prj new file mode 100755 index 000000000..f16af4308 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/xst.prj @@ -0,0 +1 @@ +work ../example_design/fifo_short_2clk_exdes.vhd diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/xst.scr b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/xst.scr new file mode 100755 index 000000000..7dcd7b83c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/xst.scr @@ -0,0 +1,13 @@ +run +-ifmt VHDL +-ent fifo_short_2clk_exdes +-p xc6slx75-csg484-3 +-ifn xst.prj +-write_timing_constraints No +-iobuf YES +-max_fanout 100 +-ofn fifo_short_2clk_exdes +-ofmt NGC +-bus_delimiter () +-hierarchy_separator / +-case Maintain diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd new file mode 100755 index 000000000..bc7a1b525 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd @@ -0,0 +1,123 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_dgen.vhd +-- +-- Description: +-- Used for write interface stimulus generation +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +LIBRARY work; +USE work.fifo_short_2clk_pkg.ALL; + +ENTITY fifo_short_2clk_dgen IS + GENERIC ( + C_DIN_WIDTH : INTEGER := 32; + C_DOUT_WIDTH : INTEGER := 32; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT ( + RESET : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + PRC_WR_EN : IN STD_LOGIC; + FULL : IN STD_LOGIC; + WR_EN : OUT STD_LOGIC; + WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) + ); +END ENTITY; + + +ARCHITECTURE fg_dg_arch OF fifo_short_2clk_dgen IS + + CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); + CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); + + SIGNAL pr_w_en : STD_LOGIC := '0'; + SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); + SIGNAL wr_data_i : STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); + BEGIN + + WR_EN <= PRC_WR_EN ; + WR_DATA <= wr_data_i AFTER 100 ns; + + ---------------------------------------------- + -- Generation of DATA + ---------------------------------------------- + gen_stim:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE + rd_gen_inst1:fifo_short_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED+N + ) + PORT MAP( + CLK => WR_CLK, + RESET => RESET, + RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), + ENABLE => pr_w_en + ); + END GENERATE; + + pr_w_en <= PRC_WR_EN AND NOT FULL; + wr_data_i <= rand_num(C_DIN_WIDTH-1 DOWNTO 0); + + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd new file mode 100755 index 000000000..b0465d84f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd @@ -0,0 +1,150 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_dverif.vhd +-- +-- Description: +-- Used for FIFO read interface stimulus generation and data checking +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +LIBRARY work; +USE work.fifo_short_2clk_pkg.ALL; + +ENTITY fifo_short_2clk_dverif IS + GENERIC( + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_USE_EMBEDDED_REG : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + PRC_RD_EN : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + RD_EN : OUT STD_LOGIC; + DOUT_CHK : OUT STD_LOGIC + ); +END ENTITY; + + +ARCHITECTURE fg_dv_arch OF fifo_short_2clk_dverif IS + + CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); + CONSTANT EXTRA_WIDTH : INTEGER := if_then_else(C_CH_TYPE = 2,1,0); + CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH+EXTRA_WIDTH,8); + + SIGNAL expected_dout : STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); + SIGNAL data_chk : STD_LOGIC := '1'; + SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 downto 0); + SIGNAL rd_en_i : STD_LOGIC := '0'; + SIGNAL pr_r_en : STD_LOGIC := '0'; + SIGNAL rd_en_d1 : STD_LOGIC := '1'; +BEGIN + + + DOUT_CHK <= data_chk; + RD_EN <= rd_en_i; + rd_en_i <= PRC_RD_EN; + rd_en_d1 <= '1'; + + + data_fifo_chk:IF(C_CH_TYPE /=2) GENERATE + ------------------------------------------------------- + -- Expected data generation and checking for data_fifo + ------------------------------------------------------- + + pr_r_en <= rd_en_i AND NOT EMPTY AND rd_en_d1; + expected_dout <= rand_num(C_DOUT_WIDTH-1 DOWNTO 0); + + gen_num:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE + rd_gen_inst2:fifo_short_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED+N + ) + PORT MAP( + CLK => RD_CLK, + RESET => RESET, + RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), + ENABLE => pr_r_en + ); + END GENERATE; + + PROCESS (RD_CLK,RESET) + BEGIN + IF(RESET = '1') THEN + data_chk <= '0'; + ELSIF (RD_CLK'event AND RD_CLK='1') THEN + IF(EMPTY = '0') THEN + IF(DATA_OUT = expected_dout) THEN + data_chk <= '0'; + ELSE + data_chk <= '1'; + END IF; + END IF; + END IF; + END PROCESS; + END GENERATE data_fifo_chk; + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd new file mode 100755 index 000000000..5d6506398 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd @@ -0,0 +1,541 @@ + +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_pctrl.vhd +-- +-- Description: +-- Used for protocol control on write and read interface stimulus and status generation +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +LIBRARY work; +USE work.fifo_short_2clk_pkg.ALL; + +ENTITY fifo_short_2clk_pctrl IS + GENERIC( + AXI_CHANNEL : STRING :="NONE"; + C_APPLICATION_TYPE : INTEGER := 0; + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_WR_PNTR_WIDTH : INTEGER := 0; + C_RD_PNTR_WIDTH : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 2; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET_WR : IN STD_LOGIC; + RESET_RD : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + FULL : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + ALMOST_FULL : IN STD_LOGIC; + ALMOST_EMPTY : IN STD_LOGIC; + DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + DOUT_CHK : IN STD_LOGIC; + PRC_WR_EN : OUT STD_LOGIC; + PRC_RD_EN : OUT STD_LOGIC; + RESET_EN : OUT STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); +END ENTITY; + + +ARCHITECTURE fg_pc_arch OF fifo_short_2clk_pctrl IS + + CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); + CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); + CONSTANT D_WIDTH_DIFF : INTEGER := log2roundup(C_DOUT_WIDTH/C_DIN_WIDTH); + + SIGNAL data_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); + SIGNAL full_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); + SIGNAL empty_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); + SIGNAL status_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); + SIGNAL status_d1_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); + SIGNAL wr_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); + SIGNAL rd_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); + SIGNAL wr_cntr : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); + SIGNAL full_as_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); + SIGNAL full_ds_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); + SIGNAL rd_cntr : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); + SIGNAL empty_as_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); + SIGNAL empty_ds_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0):= (OTHERS => '0'); + SIGNAL wr_en_i : STD_LOGIC := '0'; + SIGNAL rd_en_i : STD_LOGIC := '0'; + SIGNAL state : STD_LOGIC := '0'; + SIGNAL wr_control : STD_LOGIC := '0'; + SIGNAL rd_control : STD_LOGIC := '0'; + SIGNAL stop_on_err : STD_LOGIC := '0'; + SIGNAL sim_stop_cntr : STD_LOGIC_VECTOR(7 DOWNTO 0):= conv_std_logic_vector(if_then_else(C_CH_TYPE=2,64,TB_STOP_CNT),8); + SIGNAL sim_done_i : STD_LOGIC := '0'; + SIGNAL rdw_gt_wrw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); + SIGNAL wrw_gt_rdw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); + SIGNAL rd_activ_cont : STD_LOGIC_VECTOR(25 downto 0):= (OTHERS => '0'); + SIGNAL prc_we_i : STD_LOGIC := '0'; + SIGNAL prc_re_i : STD_LOGIC := '0'; + SIGNAL reset_en_i : STD_LOGIC := '0'; + SIGNAL sim_done_d1 : STD_LOGIC := '0'; + SIGNAL sim_done_wr1 : STD_LOGIC := '0'; + SIGNAL sim_done_wr2 : STD_LOGIC := '0'; + SIGNAL empty_d1 : STD_LOGIC := '0'; + SIGNAL empty_wr_dom1 : STD_LOGIC := '0'; + SIGNAL state_d1 : STD_LOGIC := '0'; + SIGNAL state_rd_dom1 : STD_LOGIC := '0'; + SIGNAL rd_en_d1 : STD_LOGIC := '0'; + SIGNAL rd_en_wr1 : STD_LOGIC := '0'; + SIGNAL wr_en_d1 : STD_LOGIC := '0'; + SIGNAL wr_en_rd1 : STD_LOGIC := '0'; + SIGNAL full_chk_d1 : STD_LOGIC := '0'; + SIGNAL full_chk_rd1 : STD_LOGIC := '0'; + SIGNAL empty_wr_dom2 : STD_LOGIC := '0'; + + SIGNAL state_rd_dom2 : STD_LOGIC := '0'; + SIGNAL state_rd_dom3 : STD_LOGIC := '0'; + SIGNAL rd_en_wr2 : STD_LOGIC := '0'; + SIGNAL wr_en_rd2 : STD_LOGIC := '0'; + SIGNAL full_chk_rd2 : STD_LOGIC := '0'; + SIGNAL reset_en_d1 : STD_LOGIC := '0'; + SIGNAL reset_en_rd1 : STD_LOGIC := '0'; + SIGNAL reset_en_rd2 : STD_LOGIC := '0'; + + SIGNAL data_chk_wr_d1 : STD_LOGIC := '0'; + SIGNAL data_chk_rd1 : STD_LOGIC := '0'; + SIGNAL data_chk_rd2 : STD_LOGIC := '0'; + SIGNAL post_rst_dly_wr : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); + SIGNAL post_rst_dly_rd : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); +BEGIN + status_i <= data_chk_i & full_chk_rd2 & empty_chk_i & '0' & '0'; + STATUS <= status_d1_i & '0' & '0' & rd_activ_cont(rd_activ_cont'high); + + prc_we_i <= wr_en_i WHEN sim_done_wr2 = '0' ELSE '0'; + prc_re_i <= rd_en_i WHEN sim_done_i = '0' ELSE '0'; + + SIM_DONE <= sim_done_i; + rdw_gt_wrw <= (OTHERS => '1'); + wrw_gt_rdw <= (OTHERS => '1'); + + PROCESS(RD_CLK) + BEGIN + IF (RD_CLK'event AND RD_CLK='1') THEN + IF(prc_re_i = '1') THEN + rd_activ_cont <= rd_activ_cont + "1"; + END IF; + END IF; + END PROCESS; + + + PROCESS(sim_done_i) + BEGIN + assert sim_done_i = '0' + report "Simulation Complete for:" & AXI_CHANNEL + severity note; + END PROCESS; + +----------------------------------------------------- +-- SIM_DONE SIGNAL GENERATION +----------------------------------------------------- +PROCESS (RD_CLK,RESET_RD) +BEGIN + IF(RESET_RD = '1') THEN + --sim_done_i <= '0'; + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF((OR_REDUCE(sim_stop_cntr) = '0' AND TB_STOP_CNT /= 0) OR stop_on_err = '1') THEN + sim_done_i <= '1'; + END IF; + END IF; +END PROCESS; + + -- TB Timeout/Stop + fifo_tb_stop_run:IF(TB_STOP_CNT /= 0) GENERATE + PROCESS (RD_CLK) + BEGIN + IF (RD_CLK'event AND RD_CLK='1') THEN + IF(state_rd_dom2 = '0' AND state_rd_dom3 = '1') THEN + sim_stop_cntr <= sim_stop_cntr - "1"; + END IF; + END IF; + END PROCESS; + END GENERATE fifo_tb_stop_run; + + + -- Stop when error found + PROCESS (RD_CLK) + BEGIN + IF (RD_CLK'event AND RD_CLK='1') THEN + IF(sim_done_i = '0') THEN + status_d1_i <= status_i OR status_d1_i; + END IF; + IF(FREEZEON_ERROR = 1 AND status_i /= "0") THEN + stop_on_err <= '1'; + END IF; + END IF; + END PROCESS; + ----------------------------------------------------- + + ----------------------------------------------------- + -- CHECKS FOR FIFO + ----------------------------------------------------- + + + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + post_rst_dly_rd <= (OTHERS => '1'); + ELSIF (RD_CLK'event AND RD_CLK='1') THEN + post_rst_dly_rd <= post_rst_dly_rd-post_rst_dly_rd(4); + END IF; + END PROCESS; + + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + post_rst_dly_wr <= (OTHERS => '1'); + ELSIF (WR_CLK'event AND WR_CLK='1') THEN + post_rst_dly_wr <= post_rst_dly_wr-post_rst_dly_wr(4); + END IF; + END PROCESS; + + + -- FULL de-assert Counter + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + full_ds_timeout <= (OTHERS => '0'); + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(state = '1') THEN + IF(rd_en_wr2 = '1' AND wr_en_i = '0' AND FULL = '1' AND AND_REDUCE(wrw_gt_rdw) = '1') THEN + full_ds_timeout <= full_ds_timeout + '1'; + END IF; + ELSE + full_ds_timeout <= (OTHERS => '0'); + END IF; + END IF; + END PROCESS; + + + -- EMPTY deassert counter + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + empty_ds_timeout <= (OTHERS => '0'); + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(state = '0') THEN + IF(wr_en_rd2 = '1' AND rd_en_i = '0' AND EMPTY = '1' AND AND_REDUCE(rdw_gt_wrw) = '1') THEN + empty_ds_timeout <= empty_ds_timeout + '1'; + END IF; + ELSE + empty_ds_timeout <= (OTHERS => '0'); + END IF; + END IF; + END PROCESS; + + -- Full check signal generation + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + full_chk_i <= '0'; + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN + full_chk_i <= '0'; + ELSE + full_chk_i <= AND_REDUCE(full_as_timeout) OR + AND_REDUCE(full_ds_timeout); + END IF; + END IF; + END PROCESS; + + -- Empty checks + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + empty_chk_i <= '0'; + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN + empty_chk_i <= '0'; + ELSE + empty_chk_i <= AND_REDUCE(empty_as_timeout) OR + AND_REDUCE(empty_ds_timeout); + END IF; + END IF; + END PROCESS; + + fifo_d_chk:IF(C_CH_TYPE /= 2) GENERATE + PRC_WR_EN <= prc_we_i AFTER 100 ns; + PRC_RD_EN <= prc_re_i AFTER 50 ns; + data_chk_i <= dout_chk; + END GENERATE fifo_d_chk; + ----------------------------------------------------- + + + ----------------------------------------------------- + -- SYNCHRONIZERS B/W WRITE AND READ DOMAINS + ----------------------------------------------------- + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + empty_wr_dom1 <= '1'; + empty_wr_dom2 <= '1'; + state_d1 <= '0'; + wr_en_d1 <= '0'; + rd_en_wr1 <= '0'; + rd_en_wr2 <= '0'; + full_chk_d1 <= '0'; + reset_en_d1 <= '0'; + sim_done_wr1 <= '0'; + sim_done_wr2 <= '0'; + ELSIF (WR_CLK'event AND WR_CLK='1') THEN + sim_done_wr1 <= sim_done_d1; + sim_done_wr2 <= sim_done_wr1; + reset_en_d1 <= reset_en_i; + state_d1 <= state; + empty_wr_dom1 <= empty_d1; + empty_wr_dom2 <= empty_wr_dom1; + wr_en_d1 <= wr_en_i; + rd_en_wr1 <= rd_en_d1; + rd_en_wr2 <= rd_en_wr1; + full_chk_d1 <= full_chk_i; + END IF; + END PROCESS; + + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + empty_d1 <= '1'; + state_rd_dom1 <= '0'; + state_rd_dom2 <= '0'; + state_rd_dom3 <= '0'; + wr_en_rd1 <= '0'; + wr_en_rd2 <= '0'; + rd_en_d1 <= '0'; + full_chk_rd1 <= '0'; + full_chk_rd2 <= '0'; + reset_en_rd1 <= '0'; + reset_en_rd2 <= '0'; + sim_done_d1 <= '0'; + ELSIF (RD_CLK'event AND RD_CLK='1') THEN + sim_done_d1 <= sim_done_i; + reset_en_rd1 <= reset_en_d1; + reset_en_rd2 <= reset_en_rd1; + empty_d1 <= EMPTY; + rd_en_d1 <= rd_en_i; + state_rd_dom1 <= state_d1; + state_rd_dom2 <= state_rd_dom1; + state_rd_dom3 <= state_rd_dom2; + wr_en_rd1 <= wr_en_d1; + wr_en_rd2 <= wr_en_rd1; + full_chk_rd1 <= full_chk_d1; + full_chk_rd2 <= full_chk_rd1; + END IF; + END PROCESS; + + RESET_EN <= reset_en_rd2; + + + data_fifo_en:IF(C_CH_TYPE /= 2) GENERATE + ----------------------------------------------------- + -- WR_EN GENERATION + ----------------------------------------------------- + gen_rand_wr_en:fifo_short_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED+1 + ) + PORT MAP( + CLK => WR_CLK, + RESET => RESET_WR, + RANDOM_NUM => wr_en_gen, + ENABLE => '1' + ); + + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + wr_en_i <= '0'; + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(state = '1') THEN + wr_en_i <= wr_en_gen(0) AND wr_en_gen(7) AND wr_en_gen(2) AND wr_control; + ELSE + wr_en_i <= (wr_en_gen(3) OR wr_en_gen(4) OR wr_en_gen(2)) AND (NOT post_rst_dly_wr(4)); + END IF; + END IF; + END PROCESS; + + ----------------------------------------------------- + -- WR_EN CONTROL + ----------------------------------------------------- + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + wr_cntr <= (OTHERS => '0'); + wr_control <= '1'; + full_as_timeout <= (OTHERS => '0'); + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(state = '1') THEN + IF(wr_en_i = '1') THEN + wr_cntr <= wr_cntr + "1"; + END IF; + full_as_timeout <= (OTHERS => '0'); + ELSE + wr_cntr <= (OTHERS => '0'); + IF(rd_en_wr2 = '0') THEN + IF(wr_en_i = '1') THEN + full_as_timeout <= full_as_timeout + "1"; + END IF; + ELSE + full_as_timeout <= (OTHERS => '0'); + END IF; + END IF; + + wr_control <= NOT wr_cntr(wr_cntr'high); + + END IF; + END PROCESS; + + ----------------------------------------------------- + -- RD_EN GENERATION + ----------------------------------------------------- + gen_rand_rd_en:fifo_short_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED + ) + PORT MAP( + CLK => RD_CLK, + RESET => RESET_RD, + RANDOM_NUM => rd_en_gen, + ENABLE => '1' + ); + + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + rd_en_i <= '0'; + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(state_rd_dom2 = '0') THEN + rd_en_i <= rd_en_gen(1) AND rd_en_gen(5) AND rd_en_gen(3) AND rd_control AND (NOT post_rst_dly_rd(4)); + ELSE + rd_en_i <= rd_en_gen(0) OR rd_en_gen(6); + END IF; + END IF; + END PROCESS; + + ----------------------------------------------------- + -- RD_EN CONTROL + ----------------------------------------------------- + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + rd_cntr <= (OTHERS => '0'); + rd_control <= '1'; + empty_as_timeout <= (OTHERS => '0'); + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(state_rd_dom2 = '0') THEN + IF(rd_en_i = '1') THEN + rd_cntr <= rd_cntr + "1"; + END IF; + empty_as_timeout <= (OTHERS => '0'); + ELSE + rd_cntr <= (OTHERS => '0'); + IF(wr_en_rd2 = '0') THEN + IF(rd_en_i = '1') THEN + empty_as_timeout <= empty_as_timeout + "1"; + END IF; + ELSE + empty_as_timeout <= (OTHERS => '0'); + END IF; + END IF; + + rd_control <= NOT rd_cntr(rd_cntr'high); + + END IF; + END PROCESS; + + ----------------------------------------------------- + -- STIMULUS CONTROL + ----------------------------------------------------- + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + state <= '0'; + reset_en_i <= '0'; + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + CASE state IS + WHEN '0' => + IF(FULL = '1' AND empty_wr_dom2 = '0') THEN + state <= '1'; + reset_en_i <= '0'; + END IF; + WHEN '1' => + IF(empty_wr_dom2 = '1' AND FULL = '0') THEN + state <= '0'; + reset_en_i <= '1'; + END IF; + WHEN OTHERS => state <= state; + END CASE; + END IF; + END PROCESS; + END GENERATE data_fifo_en; + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd new file mode 100755 index 000000000..4c0e4035b --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd @@ -0,0 +1,350 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_pkg.vhd +-- +-- Description: +-- This is the demo testbench package file for FIFO Generator core. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE ieee.std_logic_arith.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +PACKAGE fifo_short_2clk_pkg IS + + FUNCTION divroundup ( + data_value : INTEGER; + divisor : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : INTEGER; + false_case : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : STD_LOGIC; + false_case : STD_LOGIC) + RETURN STD_LOGIC; + ------------------------ + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : TIME; + false_case : TIME) + RETURN TIME; + ------------------------ + FUNCTION log2roundup ( + data_value : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION hexstr_to_std_logic_vec( + arg1 : string; + size : integer ) + RETURN std_logic_vector; + ------------------------ + COMPONENT fifo_short_2clk_rng IS + GENERIC (WIDTH : integer := 8; + SEED : integer := 3); + PORT ( + CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + ENABLE : IN STD_LOGIC; + RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + + COMPONENT fifo_short_2clk_dgen IS + GENERIC ( + C_DIN_WIDTH : INTEGER := 32; + C_DOUT_WIDTH : INTEGER := 32; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT ( + RESET : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + PRC_WR_EN : IN STD_LOGIC; + FULL : IN STD_LOGIC; + WR_EN : OUT STD_LOGIC; + WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + + COMPONENT fifo_short_2clk_dverif IS + GENERIC( + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_USE_EMBEDDED_REG : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + PRC_RD_EN : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + RD_EN : OUT STD_LOGIC; + DOUT_CHK : OUT STD_LOGIC + ); + END COMPONENT; + ------------------------ + + COMPONENT fifo_short_2clk_pctrl IS + GENERIC( + AXI_CHANNEL : STRING := "NONE"; + C_APPLICATION_TYPE : INTEGER := 0; + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_WR_PNTR_WIDTH : INTEGER := 0; + C_RD_PNTR_WIDTH : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 2; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET_WR : IN STD_LOGIC; + RESET_RD : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + FULL : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + ALMOST_FULL : IN STD_LOGIC; + ALMOST_EMPTY : IN STD_LOGIC; + DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + DOUT_CHK : IN STD_LOGIC; + PRC_WR_EN : OUT STD_LOGIC; + PRC_RD_EN : OUT STD_LOGIC; + RESET_EN : OUT STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + COMPONENT fifo_short_2clk_synth IS + GENERIC( + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 0; + TB_SEED : INTEGER := 1 + ); + PORT( + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + COMPONENT fifo_short_2clk_exdes IS + PORT ( + WR_CLK : IN std_logic; + RD_CLK : IN std_logic; + WR_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0); + RD_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0); + RST : IN std_logic; + WR_EN : IN std_logic; + RD_EN : IN std_logic; + DIN : IN std_logic_vector(72-1 DOWNTO 0); + DOUT : OUT std_logic_vector(72-1 DOWNTO 0); + FULL : OUT std_logic; + EMPTY : OUT std_logic); + + END COMPONENT; + ------------------------ + + +END fifo_short_2clk_pkg; + + + +PACKAGE BODY fifo_short_2clk_pkg IS + + FUNCTION divroundup ( + data_value : INTEGER; + divisor : INTEGER) + RETURN INTEGER IS + VARIABLE div : INTEGER; + BEGIN + div := data_value/divisor; + IF ( (data_value MOD divisor) /= 0) THEN + div := div+1; + END IF; + RETURN div; + END divroundup; + --------------------------------- + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : INTEGER; + false_case : INTEGER) + RETURN INTEGER IS + VARIABLE retval : INTEGER := 0; + BEGIN + IF condition=false THEN + retval:=false_case; + ELSE + retval:=true_case; + END IF; + RETURN retval; + END if_then_else; + --------------------------------- + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : STD_LOGIC; + false_case : STD_LOGIC) + RETURN STD_LOGIC IS + VARIABLE retval : STD_LOGIC := '0'; + BEGIN + IF condition=false THEN + retval:=false_case; + ELSE + retval:=true_case; + END IF; + RETURN retval; + END if_then_else; + --------------------------------- + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : TIME; + false_case : TIME) + RETURN TIME IS + VARIABLE retval : TIME := 0 ps; + BEGIN + IF condition=false THEN + retval:=false_case; + ELSE + retval:=true_case; + END IF; + RETURN retval; + END if_then_else; + ------------------------------- + FUNCTION log2roundup ( + data_value : INTEGER) + RETURN INTEGER IS + + VARIABLE width : INTEGER := 0; + VARIABLE cnt : INTEGER := 1; + BEGIN + IF (data_value <= 1) THEN + width := 1; + ELSE + WHILE (cnt < data_value) LOOP + width := width + 1; + cnt := cnt *2; + END LOOP; + END IF; + + RETURN width; + END log2roundup; + ------------------------------------------------------------------------------ + -- hexstr_to_std_logic_vec + -- This function converts a hex string to a std_logic_vector + ------------------------------------------------------------------------------ + FUNCTION hexstr_to_std_logic_vec( + arg1 : string; + size : integer ) + RETURN std_logic_vector IS + VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0'); + VARIABLE bin : std_logic_vector(3 DOWNTO 0); + VARIABLE index : integer := 0; + BEGIN + FOR i IN arg1'reverse_range LOOP + CASE arg1(i) IS + WHEN '0' => bin := (OTHERS => '0'); + WHEN '1' => bin := (0 => '1', OTHERS => '0'); + WHEN '2' => bin := (1 => '1', OTHERS => '0'); + WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0'); + WHEN '4' => bin := (2 => '1', OTHERS => '0'); + WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0'); + WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0'); + WHEN '7' => bin := (3 => '0', OTHERS => '1'); + WHEN '8' => bin := (3 => '1', OTHERS => '0'); + WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0'); + WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1'); + WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1'); + WHEN 'B' => bin := (2 => '0', OTHERS => '1'); + WHEN 'b' => bin := (2 => '0', OTHERS => '1'); + WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1'); + WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1'); + WHEN 'D' => bin := (1 => '0', OTHERS => '1'); + WHEN 'd' => bin := (1 => '0', OTHERS => '1'); + WHEN 'E' => bin := (0 => '0', OTHERS => '1'); + WHEN 'e' => bin := (0 => '0', OTHERS => '1'); + WHEN 'F' => bin := (OTHERS => '1'); + WHEN 'f' => bin := (OTHERS => '1'); + WHEN OTHERS => + FOR j IN 0 TO 3 LOOP + bin(j) := 'X'; + END LOOP; + END CASE; + FOR j IN 0 TO 3 LOOP + IF (index*4)+j < size THEN + result((index*4)+j) := bin(j); + END IF; + END LOOP; + index := index + 1; + END LOOP; + RETURN result; + END hexstr_to_std_logic_vec; + +END fifo_short_2clk_pkg; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd new file mode 100755 index 000000000..80c201c3b --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd @@ -0,0 +1,100 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_rng.vhd +-- +-- Description: +-- Used for generation of pseudo random numbers +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +ENTITY fifo_short_2clk_rng IS + GENERIC ( + WIDTH : integer := 8; + SEED : integer := 3); + PORT ( + CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + ENABLE : IN STD_LOGIC; + RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0)); +END ENTITY; + +ARCHITECTURE rg_arch OF fifo_short_2clk_rng IS +BEGIN +PROCESS (CLK,RESET) + VARIABLE rand_temp : STD_LOGIC_VECTOR(width-1 DOWNTO 0):=conv_std_logic_vector(SEED,width); + VARIABLE temp : STD_LOGIC := '0'; +BEGIN + IF(RESET = '1') THEN + rand_temp := conv_std_logic_vector(SEED,width); + temp := '0'; + ELSIF (CLK'event AND CLK = '1') THEN + IF (ENABLE = '1') THEN + temp := rand_temp(width-1) xnor rand_temp(width-3) xnor rand_temp(width-4) xnor rand_temp(width-5); + rand_temp(width-1 DOWNTO 1) := rand_temp(width-2 DOWNTO 0); + rand_temp(0) := temp; + END IF; + END IF; + + RANDOM_NUM <= rand_temp; + +END PROCESS; + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd new file mode 100755 index 000000000..e9139fc96 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd @@ -0,0 +1,300 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_synth.vhd +-- +-- Description: +-- This is the demo testbench for fifo_generator core. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + + +LIBRARY ieee; +USE ieee.STD_LOGIC_1164.ALL; +USE ieee.STD_LOGIC_unsigned.ALL; +USE IEEE.STD_LOGIC_arith.ALL; +USE ieee.numeric_std.ALL; +USE ieee.STD_LOGIC_misc.ALL; + +LIBRARY std; +USE std.textio.ALL; + +LIBRARY work; +USE work.fifo_short_2clk_pkg.ALL; + +-------------------------------------------------------------------------------- +-- Entity Declaration +-------------------------------------------------------------------------------- +ENTITY fifo_short_2clk_synth IS + GENERIC( + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 0; + TB_SEED : INTEGER := 1 + ); + PORT( + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); +END ENTITY; + +ARCHITECTURE simulation_arch OF fifo_short_2clk_synth IS + + -- FIFO interface signal declarations + SIGNAL wr_clk_i : STD_LOGIC; + SIGNAL rd_clk_i : STD_LOGIC; + SIGNAL wr_data_count : STD_LOGIC_VECTOR(6-1 DOWNTO 0); + SIGNAL rd_data_count : STD_LOGIC_VECTOR(6-1 DOWNTO 0); + SIGNAL rst : STD_LOGIC; + SIGNAL wr_en : STD_LOGIC; + SIGNAL rd_en : STD_LOGIC; + SIGNAL din : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL dout : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL full : STD_LOGIC; + SIGNAL empty : STD_LOGIC; + -- TB Signals + SIGNAL wr_data : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL dout_i : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL wr_en_i : STD_LOGIC := '0'; + SIGNAL rd_en_i : STD_LOGIC := '0'; + SIGNAL full_i : STD_LOGIC := '0'; + SIGNAL empty_i : STD_LOGIC := '0'; + SIGNAL almost_full_i : STD_LOGIC := '0'; + SIGNAL almost_empty_i : STD_LOGIC := '0'; + SIGNAL prc_we_i : STD_LOGIC := '0'; + SIGNAL prc_re_i : STD_LOGIC := '0'; + SIGNAL dout_chk_i : STD_LOGIC := '0'; + SIGNAL rst_int_rd : STD_LOGIC := '0'; + SIGNAL rst_int_wr : STD_LOGIC := '0'; + SIGNAL rst_s_wr1 : STD_LOGIC := '0'; + SIGNAL rst_s_wr2 : STD_LOGIC := '0'; + SIGNAL rst_gen_rd : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); + SIGNAL rst_s_wr3 : STD_LOGIC := '0'; + SIGNAL rst_s_rd : STD_LOGIC := '0'; + SIGNAL reset_en : STD_LOGIC := '0'; + SIGNAL rst_async_wr1 : STD_LOGIC := '0'; + SIGNAL rst_async_wr2 : STD_LOGIC := '0'; + SIGNAL rst_async_wr3 : STD_LOGIC := '0'; + SIGNAL rst_async_rd1 : STD_LOGIC := '0'; + SIGNAL rst_async_rd2 : STD_LOGIC := '0'; + SIGNAL rst_async_rd3 : STD_LOGIC := '0'; + + + BEGIN + + ---- Reset generation logic ----- + rst_int_wr <= rst_async_wr3 OR rst_s_wr3; + rst_int_rd <= rst_async_rd3 OR rst_s_rd; + + --Testbench reset synchronization + PROCESS(rd_clk_i,RESET) + BEGIN + IF(RESET = '1') THEN + rst_async_rd1 <= '1'; + rst_async_rd2 <= '1'; + rst_async_rd3 <= '1'; + ELSIF(rd_clk_i'event AND rd_clk_i='1') THEN + rst_async_rd1 <= RESET; + rst_async_rd2 <= rst_async_rd1; + rst_async_rd3 <= rst_async_rd2; + END IF; + END PROCESS; + + PROCESS(wr_clk_i,RESET) + BEGIN + IF(RESET = '1') THEN + rst_async_wr1 <= '1'; + rst_async_wr2 <= '1'; + rst_async_wr3 <= '1'; + ELSIF(wr_clk_i'event AND wr_clk_i='1') THEN + rst_async_wr1 <= RESET; + rst_async_wr2 <= rst_async_wr1; + rst_async_wr3 <= rst_async_wr2; + END IF; + END PROCESS; + + --Soft reset for core and testbench + PROCESS(rd_clk_i) + BEGIN + IF(rd_clk_i'event AND rd_clk_i='1') THEN + rst_gen_rd <= rst_gen_rd + "1"; + IF(reset_en = '1' AND AND_REDUCE(rst_gen_rd) = '1') THEN + rst_s_rd <= '1'; + assert false + report "Reset applied..Memory Collision checks are not valid" + severity note; + ELSE + IF(AND_REDUCE(rst_gen_rd) = '1' AND rst_s_rd = '1') THEN + rst_s_rd <= '0'; + END IF; + END IF; + END IF; + END PROCESS; + + PROCESS(wr_clk_i) + BEGIN + IF(wr_clk_i'event AND wr_clk_i='1') THEN + rst_s_wr1 <= rst_s_rd; + rst_s_wr2 <= rst_s_wr1; + rst_s_wr3 <= rst_s_wr2; + IF(rst_s_wr3 = '1' AND rst_s_wr2 = '0') THEN + assert false + report "Reset removed..Memory Collision checks are valid" + severity note; + END IF; + END IF; + END PROCESS; + ------------------ + + ---- Clock buffers for testbench ---- + wr_clk_i <= WR_CLK; + rd_clk_i <= RD_CLK; + ------------------ + + rst <= RESET OR rst_s_rd AFTER 12 ns; + din <= wr_data; + dout_i <= dout; + wr_en <= wr_en_i; + rd_en <= rd_en_i; + full_i <= full; + empty_i <= empty; + + fg_dg_nv: fifo_short_2clk_dgen + GENERIC MAP ( + C_DIN_WIDTH => 72, + C_DOUT_WIDTH => 72, + TB_SEED => TB_SEED, + C_CH_TYPE => 0 + ) + PORT MAP ( -- Write Port + RESET => rst_int_wr, + WR_CLK => wr_clk_i, + PRC_WR_EN => prc_we_i, + FULL => full_i, + WR_EN => wr_en_i, + WR_DATA => wr_data + ); + + fg_dv_nv: fifo_short_2clk_dverif + GENERIC MAP ( + C_DOUT_WIDTH => 72, + C_DIN_WIDTH => 72, + C_USE_EMBEDDED_REG => 0, + TB_SEED => TB_SEED, + C_CH_TYPE => 0 + ) + PORT MAP( + RESET => rst_int_rd, + RD_CLK => rd_clk_i, + PRC_RD_EN => prc_re_i, + RD_EN => rd_en_i, + EMPTY => empty_i, + DATA_OUT => dout_i, + DOUT_CHK => dout_chk_i + ); + + fg_pc_nv: fifo_short_2clk_pctrl + GENERIC MAP ( + AXI_CHANNEL => "Native", + C_APPLICATION_TYPE => 0, + C_DOUT_WIDTH => 72, + C_DIN_WIDTH => 72, + C_WR_PNTR_WIDTH => 5, + C_RD_PNTR_WIDTH => 5, + C_CH_TYPE => 0, + FREEZEON_ERROR => FREEZEON_ERROR, + TB_SEED => TB_SEED, + TB_STOP_CNT => TB_STOP_CNT + ) + PORT MAP( + RESET_WR => rst_int_wr, + RESET_RD => rst_int_rd, + RESET_EN => reset_en, + WR_CLK => wr_clk_i, + RD_CLK => rd_clk_i, + PRC_WR_EN => prc_we_i, + PRC_RD_EN => prc_re_i, + FULL => full_i, + ALMOST_FULL => almost_full_i, + ALMOST_EMPTY => almost_empty_i, + DOUT_CHK => dout_chk_i, + EMPTY => empty_i, + DATA_IN => wr_data, + DATA_OUT => dout, + SIM_DONE => SIM_DONE, + STATUS => STATUS + ); + + + + + + fifo_short_2clk_inst : fifo_short_2clk_exdes + PORT MAP ( + WR_CLK => wr_clk_i, + RD_CLK => rd_clk_i, + WR_DATA_COUNT => wr_data_count, + RD_DATA_COUNT => rd_data_count, + RST => rst, + WR_EN => wr_en, + RD_EN => rd_en, + DIN => din, + DOUT => dout, + FULL => full, + EMPTY => empty); + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd new file mode 100755 index 000000000..6d86157db --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd @@ -0,0 +1,208 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_tb.vhd +-- +-- Description: +-- This is the demo testbench top file for fifo_generator core. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +LIBRARY std; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.ALL; +USE IEEE.std_logic_arith.ALL; +USE IEEE.std_logic_misc.ALL; +USE ieee.numeric_std.ALL; +USE ieee.std_logic_textio.ALL; +USE std.textio.ALL; + +LIBRARY work; +USE work.fifo_short_2clk_pkg.ALL; + +ENTITY fifo_short_2clk_tb IS +END ENTITY; + + +ARCHITECTURE fifo_short_2clk_arch OF fifo_short_2clk_tb IS + SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000"; + SIGNAL wr_clk : STD_LOGIC; + SIGNAL rd_clk : STD_LOGIC; + SIGNAL reset : STD_LOGIC; + SIGNAL sim_done : STD_LOGIC := '0'; + SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); + -- Write and Read clock periods + CONSTANT wr_clk_period_by_2 : TIME := 200 ns; + CONSTANT rd_clk_period_by_2 : TIME := 100 ns; + -- Procedures to display strings + PROCEDURE disp_str(CONSTANT str:IN STRING) IS + variable dp_l : line := null; + BEGIN + write(dp_l,str); + writeline(output,dp_l); + END PROCEDURE; + + PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS + variable dp_lx : line := null; + BEGIN + hwrite(dp_lx,hex); + writeline(output,dp_lx); + END PROCEDURE; + +BEGIN + + -- Generation of clock + + PROCESS BEGIN + WAIT FOR 400 ns; -- Wait for global reset + WHILE 1 = 1 LOOP + wr_clk <= '0'; + WAIT FOR wr_clk_period_by_2; + wr_clk <= '1'; + WAIT FOR wr_clk_period_by_2; + END LOOP; + END PROCESS; + + PROCESS BEGIN + WAIT FOR 200 ns;-- Wait for global reset + WHILE 1 = 1 LOOP + rd_clk <= '0'; + WAIT FOR rd_clk_period_by_2; + rd_clk <= '1'; + WAIT FOR rd_clk_period_by_2; + END LOOP; + END PROCESS; + + -- Generation of Reset + + PROCESS BEGIN + reset <= '1'; + WAIT FOR 4200 ns; + reset <= '0'; + WAIT; + END PROCESS; + + + -- Error message printing based on STATUS signal from fifo_short_2clk_synth + + PROCESS(status) + BEGIN + IF(status /= "0" AND status /= "1") THEN + disp_str("STATUS:"); + disp_hex(status); + END IF; + + IF(status(7) = '1') THEN + assert false + report "Data mismatch found" + severity error; + END IF; + + IF(status(1) = '1') THEN + END IF; + + IF(status(5) = '1') THEN + assert false + report "Empty flag Mismatch/timeout" + severity error; + END IF; + + IF(status(6) = '1') THEN + assert false + report "Full Flag Mismatch/timeout" + severity error; + END IF; + END PROCESS; + + + PROCESS + BEGIN + wait until sim_done = '1'; + IF(status /= "0" AND status /= "1") THEN + assert false + report "Simulation failed" + severity failure; + ELSE + assert false + report "Test Completed Successfully" + severity failure; + END IF; + END PROCESS; + + PROCESS + BEGIN + wait for 400 ms; + assert false + report "Test bench timed out" + severity failure; + END PROCESS; + + -- Instance of fifo_short_2clk_synth + + fifo_short_2clk_synth_inst:fifo_short_2clk_synth + GENERIC MAP( + FREEZEON_ERROR => 0, + TB_STOP_CNT => 2, + TB_SEED => 108 + ) + PORT MAP( + WR_CLK => wr_clk, + RD_CLK => rd_clk, + RESET => reset, + SIM_DONE => sim_done, + STATUS => status + ); + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_isim.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_isim.bat new file mode 100755 index 000000000..d40cb85cf --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_isim.bat @@ -0,0 +1,63 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ..\\..\\..\\fifo_short_2clk.v +vhpcomp -work work ..\\..\\example_design\\fifo_short_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vhpcomp -work work ..\\fifo_short_2clk_pkg.vhd +vhpcomp -work work ..\\fifo_short_2clk_rng.vhd +vhpcomp -work work ..\\fifo_short_2clk_dgen.vhd +vhpcomp -work work ..\\fifo_short_2clk_dverif.vhd +vhpcomp -work work ..\\fifo_short_2clk_pctrl.vhd +vhpcomp -work work ..\\fifo_short_2clk_synth.vhd +vhpcomp -work work ..\\fifo_short_2clk_tb.vhd + +vlogcomp -work work $XILINX\\verilog\\src\\glbl.v +fuse work.fifo_short_2clk_tb work.glbl -L xilinxcorelib_ver -L unisims_ver -o fifo_short_2clk_tb.exe + +.\\fifo_short_2clk_tb.exe -gui -tclbatch .\\wave_isim.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_isim.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_isim.sh new file mode 100755 index 000000000..78f9ce588 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_isim.sh @@ -0,0 +1,65 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ../../../fifo_short_2clk.v +vhpcomp -work work ../../example_design/fifo_short_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vhpcomp -work work ../fifo_short_2clk_pkg.vhd +vhpcomp -work work ../fifo_short_2clk_rng.vhd +vhpcomp -work work ../fifo_short_2clk_dgen.vhd +vhpcomp -work work ../fifo_short_2clk_dverif.vhd +vhpcomp -work work ../fifo_short_2clk_pctrl.vhd +vhpcomp -work work ../fifo_short_2clk_synth.vhd +vhpcomp -work work ../fifo_short_2clk_tb.vhd + +vlogcomp -work work $XILINX/verilog/src/glbl.v +fuse work.fifo_short_2clk_tb work.glbl -L xilinxcorelib_ver -L unisims_ver -o fifo_short_2clk_tb.exe + +./fifo_short_2clk_tb.exe -gui -tclbatch ./wave_isim.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.bat new file mode 100755 index 000000000..35375ce20 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.bat @@ -0,0 +1,47 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.do b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.do new file mode 100755 index 000000000..8deb52187 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.do @@ -0,0 +1,74 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vlib work +vmap work work + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlog -work work ../../../fifo_short_2clk.v +vcom -work work ../../example_design/fifo_short_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vcom -work work ../fifo_short_2clk_pkg.vhd +vcom -work work ../fifo_short_2clk_rng.vhd +vcom -work work ../fifo_short_2clk_dgen.vhd +vcom -work work ../fifo_short_2clk_dverif.vhd +vcom -work work ../fifo_short_2clk_pctrl.vhd +vcom -work work ../fifo_short_2clk_synth.vhd +vcom -work work ../fifo_short_2clk_tb.vhd + +vlog -work work $env(XILINX)/verilog/src/glbl.v +vsim -t ps -voptargs="+acc" -L XilinxCoreLib_ver -L unisims_ver glbl work.fifo_short_2clk_tb + +add log -r /* +do wave_mti.do +#Ignore integer warnings at time 0 +set StdArithNoWarnings 1 +run 0 +set StdArithNoWarnings 0 + +run -all diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.sh new file mode 100755 index 000000000..edb1b0dd9 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.sh @@ -0,0 +1,49 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_ncsim.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_ncsim.sh new file mode 100755 index 000000000..749f9db06 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_ncsim.sh @@ -0,0 +1,69 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +mkdir work + +echo "Compiling Core Verilog UNISIM/Behavioral model" +ncvlog -work work ../../../fifo_short_2clk.v +ncvhdl -v93 -work work ../../example_design/fifo_short_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +ncvhdl -v93 -work work ../fifo_short_2clk_pkg.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_rng.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_dgen.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_dverif.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_pctrl.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_synth.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_tb.vhd + +echo "Elaborating Design" +ncvlog -work work $XILINX/verilog/src/glbl.v +ncelab -access +rwc glbl work.fifo_short_2clk_tb + +echo "Simulating Design" +ncsim -gui -input @"simvision -input wave_ncsim.sv" work.fifo_short_2clk_tb + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_vcs.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_vcs.sh new file mode 100755 index 000000000..71a568e24 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_vcs.sh @@ -0,0 +1,69 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +rm -rf simv* csrc DVEfiles AN.DB + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogan +v2k ../../../fifo_short_2clk.v +vhdlan ../../example_design/fifo_short_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vhdlan ../fifo_short_2clk_pkg.vhd +vhdlan ../fifo_short_2clk_rng.vhd +vhdlan ../fifo_short_2clk_dgen.vhd +vhdlan ../fifo_short_2clk_dverif.vhd +vhdlan ../fifo_short_2clk_pctrl.vhd +vhdlan ../fifo_short_2clk_synth.vhd +vhdlan ../fifo_short_2clk_tb.vhd + +echo "Elaborating Design" +vlogan +v2k $XILINX/verilog/src/glbl.v +vcs -time_res 1ps +vcs+lic+wait -debug fifo_short_2clk_tb glbl + +echo "Simulating Design" +./simv -ucli -i ucli_commands.key +dve -session vcs_session.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/ucli_commands.key b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/ucli_commands.key new file mode 100755 index 000000000..f90cccb67 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/ucli_commands.key @@ -0,0 +1,4 @@ +dump -file fifo_short_2clk.vpd -type VPD +dump -add fifo_short_2clk_tb +run +quit diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/vcs_session.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/vcs_session.tcl new file mode 100755 index 000000000..7f3170d74 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/vcs_session.tcl @@ -0,0 +1,77 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: vcs_session.tcl +# +# Description: +# This is the VCS wave form file. +# +#-------------------------------------------------------------------------------- +if { ![gui_is_db_opened -db {fifo_short_2clk.vpd}] } { + gui_open_db -design V1 -file fifo_short_2clk.vpd -nosource +} +gui_set_precision 1ps +gui_set_time_units 1ps + + +gui_open_window Wave +gui_sg_create fifo_short_2clk_Group +gui_list_add_group -id Wave.1 {fifo_short_2clk_Group} + +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +gui_sg_addsignal -group fifo_short_2clk_Group WRITE -divider +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +gui_sg_addsignal -group fifo_short_2clk_Group READ -divider +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +gui_zoom -window Wave.1 -full diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_isim.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_isim.tcl new file mode 100755 index 000000000..156aad172 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_isim.tcl @@ -0,0 +1,68 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_isim.tcl +# +# Description: +# This is the ISIM wave form file. +# +#-------------------------------------------------------------------------------- +wcfg new +isim set radix hex +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +run all +quit + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_mti.do b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_mti.do new file mode 100755 index 000000000..eafc64cf2 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_mti.do @@ -0,0 +1,88 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +# Filename: wave_mti.do +# +# Description: +# This is the modelsim wave form file. +# +#-------------------------------------------------------------------------------- + +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +add wave -noupdate -divider WRITE +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +add wave -noupdate -radix hexadecimal /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/DIN +add wave -noupdate -divider READ +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +add wave -noupdate -radix hexadecimal /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/DOUT + +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {2164886 ps} 0} +configure wave -namecolwidth 197 +configure wave -valuecolwidth 106 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {0 ps} {9464063 ps} diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_ncsim.sv b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_ncsim.sv new file mode 100755 index 000000000..655d5c65a --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_ncsim.sv @@ -0,0 +1,70 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_ncsim.sv +# +# Description: +# This is the IUS wave form file. +# +#-------------------------------------------------------------------------------- + +window new WaveWindow -name "Waves for FIFO Generator Example Design" +waveform using "Waves for FIFO Generator Example Design" + +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +waveform add -label WRITE +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +waveform add -label READ +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +console submit -using simulator -wait no "run" diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_isim.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_isim.bat new file mode 100755 index 000000000..536a7cfee --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_isim.bat @@ -0,0 +1,61 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ..\\..\\implement\\results\\routed.v + +echo "Compiling Test Bench Files" +vhpcomp -work work ..\\fifo_short_2clk_pkg.vhd +vhpcomp -work work ..\\fifo_short_2clk_rng.vhd +vhpcomp -work work ..\\fifo_short_2clk_dgen.vhd +vhpcomp -work work ..\\fifo_short_2clk_dverif.vhd +vhpcomp -work work ..\\fifo_short_2clk_pctrl.vhd +vhpcomp -work work ..\\fifo_short_2clk_synth.vhd +vhpcomp -work work ..\\fifo_short_2clk_tb.vhd + +fuse work.fifo_short_2clk_tb work.glbl -L simprims_ver -o fifo_short_2clk_tb.exe + +.\\fifo_short_2clk_tb.exe -sdfmax /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst=..\\..\\implement\\results\\routed.sdf -gui -tclbatch .\\wave_isim.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_isim.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_isim.sh new file mode 100755 index 000000000..2d9404294 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_isim.sh @@ -0,0 +1,63 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +vhpcomp -work work ../fifo_short_2clk_pkg.vhd +vhpcomp -work work ../fifo_short_2clk_rng.vhd +vhpcomp -work work ../fifo_short_2clk_dgen.vhd +vhpcomp -work work ../fifo_short_2clk_dverif.vhd +vhpcomp -work work ../fifo_short_2clk_pctrl.vhd +vhpcomp -work work ../fifo_short_2clk_synth.vhd +vhpcomp -work work ../fifo_short_2clk_tb.vhd + +fuse work.fifo_short_2clk_tb work.glbl -L simprims_ver -o fifo_short_2clk_tb.exe + +./fifo_short_2clk_tb.exe -sdfmax /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst=../../implement/results/routed.sdf -gui -tclbatch ./wave_isim.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.bat new file mode 100755 index 000000000..35375ce20 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.bat @@ -0,0 +1,47 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.do b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.do new file mode 100755 index 000000000..7ec785533 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.do @@ -0,0 +1,72 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vlib work +vmap work work + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlog -work work ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +vcom -work work ../fifo_short_2clk_pkg.vhd +vcom -work work ../fifo_short_2clk_rng.vhd +vcom -work work ../fifo_short_2clk_dgen.vhd +vcom -work work ../fifo_short_2clk_dverif.vhd +vcom -work work ../fifo_short_2clk_pctrl.vhd +vcom -work work ../fifo_short_2clk_synth.vhd +vcom -work work ../fifo_short_2clk_tb.vhd + +vsim -t ps -voptargs="+acc" +transport_int_delays -L simprims_ver glbl -sdfmax /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst=../../implement/results/routed.sdf work.fifo_short_2clk_tb + +add log -r /* +do wave_mti.do +#Ignore integer warnings at time 0 +set StdArithNoWarnings 1 +run 0 +set StdArithNoWarnings 0 + +run -all diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.sh new file mode 100755 index 000000000..edb1b0dd9 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.sh @@ -0,0 +1,49 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_ncsim.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_ncsim.sh new file mode 100755 index 000000000..e9dc952cf --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_ncsim.sh @@ -0,0 +1,73 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +mkdir work +echo "Compiling Core Verilog UNISIM/Behavioral model" +ncvlog -work work ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +ncvhdl -v93 -work work ../fifo_short_2clk_pkg.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_rng.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_dgen.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_dverif.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_pctrl.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_synth.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_tb.vhd + +echo "Compiling SDF file" +ncsdfc ../../implement/results/routed.sdf -output ./routed.sdf.X + +echo "Generating SDF command file" +echo 'COMPILED_SDF_FILE = "routed.sdf.X",' > sdf.cmd +echo 'SCOPE = :fifo_short_2clk_synth_inst:fifo_short_2clk_inst,' >> sdf.cmd +echo 'MTM_CONTROL = "MAXIMUM";' >> sdf.cmd + +echo "Elaborating Design" +ncelab -access +rwc glbl -sdf_cmd_file sdf.cmd work.fifo_short_2clk_tb + +echo "Simulating Design" +ncsim -gui -input @"simvision -input wave_ncsim.sv" work.fifo_short_2clk_tb diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_vcs.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_vcs.sh new file mode 100755 index 000000000..bbd548a74 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_vcs.sh @@ -0,0 +1,67 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +rm -rf simv* csrc DVEfiles AN.DB + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogan +v2k ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +vhdlan ../fifo_short_2clk_pkg.vhd +vhdlan ../fifo_short_2clk_rng.vhd +vhdlan ../fifo_short_2clk_dgen.vhd +vhdlan ../fifo_short_2clk_dverif.vhd +vhdlan ../fifo_short_2clk_pctrl.vhd +vhdlan ../fifo_short_2clk_synth.vhd +vhdlan ../fifo_short_2clk_tb.vhd + +echo "Elaborating Design" +vcs -time_res 1ps +neg_tchk -sdf max:/fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst:../../implement/results/routed.sdf +vcs+lic+wait -debug fifo_short_2clk_tb glbl + +echo "Simulating Design" +./simv -ucli -i ucli_commands.key +dve -session vcs_session.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/ucli_commands.key b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/ucli_commands.key new file mode 100755 index 000000000..f90cccb67 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/ucli_commands.key @@ -0,0 +1,4 @@ +dump -file fifo_short_2clk.vpd -type VPD +dump -add fifo_short_2clk_tb +run +quit diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/vcs_session.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/vcs_session.tcl new file mode 100755 index 000000000..e27baa9d8 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/vcs_session.tcl @@ -0,0 +1,76 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: vcs_session.tcl +# +# Description: +# This is the VCS wave form file. +# +#-------------------------------------------------------------------------------- +if { ![gui_is_db_opened -db {fifo_short_2clk.vpd}] } { + gui_open_db -design V1 -file fifo_short_2clk.vpd -nosource +} +gui_set_precision 1ps +gui_set_time_units 1ps + +gui_open_window Wave +gui_sg_create fifo_short_2clk_Group +gui_list_add_group -id Wave.1 {fifo_short_2clk_Group} + +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +gui_sg_addsignal -group fifo_short_2clk_Group WRITE -divider +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +gui_sg_addsignal -group fifo_short_2clk_Group READ -divider +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +gui_zoom -window Wave.1 -full diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_isim.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_isim.tcl new file mode 100755 index 000000000..156aad172 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_isim.tcl @@ -0,0 +1,68 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_isim.tcl +# +# Description: +# This is the ISIM wave form file. +# +#-------------------------------------------------------------------------------- +wcfg new +isim set radix hex +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +run all +quit + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_mti.do b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_mti.do new file mode 100755 index 000000000..eafc64cf2 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_mti.do @@ -0,0 +1,88 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +# Filename: wave_mti.do +# +# Description: +# This is the modelsim wave form file. +# +#-------------------------------------------------------------------------------- + +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +add wave -noupdate -divider WRITE +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +add wave -noupdate -radix hexadecimal /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/DIN +add wave -noupdate -divider READ +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +add wave -noupdate -radix hexadecimal /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/DOUT + +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {2164886 ps} 0} +configure wave -namecolwidth 197 +configure wave -valuecolwidth 106 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {0 ps} {9464063 ps} diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_ncsim.sv b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_ncsim.sv new file mode 100755 index 000000000..655d5c65a --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_ncsim.sv @@ -0,0 +1,70 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_ncsim.sv +# +# Description: +# This is the IUS wave form file. +# +#-------------------------------------------------------------------------------- + +window new WaveWindow -name "Waves for FIFO Generator Example Design" +waveform using "Waves for FIFO Generator Example Design" + +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +waveform add -label WRITE +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +waveform add -label READ +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +console submit -using simulator -wait no "run" diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk_flist.txt b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk_flist.txt new file mode 100644 index 000000000..4ab119a52 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk_flist.txt @@ -0,0 +1,57 @@ +# Output products list for +_xmsgs/pn_parser.xmsgs +fifo_short_2clk/doc/fifo_generator_v9_3_readme.txt +fifo_short_2clk/doc/fifo_generator_v9_3_vinfo.html +fifo_short_2clk/doc/pg057-fifo-generator.pdf +fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf +fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd +fifo_short_2clk/fifo_generator_v9_3_readme.txt +fifo_short_2clk/implement/implement.bat +fifo_short_2clk/implement/implement.sh +fifo_short_2clk/implement/implement_synplify.bat +fifo_short_2clk/implement/implement_synplify.sh +fifo_short_2clk/implement/planAhead_ise.bat +fifo_short_2clk/implement/planAhead_ise.sh +fifo_short_2clk/implement/planAhead_ise.tcl +fifo_short_2clk/implement/xst.prj +fifo_short_2clk/implement/xst.scr +fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd +fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd +fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd +fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd +fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd +fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd +fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd +fifo_short_2clk/simulation/functional/simulate_isim.bat +fifo_short_2clk/simulation/functional/simulate_isim.sh +fifo_short_2clk/simulation/functional/simulate_mti.bat +fifo_short_2clk/simulation/functional/simulate_mti.do +fifo_short_2clk/simulation/functional/simulate_mti.sh +fifo_short_2clk/simulation/functional/simulate_ncsim.sh +fifo_short_2clk/simulation/functional/simulate_vcs.sh +fifo_short_2clk/simulation/functional/ucli_commands.key +fifo_short_2clk/simulation/functional/vcs_session.tcl +fifo_short_2clk/simulation/functional/wave_isim.tcl +fifo_short_2clk/simulation/functional/wave_mti.do +fifo_short_2clk/simulation/functional/wave_ncsim.sv +fifo_short_2clk/simulation/timing/simulate_isim.bat +fifo_short_2clk/simulation/timing/simulate_isim.sh +fifo_short_2clk/simulation/timing/simulate_mti.bat +fifo_short_2clk/simulation/timing/simulate_mti.do +fifo_short_2clk/simulation/timing/simulate_mti.sh +fifo_short_2clk/simulation/timing/simulate_ncsim.sh +fifo_short_2clk/simulation/timing/simulate_vcs.sh +fifo_short_2clk/simulation/timing/ucli_commands.key +fifo_short_2clk/simulation/timing/vcs_session.tcl +fifo_short_2clk/simulation/timing/wave_isim.tcl +fifo_short_2clk/simulation/timing/wave_mti.do +fifo_short_2clk/simulation/timing/wave_ncsim.sv +fifo_short_2clk.asy +fifo_short_2clk.gise +fifo_short_2clk.ngc +fifo_short_2clk.v +fifo_short_2clk.veo +fifo_short_2clk.xco +fifo_short_2clk.xise +fifo_short_2clk_flist.txt +fifo_short_2clk_xmdf.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk_xmdf.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk_xmdf.tcl new file mode 100644 index 000000000..0abe2bb7c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk_xmdf.tcl @@ -0,0 +1,251 @@ +# The package naming convention is _xmdf +package provide fifo_short_2clk_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::fifo_short_2clk_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::fifo_short_2clk_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name fifo_short_2clk +} +# ::fifo_short_2clk_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::fifo_short_2clk_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/doc/fifo_generator_v9_3_readme.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/doc/fifo_generator_v9_3_vinfo.html +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/doc/pg057-fifo-generator.pdf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/fifo_generator_v9_3_readme.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/implement.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/implement.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/implement_synplify.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/implement_synplify.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/planAhead_ise.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/planAhead_ise.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/planAhead_ise.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/xst.prj +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/xst.scr +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_isim.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_isim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_mti.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_mti.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_ncsim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_vcs.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/ucli_commands.key +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/vcs_session.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/wave_isim.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/wave_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/wave_ncsim.sv +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_isim.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_isim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_mti.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_mti.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_ncsim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_vcs.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/ucli_commands.key +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/vcs_session.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/wave_isim.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/wave_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/wave_ncsim.sv +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module fifo_short_2clk +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/.gitignore b/fpga/usrp3/top/b2xxmini/coregen_dsp/.gitignore new file mode 100644 index 000000000..f9823bca9 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/.gitignore @@ -0,0 +1,6 @@ +_xmsgs +*.log +*.ncf +*.xise +*.gise + diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/Makefile.srcs b/fpga/usrp3/top/b2xxmini/coregen_dsp/Makefile.srcs new file mode 100644 index 000000000..9d679ab2d --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/Makefile.srcs @@ -0,0 +1,15 @@ +# +# Copyright 2012, 2015 Ettus Research LLC +# + +################################################## +# Coregen Sources +################################################## + +COREGEN_DSP_SRCS = $(abspath $(addprefix $(BASE_DIR)/../top/b2xxmini/coregen_dsp/, \ +hbdec1.v \ +hbdec1.xco \ +hbdec2.v \ +hbdec2.xco \ +)) + diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/coregen.cgp b/fpga/usrp3/top/b2xxmini/coregen_dsp/coregen.cgp new file mode 100644 index 000000000..e849e744f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/coregen.cgp @@ -0,0 +1,9 @@ +SET busformat = BusFormatAngleBracketNotRipped +SET designentry = Verilog +SET device = xc6slx150 +SET devicefamily = spartan6 +SET flowvendor = Other +SET package = csg484 +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/filt2.coe b/fpga/usrp3/top/b2xxmini/coregen_dsp/filt2.coe new file mode 100644 index 000000000..e18b5daa7 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/filt2.coe @@ -0,0 +1,36 @@ + Radix=10; +CoefData = 282, + -0, + -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581, + -0, + 282; diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hb31.coe b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb31.coe new file mode 100644 index 000000000..37f5a180e --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb31.coe @@ -0,0 +1,32 @@ +Radix=10; +CoefData = -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581; diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hb35.coe b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb35.coe new file mode 100644 index 000000000..18078085d --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb35.coe @@ -0,0 +1,36 @@ +Radix=10; +CoefData = 282, + -0, + -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581, + -0, + 282; diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hb39.coe b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb39.coe new file mode 100644 index 000000000..1d99fa946 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb39.coe @@ -0,0 +1,40 @@ +Radix=10; +CoefData = -102, + 0, + 282, + -0, + -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581, + -0, + 282, + 0, + -102; diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hb43.coe b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb43.coe new file mode 100644 index 000000000..c56c4c928 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb43.coe @@ -0,0 +1,44 @@ +Radix=10; +CoefData = 100, +0, +-102, + 0, + 282, + -0, + -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581, + -0, + 282, + 0, + -102, +0, +100; diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hb47.coe b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb47.coe new file mode 100644 index 000000000..4c9a6c406 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb47.coe @@ -0,0 +1,51 @@ +; Generated with round((2^18-2)*halfgen_test(.21,12,1)) +; 83% effective BW, 64dB to 85dB rolloff +Radix=10; +CoefData = +-62, + 0, + 194, + 0, +-440, + 0, + 855, + 0, +-1505, + 0, + 2478, + 0, +-3900, + 0, + 5990, + 0, +-9187, + 0, + 14632, + 0, +-26536, + 0, + 83009, + 131071, + 83009, + 0, +-26536, + 0, + 14632, + 0, +-9187, + 0, + 5990, + 0, +-3900, + 0, + 2478, + 0, +-1505, + 0, + 855, + 0, +-440, + 0 + 194, + 0, +-62; diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hb51.coe b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb51.coe new file mode 100644 index 000000000..ebfddb7fb --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb51.coe @@ -0,0 +1,52 @@ +Radix=10; +CoefData = 300, +0, +-200, +0, +100, +0, +-102, + 0, + 282, + -0, + -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581, + -0, + 282, + 0, + -102, +0, +100, +0, +-200, +0, +300; diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hb55.coe b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb55.coe new file mode 100644 index 000000000..07f861818 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb55.coe @@ -0,0 +1,56 @@ +Radix=10; +CoefData = -400, +0, +300, +0, +-200, +0, +100, +0, +-102, + 0, + 282, + -0, + -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581, + -0, + 282, + 0, + -102, +0, +100, +0, +-200, +0, +300, +0, +-400; diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hb59.coe b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb59.coe new file mode 100644 index 000000000..79f1e362b --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb59.coe @@ -0,0 +1,60 @@ +Radix=10; +CoefData = 500, +0, +-400, +0, +300, +0, +-200, +0, +100, +0, +-102, + 0, + 282, + -0, + -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581, + -0, + 282, + 0, + -102, +0, +100, +0, +-200, +0, +300, +0, +-400, +0 +500; diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hb63.coe b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb63.coe new file mode 100644 index 000000000..3b312036d --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb63.coe @@ -0,0 +1,67 @@ +; Generated with round((2^18-2)*halfgen_test(.22,16,1)) +; 88% effective BW, 64dB to 87dB rolloff +Radix=10; +CoefData = +-35, + 0, + 95, + 0, +-195, + 0, + 352, + 0, +-582, + 0, + 907, + 0, +-1354, + 0, + 1953, + 0, +-2751, + 0, + 3813, + 0, +-5249, + 0, + 7264, + 0, +-10296, + 0, + 15494, + 0, +-27083, + 0, + 83196, + 131071, + 83196, + 0, +-27083, + 0, + 15494, + 0, +-10296, + 0, + 7264, + 0, +-5249, + 0, + 3813, + 0, +-2751, + 0, + 1953, + 0, +-1354, + 0, + 907, + 0, +-582, + 0, + 352, + 0, + -195, + 0 + 95, + 0 +-35; diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.asy b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.asy new file mode 100644 index 000000000..7644e7bbe --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.asy @@ -0,0 +1,61 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 hbdec1 +RECTANGLE Normal 32 32 544 2048 +LINE Normal 0 144 32 144 +PIN 0 144 LEFT 36 +PINATTR PinName nd +PINATTR Polarity IN +LINE Wide 0 240 32 240 +PIN 0 240 LEFT 36 +PINATTR PinName din_1[23:0] +PINATTR Polarity IN +LINE Wide 0 272 32 272 +PIN 0 272 LEFT 36 +PINATTR PinName din_2[23:0] +PINATTR Polarity IN +LINE Normal 0 848 32 848 +PIN 0 848 LEFT 36 +PINATTR PinName coef_ld +PINATTR Polarity IN +LINE Normal 0 880 32 880 +PIN 0 880 LEFT 36 +PINATTR PinName coef_we +PINATTR Polarity IN +LINE Wide 0 912 32 912 +PIN 0 912 LEFT 36 +PINATTR PinName coef_din[17:0] +PINATTR Polarity IN +LINE Normal 0 1008 32 1008 +PIN 0 1008 LEFT 36 +PINATTR PinName clk +PINATTR Polarity IN +LINE Normal 0 1040 32 1040 +PIN 0 1040 LEFT 36 +PINATTR PinName ce +PINATTR Polarity IN +LINE Normal 0 1072 32 1072 +PIN 0 1072 LEFT 36 +PINATTR PinName sclr +PINATTR Polarity IN +LINE Wide 576 240 544 240 +PIN 576 240 RIGHT 36 +PINATTR PinName dout_1[46:0] +PINATTR Polarity OUT +LINE Wide 576 272 544 272 +PIN 576 272 RIGHT 36 +PINATTR PinName dout_2[46:0] +PINATTR Polarity OUT +LINE Normal 576 1840 544 1840 +PIN 576 1840 RIGHT 36 +PINATTR PinName rfd +PINATTR Polarity OUT +LINE Normal 576 1872 544 1872 +PIN 576 1872 RIGHT 36 +PINATTR PinName rdy +PINATTR Polarity OUT +LINE Normal 576 1904 544 1904 +PIN 576 1904 RIGHT 36 +PINATTR PinName data_valid +PINATTR Polarity OUT + diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.mif new file mode 100644 index 000000000..78d2dd901 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.mif @@ -0,0 +1,47 @@ +111111111111000010 +000000000000000000 +000000000011000010 +000000000000000000 +111111111001001000 +000000000000000000 +000000001101010111 +000000000000000000 +111111101000011111 +000000000000000000 +000000100110101110 +000000000000000000 +111111000011000100 +000000000000000000 +000001011101100110 +000000000000000000 +111101110000011101 +000000000000000000 +000011100100101000 +000000000000000000 +111001100001011000 +000000000000000000 +010100010001000001 +011111111111111111 +010100010001000001 +000000000000000000 +111001100001011000 +000000000000000000 +000011100100101000 +000000000000000000 +111101110000011101 +000000000000000000 +000001011101100110 +000000000000000000 +111111000011000100 +000000000000000000 +000000100110101110 +000000000000000000 +111111101000011111 +000000000000000000 +000000001101010111 +000000000000000000 +111111111001001000 +000000000000000000 +000000000011000010 +000000000000000000 +111111111111000010 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc new file mode 100644 index 000000000..0830a9f34 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$26b<~753-Xnzd}foo8#5+421).97 ?>;168456702:;<=63:2:2=6?<;0,36>77<2;;<=?9;049MKVR\3NJXLQFN=36>58602;=6B[[PTV9@DRFWF__0<;50?33?4>=AGZ^X7O31683:46<910BB][[:C>23?699:1:47GAPTV9FFOI48=1<3??;0:9MKVR\3N7=:4?>0685=23?699>1:47GAPTV9gjcaWmce0<950?36?4>=G\^[YY4MCNWW841=87;=7<65OTVSQQ7?2F__\XZ5DC]LQQ:6?3:5=<5>:HLSQQ11392>LHW]]0JHI\N<183:44<93CE\XZ5AEFQF96=87;h7<4FNQWW>fibnVlb`yk}_rgo85<768=0=7GAPTV9bljsm{Uxia2?:1<21>7=G\^[YY4lh`l\mk:7294::6?5OTVSQQ453;:37?>NA321444<:=0BB][[:ekm875=87;>7?:5IORVP?bnfV;;0?=50?36?72=AGZ^X7jfn^32875=87;>7?:5IORVP?bnfV;90?=50?36?72=AGZ^X7jfn^30875=87;>7?:5IORVP?bnfV;?0?=50?36?72=AGZ^X7jfn^36875=87;>7?:5IORVP?bnfV;=0?=50?37?72=AGZ^X7jfn^3?66<768>0>94FNQWW>aoiW;69?7>115910?OIX\^1hd`P3=00>586<28?6D@_UU8gmkY34;91<3?;;369MKVR\3nbbR;32283:42<:=0BB][[:ekm[3:5;3:5=95=4;KMTPR=l`dT;1<<:1<20>432@D[YY4kio];875=87;?7?:5IORVP?bnfV37>>4?>048613;>1CXZ_UU8gkprXaV;:0?=50?3:?72=G\^[YY4kotv\mZ754;91<3?6;369KPRW]]0ocxzPi^30875=87;27?:5OTVSQQ3;>1CXZ_UU8gkprXaV;>0?=50?3:?72=G\^[YY4kotv\mZ714;91<3?7;369KPRW]]0ocxzPi^3?66<76820>94@UURVP?bh}}UbS?2=3;2=5==5<3E^X][[:emvpZoX;5886=0>8:07>JSSX\^1hb{{_h]7875=87;37?:5OTVSQQ<:=0DYY^ZT;flqqYnW?69?7>119910?IR\Y__6iazt^k\3944294:46<;:NWWTPR=lfSdQ7<3194;7?3;>1CXZ_UU8gkprXaV37>>4?>0086?OIX\^1MIJ]A=394;753;0BB][[:@FGVG:6294:=6=9:NWWTPR=E59>6=0>2:19MKVR\3KOH_O32;2=57=42@D[YY4NDEPA87<768>08:4@UURVP?bh}}6?:7>116973?IR\Y__6iazt^33810=87;<7995OTVSQQ72?699>1?;7AZTQWW>air|V;?09850?34?11=G\^[YY4kotv\50:3>3:5=:5;7;MVPUSS2me~xR?9<5494;713==1CXZ_UU8gkprX95>=6=0>6:64>JSSX\^1hb{{_3>72?699?1?;7AZTQWW>air|V978;4?>04802202F__\XZ5dnww[3:3>3:5=;5;7;MVPUSS2me~xR934783:40<<>0DYY^ZT;flqqY?4=<1<3?9;559KPRW]]0ocxzP9=65>586>2><6B[[PTV9`jssWx6?:7>118973?IR\Y__6iazt^s\55:3>3:5=45;7;MVPUSS2me~xRP10>72?69901?;7AZTQWW>air|V{T=?2;6;2=5<=3?3E^X][[:emvpZwX9:6?:7>118973?IR\Y__6iazt^s\51:3>3:5=45;7;MVPUSS2me~xRP14>72?69901?;7AZTQWW>air|V{T=;2;6;2=5==3?3E^X][[:emvpZwX95>=6=0>8:64>JSSX\^1hb{{_p]1810=87;37995OTVSQQ<<>0DYY^ZT;flqqYvW=6?:7>119973?IR\Y__6iazt^s\1921294:46:8:NWWTPR=lfS|Q9<5494;7?3==1CXZ_UU8gkprXyV=78;4?>0:8023:5=55;7;MVPUSS2me~xRP9=65>58682>36D@_UU8@811=87;?7965IORVP?BFW@D78:4?>0180=586>2>36B[[PTV9@DYH]]6?;7>117970;2<;4498LQQVR\3ZHCXZ34683:==3N=>?89:;;43741=2==::7;:46@CB6>0Dm20;2<=48;KMTPR=GYGDHH28:1<22>>=G\^[YY4KAUC\KPR;?3:5>67N2:C31>G7ZLM97L?:;@3QAB4:4A=2=1>G;994>7L2>1?78E9756<1J0<=15:C?51823H6:93;4A=35:2=F48=1<3;4A=34:1=F484?7L2=>59B86833H6?295N<4<7?D:16=1J0:0;;@>;:1=F40427LJKR=294;13:d=FLMXJ0<4?>99B@ATF484j7LJKR@>1>58?3HNO^L2=>`9B@ATE490;255NDEPA858f3HNO^O2>:1<;?DBCZK6:2l5NDEPA87<7611JHI\M<3<6?G6UMN?0N<\JG59A85823K6:<3;4B=32:0=E488596L312<6?G:6<7?0N1?:>49A8409?2H7=:4?>49A8419<2H7=3:4B=0=0>D;;7>0N1:14:@?1;29<2H75394BBKM858?3KIBB1??>99AGLH;98437OMFN=31:==EK@D7=>07;CAJJ973611IOD@314<;?GENF5;=2l5MCHL?52<7611IOD@316<4?GENF5;5;6LLIO>1:2=EK@D7?394BBKM81803KIBB1;17:@@MK:16>1IOD@37?58FFOI414<7OMFN=;=<>DDG\^7<374BBMVP977601IOB[[<03==>DDG\^7=?06;CALQQ:6;730NNAZT=37:<=EKF__0<;19:@@KPR;9?4i7OM@UU>23?6912HHCXZ316<;?GEH]]6:255MCNWW878?3KIDYY2<>99AGJSS4=437OM@UU>6:==EKF__0;07;CALQQ:0611IOB[[<9<;?GEH]]622?5MF99AQVYNFOE:7N:4C=2=1>E;994>7N2>1?78G9756<1H0<=15:A?51823J6:93;4C=35:0=D48=596M319<6?F:617>0O1?15:A?65823J69=3;4C=01:0=D4;9596M325<6?F:5=7?0O1<9>49@8719=2I7>50:;B>1=;249@8659=2I7?90:;B>01;3E;;14>7N2<9?68G959=2I78=0:;B>75;39285L<51=1>E;<=4>7N2;5?78G9216>1H09950?78G9206=1H090;;B>6:1=D4?4?7N28>59@8=833J622:5LASPZMKgEBI:1HIO=4CDA:?FCDI[XREC=4CDF;?FCBM1KJM>5LEL:8G@IWEFNN?6MJP008GMCDCVFBOH@\E^VZT@40O_KH1:F1?A>33M6;285K<02=1>B;984>7I2>2?78@9746<1O0<:15:F?50823M6::394D=34>5823M6:;3:4D=3=0>B;:7>0H1=14:F?0;2BF<2NJMLo4D@VB[LH;87h0HLZN_HL?558e3MK_MRGA<03=f>BF\HUBB1?=>c9GEQGXAG6:?3l4D@VB[LH;9=4o7IO[A^KM843=87h0HLZN_HL?508f3MK_MRGA<00;2l5KAUC\MK:06h1OMYOPIO>;:d=CI]KTEC26>c9GEQGXG\^7<3m4D@VB[JSS48:5o6JNT@]LQQ:697i0HLZN_NWW8449k2NJXLQ@UU>27;eBF\HUDYY2>5;2=g>BF\HUDYY2>5?`8@DRFWF__0<0m;ECWEZIR\585n6JNT@]LQQ:46k1OMYOPOTV?0;d19:FB[LH;99427IOPIO>25;?89GEZOI489556JN_HL?518>3MKTEC2>5?;8@DYNF5;=2o5KA^KM841=8730HLQFN=34:<=CIVCE0<619:FB[LH;90437IOPIO>2:<=CIVCE0?>19:FB[LH;:8427IOPIO>16;?89GEZOI4;>556JN_HL?608>3MKTEC2=6?;8@DYNF58<245KA^KM87>912NJSD@328<;?AGXAG69245KA^KM866912NJSD@330<:?AGXAG68>374D@]JJ954601OMRGA<26==>BFW@D7?806;EC\MK:4>730HLQFN=14:<=CIVCE0>619:FB[LH;;0437IOPIO>0:<=CIVCE09>19:FB[LH;<8427IOPIO>76;?89GEZOI4=>556JN_HL?008>3MKTEC2;6?`8@DYNF5><6=06;EC\MK:3?720HLQFN=6=<>BFW@D79364D@]JJ90902NJSD@37?:8@DYNF52546JN_HL?=;?`9GEZIR\5;;2l5KA^MVP9766h1OMRAZT=31:d=CIVE^X1?<>`9GEZIR\5;?2l5KA^MVP9726h1OMRAZT=35:f=CIVE^X1?8:13MKTCXZ31?c8@DYH]]69<3o4D@]LQQ:597k0HLQ@UU>16;g12;g1:d=CIVE^X1=?>`9GEZIR\59:2l5KA^MVP9556h1OMRAZT=10:d=CIVE^X1=;>`9GEZIR\59>2l5KA^MVP9516h1OMRAZT=14:d=CIVE^X1=7>`9GEZIR\592245KA^MVP959i2NJSB[[<52=e>BFWF__09?1a:FB[JSS4=85m6JN_NWW8159i2NJSB[[<56=e>BFWF__09;1a:FB[JSS4=<5o6JN_NWW811=87k0HLQ@UU>73;?89GEZIR\5?556JN_NWW838>3MKTCXZ37?;8@DYH]]63245KA^MVP9?912NISB[[<1`9GFZIR\5;32l5KB^MVP97>601ONRAZT=3=e>BEWF__0?>1a:FA[JSS4;;5m6JM_NWW8749i2NISB[[<31=e>BEWF__0?:1a:FA[JSS4;?5m6JM_NWW8709i2NISB[[<35=e>BEWF__0?61a:FA[JSS4;3556JM_NWW878f3MHTCXZ33174;g3o4DC]LQQ:3;7k0HOQ@UU>70;gBEWF__0506;E@\KPR;1780HH<4DH18@UI23MZYM=;4DQPB50=CX[K996J_R@17?ATBO>1O_]:7A038A7=BI=1NM?O;;DCBE7=A<;1M4?5ID59E@D>43ONN?6HKR59E@WC33ONXI95IF9C;?C@AN;KJM<5H3:EM@4=N:2C;>6G>2:K16>O4:2C?56GAIUQWEQC43@D]>6BF2:NL2>JHIMOO;6B@GHABH1=K]];?7A[[259OQQ533E__895CUU77?H:76<1F0<>15:O?54823D6:>3;4M=30:0=J48>596C314<6?H:6>7?0A1?8>49N84>9=2G7=40;;L>2:0=J4;:596C320<6?H:5:7?0A1<<>49N8729=2G7>80:;L>12;3K;:04?7@2=>49N8669=2G7?<0:;L>06;3K;;<0;285B<27=0>K;;7>0A1:14:O?1;29<2G753:4MSGD1>KRPJS<7@[WCX]G3>KRPJST@n5BakmqR`ttafdh7@gaosTfvvohf:1E===4N030?K75;2D:?>5A1518J4343G;=?6@>759M52333G;<:95A1657?K700=1E=:7<;O3;0>H609>0B<6>4:L2<728568J4>2<2D:4;:4N0:40>H601>0B<663:L2=1=I90:?7C?6159M5<433G;2?95A1867?K7>==1E=48;;O3:31=I902?7C?6939M66=I:9>0B?>?4:L1442H58>>0B?>74:L14<5059M64733G8:>95A2017?K46<=1E><;;;O0221=I:8=?7C<>859M64?43G8986@=2168J746<2D9>?=4N310?K43;2D99>5A2718J7>43G82>6@<3:L047=I<;1E995A54;7?K318=1E9;?;;O7561=I=?9?7C;9459M13333G?=:95A5757?K310=1E9;7;;O7441=I=>;?7C;8259M12533G?<895A5677?K30>=1E9:9;;O74<1=I=>3?7C;7059M1=733G?3>95A5917?K3?<=1E95;;;O7;21=I=1=?7C;7859M1=?33G?2<95A5837?K3>:=1E94=;;O7:01=I=0??7C;6659M1<133G?2495A58;7?K078=1E:=?;;O4361=I>99?7C8?459M25333G<<;95A66:7?K001=1E:5>;;O4;51=I>18?7C87359M2=233G<3995A6947?K0??=1E:56;;O4;=1=I>0:?7C86159M2<433G<2?95A6867?K0>==1E:48;;O4:31=I>02?7C86939M31=I?9:?7C9?159M35433G=;?95A7167?K17==1E;=8;;O5331=I?92?7C9?959M34633G=:=95A7007?K16;=1E;<:;;O5211=I?8759M34>33G=:595A7327?K159=1E;?<;;O5177=I0;1E5k5AB^@VWUOIWYXD\^94NDVTKWM03GEFI_IJ1:M1?JM03FZFCIK:9:MSIJBB49427B^BOEG?5;?89LTHICM59556A_MNFF818>3FZFCIK35?;8KUKHLL6=2o5@PLMGA91=8730C]C@DD>4:<=HXDEOI_KH169LTQOJASOT\_GBIUKUAVYIOJk0Cohzsbcwkw724;3V;9:4>7]2>4?78T9726<1[0<815:R?52823Y6:43;4P=3::1=W484>7]2=0?78T9466<1[0?<15:R?66823Y6983;4P=06:0=W4;<596^326<6?U:507?0\1<6>59S87823Y68<3;4P=12:0=W4:8596^332<6?U:4<7?0\1=:>49S8609=2Z7?:0:;Q>0<;3>49S8149=2Z78>0:;Q>70;3>285_<54=3>V;<>0;285_<55=0>V;<7>0\1;14:R?2;299SGLH;98437]MFN=31:==WK@D7=>07;QAJJ973611[OD@314<;?UENF5;=255_CHL?528?3YIBB1?7>99SGLH;904<7]MFN=3=<>VDAG69<364PBKM877902ZHEC2=2?:8TFOI4;9546^LIO>10;>720\NGA<35=<>VDAG694364PBKM87?9?2ZHEC2=>99SGLH;;9437]MFN=12:==WK@D7??07;QAJJ954611[OD@335<;?UENF59>255_CHL?738?3YIBB1=8>99SGLH;;1437]MFN=1::2=WK@D7?364PBKM816902ZHEC2;1?:8TFOI4=8546^LIO>77;>VDAG6?;7>18:R@MK:3?7=0\NGA<5<4?UENF5?5;6^LIO>5:2=WK@D7;394PBKM8=803YIBB1718:R@KPR;8730\NAZT=33:<=WKF__027;?89SGJSS48?556^LOTV?538>3YIDYY2>7?;8TFIR\5;3245_CNWW84?902ZHCXZ31?;8TFIR\58;245_CNWW877912ZHCXZ323<:?UEH]]69?374PBMVP943601[OB[[<37==>VDG\^7>;06;QALQQ:5?730\NAZT=0;:<=WKF__0?718:R@KPR;:730\NAZT=13:<=WKF__0>?19:R@KPR;;;427]M@UU>07;?89SGJSS4:?556^LOTV?738>3YIDYY2<7?;8TFIR\593245_CNWW86?902ZHCXZ33?;8TFIR\5>;245_CNWW817912ZHCXZ343<:?UEH]]6??374PBMVP923601[OB[[<57==>VDG\^78;0m;QALQQ:3?3:556^LOTV?028?3YIDYY2;>99SGJSS4<437]M@UU>5:==WKF__0:07;QALQQ:?611[OB[[<8TT\JKY^TGA4:PPPA21:QKV@AXMQ^YMNZPNFAf?VNUMNUFECQ\I[G;?VMNXVZBB;5\OTP@A6=TXF=0__BL17G7?V_IK=1_U]Kn;TQFVZGKAHYh7X]JR^TJWLDKM:1]ON74VHGT[Q_WM=1\OAE=;VG0?RCF9m1SEAGAX,ZGF%6)9)Y_YO.?.0"BWFON=2RD^NW9;YQWHLDe3QUHC_KPIODL2>^cjVCoj6Vkh^RqmhPbzzcdb<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl0?\cti;1TSRVCNL]\[5YXW\:$kRcnc/obg*`nzVyn`hm{/fgm[`~smgnnhRczlu,emw)aa{Uxiaklt.efjZnfdohjbiQkebkneqohf'lb~"ijn^pfhjgc&{ogcljPcovqh*tbdfkoSh`nbmg\v`jhimUcnRkaacnf[JTX98Ud=h64_^][HKKXWV;;SRQZ0.e\ide)ehi$jd|Psdnfgq)`mgUntykaddf\ipjs&ocy#kg}_rgoafr(oldTdlbib`lg[acdadkeb`!fhp,c`hXn`fiQmlnah+bciW`doi}kad`lw[fikS;W%kh`PsqnjpZehdR:V"jka_ucsw^4Z&noeSio{a^qziZef{j$licQaoso-`drfWzsfSno|c^fo}*EBW^OTMCJP14:\k4Y5m?1TSRVCNL]\[47XWV_;#jQbab,nef)aa{Uxiaklt.efjZc|ldoiiQbumv-blt(n`xThbjcu-dakYoielimcjPddajidrngg$me!heo]emirbzVhgcne gdl\mkbbxldomczPcnnX6X(`mgUx|ag{_bmo_5[)oldTxl~|[7_-c`hXlh~jS~wb_bcpg+abfVdd~` kauc\w|kXkhyhSibv/BG\S@YFFMU:95Q`1d:8[ZY_DGGTSR?;_^]V4*aXehi%alm fhp\w`jbk}%licQjxugm``bXe|f"kg}/gkq[vckmj~$kh`Ph`nefdhcWmohe`o{inl-blt(oldTjdb{es]ahjel'noeSd`keqgm`dhsWjegW?S!gdl\wujn|Vid`V>R.fgm[qgw{R9V"jka_ecweZu~eVijn heo]mkwk)lh~jS~wb_bcpgZbkq&INSZKPAOF\50>Xg8U9i55P_^ZOJHYXW8?TSR[?/f]nef(jij%meQ|emg`p*abfVosxh`kee]nqir)n`x$jd|Psdnfgq)`mgUcmahmaof\``eneh~bcc iis-dakYaae~n~Rlcobi,c`hXagnn|h`kaov\gjj\:T$licQ|pmkw[fikS9W%kh`Pt`rp_6[)oldThlzn_r{n[fgtk'mnbR``rl,geqgX{pgTol}l_enz+FCX_LUJBIQ>59]l5Z7b02UTSUBAM^]\5=YXW\:$kRcnc/obg*`nzVyn`hm{/fgm[`~smgnnhRczlu,emw)aa{Uxiaklt.efjZnfdohjbiQkebkneqohf'lb~"ijn^djhqcuWkfdof!heo]jjacwmgnjbyQlomY1Y+abfVy{`dzPcnnX4X(`mgUm}}T5\,dakYci}kTtcPc`q`*bciWgeya#jnt`]p}hYdiziThaw CD]TAZGILV;>4Ra>_3g;?ZYXPEDFSRQ>9^]\Q5)`Wdkh"`ol/gkq[vckmj~$kh`PeyvfjaccWdgx#hfr.djvZubdli#jka_icobggilVnnodcnthmm*cou'noeSkgctdp\fiidc&mnbRgaddrfjagi|Vid`VQ#ijn^fbpdYtqdUhm~m!gdl\jjtj&mkmR}vm^abwfYcdp%HIRYJ_@LG[43?Wf;T=5=4_^][HKKXWV;TSR[?/f]nef(jij%meQ|emg`p*abfVosxh`kee]nqir)n`x$jd|Psdnfgq)`mgUcmahmaof\``eneh~bcc iis-dakYt|fzTil|flx-Nip~XzlmTec~zt03f2>YXWQFEARQP21]\[P6(oVgjo#cnc.djvZubdli#jka_dzwakbblVg~`y iis-emwYtmeohx"ijn^jbhcdffmUoingbauklj+`nz&mnbRhflugq[gjhkb%licQfnegsakbff}UhcaU=]/efjZuwd`~TobbT0\,dakYsiyyP?P heo]geqgX{pgTol}l.fgm[kiue'njxlQ|yl]`eveXles$OHQXE^CM@Z720Ve:i;5P_^ZOJHYXW;;TSR[?/f]nef(jij%meQ|emg`p*abfVosxh`kee]nqir)n`x$jd|Psdnfgq)`mgUcmahmaof\``eneh~bcc iis-dakYaae~n~Rlcobi,c`hXagnn|h`kaov\gjj\:T$licQ|pmkw[fikS9W%kh`Pt`rp_0[)oldThlzn_r{n[fgtk'mnbR``rl,geqgX{pgTol}l_enz+FCX_LUJBIQ>59]l5<34_^][HKKXWV88SRQZ0.e\ide)ehi$jd|Psdnfgq)`mgUntykaddf\ipjs&ocy#kg}_rgoafr(oldTdlbib`lg[acdadkeb`!fhp,c`hXkld~hQ{aq]geqgXdh~hdhj CD]TAZGILV8:9Ra>809\[Z^KFDUTS?QP_T2,cZkfk'gjo"hfr^qfh`es'noeShv{eoff`Zkrd}$me!iis]paicd|&mnbRfnlg`bjaYcmjcfmyg`n/djv*oiWhno~"ijn^qwvpes&ykliR||tqmw=g=XWVRGB@QP_2]\[P6(oVgjo#cnc.djvZubdli#jka_dzwakbblVg~`y iis-emwYtmeohx"ijn^jbhcdffmUoingbauklj+`nz&mnbR|jlncg*wckghnTocz}l.pfhjgcWjef|ak{e^ALACYKLVEYS<R.cnbjnYumnUs#kh_hlsqq70j2UTSUBAM^]\2ZYX]9%lS`ol.lc`+couWzoginz gdl\a}rbfmooS`{ct/djv*`nzVyn`hm{/fgm[mgknkkehRjjchobplii&ocy#b~loeg\gkrudVngu1?1_dsm5=7XWV_;#jQbab,nef)aa{Uxiaklt.efjZc|ldoiiQbumv-blt(n`xThbjcu-dakYoielimcjPddajidrngg$me!heo]emirbzVhgcne gdl\mkbbxldomczPcnnX6X(`mgUx|ag{_bmo_5[)oldTxl~|[3_-c`hXlh~jS~wb_bcpg+abfVdd~` kauc\w|kXkhyhSibv/BG\S@YFFMU:95Q`1^6f3>YXWQFEARQP9^]\Q5)`Wdkh"`ol/gkq[vckmj~$kh`PeyvfjaccWdgx#hfr.djvZubdli#jka_icobggilVnnodcnthmm*cou'noeSkgctdp\fiidc&mnbRgaddrfjagi|Vid`V?0120f>dkc9:;<=>?4c9ahn6789:;<8l4bmi3456789?0123?08`8fim789:;<=om;cnh456789:in6lck1234567kk1i`f>?01234ad?01ga?gjl89:;<=>ib:`oo56789::?0130f>dkc9:;<=>>4c9ahn6789:;=8l4bmi3456788?0122?18`8fim789:;<?01235ad?00ga?gjl89:;<=?ib:`oo56789:9?0100f>dkc9:;<=>=4c9ahn6789:;>8l4bmi345678;?0121?28`8fim789:;?01236ad?03ga?gjl89:;<=?0110f>dkc9:;<=><4c9ahn6789:;?8l4bmi345678:?0120?38`8fim789:;<>om;cnh4567899in6lck1234564kk1i`f>?01237ad?02ga?gjl89:;<==ib:`oo56789:??0160f>dkc9:;<=>;4c9ahn6789:;88l4bmi345678=?0127?48`8fim789:;<9om;cnh456789>in6lck1234563kk1i`f>?01230ad?05ga?gjl89:;<=:ib:`oo56789:>?0170f>dkc9:;<=>:4c9ahn6789:;98l4bmi345678<?0126?58`8fim789:;<8om;cnh456789?in6lck1234562kk1i`f>?01231ad?04ga?gjl89:;<=;ib:`oo56789:=8h0nae?0123434e3kf`<=>?0140f>dkc9:;<=>94c9ahn6789:;:8l4bmi345678??0125?68`8fim789:;<;om;cnh456789?01232ad?07ga?gjl89:;<=8ib:`oo56789:<?0150f>dkc9:;<=>84c9ahn6789:;;8l4bmi345678>?0124?78`8fim789:;<:om;cnh456789=in6lck1234560kk1i`f>?01233ad?06ga?gjl89:;<=9ib:`oo56789:3?01:0f>dkc9:;<=>74c9ahn6789:;48l4bmi34567810j2hgg=>?012;?88`8fim789:;<5om;cnh4567892in6lck123456?kk1i`f>?0123?09ga?gjl89:;<=6ib:`oo56789:2?01;0f>dkc9:;<=>64c9ahn6789:;58l4bmi3456780?012:?98`8fim789:;<4om;cnh4567893in6lck123456>kk1i`f>?0123=ad?08ga?gjl89:;<=7ib:`oo56789:j?01c0f>dkc9:;<=>n4c9ahn6789:;m8l4bmi345678h?012b?a8`8fim789:;?0123ead?0`ga?gjl89:;<=oib:`oo56789:i?01`0f>dkc9:;<=>m4c9ahn6789:;n8l4bmi345678k?012a?b8`8fim789:;?0123fad?0cga?gjl89:;<=lib:`oo56789:h?01a0f>dkc9:;<=>l4c9ahn6789:;o8l4bmi345678j?012`?c8`8fim789:;?0123gad?0bga?gjl89:;<=mib:`oo56789:o?01f0f>dkc9:;<=>k4c9ahn6789:;h8l4bmi345678m?012g?d8`8fim789:;?0123`ad?0ega?gjl89:;<=jib:`oo56789:n?01g0f>dkc9:;<=>j4c9ahn6789:;i8l4bmi345678l?012f?e8`8fim789:;?0123aad?0dga?gjl89:;<=kib:`oo56789:m?01d0f>dkc9:;<=>i4c9ahn6789:;j8l4bmi345678o?012e?f8`8fim789:;?0123bad?0gga?gjl89:;<=hib:`oo56789;;?0020f>dkc9:;<=??4c9ahn6789::<8l4bmi3456799?0133>08`8fim789:;==om;cnh456788:in6lck1234577kk1i`f>?01224ad?11ga?gjl89:;<<>ib:`oo56789;:?0030f>dkc9:;<=?>4c9ahn6789::=8l4bmi3456798?0132>18`8fim789:;=?01225ad?10ga?gjl89:;<?0000f>dkc9:;<=?=4c9ahn6789::>8l4bmi345679;?0131>28`8fim789:;=?om;cnh4567888in6lck1234575kk1i`f>?01226ad?13ga?gjl89:;<<?0010f>dkc9:;<=?<4c9ahn6789::?8l4bmi345679:?0130>38`8fim789:;=>om;cnh4567889in6lck1234574kk1i`f>?01227ad?12ga?gjl89:;<<=ib:`oo56789;??0060f>dkc9:;<=?;4c9ahn6789::88l4bmi345679=?0137>48`8fim789:;=9om;cnh456788>in6lck1234573kk1i`f>?01220ad?15ga?gjl89:;<<:ib:`oo56789;>?0070f>dkc9:;<=?:4c9ahn6789::98l4bmi345679<?0136>58`8fim789:;=8om;cnh456788?in6lck1234572kk1i`f>?01221ad?14ga?gjl89:;<<;ib:`oo56789;=8h0nae?0123534e3kf`<=>?0040f>dkc9:;<=?94c9ahn6789:::8l4bmi345679??0135>68`8fim789:;=;om;cnh456788?01222ad?17ga?gjl89:;<<8ib:`oo56789;<?0050f>dkc9:;<=?84c9ahn6789::;8l4bmi345679>?0134>78`8fim789:;=:om;cnh456788=in6lck1234570kk1i`f>?01223ad?16ga?gjl89:;<<9ib:`oo56789;3?00:0f>dkc9:;<=?74c9ahn6789::48l4bmi34567910j2hgg=>?013;>88`8fim789:;=5om;cnh4567882in6lck123457?kk1i`f>?0122?19ga?gjl89:;<<6ib:`oo56789;2?00;0f>dkc9:;<=?64c9ahn6789::58l4bmi3456790?013:>98`8fim789:;=4om;cnh4567883in6lck123457>kk1i`f>?0122=ad?18ga?gjl89:;<<7ib:`oo56789;j?00c0f>dkc9:;<=?n4c9ahn6789::m8l4bmi345679h?013b>a8`8fim789:;=lom;cnh456788kin6lck123457fkk1i`f>?0122ead?1`ga?gjl89:;<?00`0f>dkc9:;<=?m4c9ahn6789::n8l4bmi345679k?013a>b8`8fim789:;=oom;cnh456788hin6lck123457ekk1i`f>?0122fad?1cga?gjl89:;<?00a0f>dkc9:;<=?l4c9ahn6789::o8l4bmi345679j?013`>c8`8fim789:;=nom;cnh456788iin6lck123457dkk1i`f>?0122gad?1bga?gjl89:;<?00f0f>dkc9:;<=?k4c9ahn6789::h8l4bmi345679m?013g>d8`8fim789:;=iom;cnh456788nin6lck123457ckk1i`f>?0122`ad?1ega?gjl89:;<?00g0f>dkc9:;<=?j4c9ahn6789::i8l4bmi345679l?013f>e8`8fim789:;=hom;cnh456788oin6lck123457bkk1i`f>?0122aad?1dga?gjl89:;<?00d0f>dkc9:;<=?i4c9ahn6789::j8l4bmi345679o?013e>f8`8fim789:;=kom;cnh456788lin6lck123457akk1i`f>?0122bad?1gga?gjl89:;<?0320f>dkc9:;<=?0103=08`8fim789:;>=om;cnh45678;:in6lck1234547kk1i`f>?01214ad?21ga?gjl89:;ib:`oo567898:?0330f>dkc9:;<=<>4c9ahn6789:9=8l4bmi34567:8?0102=18`8fim789:;>?01215ad?20ga?gjl89:;?0300f>dkc9:;<=<=4c9ahn6789:9>8l4bmi34567:;?0101=28`8fim789:;>?om;cnh45678;8in6lck1234545kk1i`f>?01216ad?23ga?gjl89:;?0310f>dkc9:;<=<<4c9ahn6789:9?8l4bmi34567::?0100=38`8fim789:;>>om;cnh45678;9in6lck1234544kk1i`f>?01217ad?22ga?gjl89:;?0360f>dkc9:;<=<;4c9ahn6789:988l4bmi34567:=?0107=48`8fim789:;>9om;cnh45678;>in6lck1234543kk1i`f>?01210ad?25ga?gjl89:;?0370f>dkc9:;<=<:4c9ahn6789:998l4bmi34567:<?0106=58`8fim789:;>8om;cnh45678;?in6lck1234542kk1i`f>?01211ad?24ga?gjl89:;8h0nae?0123634e3kf`<=>?0340f>dkc9:;<=<94c9ahn6789:9:8l4bmi34567:??0105=68`8fim789:;>;om;cnh45678;?01212ad?27ga?gjl89:;?0350f>dkc9:;<=<84c9ahn6789:9;8l4bmi34567:>?0104=78`8fim789:;>:om;cnh45678;=in6lck1234540kk1i`f>?01213ad?26ga?gjl89:;?03:0f>dkc9:;<=<74c9ahn6789:948l4bmi34567:10j2hgg=>?010;=88`8fim789:;>5om;cnh45678;2in6lck123454?kk1i`f>?0121?29ga?gjl89:;?03;0f>dkc9:;<=<64c9ahn6789:958l4bmi34567:0?010:=98`8fim789:;>4om;cnh45678;3in6lck123454>kk1i`f>?0121=ad?28ga?gjl89:;?03c0f>dkc9:;<=?010b=a8`8fim789:;>lom;cnh45678;kin6lck123454fkk1i`f>?0121ead?2`ga?gjl89:;?03`0f>dkc9:;<=?010a=b8`8fim789:;>oom;cnh45678;hin6lck123454ekk1i`f>?0121fad?2cga?gjl89:;?03a0f>dkc9:;<=?010`=c8`8fim789:;>nom;cnh45678;iin6lck123454dkk1i`f>?0121gad?2bga?gjl89:;?03f0f>dkc9:;<=?010g=d8`8fim789:;>iom;cnh45678;nin6lck123454ckk1i`f>?0121`ad?2ega?gjl89:;?03g0f>dkc9:;<=?010f=e8`8fim789:;>hom;cnh45678;oin6lck123454bkk1i`f>?0121aad?2dga?gjl89:;?03d0f>dkc9:;<=?010e=f8`8fim789:;>kom;cnh45678;lin6lck123454akk1i`f>?0121bad?2gga?gjl89:;?0220f>dkc9:;<==?4c9ahn6789:8<8l4bmi34567;9?0113<08`8fim789:;?=om;cnh45678::in6lck1234557kk1i`f>?01204ad?31ga?gjl89:;<>>ib:`oo567899:?0230f>dkc9:;<==>4c9ahn6789:8=8l4bmi34567;8?0112<18`8fim789:;??01205ad?30ga?gjl89:;<>?ib:`oo5678999?0200f>dkc9:;<===4c9ahn6789:8>8l4bmi34567;;?0111<28`8fim789:;??om;cnh45678:8in6lck1234555kk1i`f>?01206ad?33ga?gjl89:;<>?0210f>dkc9:;<==<4c9ahn6789:8?8l4bmi34567;:?0110<38`8fim789:;?>om;cnh45678:9in6lck1234554kk1i`f>?01207ad?32ga?gjl89:;<>=ib:`oo567899??0260f>dkc9:;<==;4c9ahn6789:888l4bmi34567;=?0117<48`8fim789:;?9om;cnh45678:>in6lck1234553kk1i`f>?01200ad?35ga?gjl89:;<>:ib:`oo567899>?0270f>dkc9:;<==:4c9ahn6789:898l4bmi34567;<?0116<58`8fim789:;?8om;cnh45678:?in6lck1234552kk1i`f>?01201ad?34ga?gjl89:;<>;ib:`oo567899=8h0nae?0123734e3kf`<=>?0240f>dkc9:;<==94c9ahn6789:8:8l4bmi34567;??0115<68`8fim789:;?;om;cnh45678:?01202ad?37ga?gjl89:;<>8ib:`oo567899<?0250f>dkc9:;<==84c9ahn6789:8;8l4bmi34567;>?0114<78`8fim789:;?:om;cnh45678:=in6lck1234550kk1i`f>?01203ad?36ga?gjl89:;<>9ib:`oo5678993?02:0f>dkc9:;<==74c9ahn6789:848l4bmi34567;10j2hgg=>?011;<88`8fim789:;?5om;cnh45678:2in6lck123455?kk1i`f>?0120?39ga?gjl89:;<>6ib:`oo5678992?02;0f>dkc9:;<==64c9ahn6789:858l4bmi34567;0?011:<98`8fim789:;?4om;cnh45678:3in6lck123455>kk1i`f>?0120=ad?38ga?gjl89:;<>7ib:`oo567899j?02c0f>dkc9:;<==n4c9ahn6789:8m8l4bmi34567;h?011b?0120ead?3`ga?gjl89:;<>oib:`oo567899i?02`0f>dkc9:;<==m4c9ahn6789:8n8l4bmi34567;k?011a?0120fad?3cga?gjl89:;<>lib:`oo567899h?02a0f>dkc9:;<==l4c9ahn6789:8o8l4bmi34567;j?011`?0120gad?3bga?gjl89:;<>mib:`oo567899o?02f0f>dkc9:;<==k4c9ahn6789:8h8l4bmi34567;m?011g?0120`ad?3ega?gjl89:;<>jib:`oo567899n?02g0f>dkc9:;<==j4c9ahn6789:8i8l4bmi34567;l?011f?0120aad?3dga?gjl89:;<>kib:`oo567899m?02d0f>dkc9:;<==i4c9ahn6789:8j8l4bmi34567;o?011e?0120bad?3gga?gjl89:;<>hib:`oo56789>;?0520f>dkc9:;<=:?4c9ahn6789:?<8l4bmi34567<9?0163;08`8fim789:;8=om;cnh45678=:in6lck1234527kk1i`f>?01274ad?41ga?gjl89:;<9>ib:`oo56789>:?0530f>dkc9:;<=:>4c9ahn6789:?=8l4bmi34567<8?0162;18`8fim789:;8?01275ad?40ga?gjl89:;<9?ib:`oo56789>9?0500f>dkc9:;<=:=4c9ahn6789:?>8l4bmi34567<;?0161;28`8fim789:;8?om;cnh45678=8in6lck1234525kk1i`f>?01276ad?43ga?gjl89:;<98?0510f>dkc9:;<=:<4c9ahn6789:??8l4bmi34567<:?0160;38`8fim789:;8>om;cnh45678=9in6lck1234524kk1i`f>?01277ad?42ga?gjl89:;<9=ib:`oo56789>??0560f>dkc9:;<=:;4c9ahn6789:?88l4bmi34567<=?0167;48`8fim789:;89om;cnh45678=>in6lck1234523kk1i`f>?01270ad?45ga?gjl89:;<9:ib:`oo56789>>?0570f>dkc9:;<=::4c9ahn6789:?98l4bmi34567<<?0166;58`8fim789:;88om;cnh45678=?in6lck1234522kk1i`f>?01271ad?44ga?gjl89:;<9;ib:`oo56789>=8h0nae?0123034e3kf`<=>?0540f>dkc9:;<=:94c9ahn6789:?:8l4bmi34567?0165;68`8fim789:;8;om;cnh45678=?01272ad?47ga?gjl89:;<98ib:`oo56789><?0550f>dkc9:;<=:84c9ahn6789:?;8l4bmi34567<>?0164;78`8fim789:;8:om;cnh45678==in6lck1234520kk1i`f>?01273ad?46ga?gjl89:;<99ib:`oo56789>3?05:0f>dkc9:;<=:74c9ahn6789:?48l4bmi34567<10j2hgg=>?016;;88`8fim789:;85om;cnh45678=2in6lck123452?kk1i`f>?0127?49ga?gjl89:;<96ib:`oo56789>2?05;0f>dkc9:;<=:64c9ahn6789:?58l4bmi34567<0?016:;98`8fim789:;84om;cnh45678=3in6lck123452>kk1i`f>?0127=ad?48ga?gjl89:;<97ib:`oo56789>j?05c0f>dkc9:;<=:n4c9ahn6789:?m8l4bmi34567?016b;a8`8fim789:;8lom;cnh45678=kin6lck123452fkk1i`f>?0127ead?4`ga?gjl89:;<9oib:`oo56789>i?05`0f>dkc9:;<=:m4c9ahn6789:?n8l4bmi34567?016a;b8`8fim789:;8oom;cnh45678=hin6lck123452ekk1i`f>?0127fad?4cga?gjl89:;<9lib:`oo56789>h?05a0f>dkc9:;<=:l4c9ahn6789:?o8l4bmi34567?016`;c8`8fim789:;8nom;cnh45678=iin6lck123452dkk1i`f>?0127gad?4bga?gjl89:;<9mib:`oo56789>o?05f0f>dkc9:;<=:k4c9ahn6789:?h8l4bmi34567?016g;d8`8fim789:;8iom;cnh45678=nin6lck123452ckk1i`f>?0127`ad?4ega?gjl89:;<9jib:`oo56789>n?05g0f>dkc9:;<=:j4c9ahn6789:?i8l4bmi34567?016f;e8`8fim789:;8hom;cnh45678=oin6lck123452bkk1i`f>?0127aad?4dga?gjl89:;<9kib:`oo56789>m?05d0f>dkc9:;<=:i4c9ahn6789:?j8l4bmi34567?016e;f8`8fim789:;8kom;cnh45678=lin6lck123452akk1i`f>?0127bad?4gga?gjl89:;<9hib:`oo56789?;?0420f>dkc9:;<=;?4c9ahn6789:><8l4bmi34567=9?0173:08`8fim789:;9=om;cnh45678<:in6lck1234537kk1i`f>?01264ad?51ga?gjl89:;<8>ib:`oo56789?:?0430f>dkc9:;<=;>4c9ahn6789:>=8l4bmi34567=8?0172:18`8fim789:;9?01265ad?50ga?gjl89:;<8?ib:`oo56789?9?0400f>dkc9:;<=;=4c9ahn6789:>>8l4bmi34567=;?0171:28`8fim789:;9?om;cnh45678<8in6lck1234535kk1i`f>?01266ad?53ga?gjl89:;<8?0410f>dkc9:;<=;<4c9ahn6789:>?8l4bmi34567=:?0170:38`8fim789:;9>om;cnh45678<9in6lck1234534kk1i`f>?01267ad?52ga?gjl89:;<8=ib:`oo56789???0460f>dkc9:;<=;;4c9ahn6789:>88l4bmi34567==?0177:48`8fim789:;99om;cnh45678<>in6lck1234533kk1i`f>?01260ad?55ga?gjl89:;<8:ib:`oo56789?>?0470f>dkc9:;<=;:4c9ahn6789:>98l4bmi34567=<?0176:58`8fim789:;98om;cnh45678?01261ad?54ga?gjl89:;<8;ib:`oo56789?=8h0nae?0123134e3kf`<=>?0440f>dkc9:;<=;94c9ahn6789:>:8l4bmi34567=??0175:68`8fim789:;9;om;cnh45678<?01262ad?57ga?gjl89:;<88ib:`oo56789?<?0450f>dkc9:;<=;84c9ahn6789:>;8l4bmi34567=>?0174:78`8fim789:;9:om;cnh45678<=in6lck1234530kk1i`f>?01263ad?56ga?gjl89:;<89ib:`oo56789?3?04:0f>dkc9:;<=;74c9ahn6789:>48l4bmi34567=10j2hgg=>?017;:88`8fim789:;95om;cnh45678<2in6lck123453?kk1i`f>?0126?59ga?gjl89:;<86ib:`oo56789?2?04;0f>dkc9:;<=;64c9ahn6789:>58l4bmi34567=0?017::98`8fim789:;94om;cnh45678<3in6lck123453>kk1i`f>?0126=ad?58ga?gjl89:;<87ib:`oo56789?j?04c0f>dkc9:;<=;n4c9ahn6789:>m8l4bmi34567=h?017b:a8`8fim789:;9lom;cnh45678?0126ead?5`ga?gjl89:;<8oib:`oo56789?i?04`0f>dkc9:;<=;m4c9ahn6789:>n8l4bmi34567=k?017a:b8`8fim789:;9oom;cnh45678?0126fad?5cga?gjl89:;<8lib:`oo56789?h?04a0f>dkc9:;<=;l4c9ahn6789:>o8l4bmi34567=j?017`:c8`8fim789:;9nom;cnh45678?0126gad?5bga?gjl89:;<8mib:`oo56789?o?04f0f>dkc9:;<=;k4c9ahn6789:>h8l4bmi34567=m?017g:d8`8fim789:;9iom;cnh45678?0126`ad?5ega?gjl89:;<8jib:`oo56789?n?04g0f>dkc9:;<=;j4c9ahn6789:>i8l4bmi34567=l?017f:e8`8fim789:;9hom;cnh45678?0126aad?5dga?gjl89:;<8kib:`oo56789?m?04d0f>dkc9:;<=;i4c9ahn6789:>j8l4bmi34567=o?017e:f8`8fim789:;9kom;cnh45678?0126bad?5gga?gjl89:;<8hib:`oo56789<;?0720f>dkc9:;<=8?4c9ahn6789:=<8l4bmi34567>9?0143908`8fim789:;:=om;cnh45678?:in6lck1234507kk1i`f>?01254ad?61ga?gjl89:;<;>ib:`oo56789<:?0730f>dkc9:;<=8>4c9ahn6789:==8l4bmi34567>8?0142918`8fim789:;:?01255ad?60ga?gjl89:;<;?ib:`oo56789<9?0700f>dkc9:;<=8=4c9ahn6789:=>8l4bmi34567>;?0141928`8fim789:;:?om;cnh45678?8in6lck1234505kk1i`f>?01256ad?63ga?gjl89:;<;?0710f>dkc9:;<=8<4c9ahn6789:=?8l4bmi34567>:?0140938`8fim789:;:>om;cnh45678?9in6lck1234504kk1i`f>?01257ad?62ga?gjl89:;<;=ib:`oo56789?0760f>dkc9:;<=8;4c9ahn6789:=88l4bmi34567>=?0147948`8fim789:;:9om;cnh45678?>in6lck1234503kk1i`f>?01250ad?65ga?gjl89:;<;:ib:`oo56789<>?0770f>dkc9:;<=8:4c9ahn6789:=98l4bmi34567><?0146958`8fim789:;:8om;cnh45678??in6lck1234502kk1i`f>?01251ad?64ga?gjl89:;<;;ib:`oo56789<=8h0nae?0123234e3kf`<=>?0740f>dkc9:;<=894c9ahn6789:=:8l4bmi34567>??0145968`8fim789:;:;om;cnh45678??01252ad?67ga?gjl89:;<;8ib:`oo56789<<?0750f>dkc9:;<=884c9ahn6789:=;8l4bmi34567>>?0144978`8fim789:;::om;cnh45678?=in6lck1234500kk1i`f>?01253ad?66ga?gjl89:;<;9ib:`oo56789<3?07:0f>dkc9:;<=874c9ahn6789:=48l4bmi34567>10j2hgg=>?014;988`8fim789:;:5om;cnh45678?2in6lck123450?kk1i`f>?0125?69ga?gjl89:;<;6ib:`oo56789<2?07;0f>dkc9:;<=864c9ahn6789:=58l4bmi34567>0?014:998`8fim789:;:4om;cnh45678?3in6lck123450>kk1i`f>?0125=ad?68ga?gjl89:;<;7ib:`oo56789?07c0f>dkc9:;<=8n4c9ahn6789:=m8l4bmi34567>h?014b9a8`8fim789:;:lom;cnh45678?kin6lck123450fkk1i`f>?0125ead?6`ga?gjl89:;<;oib:`oo56789?07`0f>dkc9:;<=8m4c9ahn6789:=n8l4bmi34567>k?014a9b8`8fim789:;:oom;cnh45678?hin6lck123450ekk1i`f>?0125fad?6cga?gjl89:;<;lib:`oo56789?07a0f>dkc9:;<=8l4c9ahn6789:=o8l4bmi34567>j?014`9c8`8fim789:;:nom;cnh45678?iin6lck123450dkk1i`f>?0125gad?6bga?gjl89:;<;mib:`oo56789?07f0f>dkc9:;<=8k4c9ahn6789:=h8l4bmi34567>m?014g9d8`8fim789:;:iom;cnh45678?nin6lck123450ckk1i`f>?0125`ad?6ega?gjl89:;<;jib:`oo56789?07g0f>dkc9:;<=8j4c9ahn6789:=i8l4bmi34567>l?014f9e8`8fim789:;:hom;cnh45678?oin6lck123450bkk1i`f>?0125aad?6dga?gjl89:;<;kib:`oo56789?07d0f>dkc9:;<=8i4c9ahn6789:=j8l4bmi34567>o?014e9f8`8fim789:;:kom;cnh45678?lin6lck123450akk1i`f>?0125bad?6gga?gjl89:;<;hib:`oo56789=;?0620f>dkc9:;<=9?4c9ahn6789:<<8l4bmi34567?9?0153808`8fim789:;;=om;cnh45678>:in6lck1234517kk1i`f>?01244ad?71ga?gjl89:;<:>ib:`oo56789=:?0630f>dkc9:;<=9>4c9ahn6789:<=8l4bmi34567?8?0152818`8fim789:;;;in6lck1234516kk1i`f>?01245ad?70ga?gjl89:;<:?ib:`oo56789=9?0600f>dkc9:;<=9=4c9ahn6789:<>8l4bmi34567?;?0151828`8fim789:;;?om;cnh45678>8in6lck1234515kk1i`f>?01246ad?73ga?gjl89:;<:?0610f>dkc9:;<=9<4c9ahn6789:?0150838`8fim789:;;>om;cnh45678>9in6lck1234514kk1i`f>?01247ad?72ga?gjl89:;<:=ib:`oo56789=??0660f>dkc9:;<=9;4c9ahn6789:<88l4bmi34567?=?0157848`8fim789:;;9om;cnh45678>>in6lck1234513kk1i`f>?01240ad?75ga?gjl89:;<::ib:`oo56789=>?0670f>dkc9:;<=9:4c9ahn6789:<98l4bmi34567?<?0156858`8fim789:;;8om;cnh45678>?in6lck1234512kk1i`f>?01241ad?74ga?gjl89:;<:;ib:`oo56789==8h0nae?0123334e3kf`<=>?0640f>dkc9:;<=994c9ahn6789:<:8l4bmi34567???0155868`8fim789:;;;om;cnh45678>?01242ad?77ga?gjl89:;<:8ib:`oo56789=<?0650f>dkc9:;<=984c9ahn6789:<;8l4bmi34567?>?0154878`8fim789:;;:om;cnh45678>=in6lck1234510kk1i`f>?01243ad?76ga?gjl89:;<:9ib:`oo56789=3?06:0f>dkc9:;<=974c9ahn6789:<48l4bmi34567?10j2hgg=>?015;888`8fim789:;;5om;cnh45678>2in6lck123451?kk1i`f>?0124?79ga?gjl89:;<:6ib:`oo56789=2?06;0f>dkc9:;<=964c9ahn6789:<58l4bmi34567?0?015:898`8fim789:;;4om;cnh45678>3in6lck123451>kk1i`f>?0124=ad?78ga?gjl89:;<:7ib:`oo56789=j?06c0f>dkc9:;<=9n4c9ahn6789:?015b8a8`8fim789:;;lom;cnh45678>kin6lck123451fkk1i`f>?0124ead?7`ga?gjl89:;<:oib:`oo56789=i?06`0f>dkc9:;<=9m4c9ahn6789:?015a8b8`8fim789:;;oom;cnh45678>hin6lck123451ekk1i`f>?0124fad?7cga?gjl89:;<:lib:`oo56789=h?06a0f>dkc9:;<=9l4c9ahn6789:?015`8c8`8fim789:;;nom;cnh45678>iin6lck123451dkk1i`f>?0124gad?7bga?gjl89:;<:mib:`oo56789=o?06f0f>dkc9:;<=9k4c9ahn6789:?015g8d8`8fim789:;;iom;cnh45678>nin6lck123451ckk1i`f>?0124`ad?7ega?gjl89:;<:jib:`oo56789=n?06g0f>dkc9:;<=9j4c9ahn6789:?015f8e8`8fim789:;;hom;cnh45678>oin6lck123451bkk1i`f>?0124aad?7dga?gjl89:;<:kib:`oo56789=m?06d0f>dkc9:;<=9i4c9ahn6789:?015e8f8`8fim789:;;kom;cnh45678>lin6lck123451akk1i`f>?0124bad?7gga?gjl89:;<:hib:`oo567892;?0920f>dkc9:;<=6?4c9ahn6789:3<8l4bmi3456709?01:3708`8fim789:;4=om;cnh456781:in6lck12345>7kk1i`f>?012;4ad?81ga?gjl89:;<5>ib:`oo567892:?0930f>dkc9:;<=6>4c9ahn6789:3=8l4bmi3456708?01:2718`8fim789:;46kk1i`f>?012;5ad?80ga?gjl89:;<5?ib:`oo5678929?0900f>dkc9:;<=6=4c9ahn6789:3>8l4bmi345670;?01:1728`8fim789:;4?om;cnh4567818in6lck12345>5kk1i`f>?012;6ad?83ga?gjl89:;<5?0910f>dkc9:;<=6<4c9ahn6789:3?8l4bmi345670:?01:0738`8fim789:;4>om;cnh4567819in6lck12345>4kk1i`f>?012;7ad?82ga?gjl89:;<5=ib:`oo567892??0960f>dkc9:;<=6;4c9ahn6789:388l4bmi345670=?01:7748`8fim789:;49om;cnh456781>in6lck12345>3kk1i`f>?012;0ad?85ga?gjl89:;<5:ib:`oo567892>?0970f>dkc9:;<=6:4c9ahn6789:398l4bmi345670<?01:6758`8fim789:;48om;cnh456781?in6lck12345>2kk1i`f>?012;1ad?84ga?gjl89:;<5;ib:`oo567892=8h0nae?0123<34e3kf`<=>?0940f>dkc9:;<=694c9ahn6789:3:8l4bmi345670??01:5768`8fim789:;4;om;cnh4567811kk1i`f>?012;2ad?87ga?gjl89:;<58ib:`oo567892<?0950f>dkc9:;<=684c9ahn6789:3;8l4bmi345670>?01:4778`8fim789:;4:om;cnh456781=in6lck12345>0kk1i`f>?012;3ad?86ga?gjl89:;<59ib:`oo5678923?09:0f>dkc9:;<=674c9ahn6789:348l4bmi34567010j2hgg=>?01:;788`8fim789:;45om;cnh4567812in6lck12345>?kk1i`f>?012;?89ga?gjl89:;<56ib:`oo5678922?09;0f>dkc9:;<=664c9ahn6789:358l4bmi3456700?01::798`8fim789:;44om;cnh4567813in6lck12345>>kk1i`f>?012;=ad?88ga?gjl89:;<57ib:`oo567892j?09c0f>dkc9:;<=6n4c9ahn6789:3m8l4bmi345670h?01:b7a8`8fim789:;4lom;cnh456781kin6lck12345>fkk1i`f>?012;ead?8`ga?gjl89:;<5oib:`oo567892i?09`0f>dkc9:;<=6m4c9ahn6789:3n8l4bmi345670k?01:a7b8`8fim789:;4oom;cnh456781hin6lck12345>ekk1i`f>?012;fad?8cga?gjl89:;<5lib:`oo567892h?09a0f>dkc9:;<=6l4c9ahn6789:3o8l4bmi345670j?01:`7c8`8fim789:;4nom;cnh456781iin6lck12345>dkk1i`f>?012;gad?8bga?gjl89:;<5mib:`oo567892o?09f0f>dkc9:;<=6k4c9ahn6789:3h8l4bmi345670m?01:g7d8`8fim789:;4iom;cnh456781nin6lck12345>ckk1i`f>?012;`ad?8ega?gjl89:;<5jib:`oo567892n?09g0f>dkc9:;<=6j4c9ahn6789:3i8l4bmi345670l?01:f7e8`8fim789:;4hom;cnh456781oin6lck12345>bkk1i`f>?012;aad?8dga?gjl89:;<5kib:`oo567892m?09d0f>dkc9:;<=6i4c9ahn6789:3j8l4bmi345670o?01:e7f8`8fim789:;4kom;cnh456781lin6lck12345>akk1i`f>?012;bad?8gga?gjl89:;<5hib:`oo567893;88h0nae?0123=54e3kf`<=>?0820f>dkc9:;<=7?4c9ahn6789:2<8l4bmi3456719?01;3608`8fim789:;5=om;cnh456780:in6lck12345?7kk1i`f>?012:4ad?91ga?gjl89:;<4>ib:`oo567893:98h0nae?0123=44e3kf`<=>?0830f>dkc9:;<=7>4c9ahn6789:2=8l4bmi3456718?01;2618`8fim789:;5?012:5ad?90ga?gjl89:;<4?ib:`oo5678939:8h0nae?0123=74e3kf`<=>?0800f>dkc9:;<=7=4c9ahn6789:2>8l4bmi345671;?01;1628`8fim789:;5?om;cnh4567808in6lck12345?5kk1i`f>?012:6ad?93ga?gjl89:;<4;8h0nae?0123=64e3kf`<=>?0810f>dkc9:;<=7<4c9ahn6789:2?8l4bmi345671:?01;0638`8fim789:;5>om;cnh4567809in6lck12345?4kk1i`f>?012:7ad?92ga?gjl89:;<4=ib:`oo567893?<8h0nae?0123=14e3kf`<=>?0860f>dkc9:;<=7;4c9ahn6789:288l4bmi345671=?01;7648`8fim789:;59om;cnh456780>in6lck12345?3kk1i`f>?012:0ad?95ga?gjl89:;<4:ib:`oo567893>=8h0nae?0123=04e3kf`<=>?0870f>dkc9:;<=7:4c9ahn6789:298l4bmi345671<?01;6658`8fim789:;58om;cnh456780?in6lck12345?2kk1i`f>?012:1ad?94ga?gjl89:;<4;ib:`oo567893=>8h0nae?0123=34e3kf`<=>?0840f>dkc9:;<=794c9ahn6789:2:8l4bmi345671??01;5668`8fim789:;5;om;cnh456780?012:2ad?97ga?gjl89:;<48ib:`oo567893<?8h0nae?0123=24e3kf`<=>?0850f>dkc9:;<=784c9ahn6789:2;8l4bmi345671>?01;4678`8fim789:;5:om;cnh456780=in6lck12345?0kk1i`f>?012:3ad?96ga?gjl89:;<49ib:`oo567893308h0nae?0123==4e3kf`<=>?08:0f>dkc9:;<=774c9ahn6789:248l4bmi34567110j2hgg=>?01;;688`8fim789:;55om;cnh4567802in6lck12345??kk1i`f>?012:?99ga?gjl89:;<46ib:`oo567893218h0nae?0123=<4e3kf`<=>?08;0f>dkc9:;<=764c9ahn6789:258l4bmi3456710?01;:698`8fim789:;54om;cnh4567803in6lck12345?>kk1i`f>?012:=ad?98ga?gjl89:;<47ib:`oo567893ji8h0nae?0123=d4e3kf`<=>?08c0f>dkc9:;<=7n4c9ahn6789:2m8l4bmi345671h?01;b6a8`8fim789:;5lom;cnh456780kin6lck12345?fkk1i`f>?012:ead?9`ga?gjl89:;<4oib:`oo567893ij8h0nae?0123=g4e3kf`<=>?08`0f>dkc9:;<=7m4c9ahn6789:2n8l4bmi345671k?01;a6b8`8fim789:;5oom;cnh456780hin6lck12345?ekk1i`f>?012:fad?9cga?gjl89:;<4lib:`oo567893hk8h0nae?0123=f4e3kf`<=>?08a0f>dkc9:;<=7l4c9ahn6789:2o8l4bmi345671j?01;`6c8`8fim789:;5nom;cnh456780iin6lck12345?dkk1i`f>?012:gad?9bga?gjl89:;<4mib:`oo567893ol8h0nae?0123=a4e3kf`<=>?08f0f>dkc9:;<=7k4c9ahn6789:2h8l4bmi345671m?01;g6d8`8fim789:;5iom;cnh456780nin6lck12345?ckk1i`f>?012:`ad?9ega?gjl89:;<4jib:`oo567893nm8h0nae?0123=`4e3kf`<=>?08g0f>dkc9:;<=7j4c9ahn6789:2i8l4bmi345671l?01;f6e8`8fim789:;5hom;cnh456780oin6lck12345?bkk1i`f>?012:aad?9dga?gjl89:;<4kib:`oo567893mn8h0nae?0123=c4e3kf`<=>?08d0f>dkc9:;<=7i4c9ahn6789:2j8l4bmi345671o?01;e6f8`8fim789:;5kom;cnh456780lin6lck12345?akk1i`f>?012:bad?9gga?gjl89:;<4hib:`oo56789k;?0`20f>dkc9:;<=o?4c9ahn6789:j<8l4bmi34567i9?01c3n08`8fim789:;m=om;cnh45678h:in6lck12345g7kk1i`f>?012b4ad?a1ga?gjl89:;ib:`oo56789k:?0`30f>dkc9:;<=o>4c9ahn6789:j=8l4bmi34567i8?01c2n18`8fim789:;m?012b5ad?a0ga?gjl89:;?0`00f>dkc9:;<=o=4c9ahn6789:j>8l4bmi34567i;?01c1n28`8fim789:;m?om;cnh45678h8in6lck12345g5kk1i`f>?012b6ad?a3ga?gjl89:;?0`10f>dkc9:;<=o<4c9ahn6789:j?8l4bmi34567i:?01c0n38`8fim789:;m>om;cnh45678h9in6lck12345g4kk1i`f>?012b7ad?a2ga?gjl89:;?0`60f>dkc9:;<=o;4c9ahn6789:j88l4bmi34567i=?01c7n48`8fim789:;m9om;cnh45678h>in6lck12345g3kk1i`f>?012b0ad?a5ga?gjl89:;?0`70f>dkc9:;<=o:4c9ahn6789:j98l4bmi34567i<?01c6n58`8fim789:;m8om;cnh45678h?in6lck12345g2kk1i`f>?012b1ad?a4ga?gjl89:;8h0nae?0123e34e3kf`<=>?0`40f>dkc9:;<=o94c9ahn6789:j:8l4bmi34567i??01c5n68`8fim789:;m;om;cnh45678h?012b2ad?a7ga?gjl89:;?0`50f>dkc9:;<=o84c9ahn6789:j;8l4bmi34567i>?01c4n78`8fim789:;m:om;cnh45678h=in6lck12345g0kk1i`f>?012b3ad?a6ga?gjl89:;?0`:0f>dkc9:;<=o74c9ahn6789:j48l4bmi34567i10j2hgg=>?01c;n88`8fim789:;m5om;cnh45678h2in6lck12345g?kk1i`f>?012b?a9ga?gjl89:;?0`;0f>dkc9:;<=o64c9ahn6789:j58l4bmi34567i0?01c:n98`8fim789:;m4om;cnh45678h3in6lck12345g>kk1i`f>?012b=ad?a8ga?gjl89:;?0`c0f>dkc9:;<=on4c9ahn6789:jm8l4bmi34567ih?01cbna8`8fim789:;mlom;cnh45678hkin6lck12345gfkk1i`f>?012bead?a`ga?gjl89:;?0``0f>dkc9:;<=om4c9ahn6789:jn8l4bmi34567ik?01canb8`8fim789:;moom;cnh45678hhin6lck12345gekk1i`f>?012bfad?acga?gjl89:;?0`a0f>dkc9:;<=ol4c9ahn6789:jo8l4bmi34567ij?01c`nc8`8fim789:;mnom;cnh45678hiin6lck12345gdkk1i`f>?012bgad?abga?gjl89:;?0`f0f>dkc9:;<=ok4c9ahn6789:jh8l4bmi34567im?01cgnd8`8fim789:;miom;cnh45678hnin6lck12345gckk1i`f>?012b`ad?aega?gjl89:;?0`g0f>dkc9:;<=oj4c9ahn6789:ji8l4bmi34567il?01cfne8`8fim789:;mhom;cnh45678hoin6lck12345gbkk1i`f>?012baad?adga?gjl89:;?0`d0f>dkc9:;<=oi4c9ahn6789:jj8l4bmi34567io?01cenf8`8fim789:;mkom;cnh45678hlin6lck12345gakk1i`f>?012bbad?agga?gjl89:;?0c20f>dkc9:;<=l?4c9ahn6789:i<8l4bmi34567j9?01`3m08`8fim789:;n=om;cnh45678k:in6lck12345d7kk1i`f>?012a4ad?b1ga?gjl89:;ib:`oo56789h:?0c30f>dkc9:;<=l>4c9ahn6789:i=8l4bmi34567j8?01`2m18`8fim789:;n?012a5ad?b0ga?gjl89:;?0c00f>dkc9:;<=l=4c9ahn6789:i>8l4bmi34567j;?01`1m28`8fim789:;n?om;cnh45678k8in6lck12345d5kk1i`f>?012a6ad?b3ga?gjl89:;?0c10f>dkc9:;<=l<4c9ahn6789:i?8l4bmi34567j:?01`0m38`8fim789:;n>om;cnh45678k9in6lck12345d4kk1i`f>?012a7ad?b2ga?gjl89:;?0c60f>dkc9:;<=l;4c9ahn6789:i88l4bmi34567j=?01`7m48`8fim789:;n9om;cnh45678k>in6lck12345d3kk1i`f>?012a0ad?b5ga?gjl89:;?0c70f>dkc9:;<=l:4c9ahn6789:i98l4bmi34567j<?01`6m58`8fim789:;n8om;cnh45678k?in6lck12345d2kk1i`f>?012a1ad?b4ga?gjl89:;8h0nae?0123f34e3kf`<=>?0c40f>dkc9:;<=l94c9ahn6789:i:8l4bmi34567j??01`5m68`8fim789:;n;om;cnh45678k?012a2ad?b7ga?gjl89:;?0c50f>dkc9:;<=l84c9ahn6789:i;8l4bmi34567j>?01`4m78`8fim789:;n:om;cnh45678k=in6lck12345d0kk1i`f>?012a3ad?b6ga?gjl89:;?0c:0f>dkc9:;<=l74c9ahn6789:i48l4bmi34567j10j2hgg=>?01`;m88`8fim789:;n5om;cnh45678k2in6lck12345d?kk1i`f>?012a?b9ga?gjl89:;?0c;0f>dkc9:;<=l64c9ahn6789:i58l4bmi34567j0?01`:m98`8fim789:;n4om;cnh45678k3in6lck12345d>kk1i`f>?012a=ad?b8ga?gjl89:;?0cc0f>dkc9:;<=ln4c9ahn6789:im8l4bmi34567jh?01`bma8`8fim789:;nlom;cnh45678kkin6lck12345dfkk1i`f>?012aead?b`ga?gjl89:;?0c`0f>dkc9:;<=lm4c9ahn6789:in8l4bmi34567jk?01`amb8`8fim789:;noom;cnh45678khin6lck12345dekk1i`f>?012afad?bcga?gjl89:;?0ca0f>dkc9:;<=ll4c9ahn6789:io8l4bmi34567jj?01``mc8`8fim789:;nnom;cnh45678kiin6lck12345ddkk1i`f>?012agad?bbga?gjl89:;?0cf0f>dkc9:;<=lk4c9ahn6789:ih8l4bmi34567jm?01`gmd8`8fim789:;niom;cnh45678knin6lck12345dckk1i`f>?012a`ad?bega?gjl89:;?0cg0f>dkc9:;<=lj4c9ahn6789:ii8l4bmi34567jl?01`fme8`8fim789:;nhom;cnh45678koin6lck12345dbkk1i`f>?012aaad?bdga?gjl89:;?0cd0f>dkc9:;<=li4c9ahn6789:ij8l4bmi34567jo?01`emf8`8fim789:;nkom;cnh45678klin6lck12345dakk1i`f>?012abad?bgga?gjl89:;?0b20f>dkc9:;<=m?4c9ahn6789:h<8l4bmi34567k9?01a3l08`8fim789:;o=om;cnh45678j:in6lck12345e7kk1i`f>?012`4ad?c1ga?gjl89:;ib:`oo56789i:?0b30f>dkc9:;<=m>4c9ahn6789:h=8l4bmi34567k8?01a2l18`8fim789:;o?012`5ad?c0ga?gjl89:;?0b00f>dkc9:;<=m=4c9ahn6789:h>8l4bmi34567k;?01a1l28`8fim789:;o?om;cnh45678j8in6lck12345e5kk1i`f>?012`6ad?c3ga?gjl89:;?0b10f>dkc9:;<=m<4c9ahn6789:h?8l4bmi34567k:?01a0l38`8fim789:;o>om;cnh45678j9in6lck12345e4kk1i`f>?012`7ad?c2ga?gjl89:;?0b60f>dkc9:;<=m;4c9ahn6789:h88l4bmi34567k=?01a7l48`8fim789:;o9om;cnh45678j>in6lck12345e3kk1i`f>?012`0ad?c5ga?gjl89:;?0b70f>dkc9:;<=m:4c9ahn6789:h98l4bmi34567k<?01a6l58`8fim789:;o8om;cnh45678j?in6lck12345e2kk1i`f>?012`1ad?c4ga?gjl89:;8h0nae?0123g34e3kf`<=>?0b40f>dkc9:;<=m94c9ahn6789:h:8l4bmi34567k??01a5l68`8fim789:;o;om;cnh45678j?012`2ad?c7ga?gjl89:;?0b50f>dkc9:;<=m84c9ahn6789:h;8l4bmi34567k>?01a4l78`8fim789:;o:om;cnh45678j=in6lck12345e0kk1i`f>?012`3ad?c6ga?gjl89:;?0b:0f>dkc9:;<=m74c9ahn6789:h48l4bmi34567k10j2hgg=>?01a;l88`8fim789:;o5om;cnh45678j2in6lck12345e?kk1i`f>?012`?c9ga?gjl89:;?0b;0f>dkc9:;<=m64c9ahn6789:h58l4bmi34567k0?01a:l98`8fim789:;o4om;cnh45678j3in6lck12345e>kk1i`f>?012`=ad?c8ga?gjl89:;?0bc0f>dkc9:;<=mn4c9ahn6789:hm8l4bmi34567kh?01abla8`8fim789:;olom;cnh45678jkin6lck12345efkk1i`f>?012`ead?c`ga?gjl89:;?0b`0f>dkc9:;<=mm4c9ahn6789:hn8l4bmi34567kk?01aalb8`8fim789:;ooom;cnh45678jhin6lck12345eekk1i`f>?012`fad?ccga?gjl89:;?0ba0f>dkc9:;<=ml439`ad=d`hdTec&?)b9`ldhXag6;6=0m;bjbjZir|!:"h6mgao]lqq:729487nbdb:alacYcag";%o5lodd\`lh/9 i0obki_ekm,46.k2idikQkio*25,eehmoUoec&>3(a8gjcaWmce$<:&c:alacYcag":9$m4cnge[aoi 8<"o6m`eg]gmk.6? h0obki_ekm,7/e3jenjRjfn)1*f>ehmoUoec&;)c9`k``Xl`d#9$l4cnge[aoi ?#i7najf^fjj-1.j2idikQkio*;-g=dgllThd`'9(`8gjcaWmce0=0l;bmfbZbnf5;;2n5lodd\`lh;984h7najf^fjj9756j1hchhPdhl?568d3jenjRjfn=37:f=dgllThd`314<`?fibnVnbb1?9>d9`k``Xl`d7=:4?>b9`k``Xl`d7=:0m;bmfbZbnf5;5n6m`eg]gmk:56k1hchhPdhl?7;d0obki_gkop`tX{lf7<7>17:alacYkl>1hchhPwdc8`drfWkgei84dhl+4,01oec&>3(58`lh/9=#<7iga(07*3>bnf!;=%:5kio*23,12nbb%<&7:fjj-47!>1oec&=1(58`lh/:;#<7iga(31*2>bnf!9":6jfn)6*2>bnf!?":6jfn)4*2>bnf!=":6jfn):*2>bnf!3"56jfn=00>58?3mceS<&?)99gmkY6 8#27iga_0*24,?)89gmkY6 88"56jfn^3+56/>3mceS<&>4(;8`lhX9!;>%45kio]2,40.12nbbR?'16+:?aoiW8":4$74dhl\5-7>!11oecQ>(3+:?aoiW8"9<$74dhl\5-46!01oecQ>(30*=>bnfV;#>>'7;ekm[4.4!11oecQ>(5+;?aoiW8">%55kio]2,3/?3mceS<&8)99gmkY6 1#37iga_0*:-<=cagU:<%>&9:fjjZ77 8#j7iga_02+55/f3mceS<>'10+b?aoiW8:#=?'n;ekm[46/9:#j7iga_02+51/f3mceS<>'14+b?aoiW8:#=;'n;ekm[46/9>#j7iga_02+5=/f3mceS<>'18+:?aoiW8:#>$o4dhl\55.58 k0hd`P11*15,g0)6*=>bnfV;;$8'6;ekm[46/> 30hd`P11*4-<=cagU:<%6&9:fjjZ77 0#h7iga_02?66<7601oecQ>1)2*=>bnfV;:$<'n;ekm[47/99#j7iga_03+54/f3mceS'n;ekm[47/9=#j7iga_03+50/f3mceS3mceS(4+:?aoiW8;#:$74dhl\54.0!01oecQ>1):*=>bnfV;:$4'l;ekm[47;::0;245kio]26-6.12nbbR?=(0+b?aoiW88#=='n;ekm[44/98#j7iga_00+57/f3mceS<<'12+b?aoiW88#=9'n;ekm[44/9<#j7iga_00+53/f3mceS<<'16+b?aoiW88#=5'n;ekm[44/90#27iga_00+6,g"56jfn^31,0/>3mceS<<'6(;8`lhX9;"<%45kio]26->.12nbbR?=(8+`?aoiW887>>4?>89gmkY6;!:"56jfn^30,4/f3mceS<='11+b?aoiW89#=<'n;ekm[45/9;#j7iga_01+56/f3mceS<='15+b?aoiW89#=8'n;ekm[45/9?#j7iga_01+52/f3mceS<='19+b?aoiW89#=4'6;ekm[45/: k0hd`P12*14,g&=1(c8`lhX9:"9>$o4dhl\56.5; 30hd`P12*0-<=cagU:?%:&9:fjjZ74 <#27iga_01+2,?&8)89gmkY6;!2"56jfn^30,&9:fjjZ73 8#j7iga_06+55/f3mceS<:'10+b?aoiW8>#=?'n;ekm[42/9:#j7iga_06+51/f3mceS<:'14+b?aoiW8>#=;'n;ekm[42/9>#j7iga_06+5=/f3mceS<:'18+:?aoiW8>#>$o4dhl\51.58 k0hd`P15*15,g4)6*=>bnfV;?$8'6;ekm[42/> 30hd`P15*4-<=cagU:8%6&9:fjjZ73 0#h7iga_06?66<7601oecQ>5)2*=>bnfV;>$<'n;ekm[43/99#j7iga_07+54/f3mceS<;'13+b?aoiW8?#=>'n;ekm[43/9=#j7iga_07+50/f3mceS<;'17+b?aoiW8?#=:'n;ekm[43/91#j7iga_07+53mceS<;'2(c8`lhX9<"9<$o4dhl\50.59 k0hd`P14*16,g5):*=>bnfV;>$4'l;ekm[43;::0;245kio]22-6.12nbbR?9(0+b?aoiW8<#=='n;ekm[40/98#j7iga_04+57/f3mceS<8'12+b?aoiW8<#=9'n;ekm[40/9<#j7iga_04+53/f3mceS<8'16+b?aoiW8<#=5'n;ekm[40/90#27iga_04+6,g!>"56jfn^35,0/>3mceS<8'6(;8`lhX9?"<%45kio]22->.12nbbR?9(8+`?aoiW8<7>>4?>99gmkY649427iga_0>24;?>89gmkY6488556jfn^3?568>3mceS<2>4?;8`lhX95;>245kio]2840912nbbR?316<:?aoiW86:4374dhl\597>611oecQ><0<:?aoiW869<374dhl\5946601oecQ><30=f>bnfV;7>>4?>89gmkY64;9546jfn^3?6;>bnfV;7:364dhl\591902nbbR?38?:8`lhX953546jfn^0+4,>%?&9:fjjZ4/99#27iga_3*25,?%?=)89gmkY5 89"56jfn^0+51/>3mceS?&>5(;8`lhX:!;=%45kio]1,41.12nbbR<'19+:?aoiW;":5$64dhl\6-4.12nbbR<'21+:?aoiW;"9=$74dhl\6-45!01oecQ=(31*<>bnfV8#?$64dhl\6-2.02nbbR<'5(:8`lhX:!<"46jfn^0+3,>%6&8:fjjZ4/1 20hd`P2=2==>bnfV87==06;ekm[7:69730hd`P2=31:<=cagU90<=19:fjjZ4;9=427iga_3>21;?1?9>89gmkY548=556jfn^0?5=8>3mceS?2>9?:8`lhX:5;556jfn^0?658>3mceS?2=1?;8`lhX:5892o5kio]1875=8730hd`P2=00:==cagU90?07;ekm[7:4611oecQ=<5<;?aoiW;6>255kio]1838?3mceS?28>99gmkY541437iga_3>::==cagU8$='7;ekm[6.6!01oecQ<(02*=>bnfV9#=<'6;ekm[6.6: 30hd`P3)30-<=cagU8$<:&9:fjjZ5/9<#27iga_2*22,?&=)89gmkY4 ;:"56jfn^1+64/>3mceS>&=2(;8`lhX;!88%55kio]0,6/?3mceS>&;)99gmkY4 <#37iga_2*5-==cagU8$:'7;ekm[6.?!11oecQ<(8+a?aoiW:69?7>18:fjjZ2/8 20hd`P4)3*=>bnfV>#=='6;ekm[1.69 30hd`P4)31-<=cagU?$<=&9:fjjZ2/9=#27iga_5*21,?3mceS9&=1(;8`lhX"46jfn^6+1,>bnfV>#5$l4dhl\094429437iga_4*3-==cagU>$<'6;ekm[0.68 30hd`P5)32-<=cagU>$<<&9:fjjZ3/9:#27iga_4*20,?3mceS8&>8(;8`lhX=!;2%55kio]6,7/>3mceS8&=0(;8`lhX=!8:%45kio]6,74.12nbbR;'22+;?aoiW<"8%55kio]6,1/?3mceS8&:)99gmkY2 ?#37iga_4*4-==cagU>$5'7;ekm[0.>!k1oecQ:<3194;>&8:fjjZ0/9 30hd`P6)33-<=cagU=$3mceS;&>7(;8`lhX>!;3%45kio]5,4?.02nbbR8'2(;8`lhX>!8;%45kio]5,77.12nbbR8'23+:?aoiW?"9?$64dhl\2-5.02nbbR8'4(:8`lhX>!?"46jfn^4+2,>bnfV<7>>4?>99gmkY0 9#37iga_6*2-<=cagU<$<>&9:fjjZ1/98#27iga_6*26,?"56jfn^5+50/>3mceS:&>6(;8`lhX?!;<%45kio]4,4>.12nbbR9'18+;?aoiW>"9%45kio]4,76.12nbbR9'20+:?aoiW>"9>$74dhl\3-44!11oecQ8(2+;?aoiW>"?%55kio]4,0/?3mceS:&9)99gmkY0 >#37iga_6*;-==cagU<$4'm;ekm[2:5;3:546jfn^:+4,>/99#27iga_9*25,?3mceS5&>5(;8`lhX0!;=%45kio];,41.12nbbR6'19+:?aoiW1":5$64dhl\<-4.12nbbR6'21+:?aoiW1"9=$74dhl\<-45!01oecQ7(31*<>bnfV2#?$64dhl\<-2.02nbbR6'5(:8`lhX0!<"46jfn^:+3,>/1 h0hd`P8=00>58?3mceS4&?)99gmkY> 8#27iga_8*24,?)89gmkY> 88"56jfn^;+56/>3mceS4&>4(;8`lhX1!;>%45kio]:,40.12nbbR7'16+:?aoiW0":4$74dhl\=-7>!11oecQ6(3+:?aoiW0"9<$74dhl\=-46!01oecQ6(30*=>bnfV3#>>'7;ekm[<.4!11oecQ6(5+;?aoiW0">%55kio]:,3/?3mceS4&8)99gmkY> 1#37iga_8*:-g=cagU20?=50?58`jss 9#<7iazt)3*<>bh}}":<$64dnww,47.02ndyy&>2(:8`jss 89"46j`uu*20,> 20hb{{(05*<>bh}}":4$64dnww,4?.?2ndyy&=)99gkpr/:9#37iazt)02-==cg|~#>?'7;emvp-44!11ocxz'25+;?air|!8>%55kotv+63/?3me~x%<8)99gkpr/:1#37iazt)0:-2=cg|~#?$64dnww,66.02ndyy&<1(:8`jss :8"46j`uu*07,>:&8:flqq.4= 20hb{{(24*<>bh}}"8;$64dnww,6>.02ndyy&<9(58`jss =#37iazt)63-==cg|~#8<'7;emvp-25!11ocxz'42+;?air|!>?%55kotv+00/?3me~x%:9)69gkpr/= =0hb{{(7+4?air|!=";6j`uu*;-2=cg|~#5$o4dnww810=8730hb{{_0*3-<=cg|~T=%?&a:flqqY6 8:"m6j`uu]2,47.i2ndyyQ>(00*e>bh}}U:$<=&a:flqqY6 8>"m6j`uu]2,43.i2ndyyQ>(04*e>bh}}U:$<9&a:flqqY6 82"m6j`uu]2,4?.12ndyyQ>(3+b?air|V;#>='n;emvpZ7/:8#j7iazt^3+67/f3me~xR?'22+b?air|V;#>9'n;emvpZ7/:<#j7iazt^3+63/f3me~xR?'26+b?air|V;#>5'n;emvpZ7/:0#27iazt^3+7,g k0hb{{_0*03,g)`9gkprX9!>9%l5kotv\5-24!h1ocxzP1)67-d=cg|~T=%::)`9gkprX9!>=%45kotv\5-3.12ndyyQ>(7+:?air|V;#;$74dnww[4.?!01ocxzP1);*e>bh}}U:<%>&a:flqqY68!;"n6j`uu]24-77!k1ocxzP11*25,d'13+a?air|V;;$<=&b:flqqY68!;?%o5kotv\55.6= h0hb{{_02+53/e3me~xR??(05*f>bh}}U:<%?7)c9gkprX99":5$o4dnww[46/: h0hb{{_02+65/e3me~xR??(33*f>bh}}U:<%<=)c9gkprX99"9?$l4dnww[46/:=#i7iazt^33,73.j2ndyyQ>0)05-g=cg|~T==&=7(`8`jssW8:#>5'm;emvpZ77 ;3"m6j`uu]24-5.j2ndyyQ>0)13-g=cg|~T==&<1(`8`jssW8:#??'m;emvpZ77 :9"n6j`uu]24-53!k1ocxzP11*01,d'37+a?air|V;;$>9&b:flqqY68!93%o5kotv\55.41 k0hb{{_02+0,d'41+a?air|V;;$9?&b:flqqY68!>9%o5kotv\55.3; h0hb{{_02+01/e3me~xR??(57*f>bh}}U:<%:9)`9gkprX99">%l5kotv\55.1!h1ocxzP11*4-d=cg|~T==&7)`9gkprX99"2%i5kotv\55:3>3:5m6j`uu]25-6.i2ndyyQ>1)3*f>bh}}U:=%??)c9gkprX98":=$l4dnww[47/9;#i7iazt^32,45.j2ndyyQ>1)37-g=cg|~T=<&>5(`8`jssW8;#=;'m;emvpZ76 8="n6j`uu]25-7?!k1ocxzP10*2=,g='m;emvpZ76 ;;"n6j`uu]25-45!k1ocxzP10*17,d(3;*e>bh}}U:=%=&b:flqqY69!9;%o5kotv\54.49 h0hb{{_03+77/e3me~xR?>(21*f>bh}}U:=%=;)c9gkprX98"89$l4dnww[47/;?#i7iazt^32,61.j2ndyyQ>1)1;-g=cg|~T=<&<9(c8`jssW8;#8$l4dnww[47/<9#i7iazt^32,17.j2ndyyQ>1)61-g=cg|~T=<&;3(`8`jssW8;#89'm;emvpZ76 =?"n6j`uu]25-21!h1ocxzP10*6-d=cg|~T=<&9)`9gkprX98"<%l5kotv\54.?!h1ocxzP10*:-a=cg|~T=<2;6;2=e>bh}}U:>%>&a:flqqY6:!;"n6j`uu]26-77!k1ocxzP13*25,dbh}}U:>%?7)c9gkprX9;":5$o4dnww[44/: h0hb{{_00+65/e3me~xR?=(33*f>bh}}U:>%<=)c9gkprX9;"9?$l4dnww[44/:=#i7iazt^31,73.j2ndyyQ>2)05-g=cg|~T=?&=7(`8`jssW88#>5'm;emvpZ75 ;3"m6j`uu]26-5.j2ndyyQ>2)13-g=cg|~T=?&<1(`8`jssW88#??'m;emvpZ75 :9"n6j`uu]26-53!k1ocxzP13*01,d9&b:flqqY6:!93%o5kotv\57.41 k0hb{{_00+0,d9%o5kotv\57.3; h0hb{{_00+01/e3me~xR?=(57*f>bh}}U:>%:9)`9gkprX9;">%l5kotv\57.1!h1ocxzP13*4-d=cg|~T=?&7)`9gkprX9;"2%i5kotv\57:3>3:5m6j`uu]27-6.i2ndyyQ>3)3*f>bh}}U:?%??)c9gkprX9:":=$l4dnww[45/9;#i7iazt^30,45.j2ndyyQ>3)37-g=cg|~T=>&>5(`8`jssW89#=;'m;emvpZ74 8="n6j`uu]27-7?!k1ocxzP12*2=,g='m;emvpZ74 ;;"n6j`uu]27-45!k1ocxzP12*17,dbh}}U:?%=&b:flqqY6;!9;%o5kotv\56.49 h0hb{{_01+77/e3me~xR?<(21*f>bh}}U:?%=;)c9gkprX9:"89$l4dnww[45/;?#i7iazt^30,61.j2ndyyQ>3)1;-g=cg|~T=>&<9(c8`jssW89#8$l4dnww[45/<9#i7iazt^30,17.j2ndyyQ>3)61-g=cg|~T=>&;3(`8`jssW89#89'm;emvpZ74 =?"n6j`uu]27-21!h1ocxzP12*6-d=cg|~T=>&9)`9gkprX9:"<%l5kotv\56.?!h1ocxzP12*:-a=cg|~T=>2;6;2=e>bh}}U:8%>&a:flqqY6bh}}U:8%?7)c9gkprX9=":5$o4dnww[42/: h0hb{{_06+65/e3me~xR?;(33*f>bh}}U:8%<=)c9gkprX9="9?$l4dnww[42/:=#i7iazt^37,73.j2ndyyQ>4)05-g=cg|~T=9&=7(`8`jssW8>#>5'm;emvpZ73 ;3"m6j`uu]20-5.j2ndyyQ>4)13-g=cg|~T=9&<1(`8`jssW8>#??'m;emvpZ73 :9"n6j`uu]20-53!k1ocxzP15*01,d9&b:flqqY69%o5kotv\51.3; h0hb{{_06+01/e3me~xR?;(57*f>bh}}U:8%:9)`9gkprX9=">%l5kotv\51.1!h1ocxzP15*4-d=cg|~T=9&7)`9gkprX9="2%i5kotv\51:3>3:5m6j`uu]21-6.i2ndyyQ>5)3*f>bh}}U:9%??)c9gkprX9<":=$l4dnww[43/9;#i7iazt^36,45.j2ndyyQ>5)37-g=cg|~T=8&>5(`8`jssW8?#=;'m;emvpZ72 8="n6j`uu]21-7?!k1ocxzP14*2=,g='m;emvpZ72 ;;"n6j`uu]21-45!k1ocxzP14*17,d$?;&b:flqqY6=!8=%o5kotv\50.5? h0hb{{_07+6=/e3me~xR?:(3;*e>bh}}U:9%=&b:flqqY6=!9;%o5kotv\50.49 h0hb{{_07+77/e3me~xR?:(21*f>bh}}U:9%=;)c9gkprX9<"89$l4dnww[43/;?#i7iazt^36,61.j2ndyyQ>5)1;-g=cg|~T=8&<9(c8`jssW8?#8$l4dnww[43/<9#i7iazt^36,17.j2ndyyQ>5)61-g=cg|~T=8&;3(`8`jssW8?#89'm;emvpZ72 =?"n6j`uu]21-21!h1ocxzP14*6-d=cg|~T=8&9)`9gkprX9<"<%l5kotv\50.?!h1ocxzP14*:-a=cg|~T=82;6;2=e>bh}}U::%>&a:flqqY6>!;"n6j`uu]22-77!k1ocxzP17*25,d!;?%o5kotv\53.6= h0hb{{_04+53/e3me~xR?9(05*f>bh}}U::%?7)c9gkprX9?":5$o4dnww[40/: h0hb{{_04+65/e3me~xR?9(33*f>bh}}U::%<=)c9gkprX9?"9?$l4dnww[40/:=#i7iazt^35,73.j2ndyyQ>6)05-g=cg|~T=;&=7(`8`jssW8<#>5'm;emvpZ71 ;3"m6j`uu]22-5.j2ndyyQ>6)13-g=cg|~T=;&<1(`8`jssW8<#??'m;emvpZ71 :9"n6j`uu]22-53!k1ocxzP17*01,d9&b:flqqY6>!93%o5kotv\53.41 k0hb{{_04+0,d!>9%o5kotv\53.3; h0hb{{_04+01/e3me~xR?9(57*f>bh}}U::%:9)`9gkprX9?">%l5kotv\53.1!h1ocxzP17*4-d=cg|~T=;&7)`9gkprX9?"2%i5kotv\53:3>3:556j`uu]2858f3me~xR?31114;g3o4dnww[4:5;7k0hb{{_0>10;g1<;g`9gkprX95982l5kotv\59536h1ocxzP1=16:d=cg|~T=1=9>`9gkprX959<2l5kotv\595?6h1ocxzP1=1::<=cg|~T=1=1a:flqqY64=:5m6j`uu]28179i2ndyyQ><50=e>bh}}U:09=1a:flqqY64=>5m6j`uu]28139k2ndyyQ><5494;g<7<:?air|V;7;374dnww[4:?601ocxzP1=;==>bh}}U9$='6;emvpZ4/9 k0hb{{_3*24,g1(c8`jssW;":>$o4dnww[7.6; k0hb{{_3*20,g5(c8`jssW;"::$o4dnww[7.6? k0hb{{_3*2<,g9(;8`jssW;"9%l5kotv\6-47!h1ocxzP2)02-d=cg|~T>%<=)`9gkprX:!88%l5kotv\6-43!h1ocxzP2)06-d=cg|~T>%<9)`9gkprX:!8<%l5kotv\6-4?!h1ocxzP2)0:-<=cg|~T>%=&a:flqqY5 ::"m6j`uu]1,67.i2ndyyQ=(20*e>bh}}U9$>=&a:flqqY5 :>"m6j`uu]1,63.i2ndyyQ=(24*e>bh}}U9$>9&a:flqqY5 :2"m6j`uu]1,6?.12ndyyQ=(5+b?air|V8#8='n;emvpZ4/<8#j7iazt^0+07/f3me~xR<'42+b?air|V8#89'n;emvpZ4/<<#j7iazt^0+03/>3me~xR<'5(;8`jssW;"=%45kotv\6-1.12ndyyQ=(9+:?air|V8#5$74dnww[7:76h1ocxzP2=33:d=cg|~T>1?>>`9gkprX:5;92l5kotv\69746h1ocxzP2=37:d=cg|~T>1?:>`9gkprX:5;=2l5kotv\69706h1ocxzP2=3;:d=cg|~T>1?6>89gkprX:5;5m6j`uu]18769i2ndyyQ=<33=e>bh}}U90?<1a:flqqY54;95m6j`uu]18729i2ndyyQ=<37=e>bh}}U90?81a:flqqY54;=5m6j`uu]187>9i2ndyyQ=<3;==>bh}}U90?0n;emvpZ4;;94j7iazt^0?748f3me~xR<3330n;emvpZ4;;=4j7iazt^0?708f3me~xR<3373me~xR<33?c8`jssW;6?<3o4dnww[7:397k0hb{{_3>76;g72?69i2ndyyQ=<54==>bh}}U90906;emvpZ4;=730hb{{_3>5:<=cg|~T>1919:flqqY541427iazt^0?=;?&?)89gkprX;!;"m6j`uu]0,46.i2ndyyQ<(03*e>bh}}U8$<<&a:flqqY4 89"m6j`uu]0,42.i2ndyyQ<(07*e>bh}}U8$<8&a:flqqY4 8="m6j`uu]0,4>.i2ndyyQ<(0;*=>bh}}U8$?'n;emvpZ5/:9#j7iazt^1+64/f3me~xR='23+b?air|V9#>>'n;emvpZ5/:=#j7iazt^1+60/f3me~xR='27+b?air|V9#>:'n;emvpZ5/:1#j7iazt^1+63me~xR='3(c8`jssW:"8<$o4dnww[6.49 k0hb{{_2*06,g&<3(c8`jssW:"88$o4dnww[6.4= k0hb{{_2*02,g&<7(c8`jssW:"84$o4dnww[6.41 30hb{{_2*7-d=cg|~T?%:?)`9gkprX;!>:%l5kotv\7-25!h1ocxzP3)60-d=cg|~T?%:;)`9gkprX;!>>%l5kotv\7-21!01ocxzP3)7*=>bh}}U8$;'6;emvpZ5/? 30hb{{_2*;-<=cg|~T?%7&c:flqqY44=<1<374dnww[1.7!01ocxzP4)3*e>bh}}U?$<>&a:flqqY3 8;"m6j`uu]7,44.i2ndyyQ;(01*e>bh}}U?$<:&a:flqqY3 8?"m6j`uu]7,40.i2ndyyQ;(05*e>bh}}U?$<6&a:flqqY3 83"56j`uu]7,7/f3me~xR:'21+b?air|V>#><'n;emvpZ2/:;#j7iazt^6+66/f3me~xR:'25+b?air|V>#>8'n;emvpZ2/:?#j7iazt^6+62/f3me~xR:'29+b?air|V>#>4'6;emvpZ2/; k0hb{{_5*04,g$o4dnww[1.4; k0hb{{_5*00,g3me~xR:'7(;8`jssW="3%45kotv\0-?.k2ndyyQ;<5494;?bh}}U>$<<&a:flqqY2 89"m6j`uu]6,42.i2ndyyQ:(07*e>bh}}U>$<8&a:flqqY2 8="m6j`uu]6,4>.i2ndyyQ:(0;*=>bh}}U>$?'n;emvpZ3/:9#j7iazt^7+64/f3me~xR;'23+b?air|V?#>>'n;emvpZ3/:=#j7iazt^7+60/f3me~xR;'27+b?air|V?#>:'n;emvpZ3/:1#j7iazt^7+63me~xR;'3(c8`jssW<"8<$o4dnww[0.49 k0hb{{_4*06,g:%l5kotv\1-25!h1ocxzP5)60-d=cg|~T9%:;)`9gkprX=!>>%l5kotv\1-21!01ocxzP5)7*=>bh}}U>$;'6;emvpZ3/? 30hb{{_4*;-<=cg|~T9%7&c:flqqY24=<1<374dnww[3.7!01ocxzP6)3*e>bh}}U=$<>&a:flqqY1 8;"m6j`uu]5,44.i2ndyyQ9(01*e>bh}}U=$<:&a:flqqY1 8?"m6j`uu]5,40.i2ndyyQ9(05*e>bh}}U=$<6&a:flqqY1 83"56j`uu]5,7/f3me~xR8'21+b?air|V<#><'n;emvpZ0/:;#j7iazt^4+66/f3me~xR8'25+b?air|V<#>8'n;emvpZ0/:?#j7iazt^4+62/f3me~xR8'29+b?air|V<#>4'6;emvpZ0/; k0hb{{_7*04,g$o4dnww[3.4; k0hb{{_7*00,g!>8%l5kotv\2-23!h1ocxzP6)66-d=cg|~T:%:9)89gkprX>!?"56j`uu]5,3/>3me~xR8'7(;8`jssW?"3%45kotv\2-?.k2ndyyQ9<5494;?bh}}U<$<<&a:flqqY0 89"m6j`uu]4,42.i2ndyyQ8(07*e>bh}}U<$<8&a:flqqY0 8="m6j`uu]4,4>.i2ndyyQ8(0;*=>bh}}U<$?'n;emvpZ1/:9#j7iazt^5+64/f3me~xR9'23+b?air|V=#>>'n;emvpZ1/:=#j7iazt^5+60/f3me~xR9'27+b?air|V=#>:'n;emvpZ1/:1#j7iazt^5+63me~xR9'3(c8`jssW>"8<$o4dnww[2.49 k0hb{{_6*06,g"88$o4dnww[2.4= k0hb{{_6*02,g"84$o4dnww[2.41 30hb{{_6*7-d=cg|~T;%:?)`9gkprX?!>:%l5kotv\3-25!h1ocxzP7)60-d=cg|~T;%:;)`9gkprX?!>>%l5kotv\3-21!01ocxzP7)7*=>bh}}U<$;'6;emvpZ1/? 30hb{{_6*;-<=cg|~T;%7&c:flqqY04=<1<374dnww[=.7!01ocxzP8)3*e>bh}}U3$<>&a:flqqY? 8;"m6j`uu];,44.i2ndyyQ7(01*e>bh}}U3$<:&a:flqqY? 8?"m6j`uu];,40.i2ndyyQ7(05*e>bh}}U3$<6&a:flqqY? 83"56j`uu];,7/f3me~xR6'21+b?air|V2#><'n;emvpZ>/:;#j7iazt^:+66/f3me~xR6'25+b?air|V2#>8'n;emvpZ>/:?#j7iazt^:+62/f3me~xR6'29+b?air|V2#>4'6;emvpZ>/; k0hb{{_9*04,g$o4dnww[=.4; k0hb{{_9*00,g8%l5kotv\<-23!h1ocxzP8)66-d=cg|~T4%:9)89gkprX0!?"56j`uu];,3/>3me~xR6'7(;8`jssW1"3%45kotv\<-?.k2ndyyQ7<5494;?bh}}U2$<<&a:flqqY> 89"m6j`uu]:,42.i2ndyyQ6(07*e>bh}}U2$<8&a:flqqY> 8="m6j`uu]:,4>.i2ndyyQ6(0;*=>bh}}U2$?'n;emvpZ?/:9#j7iazt^;+64/f3me~xR7'23+b?air|V3#>>'n;emvpZ?/:=#j7iazt^;+60/f3me~xR7'27+b?air|V3#>:'n;emvpZ?/:1#j7iazt^;+63me~xR7'3(c8`jssW0"8<$o4dnww[<.49 k0hb{{_8*06,g:%l5kotv\=-25!h1ocxzP9)60-d=cg|~T5%:;)`9gkprX1!>>%l5kotv\=-21!01ocxzP9)7*=>bh}}U2$;'6;emvpZ?/? 30hb{{_8*;-<=cg|~T5%7&c:flqqY>4=<1<374dnww[l.7!01ocxzPi)3*e>bh}}Ub$<>&a:flqqYn 8;"m6j`uu]j,44.i2ndyyQf(01*e>bh}}Ub$<:&a:flqqYn 8?"m6j`uu]j,40.i2ndyyQf(05*e>bh}}Ub$<6&a:flqqYn 83"56j`uu]j,7/f3me~xRg'21+b?air|Vc#><'n;emvpZo/:;#j7iazt^k+66/>3me~xRg'3(;8`jssW`"?%45kotv\m-3.12ndyyQf(7+:?air|Vc#;$74dnww[l.?!01ocxzPi);*g>bh}}Ub0?=50?`8`jssW`U:$='m;emvpZoX9!;"o6j`uu]j[4.68 i0hb{{_h]2,47.k2ndyyQf_0*26,e(01*g>bh}}UbS<&>4(a8`jssW`U:$<;&c:flqqYnW8"::$m4dnww[lY6 8="o6j`uu]j[4.60 i0hb{{_h]2,4?.j2ndyyQf_0*1-f=cg|~TeR?'21+`?air|VcT=%<>)b9gkprXaV;#>?'l;emvpZoX9!88%o5kotv\mZ7/; h0hb{{_h]2,1/e3me~xRgP1)7*f>bh}}UbS<&9)c9gkprXaV;#;$l4dnww[lY6 1#i7iazt^k\5-?.k2ndyyQf_02+4,e0)3*`>bh}}UbS<>'11+g?air|VcT==&>1(f8`jssW`U:<%?=)e9gkprXaV;;$<=&d:flqqYnW8:#=9'k;emvpZoX99":9$j4dnww[lY68!;=%i5kotv\mZ77 8="h6j`uu]j[46/91#o7iazt^k\55.61 i0hb{{_h]24-4.l2ndyyQf_02+65/c3me~xRgP11*15,b0)01-a=cg|~TeR??(31*g>bh}}UbS<>'3(a8`jssW`U:<%:&c:flqqYnW8:#9$m4dnww[lY68!<"o6j`uu]j[46/? i0hb{{_h]24->.k2ndyyQf_02+=,`0=00>58d3me~xRgP10*3-f=cg|~TeR?>(0+g?air|VcT=<&>0(f8`jssW`U:=%?>)e9gkprXaV;:$<<&d:flqqYnW8;#=>'k;emvpZoX98":8$j4dnww[lY69!;>%i5kotv\mZ76 8<"h6j`uu]j[47/9>#o7iazt^k\54.60 n0hb{{_h]25-7>!j1ocxzPi^32,7/c3me~xRgP10*14,b1)02-a=cg|~TeR?>(30*`>bh}}UbS%n5kotv\mZ76 ?#h7iazt^k\54.0!j1ocxzPi^32,=/d3me~xRgP10*:-c=cg|~TeR?><3194;e2)2*g>bh}}UbS<<'1(f8`jssW`U:>%??)e9gkprXaV;9$2)03-a=cg|~TeR?=(33*`>bh}}UbS<<'23+g?air|VcT=?&=3(a8`jssW`U:>%=&c:flqqYnW88#8$m4dnww[lY6:!?"o6j`uu]j[44/> i0hb{{_h]26-1.k2ndyyQf_00+<,e2);*b>bh}}UbS<<32283:f=cg|~TeR?<(1+`?air|VcT=>&>)e9gkprXaV;8$<>&d:flqqYnW89#=<'k;emvpZoX9:":>$j4dnww[lY6;!;8%i5kotv\mZ74 8>"h6j`uu]j[45/9<#o7iazt^k\56.6> n0hb{{_h]27-70!m1ocxzPi^30,4>.l2ndyyQf_01+5bh}}UbS<='20+g?air|VcT=>&=2(f8`jssW`U:?%<<)b9gkprXaV;8$>'l;emvpZoX9:"?%n5kotv\mZ74 <#h7iazt^k\56.1!j1ocxzPi^30,2/d3me~xRgP12*;-f=cg|~TeR?<(8+e?air|VcT=>2=3;2=g>bh}}UbS<:'0(a8`jssW`U:8%?&d:flqqYnW8>#=='k;emvpZoX9=":=$j4dnww[lY64)0*`>bh}}UbS<:'21+g?air|VcT=9&=1(f8`jssW`U:8%<=)e9gkprXaV;?$?=&c:flqqYnW8>#?$m4dnww[lY6"o6j`uu]j[42/= i0hb{{_h]20-0.k2ndyyQf_06+3,e4):*g>bh}}UbS<:'9(d8`jssW`U:81<<:1<`?air|VcT=8&?)b9gkprXaV;>$<'k;emvpZoX9<":<$j4dnww[lY6=!;:%i5kotv\mZ72 88"h6j`uu]j[43/9:#o7iazt^k\50.6< n0hb{{_h]21-72!m1ocxzPi^36,40.l2ndyyQf_07+52/c3me~xRgP14*2<,b5)3:-f=cg|~TeR?:(3+g?air|VcT=8&=0(f8`jssW`U:9%<>)e9gkprXaV;>$?<&d:flqqYnW8?#>>'l;emvpZoX9<"8%n5kotv\mZ72 =#h7iazt^k\50.2!j1ocxzPi^36,3/d3me~xRgP14*4-f=cg|~TeR?:(9+`?air|VcT=8&6)g9gkprXaV;>0?=50?a8`jssW`U::%>&c:flqqYnW8<#=$j4dnww[lY6>!;;%i5kotv\mZ71 8;"h6j`uu]j[40/9;#o7iazt^k\53.6; n0hb{{_h]22-73!m1ocxzPi^35,43.l2ndyyQf_04+53/c3me~xRgP17*23,b6)3;-a=cg|~TeR?9(0;*g>bh}}UbS<8'2(f8`jssW`U::%?'k;emvpZoX9?"9?$m4dnww[lY6>!9"o6j`uu]j[40/< i0hb{{_h]22-3.k2ndyyQf_04+2,e6)5*g>bh}}UbS<8'8(a8`jssW`U::%7&f:flqqYnW8<7>>4?>d9gkprXaV;7>>4?>c9gkprXaV8#<$l4dnww[lY5 8#h7iazt^k\6-77!j1ocxzPi^0+54/d3me~xRgP2)31-f=cg|~TeR<'12+`?air|VcT>%?;)b9gkprXaV8#=8'l;emvpZoX:!;=%n5kotv\mZ4/9>#h7iazt^k\6-7?!j1ocxzPi^0+5bh}}UbS?&=0(a8`jssW`U9$??&c:flqqYnW;"9>$m4dnww[lY5 ;9"n6j`uu]j[7.4!k1ocxzPi^0+0,d%8&b:flqqYnW;"<%o5kotv\mZ4/0 h0hb{{_h]1,58e3me~xRgP3)2*f>bh}}UbS>&>)b9gkprXaV9#=='l;emvpZoX;!;:%n5kotv\mZ5/9;#h7iazt^k\7-74!j1ocxzPi^1+51/d3me~xRgP3)36-f=cg|~TeR='17+`?air|VcT?%?8)b9gkprXaV9#=5'l;emvpZoX;!;2%o5kotv\mZ5/: i0hb{{_h]0,76.k2ndyyQf_2*15,ebh}}UbS>&=3(`8`jssW`U8$>'m;emvpZoX;!>"n6j`uu]j[6.2!k1ocxzPi^1+2,d#=>'l;emvpZoX&b:flqqYnW<":%n5kotv\mZ3/99#h7iazt^k\1-76!j1ocxzPi^7+57/d3me~xRgP5)30-f=cg|~TeR;'15+`?air|VcT9%?:)b9gkprXaV?#=;'l;emvpZoX=!;<%n5kotv\mZ3/91#h7iazt^k\1-7>!k1ocxzPi^7+6,ebh}}UbS8&=1(a8`jssW`U>$?<&c:flqqYnW<"9?$l4dnww[lY2 :#i7iazt^k\1-2.j2ndyyQf_4*6-g=cg|~TeR;'6(`8`jssW`U>$:'m;emvpZoX=!2"n6j`uu]j[0.>!l1ocxzPi^7?66<76k1ocxzPi^4+4,d!;9%n5kotv\mZ0/9:#h7iazt^k\2-73!j1ocxzPi^4+50/d3me~xRgP6)35-f=cg|~TeR8'16+`?air|VcT:%?7)b9gkprXaV<#=4'm;emvpZoX>!8"o6j`uu]j[3.58 i0hb{{_h]5,77.k2ndyyQf_7*16,ebh}}UbS;&<)c9gkprXaV<#8$l4dnww[lY1 <#i7iazt^k\2-0.j2ndyyQf_7*4-g=cg|~TeR8'8(`8`jssW`U=$4'j;emvpZoX>5886=0m;emvpZoX?!:"n6j`uu]j[2.6!j1ocxzPi^5+55/d3me~xRgP7)32-f=cg|~TeR9'13+`?air|VcT;%?<)b9gkprXaV=#=9'l;emvpZoX?!;>%n5kotv\mZ1/9?#h7iazt^k\3-70!j1ocxzPi^5+5=/d3me~xRgP7)3:-g=cg|~TeR9'2(a8`jssW`U<$?>&c:flqqYnW>"9=$m4dnww[lY0 ;8"o6j`uu]j[2.5; h0hb{{_h]4,6/e3me~xRgP7)6*f>bh}}UbS:&:)c9gkprXaV=#:$l4dnww[lY0 >#i7iazt^k\3->.j2ndyyQf_6*:-`=cg|~TeR932283:g=cg|~TeR6'0(`8`jssW`U3$<'l;emvpZoX0!;;%n5kotv\mZ>/98#h7iazt^k\<-75!j1ocxzPi^:+56/d3me~xRgP8)37-f=cg|~TeR6'14+`?air|VcT4%?9)b9gkprXaV2#=:'l;emvpZoX0!;3%n5kotv\mZ>/90#i7iazt^k\<-4.k2ndyyQf_9*14,ebh}}UbS5&=2(a8`jssW`U3$?=&b:flqqYnW1"8%o5kotv\mZ>/< h0hb{{_h];,0/e3me~xRgP8)4*f>bh}}UbS5&8)c9gkprXaV2#4$l4dnww[lY? 0#n7iazt^k\<944294i7iazt^k\=-6.j2ndyyQf_8*2-f=cg|~TeR7'11+`?air|VcT5%?>)b9gkprXaV3#=?'l;emvpZoX1!;8%n5kotv\mZ?/9=#h7iazt^k\=-72!j1ocxzPi^;+53/d3me~xRgP9)34-f=cg|~TeR7'19+`?air|VcT5%?6)c9gkprXaV3#>$m4dnww[lY> ;:"o6j`uu]j[<.59 i0hb{{_h]:,74.k2ndyyQf_8*17,d%o5kotv\mZ?/> h0hb{{_h]:,2/e3me~xRgP9):*f>bh}}UbS4&6)d9gkprXaV37>>4?>89gkprXy!:"56j`uu]r,4/f3me~xR'11+b?air|V{#=<'n;emvpZw/9;#j7iazt^s+56/f3me~xR'15+b?air|V{#=8'n;emvpZw/9?#j7iazt^s+52/f3me~xR'19+b?air|V{#=4'6;emvpZw/: k0hb{{_p*14,g$o4dnww[t.5; k0hb{{_p*10,gbh}}Uz$9=&a:flqqYv =>"m6j`uu]r,13.i2ndyyQ~(54*=>bh}}Uz$8'6;emvpZw/> 30hb{{_p*4-<=cg|~T}%6&9:flqqYv 0#h7iazt^s?03<76k1ocxzPq^3+4,d(0+`?air|V{T=%??)b9gkprXyV;#=<'l;emvpZwX9!;9%n5kotv\uZ7/9:#h7iazt^s\5-73!j1ocxzPq^3+50/d3me~xRP1)35-f=cg|~T}R?'16+`?air|V{T=%?7)b9gkprXyV;#=4'm;emvpZwX9!8"o6j`uu]r[4.58 i0hb{{_p]2,77.k2ndyyQ~_0*16,e(31*g>bh}}UzS<&=4(a8`jssWxU:$?;&c:flqqYvW8"9:$m4dnww[tY6 ;="o6j`uu]r[4.50 i0hb{{_p]2,7?.j2ndyyQ~_0*0-f=cg|~T}R?'31+`?air|V{T=%=>)b9gkprXyV;#??'l;emvpZwX9!98%n5kotv\uZ7/;=#h7iazt^s\5-52!j1ocxzPq^3+73/d3me~xRP1)14-f=cg|~T}R?'39+`?air|V{T=%=6)c9gkprXyV;#8$m4dnww[tY6 =:"o6j`uu]r[4.39 i0hb{{_p]2,14.k2ndyyQ~_0*77,e(56*g>bh}}UzS<&;5(a8`jssWxU:$98&b:flqqYvW8">%o5kotv\uZ7/> h0hb{{_p]2,2/e3me~xRP1):*f>bh}}UzS<&6)b9gkprXyV;;$='l;emvpZwX99":%i5kotv\uZ77 8:"h6j`uu]r[46/98#o7iazt^s\55.6: n0hb{{_p]24-74!m1ocxzPq^33,42.l2ndyyQ~_02+50/c3me~xRP11*22,b0)34-a=cg|~T}R??(0:*`>bh}}UzS<>'18+`?air|V{T==&=)e9gkprXyV;;$?>&d:flqqYvW8:#><'k;emvpZwX99"9>$j4dnww[tY68!88%i5kotv\uZ77 ;>"h6j`uu]r[46/:<#o7iazt^s\55.5> n0hb{{_p]24-40!m1ocxzPq^33,7>.l2ndyyQ~_02+6bh}}UzS<>'30+g?air|V{T==&<2(f8`jssWxU:<%=<)e9gkprXyV;;$>:&d:flqqYvW8:#?8'k;emvpZwX99"8:$j4dnww[tY68!9<%i5kotv\uZ77 :2"h6j`uu]r[46/;0#h7iazt^s\55.3!m1ocxzPq^33,16.l2ndyyQ~_02+04/c3me~xRP11*76,b0)60-a=cg|~T}R??(56*`>bh}}UzS<>'44+g?air|V{T==&;6(a8`jssWxU:<%;&c:flqqYvW8:#:$m4dnww[tY68!="o6j`uu]r[46/0 i0hb{{_p]24-?.n2ndyyQ~_02?03<76j1ocxzPq^32,5/d3me~xRP10*2-a=cg|~T}R?>(02*`>bh}}UzS2(f8`jssWxU:=%?<)e9gkprXyV;:$<:&d:flqqYvW8;#=8'k;emvpZwX98"::$j4dnww[tY69!;<%i5kotv\uZ76 82"h6j`uu]r[47/90#h7iazt^s\54.5!m1ocxzPq^32,76.l2ndyyQ~_03+64/c3me~xRP10*16,b1)00-a=cg|~T}R?>(36*`>bh}}UzS4'l;emvpZwX98"8%i5kotv\uZ76 ::"h6j`uu]r[47/;8#o7iazt^s\54.4: n0hb{{_p]25-54!m1ocxzPq^32,62.l2ndyyQ~_03+70/c3me~xRP10*02,b1)14-a=cg|~T}R?>(2:*`>bh}}UzS&d:flqqYvW8;#8<'k;emvpZwX98"?>$j4dnww[tY69!>8%i5kotv\uZ76 =>"h6j`uu]r[47/<<#o7iazt^s\54.3> i0hb{{_p]25-3.k2ndyyQ~_03+2,e1)5*g>bh}}UzSb9gkprXyV;9$='l;emvpZwX9;":%i5kotv\uZ75 8:"h6j`uu]r[44/98#o7iazt^s\57.6: n0hb{{_p]26-74!m1ocxzPq^31,42.l2ndyyQ~_00+50/c3me~xRP13*22,b2)34-a=cg|~T}R?=(0:*`>bh}}UzS<<'18+`?air|V{T=?&=)e9gkprXyV;9$?>&d:flqqYvW88#><'k;emvpZwX9;"9>$j4dnww[tY6:!88%i5kotv\uZ75 ;>"h6j`uu]r[44/:<#o7iazt^s\57.5> n0hb{{_p]26-40!m1ocxzPq^31,7>.l2ndyyQ~_00+6bh}}UzS<<'30+g?air|V{T=?&<2(f8`jssWxU:>%=<)e9gkprXyV;9$>:&d:flqqYvW88#?8'k;emvpZwX9;"8:$j4dnww[tY6:!9<%i5kotv\uZ75 :2"h6j`uu]r[44/;0#h7iazt^s\57.3!m1ocxzPq^31,16.l2ndyyQ~_00+04/c3me~xRP13*76,b2)60-a=cg|~T}R?=(56*`>bh}}UzS<<'44+g?air|V{T=?&;6(a8`jssWxU:>%;&c:flqqYvW88#:$m4dnww[tY6:!="o6j`uu]r[44/0 i0hb{{_p]26-?.n2ndyyQ~_00?03<76j1ocxzPq^30,5/d3me~xRP12*2-a=cg|~T}R?<(02*`>bh}}UzS<='10+g?air|V{T=>&>2(f8`jssWxU:?%?<)e9gkprXyV;8$<:&d:flqqYvW89#=8'k;emvpZwX9:"::$j4dnww[tY6;!;<%i5kotv\uZ74 82"h6j`uu]r[45/90#h7iazt^s\56.5!m1ocxzPq^30,76.l2ndyyQ~_01+64/c3me~xRP12*16,b3)00-a=cg|~T}R?<(36*`>bh}}UzS<='24+g?air|V{T=>&=6(f8`jssWxU:?%<8)e9gkprXyV;8$?6&d:flqqYvW89#>4'l;emvpZwX9:"8%i5kotv\uZ74 ::"h6j`uu]r[45/;8#o7iazt^s\56.4: n0hb{{_p]27-54!m1ocxzPq^30,62.l2ndyyQ~_01+70/c3me~xRP12*02,b3)14-a=cg|~T}R?<(2:*`>bh}}UzS<='38+`?air|V{T=>&;)e9gkprXyV;8$9>&d:flqqYvW89#8<'k;emvpZwX9:"?>$j4dnww[tY6;!>8%i5kotv\uZ74 =>"h6j`uu]r[45/<<#o7iazt^s\56.3> i0hb{{_p]27-3.k2ndyyQ~_01+2,e3)5*g>bh}}UzS<='8(a8`jssWxU:?%7&f:flqqYvW8978;4?>b9gkprXyV;?$='l;emvpZwX9=":%i5kotv\uZ73 8:"h6j`uu]r[42/98#o7iazt^s\51.6: n0hb{{_p]20-74!m1ocxzPq^37,42.l2ndyyQ~_06+50/c3me~xRP15*22,b4)34-a=cg|~T}R?;(0:*`>bh}}UzS<:'18+`?air|V{T=9&=)e9gkprXyV;?$?>&d:flqqYvW8>#><'k;emvpZwX9="9>$j4dnww[tY6"h6j`uu]r[42/:<#o7iazt^s\51.5> n0hb{{_p]20-40!m1ocxzPq^37,7>.l2ndyyQ~_06+6bh}}UzS<:'30+g?air|V{T=9&<2(f8`jssWxU:8%=<)e9gkprXyV;?$>:&d:flqqYvW8>#?8'k;emvpZwX9="8:$j4dnww[tY64)60-a=cg|~T}R?;(56*`>bh}}UzS<:'44+g?air|V{T=9&;6(a8`jssWxU:8%;&c:flqqYvW8>#:$m4dnww[tY6bh}}UzS<;'10+g?air|V{T=8&>2(f8`jssWxU:9%?<)e9gkprXyV;>$<:&d:flqqYvW8?#=8'k;emvpZwX9<"::$j4dnww[tY6=!;<%i5kotv\uZ72 82"h6j`uu]r[43/90#h7iazt^s\50.5!m1ocxzPq^36,76.l2ndyyQ~_07+64/c3me~xRP14*16,b5)00-a=cg|~T}R?:(36*`>bh}}UzS<;'24+g?air|V{T=8&=6(f8`jssWxU:9%<8)e9gkprXyV;>$?6&d:flqqYvW8?#>4'l;emvpZwX9<"8%i5kotv\uZ72 ::"h6j`uu]r[43/;8#o7iazt^s\50.4: n0hb{{_p]21-54!m1ocxzPq^36,62.l2ndyyQ~_07+70/c3me~xRP14*02,b5)14-a=cg|~T}R?:(2:*`>bh}}UzS<;'38+`?air|V{T=8&;)e9gkprXyV;>$9>&d:flqqYvW8?#8<'k;emvpZwX9<"?>$j4dnww[tY6=!>8%i5kotv\uZ72 =>"h6j`uu]r[43/<<#o7iazt^s\50.3> i0hb{{_p]21-3.k2ndyyQ~_07+2,e5)5*g>bh}}UzS<;'8(a8`jssWxU:9%7&f:flqqYvW8?78;4?>b9gkprXyV;=$='l;emvpZwX9?":%i5kotv\uZ71 8:"h6j`uu]r[40/98#o7iazt^s\53.6: n0hb{{_p]22-74!m1ocxzPq^35,42.l2ndyyQ~_04+50/c3me~xRP17*22,b6)34-a=cg|~T}R?9(0:*`>bh}}UzS<8'18+`?air|V{T=;&=)e9gkprXyV;=$?>&d:flqqYvW8<#><'k;emvpZwX9?"9>$j4dnww[tY6>!88%i5kotv\uZ71 ;>"h6j`uu]r[40/:<#o7iazt^s\53.5> n0hb{{_p]22-40!m1ocxzPq^35,7>.l2ndyyQ~_04+6bh}}UzS<8'30+g?air|V{T=;&<2(f8`jssWxU::%=<)e9gkprXyV;=$>:&d:flqqYvW8<#?8'k;emvpZwX9?"8:$j4dnww[tY6>!9<%i5kotv\uZ71 :2"h6j`uu]r[40/;0#h7iazt^s\53.3!m1ocxzPq^35,16.l2ndyyQ~_04+04/c3me~xRP17*76,b6)60-a=cg|~T}R?9(56*`>bh}}UzS<8'44+g?air|V{T=;&;6(a8`jssWxU::%;&c:flqqYvW8<#:$m4dnww[tY6>!="o6j`uu]r[40/0 i0hb{{_p]22-?.n2ndyyQ~_04?03<76l1ocxzPq^3?03<76k1ocxzPq^0+4,d%??)b9gkprXyV8#=<'l;emvpZwX:!;9%n5kotv\uZ4/9:#h7iazt^s\6-73!j1ocxzPq^0+50/d3me~xRP2)35-f=cg|~T}R<'16+`?air|V{T>%?7)b9gkprXyV8#=4'm;emvpZwX:!8"o6j`uu]r[7.58 i0hb{{_p]1,77.k2ndyyQ~_3*16,ebh}}UzS?&=4(a8`jssWxU9$?;&c:flqqYvW;"9:$m4dnww[tY5 ;="o6j`uu]r[7.50 i0hb{{_p]1,7?.j2ndyyQ~_3*0-f=cg|~T}R<'31+`?air|V{T>%=>)b9gkprXyV8#??'l;emvpZwX:!98%n5kotv\uZ4/;=#h7iazt^s\6-52!j1ocxzPq^0+73/d3me~xRP2)14-f=cg|~T}R<'39+`?air|V{T>%=6)c9gkprXyV8#8$m4dnww[tY5 =:"o6j`uu]r[7.39 i0hb{{_p]1,14.k2ndyyQ~_3*77,ebh}}UzS?&;5(a8`jssWxU9$98&b:flqqYvW;">%o5kotv\uZ4/> h0hb{{_p]1,2/e3me~xRP2):*f>bh}}UzS?&6)d9gkprXyV878;4?>c9gkprXyV9#<$l4dnww[tY4 8#h7iazt^s\7-77!j1ocxzPq^1+54/d3me~xRP3)31-f=cg|~T}R='12+`?air|V{T?%?;)b9gkprXyV9#=8'l;emvpZwX;!;=%n5kotv\uZ5/9>#h7iazt^s\7-7?!j1ocxzPq^1+5bh}}UzS>&=0(a8`jssWxU8$??&c:flqqYvW:"9>$m4dnww[tY4 ;9"o6j`uu]r[6.5< i0hb{{_p]0,73.k2ndyyQ~_2*12,ebh}}UzS>&=8(a8`jssWxU8$?7&b:flqqYvW:"8%n5kotv\uZ5/;9#h7iazt^s\7-56!j1ocxzPq^1+77/d3me~xRP3)10-f=cg|~T}R='35+`?air|V{T?%=:)b9gkprXyV9#?;'l;emvpZwX;!9<%n5kotv\uZ5/;1#h7iazt^s\7-5>!k1ocxzPq^1+0,ebh}}UzS>&;1(a8`jssWxU8$9<&c:flqqYvW:"??$m4dnww[tY4 =>"o6j`uu]r[6.3= i0hb{{_p]0,10.j2ndyyQ~_2*6-g=cg|~T}R='6(`8`jssWxU8$:'m;emvpZwX;!2"n6j`uu]r[6.>!l1ocxzPq^1?03<76k1ocxzPq^6+4,d#=<'l;emvpZwX#=4'm;emvpZwXbh}}UzS9&=4(a8`jssWxU?$?;&c:flqqYvW="9:$m4dnww[tY3 ;="o6j`uu]r[1.50 i0hb{{_p]7,7?.j2ndyyQ~_5*0-f=cg|~T}R:'31+`?air|V{T8%=>)b9gkprXyV>#??'l;emvpZwX#8$m4dnww[tY3 =:"o6j`uu]r[1.39 i0hb{{_p]7,14.k2ndyyQ~_5*77,ebh}}UzS9&;5(a8`jssWxU?$98&b:flqqYvW=">%o5kotv\uZ2/> h0hb{{_p]7,2/e3me~xRP4):*f>bh}}UzS9&6)d9gkprXyV>78;4?>c9gkprXyV?#<$l4dnww[tY2 8#h7iazt^s\1-77!j1ocxzPq^7+54/d3me~xRP5)31-f=cg|~T}R;'12+`?air|V{T9%?;)b9gkprXyV?#=8'l;emvpZwX=!;=%n5kotv\uZ3/9>#h7iazt^s\1-7?!j1ocxzPq^7+5bh}}UzS8&=0(a8`jssWxU>$??&c:flqqYvW<"9>$m4dnww[tY2 ;9"o6j`uu]r[0.5< i0hb{{_p]6,73.k2ndyyQ~_4*12,ebh}}UzS8&=8(a8`jssWxU>$?7&b:flqqYvW<"8%n5kotv\uZ3/;9#h7iazt^s\1-56!j1ocxzPq^7+77/d3me~xRP5)10-f=cg|~T}R;'35+`?air|V{T9%=:)b9gkprXyV?#?;'l;emvpZwX=!9<%n5kotv\uZ3/;1#h7iazt^s\1-5>!k1ocxzPq^7+0,ebh}}UzS8&;1(a8`jssWxU>$9<&c:flqqYvW<"??$m4dnww[tY2 =>"o6j`uu]r[0.3= i0hb{{_p]6,10.j2ndyyQ~_4*6-g=cg|~T}R;'6(`8`jssWxU>$:'m;emvpZwX=!2"n6j`uu]r[0.>!l1ocxzPq^7?03<76k1ocxzPq^4+4,d!;9%n5kotv\uZ0/9:#h7iazt^s\2-73!j1ocxzPq^4+50/d3me~xRP6)35-f=cg|~T}R8'16+`?air|V{T:%?7)b9gkprXyV<#=4'm;emvpZwX>!8"o6j`uu]r[3.58 i0hb{{_p]5,77.k2ndyyQ~_7*16,ebh}}UzS;&=4(a8`jssWxU=$?;&c:flqqYvW?"9:$m4dnww[tY1 ;="o6j`uu]r[3.50 i0hb{{_p]5,7?.j2ndyyQ~_7*0-f=cg|~T}R8'31+`?air|V{T:%=>)b9gkprXyV<#??'l;emvpZwX>!98%n5kotv\uZ0/;=#h7iazt^s\2-52!j1ocxzPq^4+73/d3me~xRP6)14-f=cg|~T}R8'39+`?air|V{T:%=6)c9gkprXyV<#8$m4dnww[tY1 =:"o6j`uu]r[3.39 i0hb{{_p]5,14.k2ndyyQ~_7*77,ebh}}UzS;&;5(a8`jssWxU=$98&b:flqqYvW?">%o5kotv\uZ0/> h0hb{{_p]5,2/e3me~xRP6):*f>bh}}UzS;&6)d9gkprXyV<78;4?>c9gkprXyV=#<$l4dnww[tY0 8#h7iazt^s\3-77!j1ocxzPq^5+54/d3me~xRP7)31-f=cg|~T}R9'12+`?air|V{T;%?;)b9gkprXyV=#=8'l;emvpZwX?!;=%n5kotv\uZ1/9>#h7iazt^s\3-7?!j1ocxzPq^5+5bh}}UzS:&=0(a8`jssWxU<$??&c:flqqYvW>"9>$m4dnww[tY0 ;9"o6j`uu]r[2.5< i0hb{{_p]4,73.k2ndyyQ~_6*12,ebh}}UzS:&=8(a8`jssWxU<$?7&b:flqqYvW>"8%n5kotv\uZ1/;9#h7iazt^s\3-56!j1ocxzPq^5+77/d3me~xRP7)10-f=cg|~T}R9'35+`?air|V{T;%=:)b9gkprXyV=#?;'l;emvpZwX?!9<%n5kotv\uZ1/;1#h7iazt^s\3-5>!k1ocxzPq^5+0,ebh}}UzS:&;1(a8`jssWxU<$9<&c:flqqYvW>"??$m4dnww[tY0 =>"o6j`uu]r[2.3= i0hb{{_p]4,10.j2ndyyQ~_6*6-g=cg|~T}R9'6(`8`jssWxU<$:'m;emvpZwX?!2"n6j`uu]r[2.>!l1ocxzPq^5?03<76k1ocxzPq^:+4,d/9:#h7iazt^s\<-73!j1ocxzPq^:+50/d3me~xRP8)35-f=cg|~T}R6'16+`?air|V{T4%?7)b9gkprXyV2#=4'm;emvpZwX0!8"o6j`uu]r[=.58 i0hb{{_p];,77.k2ndyyQ~_9*16,ebh}}UzS5&=4(a8`jssWxU3$?;&c:flqqYvW1"9:$m4dnww[tY? ;="o6j`uu]r[=.50 i0hb{{_p];,7?.j2ndyyQ~_9*0-f=cg|~T}R6'31+`?air|V{T4%=>)b9gkprXyV2#??'l;emvpZwX0!98%n5kotv\uZ>/;=#h7iazt^s\<-52!j1ocxzPq^:+73/d3me~xRP8)14-f=cg|~T}R6'39+`?air|V{T4%=6)c9gkprXyV2#8$m4dnww[tY? =:"o6j`uu]r[=.39 i0hb{{_p];,14.k2ndyyQ~_9*77,ebh}}UzS5&;5(a8`jssWxU3$98&b:flqqYvW1">%o5kotv\uZ>/> h0hb{{_p];,2/e3me~xRP8):*f>bh}}UzS5&6)d9gkprXyV278;4?>c9gkprXyV3#<$l4dnww[tY> 8#h7iazt^s\=-77!j1ocxzPq^;+54/d3me~xRP9)31-f=cg|~T}R7'12+`?air|V{T5%?;)b9gkprXyV3#=8'l;emvpZwX1!;=%n5kotv\uZ?/9>#h7iazt^s\=-7?!j1ocxzPq^;+5bh}}UzS4&=0(a8`jssWxU2$??&c:flqqYvW0"9>$m4dnww[tY> ;9"o6j`uu]r[<.5< i0hb{{_p]:,73.k2ndyyQ~_8*12,ebh}}UzS4&=8(a8`jssWxU2$?7&b:flqqYvW0"8%n5kotv\uZ?/;9#h7iazt^s\=-56!j1ocxzPq^;+77/d3me~xRP9)10-f=cg|~T}R7'35+`?air|V{T5%=:)b9gkprXyV3#?;'l;emvpZwX1!9<%n5kotv\uZ?/;1#h7iazt^s\=-5>!k1ocxzPq^;+0,ebh}}UzS4&;1(a8`jssWxU2$9<&c:flqqYvW0"??$m4dnww[tY> =>"o6j`uu]r[<.3= i0hb{{_p]:,10.j2ndyyQ~_8*6-g=cg|~T}R7'6(`8`jssWxU2$:'m;emvpZwX1!2"n6j`uu]r[<.>!l1ocxzPq^;?03<7601o|Q}al]2=>bwzVxjaR<6;erq[wgjW:30h}|Pr`o\0<=cx{Uym`Q:9:fsvZtfeV<27i~}_scn[2?# Ykomk~'KFXN,Jkaescwkw&6<'==7elkeb316>nelli: kg}_bmntljbzV|>S="AOOG/JJHB:kk0dojjc0.emwYdgdzb`h|Pv4]3(~gdk|gT{dj{h<64(djkg~Uj|}|`x<2/gZnf{Vin1<"l_icp[agsiV|j`dj21-a\lduXfm7: nQgar]pgit:9%iT`lzjnb{>66*dWdofSd`ft^djh`;ojmoh=#cff-a\kurne`pjxdaa=0.`ldhXagUjh{3?,bjbjZubdV}bhyf21-aokfmXn{oz1;:?01234)ehmoUfi`zvpd?3(fibnVxn`bok=0.`k``X|pzn1="lodd\slbs`4;3 nac_bmmbla:?%id`Rc`dd?3(fikWyc{iRbjn<6/`drfWdofxt~j=1.geqgX|pzn1="kauc\slbs`48? io{aqcwlZkbe}s{i0>#ddajiZtf|l79 kgctdp\eweo58&meazjr^qfhZqnl}b6=!hflugq[qwm4<'eczjrq]qeqc:9%c{nxhi_lgnp|vb59&eijQ|ylofpw:8%d~aRmgaolfhv;6$gfSkgctr?2(ksjWykd~3=,own[qgw{4>< bjk_r{ni`ruq4;'c}lzfg]nahr~xl7; b{{ptv\v`a:9%e~x}{{_vkgpm;3?%xjxhQlh`ldaZr~xl7; azne]nkac:8%yja}bj_gpfu80389:;<="|cmp\``rbzdcee~zfc<3/w|kjm}xr1<"uesm\tdelagmTjlm{os?2(x7b3ahoin?Pfhp\gjkwaeoyS{;P0^zppZ753gn37cilbtko`7=ig:1yht=4rgf7?vekzk1xej>?012344d<{`m;<=>?010a?vo`89:;<=>?0124f>uno9:;<=>?8c9pmb6789:;<4l4she3456789ki7~gh0123456ej2ybk=>?0123gg=tan:;<=>?0e`8wla789:;<=km;rkd456789:mn6}fg12345668k1xej>?012354d<{`m;<=>?000a?vo`89:;<=??0134f>uno9:;<=>>8c9pmb6789:;=4l4she3456788ki7~gh0123457ej2ybk=>?0122gg=tan:;<=>?1e`8wla789:;<?012364d<{`m;<=>?030a?vo`89:;<=<?0104f>uno9:;<=>=8c9pmb6789:;>4l4she345678;ki7~gh0123454ej2ybk=>?0121gg=tan:;<=>?2e`8wla789:;?012374d<{`m;<=>?020a?vo`89:;<==?0114f>uno9:;<=><8c9pmb6789:;?4l4she345678:ki7~gh0123455ej2ybk=>?0120gg=tan:;<=>?3e`8wla789:;<>km;rkd4567899mn6}fg12345638k1xej>?012304d<{`m;<=>?050a?vo`89:;<=:?0164f>uno9:;<=>;8c9pmb6789:;84l4she345678=ki7~gh0123452ej2ybk=>?0127gg=tan:;<=>?4e`8wla789:;<9km;rkd456789>mn6}fg12345628k1xej>?012314d<{`m;<=>?040a?vo`89:;<=;8o5|if234567=?0174f>uno9:;<=>:8c9pmb6789:;94l4she345678?0126gg=tan:;<=>?5e`8wla789:;<8km;rkd456789?mn6}fg12345618k1xej>?012324d<{`m;<=>?070a?vo`89:;<=8?0144f>uno9:;<=>98c9pmb6789:;:4l4she345678?ki7~gh0123450ej2ybk=>?0125gg=tan:;<=>?6e`8wla789:;<;km;rkd456789?012334d<{`m;<=>?060a?vo`89:;<=9?0154f>uno9:;<=>88c9pmb6789:;;4l4she345678>ki7~gh0123451ej2ybk=>?0124gg=tan:;<=>?7e`8wla789:;<:km;rkd456789=mn6}fg123456?8k1xej>?0123<4d<{`m;<=>?090a?vo`89:;<=6?01:4f>uno9:;<=>78c9pmb6789:;44l4she3456781ki7~gh012345>ej2ybk=>?012;gg=tan:;<=>?8e`8wla789:;<5km;rkd4567892mn6}fg123456>8k1xej>?0123=4d<{`m;<=>?080a?vo`89:;<=7?01;4f>uno9:;<=>68c9pmb6789:;54l4she3456780ki7~gh012345?ej2ybk=>?012:gg=tan:;<=>?9e`8wla789:;<4km;rkd4567893mn6}fg123456f8k1xej>?0123e4d<{`m;<=>?0`0a?vo`89:;<=o?01c4f>uno9:;<=>n8c9pmb6789:;m4l4she345678hki7~gh012345gej2ybk=>?012bgg=tan:;<=>?ae`8wla789:;?0123f4d<{`m;<=>?0c0a?vo`89:;<=l?01`4f>uno9:;<=>m8c9pmb6789:;n4l4she345678kki7~gh012345dej2ybk=>?012agg=tan:;<=>?be`8wla789:;?0123g4d<{`m;<=>?0b0a?vo`89:;<=m?01a4f>uno9:;<=>l8c9pmb6789:;o4l4she345678jki7~gh012345eej2ybk=>?012`gg=tan:;<=>?ce`8wla789:;?0123`4d<{`m;<=>?0e0a?vo`89:;<=j?01f4f>uno9:;<=>k8c9pmb6789:;h4l4she345678mki7~gh012345bej2ybk=>?012ggg=tan:;<=>?de`8wla789:;?0123a4d<{`m;<=>?0d0a?vo`89:;<=k?01g4f>uno9:;<=>j8c9pmb6789:;i4l4she345678lki7~gh012345cej2ybk=>?012fgg=tan:;<=>?ee`8wla789:;?0123b4d<{`m;<=>?0g0a?vo`89:;<=h?01d4f>uno9:;<=>i8c9pmb6789:;j4l4she345678oki7~gh012345`ej2ybk=>?012egg=tan:;<=>?fe`8wla789:;?012244d<{`m;<=>?110a?vo`89:;<<>?0024f>uno9:;<=??8c9pmb6789::<4l4she3456799ki7~gh0123446ej2ybk=>?0133gg=tan:;<=>>0e`8wla789:;==km;rkd456788:mn6}fg12345768k1xej>?012254d<{`m;<=>?100a?vo`89:;<?0034f>uno9:;<=?>8c9pmb6789::=4l4she3456798ki7~gh0123447ej2ybk=>?0132gg=tan:;<=>>1e`8wla789:;=?012264d<{`m;<=>?130a?vo`89:;<<<?0004f>uno9:;<=?=8c9pmb6789::>4l4she345679;ki7~gh0123444ej2ybk=>?0131gg=tan:;<=>>2e`8wla789:;=?km;rkd4567888mn6}fg12345748k1xej>?012274d<{`m;<=>?120a?vo`89:;<<=?0014f>uno9:;<=?<8c9pmb6789::?4l4she345679:ki7~gh0123445ej2ybk=>?0130gg=tan:;<=>>3e`8wla789:;=>km;rkd4567889mn6}fg12345738k1xej>?012204d<{`m;<=>?150a?vo`89:;<<:?0064f>uno9:;<=?;8c9pmb6789::84l4she345679=ki7~gh0123442ej2ybk=>?0137gg=tan:;<=>>4e`8wla789:;=9km;rkd456788>mn6}fg12345728k1xej>?012214d<{`m;<=>?140a?vo`89:;<<;8o5|if234566=?0074f>uno9:;<=?:8c9pmb6789::94l4she345679?0136gg=tan:;<=>>5e`8wla789:;=8km;rkd456788?mn6}fg12345718k1xej>?012224d<{`m;<=>?170a?vo`89:;<<8?0044f>uno9:;<=?98c9pmb6789:::4l4she345679?ki7~gh0123440ej2ybk=>?0135gg=tan:;<=>>6e`8wla789:;=;km;rkd456788?012234d<{`m;<=>?160a?vo`89:;<<9?0054f>uno9:;<=?88c9pmb6789::;4l4she345679>ki7~gh0123441ej2ybk=>?0134gg=tan:;<=>>7e`8wla789:;=:km;rkd456788=mn6}fg123457?8k1xej>?0122<4d<{`m;<=>?190a?vo`89:;<<6?00:4f>uno9:;<=?78c9pmb6789::44l4she3456791ki7~gh012344>ej2ybk=>?013;gg=tan:;<=>>8e`8wla789:;=5km;rkd4567882mn6}fg123457>8k1xej>?0122=4d<{`m;<=>?180a?vo`89:;<<7?00;4f>uno9:;<=?68c9pmb6789::54l4she3456790ki7~gh012344?ej2ybk=>?013:gg=tan:;<=>>9e`8wla789:;=4km;rkd4567883mn6}fg123457f8k1xej>?0122e4d<{`m;<=>?1`0a?vo`89:;<?00c4f>uno9:;<=?n8c9pmb6789::m4l4she345679hki7~gh012344gej2ybk=>?013bgg=tan:;<=>>ae`8wla789:;=lkm;rkd456788kmn6}fg123457e8k1xej>?0122f4d<{`m;<=>?1c0a?vo`89:;<?00`4f>uno9:;<=?m8c9pmb6789::n4l4she345679kki7~gh012344dej2ybk=>?013agg=tan:;<=>>be`8wla789:;=okm;rkd456788hmn6}fg123457d8k1xej>?0122g4d<{`m;<=>?1b0a?vo`89:;<?00a4f>uno9:;<=?l8c9pmb6789::o4l4she345679jki7~gh012344eej2ybk=>?013`gg=tan:;<=>>ce`8wla789:;=nkm;rkd456788imn6}fg123457c8k1xej>?0122`4d<{`m;<=>?1e0a?vo`89:;<?00f4f>uno9:;<=?k8c9pmb6789::h4l4she345679mki7~gh012344bej2ybk=>?013ggg=tan:;<=>>de`8wla789:;=ikm;rkd456788nmn6}fg123457b8k1xej>?0122a4d<{`m;<=>?1d0a?vo`89:;<?00g4f>uno9:;<=?j8c9pmb6789::i4l4she345679lki7~gh012344cej2ybk=>?013fgg=tan:;<=>>ee`8wla789:;=hkm;rkd456788omn6}fg123457a8k1xej>?0122b4d<{`m;<=>?1g0a?vo`89:;<?00d4f>uno9:;<=?i8c9pmb6789::j4l4she345679oki7~gh012344`ej2ybk=>?013egg=tan:;<=>>fe`8wla789:;=kkm;rkd456788lmn6}fg12345478k1xej>?012144d<{`m;<=>?210a?vo`89:;?0324f>uno9:;<=?0103gg=tan:;<=>=0e`8wla789:;>=km;rkd45678;:mn6}fg12345468k1xej>?012154d<{`m;<=>?200a?vo`89:;?0334f>uno9:;<=<>8c9pmb6789:9=4l4she34567:8ki7~gh0123477ej2ybk=>?0102gg=tan:;<=>=1e`8wla789:;>?012164d<{`m;<=>?230a?vo`89:;?0304f>uno9:;<=<=8c9pmb6789:9>4l4she34567:;ki7~gh0123474ej2ybk=>?0101gg=tan:;<=>=2e`8wla789:;>?km;rkd45678;8mn6}fg12345448k1xej>?012174d<{`m;<=>?220a?vo`89:;?0314f>uno9:;<=<<8c9pmb6789:9?4l4she34567::ki7~gh0123475ej2ybk=>?0100gg=tan:;<=>=3e`8wla789:;>>km;rkd45678;9mn6}fg12345438k1xej>?012104d<{`m;<=>?250a?vo`89:;?0364f>uno9:;<=<;8c9pmb6789:984l4she34567:=ki7~gh0123472ej2ybk=>?0107gg=tan:;<=>=4e`8wla789:;>9km;rkd45678;>mn6}fg12345428k1xej>?012114d<{`m;<=>?240a?vo`89:;8o5|if234565=?0374f>uno9:;<=<:8c9pmb6789:994l4she34567:?0106gg=tan:;<=>=5e`8wla789:;>8km;rkd45678;?mn6}fg12345418k1xej>?012124d<{`m;<=>?270a?vo`89:;?0344f>uno9:;<=<98c9pmb6789:9:4l4she34567:?ki7~gh0123470ej2ybk=>?0105gg=tan:;<=>=6e`8wla789:;>;km;rkd45678;?012134d<{`m;<=>?260a?vo`89:;?0354f>uno9:;<=<88c9pmb6789:9;4l4she34567:>ki7~gh0123471ej2ybk=>?0104gg=tan:;<=>=7e`8wla789:;>:km;rkd45678;=mn6}fg123454?8k1xej>?0121<4d<{`m;<=>?290a?vo`89:;?03:4f>uno9:;<=<78c9pmb6789:944l4she34567:1ki7~gh012347>ej2ybk=>?010;gg=tan:;<=>=8e`8wla789:;>5km;rkd45678;2mn6}fg123454>8k1xej>?0121=4d<{`m;<=>?280a?vo`89:;?03;4f>uno9:;<=<68c9pmb6789:954l4she34567:0ki7~gh012347?ej2ybk=>?010:gg=tan:;<=>=9e`8wla789:;>4km;rkd45678;3mn6}fg123454f8k1xej>?0121e4d<{`m;<=>?2`0a?vo`89:;?03c4f>uno9:;<=?010bgg=tan:;<=>=ae`8wla789:;>lkm;rkd45678;kmn6}fg123454e8k1xej>?0121f4d<{`m;<=>?2c0a?vo`89:;?03`4f>uno9:;<=?010agg=tan:;<=>=be`8wla789:;>okm;rkd45678;hmn6}fg123454d8k1xej>?0121g4d<{`m;<=>?2b0a?vo`89:;?03a4f>uno9:;<=?010`gg=tan:;<=>=ce`8wla789:;>nkm;rkd45678;imn6}fg123454c8k1xej>?0121`4d<{`m;<=>?2e0a?vo`89:;?03f4f>uno9:;<=?010ggg=tan:;<=>=de`8wla789:;>ikm;rkd45678;nmn6}fg123454b8k1xej>?0121a4d<{`m;<=>?2d0a?vo`89:;?03g4f>uno9:;<=?010fgg=tan:;<=>=ee`8wla789:;>hkm;rkd45678;omn6}fg123454a8k1xej>?0121b4d<{`m;<=>?2g0a?vo`89:;?03d4f>uno9:;<=?010egg=tan:;<=>=fe`8wla789:;>kkm;rkd45678;lmn6}fg12345578k1xej>?012044d<{`m;<=>?310a?vo`89:;<>>?0224f>uno9:;<==?8c9pmb6789:8<4l4she34567;9ki7~gh0123466ej2ybk=>?0113gg=tan:;<=><0e`8wla789:;?=km;rkd45678::mn6}fg12345568k1xej>?012054d<{`m;<=>?300a?vo`89:;<>??0234f>uno9:;<==>8c9pmb6789:8=4l4she34567;8ki7~gh0123467ej2ybk=>?0112gg=tan:;<=><1e`8wla789:;??012064d<{`m;<=>?330a?vo`89:;<><?0204f>uno9:;<===8c9pmb6789:8>4l4she34567;;ki7~gh0123464ej2ybk=>?0111gg=tan:;<=><2e`8wla789:;??km;rkd45678:8mn6}fg12345548k1xej>?012074d<{`m;<=>?320a?vo`89:;<>=?0214f>uno9:;<==<8c9pmb6789:8?4l4she34567;:ki7~gh0123465ej2ybk=>?0110gg=tan:;<=><3e`8wla789:;?>km;rkd45678:9mn6}fg12345538k1xej>?012004d<{`m;<=>?350a?vo`89:;<>:?0264f>uno9:;<==;8c9pmb6789:884l4she34567;=ki7~gh0123462ej2ybk=>?0117gg=tan:;<=><4e`8wla789:;?9km;rkd45678:>mn6}fg12345528k1xej>?012014d<{`m;<=>?340a?vo`89:;<>;8o5|if234564=?0274f>uno9:;<==:8c9pmb6789:894l4she34567;?0116gg=tan:;<=><5e`8wla789:;?8km;rkd45678:?mn6}fg12345518k1xej>?012024d<{`m;<=>?370a?vo`89:;<>8?0244f>uno9:;<==98c9pmb6789:8:4l4she34567;?ki7~gh0123460ej2ybk=>?0115gg=tan:;<=><6e`8wla789:;?;km;rkd45678:?012034d<{`m;<=>?360a?vo`89:;<>9?0254f>uno9:;<==88c9pmb6789:8;4l4she34567;>ki7~gh0123461ej2ybk=>?0114gg=tan:;<=><7e`8wla789:;?:km;rkd45678:=mn6}fg123455?8k1xej>?0120<4d<{`m;<=>?390a?vo`89:;<>6?02:4f>uno9:;<==78c9pmb6789:844l4she34567;1ki7~gh012346>ej2ybk=>?011;gg=tan:;<=><8e`8wla789:;?5km;rkd45678:2mn6}fg123455>8k1xej>?0120=4d<{`m;<=>?380a?vo`89:;<>7?02;4f>uno9:;<==68c9pmb6789:854l4she34567;0ki7~gh012346?ej2ybk=>?011:gg=tan:;<=><9e`8wla789:;?4km;rkd45678:3mn6}fg123455f8k1xej>?0120e4d<{`m;<=>?3`0a?vo`89:;<>o?02c4f>uno9:;<==n8c9pmb6789:8m4l4she34567;hki7~gh012346gej2ybk=>?011bgg=tan:;<=>?0120f4d<{`m;<=>?3c0a?vo`89:;<>l?02`4f>uno9:;<==m8c9pmb6789:8n4l4she34567;kki7~gh012346dej2ybk=>?011agg=tan:;<=>?0120g4d<{`m;<=>?3b0a?vo`89:;<>m?02a4f>uno9:;<==l8c9pmb6789:8o4l4she34567;jki7~gh012346eej2ybk=>?011`gg=tan:;<=>?0120`4d<{`m;<=>?3e0a?vo`89:;<>j?02f4f>uno9:;<==k8c9pmb6789:8h4l4she34567;mki7~gh012346bej2ybk=>?011ggg=tan:;<=>?0120a4d<{`m;<=>?3d0a?vo`89:;<>k?02g4f>uno9:;<==j8c9pmb6789:8i4l4she34567;lki7~gh012346cej2ybk=>?011fgg=tan:;<=>?0120b4d<{`m;<=>?3g0a?vo`89:;<>h?02d4f>uno9:;<==i8c9pmb6789:8j4l4she34567;oki7~gh012346`ej2ybk=>?011egg=tan:;<=>?012744d<{`m;<=>?410a?vo`89:;<9>;8o5|if2345638?0524f>uno9:;<=:?8c9pmb6789:?<4l4she34567<9ki7~gh0123416ej2ybk=>?0163gg=tan:;<=>;0e`8wla789:;8=km;rkd45678=:mn6}fg12345268k1xej>?012754d<{`m;<=>?400a?vo`89:;<9?:8o5|if2345639?0534f>uno9:;<=:>8c9pmb6789:?=4l4she34567<8ki7~gh0123417ej2ybk=>?0162gg=tan:;<=>;1e`8wla789:;8?012764d<{`m;<=>?430a?vo`89:;<9<98o5|if234563:?0504f>uno9:;<=:=8c9pmb6789:?>4l4she34567<;ki7~gh0123414ej2ybk=>?0161gg=tan:;<=>;2e`8wla789:;8?km;rkd45678=8mn6}fg12345248k1xej>?012774d<{`m;<=>?420a?vo`89:;<9=88o5|if234563;?0514f>uno9:;<=:<8c9pmb6789:??4l4she34567<:ki7~gh0123415ej2ybk=>?0160gg=tan:;<=>;3e`8wla789:;8>km;rkd45678=9mn6}fg12345238k1xej>?012704d<{`m;<=>?450a?vo`89:;<9:?8o5|if234563<?0564f>uno9:;<=:;8c9pmb6789:?84l4she34567<=ki7~gh0123412ej2ybk=>?0167gg=tan:;<=>;4e`8wla789:;89km;rkd45678=>mn6}fg12345228k1xej>?012714d<{`m;<=>?440a?vo`89:;<9;>8o5|if234563=?0574f>uno9:;<=::8c9pmb6789:?94l4she34567<?0166gg=tan:;<=>;5e`8wla789:;88km;rkd45678=?mn6}fg12345218k1xej>?012724d<{`m;<=>?470a?vo`89:;<98=8o5|if234563>?0544f>uno9:;<=:98c9pmb6789:?:4l4she34567?0165gg=tan:;<=>;6e`8wla789:;8;km;rkd45678=?012734d<{`m;<=>?460a?vo`89:;<99<8o5|if234563??0554f>uno9:;<=:88c9pmb6789:?;4l4she34567<>ki7~gh0123411ej2ybk=>?0164gg=tan:;<=>;7e`8wla789:;8:km;rkd45678==mn6}fg123452?8k1xej>?0127<4d<{`m;<=>?490a?vo`89:;<9638o5|if2345630?05:4f>uno9:;<=:78c9pmb6789:?44l4she34567<1ki7~gh012341>ej2ybk=>?016;gg=tan:;<=>;8e`8wla789:;85km;rkd45678=2mn6}fg123452>8k1xej>?0127=4d<{`m;<=>?480a?vo`89:;<9728o5|if2345631?05;4f>uno9:;<=:68c9pmb6789:?54l4she34567<0ki7~gh012341?ej2ybk=>?016:gg=tan:;<=>;9e`8wla789:;84km;rkd45678=3mn6}fg123452f8k1xej>?0127e4d<{`m;<=>?4`0a?vo`89:;<9oj8o5|if234563i?05c4f>uno9:;<=:n8c9pmb6789:?m4l4she34567?016bgg=tan:;<=>;ae`8wla789:;8lkm;rkd45678=kmn6}fg123452e8k1xej>?0127f4d<{`m;<=>?4c0a?vo`89:;<9li8o5|if234563j?05`4f>uno9:;<=:m8c9pmb6789:?n4l4she34567?016agg=tan:;<=>;be`8wla789:;8okm;rkd45678=hmn6}fg123452d8k1xej>?0127g4d<{`m;<=>?4b0a?vo`89:;<9mh8o5|if234563k?05a4f>uno9:;<=:l8c9pmb6789:?o4l4she34567?016`gg=tan:;<=>;ce`8wla789:;8nkm;rkd45678=imn6}fg123452c8k1xej>?0127`4d<{`m;<=>?4e0a?vo`89:;<9jo8o5|if234563l?05f4f>uno9:;<=:k8c9pmb6789:?h4l4she34567?016ggg=tan:;<=>;de`8wla789:;8ikm;rkd45678=nmn6}fg123452b8k1xej>?0127a4d<{`m;<=>?4d0a?vo`89:;<9kn8o5|if234563m?05g4f>uno9:;<=:j8c9pmb6789:?i4l4she34567?016fgg=tan:;<=>;ee`8wla789:;8hkm;rkd45678=omn6}fg123452a8k1xej>?0127b4d<{`m;<=>?4g0a?vo`89:;<9hm8o5|if234563n?05d4f>uno9:;<=:i8c9pmb6789:?j4l4she34567?016egg=tan:;<=>;fe`8wla789:;8kkm;rkd45678=lmn6}fg12345378k1xej>?012644d<{`m;<=>?510a?vo`89:;<8>?0424f>uno9:;<=;?8c9pmb6789:><4l4she34567=9ki7~gh0123406ej2ybk=>?0173gg=tan:;<=>:0e`8wla789:;9=km;rkd45678<:mn6}fg12345368k1xej>?012654d<{`m;<=>?500a?vo`89:;<8??0434f>uno9:;<=;>8c9pmb6789:>=4l4she34567=8ki7~gh0123407ej2ybk=>?0172gg=tan:;<=>:1e`8wla789:;9?012664d<{`m;<=>?530a?vo`89:;<8<?0404f>uno9:;<=;=8c9pmb6789:>>4l4she34567=;ki7~gh0123404ej2ybk=>?0171gg=tan:;<=>:2e`8wla789:;9?km;rkd45678<8mn6}fg12345348k1xej>?012674d<{`m;<=>?520a?vo`89:;<8=?0414f>uno9:;<=;<8c9pmb6789:>?4l4she34567=:ki7~gh0123405ej2ybk=>?0170gg=tan:;<=>:3e`8wla789:;9>km;rkd45678<9mn6}fg12345338k1xej>?012604d<{`m;<=>?550a?vo`89:;<8:?0464f>uno9:;<=;;8c9pmb6789:>84l4she34567==ki7~gh0123402ej2ybk=>?0177gg=tan:;<=>:4e`8wla789:;99km;rkd45678<>mn6}fg12345328k1xej>?012614d<{`m;<=>?540a?vo`89:;<8;8o5|if234562=?0474f>uno9:;<=;:8c9pmb6789:>94l4she34567=?0176gg=tan:;<=>:5e`8wla789:;98km;rkd45678?012624d<{`m;<=>?570a?vo`89:;<88?0444f>uno9:;<=;98c9pmb6789:>:4l4she34567=?ki7~gh0123400ej2ybk=>?0175gg=tan:;<=>:6e`8wla789:;9;km;rkd45678<?012634d<{`m;<=>?560a?vo`89:;<89?0454f>uno9:;<=;88c9pmb6789:>;4l4she34567=>ki7~gh0123401ej2ybk=>?0174gg=tan:;<=>:7e`8wla789:;9:km;rkd45678<=mn6}fg123453?8k1xej>?0126<4d<{`m;<=>?590a?vo`89:;<86?04:4f>uno9:;<=;78c9pmb6789:>44l4she34567=1ki7~gh012340>ej2ybk=>?017;gg=tan:;<=>:8e`8wla789:;95km;rkd45678<2mn6}fg123453>8k1xej>?0126=4d<{`m;<=>?580a?vo`89:;<87?04;4f>uno9:;<=;68c9pmb6789:>54l4she34567=0ki7~gh012340?ej2ybk=>?017:gg=tan:;<=>:9e`8wla789:;94km;rkd45678<3mn6}fg123453f8k1xej>?0126e4d<{`m;<=>?5`0a?vo`89:;<8o?04c4f>uno9:;<=;n8c9pmb6789:>m4l4she34567=hki7~gh012340gej2ybk=>?017bgg=tan:;<=>:ae`8wla789:;9lkm;rkd45678?0126f4d<{`m;<=>?5c0a?vo`89:;<8l?04`4f>uno9:;<=;m8c9pmb6789:>n4l4she34567=kki7~gh012340dej2ybk=>?017agg=tan:;<=>:be`8wla789:;9okm;rkd45678?0126g4d<{`m;<=>?5b0a?vo`89:;<8m?04a4f>uno9:;<=;l8c9pmb6789:>o4l4she34567=jki7~gh012340eej2ybk=>?017`gg=tan:;<=>:ce`8wla789:;9nkm;rkd45678?0126`4d<{`m;<=>?5e0a?vo`89:;<8j?04f4f>uno9:;<=;k8c9pmb6789:>h4l4she34567=mki7~gh012340bej2ybk=>?017ggg=tan:;<=>:de`8wla789:;9ikm;rkd45678?0126a4d<{`m;<=>?5d0a?vo`89:;<8k?04g4f>uno9:;<=;j8c9pmb6789:>i4l4she34567=lki7~gh012340cej2ybk=>?017fgg=tan:;<=>:ee`8wla789:;9hkm;rkd45678?0126b4d<{`m;<=>?5g0a?vo`89:;<8h?04d4f>uno9:;<=;i8c9pmb6789:>j4l4she34567=oki7~gh012340`ej2ybk=>?017egg=tan:;<=>:fe`8wla789:;9kkm;rkd45678?012544d<{`m;<=>?610a?vo`89:;<;>?0724f>uno9:;<=8?8c9pmb6789:=<4l4she34567>9ki7~gh0123436ej2ybk=>?0143gg=tan:;<=>90e`8wla789:;:=km;rkd45678?:mn6}fg12345068k1xej>?012554d<{`m;<=>?600a?vo`89:;<;??0734f>uno9:;<=8>8c9pmb6789:==4l4she34567>8ki7~gh0123437ej2ybk=>?0142gg=tan:;<=>91e`8wla789:;:?012564d<{`m;<=>?630a?vo`89:;<;<?0704f>uno9:;<=8=8c9pmb6789:=>4l4she34567>;ki7~gh0123434ej2ybk=>?0141gg=tan:;<=>92e`8wla789:;:?km;rkd45678?8mn6}fg12345048k1xej>?012574d<{`m;<=>?620a?vo`89:;<;=?0714f>uno9:;<=8<8c9pmb6789:=?4l4she34567>:ki7~gh0123435ej2ybk=>?0140gg=tan:;<=>93e`8wla789:;:>km;rkd45678?9mn6}fg12345038k1xej>?012504d<{`m;<=>?650a?vo`89:;<;:?0764f>uno9:;<=8;8c9pmb6789:=84l4she34567>=ki7~gh0123432ej2ybk=>?0147gg=tan:;<=>94e`8wla789:;:9km;rkd45678?>mn6}fg12345028k1xej>?012514d<{`m;<=>?640a?vo`89:;<;;8o5|if234561=?0774f>uno9:;<=8:8c9pmb6789:=94l4she34567>?0146gg=tan:;<=>95e`8wla789:;:8km;rkd45678??mn6}fg12345018k1xej>?012524d<{`m;<=>?670a?vo`89:;<;8?0744f>uno9:;<=898c9pmb6789:=:4l4she34567>?ki7~gh0123430ej2ybk=>?0145gg=tan:;<=>96e`8wla789:;:;km;rkd45678??012534d<{`m;<=>?660a?vo`89:;<;9?0754f>uno9:;<=888c9pmb6789:=;4l4she34567>>ki7~gh0123431ej2ybk=>?0144gg=tan:;<=>97e`8wla789:;::km;rkd45678?=mn6}fg123450?8k1xej>?0125<4d<{`m;<=>?690a?vo`89:;<;6?07:4f>uno9:;<=878c9pmb6789:=44l4she34567>1ki7~gh012343>ej2ybk=>?014;gg=tan:;<=>98e`8wla789:;:5km;rkd45678?2mn6}fg123450>8k1xej>?0125=4d<{`m;<=>?680a?vo`89:;<;7?07;4f>uno9:;<=868c9pmb6789:=54l4she34567>0ki7~gh012343?ej2ybk=>?014:gg=tan:;<=>99e`8wla789:;:4km;rkd45678?3mn6}fg123450f8k1xej>?0125e4d<{`m;<=>?6`0a?vo`89:;<;o?07c4f>uno9:;<=8n8c9pmb6789:=m4l4she34567>hki7~gh012343gej2ybk=>?014bgg=tan:;<=>9ae`8wla789:;:lkm;rkd45678?kmn6}fg123450e8k1xej>?0125f4d<{`m;<=>?6c0a?vo`89:;<;l?07`4f>uno9:;<=8m8c9pmb6789:=n4l4she34567>kki7~gh012343dej2ybk=>?014agg=tan:;<=>9be`8wla789:;:okm;rkd45678?hmn6}fg123450d8k1xej>?0125g4d<{`m;<=>?6b0a?vo`89:;<;m?07a4f>uno9:;<=8l8c9pmb6789:=o4l4she34567>jki7~gh012343eej2ybk=>?014`gg=tan:;<=>9ce`8wla789:;:nkm;rkd45678?imn6}fg123450c8k1xej>?0125`4d<{`m;<=>?6e0a?vo`89:;<;j?07f4f>uno9:;<=8k8c9pmb6789:=h4l4she34567>mki7~gh012343bej2ybk=>?014ggg=tan:;<=>9de`8wla789:;:ikm;rkd45678?nmn6}fg123450b8k1xej>?0125a4d<{`m;<=>?6d0a?vo`89:;<;k?07g4f>uno9:;<=8j8c9pmb6789:=i4l4she34567>lki7~gh012343cej2ybk=>?014fgg=tan:;<=>9ee`8wla789:;:hkm;rkd45678?omn6}fg123450a8k1xej>?0125b4d<{`m;<=>?6g0a?vo`89:;<;h?07d4f>uno9:;<=8i8c9pmb6789:=j4l4she34567>oki7~gh012343`ej2ybk=>?014egg=tan:;<=>9fe`8wla789:;:kkm;rkd45678?lmn6}fg12345178k1xej>?012444d<{`m;<=>?710a?vo`89:;<:>?0624f>uno9:;<=9?8c9pmb6789:<<4l4she34567?9ki7~gh0123426ej2ybk=>?0153gg=tan:;<=>80e`8wla789:;;=km;rkd45678>:mn6}fg12345168k1xej>?012454d<{`m;<=>?700a?vo`89:;<:??0634f>uno9:;<=9>8c9pmb6789:<=4l4she34567?8ki7~gh0123427ej2ybk=>?0152gg=tan:;<=>81e`8wla789:;;;mn6}fg12345158k1xej>?012464d<{`m;<=>?730a?vo`89:;<:<?0604f>uno9:;<=9=8c9pmb6789:<>4l4she34567?;ki7~gh0123424ej2ybk=>?0151gg=tan:;<=>82e`8wla789:;;?km;rkd45678>8mn6}fg12345148k1xej>?012474d<{`m;<=>?720a?vo`89:;<:=?0614f>uno9:;<=9<8c9pmb6789:?0150gg=tan:;<=>83e`8wla789:;;>km;rkd45678>9mn6}fg12345138k1xej>?012404d<{`m;<=>?750a?vo`89:;<::?0664f>uno9:;<=9;8c9pmb6789:<84l4she34567?=ki7~gh0123422ej2ybk=>?0157gg=tan:;<=>84e`8wla789:;;9km;rkd45678>>mn6}fg12345128k1xej>?012414d<{`m;<=>?740a?vo`89:;<:;8o5|if234560=?0674f>uno9:;<=9:8c9pmb6789:<94l4she34567??0156gg=tan:;<=>85e`8wla789:;;8km;rkd45678>?mn6}fg12345118k1xej>?012424d<{`m;<=>?770a?vo`89:;<:8?0644f>uno9:;<=998c9pmb6789:<:4l4she34567??ki7~gh0123420ej2ybk=>?0155gg=tan:;<=>86e`8wla789:;;;km;rkd45678>?012434d<{`m;<=>?760a?vo`89:;<:9?0654f>uno9:;<=988c9pmb6789:<;4l4she34567?>ki7~gh0123421ej2ybk=>?0154gg=tan:;<=>87e`8wla789:;;:km;rkd45678>=mn6}fg123451?8k1xej>?0124<4d<{`m;<=>?790a?vo`89:;<:6?06:4f>uno9:;<=978c9pmb6789:<44l4she34567?1ki7~gh012342>ej2ybk=>?015;gg=tan:;<=>88e`8wla789:;;5km;rkd45678>2mn6}fg123451>8k1xej>?0124=4d<{`m;<=>?780a?vo`89:;<:7?06;4f>uno9:;<=968c9pmb6789:<54l4she34567?0ki7~gh012342?ej2ybk=>?015:gg=tan:;<=>89e`8wla789:;;4km;rkd45678>3mn6}fg123451f8k1xej>?0124e4d<{`m;<=>?7`0a?vo`89:;<:o?06c4f>uno9:;<=9n8c9pmb6789:?015bgg=tan:;<=>8ae`8wla789:;;lkm;rkd45678>kmn6}fg123451e8k1xej>?0124f4d<{`m;<=>?7c0a?vo`89:;<:l?06`4f>uno9:;<=9m8c9pmb6789:?015agg=tan:;<=>8be`8wla789:;;okm;rkd45678>hmn6}fg123451d8k1xej>?0124g4d<{`m;<=>?7b0a?vo`89:;<:m?06a4f>uno9:;<=9l8c9pmb6789:?015`gg=tan:;<=>8ce`8wla789:;;nkm;rkd45678>imn6}fg123451c8k1xej>?0124`4d<{`m;<=>?7e0a?vo`89:;<:j?06f4f>uno9:;<=9k8c9pmb6789:?015ggg=tan:;<=>8de`8wla789:;;ikm;rkd45678>nmn6}fg123451b8k1xej>?0124a4d<{`m;<=>?7d0a?vo`89:;<:k?06g4f>uno9:;<=9j8c9pmb6789:?015fgg=tan:;<=>8ee`8wla789:;;hkm;rkd45678>omn6}fg123451a8k1xej>?0124b4d<{`m;<=>?7g0a?vo`89:;<:h?06d4f>uno9:;<=9i8c9pmb6789:?015egg=tan:;<=>8fe`8wla789:;;kkm;rkd45678>lmn6}fg12345>78k1xej>?012;44d<{`m;<=>?810a?vo`89:;<5>?0924f>uno9:;<=6?8c9pmb6789:3<4l4she3456709ki7~gh01234=6ej2ybk=>?01:3gg=tan:;<=>70e`8wla789:;4=km;rkd456781:mn6}fg12345>68k1xej>?012;54d<{`m;<=>?800a?vo`89:;<5??0934f>uno9:;<=6>8c9pmb6789:3=4l4she3456708ki7~gh01234=7ej2ybk=>?01:2gg=tan:;<=>71e`8wla789:;458k1xej>?012;64d<{`m;<=>?830a?vo`89:;<5<?0904f>uno9:;<=6=8c9pmb6789:3>4l4she345670;ki7~gh01234=4ej2ybk=>?01:1gg=tan:;<=>72e`8wla789:;4?km;rkd4567818mn6}fg12345>48k1xej>?012;74d<{`m;<=>?820a?vo`89:;<5=?0914f>uno9:;<=6<8c9pmb6789:3?4l4she345670:ki7~gh01234=5ej2ybk=>?01:0gg=tan:;<=>73e`8wla789:;4>km;rkd4567819mn6}fg12345>38k1xej>?012;04d<{`m;<=>?850a?vo`89:;<5:?0964f>uno9:;<=6;8c9pmb6789:384l4she345670=ki7~gh01234=2ej2ybk=>?01:7gg=tan:;<=>74e`8wla789:;49km;rkd456781>mn6}fg12345>28k1xej>?012;14d<{`m;<=>?840a?vo`89:;<5;8o5|if23456?=?0974f>uno9:;<=6:8c9pmb6789:394l4she345670?01:6gg=tan:;<=>75e`8wla789:;48km;rkd456781?mn6}fg12345>18k1xej>?012;24d<{`m;<=>?870a?vo`89:;<58?0944f>uno9:;<=698c9pmb6789:3:4l4she345670?ki7~gh01234=0ej2ybk=>?01:5gg=tan:;<=>76e`8wla789:;4;km;rkd45678108k1xej>?012;34d<{`m;<=>?860a?vo`89:;<59?0954f>uno9:;<=688c9pmb6789:3;4l4she345670>ki7~gh01234=1ej2ybk=>?01:4gg=tan:;<=>77e`8wla789:;4:km;rkd456781=mn6}fg12345>?8k1xej>?012;<4d<{`m;<=>?890a?vo`89:;<56?09:4f>uno9:;<=678c9pmb6789:344l4she3456701ki7~gh01234=>ej2ybk=>?01:;gg=tan:;<=>78e`8wla789:;45km;rkd4567812mn6}fg12345>>8k1xej>?012;=4d<{`m;<=>?880a?vo`89:;<57?09;4f>uno9:;<=668c9pmb6789:354l4she3456700ki7~gh01234=?ej2ybk=>?01::gg=tan:;<=>79e`8wla789:;44km;rkd4567813mn6}fg12345>f8k1xej>?012;e4d<{`m;<=>?8`0a?vo`89:;<5o?09c4f>uno9:;<=6n8c9pmb6789:3m4l4she345670hki7~gh01234=gej2ybk=>?01:bgg=tan:;<=>7ae`8wla789:;4lkm;rkd456781kmn6}fg12345>e8k1xej>?012;f4d<{`m;<=>?8c0a?vo`89:;<5l?09`4f>uno9:;<=6m8c9pmb6789:3n4l4she345670kki7~gh01234=dej2ybk=>?01:agg=tan:;<=>7be`8wla789:;4okm;rkd456781hmn6}fg12345>d8k1xej>?012;g4d<{`m;<=>?8b0a?vo`89:;<5m?09a4f>uno9:;<=6l8c9pmb6789:3o4l4she345670jki7~gh01234=eej2ybk=>?01:`gg=tan:;<=>7ce`8wla789:;4nkm;rkd456781imn6}fg12345>c8k1xej>?012;`4d<{`m;<=>?8e0a?vo`89:;<5j?09f4f>uno9:;<=6k8c9pmb6789:3h4l4she345670mki7~gh01234=bej2ybk=>?01:ggg=tan:;<=>7de`8wla789:;4ikm;rkd456781nmn6}fg12345>b8k1xej>?012;a4d<{`m;<=>?8d0a?vo`89:;<5k?09g4f>uno9:;<=6j8c9pmb6789:3i4l4she345670lki7~gh01234=cej2ybk=>?01:fgg=tan:;<=>7ee`8wla789:;4hkm;rkd456781omn6}fg12345>a8k1xej>?012;b4d<{`m;<=>?8g0a?vo`89:;<5h?09d4f>uno9:;<=6i8c9pmb6789:3j4l4she345670oki7~gh01234=`ej2ybk=>?01:egg=tan:;<=>7fe`8wla789:;4kkm;rkd456781lmn6}fg12345?78k1xej>?012:44d<{`m;<=>?910a?vo`89:;<4>8?0824f>uno9:;<=7?8c9pmb6789:2<4l4she3456719ki7~gh01234<6ej2ybk=>?01;3gg=tan:;<=>60e`8wla789:;5=km;rkd456780:mn6}fg12345?68k1xej>?012:54d<{`m;<=>?900a?vo`89:;<4?9?0834f>uno9:;<=7>8c9pmb6789:2=4l4she3456718ki7~gh01234<7ej2ybk=>?01;2gg=tan:;<=>61e`8wla789:;5?012:64d<{`m;<=>?930a?vo`89:;<4<:?0804f>uno9:;<=7=8c9pmb6789:2>4l4she345671;ki7~gh01234<4ej2ybk=>?01;1gg=tan:;<=>62e`8wla789:;5?km;rkd4567808mn6}fg12345?48k1xej>?012:74d<{`m;<=>?920a?vo`89:;<4=;?0814f>uno9:;<=7<8c9pmb6789:2?4l4she345671:ki7~gh01234<5ej2ybk=>?01;0gg=tan:;<=>63e`8wla789:;5>km;rkd4567809mn6}fg12345?38k1xej>?012:04d<{`m;<=>?950a?vo`89:;<4:<?0864f>uno9:;<=7;8c9pmb6789:284l4she345671=ki7~gh01234<2ej2ybk=>?01;7gg=tan:;<=>64e`8wla789:;59km;rkd456780>mn6}fg12345?28k1xej>?012:14d<{`m;<=>?940a?vo`89:;<4;8o5|if23456>=?0874f>uno9:;<=7:8c9pmb6789:294l4she345671?01;6gg=tan:;<=>65e`8wla789:;58km;rkd456780?mn6}fg12345?18k1xej>?012:24d<{`m;<=>?970a?vo`89:;<48>?0844f>uno9:;<=798c9pmb6789:2:4l4she345671?ki7~gh01234<0ej2ybk=>?01;5gg=tan:;<=>66e`8wla789:;5;km;rkd456780?012:34d<{`m;<=>?960a?vo`89:;<49??0854f>uno9:;<=788c9pmb6789:2;4l4she345671>ki7~gh01234<1ej2ybk=>?01;4gg=tan:;<=>67e`8wla789:;5:km;rkd456780=mn6}fg12345??8k1xej>?012:<4d<{`m;<=>?990a?vo`89:;<460?08:4f>uno9:;<=778c9pmb6789:244l4she3456711ki7~gh01234<>ej2ybk=>?01;;gg=tan:;<=>68e`8wla789:;55km;rkd4567802mn6}fg12345?>8k1xej>?012:=4d<{`m;<=>?980a?vo`89:;<471?08;4f>uno9:;<=768c9pmb6789:254l4she3456710ki7~gh01234?01;:gg=tan:;<=>69e`8wla789:;54km;rkd4567803mn6}fg12345?f8k1xej>?012:e4d<{`m;<=>?9`0a?vo`89:;<4oi?08c4f>uno9:;<=7n8c9pmb6789:2m4l4she345671hki7~gh01234?01;bgg=tan:;<=>6ae`8wla789:;5lkm;rkd456780kmn6}fg12345?e8k1xej>?012:f4d<{`m;<=>?9c0a?vo`89:;<4lj?08`4f>uno9:;<=7m8c9pmb6789:2n4l4she345671kki7~gh01234?01;agg=tan:;<=>6be`8wla789:;5okm;rkd456780hmn6}fg12345?d8k1xej>?012:g4d<{`m;<=>?9b0a?vo`89:;<4mk?08a4f>uno9:;<=7l8c9pmb6789:2o4l4she345671jki7~gh01234?01;`gg=tan:;<=>6ce`8wla789:;5nkm;rkd456780imn6}fg12345?c8k1xej>?012:`4d<{`m;<=>?9e0a?vo`89:;<4jl?08f4f>uno9:;<=7k8c9pmb6789:2h4l4she345671mki7~gh01234?01;ggg=tan:;<=>6de`8wla789:;5ikm;rkd456780nmn6}fg12345?b8k1xej>?012:a4d<{`m;<=>?9d0a?vo`89:;<4km?08g4f>uno9:;<=7j8c9pmb6789:2i4l4she345671lki7~gh01234?01;fgg=tan:;<=>6ee`8wla789:;5hkm;rkd456780omn6}fg12345?a8k1xej>?012:b4d<{`m;<=>?9g0a?vo`89:;<4hn?08d4f>uno9:;<=7i8c9pmb6789:2j4l4she345671oki7~gh01234<`ej2ybk=>?01;egg=tan:;<=>6fe`8wla789:;5kkm;rkd456780lmn6}fg12345g78k1xej>?012b44d<{`m;<=>?a10a?vo`89:;?0`24f>uno9:;<=o?8c9pmb6789:j<4l4she34567i9ki7~gh01234d6ej2ybk=>?01c3gg=tan:;<=>n0e`8wla789:;m=km;rkd45678h:mn6}fg12345g68k1xej>?012b54d<{`m;<=>?a00a?vo`89:;?0`34f>uno9:;<=o>8c9pmb6789:j=4l4she34567i8ki7~gh01234d7ej2ybk=>?01c2gg=tan:;<=>n1e`8wla789:;m?012b64d<{`m;<=>?a30a?vo`89:;?0`04f>uno9:;<=o=8c9pmb6789:j>4l4she34567i;ki7~gh01234d4ej2ybk=>?01c1gg=tan:;<=>n2e`8wla789:;m?km;rkd45678h8mn6}fg12345g48k1xej>?012b74d<{`m;<=>?a20a?vo`89:;?0`14f>uno9:;<=o<8c9pmb6789:j?4l4she34567i:ki7~gh01234d5ej2ybk=>?01c0gg=tan:;<=>n3e`8wla789:;m>km;rkd45678h9mn6}fg12345g38k1xej>?012b04d<{`m;<=>?a50a?vo`89:;?0`64f>uno9:;<=o;8c9pmb6789:j84l4she34567i=ki7~gh01234d2ej2ybk=>?01c7gg=tan:;<=>n4e`8wla789:;m9km;rkd45678h>mn6}fg12345g28k1xej>?012b14d<{`m;<=>?a40a?vo`89:;8o5|if23456f=?0`74f>uno9:;<=o:8c9pmb6789:j94l4she34567i?01c6gg=tan:;<=>n5e`8wla789:;m8km;rkd45678h?mn6}fg12345g18k1xej>?012b24d<{`m;<=>?a70a?vo`89:;?0`44f>uno9:;<=o98c9pmb6789:j:4l4she34567i?ki7~gh01234d0ej2ybk=>?01c5gg=tan:;<=>n6e`8wla789:;m;km;rkd45678h?012b34d<{`m;<=>?a60a?vo`89:;?0`54f>uno9:;<=o88c9pmb6789:j;4l4she34567i>ki7~gh01234d1ej2ybk=>?01c4gg=tan:;<=>n7e`8wla789:;m:km;rkd45678h=mn6}fg12345g?8k1xej>?012b<4d<{`m;<=>?a90a?vo`89:;?0`:4f>uno9:;<=o78c9pmb6789:j44l4she34567i1ki7~gh01234d>ej2ybk=>?01c;gg=tan:;<=>n8e`8wla789:;m5km;rkd45678h2mn6}fg12345g>8k1xej>?012b=4d<{`m;<=>?a80a?vo`89:;?0`;4f>uno9:;<=o68c9pmb6789:j54l4she34567i0ki7~gh01234d?ej2ybk=>?01c:gg=tan:;<=>n9e`8wla789:;m4km;rkd45678h3mn6}fg12345gf8k1xej>?012be4d<{`m;<=>?a`0a?vo`89:;?0`c4f>uno9:;<=on8c9pmb6789:jm4l4she34567ihki7~gh01234dgej2ybk=>?01cbgg=tan:;<=>nae`8wla789:;mlkm;rkd45678hkmn6}fg12345ge8k1xej>?012bf4d<{`m;<=>?ac0a?vo`89:;?0``4f>uno9:;<=om8c9pmb6789:jn4l4she34567ikki7~gh01234ddej2ybk=>?01cagg=tan:;<=>nbe`8wla789:;mokm;rkd45678hhmn6}fg12345gd8k1xej>?012bg4d<{`m;<=>?ab0a?vo`89:;?0`a4f>uno9:;<=ol8c9pmb6789:jo4l4she34567ijki7~gh01234deej2ybk=>?01c`gg=tan:;<=>nce`8wla789:;mnkm;rkd45678himn6}fg12345gc8k1xej>?012b`4d<{`m;<=>?ae0a?vo`89:;?0`f4f>uno9:;<=ok8c9pmb6789:jh4l4she34567imki7~gh01234dbej2ybk=>?01cggg=tan:;<=>nde`8wla789:;mikm;rkd45678hnmn6}fg12345gb8k1xej>?012ba4d<{`m;<=>?ad0a?vo`89:;?0`g4f>uno9:;<=oj8c9pmb6789:ji4l4she34567ilki7~gh01234dcej2ybk=>?01cfgg=tan:;<=>nee`8wla789:;mhkm;rkd45678homn6}fg12345ga8k1xej>?012bb4d<{`m;<=>?ag0a?vo`89:;?0`d4f>uno9:;<=oi8c9pmb6789:jj4l4she34567ioki7~gh01234d`ej2ybk=>?01cegg=tan:;<=>nfe`8wla789:;mkkm;rkd45678hlmn6}fg12345d78k1xej>?012a44d<{`m;<=>?b10a?vo`89:;?0c24f>uno9:;<=l?8c9pmb6789:i<4l4she34567j9ki7~gh01234g6ej2ybk=>?01`3gg=tan:;<=>m0e`8wla789:;n=km;rkd45678k:mn6}fg12345d68k1xej>?012a54d<{`m;<=>?b00a?vo`89:;?0c34f>uno9:;<=l>8c9pmb6789:i=4l4she34567j8ki7~gh01234g7ej2ybk=>?01`2gg=tan:;<=>m1e`8wla789:;n?012a64d<{`m;<=>?b30a?vo`89:;?0c04f>uno9:;<=l=8c9pmb6789:i>4l4she34567j;ki7~gh01234g4ej2ybk=>?01`1gg=tan:;<=>m2e`8wla789:;n?km;rkd45678k8mn6}fg12345d48k1xej>?012a74d<{`m;<=>?b20a?vo`89:;?0c14f>uno9:;<=l<8c9pmb6789:i?4l4she34567j:ki7~gh01234g5ej2ybk=>?01`0gg=tan:;<=>m3e`8wla789:;n>km;rkd45678k9mn6}fg12345d38k1xej>?012a04d<{`m;<=>?b50a?vo`89:;?0c64f>uno9:;<=l;8c9pmb6789:i84l4she34567j=ki7~gh01234g2ej2ybk=>?01`7gg=tan:;<=>m4e`8wla789:;n9km;rkd45678k>mn6}fg12345d28k1xej>?012a14d<{`m;<=>?b40a?vo`89:;8o5|if23456e=?0c74f>uno9:;<=l:8c9pmb6789:i94l4she34567j?01`6gg=tan:;<=>m5e`8wla789:;n8km;rkd45678k?mn6}fg12345d18k1xej>?012a24d<{`m;<=>?b70a?vo`89:;?0c44f>uno9:;<=l98c9pmb6789:i:4l4she34567j?ki7~gh01234g0ej2ybk=>?01`5gg=tan:;<=>m6e`8wla789:;n;km;rkd45678k?012a34d<{`m;<=>?b60a?vo`89:;?0c54f>uno9:;<=l88c9pmb6789:i;4l4she34567j>ki7~gh01234g1ej2ybk=>?01`4gg=tan:;<=>m7e`8wla789:;n:km;rkd45678k=mn6}fg12345d?8k1xej>?012a<4d<{`m;<=>?b90a?vo`89:;?0c:4f>uno9:;<=l78c9pmb6789:i44l4she34567j1ki7~gh01234g>ej2ybk=>?01`;gg=tan:;<=>m8e`8wla789:;n5km;rkd45678k2mn6}fg12345d>8k1xej>?012a=4d<{`m;<=>?b80a?vo`89:;?0c;4f>uno9:;<=l68c9pmb6789:i54l4she34567j0ki7~gh01234g?ej2ybk=>?01`:gg=tan:;<=>m9e`8wla789:;n4km;rkd45678k3mn6}fg12345df8k1xej>?012ae4d<{`m;<=>?b`0a?vo`89:;?0cc4f>uno9:;<=ln8c9pmb6789:im4l4she34567jhki7~gh01234ggej2ybk=>?01`bgg=tan:;<=>mae`8wla789:;nlkm;rkd45678kkmn6}fg12345de8k1xej>?012af4d<{`m;<=>?bc0a?vo`89:;?0c`4f>uno9:;<=lm8c9pmb6789:in4l4she34567jkki7~gh01234gdej2ybk=>?01`agg=tan:;<=>mbe`8wla789:;nokm;rkd45678khmn6}fg12345dd8k1xej>?012ag4d<{`m;<=>?bb0a?vo`89:;?0ca4f>uno9:;<=ll8c9pmb6789:io4l4she34567jjki7~gh01234geej2ybk=>?01``gg=tan:;<=>mce`8wla789:;nnkm;rkd45678kimn6}fg12345dc8k1xej>?012a`4d<{`m;<=>?be0a?vo`89:;?0cf4f>uno9:;<=lk8c9pmb6789:ih4l4she34567jmki7~gh01234gbej2ybk=>?01`ggg=tan:;<=>mde`8wla789:;nikm;rkd45678knmn6}fg12345db8k1xej>?012aa4d<{`m;<=>?bd0a?vo`89:;?0cg4f>uno9:;<=lj8c9pmb6789:ii4l4she34567jlki7~gh01234gcej2ybk=>?01`fgg=tan:;<=>mee`8wla789:;nhkm;rkd45678komn6}fg12345da8k1xej>?012ab4d<{`m;<=>?bg0a?vo`89:;?0cd4f>uno9:;<=li8c9pmb6789:ij4l4she34567joki7~gh01234g`ej2ybk=>?01`egg=tan:;<=>mfe`8wla789:;nkkm;rkd45678klmn6}fg12345e78k1xej>?012`44d<{`m;<=>?c10a?vo`89:;?0b24f>uno9:;<=m?8c9pmb6789:h<4l4she34567k9ki7~gh01234f6ej2ybk=>?01a3gg=tan:;<=>l0e`8wla789:;o=km;rkd45678j:mn6}fg12345e68k1xej>?012`54d<{`m;<=>?c00a?vo`89:;?0b34f>uno9:;<=m>8c9pmb6789:h=4l4she34567k8ki7~gh01234f7ej2ybk=>?01a2gg=tan:;<=>l1e`8wla789:;o?012`64d<{`m;<=>?c30a?vo`89:;?0b04f>uno9:;<=m=8c9pmb6789:h>4l4she34567k;ki7~gh01234f4ej2ybk=>?01a1gg=tan:;<=>l2e`8wla789:;o?km;rkd45678j8mn6}fg12345e48k1xej>?012`74d<{`m;<=>?c20a?vo`89:;?0b14f>uno9:;<=m<8c9pmb6789:h?4l4she34567k:ki7~gh01234f5ej2ybk=>?01a0gg=tan:;<=>l3e`8wla789:;o>km;rkd45678j9mn6}fg12345e38k1xej>?012`04d<{`m;<=>?c50a?vo`89:;?0b64f>uno9:;<=m;8c9pmb6789:h84l4she34567k=ki7~gh01234f2ej2ybk=>?01a7gg=tan:;<=>l4e`8wla789:;o9km;rkd45678j>mn6}fg12345e28k1xej>?012`14d<{`m;<=>?c40a?vo`89:;8o5|if23456d=?0b74f>uno9:;<=m:8c9pmb6789:h94l4she34567k?01a6gg=tan:;<=>l5e`8wla789:;o8km;rkd45678j?mn6}fg12345e18k1xej>?012`24d<{`m;<=>?c70a?vo`89:;?0b44f>uno9:;<=m98c9pmb6789:h:4l4she34567k?ki7~gh01234f0ej2ybk=>?01a5gg=tan:;<=>l6e`8wla789:;o;km;rkd45678j?012`34d<{`m;<=>?c60a?vo`89:;?0b54f>uno9:;<=m88c9pmb6789:h;4l4she34567k>ki7~gh01234f1ej2ybk=>?01a4gg=tan:;<=>l7e`8wla789:;o:km;rkd45678j=mn6}fg12345e?8k1xej>?012`<4d<{`m;<=>?c90a?vo`89:;?0b:4f>uno9:;<=m78c9pmb6789:h44l4she34567k1ki7~gh01234f>ej2ybk=>?01a;gg=tan:;<=>l8e`8wla789:;o5km;rkd45678j2mn6}fg12345e>8k1xej>?012`=4d<{`m;<=>?c80a?vo`89:;?0b;4f>uno9:;<=m68c9pmb6789:h54l4she34567k0ki7~gh01234f?ej2ybk=>?01a:gg=tan:;<=>l9e`8wla789:;o4km;rkd45678j3mn6}fg12345ef8k1xej>?012`e4d<{`m;<=>?c`0a?vo`89:;?0bc4f>uno9:;<=mn8c9pmb6789:hm4l4she34567khki7~gh01234fgej2ybk=>?01abgg=tan:;<=>lae`8wla789:;olkm;rkd45678jkmn6}fg12345ee8k1xej>?012`f4d<{`m;<=>?cc0a?vo`89:;?0b`4f>uno9:;<=mm8c9pmb6789:hn4l4she34567kkki7~gh01234fdej2ybk=>?01aagg=tan:;<=>lbe`8wla789:;ookm;rkd45678jhmn6}fg12345ed8k1xej>?012`g4d<{`m;<=>?cb0a?vo`89:;?0ba4f>uno9:;<=ml8c9pmb6789:ho4l4she34567kjki7~gh01234feej2ybk=>?01a`gg=tan:;<=>lce`8wla789:;onkm;rkd45678jimn6}fg12345ec8k1xej>?012``4d<{`m;<=>?ce0a?vo`89:;?0bf4f>uno9:;<=mk8c9pmb6789:hh4l4she34567kmki7~gh01234fbej2ybk=>?01aggg=tan:;<=>lde`8wla789:;oikm;rkd45678jnmn6}fg12345eb8k1xej>?012`a4d<{`m;<=>?cd0a?vo`89:;?0bg4f>uno9:;<=mj8c9pmb6789:hi4l4she34567klki7~gh01234fcej2ybk=>?01afgg=tan:;<=>lee`8wla789:;ohkm;rkd45678jomn6}fg12345ea8k1xej>?012`b4d<{`m;<=>?cg0a?vo`89:;?0bd4f>uno9:;<=mi8c9pmb6789:hj4l4she34567koki7~gh01234f`ej2ybk=>?01aegg=tan:;<=>lfe`8wla789:;okkm;rkd45678jlmn6}fg12345b78k1xej>?012g44d<{`m;<=>?d10a?vo`89:;?0e24f>uno9:;<=j?8c9pmb6789:o<4l4she34567l9ki7~gh01234a6ej2ybk=>?01f3gg=tan:;<=>k0e`8wla789:;h=km;rkd45678m:mn6}fg12345b68k1xej>?012g54d<{`m;<=>?d00a?vo`89:;?0e34f>uno9:;<=j>8c9pmb6789:o=4l4she34567l8ki7~gh01234a7ej2ybk=>?01f2gg=tan:;<=>k1e`8wla789:;h?012g64d<{`m;<=>?d30a?vo`89:;?0e04f>uno9:;<=j=8c9pmb6789:o>4l4she34567l;ki7~gh01234a4ej2ybk=>?01f1gg=tan:;<=>k2e`8wla789:;h?km;rkd45678m8mn6}fg12345b48k1xej>?012g74d<{`m;<=>?d20a?vo`89:;?0e14f>uno9:;<=j<8c9pmb6789:o?4l4she34567l:ki7~gh01234a5ej2ybk=>?01f0gg=tan:;<=>k3e`8wla789:;h>km;rkd45678m9mn6}fg12345b38k1xej>?012g04d<{`m;<=>?d50a?vo`89:;?0e64f>uno9:;<=j;8c9pmb6789:o84l4she34567l=ki7~gh01234a2ej2ybk=>?01f7gg=tan:;<=>k4e`8wla789:;h9km;rkd45678m>mn6}fg12345b28k1xej>?012g14d<{`m;<=>?d40a?vo`89:;8o5|if23456c=?0e74f>uno9:;<=j:8c9pmb6789:o94l4she34567l?01f6gg=tan:;<=>k5e`8wla789:;h8km;rkd45678m?mn6}fg12345b18k1xej>?012g24d<{`m;<=>?d70a?vo`89:;?0e44f>uno9:;<=j98c9pmb6789:o:4l4she34567l?ki7~gh01234a0ej2ybk=>?01f5gg=tan:;<=>k6e`8wla789:;h;km;rkd45678m?012g34d<{`m;<=>?d60a?vo`89:;?0e54f>uno9:;<=j88c9pmb6789:o;4l4she34567l>ki7~gh01234a1ej2ybk=>?01f4gg=tan:;<=>k7e`8wla789:;h:km;rkd45678m=mn6}fg12345b?8k1xej>?012g<4d<{`m;<=>?d90a?vo`89:;?0e:4f>uno9:;<=j78c9pmb6789:o44l4she34567l1ki7~gh01234a>ej2ybk=>?01f;gg=tan:;<=>k8e`8wla789:;h5km;rkd45678m2mn6}fg12345b>8k1xej>?012g=4d<{`m;<=>?d80a?vo`89:;?0e;4f>uno9:;<=j68c9pmb6789:o54l4she34567l0ki7~gh01234a?ej2ybk=>?01f:gg=tan:;<=>k9e`8wla789:;h4km;rkd45678m3mn6}fg12345bf8k1xej>?012ge4d<{`m;<=>?d`0a?vo`89:;?0ec4f>uno9:;<=jn8c9pmb6789:om4l4she34567lhki7~gh01234agej2ybk=>?01fbgg=tan:;<=>kae`8wla789:;hlkm;rkd45678mkmn6}fg12345be8k1xej>?012gf4d<{`m;<=>?dc0a?vo`89:;?0e`4f>uno9:;<=jm8c9pmb6789:on4l4she34567lkki7~gh01234adej2ybk=>?01fagg=tan:;<=>kbe`8wla789:;hokm;rkd45678mhmn6}fg12345bd8k1xej>?012gg4d<{`m;<=>?db0a?vo`89:;?0ea4f>uno9:;<=jl8c9pmb6789:oo4l4she34567ljki7~gh01234aeej2ybk=>?01f`gg=tan:;<=>kce`8wla789:;hnkm;rkd45678mimn6}fg12345bc8k1xej>?012g`4d<{`m;<=>?de0a?vo`89:;?0ef4f>uno9:;<=jk8c9pmb6789:oh4l4she34567lmki7~gh01234abej2ybk=>?01fggg=tan:;<=>kde`8wla789:;hikm;rkd45678mnmn6}fg12345bb8k1xej>?012ga4d<{`m;<=>?dd0a?vo`89:;?0eg4f>uno9:;<=jj8c9pmb6789:oi4l4she34567llki7~gh01234acej2ybk=>?01ffgg=tan:;<=>kee`8wla789:;hhkm;rkd45678momn6}fg12345ba8k1xej>?012gb4d<{`m;<=>?dg0a?vo`89:;?0ed4f>uno9:;<=ji8c9pmb6789:oj4l4she34567loki7~gh01234a`ej2ybk=>?01fegg=tan:;<=>kfe`8wla789:;hkkm;rkd45678mlmn6}fg12345c78k1xej>?012f44d<{`m;<=>?e10a?vo`89:;?0d24f>uno9:;<=k?8c9pmb6789:n<4l4she34567m9ki7~gh01234`6ej2ybk=>?01g3gg=tan:;<=>j0e`8wla789:;i=km;rkd45678l:mn6}fg12345c68k1xej>?012f54d<{`m;<=>?e00a?vo`89:;?0d34f>uno9:;<=k>8c9pmb6789:n=4l4she34567m8ki7~gh01234`7ej2ybk=>?01g2gg=tan:;<=>j1e`8wla789:;i?012f64d<{`m;<=>?e30a?vo`89:;?0d04f>uno9:;<=k=8c9pmb6789:n>4l4she34567m;ki7~gh01234`4ej2ybk=>?01g1gg=tan:;<=>j2e`8wla789:;i?km;rkd45678l8mn6}fg12345c48k1xej>?012f74d<{`m;<=>?e20a?vo`89:;?0d14f>uno9:;<=k<8c9pmb6789:n?4l4she34567m:ki7~gh01234`5ej2ybk=>?01g0gg=tan:;<=>j3e`8wla789:;i>km;rkd45678l9mn6}fg12345c38k1xej>?012f04d<{`m;<=>?e50a?vo`89:;?0d64f>uno9:;<=k;8c9pmb6789:n84l4she34567m=ki7~gh01234`2ej2ybk=>?01g7gg=tan:;<=>j4e`8wla789:;i9km;rkd45678l>mn6}fg12345c28k1xej>?012f14d<{`m;<=>?e40a?vo`89:;8o5|if23456b=?0d74f>uno9:;<=k:8c9pmb6789:n94l4she34567m?01g6gg=tan:;<=>j5e`8wla789:;i8km;rkd45678l?mn6}fg12345c18k1xej>?012f24d<{`m;<=>?e70a?vo`89:;?0d44f>uno9:;<=k98c9pmb6789:n:4l4she34567m?ki7~gh01234`0ej2ybk=>?01g5gg=tan:;<=>j6e`8wla789:;i;km;rkd45678l?012f34d<{`m;<=>?e60a?vo`89:;?0d54f>uno9:;<=k88c9pmb6789:n;4l4she34567m>ki7~gh01234`1ej2ybk=>?01g4gg=tan:;<=>j7e`8wla789:;i:km;rkd45678l=mn6}fg12345c?8k1xej>?012f<4d<{`m;<=>?e90a?vo`89:;?0d:4f>uno9:;<=k78c9pmb6789:n44l4she34567m1ki7~gh01234`>ej2ybk=>?01g;gg=tan:;<=>j8e`8wla789:;i5km;rkd45678l2mn6}fg12345c>8k1xej>?012f=4d<{`m;<=>?e80a?vo`89:;?0d;4f>uno9:;<=k68c9pmb6789:n54l4she34567m0ki7~gh01234`?ej2ybk=>?01g:gg=tan:;<=>j9e`8wla789:;i4km;rkd45678l3mn6}fg12345cf8k1xej>?012fe4d<{`m;<=>?e`0a?vo`89:;?0dc4f>uno9:;<=kn8c9pmb6789:nm4l4she34567mhki7~gh01234`gej2ybk=>?01gbgg=tan:;<=>jae`8wla789:;ilkm;rkd45678lkmn6}fg12345ce8k1xej>?012ff4d<{`m;<=>?ec0a?vo`89:;?0d`4f>uno9:;<=km8c9pmb6789:nn4l4she34567mkki7~gh01234`dej2ybk=>?01gagg=tan:;<=>jbe`8wla789:;iokm;rkd45678lhmn6}fg12345cd8k1xej>?012fg4d<{`m;<=>?eb0a?vo`89:;?0da4f>uno9:;<=kl8c9pmb6789:no4l4she34567mjki7~gh01234`eej2ybk=>?01g`gg=tan:;<=>jce`8wla789:;inkm;rkd45678limn6}fg12345cc8k1xej>?012f`4d<{`m;<=>?ee0a?vo`89:;?0df4f>uno9:;<=kk8c9pmb6789:nh4l4she34567mmki7~gh01234`bej2ybk=>?01gggg=tan:;<=>jde`8wla789:;iikm;rkd45678lnmn6}fg12345cb8k1xej>?012fa4d<{`m;<=>?ed0a?vo`89:;?0dg4f>uno9:;<=kj8c9pmb6789:ni4l4she34567mlki7~gh01234`cej2ybk=>?01gfgg=tan:;<=>jee`8wla789:;ihkm;rkd45678lomn6}fg12345ca8k1xej>?012fb4d<{`m;<=>?eg0a?vo`89:;?0dd4f>uno9:;<=ki8c9pmb6789:nj4l4she34567moki7~gh01234``ej2ybk=>?01gegg=tan:;<=>jfe`8wla789:;ikkm;rkd45678llmn6}fg12345`78k1xej>?012e44d<{`m;<=>?f10a?vo`89:;?0g24f>uno9:;<=h?8c9pmb6789:m<4l4she34567n9ki7~gh01234c6ej2ybk=>?01d3gg=tan:;<=>i0e`8wla789:;j=km;rkd45678o:mn6}fg12345`68k1xej>?012e54d<{`m;<=>?f00a?vo`89:;?0g34f>uno9:;<=h>8c9pmb6789:m=4l4she34567n8ki7~gh01234c7ej2ybk=>?01d2gg=tan:;<=>i1e`8wla789:;j?012e64d<{`m;<=>?f30a?vo`89:;?0g04f>uno9:;<=h=8c9pmb6789:m>4l4she34567n;ki7~gh01234c4ej2ybk=>?01d1gg=tan:;<=>i2e`8wla789:;j?km;rkd45678o8mn6}fg12345`48k1xej>?012e74d<{`m;<=>?f20a?vo`89:;?0g14f>uno9:;<=h<8c9pmb6789:m?4l4she34567n:ki7~gh01234c5ej2ybk=>?01d0gg=tan:;<=>i3e`8wla789:;j>km;rkd45678o9mn6}fg12345`38k1xej>?012e04d<{`m;<=>?f50a?vo`89:;?0g64f>uno9:;<=h;8c9pmb6789:m84l4she34567n=ki7~gh01234c2ej2ybk=>?01d7gg=tan:;<=>i4e`8wla789:;j9km;rkd45678o>mn6}fg12345`28k1xej>?012e14d<{`m;<=>?f40a?vo`89:;8o5|if23456a=?0g74f>uno9:;<=h:8c9pmb6789:m94l4she34567n?01d6gg=tan:;<=>i5e`8wla789:;j8km;rkd45678o?mn6}fg12345`18k1xej>?012e24d<{`m;<=>?f70a?vo`89:;?0g44f>uno9:;<=h98c9pmb6789:m:4l4she34567n?ki7~gh01234c0ej2ybk=>?01d5gg=tan:;<=>i6e`8wla789:;j;km;rkd45678o?012e34d<{`m;<=>?f60a?vo`89:;?0g54f>uno9:;<=h88c9pmb6789:m;4l4she34567n>ki7~gh01234c1ej2ybk=>?01d4gg=tan:;<=>i7e`8wla789:;j:km;rkd45678o=mn6}fg12345`?8k1xej>?012e<4d<{`m;<=>?f90a?vo`89:;?0g:4f>uno9:;<=h78c9pmb6789:m44l4she34567n1ki7~gh01234c>ej2ybk=>?01d;gg=tan:;<=>i8e`8wla789:;j5km;rkd45678o2mn6}fg12345`>8k1xej>?012e=4d<{`m;<=>?f80a?vo`89:;?0g;4f>uno9:;<=h68c9pmb6789:m54l4she34567n0ki7~gh01234c?ej2ybk=>?01d:gg=tan:;<=>i9e`8wla789:;j4km;rkd45678o3mn6}fg12345`f8k1xej>?012ee4d<{`m;<=>?f`0a?vo`89:;?0gc4f>uno9:;<=hn8c9pmb6789:mm4l4she34567nhki7~gh01234cgej2ybk=>?01dbgg=tan:;<=>iae`8wla789:;jlkm;rkd45678okmn6}fg12345`e8k1xej>?012ef4d<{`m;<=>?fc0a?vo`89:;?0g`4f>uno9:;<=hm8c9pmb6789:mn4l4she34567nkki7~gh01234cdej2ybk=>?01dagg=tan:;<=>ibe`8wla789:;jokm;rkd45678ohmn6}fg12345`d8k1xej>?012eg4d<{`m;<=>?fb0a?vo`89:;?0ga4f>uno9:;<=hl8c9pmb6789:mo4l4she34567njki7~gh01234ceej2ybk=>?01d`gg=tan:;<=>ice`8wla789:;jnkm;rkd45678oimn6}fg12345`c8k1xej>?012e`4d<{`m;<=>?fe0a?vo`89:;?0gf4f>uno9:;<=hk8c9pmb6789:mh4l4she34567nmki7~gh01234cbej2ybk=>?01dggg=tan:;<=>ide`8wla789:;jikm;rkd45678onmn6}fg12345`b8k1xej>?012ea4d<{`m;<=>?fd0a?vo`89:;?0gg4f>uno9:;<=hj8c9pmb6789:mi4l4she34567nlki7~gh01234ccej2ybk=>?01dfgg=tan:;<=>iee`8wla789:;jhkm;rkd45678oomn6}fg12345`a8k1xej>?012eb4d<{`m;<=>?fg0a?vo`89:;?0gd4f>uno9:;<=hi8c9pmb6789:mj4l4she34567noki7~gh01234c`ej2ybk=>?01degg=tan:;<=>ife`8wla789:;jkkm;rkd45678olmn6}fg12344678k1xej>?013344d<{`m;<=>>010a?vo`89:;==>?1124f>uno9:;<<>?8c9pmb6789;;<4l4she3456689ki7~gh0123556ej2ybk=>?0023gg=tan:;<=??0e`8wla789::<=km;rkd456799:mn6}fg12344668k1xej>?013354d<{`m;<=>>000a?vo`89:;==??1134f>uno9:;<<>>8c9pmb6789;;=4l4she3456688ki7~gh0123557ej2ybk=>?0022gg=tan:;<=??1e`8wla789::<?013364d<{`m;<=>>030a?vo`89:;==<?1104f>uno9:;<<>=8c9pmb6789;;>4l4she345668;ki7~gh0123554ej2ybk=>?0021gg=tan:;<=??2e`8wla789::?013374d<{`m;<=>>020a?vo`89:;===?1114f>uno9:;<<><8c9pmb6789;;?4l4she345668:ki7~gh0123555ej2ybk=>?0020gg=tan:;<=??3e`8wla789::<>km;rkd4567999mn6}fg12344638k1xej>?013304d<{`m;<=>>050a?vo`89:;==:?1164f>uno9:;<<>;8c9pmb6789;;84l4she345668=ki7~gh0123552ej2ybk=>?0027gg=tan:;<=??4e`8wla789::<9km;rkd456799>mn6}fg12344628k1xej>?013314d<{`m;<=>>040a?vo`89:;==;8o5|if234577=?1174f>uno9:;<<>:8c9pmb6789;;94l4she345668?0026gg=tan:;<=??5e`8wla789::<8km;rkd456799?mn6}fg12344618k1xej>?013324d<{`m;<=>>070a?vo`89:;==8?1144f>uno9:;<<>98c9pmb6789;;:4l4she345668?ki7~gh0123550ej2ybk=>?0025gg=tan:;<=??6e`8wla789::<;km;rkd456799?013334d<{`m;<=>>060a?vo`89:;==9?1154f>uno9:;<<>88c9pmb6789;;;4l4she345668>ki7~gh0123551ej2ybk=>?0024gg=tan:;<=??7e`8wla789::<:km;rkd456799=mn6}fg123446?8k1xej>?0133<4d<{`m;<=>>090a?vo`89:;==6?11:4f>uno9:;<<>78c9pmb6789;;44l4she3456681ki7~gh012355>ej2ybk=>?002;gg=tan:;<=??8e`8wla789::<5km;rkd4567992mn6}fg123446>8k1xej>?0133=4d<{`m;<=>>080a?vo`89:;==7?11;4f>uno9:;<<>68c9pmb6789;;54l4she3456680ki7~gh012355?ej2ybk=>?002:gg=tan:;<=??9e`8wla789::<4km;rkd4567993mn6}fg123446f8k1xej>?0133e4d<{`m;<=>>0`0a?vo`89:;==o?11c4f>uno9:;<<>n8c9pmb6789;;m4l4she345668hki7~gh012355gej2ybk=>?002bgg=tan:;<=??ae`8wla789::?0133f4d<{`m;<=>>0c0a?vo`89:;==l?11`4f>uno9:;<<>m8c9pmb6789;;n4l4she345668kki7~gh012355dej2ybk=>?002agg=tan:;<=??be`8wla789::?0133g4d<{`m;<=>>0b0a?vo`89:;==m?11a4f>uno9:;<<>l8c9pmb6789;;o4l4she345668jki7~gh012355eej2ybk=>?002`gg=tan:;<=??ce`8wla789::?0133`4d<{`m;<=>>0e0a?vo`89:;==j?11f4f>uno9:;<<>k8c9pmb6789;;h4l4she345668mki7~gh012355bej2ybk=>?002ggg=tan:;<=??de`8wla789::?0133a4d<{`m;<=>>0d0a?vo`89:;==k?11g4f>uno9:;<<>j8c9pmb6789;;i4l4she345668lki7~gh012355cej2ybk=>?002fgg=tan:;<=??ee`8wla789::?0133b4d<{`m;<=>>0g0a?vo`89:;==h?11d4f>uno9:;<<>i8c9pmb6789;;j4l4she345668oki7~gh012355`ej2ybk=>?002egg=tan:;<=??fe`8wla789::?013244d<{`m;<=>>110a?vo`89:;=<>?1024f>uno9:;<?0033gg=tan:;<=?>0e`8wla789::==km;rkd456798:mn6}fg12344768k1xej>?013254d<{`m;<=>>100a?vo`89:;=?1034f>uno9:;<8c9pmb6789;:=4l4she3456698ki7~gh0123547ej2ybk=>?0032gg=tan:;<=?>1e`8wla789::=?013264d<{`m;<=>>130a?vo`89:;=<<?1004f>uno9:;<4l4she345669;ki7~gh0123544ej2ybk=>?0031gg=tan:;<=?>2e`8wla789::=?km;rkd4567988mn6}fg12344748k1xej>?013274d<{`m;<=>>120a?vo`89:;=<=?1014f>uno9:;<?0030gg=tan:;<=?>3e`8wla789::=>km;rkd4567989mn6}fg12344738k1xej>?013204d<{`m;<=>>150a?vo`89:;=<:?1064f>uno9:;<?0037gg=tan:;<=?>4e`8wla789::=9km;rkd456798>mn6}fg12344728k1xej>?013214d<{`m;<=>>140a?vo`89:;=<;8o5|if234576=?1074f>uno9:;<?0036gg=tan:;<=?>5e`8wla789::=8km;rkd456798?mn6}fg12344718k1xej>?013224d<{`m;<=>>170a?vo`89:;=<8?1044f>uno9:;<?0035gg=tan:;<=?>6e`8wla789::=;km;rkd456798?013234d<{`m;<=>>160a?vo`89:;=<9?1054f>uno9:;<ki7~gh0123541ej2ybk=>?0034gg=tan:;<=?>7e`8wla789::=:km;rkd456798=mn6}fg123447?8k1xej>?0132<4d<{`m;<=>>190a?vo`89:;=<6?10:4f>uno9:;<ej2ybk=>?003;gg=tan:;<=?>8e`8wla789::=5km;rkd4567982mn6}fg123447>8k1xej>?0132=4d<{`m;<=>>180a?vo`89:;=<7?10;4f>uno9:;<?003:gg=tan:;<=?>9e`8wla789::=4km;rkd4567983mn6}fg123447f8k1xej>?0132e4d<{`m;<=>>1`0a?vo`89:;=?10c4f>uno9:;<?003bgg=tan:;<=?>ae`8wla789::=lkm;rkd456798kmn6}fg123447e8k1xej>?0132f4d<{`m;<=>>1c0a?vo`89:;=?10`4f>uno9:;<?003agg=tan:;<=?>be`8wla789::=okm;rkd456798hmn6}fg123447d8k1xej>?0132g4d<{`m;<=>>1b0a?vo`89:;=?10a4f>uno9:;<?003`gg=tan:;<=?>ce`8wla789::=nkm;rkd456798imn6}fg123447c8k1xej>?0132`4d<{`m;<=>>1e0a?vo`89:;=?10f4f>uno9:;<?003ggg=tan:;<=?>de`8wla789::=ikm;rkd456798nmn6}fg123447b8k1xej>?0132a4d<{`m;<=>>1d0a?vo`89:;=?10g4f>uno9:;<?003fgg=tan:;<=?>ee`8wla789::=hkm;rkd456798omn6}fg123447a8k1xej>?0132b4d<{`m;<=>>1g0a?vo`89:;=?10d4f>uno9:;<?003egg=tan:;<=?>fe`8wla789::=kkm;rkd456798lmn6}fg12344478k1xej>?013144d<{`m;<=>>210a?vo`89:;=?>?1324f>uno9:;<<?0003gg=tan:;<=?=0e`8wla789::>=km;rkd45679;:mn6}fg12344468k1xej>?013154d<{`m;<=>>200a?vo`89:;=???1334f>uno9:;<<<>8c9pmb6789;9=4l4she34566:8ki7~gh0123577ej2ybk=>?0002gg=tan:;<=?=1e`8wla789::>?013164d<{`m;<=>>230a?vo`89:;=?<?1304f>uno9:;<<<=8c9pmb6789;9>4l4she34566:;ki7~gh0123574ej2ybk=>?0001gg=tan:;<=?=2e`8wla789::>?km;rkd45679;8mn6}fg12344448k1xej>?013174d<{`m;<=>>220a?vo`89:;=?=?1314f>uno9:;<<<<8c9pmb6789;9?4l4she34566::ki7~gh0123575ej2ybk=>?0000gg=tan:;<=?=3e`8wla789::>>km;rkd45679;9mn6}fg12344438k1xej>?013104d<{`m;<=>>250a?vo`89:;=?:?1364f>uno9:;<<<;8c9pmb6789;984l4she34566:=ki7~gh0123572ej2ybk=>?0007gg=tan:;<=?=4e`8wla789::>9km;rkd45679;>mn6}fg12344428k1xej>?013114d<{`m;<=>>240a?vo`89:;=?;8o5|if234575=?1374f>uno9:;<<<:8c9pmb6789;994l4she34566:?0006gg=tan:;<=?=5e`8wla789::>8km;rkd45679;?mn6}fg12344418k1xej>?013124d<{`m;<=>>270a?vo`89:;=?8?1344f>uno9:;<<<98c9pmb6789;9:4l4she34566:?ki7~gh0123570ej2ybk=>?0005gg=tan:;<=?=6e`8wla789::>;km;rkd45679;?013134d<{`m;<=>>260a?vo`89:;=?9?1354f>uno9:;<<<88c9pmb6789;9;4l4she34566:>ki7~gh0123571ej2ybk=>?0004gg=tan:;<=?=7e`8wla789::>:km;rkd45679;=mn6}fg123444?8k1xej>?0131<4d<{`m;<=>>290a?vo`89:;=?6?13:4f>uno9:;<<<78c9pmb6789;944l4she34566:1ki7~gh012357>ej2ybk=>?000;gg=tan:;<=?=8e`8wla789::>5km;rkd45679;2mn6}fg123444>8k1xej>?0131=4d<{`m;<=>>280a?vo`89:;=?7?13;4f>uno9:;<<<68c9pmb6789;954l4she34566:0ki7~gh012357?ej2ybk=>?000:gg=tan:;<=?=9e`8wla789::>4km;rkd45679;3mn6}fg123444f8k1xej>?0131e4d<{`m;<=>>2`0a?vo`89:;=?o?13c4f>uno9:;<<?000bgg=tan:;<=?=ae`8wla789::>lkm;rkd45679;kmn6}fg123444e8k1xej>?0131f4d<{`m;<=>>2c0a?vo`89:;=?l?13`4f>uno9:;<<?000agg=tan:;<=?=be`8wla789::>okm;rkd45679;hmn6}fg123444d8k1xej>?0131g4d<{`m;<=>>2b0a?vo`89:;=?m?13a4f>uno9:;<<?000`gg=tan:;<=?=ce`8wla789::>nkm;rkd45679;imn6}fg123444c8k1xej>?0131`4d<{`m;<=>>2e0a?vo`89:;=?j?13f4f>uno9:;<<?000ggg=tan:;<=?=de`8wla789::>ikm;rkd45679;nmn6}fg123444b8k1xej>?0131a4d<{`m;<=>>2d0a?vo`89:;=?k?13g4f>uno9:;<<?000fgg=tan:;<=?=ee`8wla789::>hkm;rkd45679;omn6}fg123444a8k1xej>?0131b4d<{`m;<=>>2g0a?vo`89:;=?h?13d4f>uno9:;<<?000egg=tan:;<=?=fe`8wla789::>kkm;rkd45679;lmn6}fg12344578k1xej>?013044d<{`m;<=>>310a?vo`89:;=>>?1224f>uno9:;<<=?8c9pmb6789;8<4l4she34566;9ki7~gh0123566ej2ybk=>?0013gg=tan:;<=?<0e`8wla789::?=km;rkd45679::mn6}fg12344568k1xej>?013054d<{`m;<=>>300a?vo`89:;=>??1234f>uno9:;<<=>8c9pmb6789;8=4l4she34566;8ki7~gh0123567ej2ybk=>?0012gg=tan:;<=?<1e`8wla789::??013064d<{`m;<=>>330a?vo`89:;=><?1204f>uno9:;<<==8c9pmb6789;8>4l4she34566;;ki7~gh0123564ej2ybk=>?0011gg=tan:;<=?<2e`8wla789::??km;rkd45679:8mn6}fg12344548k1xej>?013074d<{`m;<=>>320a?vo`89:;=>=?1214f>uno9:;<<=<8c9pmb6789;8?4l4she34566;:ki7~gh0123565ej2ybk=>?0010gg=tan:;<=?<3e`8wla789::?>km;rkd45679:9mn6}fg12344538k1xej>?013004d<{`m;<=>>350a?vo`89:;=>:?1264f>uno9:;<<=;8c9pmb6789;884l4she34566;=ki7~gh0123562ej2ybk=>?0017gg=tan:;<=?<4e`8wla789::?9km;rkd45679:>mn6}fg12344528k1xej>?013014d<{`m;<=>>340a?vo`89:;=>;8o5|if234574=?1274f>uno9:;<<=:8c9pmb6789;894l4she34566;?0016gg=tan:;<=?<5e`8wla789::?8km;rkd45679:?mn6}fg12344518k1xej>?013024d<{`m;<=>>370a?vo`89:;=>8?1244f>uno9:;<<=98c9pmb6789;8:4l4she34566;?ki7~gh0123560ej2ybk=>?0015gg=tan:;<=?<6e`8wla789::?;km;rkd45679:?013034d<{`m;<=>>360a?vo`89:;=>9?1254f>uno9:;<<=88c9pmb6789;8;4l4she34566;>ki7~gh0123561ej2ybk=>?0014gg=tan:;<=?<7e`8wla789::?:km;rkd45679:=mn6}fg123445?8k1xej>?0130<4d<{`m;<=>>390a?vo`89:;=>6?12:4f>uno9:;<<=78c9pmb6789;844l4she34566;1ki7~gh012356>ej2ybk=>?001;gg=tan:;<=?<8e`8wla789::?5km;rkd45679:2mn6}fg123445>8k1xej>?0130=4d<{`m;<=>>380a?vo`89:;=>7?12;4f>uno9:;<<=68c9pmb6789;854l4she34566;0ki7~gh012356?ej2ybk=>?001:gg=tan:;<=?<9e`8wla789::?4km;rkd45679:3mn6}fg123445f8k1xej>?0130e4d<{`m;<=>>3`0a?vo`89:;=>o?12c4f>uno9:;<<=n8c9pmb6789;8m4l4she34566;hki7~gh012356gej2ybk=>?001bgg=tan:;<=??0130f4d<{`m;<=>>3c0a?vo`89:;=>l?12`4f>uno9:;<<=m8c9pmb6789;8n4l4she34566;kki7~gh012356dej2ybk=>?001agg=tan:;<=??0130g4d<{`m;<=>>3b0a?vo`89:;=>m?12a4f>uno9:;<<=l8c9pmb6789;8o4l4she34566;jki7~gh012356eej2ybk=>?001`gg=tan:;<=??0130`4d<{`m;<=>>3e0a?vo`89:;=>j?12f4f>uno9:;<<=k8c9pmb6789;8h4l4she34566;mki7~gh012356bej2ybk=>?001ggg=tan:;<=??0130a4d<{`m;<=>>3d0a?vo`89:;=>k?12g4f>uno9:;<<=j8c9pmb6789;8i4l4she34566;lki7~gh012356cej2ybk=>?001fgg=tan:;<=??0130b4d<{`m;<=>>3g0a?vo`89:;=>h?12d4f>uno9:;<<=i8c9pmb6789;8j4l4she34566;oki7~gh012356`ej2ybk=>?001egg=tan:;<=??013744d<{`m;<=>>410a?vo`89:;=9>;8o5|if2345738?1524f>uno9:;<<:?8c9pmb6789;?<4l4she34566<9ki7~gh0123516ej2ybk=>?0063gg=tan:;<=?;0e`8wla789::8=km;rkd45679=:mn6}fg12344268k1xej>?013754d<{`m;<=>>400a?vo`89:;=9?:8o5|if2345739?1534f>uno9:;<<:>8c9pmb6789;?=4l4she34566<8ki7~gh0123517ej2ybk=>?0062gg=tan:;<=?;1e`8wla789::8?013764d<{`m;<=>>430a?vo`89:;=9<98o5|if234573:?1504f>uno9:;<<:=8c9pmb6789;?>4l4she34566<;ki7~gh0123514ej2ybk=>?0061gg=tan:;<=?;2e`8wla789::8?km;rkd45679=8mn6}fg12344248k1xej>?013774d<{`m;<=>>420a?vo`89:;=9=88o5|if234573;?1514f>uno9:;<<:<8c9pmb6789;??4l4she34566<:ki7~gh0123515ej2ybk=>?0060gg=tan:;<=?;3e`8wla789::8>km;rkd45679=9mn6}fg12344238k1xej>?013704d<{`m;<=>>450a?vo`89:;=9:?8o5|if234573<?1564f>uno9:;<<:;8c9pmb6789;?84l4she34566<=ki7~gh0123512ej2ybk=>?0067gg=tan:;<=?;4e`8wla789::89km;rkd45679=>mn6}fg12344228k1xej>?013714d<{`m;<=>>440a?vo`89:;=9;>8o5|if234573=?1574f>uno9:;<<::8c9pmb6789;?94l4she34566<?0066gg=tan:;<=?;5e`8wla789::88km;rkd45679=?mn6}fg12344218k1xej>?013724d<{`m;<=>>470a?vo`89:;=98=8o5|if234573>?1544f>uno9:;<<:98c9pmb6789;?:4l4she34566?0065gg=tan:;<=?;6e`8wla789::8;km;rkd45679=?013734d<{`m;<=>>460a?vo`89:;=99<8o5|if234573??1554f>uno9:;<<:88c9pmb6789;?;4l4she34566<>ki7~gh0123511ej2ybk=>?0064gg=tan:;<=?;7e`8wla789::8:km;rkd45679==mn6}fg123442?8k1xej>?0137<4d<{`m;<=>>490a?vo`89:;=9638o5|if2345730?15:4f>uno9:;<<:78c9pmb6789;?44l4she34566<1ki7~gh012351>ej2ybk=>?006;gg=tan:;<=?;8e`8wla789::85km;rkd45679=2mn6}fg123442>8k1xej>?0137=4d<{`m;<=>>480a?vo`89:;=9728o5|if2345731?15;4f>uno9:;<<:68c9pmb6789;?54l4she34566<0ki7~gh012351?ej2ybk=>?006:gg=tan:;<=?;9e`8wla789::84km;rkd45679=3mn6}fg123442f8k1xej>?0137e4d<{`m;<=>>4`0a?vo`89:;=9oj8o5|if234573i?15c4f>uno9:;<<:n8c9pmb6789;?m4l4she34566?006bgg=tan:;<=?;ae`8wla789::8lkm;rkd45679=kmn6}fg123442e8k1xej>?0137f4d<{`m;<=>>4c0a?vo`89:;=9li8o5|if234573j?15`4f>uno9:;<<:m8c9pmb6789;?n4l4she34566?006agg=tan:;<=?;be`8wla789::8okm;rkd45679=hmn6}fg123442d8k1xej>?0137g4d<{`m;<=>>4b0a?vo`89:;=9mh8o5|if234573k?15a4f>uno9:;<<:l8c9pmb6789;?o4l4she34566?006`gg=tan:;<=?;ce`8wla789::8nkm;rkd45679=imn6}fg123442c8k1xej>?0137`4d<{`m;<=>>4e0a?vo`89:;=9jo8o5|if234573l?15f4f>uno9:;<<:k8c9pmb6789;?h4l4she34566?006ggg=tan:;<=?;de`8wla789::8ikm;rkd45679=nmn6}fg123442b8k1xej>?0137a4d<{`m;<=>>4d0a?vo`89:;=9kn8o5|if234573m?15g4f>uno9:;<<:j8c9pmb6789;?i4l4she34566?006fgg=tan:;<=?;ee`8wla789::8hkm;rkd45679=omn6}fg123442a8k1xej>?0137b4d<{`m;<=>>4g0a?vo`89:;=9hm8o5|if234573n?15d4f>uno9:;<<:i8c9pmb6789;?j4l4she34566?006egg=tan:;<=?;fe`8wla789::8kkm;rkd45679=lmn6}fg12344378k1xej>?013644d<{`m;<=>>510a?vo`89:;=8>?1424f>uno9:;<<;?8c9pmb6789;><4l4she34566=9ki7~gh0123506ej2ybk=>?0073gg=tan:;<=?:0e`8wla789::9=km;rkd45679<:mn6}fg12344368k1xej>?013654d<{`m;<=>>500a?vo`89:;=8??1434f>uno9:;<<;>8c9pmb6789;>=4l4she34566=8ki7~gh0123507ej2ybk=>?0072gg=tan:;<=?:1e`8wla789::9?013664d<{`m;<=>>530a?vo`89:;=8<?1404f>uno9:;<<;=8c9pmb6789;>>4l4she34566=;ki7~gh0123504ej2ybk=>?0071gg=tan:;<=?:2e`8wla789::9?km;rkd45679<8mn6}fg12344348k1xej>?013674d<{`m;<=>>520a?vo`89:;=8=?1414f>uno9:;<<;<8c9pmb6789;>?4l4she34566=:ki7~gh0123505ej2ybk=>?0070gg=tan:;<=?:3e`8wla789::9>km;rkd45679<9mn6}fg12344338k1xej>?013604d<{`m;<=>>550a?vo`89:;=8:?1464f>uno9:;<<;;8c9pmb6789;>84l4she34566==ki7~gh0123502ej2ybk=>?0077gg=tan:;<=?:4e`8wla789::99km;rkd45679<>mn6}fg12344328k1xej>?013614d<{`m;<=>>540a?vo`89:;=8;8o5|if234572=?1474f>uno9:;<<;:8c9pmb6789;>94l4she34566=?0076gg=tan:;<=?:5e`8wla789::98km;rkd45679?013624d<{`m;<=>>570a?vo`89:;=88?1444f>uno9:;<<;98c9pmb6789;>:4l4she34566=?ki7~gh0123500ej2ybk=>?0075gg=tan:;<=?:6e`8wla789::9;km;rkd45679<?013634d<{`m;<=>>560a?vo`89:;=89?1454f>uno9:;<<;88c9pmb6789;>;4l4she34566=>ki7~gh0123501ej2ybk=>?0074gg=tan:;<=?:7e`8wla789::9:km;rkd45679<=mn6}fg123443?8k1xej>?0136<4d<{`m;<=>>590a?vo`89:;=86?14:4f>uno9:;<<;78c9pmb6789;>44l4she34566=1ki7~gh012350>ej2ybk=>?007;gg=tan:;<=?:8e`8wla789::95km;rkd45679<2mn6}fg123443>8k1xej>?0136=4d<{`m;<=>>580a?vo`89:;=87?14;4f>uno9:;<<;68c9pmb6789;>54l4she34566=0ki7~gh012350?ej2ybk=>?007:gg=tan:;<=?:9e`8wla789::94km;rkd45679<3mn6}fg123443f8k1xej>?0136e4d<{`m;<=>>5`0a?vo`89:;=8o?14c4f>uno9:;<<;n8c9pmb6789;>m4l4she34566=hki7~gh012350gej2ybk=>?007bgg=tan:;<=?:ae`8wla789::9lkm;rkd45679?0136f4d<{`m;<=>>5c0a?vo`89:;=8l?14`4f>uno9:;<<;m8c9pmb6789;>n4l4she34566=kki7~gh012350dej2ybk=>?007agg=tan:;<=?:be`8wla789::9okm;rkd45679?0136g4d<{`m;<=>>5b0a?vo`89:;=8m?14a4f>uno9:;<<;l8c9pmb6789;>o4l4she34566=jki7~gh012350eej2ybk=>?007`gg=tan:;<=?:ce`8wla789::9nkm;rkd45679?0136`4d<{`m;<=>>5e0a?vo`89:;=8j?14f4f>uno9:;<<;k8c9pmb6789;>h4l4she34566=mki7~gh012350bej2ybk=>?007ggg=tan:;<=?:de`8wla789::9ikm;rkd45679?0136a4d<{`m;<=>>5d0a?vo`89:;=8k?14g4f>uno9:;<<;j8c9pmb6789;>i4l4she34566=lki7~gh012350cej2ybk=>?007fgg=tan:;<=?:ee`8wla789::9hkm;rkd45679?0136b4d<{`m;<=>>5g0a?vo`89:;=8h?14d4f>uno9:;<<;i8c9pmb6789;>j4l4she34566=oki7~gh012350`ej2ybk=>?007egg=tan:;<=?:fe`8wla789::9kkm;rkd45679?013544d<{`m;<=>>610a?vo`89:;=;>?1724f>uno9:;<<8?8c9pmb6789;=<4l4she34566>9ki7~gh0123536ej2ybk=>?0043gg=tan:;<=?90e`8wla789:::=km;rkd45679?:mn6}fg12344068k1xej>?013554d<{`m;<=>>600a?vo`89:;=;??1734f>uno9:;<<8>8c9pmb6789;==4l4she34566>8ki7~gh0123537ej2ybk=>?0042gg=tan:;<=?91e`8wla789:::?013564d<{`m;<=>>630a?vo`89:;=;<?1704f>uno9:;<<8=8c9pmb6789;=>4l4she34566>;ki7~gh0123534ej2ybk=>?0041gg=tan:;<=?92e`8wla789:::?km;rkd45679?8mn6}fg12344048k1xej>?013574d<{`m;<=>>620a?vo`89:;=;=?1714f>uno9:;<<8<8c9pmb6789;=?4l4she34566>:ki7~gh0123535ej2ybk=>?0040gg=tan:;<=?93e`8wla789:::>km;rkd45679?9mn6}fg12344038k1xej>?013504d<{`m;<=>>650a?vo`89:;=;:?1764f>uno9:;<<8;8c9pmb6789;=84l4she34566>=ki7~gh0123532ej2ybk=>?0047gg=tan:;<=?94e`8wla789:::9km;rkd45679?>mn6}fg12344028k1xej>?013514d<{`m;<=>>640a?vo`89:;=;;8o5|if234571=?1774f>uno9:;<<8:8c9pmb6789;=94l4she34566>?0046gg=tan:;<=?95e`8wla789:::8km;rkd45679??mn6}fg12344018k1xej>?013524d<{`m;<=>>670a?vo`89:;=;8?1744f>uno9:;<<898c9pmb6789;=:4l4she34566>?ki7~gh0123530ej2ybk=>?0045gg=tan:;<=?96e`8wla789:::;km;rkd45679??013534d<{`m;<=>>660a?vo`89:;=;9?1754f>uno9:;<<888c9pmb6789;=;4l4she34566>>ki7~gh0123531ej2ybk=>?0044gg=tan:;<=?97e`8wla789::::km;rkd45679?=mn6}fg123440?8k1xej>?0135<4d<{`m;<=>>690a?vo`89:;=;6?17:4f>uno9:;<<878c9pmb6789;=44l4she34566>1ki7~gh012353>ej2ybk=>?004;gg=tan:;<=?98e`8wla789:::5km;rkd45679?2mn6}fg123440>8k1xej>?0135=4d<{`m;<=>>680a?vo`89:;=;7?17;4f>uno9:;<<868c9pmb6789;=54l4she34566>0ki7~gh012353?ej2ybk=>?004:gg=tan:;<=?99e`8wla789:::4km;rkd45679?3mn6}fg123440f8k1xej>?0135e4d<{`m;<=>>6`0a?vo`89:;=;o?17c4f>uno9:;<<8n8c9pmb6789;=m4l4she34566>hki7~gh012353gej2ybk=>?004bgg=tan:;<=?9ae`8wla789:::lkm;rkd45679?kmn6}fg123440e8k1xej>?0135f4d<{`m;<=>>6c0a?vo`89:;=;l?17`4f>uno9:;<<8m8c9pmb6789;=n4l4she34566>kki7~gh012353dej2ybk=>?004agg=tan:;<=?9be`8wla789:::okm;rkd45679?hmn6}fg123440d8k1xej>?0135g4d<{`m;<=>>6b0a?vo`89:;=;m?17a4f>uno9:;<<8l8c9pmb6789;=o4l4she34566>jki7~gh012353eej2ybk=>?004`gg=tan:;<=?9ce`8wla789:::nkm;rkd45679?imn6}fg123440c8k1xej>?0135`4d<{`m;<=>>6e0a?vo`89:;=;j?17f4f>uno9:;<<8k8c9pmb6789;=h4l4she34566>mki7~gh012353bej2ybk=>?004ggg=tan:;<=?9de`8wla789:::ikm;rkd45679?nmn6}fg123440b8k1xej>?0135a4d<{`m;<=>>6d0a?vo`89:;=;k?17g4f>uno9:;<<8j8c9pmb6789;=i4l4she34566>lki7~gh012353cej2ybk=>?004fgg=tan:;<=?9ee`8wla789:::hkm;rkd45679?omn6}fg123440a8k1xej>?0135b4d<{`m;<=>>6g0a?vo`89:;=;h?17d4f>uno9:;<<8i8c9pmb6789;=j4l4she34566>oki7~gh012353`ej2ybk=>?004egg=tan:;<=?9fe`8wla789:::kkm;rkd45679?lmn6}fg12344178k1xej>?013444d<{`m;<=>>710a?vo`89:;=:>?1624f>uno9:;<<9?8c9pmb6789;<<4l4she34566?9ki7~gh0123526ej2ybk=>?0053gg=tan:;<=?80e`8wla789::;=km;rkd45679>:mn6}fg12344168k1xej>?013454d<{`m;<=>>700a?vo`89:;=:??1634f>uno9:;<<9>8c9pmb6789;<=4l4she34566?8ki7~gh0123527ej2ybk=>?0052gg=tan:;<=?81e`8wla789::;;mn6}fg12344158k1xej>?013464d<{`m;<=>>730a?vo`89:;=:<?1604f>uno9:;<<9=8c9pmb6789;<>4l4she34566?;ki7~gh0123524ej2ybk=>?0051gg=tan:;<=?82e`8wla789::;?km;rkd45679>8mn6}fg12344148k1xej>?013474d<{`m;<=>>720a?vo`89:;=:=?1614f>uno9:;<<9<8c9pmb6789;?0050gg=tan:;<=?83e`8wla789::;>km;rkd45679>9mn6}fg12344138k1xej>?013404d<{`m;<=>>750a?vo`89:;=::?1664f>uno9:;<<9;8c9pmb6789;<84l4she34566?=ki7~gh0123522ej2ybk=>?0057gg=tan:;<=?84e`8wla789::;9km;rkd45679>>mn6}fg12344128k1xej>?013414d<{`m;<=>>740a?vo`89:;=:;8o5|if234570=?1674f>uno9:;<<9:8c9pmb6789;<94l4she34566??0056gg=tan:;<=?85e`8wla789::;8km;rkd45679>?mn6}fg12344118k1xej>?013424d<{`m;<=>>770a?vo`89:;=:8?1644f>uno9:;<<998c9pmb6789;<:4l4she34566??ki7~gh0123520ej2ybk=>?0055gg=tan:;<=?86e`8wla789::;;km;rkd45679>?013434d<{`m;<=>>760a?vo`89:;=:9?1654f>uno9:;<<988c9pmb6789;<;4l4she34566?>ki7~gh0123521ej2ybk=>?0054gg=tan:;<=?87e`8wla789::;:km;rkd45679>=mn6}fg123441?8k1xej>?0134<4d<{`m;<=>>790a?vo`89:;=:6?16:4f>uno9:;<<978c9pmb6789;<44l4she34566?1ki7~gh012352>ej2ybk=>?005;gg=tan:;<=?88e`8wla789::;5km;rkd45679>2mn6}fg123441>8k1xej>?0134=4d<{`m;<=>>780a?vo`89:;=:7?16;4f>uno9:;<<968c9pmb6789;<54l4she34566?0ki7~gh012352?ej2ybk=>?005:gg=tan:;<=?89e`8wla789::;4km;rkd45679>3mn6}fg123441f8k1xej>?0134e4d<{`m;<=>>7`0a?vo`89:;=:o?16c4f>uno9:;<<9n8c9pmb6789;?005bgg=tan:;<=?8ae`8wla789::;lkm;rkd45679>kmn6}fg123441e8k1xej>?0134f4d<{`m;<=>>7c0a?vo`89:;=:l?16`4f>uno9:;<<9m8c9pmb6789;?005agg=tan:;<=?8be`8wla789::;okm;rkd45679>hmn6}fg123441d8k1xej>?0134g4d<{`m;<=>>7b0a?vo`89:;=:m?16a4f>uno9:;<<9l8c9pmb6789;?005`gg=tan:;<=?8ce`8wla789::;nkm;rkd45679>imn6}fg123441c8k1xej>?0134`4d<{`m;<=>>7e0a?vo`89:;=:j?16f4f>uno9:;<<9k8c9pmb6789;?005ggg=tan:;<=?8de`8wla789::;ikm;rkd45679>nmn6}fg123441b8k1xej>?0134a4d<{`m;<=>>7d0a?vo`89:;=:k?16g4f>uno9:;<<9j8c9pmb6789;?005fgg=tan:;<=?8ee`8wla789::;hkm;rkd45679>omn6}fg123441a8k1xej>?0134b4d<{`m;<=>>7g0a?vo`89:;=:h?16d4f>uno9:;<<9i8c9pmb6789;?005egg=tan:;<=?8fe`8wla789::;kkm;rkd45679>lmn6}fg12344>78k1xej>?013;44d<{`m;<=>>810a?vo`89:;=5>?1924f>uno9:;<<6?8c9pmb6789;3<4l4she3456609ki7~gh01235=6ej2ybk=>?00:3gg=tan:;<=?70e`8wla789::4=km;rkd456791:mn6}fg12344>68k1xej>?013;54d<{`m;<=>>800a?vo`89:;=5??1934f>uno9:;<<6>8c9pmb6789;3=4l4she3456608ki7~gh01235=7ej2ybk=>?00:2gg=tan:;<=?71e`8wla789::458k1xej>?013;64d<{`m;<=>>830a?vo`89:;=5<?1904f>uno9:;<<6=8c9pmb6789;3>4l4she345660;ki7~gh01235=4ej2ybk=>?00:1gg=tan:;<=?72e`8wla789::4?km;rkd4567918mn6}fg12344>48k1xej>?013;74d<{`m;<=>>820a?vo`89:;=5=?1914f>uno9:;<<6<8c9pmb6789;3?4l4she345660:ki7~gh01235=5ej2ybk=>?00:0gg=tan:;<=?73e`8wla789::4>km;rkd4567919mn6}fg12344>38k1xej>?013;04d<{`m;<=>>850a?vo`89:;=5:?1964f>uno9:;<<6;8c9pmb6789;384l4she345660=ki7~gh01235=2ej2ybk=>?00:7gg=tan:;<=?74e`8wla789::49km;rkd456791>mn6}fg12344>28k1xej>?013;14d<{`m;<=>>840a?vo`89:;=5;8o5|if23457?=?1974f>uno9:;<<6:8c9pmb6789;394l4she345660?00:6gg=tan:;<=?75e`8wla789::48km;rkd456791?mn6}fg12344>18k1xej>?013;24d<{`m;<=>>870a?vo`89:;=58?1944f>uno9:;<<698c9pmb6789;3:4l4she345660?ki7~gh01235=0ej2ybk=>?00:5gg=tan:;<=?76e`8wla789::4;km;rkd45679108k1xej>?013;34d<{`m;<=>>860a?vo`89:;=59?1954f>uno9:;<<688c9pmb6789;3;4l4she345660>ki7~gh01235=1ej2ybk=>?00:4gg=tan:;<=?77e`8wla789::4:km;rkd456791=mn6}fg12344>?8k1xej>?013;<4d<{`m;<=>>890a?vo`89:;=56?19:4f>uno9:;<<678c9pmb6789;344l4she3456601ki7~gh01235=>ej2ybk=>?00:;gg=tan:;<=?78e`8wla789::45km;rkd4567912mn6}fg12344>>8k1xej>?013;=4d<{`m;<=>>880a?vo`89:;=57?19;4f>uno9:;<<668c9pmb6789;354l4she3456600ki7~gh01235=?ej2ybk=>?00::gg=tan:;<=?79e`8wla789::44km;rkd4567913mn6}fg12344>f8k1xej>?013;e4d<{`m;<=>>8`0a?vo`89:;=5o?19c4f>uno9:;<<6n8c9pmb6789;3m4l4she345660hki7~gh01235=gej2ybk=>?00:bgg=tan:;<=?7ae`8wla789::4lkm;rkd456791kmn6}fg12344>e8k1xej>?013;f4d<{`m;<=>>8c0a?vo`89:;=5l?19`4f>uno9:;<<6m8c9pmb6789;3n4l4she345660kki7~gh01235=dej2ybk=>?00:agg=tan:;<=?7be`8wla789::4okm;rkd456791hmn6}fg12344>d8k1xej>?013;g4d<{`m;<=>>8b0a?vo`89:;=5m?19a4f>uno9:;<<6l8c9pmb6789;3o4l4she345660jki7~gh01235=eej2ybk=>?00:`gg=tan:;<=?7ce`8wla789::4nkm;rkd456791imn6}fg12344>c8k1xej>?013;`4d<{`m;<=>>8e0a?vo`89:;=5j?19f4f>uno9:;<<6k8c9pmb6789;3h4l4she345660mki7~gh01235=bej2ybk=>?00:ggg=tan:;<=?7de`8wla789::4ikm;rkd456791nmn6}fg12344>b8k1xej>?013;a4d<{`m;<=>>8d0a?vo`89:;=5k?19g4f>uno9:;<<6j8c9pmb6789;3i4l4she345660lki7~gh01235=cej2ybk=>?00:fgg=tan:;<=?7ee`8wla789::4hkm;rkd456791omn6}fg12344>a8k1xej>?013;b4d<{`m;<=>>8g0a?vo`89:;=5h?19d4f>uno9:;<<6i8c9pmb6789;3j4l4she345660oki7~gh01235=`ej2ybk=>?00:egg=tan:;<=?7fe`8wla789::4kkm;rkd456791lmn6}fg12344?78k1xej>?013:44d<{`m;<=>>910a?vo`89:;=4>8?1824f>uno9:;<<7?8c9pmb6789;2<4l4she3456619ki7~gh01235<6ej2ybk=>?00;3gg=tan:;<=?60e`8wla789::5=km;rkd456790:mn6}fg12344?68k1xej>?013:54d<{`m;<=>>900a?vo`89:;=4?9?1834f>uno9:;<<7>8c9pmb6789;2=4l4she3456618ki7~gh01235<7ej2ybk=>?00;2gg=tan:;<=?61e`8wla789::5?013:64d<{`m;<=>>930a?vo`89:;=4<:?1804f>uno9:;<<7=8c9pmb6789;2>4l4she345661;ki7~gh01235<4ej2ybk=>?00;1gg=tan:;<=?62e`8wla789::5?km;rkd4567908mn6}fg12344?48k1xej>?013:74d<{`m;<=>>920a?vo`89:;=4=;?1814f>uno9:;<<7<8c9pmb6789;2?4l4she345661:ki7~gh01235<5ej2ybk=>?00;0gg=tan:;<=?63e`8wla789::5>km;rkd4567909mn6}fg12344?38k1xej>?013:04d<{`m;<=>>950a?vo`89:;=4:<?1864f>uno9:;<<7;8c9pmb6789;284l4she345661=ki7~gh01235<2ej2ybk=>?00;7gg=tan:;<=?64e`8wla789::59km;rkd456790>mn6}fg12344?28k1xej>?013:14d<{`m;<=>>940a?vo`89:;=4;8o5|if23457>=?1874f>uno9:;<<7:8c9pmb6789;294l4she345661?00;6gg=tan:;<=?65e`8wla789::58km;rkd456790?mn6}fg12344?18k1xej>?013:24d<{`m;<=>>970a?vo`89:;=48>?1844f>uno9:;<<798c9pmb6789;2:4l4she345661?ki7~gh01235<0ej2ybk=>?00;5gg=tan:;<=?66e`8wla789::5;km;rkd456790?013:34d<{`m;<=>>960a?vo`89:;=49325:14<41<9;wc=lf;38j6b72?1/?nj53b;8yV75l3;i>?4m:0103<362:3>?=5\23795g4e290:?>965080=0573Z;9h7?m2c83>454?0?:6>7:309P673=;8;1?9o8:0103<362:3>??5\13f9747=;=k<6<=<7872>6?2;;1o=o<9:182>4}T9;l1=o<=:c82761>=80858=?;wV0fa<7280:6<<;6zQ26c<6j;81n7?<36;65?5>=::0(>m::305?S5dm38py?;::09v600=82w/?9:5789a5g41290j57=5a8yK7f56p*>i6;9i1<7*o8:398k45713:1(>o7:06;e>h4i>0876a>31:94?"4i10:85o4n2c4>1=5$2c;>42?i2d8m:4:;:m2750=83.8m54>49c8j6g02?10c<=?4;29 6g?28>3m6`i6;991<7*32e:?=?50;&0e=<6<1k0b>o8:`98k45783:1(>o7:06;e>h4i>0i76a>2gd94?"4i10:85o4n2c4>f=5$2c;>42?i2d8m:4k;:m26cb=83.8m54>49c8j6g02l10c<3m6`i6:oh1<7*4;n31b<<72-9j47?;8`9m7d1=9810c<3m6`=h9;l<6=4+3`:951>f3g9j;7?<;:m26c0=83.8m54>49c8j6g028>07b?=f483>!5f03;?4l5a3`5950=5$2c;>42?i2d8m:4>6:9l57`4290/?l6515:b?k5f?3;<76a>2g094?"4i10:85o4n2c4>4><3f;9j<4?:%1bo7:06;e>h4i>0:m65`13gf>5<#;h21=96n;o1b3?7e32e:>hj50;&0e=<6<1k0b>o8:0a8?j75mj0;6)=n8;37=9=2j7c=n7;3e?>i6:l31<7*4;n31a=<72-9j47?;8`9m7d1=:810c<3m6`=h9;o=6=4+3`:951>f3g9j;7<<;:m26`3=83.8m54>49c8j6g02;>07b?<1083>!5f03;?4l5a3`5960=5$2c;>42?i2d8m:4=6:9l566a290/?l6515:b?k5f?38<76a>31g94?"4i10:85o4n2c4>7><3f;8o7:06;e>h4i>09m65`13db>5<#;h21=96n;o1b3?4e32e:>hh50;&0e=<6<1k0b>o8:3a8?j75m=0;6)=n8;37i54o00f7?6=,:k36<:7a:l0e2<5m21d=9lm:18'7d>=9=o27c=n7;28?j73jh0;6)=n8;37a<=i;h=1=65`15`:>5<#;h21=9k6;o1b3?4<3f;?n54?:%1b07b?;b783>!5f03;?i45a3`591>=h9=h>6=4+3`:951c>3g9j;784;n37f6<72-9j47?;e89m7d1=?21d=9l=:18'7d>=9=o27c=n7;:8?j73j80;6)=n8;37a<=i;h=1565`15`3>5<#;h21=9k6;o1b3?g<3f;?mk4?:%1b!5f03;?i45a3`59`>=h9=kh6=4+3`:951c>3g9j;7k4;n37eg<72-9j47?;e89m7d1=n21d=9on:18'7d>=9=o27c=n7;33?>i6n56`=h9=k>6=4+3`:951c>3g9j;7?;;:m20d2=83.8m54>4d;8j6g028?07b?;a283>!5f03;?i45a3`5953=j>7>5$2c;>42b12d8m:4>7:9l51g6290/?l6515g:?k5f?3;376a>4`294?"4i10:8h74n2c4>4?<3f;?5k4?:%1bl3:1(>o7:06f=>h4i>0:n65`15;`>5<#;h21=9k6;o1b3?7d32e:84l50;&0e=<6o8:0f8?j731h0;6)=n8;37a<=i;h=1=h54o06:=?6=,:k36<:j9:l0e2<6n21d=977:18'7d>=9=o27c=n7;03?>i6<0=1<7*n56`=h9=3?6=4+3`:951c>3g9j;7<;;:m20f6=83.8m54>4d;8j6g02;?07b?;bg83>!5f03;?i45a3`5963=ii7>5$2c;>42b12d8m:4=7:9l51dc290/?l6515g:?k5f?38376a>4ca94?"4i10:8h74n2c4>7?<3f;?n94?:%1bo7:06f=>h4i>09n65`15;f>5<#;h21=9k6;o1b3?4d32e:84=50;&0e=<6o8:3f8?j731;0;6)=n8;37a<=i;h=1>h54i0337?6=,:k36o8:098m47793:1(>o7:03`=>h4i>0976g>11294?"4i10:=n74n2c4>6=5$2c;>47d12d8m:4;;:k24cb=83.8m54>1b;8j6g02<10e<>ic;29 6g?28;h56`o68oh1<7*o8:898m46a03:1(>o7:03`=>h4i>0j76g>0g594?"4i10:=n74n2c4>g=5$2c;>47d12d8m:4l;:k24c3=83.8m54>1b;8j6g02m10eo69931<7*8:18'7d>=98i27c=n7;32?>o699<1<7*c89m7d1=9:10e=n99lm6=4+3`:954e>3g9j;7?:;:k24c2=83.8m54>1b;8j6g028<07d??f283>!5f03;:o45a3`5952=5;h32a6<72-9j47?>f`9m7d1=821b==98lj7c=n7;38?l76m80;6)=n8;32bd=i;h=1>65f10g3>5<#;h21=db83>!5f03;:jl5a3`592>=n98ni6=4+3`:954`f3g9j;794;h32`d<72-9j47?>f`9m7d1=021b==98lj7c=n7;;8?l76l10;6)=n8;32bd=i;h=1m65f10f4>5<#;h21=e`83>!5f03;:jl5a3`59a>=n98o26=4+3`:954`f3g9j;7h4;h32a=<72-9j47?>f`9m7d1=9910e=n98o=6=4+3`:954`f3g9j;7?=;:k25`3=83.8m54>1gc8j6g028907d?>e583>!5f03;:jl5a3`5951=5$2c;>47ai2d8m:4>5:9j54b3290/?l6510db?k5f?3;=76g>1e194?"4i10:=ko4n2c4>41<3`;;h54?::k24g?=83.8m54>0bc8j6g02910e<>m8;29 6g?28:hm6`o68k=1<7*la:l0e2<432c:o8:598m46e<3:1(>o7:02`e>h4i>0>76g>0c194?"4i10:3=7>5$2c;>46di2d8m:48;:k24f7=83.8m54>0bc8j6g02110e<>l0;29 6g?28:hm6`o68kl1<7*la:l0e2o8:b98m46ek3:1(>o7:02`e>h4i>0o76g>0c`94?"4i10:`=5$2c;>46di2d8m:4i;:k24g7=83.8m54>0bc8j6g028:07d??b183>!5f03;;ol5a3`5954=5;h33ec<722c:tH2a0?!5d939h86a<1383>>{e;l=1<7?50;2xL6e43-9h=7<>a:m15<<722wi?h650;;;`?06j3o3ivF:r>i69m54e87a?2a2<:19<4<5;15>61=;10857=n:2`961<5=38=6?952981=?4f28i19?4:3;77>03=:k09o7;9:3f912<5m3?36?h558804?3f2:;1??4<3;7`>0b==l0>j78?:73927<6=39?68l53b80`?5b2:l18=4;1;40>14=>=0??78::56923<1?3<36;756`85f?2f2;91=h4>f;3g>4b=9<0:o7<<:3`96f<5l38n6?h531805?552:91>94=5;05>71=:109576c=><0>97=i:74913<383<<689540853g==h0?878m:5`970<2k3>h6>855e87`?5021`=;00=<7;?:2c924<2939i6;<5}%1`5?7e:01/=?o51c04?!75m3;i>55+3c`95>"4jh08h<5+31297525;n307g<72-9j47?<409m7d1=821d=>=n:18'7d>=9:>:7c=n7;38?j74;00;6)=n8;3004=i;h=1>65`121;>5<#;h21=>:>;o1b3?5<3f;8?:4?:%1b!5f03;88<5a3`592>=h9:986=4+3`:956263g9j;794;n3077<72-9j47?<409m7d1=021d=>=>:18'7d>=9:>:7c=n7;;8?j74;90;6)=n8;3004=i;h=1m65`120e>5<#;h21=>:>;o1b3?d<3f;8>h4?:%1b!5f03;88<5a3`59a>=h9:8i6=4+3`:956263g9j;7h4;n306d<72-9j47?<409m7d1=9910c<==8;29 6g?289?=6`=h9:8<6=4+3`:956263g9j;7?=;:m2770=83.8m54>3538j6g028907b?<2483>!5f03;88<5a3`5951=5$2c;>45392d8m:4>5:9l5644290/?l651262?k5f?3;=76a>33094?"4i10:?9?4n2c4>41<3f;8><4?:%1bo7:0175>h4i>0:565`123e>5<#;h21=>:>;o1b3?7f32e:?o8:0`8?j749j0;6)=n8;3004=i;h=1=n54o012f?6=,:k36<=;1:l0e2<6l21d=>?n:18'7d>=9:>:7c=n7;3f?>i6;831<7*7;29 6g?289?=6`=h9:;=6=4+3`:956263g9j;7<=;:m2743=83.8m54>3538j6g02;907b?<1583>!5f03;88<5a3`5961=5$2c;>45392d8m:4=5:9l565a290/?l651262?k5f?38=76a>32g94?"4i10:?9?4n2c4>71<3f;8?i4?:%1bo7:0175>h4i>09565`1217>5<#;h21=>:>;o1b3?4f32e:??750;&0e=<6;=;0b>o8:3`8?j749l0;6)=n8;3004=i;h=1>n54o0127?6=,:k36<=;1:l0e2<5l21d=>?=:18'7d>=9:>:7c=n7;0f?>i6;?h1<7*;0b>o8:398k45103:1(>o7:0145>h4i>0876a>37594?"4i10:?:?4n2c4>1=5$2c;>45092d8m:4:;:m2733=83.8m54>3638j6g02?10c<=93;29 6g?289<=6`i6;?81<7*32e:?;>50;&0e=<6;>;0b>o8:`98k452n3:1(>o7:0145>h4i>0i76a>34g94?"4i10:?:?4n2c4>f=h7>5$2c;>45092d8m:4k;:m270e=83.8m54>3638j6g02l10c<=:b;29 6g?289<=6`i6;4;n301=<72-9j47?<709m7d1=9810c<=:7;29 6g?289<=6`=h9:?=6=4+3`:956163g9j;7?<;:m2703=83.8m54>3638j6g028>07b?<5583>!5f03;8;<5a3`5950=?7>5$2c;>45092d8m:4>6:9l5635290/?l651252?k5f?3;<76a>34394?"4i10:?:?4n2c4>4><3f;89=4?:%1bo7:0145>h4i>0:m65`126g>5<#;h21=>9>;o1b3?7e32e:?9m50;&0e=<6;>;0b>o8:0a8?j74:6:18'7d>=9:=:7c=n7;3e?>i6;=21<7*4;n3002<72-9j47?<709m7d1=:810c<=;6;29 6g?289<=6`=h9:>>6=4+3`:956163g9j;7<<;:m2712=83.8m54>3638j6g02;>07b?<7183>!5f03;8;<5a3`5960=5$2c;>45092d8m:4=6:9l560b290/?l651252?k5f?38<76a>37f94?"4i10:?:?4n2c4>7><3f;8:n4?:%1bo7:0145>h4i>09m65`127:>5<#;h21=>9>;o1b3?4e32e:?9k50;&0e=<6;>;0b>o8:3a8?j74<:0;6)=n8;3034=i;h=1>i54o0176?6=,:k36<=81:l0e2<5m21d=>7m:18'7d>=9:k:7c=n7;28?j741h0;6)=n8;30e4=i;h=1=65`12;:>5<#;h21=>o>;o1b3?4<3f;8554?:%1b07b?<9783>!5f03;8m<5a3`591>=h9:3>6=4+3`:956g63g9j;784;n30=6<72-9j47?7=:18'7d>=9:k:7c=n7;:8?j74180;6)=n8;30e4=i;h=1565`12;3>5<#;h21=>o>;o1b3?g<3f;84k4?:%1bb290/?l6512c2?k5f?3i07b?<8e83>!5f03;8m<5a3`59`>=h9:2h6=4+3`:956g63g9j;7k4;n306n:18'7d>=9:k:7c=n7;33?>i6;121<7*=h9:2>6=4+3`:956g63g9j;7?;;:m27=2=83.8m54>3`38j6g028?07b?<8283>!5f03;8m<5a3`5953=7>5$2c;>45f92d8m:4>7:9l56>6290/?l6512c2?k5f?3;376a>39294?"4i10:?l?4n2c4>4?<3f;8;k4?:%1bo7:01b5>h4i>0:n65`125`>5<#;h21=>o>;o1b3?7d32e:?:l50;&0e=<6;h;0b>o8:0f8?j74?h0;6)=n8;30e4=i;h=1=h54o014=?6=,:k36<=n1:l0e2<6n21d=>97:18'7d>=9:k:7c=n7;03?>i6;>=1<7*=h9:=?6=4+3`:956g63g9j;7<;;:m27d6=83.8m54>3`38j6g02;?07b?<9g83>!5f03;8m<5a3`5963=5$2c;>45f92d8m:4=7:9l56?c290/?l6512c2?k5f?38376a>38a94?"4i10:?l?4n2c4>7?<3f;8594?:%1bo7:01b5>h4i>09n65`125f>5<#;h21=>o>;o1b3?4d32e:?:=50;&0e=<6;h;0b>o8:3f8?j74?;0;6)=n8;30e4=i;h=1>h54o01`f?6=,:k36<=k1:l0e2<732e:?no50;&0e=<6;m;0b>o8:098k45d13:1(>o7:01g5>h4i>0976a>3b:94?"4i10:?i?4n2c4>6=5$2c;>45c92d8m:4;;:m27f0=83.8m54>3e38j6g02<10c<=l5;29 6g?289o=6`i6;j91<7*o8:898k45d83:1(>o7:01g5>h4i>0j76a>3cd94?"4i10:?i?4n2c4>g=5$2c;>45c92d8m:4l;:m27gb=83.8m54>3e38j6g02m10c<=mc;29 6g?289o=6`i6;kh1<7*l7:18'7d>=9:n:7c=n7;32?>i6;k=1<7*=h9:h?6=4+3`:956b63g9j;7?:;:m27g5=83.8m54>3e38j6g028<07b?!5f03;8h<5a3`5952=5$2c;>45c92d8m:4>8:9l56d7290/?l6512f2?k5f?3;276a>3`d94?"4i10:?i?4n2c4>4g<3f;8mi4?:%1bo7:01g5>h4i>0:o65`12ca>5<#;h21=>j>;o1b3?7c32e:?lo50;&0e=<6;m;0b>o8:0g8?j74i00;6)=n8;30`4=i;h=1=k54o01bo8:18'7d>=9:n:7c=n7;02?>i6;h<1<7*=h9:n;6=4+3`:956b63g9j;7<:;:m27f`=83.8m54>3e38j6g02;<07b?!5f03;8h<5a3`5962=5$2c;>45c92d8m:4=8:9l56ed290/?l6512f2?k5f?38276a>3b694?"4i10:?i?4n2c4>7g<3f;8n44?:%1bo7:01g5>h4i>09o65`12c0>5<#;h21=>j>;o1b3?4c32e:?l<50;&0e=<6;m;0b>o8:3g8?j74nk0;6)=n8;3744=i;h=1<65`12db>5<#;h21=9>>;o1b3?7<3f;8j44?:%1b!5f03;?<<5a3`590>=h9:l=6=4+3`:951663g9j;7;4;n30b0<72-9j47?;009m7d1=>21d=>h<:18'7d>=9=::7c=n7;58?j74n;0;6)=n8;3744=i;h=1465`12d2>5<#;h21=9>>;o1b3??<3f;8j=4?:%1b!5f03;?<<5a3`59g>=h9:oo6=4+3`:951663g9j;7j4;n30af<72-9j47?;009m7d1=m21d=>km:18'7d>=9=::7c=n7;d8?j74mh0;6)=n8;3744=i;h=1==54o01fk8:18'7d>=9=::7c=n7;31?>i6;l<1<7*;=6`=h9:o86=4+3`:951663g9j;7?9;:m27`4=83.8m54>4138j6g028=07b?!5f03;?<<5a3`595==5$2c;>42792d8m:4>9:9l56ba290/?l651522?k5f?3;j76a>3ef94?"4i10:8=?4n2c4>4d<3f;8hn4?:%1bo7:0635>h4i>0:h65`12fb>5<#;h21=9>>;o1b3?7b32e:?i750;&0e=<6<9;0b>o8:0d8?j74l10;6)=n8;3744=i;h=1>=54o01g3?6=,:k36<:?1:l0e2<5921d=>j9:18'7d>=9=::7c=n7;01?>i6;m?1<7*;=6`=h9:lm6=4+3`:951663g9j;7<9;:m27cc=83.8m54>4138j6g02;=07b?!5f03;?<<5a3`596==5$2c;>42792d8m:4=9:9l56`3290/?l651522?k5f?38j76a>3d;94?"4i10:8=?4n2c4>7d<3f;8hh4?:%1bo7:0635>h4i>09h65`12f1>5<#;h21=9>>;o1b3?4b32e:8?l50;&0e=<6<:;0b>o8:198k425i3:1(>o7:0605>h4i>0:76a>43;94?"4i10:8>?4n2c4>7=947>5$2c;>42492d8m:4<;:m2071=83.8m54>4238j6g02=10c<:=6;29 6g?28>8=6`i6<;?1<7*o8:998k42593:1(>o7:0605>h4i>0276a>43294?"4i10:8>?4n2c4>d=:j7>5$2c;>42492d8m:4m;:m204c=83.8m54>4238j6g02j10c<:>d;29 6g?28>8=6`i6<8i1<7*o8:028?j73910;6)=n8;3774=i;h=1=<54o0623?6=,:k36<:<1:l0e2<6:21d=9?9:18'7d>=9=9:7c=n7;30?>i6<8?1<7*3;29 6g?28>8=6`=h9=;96=4+3`:951563g9j;7?8;:m2047=83.8m54>4238j6g028207b?;1183>!5f03;??<5a3`595<=;j7>5$2c;>42492d8m:4>a:9l516c290/?l651512?k5f?3;i76a>41a94?"4i10:8>?4n2c4>4e<3f;?o7:0605>h4i>0:i65`152:>5<#;h21=9=>;o1b3?7a32e:8=650;&0e=<6<:;0b>o8:328?j738>0;6)=n8;3774=i;h=1><54o0632?6=,:k36<:<1:l0e2<5:21d=9>::18'7d>=9=9:7c=n7;00?>i6<9>1<7*8=6`=h9=8n6=4+3`:951563g9j;7<8;:m207b=83.8m54>4238j6g02;207b?;2b83>!5f03;??<5a3`596<=987>5$2c;>42492d8m:4=a:9l517>290/?l651512?k5f?38i76a>41g94?"4i10:8>?4n2c4>7e<3f;?<>4?:%1bo7:0605>h4i>09i65`157a>5<#;h21=98>;o1b3?6<3f;?9l4?:%1b81e?l951:9l513>290/?l651542?k5f?3807b?;5983>!5f03;?:<5a3`597>=h9=?<6=4+3`:951063g9j;7:4;n3713<72-9j47?;609m7d1==21d=9;::18'7d>=9=<:7c=n7;48?j73=:0;6)=n8;3724=i;h=1;65`1571>5<#;h21=98>;o1b3?><3f;?9<4?:%1b81e?l959:9l5137290/?l651542?k5f?3k07b?;4g83>!5f03;?:<5a3`59f>=h9=>n6=4+3`:951063g9j;7m4;n370a<72-9j47?;609m7d1=l21d=9:l:18'7d>=9=<:7c=n7;g8?j735<#;h21=98>;o1b3?7732e:89650;&0e=<6o8:038?j73<>0;6)=n8;3724=i;h=1=?54o0672?6=,:k36<:91:l0e2<6;21d=9:::18'7d>=9=<:7c=n7;37?>i6<=>1<7*==6`=h9=>:6=4+3`:951063g9j;7?7;:m2016=83.8m54>4738j6g028307b?;3g83>!5f03;?:<5a3`595d=8h7>5$2c;>42192d8m:4>b:9l515d290/?l651542?k5f?3;h76a>42`94?"4i10:8;?4n2c4>4b<3f;??l4?:%1b81e?l951d98k42413:1(>o7:0655>h4i>0:j65`151;>5<#;h21=98>;o1b3?4732e:8>950;&0e=<6o8:338?j73;?0;6)=n8;3724=i;h=1>?54o0601?6=,:k36<:91:l0e2<5;21d=9=;:18'7d>=9=<:7c=n7;07?>i6==6`=h9=?o6=4+3`:951063g9j;7<7;:m200e=83.8m54>4738j6g02;307b?;5583>!5f03;?:<5a3`596d=?57>5$2c;>42192d8m:4=b:9l515b290/?l651542?k5f?38h76a>42194?"4i10:8;?4n2c4>7b<3f;???4?:%1b81e?l952d98m46fi3:17b?98383>!5f03;=4l5a3`594>=h9?2:6=4+3`:953>f3g9j;7?4;n35<5<72-9j47?98`9m7d1=:21d=;9i:18'7d>=9?2j7c=n7;18?j71?m0;6)=n8;355<#;h21=;6n;o1b3?3<3f;=;o4?:%1b!5f03;=4l5a3`59<>=h9?=36=4+3`:953>f3g9j;774;n3532<72-9j47?98`9m7d1=i21d=;99:18'7d>=9?2j7c=n7;`8?j71?<0;6)=n8;355<#;h21=;6n;o1b3?b<3f;=444?:%1b?290/?l6517:b?k5f?3l07b?98683>!5f03;=4l5a3`5955=5$2c;>40?i2d8m:4>1:9l53>2290/?l6517:b?k5f?3;976a>69694?"4i10::5o4n2c4>45<3f;=4>4?:%1bo7:04;e>h4i>0:965`1750>5<#;h21=;6n;o1b3?7132e:::<50;&0e=<6>1k0b>o8:058?j711k0;6)=n8;35e6=i;h=1<65`17;b>5<#;h21=;o<;o1b3?7<3f;=544?:%1b!5f03;=m>5a3`590>=h9?3>6=4+3`:953g43g9j;7;4;n35=1<72-9j47?9a29m7d1=>21d=;7<:18'7d>=9?k87c=n7;58?j711;0;6)=n8;35e6=i;h=1465`17;2>5<#;h21=;o<;o1b3??<3f;=5=4?:%1ba290/?l6517c0?k5f?3h07b?98d83>!5f03;=m>5a3`59g>=h9?2o6=4+3`:953g43g9j;7j4;n35e7<72-9j47?9a29m7d1=m21d=;o>:18'7d>=9?k87c=n7;d8?j71i90;6)=n8;35e6=i;h=1==54o04:b?6=,:k36<8n3:l0e2<6921d=;7j:18'7d>=9?k87c=n7;31?>i6>0n1<7*=h9?2h6=4+3`:953g43g9j;7?9;:m22=d=83.8m54>6`18j6g028=07b?9b583>!5f03;=nn5a3`594>=h9?h86=4+3`:953dd3g9j;7?4;n35f7<72-9j47?9bb9m7d1=:21d=;l>:18'7d>=9?hh7c=n7;18?j71io0;6)=n8;35ff=i;h=1865`17cf>5<#;h21=;ll;o1b3?3<3f;=mi4?:%1b!5f03;=nn5a3`59<>=h9?kj6=4+3`:953dd3g9j;774;n35e<<72-9j47?9bb9m7d1=i21d=;o7:18'7d>=9?hh7c=n7;`8?j71i>0;6)=n8;35ff=i;h=1o65`17c5>5<#;h21=;ll;o1b3?b<3f;=no4?:%1b!5f03;=nn5a3`5955=5$2c;>40ek2d8m:4>1:9l53d0290/?l6517``?k5f?3;976a>6c494?"4i10::om4n2c4>45<3f;=n84?:%1bo7:04ag>h4i>0:965`17c6>5<#;h21=;ll;o1b3?7132e::l:50;&0e=<6>ki0b>o8:058?j71km0;6)=n8;35`0=i;h=1<65`17a`>5<#;h21=;j:;o1b3?7<3f;=oo4?:%1b!5f03;=h85a3`590>=h9?i<6=4+3`:953b23g9j;7;4;n35g3<72-9j47?9d49m7d1=>21d=;m::18'7d>=9?n>7c=n7;58?j71k=0;6)=n8;35`0=i;h=1465`17a0>5<#;h21=;j:;o1b3??<3f;=o?4?:%1b!5f03;=h85a3`59g>=h9?hm6=4+3`:953b23g9j;7j4;n35`1<72-9j47?9d49m7d1=m21d=;j<:18'7d>=9?n>7c=n7;d8?j71l;0;6)=n8;35`0=i;h=1==54o04g5?6=,:k36<8k5:l0e2<6921d=;j?:18'7d>=9?n>7c=n7;31?>i6>jl1<7*=h9?hn6=4+3`:953b23g9j;7?9;:m22gb=83.8m54>6e78j6g028=07b?9e783>!5f03;=ih5a3`594>=h9?o>6=4+3`:953cb3g9j;7?4;n35a1<72-9j47?9ed9m7d1=:21d=;k<:18'7d>=9?on7c=n7;18?j71m80;6)=n8;35a`=i;h=1865`17g3>5<#;h21=;kj;o1b3?3<3f;=hk4?:%1b!5f03;=ih5a3`59<>=h9?nh6=4+3`:953cb3g9j;774;n35`g<72-9j47?9ed9m7d1=i21d=;jn:18'7d>=9?on7c=n7;`8?j71l00;6)=n8;35a`=i;h=1o65`17f;>5<#;h21=;kj;o1b3?b<3f;=ii4?:%1b!5f03;=ih5a3`5955=5$2c;>40bm2d8m:4>1:9l53c>290/?l6517gf?k5f?3;976a>6d:94?"4i10::hk4n2c4>45<3f;=i:4?:%1bo7:04fa>h4i>0:965`17f4>5<#;h21=;kj;o1b3?7132e::i850;&0e=<6>lo0b>o8:058?j71no0;6)=n8;3442=i;h=1<65`17df>5<#;h21=:>8;o1b3?7<3f;=ji4?:%1b1e?l952:9l53`d290/?l651624?k5f?3907b?9f`83>!5f03;<<:5a3`590>=h9?l26=4+3`:952603g9j;7;4;n35b=<72-9j47?8069m7d1=>21d=;h8:18'7d>=9>:<7c=n7;58?j71n?0;6)=n8;3442=i;h=1465`17d6>5<#;h21=:>8;o1b3??<3f;=j94?:%1b1e?l95a:9l53`4290/?l651624?k5f?3h07b?9f383>!5f03;<<:5a3`59g>=h9?l:6=4+3`:952603g9j;7j4;n3443<72-9j47?8069m7d1=m21d=:>::18'7d>=9>:<7c=n7;d8?j708=0;6)=n8;3442=i;h=1==54o0537?6=,:k36<9?7:l0e2<6921d=:>=:18'7d>=9>:<7c=n7;31?>i6?9;1<7*=h9?l;6=4+3`:952603g9j;7?9;:m22``=83.8m54>7158j6g028=07b?81983>!5f03;<>=5a3`594>=h9>;<6=4+3`:952473g9j;7?4;n3453<72-9j47?8219m7d1=:21d=:?::18'7d>=9>8;7c=n7;18?j709:0;6)=n8;3465=i;h=1865`1631>5<#;h21=:!5f03;<>=5a3`59<>=h9>:n6=4+3`:952473g9j;774;n344a<72-9j47?8219m7d1=i21d=:>l:18'7d>=9>8;7c=n7;`8?j708k0;6)=n8;3465=i;h=1o65`162b>5<#;h21=:!5f03;<>=5a3`5955=5$2c;>41582d8m:4>1:9l527e290/?l651603?k5f?3;976a>70c94?"4i10:;?>4n2c4>45<3f;<=44?:%1bo7:0514>h4i>0:965`162:>5<#;h21=:o8:058?j7e:l0;66g>10`94?"4i10:=?=4n2c4>5=5$2c;>475;2d8m:4>;:k254?=83.8m54>1318j6g02;10e8;29 6g?28;9?6`o698<1<7*o8:798m476;3:1(>o7:0317>h4i>0<76g>10094?"4i10:=?=4n2c4>==5$2c;>475;2d8m:46;:k2546=83.8m54>1318j6g02h10eo699o1<7*o8:d98m47593:1(>o7:0317>h4i>0m76g>13294?"4i10:=?=4n2c4>46<3`;:=k4?:%1bo7:0317>h4i>0:>65f103g>5<#;h21=<<<;o1b3?7432c:=o8:068?l769>0;6)=n8;3266=i;h=1=854i033g?6=,:k3621b=<>m:18'7d>=98887c=n7;34?>o69:>1<7*<50;&0e=<69:i0b>o8:398m47493:1(>o7:030g>h4i>0876g>13d94?"4i10:=>m4n2c4>1=5$2c;>474k2d8m:4:;:k257b=83.8m54>12a8j6g02?10eo69;h1<7*32c:=?750;&0e=<69:i0b>o8:`98m47503:1(>o7:030g>h4i>0i76g>13594?"4i10:=>m4n2c4>f=5$2c;>474k2d8m:4k;:k256d=83.8m54>12a8j6g02l10eo69:31<7*4;h327=<72-9j47?>3b9m7d1=9810e=n989=6=4+3`:9545d3g9j;7?<;:k2563=83.8m54>12a8j6g028>07d?>3183>!5f03;:?n5a3`5950=5$2c;>474k2d8m:4>6:9j5443290/?l65101`?k5f?3;<76g>15f94?"4i10:=8;4n2c4>5=5$2c;>472=2d8m:4>;:k251d=83.8m54>1478j6g02;10e96`o69=21<7*o8:798m473=3:1(>o7:0361>h4i>0<76g>15694?"4i10:=8;4n2c4>==5$2c;>472=2d8m:46;:k2514=83.8m54>1478j6g02h10e96`o69=:1<7*o8:d98m472;3:1(>o7:0361>h4i>0m76g>14094?"4i10:=8;4n2c4>46<3`;:9<4?:%1bo7:0361>h4i>0:>65f106e>5<#;h21=<;:;o1b3?7432c:=9k50;&0e=<69o8:068?l76<00;6)=n8;3210=i;h=1=854i030a?6=,:k3621b=<=k:18'7d>=98?>7c=n7;34?>o69?<1<7*o8:398m471;3:1(>o7:035a>h4i>0876g>17394?"4i10:=;k4n2c4>1=5$2c;>471m2d8m:4:;:k250`=83.8m54>17g8j6g02?10eo6932c:=8l50;&0e=<69?o0b>o8:`98m472i3:1(>o7:035a>h4i>0i76g>14;94?"4i10:=;k4n2c4>f=47>5$2c;>471m2d8m:4k;:k253b=83.8m54>17g8j6g02l10eo69?h1<7*4;h322d<72-9j47?>6d9m7d1=9810e=n98<36=4+3`:9540b3g9j;7?<;:k2531=83.8m54>17g8j6g028>07d?>6383>!5f03;::h5a3`5950=;7>5$2c;>471m2d8m:4>6:9j5431290/?l65104f?k5f?3;<76g>16d94?"4i10:=594n2c4>5=5$2c;>47??2d8m:4>;:k252b=83.8m54>1958j6g02;10eo69>k1<7*o8:798m470?3:1(>o7:03;3>h4i>0<76g>16494?"4i10:=594n2c4>==5$2c;>47??2d8m:46;:k2522=83.8m54>1958j6g02h10eo69>81<7*o8:d98m47?=3:1(>o7:03;3>h4i>0m76g>19694?"4i10:=594n2c4>46<3`;:4>4?:%1b1e?l951098m47?:3:1(>o7:03;3>h4i>0:>65f10:2>5<#;h21=<68;o1b3?7432c:=5>50;&0e=<691=0b>o8:068?l76?k0;6)=n8;32<2=i;h=1=854i0344?6=,:k3621b=<8i:18'7d>=982<7c=n7;34?>i6j;n1<75f10;;>5<#;h21=9483>!5f03;:m=5a3`597>=n98386=4+3`:954g73g9j;7:4;h32=7<72-9j47?>a19m7d1==21b=<7>:18'7d>=98k;7c=n7;48?l76190;6)=n8;32e5=i;h=1;65f10:e>5<#;h21=<3`;:4h4?:%1bc290/?l6510c3?k5f?3k07d?>8b83>!5f03;:m=5a3`59f>=n982i6=4+3`:954g73g9j;7m4;h32a19m7d1=l21b=<7i:18'7d>=98k;7c=n7;g8?l761l0;6)=n8;32e5=i;h=1j65f10;g>5<#;h21=o8:038?l761k0;6)=n8;32e5=i;h=1=?54i03:e?6=,:k36=98k;7c=n7;37?>o690>1<7*a19m7d1=9?10e=n98h:6=4+3`:954d>3g9j;7>4;h32f5<72-9j47?>b89m7d1=921b==98h27c=n7;08?l76il0;6)=n8;32f<=i;h=1?65f10c`>5<#;h21=a883>!5f03;:n45a3`593>=n98k36=4+3`:954d>3g9j;764;h32e2<72-9j47?>b89m7d1=121b==98h27c=n7;c8?l76i<0;6)=n8;32f<=i;h=1n65f10c7>5<#;h21=4?:%1bb683>!5f03;:n45a3`59b>=n98h=6=4+3`:954d>3g9j;7??;:k25g3=83.8m54>1c;8j6g028;07d?>b583>!5f03;:n45a3`5957=5$2c;>47e12d8m:4>3:9j54d5290/?l6510`:?k5f?3;?76g>1`f94?"4i10:=o74n2c4>43<3`;:m?4?:%1bo7:03a=>h4i>0:;65f11f6>5<#;h21==j9;o1b3?6<3f;8!5f03;?4l5a3`596>=h9::26=4+3`:951>f3g9j;7=4;n304=<72-9j47?;8`9m7d1=<21d=>>8:18'7d>=9=2j7c=n7;78?j748?0;6)=n8;375<#;h21=96n;o1b3?1<3f;8<>4?:%1b!5f03;?4l5a3`59e>=h9::;6=4+3`:951>f3g9j;7l4;n31bc<72-9j47?;8`9m7d1=k21d=?hj:18'7d>=9=2j7c=n7;f8?j75nm0;6)=n8;375<#;h21=96n;o1b3?`<3f;9jo4?:%1bo7:06;e>h4i>0:=65`13d;>5<#;h21=96n;o1b3?7532e:>k950;&0e=<6<1k0b>o8:018?j75n?0;6)=n8;37=9=2j7c=n7;35?>i6:o91<7*3m6`=h9;l;6=4+3`:951>f3g9j;7?n;:m26`c=83.8m54>49c8j6g028h07b?=ee83>!5f03;?4l5a3`595f=5$2c;>42?i2d8m:4>d:9l57ce290/?l6515:b?k5f?3;n76a>2dc94?"4i10:85o4n2c4>4`<3f;9i44?:%1bo7:06;e>h4i>09=65`13g4>5<#;h21=96n;o1b3?4532e:>h850;&0e=<6<1k0b>o8:318?j75m<0;6)=n8;37954o0125?6=,:k36<:7a:l0e2<5=21d=>??:18'7d>=9=2j7c=n7;05?>i6;9l1<7*3m6`=h9::>6=4+3`:951>f3g9j;749c8j6g02;h07b?=eg83>!5f03;?4l5a3`596f=5$2c;>42?i2d8m:4=d:9l57c4290/?l6515:b?k5f?38n76a>4c`94?"4i10:8h74n2c4>5=im7>5$2c;>42b12d8m:4>;:m20g?=83.8m54>4d;8j6g02;10c<:m8;29 6g?28>n56`i6o8:798k42e;3:1(>o7:06f=>h4i>0<76a>4c094?"4i10:8h74n2c4>==i=7>5$2c;>42b12d8m:46;:m20g6=83.8m54>4d;8j6g02h10c<:nf;29 6g?28>n56`i6o8:d98k42fj3:1(>o7:06f=>h4i>0m76a>4`c94?"4i10:8h74n2c4>46<3f;?m54?:%1bo7:06f=>h4i>0:>65`15c5>5<#;h21=9k6;o1b3?7432e:8l;50;&0e=<6o8:068?j73i=0;6)=n8;37a<=i;h=1=854o06b7?6=,:k36<:j9:l0e2<6>21d=9o=:18'7d>=9=o27c=n7;34?>i6n56`=h9=3o6=4+3`:951c>3g9j;7?m;:m204d;8j6g028i07b?;9c83>!5f03;?i45a3`595a=2m7>5$2c;>42b12d8m:4>e:9l51?>290/?l6515g:?k5f?3;m76a>48:94?"4i10:8h74n2c4>76<3f;?5:4?:%1b>3:1(>o7:06f=>h4i>09>65`15;6>5<#;h21=9k6;o1b3?4432e:84:50;&0e=<6o8:368?j73k90;6)=n8;37a<=i;h=1>854o06ab?6=,:k36<:j9:l0e2<5>21d=9lj:18'7d>=9=o27c=n7;04?>i6n56`=h9=k26=4+3`:951c>3g9j;74d;8j6g02;i07b?;9283>!5f03;?i45a3`596a=2>7>5$2c;>42b12d8m:4=e:9l507f290/?l651403?k5f?3:07b?:1883>!5f03;>>=5a3`595>=h9<;36=4+3`:950473g9j;7<4;n3652<72-9j47?:219m7d1=;21d=8?9:18'7d>=9<8;7c=n7;68?j729<0;6)=n8;3665=i;h=1965`1437>5<#;h21=8=?4?:%1b!5f03;>>=5a3`59=>=h9<:m6=4+3`:950473g9j;7o4;n364`<72-9j47?:219m7d1=j21d=8>k:18'7d>=9<8;7c=n7;a8?j728j0;6)=n8;3665=i;h=1h65`142a>5<#;h21=8290/?l651403?k5f?3;;76a>51594?"4i10:9?>4n2c4>47<3f;><;4?:%1bo7:0714>h4i>0:?65`1427>5<#;h21=8o8:078?j728;0;6)=n8;3665=i;h=1=;54o0735?6=,:k36<;=0:l0e2<6?21d=8>?:18'7d>=9<8;7c=n7;3;?>i6=h9=li6=4+3`:950473g9j;7?l;:m20cg=83.8m54>5328j6g028n07b?;f883>!5f03;>>=5a3`595`=m47>5$2c;>43582d8m:4>f:9l51`0290/?l651403?k5f?38;76a>4g494?"4i10:9?>4n2c4>77<3f;?j84?:%1bo7:0714>h4i>09?65`15d0>5<#;h21=8o8:378?j729l0;6)=n8;3665=i;h=1>;54o072`?6=,:k36<;=0:l0e2<5?21d=8?l:18'7d>=9<8;7c=n7;0;?>i6=8h1<7*=h9=lo6=4+3`:950473g9j;75328j6g02;n07b?;f083>!5f03;>>=5a3`596`=5;n360d<72-9j47?:519m7d1=821d=8:6:18'7d>=965`1464>5<#;h21=8;?;o1b3?5<3f;>8;4?:%1b!5f03;>9=5a3`592>=h9<>96=4+3`:950373g9j;794;n3604<72-9j47?:519m7d1=021d=8:?:18'7d>=95<#;h21=8;?;o1b3?d<3f;>?i4?:%1b!5f03;>9=5a3`59a>=h9<9j6=4+3`:950373g9j;7h4;n367<<72-9j47?:519m7d1=9910c<;<7;29 6g?28?><6`=h9<9=6=4+3`:950373g9j;7?=;:m2163=83.8m54>5428j6g028907b?:3583>!5f03;>9=5a3`5951=5$2c;>43282d8m:4>5:9l5055290/?l651473?k5f?3;=76a>52394?"4i10:98>4n2c4>41<3f;>?=4?:%1bo7:0764>h4i>0:565`140f>5<#;h21=8;?;o1b3?7f32e:9?m50;&0e=<6=<:0b>o8:0`8?j72:k0;6)=n8;3615=i;h=1=n54o071e?6=,:k36<;:0:l0e2<6l21d=8<6:18'7d>=9i6=;21<7*<6`=h9<8>6=4+3`:950373g9j;7<=;:m2172=83.8m54>5428j6g02;907b?:2283>!5f03;>9=5a3`5961=5$2c;>43282d8m:4=5:9l502b290/?l651473?k5f?38=76a>55f94?"4i10:98>4n2c4>71<3f;>8n4?:%1bo7:0764>h4i>09565`1460>5<#;h21=8;?;o1b3?4f32e:9>650;&0e=<6=<:0b>o8:3`8?j72:m0;6)=n8;3615=i;h=1>n54o0716?6=,:k36<;:0:l0e2<5l21d=8<>:18'7d>=9o69991<7*o8:398m47783:1(>o7:03`=>h4i>0876g>0gg94?"4i10:=n74n2c4>1=5$2c;>47d12d8m:4:;:k24ce=83.8m54>1b;8j6g02?10e<>ib;29 6g?28;h56`o68ok1<7*32c:o8:`98m46a?3:1(>o7:03`=>h4i>0i76g>0g494?"4i10:=n74n2c4>f=5$2c;>47d12d8m:4k;:k255g=83.8m54>1b;8j6g02l10eo69921<7*4;h3242<72-9j47?>c89m7d1=9810e=n98:>6=4+3`:954e>3g9j;7?<;:k2552=83.8m54>1b;8j6g028>07d??fg83>!5f03;:o45a3`5950=5$2c;>47d12d8m:4>6:9j55`4290/?l6510a:?k5f?3;<76g>1d194?"4i10:=ko4n2c4>5=7>5$2c;>47ai2d8m:4>;:k25`7=83.8m54>1gc8j6g02;10eo69mo1<7*o8:798m47cj3:1(>o7:03ee>h4i>0<76g>1ec94?"4i10:=ko4n2c4>==5$2c;>47ai2d8m:46;:k25a>=83.8m54>1gc8j6g02h10eo69m<1<7*o8:d98m47b13:1(>o7:03ee>h4i>0m76g>1d:94?"4i10:=ko4n2c4>46<3`;:i:4?:%1b3:1(>o7:03ee>h4i>0:>65f10g6>5<#;h21=o8:068?l76lo0;6)=n8;32bd=i;h=1=854i03g0?6=,:k3621b==98lj7c=n7;34?>i6=>k1<7*o8:398k430?3:1(>o7:07;4>h4i>0876a>56494?"4i10:95>4n2c4>1=5$2c;>43?82d8m:4:;:m2122=83.8m54>5928j6g02?10c<;82;29 6g?28?3<6`i6=>;1<7*32e:9;h50;&0e=<6=1:0b>o8:`98k431m3:1(>o7:07;4>h4i>0i76a>57f94?"4i10:95>4n2c4>f=5$2c;>43?82d8m:4k;:m213d=83.8m54>5928j6g02l10c<;9a;29 6g?28?3<6`i6=?31<7*4;n3622<72-9j47?:819m7d1=9810c<;96;29 6g?28?3<6`=h9<<>6=4+3`:950>73g9j;7?<;:m2132=83.8m54>5928j6g028>07b?:6283>!5f03;>4=5a3`5950=7>5$2c;>43?82d8m:4>6:9l5006290/?l6514:3?k5f?3;<76a>57294?"4i10:95>4n2c4>4><3f;>9k4?:%1bo7:07;4>h4i>0:m65`147`>5<#;h21=86?;o1b3?7e32e:98l50;&0e=<6=1:0b>o8:0a8?j72=h0;6)=n8;36<5=i;h=1=i54o076=?6=,:k36<;70:l0e2<6m21d=8;7:18'7d>=9<2;7c=n7;3e?>i6=<=1<7*4;n3613<72-9j47?:819m7d1=:810c<;:5;29 6g?28?3<6`=h973g9j;7<<;:m2105=83.8m54>5928j6g02;>07b?:7g83>!5f03;>4=5a3`5960=5$2c;>43?82d8m:4=6:9l501c290/?l6514:3?k5f?38<76a>56a94?"4i10:95>4n2c4>7><3f;>;o4?:%1bo7:07;4>h4i>09m65`144;>5<#;h21=86?;o1b3?4e32e:98j50;&0e=<6=1:0b>o8:3a8?j72=;0;6)=n8;36<5=i;h=1>i54o0765?6=,:k36<;70:l0e2<5m21b=??;:18'7d>=9;;h7c=n7;28?l759:0;6)=n8;315f=i;h=1=65f1331>5<#;h21=??l;o1b3?4<3`;9=<4?:%1b07d?=0d83>!5f03;9=n5a3`591>=n9;:o6=4+3`:9577d3g9j;784;h314f<72-9j47?=1b9m7d1=?21b=?>m:18'7d>=9;;h7c=n7;:8?l758h0;6)=n8;315f=i;h=1565f132:>5<#;h21=??l;o1b3?g<3`;9<54?:%1b!5f03;9=n5a3`59`>=n9;;i6=4+3`:9577d3g9j;7k4;h315d<72-9j47?=1b9m7d1=n21b=??6:18'7d>=9;;h7c=n7;33?>o6:821<7*6;29 6g?288:o6`=n9;;>6=4+3`:9577d3g9j;7?;;:k2646=83.8m54>20a8j6g028?07d?=0483>!5f03;9=n5a3`5953=5$2c;>446k2d8m:4>7:9l50gf290/?l6514`3?k5f?3:07b?:a883>!5f03;>n=5a3`595>=h9=95<#;h21=8l?;o1b3?0<3f;>m?4?:%1b!5f03;>n=5a3`59=>=h9<3m6=4+3`:950d73g9j;7o4;n36=`<72-9j47?:b19m7d1=j21d=87k:18'7d>=95<#;h21=8l?;o1b3?c<3f;>5l4?:%1b290/?l6514`3?k5f?3;;76a>58594?"4i10:9o>4n2c4>47<3f;>5;4?:%1b=3:1(>o7:07a4>h4i>0:?65`14;7>5<#;h21=8l?;o1b3?7332e:94=50;&0e=<6=k:0b>o8:078?j721;0;6)=n8;36f5=i;h=1=;54o07:5?6=,:k36<;m0:l0e2<6?21d=87?:18'7d>=9i6=1l1<7*=h9<2i6=4+3`:950d73g9j;7?l;:m21=g=83.8m54>5c28j6g028n07b?:8883>!5f03;>n=5a3`595`=5$2c;>43e82d8m:4>f:9l50>0290/?l6514`3?k5f?38;76a>59494?"4i10:9o>4n2c4>77<3f;>484?:%1bo7:07a4>h4i>09?65`14:0>5<#;h21=8l?;o1b3?4332e:9lh50;&0e=<6=k:0b>o8:378?j72il0;6)=n8;36f5=i;h=1>;54o07b`?6=,:k36<;m0:l0e2<5?21d=8ol:18'7d>=9i6=hh1<7*=h9<2o6=4+3`:950d73g9j;75c28j6g02;n07b?:8083>!5f03;>n=5a3`596`=5$2c;>444=2d8m:4?;:k267e=83.8m54>2278j6g02810e<<=b;29 6g?288896`o6:;k1<7*54i001?950;&0e=<6::?0b>o8:498m445>3:1(>o7:0001>h4i>0=76g>23794?"4i10:>>;4n2c4>2=5$2c;>444=2d8m:47;:k2675=83.8m54>2278j6g02010e<<=2;29 6g?288896`o6:;;1<7*o8:e98m444<3:1(>o7:0001>h4i>0n76g>22194?"4i10:>>;4n2c4>c=7>5$2c;>444=2d8m:4>0:9j5756290/?l651316?k5f?3;:76g>22294?"4i10:>>;4n2c4>44<3`;9>k4?:%1bo7:0001>h4i>0:865f130:>5<#;h21=?=:;o1b3?7232c:>o8:048?l759m0;6)=n8;3170=i;h=1=:54o07ge?6=,:k36<;j0:l0e2<732e:9i750;&0e=<6=l:0b>o8:098k43c03:1(>o7:07f4>h4i>0976a>5e594?"4i10:9h>4n2c4>6=5$2c;>43b82d8m:4;;:m21a3=83.8m54>5d28j6g02<10c<;k4;29 6g?28?n<6`i6=m81<7*50;&0e=<6=l:0b>o8:898k43dn3:1(>o7:07f4>h4i>0j76a>5bg94?"4i10:9h>4n2c4>g=5$2c;>43b82d8m:4l;:m21fe=83.8m54>5d28j6g02m10c<;lb;29 6g?28?n<6`i6=jk1<7*=9i6=j<1<7*=h95d28j6g028<07b?:c083>!5f03;>i=5a3`5952=5$2c;>43b82d8m:4>8:9l50da290/?l6514g3?k5f?3;276a>5cg94?"4i10:9h>4n2c4>4g<3f;>nn4?:%1bo7:07f4>h4i>0:o65`14`b>5<#;h21=8k?;o1b3?7c32e:9o750;&0e=<6=l:0b>o8:0g8?j72j10;6)=n8;36a5=i;h=1=k54o07a3?6=,:k36<;j0:l0e2<5821d=8l9:18'7d>=9i6=k?1<7*=h95d28j6g02;<07b?:de83>!5f03;>i=5a3`5962=5$2c;>43b82d8m:4=8:9l50be290/?l6514g3?k5f?38276a>5e194?"4i10:9h>4n2c4>7g<3f;>o54?:%1bo7:07f4>h4i>09o65`14`1>5<#;h21=8k?;o1b3?4c32e:9o?50;&0e=<6=l:0b>o8:3g8?l755<#;h21=?:j;o1b3?7<3`;9894?:%1b!5f03;98h5a3`590>=n9;>;6=4+3`:9572b3g9j;7;4;h317c<72-9j47?=4d9m7d1=>21b=?=j:18'7d>=9;>n7c=n7;58?l75;m0;6)=n8;310`=i;h=1465f131`>5<#;h21=?:j;o1b3??<3`;9?o4?:%1b!5f03;98h5a3`59g>=n9;936=4+3`:9572b3g9j;7j4;h310a<72-9j47?=4d9m7d1=m21b=?:l:18'7d>=9;>n7c=n7;d8?l75=9;>n7c=n7;31?>o6:=21<7*=n9;9<6=4+3`:9572b3g9j;7?9;:k2660=83.8m54>25g8j6g028=07b?90`83>!5f03;===5a3`594>=h9?:26=4+3`:953773g9j;7?4;n354=<72-9j47?9119m7d1=:21d=;>8:18'7d>=9?;;7c=n7;18?j718?0;6)=n8;3555=i;h=1865`1726>5<#;h21=;??;o1b3?3<3f;=<94?:%1b!5f03;===5a3`59<>=h9?:;6=4+3`:953773g9j;774;n36bc<72-9j47?9119m7d1=i21d=8hj:18'7d>=9?;;7c=n7;`8?j72nm0;6)=n8;3555=i;h=1o65`14d`>5<#;h21=;??;o1b3?b<3f;>jo4?:%1b!5f03;===5a3`5955=5$2c;>40682d8m:4>1:9l50`1290/?l651733?k5f?3;976a>5g794?"4i10::<>4n2c4>45<3f;>j94?:%1bo7:0424>h4i>0:965`14d1>5<#;h21=;??;o1b3?7132e:9k?50;&0e=<6>8:0b>o8:058?j72n90;6)=n8;3555=i;h=1=554o07fb?6=,:k36<8>0:l0e2<6121d=8kj:18'7d>=9?;;7c=n7;3b?>i6=li1<7*=h9=83.8m54>6028j6g028l07b?:e683>!5f03;===5a3`5965=5$2c;>40682d8m:4=1:9l50c2290/?l651733?k5f?38976a>5d694?"4i10::<>4n2c4>75<3f;>i>4?:%1bo7:0424>h4i>09965`172f>5<#;h21=;??;o1b3?4132e::=j50;&0e=<6>8:0b>o8:358?j718j0;6)=n8;3555=i;h=1>554o043f?6=,:k36<8>0:l0e2<5121d=;><:18'7d>=9?;;7c=n7;0b?>i6=o21<7*=h92758j6g02910e<<:e;29 6g?288=;6`o6:8o50;&0e=<6:?=0b>o8:598m44213:1(>o7:0053>h4i>0>76g>24:94?"4i10:>;94n2c4>3=;7>5$2c;>441?2d8m:48;:k2600=83.8m54>2758j6g02110e<<:5;29 6g?288=;6`o6:<>1<7*8<50;&0e=<6:?=0b>o8:b98m44293:1(>o7:0053>h4i>0o76g>27494?"4i10:>;94n2c4>`=5$2c;>441?2d8m:4i;:k2632=83.8m54>2758j6g028:07d?=6283>!5f03;9::5a3`5954=7>5$2c;>441?2d8m:4>2:9j5706290/?l651344?k5f?3;876g>27294?"4i10:>;94n2c4>42<3`;99o4?:%1b>1e?l951498m44283:1(>o7:0053>h4i>0::65f136e>5<#;h21=?88;o1b3?7032e::>o50;&0e=<6>=:0b>o8:198k40413:1(>o7:0474>h4i>0:76a>62:94?"4i10::9>4n2c4>7=5$2c;>40382d8m:4<;:m2260=83.8m54>6528j6g02=10c<8<5;29 6g?28i6>:>1<7*?50;&0e=<6>=:0b>o8:998k40483:1(>o7:0474>h4i>0276a>63d94?"4i10::9>4n2c4>d=5$2c;>40382d8m:4m;:m227b=83.8m54>6528j6g02j10c<8=c;29 6g?28i6>;h1<7*=:0b>o8:028?j71:>0;6)=n8;3505=i;h=1=<54o0412?6=,:k36<8;0:l0e2<6:21d=;<::18'7d>=9?>;7c=n7;30?>i6>;>1<7*=h9?8:6=4+3`:953273g9j;7?8;:m2276=83.8m54>6528j6g028207b?91g83>!5f03;=8=5a3`595<=5$2c;>40382d8m:4>a:9l537d290/?l651763?k5f?3;i76a>60`94?"4i10::9>4n2c4>4e<3f;==l4?:%1bo7:0474>h4i>0:i65`173;>5<#;h21=;:?;o1b3?7a32e::<950;&0e=<6>=:0b>o8:328?j719?0;6)=n8;3505=i;h=1><54o0421?6=,:k36<8;0:l0e2<5:21d=;?;:18'7d>=9?>;7c=n7;00?>i6>891<7*=h9?9o6=4+3`:953273g9j;7<8;:m226e=83.8m54>6528j6g02;207b?93c83>!5f03;=8=5a3`596<=5$2c;>40382d8m:4=a:9l534?290/?l651763?k5f?38i76a>60f94?"4i10::9>4n2c4>7e<3f;==?4?:%1bo7:0474>h4i>09i65f135;>5<#;h21=?6?;o1b3?6<3`;9;:4?:%1b!5f03;94=5a3`597>=n9;=86=4+3`:957>73g9j;7:4;h3137<72-9j47?=819m7d1==21b=?9>:18'7d>=9;2;7c=n7;48?l75?90;6)=n8;31<5=i;h=1;65f134e>5<#;h21=?6?;o1b3?><3`;9:h4?:%1b!5f03;94=5a3`59f>=n9;73g9j;7m4;h312d<72-9j47?=819m7d1=l21b=?9i:18'7d>=9;2;7c=n7;g8?l75?l0;6)=n8;31<5=i;h=1j65f135g>5<#;h21=?6?;o1b3?7732c:>:m50;&0e=<6:1:0b>o8:038?l75?k0;6)=n8;31<5=i;h=1=?54i004e?6=,:k36<<70:l0e2<6;21b=?96:18'7d>=9;2;7c=n7;37?>o6:>>1<7*=n9;3:6=4+3`:957?>3g9j;7>4;h31=5<72-9j47?=989m7d1=921b=?6i:18'7d>=9;327c=n7;08?l750l0;6)=n8;31=<=i;h=1?65f13:`>5<#;h21=?76;o1b3?2<3`;94o4?:%1bf290/?l6513;:?k5f?3<07d?=8883>!5f03;9545a3`593>=n9;236=4+3`:957?>3g9j;764;h31<2<72-9j47?=989m7d1=121b=?69:18'7d>=9;327c=n7;c8?l750<0;6)=n8;31=<=i;h=1n65f13:7>5<#;h21=?76;o1b3?e<3`;94>4?:%1b!5f03;9545a3`59b>=n9;3=6=4+3`:957?>3g9j;7??;:k26<3=83.8m54>28;8j6g028;07d?=9583>!5f03;9545a3`5957=5$2c;>44>12d8m:4>3:9j57?5290/?l6513;:?k5f?3;?76g>29f94?"4i10:>474n2c4>43<3`;94?4?:%1bo7:00:=>h4i>0:;65f13cb>5<#;h21=?l=;o1b3?6<3`;9m44?:%1b!5f03;9n?5a3`597>=n9;k>6=4+3`:957d53g9j;7:4;h31e1<72-9j47?=b39m7d1==21b=?o<:18'7d>=9;h97c=n7;48?l75i;0;6)=n8;31f7=i;h=1;65f13c2>5<#;h21=?l=;o1b3?><3`;9m=4?:%1b!5f03;9n?5a3`59f>=n9;3o6=4+3`:957d53g9j;7m4;h31=f<72-9j47?=b39m7d1=l21b=?l>:18'7d>=9;h97c=n7;g8?l75j90;6)=n8;31f7=i;h=1j65f13ce>5<#;h21=?l=;o1b3?7732c:>lk50;&0e=<6:k80b>o8:038?l75im0;6)=n8;31f7=i;h=1=?54i00bg?6=,:k36<=9;h97c=n7;37?>o6:h<1<7*6`=h91=96=4+3`:95=1?3g9j;7>4;n3;34<72-9j47?7799m7d1=921d=59?:18'7d>=91=37c=n7;08?j7?>o0;6)=n8;3;3==i;h=1?65`194f>5<#;h21=597;o1b3?2<3f;3:i4?:%1b!5f03;3;55a3`593>=h91<26=4+3`:95=1?3g9j;764;n3;2=<72-9j47?7799m7d1=121d=588:18'7d>=91=37c=n7;c8?j7?>?0;6)=n8;3;3==i;h=1n65`1946>5<#;h21=597;o1b3?e<3f;3:94?:%1b!5f03;3;55a3`59b>=h91<:6=4+3`:95=1?3g9j;7??;:m2<0`=83.8m54>86:8j6g028;07b?75d83>!5f03;3;55a3`5957=h7>5$2c;>4>002d8m:4>3:9l5=3d290/?l65195;?k5f?3;?76a>84`94?"4i10:4:64n2c4>43<3f;39l4?:%1b213:1(>o7:0:4<>h4i>0:;65`197;>5<#;h21=597;o1b3?7?32e:48950;&0e=<60>20b>o8:0;8?j7?=?0;6)=n8;3;3==i;h=1=l54o0:60?6=,:k36<688:l0e2<6j21d=5;<:18'7d>=91=37c=n7;3`?>i60<81<7*=h91>m6=4+3`:95=1?3g9j;786:8j6g02;;07b?74e83>!5f03;3;55a3`5967=5$2c;>4>002d8m:4=3:9l5=2e290/?l65195;?k5f?38?76a>86594?"4i10:4:64n2c4>73<3f;3;;4?:%1b0=3:1(>o7:0:4<>h4i>09;65`1957>5<#;h21=597;o1b3?4?32e:4:=50;&0e=<60>20b>o8:3;8?j7?>k0;6)=n8;3;3==i;h=1>l54o0:54?6=,:k36<688:l0e2<5j21d=5;::18'7d>=91=37c=n7;0`?>i60=k1<7*i60h;1<7*o8:298k4>>m3:1(>o7:0:b<>h4i>0?76a>88f94?"4i10:4l64n2c4>0=5$2c;>4>f02d8m:49;:m2<8`:8j6g02>10c<669;29 6g?282j46`i60021<7*o8:c98k4>>=3:1(>o7:0:b<>h4i>0h76a>88694?"4i10:4l64n2c4>a=5$2c;>4>f02d8m:4j;:m2<<4=83.8m54>8`:8j6g02o10c<661;29 6g?282j46`=h912m6=4+3`:95=g?3g9j;7?>;:m2<=c=83.8m54>8`:8j6g028807b?78e83>!5f03;3m55a3`5956=5$2c;>4>f02d8m:4>4:9l5=>e290/?l6519c;?k5f?3;>76a>89c94?"4i10:4l64n2c4>40<3f;3444?:%1b?03:1(>o7:0:b<>h4i>0:465`19:4>5<#;h21=5o7;o1b3?7>32e:45850;&0e=<60h20b>o8:0c8?j7?0=0;6)=n8;3;e==i;h=1=o54o0:;7?6=,:k36<6n8:l0e2<6k21d=56=:18'7d>=91k37c=n7;3g?>i601;1<7*=h91=n6=4+3`:95=g?3g9j;7<>;:m2<2b=83.8m54>8`:8j6g02;807b?77b83>!5f03;3m55a3`5966=5$2c;>4>f02d8m:4=4:9l5=g0290/?l6519c;?k5f?38>76a>8`494?"4i10:4l64n2c4>70<3f;3m84?:%1bf<3:1(>o7:0:b<>h4i>09465`19c0>5<#;h21=5o7;o1b3?4>32e:44l50;&0e=<60h20b>o8:3c8?j7?190;6)=n8;3;e==i;h=1>o54o0:;1?6=,:k36<6n8:l0e2<5k21d=59n:18'7d>=91k37c=n7;0g?>i60>31<7*:18'7d>=91n37c=n7;38?j7?l90;6)=n8;3;`==i;h=1>65`19ae>5<#;h21=5j7;o1b3?5<3f;3oh4?:%1b!5f03;3h55a3`592>=h91ij6=4+3`:95=b?3g9j;794;n3;g<<72-9j47?7d99m7d1=021d=5m7:18'7d>=91n37c=n7;;8?j7?k>0;6)=n8;3;`==i;h=1m65`19a5>5<#;h21=5j7;o1b3?d<3f;3o84?:%1b!5f03;3h55a3`59a>=h91i96=4+3`:95=b?3g9j;7h4;n3;g4<72-9j47?7d99m7d1=9910c<6mf;29 6g?282o46`=h91hn6=4+3`:95=b?3g9j;7?=;:m28e:8j6g028907b?7bb83>!5f03;3h55a3`5951=5$2c;>4>c02d8m:4>5:9l5=df290/?l6519f;?k5f?3;=76a>8c;94?"4i10:4i64n2c4>41<3f;3n54?:%1be?3:1(>o7:0:g<>h4i>0:565`19`5>5<#;h21=5j7;o1b3?7f32e:4o:50;&0e=<60m20b>o8:0`8?j7?j:0;6)=n8;3;`==i;h=1=n54o0:a6?6=,:k36<6k8:l0e2<6l21d=5l>:18'7d>=91n37c=n7;3f?>i60k:1<7*=h91ko6=4+3`:95=b?3g9j;7<=;:m28e:8j6g02;907b?7ac83>!5f03;3h55a3`5961=5$2c;>4>c02d8m:4=5:9l5=b1290/?l6519f;?k5f?38=76a>8e794?"4i10:4i64n2c4>71<3f;3h94?:%1bc;3:1(>o7:0:g<>h4i>09565`19aa>5<#;h21=5j7;o1b3?4f32e:4n>50;&0e=<60m20b>o8:3`8?j7?j<0;6)=n8;3;`==i;h=1>n54o0:be?6=,:k36<6k8:l0e2<5l21d=5o6:18'7d>=91n37c=n7;0f?>i61981<7*50;&0e=<61920b>o8:398k4>an3:1(>o7:0;3<>h4i>0876a>8gg94?"4i10:5=64n2c4>1=5$2c;>4?702d8m:4:;:m291:8j6g02?10c<6ia;29 6g?283;46`i60o31<7*32e:4k950;&0e=<61920b>o8:`98k4>a>3:1(>o7:0;3<>h4i>0i76a>8g794?"4i10:5=64n2c4>f=5$2c;>4?702d8m:4k;:m291:8j6g02l10c<6i2;29 6g?283;46`i60o;1<7*4;n3;ac<72-9j47?6099m7d1=9810c<6je;29 6g?283;46`=h91oo6=4+3`:95<6?3g9j;7?<;:m2<`e=83.8m54>91:8j6g028>07b?7ec83>!5f03;2<55a3`5950=5$2c;>4?702d8m:4>6:9l5=c>290/?l65182;?k5f?3;<76a>8d:94?"4i10:5=64n2c4>4><3f;3i:4?:%1b811e?l951898k4>b>3:1(>o7:0;3<>h4i>0:m65`19g7>5<#;h21=4>7;o1b3?7e32e:4h=50;&0e=<61920b>o8:0a8?j7?m;0;6)=n8;3:4==i;h=1=i54o0:f5?6=,:k36<7?8:l0e2<6m21d=5k?:18'7d>=90:37c=n7;3e?>i60ml1<7*4;n3;``<72-9j47?6099m7d1=:810c<6kd;29 6g?283;46`=h91nh6=4+3`:95<6?3g9j;7<<;:m291:8j6g02;>07b?60683>!5f03;2<55a3`5960=5$2c;>4?702d8m:4=6:9l5<62290/?l65182;?k5f?38<76a>91694?"4i10:5=64n2c4>7><3f;2<>4?:%1b811e?l952898k4>aj3:1(>o7:0;3<>h4i>09m65`19d3>5<#;h21=4>7;o1b3?4e32e:4h;50;&0e=<61920b>o8:3a8?j7?lh0;6)=n8;3:4==i;h=1>i54o0:g=?6=,:k36<7?8:l0e2<5m21d=4==:18'7d>=90937c=n7;28?j7>;80;6)=n8;3:7==i;h=1=65`1813>5<#;h21=4=7;o1b3?4<3f;2>k4?:%1b;11e?l953:9l5<4b290/?l65181;?k5f?3>07b?62e83>!5f03;2?55a3`591>=h908h6=4+3`:95<5?3g9j;784;n3:6d<72-9j47?6399m7d1=?21d=4<6:18'7d>=90937c=n7;:8?j7>:10;6)=n8;3:7==i;h=1565`1804>5<#;h21=4=7;o1b3?g<3f;2>;4?:%1b;11e?l95b:9l5<42290/?l65181;?k5f?3i07b?62583>!5f03;2?55a3`59`>=h90886=4+3`:95<5?3g9j;7k4;n3:67<72-9j47?6399m7d1=n21d=4<>:18'7d>=90937c=n7;33?>i618l1<7*d;29 6g?283846`=h90;h6=4+3`:95<5?3g9j;7?;;:m2=4d=83.8m54>92:8j6g028?07b?61`83>!5f03;2?55a3`5953=5$2c;>4?402d8m:4>7:9l5<7?290/?l65181;?k5f?3;376a>90594?"4i10:5>64n2c4>4?<3f;2=;4?:%1b;11e?l951`98k4?6<3:1(>o7:0;0<>h4i>0:n65`1830>5<#;h21=4=7;o1b3?7d32e:5<<50;&0e=<61:20b>o8:0f8?j7>980;6)=n8;3:7==i;h=1=h54o0;24?6=,:k36<7<8:l0e2<6n21d=4>i:18'7d>=90937c=n7;03?>i619o1<7*=h90:i6=4+3`:95<5?3g9j;7<;;:m2=61=83.8m54>92:8j6g02;?07b?63783>!5f03;2?55a3`5963=5$2c;>4?402d8m:4=7:9l5<53290/?l65181;?k5f?38376a>92194?"4i10:5>64n2c4>7?<3f;2>o4?:%1b;11e?l952`98k4?583:1(>o7:0;0<>h4i>09n65`1836>5<#;h21=4=7;o1b3?4d32e:5=o50;&0e=<61:20b>o8:3f8?j7>800;6)=n8;3:7==i;h=1>h54i02g>11e?l950:9l5<06290/?l65184;?k5f?3;07b?66183>!5f03;2:55a3`596>=h90?m6=4+3`:95<0?3g9j;7=4;n3:1`<72-9j47?6699m7d1=<21d=4;k:18'7d>=90<37c=n7;78?j7>=j0;6)=n8;3:2==i;h=1:65`187b>5<#;h21=487;o1b3?1<3f;2944?:%1b>11e?l958:9l5<3?290/?l65184;?k5f?3307b?65683>!5f03;2:55a3`59e>=h90?=6=4+3`:95<0?3g9j;7l4;n3:10<72-9j47?6699m7d1=k21d=4;;:18'7d>=90<37c=n7;f8?j7>=:0;6)=n8;3:2==i;h=1i65`1871>5<#;h21=487;o1b3?`<3f;29<4?:%1b>11e?l951198k4?3n3:1(>o7:0;5<>h4i>0:=65`186f>5<#;h21=487;o1b3?7532e:59j50;&0e=<61?20b>o8:018?j7>=90<37c=n7;35?>i61=31<7*=h90>=6=4+3`:95<0?3g9j;7?n;:m2=12=83.8m54>97:8j6g028h07b?64283>!5f03;2:55a3`595f=7>5$2c;>4?102d8m:4>d:9l5<26290/?l65184;?k5f?3;n76a>95294?"4i10:5;64n2c4>4`<3f;2?k4?:%1b>11e?l952198k4?4m3:1(>o7:0;5<>h4i>09=65`181g>5<#;h21=487;o1b3?4532e:5>m50;&0e=<61?20b>o8:318?j7>;k0;6)=n8;3:2==i;h=1>954o0;53?6=,:k36<798:l0e2<5=21d=489:18'7d>=90<37c=n7;05?>i61??1<7*=h90?i6=4+3`:95<0?3g9j;797:8j6g02;h07b?64483>!5f03;2:55a3`596f=5$2c;>4?102d8m:4=d:9l5<5>290/?l65184;?k5f?38n76a>98094?"4i10:5464n2c4>5=5$2c;>4?>02d8m:4>;:m2=<6=83.8m54>98:8j6g02;10c<77f;29 6g?283246`i611o1<7*o8:798k4??i3:1(>o7:0;:<>h4i>0<76a>99;94?"4i10:5464n2c4>==5$2c;>4?>02d8m:46;:m2==1=83.8m54>98:8j6g02h10c<776;29 6g?283246`i611?1<7*o8:d98k4??:3:1(>o7:0;:<>h4i>0m76a>99394?"4i10:5464n2c4>46<3f;2;k4?:%1b111e?l951098k4?0m3:1(>o7:0;:<>h4i>0:>65`185g>5<#;h21=477;o1b3?7432e:5:m50;&0e=<61020b>o8:068?j7>?k0;6)=n8;3:===i;h=1=854o0;4e?6=,:k36<768:l0e2<6>21d=496:18'7d>=90337c=n7;34?>i61>21<7*=h90=?6=4+3`:9598:8j6g028i07b?67383>!5f03;2555a3`595a=5$2c;>4?>02d8m:4>e:9l5<17290/?l6518;;?k5f?3;m76a>97d94?"4i10:5464n2c4>76<3f;2:h4?:%1b111e?l952098k4?1l3:1(>o7:0;:<>h4i>09>65`184`>5<#;h21=477;o1b3?4432e:5;l50;&0e=<61020b>o8:368?j7>1>0;6)=n8;3:===i;h=1>854o0;:2?6=,:k36<768:l0e2<5>21d=47::18'7d>=90337c=n7;04?>i610>1<7*=h902;6=4+3`:9598:8j6g02;i07b?66`83>!5f03;2555a3`596a=5$2c;>4?>02d8m:4=e:9j55d>290/?l6511ab?k5f?3:07d??b983>!5f03;;ol5a3`595>=n99h<6=4+3`:955ef3g9j;7<4;h33f3<72-9j47??c`9m7d1=;21b==l::18'7d>=99ij7c=n7;68?l77j=0;6)=n8;33gd=i;h=1965f11`0>5<#;h21==mn;o1b3?0<3`;;n?4?:%1b!5f03;;ol5a3`59=>=n99hm6=4+3`:955ef3g9j;7o4;h33f`<72-9j47??c`9m7d1=j21b==lk:18'7d>=99ij7c=n7;a8?l77jj0;6)=n8;33gd=i;h=1h65f11`a>5<#;h21==mn;o1b3?c<3`;;nl4?:%1b0c294?"4i10:47<3`;i>l4?::m2207=83.8m54>64;8j6g02910c<8:0;29 6g?28<>56`i6>=l1<7*<30b>o8:598k403j3:1(>o7:046=>h4i>0>76a>65c94?"4i10::874n2c4>3=5$2c;>40212d8m:48;:m221>=83.8m54>64;8j6g02110c<8;7;29 6g?28<>56`i6>=<1<7*<30b>o8:b98k403;3:1(>o7:046=>h4i>0o76a>64:94?"4i10::874n2c4>`=;7>5$2c;>40212d8m:4i;:m2200=83.8m54>64;8j6g028:07b?95483>!5f03;=945a3`5954=87>5$2c;>40212d8m:4>2:9l5334290/?l65177:?k5f?3;876a>64094?"4i10::874n2c4>42<3f;=8i4?:%1bo7:046=>h4i>0::65`1762>5<#;h21=;;6;o1b3?7032e:4<=50;&0e=<60830b>o8:198k4>6:3:1(>o7:0:2=>h4i>0:76a>80394?"4i10:4<74n2c4>7=5$2c;>4>612d8m:4<;:m2<5`=83.8m54>80;8j6g02=10c<6?e;29 6g?282:56`i609n1<7*9:l0e2<032e:4=o50;&0e=<60830b>o8:998k4>713:1(>o7:0:2=>h4i>0276a>81:94?"4i10:4<74n2c4>d=5$2c;>4>612d8m:4m;:m2<50=83.8m54>80;8j6g02j10c<6?5;29 6g?282:56`i609>1<7*9:l0e2o8:028?j7?890;6)=n8;3;5<=i;h=1=<54o05eb?6=,:k36<6>9:l0e2<6:21d=:hj:18'7d>=91;27c=n7;30?>i6?on1<7*=h9>lj6=4+3`:95=7>3g9j;7?8;:m23c?=83.8m54>80;8j6g028207b?8f983>!5f03;3=45a3`595<=5$2c;>4>612d8m:4>a:9l52`2290/?l65193:?k5f?3;i76a>7g694?"4i10:4<74n2c4>4e<3f;4?:%1bo7:0:2=>h4i>0:i65`16d2>5<#;h21=5?6;o1b3?7a32e:;k>50;&0e=<60830b>o8:328?j70mo0;6)=n8;3;5<=i;h=1><54o05fa?6=,:k36<6>9:l0e2<5:21d=:kk:18'7d>=91;27c=n7;00?>i6?li1<7*7;29 6g?282:56`=h91;=6=4+3`:95=7>3g9j;7<8;:m2<43=83.8m54>80;8j6g02;207b?71583>!5f03;3=45a3`596<=5$2c;>4>612d8m:4=a:9l5=66290/?l65193:?k5f?38i76a>7g494?"4i10:4<74n2c4>7e<3f;o7:0:2=>h4i>09i65f11ce>5<5$2c;>41592d8m:4?;:m223?=83.8m54>7338j6g02810c<898;29 6g?28=9=6`i6>?=1<7*54o0451?6=,:k36<9=1:l0e2<332e::;:50;&0e=<6?;;0b>o8:498k401;3:1(>o7:0515>h4i>0=76a>67094?"4i10:;??4n2c4>2=5$2c;>41592d8m:47;:m2236=83.8m54>7338j6g02010c<8:f;29 6g?28=9=6`i6>o8:e98k40093:1(>o7:0515>h4i>0n76a>66294?"4i10:;??4n2c4>c=5$2c;>41592d8m:4>0:9l530b290/?l651602?k5f?3;:76a>67f94?"4i10:;??4n2c4>44<3f;=:n4?:%1bo7:0515>h4i>0:865`1745>5<#;h21=:<>;o1b3?7232e::8l50;&0e=<6?;;0b>o8:048?j71=h0;6)=n8;3464=i;h=1=:54o0506?6=,:k36<9?50;&0e=<6?:k0b>o8:098k41483:1(>o7:050e>h4i>0976a>73d94?"4i10:;>o4n2c4>6=5$2c;>414i2d8m:4;;:m237e=83.8m54>72c8j6g02<10c<9=b;29 6g?28=8m6`i6?;k1<7*o8:898k415?3:1(>o7:050e>h4i>0j76a>73494?"4i10:;>o4n2c4>g=5$2c;>414i2d8m:4l;:m2372=83.8m54>72c8j6g02m10c<9<9;29 6g?28=8m6`i6?:21<7*=9>9j7c=n7;32?>i6?:?1<7*=h9>8n6=4+3`:9525f3g9j;7?:;:m2375=83.8m54>72c8j6g028<07b?82383>!5f03;5$2c;>412;2d8m:4?;:m231g=83.8m54>7418j6g02810c<9;9;29 6g?28=>?6`i6?=21<7*54o0572?6=,:k36<9:3:l0e2<332e:;9;50;&0e=<6?<90b>o8:498k413<3:1(>o7:0567>h4i>0=76a>75194?"4i10:;8=4n2c4>2=7>5$2c;>412;2d8m:47;:m2317=83.8m54>7418j6g02010c<9;0;29 6g?28=>?6`i6?:l1<7*j50;&0e=<6?<90b>o8:e98k412:3:1(>o7:0567>h4i>0n76a>74394?"4i10:;8=4n2c4>c=<7>5$2c;>412;2d8m:4>0:9l522a290/?l651670?k5f?3;:76a>75g94?"4i10:;8=4n2c4>44<3f;<8i4?:%1bo7:0567>h4i>0:865`1664>5<#;h21=:;<;o1b3?7232e:;>m50;&0e=<6?<90b>o8:048?j70;k0;6)=n8;3416=i;h=1=:54o0550?6=,:k36<99c:l0e2<732e:;;=50;&0e=<6??i0b>o8:098k411:3:1(>o7:055g>h4i>0976a>77394?"4i10:;;m4n2c4>6=j7>5$2c;>411k2d8m:4;;:m230c=83.8m54>77a8j6g02<10c<9:d;29 6g?28==o6`i6?o8:898k41213:1(>o7:055g>h4i>0j76a>74:94?"4i10:;;m4n2c4>g=;7>5$2c;>411k2d8m:4l;:m2300=83.8m54>77a8j6g02m10c<99b;29 6g?28==o6`i6??k1<7*=9>i6??=1<7*=h9><;6=4+3`:9520d3g9j;7?:;:m2303=83.8m54>77a8j6g028<07b?85583>!5f03;<:n5a3`5952=5$2c;>41?=2d8m:4?;:m232e=83.8m54>7978j6g02810c<98b;29 6g?28=396`i6?>k1<7*54o054o8:498k410>3:1(>o7:05;1>h4i>0=76a>76794?"4i10:;5;4n2c4>2=5$2c;>41?=2d8m:47;:m2325=83.8m54>7978j6g02010c<982;29 6g?28=396`i6?>;1<7*o8:e98k41?<3:1(>o7:05;1>h4i>0n76a>79194?"4i10:;5;4n2c4>c=7>5$2c;>41?=2d8m:4>0:9l52>6290/?l6516:6?k5f?3;:76a>79294?"4i10:;5;4n2c4>44<3f;<;k4?:%1bo7:05;1>h4i>0:865`165:>5<#;h21=:6:;o1b3?7232e:;;k50;&0e=<6?1?0b>o8:048?j70>m0;6)=n8;34<0=i;h=1=:54o05:2?6=,:k36<96e:l0e2<732e:;4;50;&0e=<6?0o0b>o8:098k41><3:1(>o7:05:a>h4i>0976a>78194?"4i10:;4k4n2c4>6=5$2c;>41>m2d8m:4;;:m23<6=83.8m54>78g8j6g02<10c<97f;29 6g?28=2i6`i6?1o1<7*o8:898k41?j3:1(>o7:05:a>h4i>0j76a>79c94?"4i10:;4k4n2c4>g=5$2c;>41>m2d8m:4l;:m23=>=83.8m54>78g8j6g02m10c<96d;29 6g?28=2i6`i6?0i1<7*=9>3n7c=n7;32?>i6?031<7*=h9>396=4+3`:952?b3g9j;7?:;:m23=1=83.8m54>78g8j6g028<07b?88783>!5f03;<5h5a3`5952=5$2c;>4?>12d8m:4?;:m2<14=83.8m54>98;8j6g02810c<6;1;29 6g?283256`i60=:1<7*54o0:0b?6=,:k36<769:l0e2<332e:4>k50;&0e=<61030b>o8:498k4>4l3:1(>o7:0;:=>h4i>0=76a>82`94?"4i10:5474n2c4>2=5$2c;>4?>12d8m:47;:m2<6?=83.8m54>98;8j6g02010c<6<8;29 6g?283256`i60:=1<7*;50;&0e=<61030b>o8:e98k4>4<3:1(>o7:0;:=>h4i>0n76a>82194?"4i10:5474n2c4>c=7>5$2c;>4?>12d8m:4>0:9l5=57290/?l6518;:?k5f?3;:76a>83d94?"4i10:5474n2c4>44<3f;3>h4?:%1b101e?l951298k4>5l3:1(>o7:0;:=>h4i>0:865`190`>5<#;h21=476;o1b3?7232e:4?l50;&0e=<61030b>o8:048?j7?:h0;6)=n8;3:=<=i;h=1=:54o0:1=?6=,:k36<769:l0e2<6021d=5<7:18'7d>=90327c=n7;3:?>i60;=1<7*=h91886=4+3`:953g9j;7?k;:m2<74=83.8m54>98;8j6g028o07b?72083>!5f03;2545a3`595c=5$2c;>4?>12d8m:4=0:9l5=7a290/?l6518;:?k5f?38:76a>80g94?"4i10:5474n2c4>74<3f;3=i4?:%1b101e?l952298k4>6k3:1(>o7:0;:=>h4i>09865`196;>5<#;h21=476;o1b3?4232e:49950;&0e=<61030b>o8:348?j7?:54o0:71?6=,:k36<769:l0e2<5021d=5:;:18'7d>=90327c=n7;0:?>i60:i1<7*=h91;i6=4+3`:953g9j;798;8j6g02;o07b?8ag83>!5f03;=h9>kn6=4+3`:952d03g9j;7?4;n34ea<72-9j47?8b69m7d1=:21d=:ol:18'7d>=9>h<7c=n7;18?j70ih0;6)=n8;34f2=i;h=1865`16c:>5<#;h21=:l8;o1b3?3<3f;1e?l956:9l52g0290/?l6516`4?k5f?3=07b?8a783>!5f03;=h9>k>6=4+3`:952d03g9j;774;n34e1<72-9j47?8b69m7d1=i21d=:o<:18'7d>=9>h<7c=n7;`8?j70i;0;6)=n8;34f2=i;h=1o65`16c2>5<#;h21=:l8;o1b3?b<3f;1e?l95e:9l52d2290/?l6516`4?k5f?3l07b?8b583>!5f03;5$2c;>41e?2d8m:4>1:9l52d5290/?l6516`4?k5f?3;976a>7c394?"4i10:;o94n2c4>45<3f;1e?l951598k41fj3:1(>o7:05a3>h4i>0:965`16c3>5<#;h21=:l8;o1b3?7132e:;4h50;&0e=<6?k=0b>o8:058?j7>k:0;6)=n8;3:g<=i;h=1<65`18a1>5<#;h21=4m6;o1b3?7<3f;2o<4?:%1bk01e?l952:9l5!5f03;2o45a3`590>=h90hn6=4+3`:953g9j;7;4;n3:fa<72-9j47?6c89m7d1=>21d=4lm:18'7d>=90i27c=n7;58?j7>jh0;6)=n8;3:g<=i;h=1465`18`:>5<#;h21=4m6;o1b3??<3f;2n54?:%1bk01e?l95a:9l5!5f03;2o45a3`59g>=h90h>6=4+3`:953g9j;7j4;n3:f1<72-9j47?6c89m7d1=m21d=4l<:18'7d>=90i27c=n7;d8?j7>j;0;6)=n8;3:g<=i;h=1==54o0;a4?6=,:k36<7l9:l0e2<6921d=4oi:18'7d>=90i27c=n7;31?>i61ho1<7*=h90ki6=4+3`:953g9j;7?9;:m2=dg=83.8m54>9b;8j6g028=07b?6a883>!5f03;2o45a3`595==5$2c;>4?d12d8m:4>9:9l59`794?"4i10:5n74n2c4>4d<3f;2m94?:%1bk01e?l951b98k4?f;3:1(>o7:0;`=>h4i>0:h65`18c1>5<#;h21=4m6;o1b3?7b32e:5l?50;&0e=<61j30b>o8:0d8?j7>i90;6)=n8;3:g<=i;h=1>=54o0;:b?6=,:k36<7l9:l0e2<5921d=47j:18'7d>=90i27c=n7;01?>i610n1<7*=h90i<6=4+3`:953g9j;7<9;:m2=f0=83.8m54>9b;8j6g02;=07b?6c483>!5f03;2o45a3`596==5$2c;>4?d12d8m:4=9:9l59c394?"4i10:5n74n2c4>7d<3f;2m;4?:%1bk01e?l952b98k4?>j3:1(>o7:0;`=>h4i>09h65`18;b>5<#;h21=4m6;o1b3?4b32e:;n650;&0e=<6?m:0b>o8:198k41d?3:1(>o7:05g4>h4i>0:76a>7b494?"4i10:;i>4n2c4>7=5$2c;>41c82d8m:4<;:m23f5=83.8m54>7e28j6g02=10c<9l2;29 6g?28=o<6`i6?j;1<7*o8:998k41em3:1(>o7:05g4>h4i>0276a>7cf94?"4i10:;i>4n2c4>d=5$2c;>41c82d8m:4m;:m23gd=83.8m54>7e28j6g02j10c<9ma;29 6g?28=o<6`i6?jl1<7*o8:028?j70kj0;6)=n8;34`5=i;h=1=<54o05`f?6=,:k36<9k0:l0e2<6:21d=:mn:18'7d>=9>n;7c=n7;30?>i6?j31<7*=h9>h36=4+3`:952b73g9j;7?8;:m2=c5=83.8m54>9g;8j6g02910c<7i2;29 6g?283m56`i61o;1<7*o8:598k4?bm3:1(>o7:0;e=>h4i>0>76a>9df94?"4i10:5k74n2c4>3=5$2c;>4?a12d8m:48;:m2=`g=83.8m54>9g;8j6g02110c<7j9;29 6g?283m56`i61l21<7*o8:b98k4?b=3:1(>o7:0;e=>h4i>0o76a>9d694?"4i10:5k74n2c4>`=5$2c;>4?a12d8m:4i;:m2=`4=83.8m54>9g;8j6g028:07b?6e183>!5f03;2j45a3`5954=5$2c;>4?a12d8m:4>2:9l59ef94?"4i10:5k74n2c4>42<3f;2hn4?:%1bn01e?l951498k4?cj3:1(>o7:0;e=>h4i>0::65`18fb>5<#;h21=4h6;o1b3?7032e:5i750;&0e=<61o30b>o8:0:8?j7>l10;6)=n8;3:b<=i;h=1=454o0;g3?6=,:k36<7i9:l0e2<6i21d=4j::18'7d>=90l27c=n7;3a?>i61m>1<7*=h90n:6=4+3`:95<`>3g9j;7?i;:m2=a6=83.8m54>9g;8j6g02;:07b?6cg83>!5f03;2j45a3`5964=5$2c;>4?a12d8m:4=2:9l59ba94?"4i10:5k74n2c4>72<3f;2j54?:%1bn01e?l952498k4?a?3:1(>o7:0;e=>h4i>09:65`18d5>5<#;h21=4h6;o1b3?4032e:5k;50;&0e=<61o30b>o8:3:8?j7>n=0;6)=n8;3:b<=i;h=1>454o0;fg?6=,:k36<7i9:l0e2<5i21d=4k>:18'7d>=90l27c=n7;0a?>i61m<1<7*=h9>o:6=4+3`:952c>3g9j;7>4;n34a5<72-9j47?8e89m7d1=921d=:ji:18'7d>=9>o27c=n7;08?j70ll0;6)=n8;34a<=i;h=1?65`16f`>5<#;h21=:k6;o1b3?2<3f;!5f03;=h9>n36=4+3`:952c>3g9j;764;n34`2<72-9j47?8e89m7d1=121d=:j9:18'7d>=9>o27c=n7;c8?j70l<0;6)=n8;34a<=i;h=1n65`16f7>5<#;h21=:k6;o1b3?e<3f;4?:%1b!5f03;=h9>o=6=4+3`:952c>3g9j;7??;:m23`3=83.8m54>7d;8j6g028;07b?8e583>!5f03;5$2c;>41b12d8m:4>3:9l52c5290/?l6516g:?k5f?3;?76a>7ef94?"4i10:;h74n2c4>43<3f;o7:05f=>h4i>0:;65`1`00>5<#;h21=l<6;o1b3?6<3f;j>?4?:%1b!5f03;j>45a3`597>=h9h;m6=4+3`:95d4>3g9j;7:4;n3b5`<72-9j47?n289m7d1==21d=l?k:18'7d>=9h827c=n7;48?j7f9k0;6)=n8;3b6<=i;h=1;65`1`3b>5<#;h21=l<6;o1b3?><3f;j=44?:%1b!5f03;j>45a3`59f>=h9h;=6=4+3`:95d4>3g9j;7m4;n3b50<72-9j47?n289m7d1=l21d=l?;:18'7d>=9h827c=n7;g8?j7f9:0;6)=n8;3b6<=i;h=1j65`1`31>5<#;h21=l<6;o1b3?7732e:m<>50;&0e=<6i;30b>o8:038?j7f8o0;6)=n8;3b6<=i;h=1=?54o0c3a?6=,:k36k:18'7d>=9h827c=n7;37?>i6i9i1<7*=h9h:26=4+3`:95d4>3g9j;7?7;:m2e5>=83.8m54>a3;8j6g028307b?n0683>!5f03;j>45a3`595d=5$2c;>4g512d8m:4>b:9l5d63290/?l651`0:?k5f?3;h76a>a1194?"4i10:m?74n2c4>4b<3f;jo7:0c1=>h4i>0:j65`1`23>5<#;h21=l<6;o1b3?4732e:5kh50;&0e=<6i;30b>o8:338?j7>nl0;6)=n8;3b6<=i;h=1>?54o0;e`?6=,:k36=9h827c=n7;07?>i6i;21<7*=h9h8>6=4+3`:95d4>3g9j;7<7;:m2e72=83.8m54>a3;8j6g02;307b?n1b83>!5f03;j>45a3`596d=5$2c;>4g512d8m:4=b:9l5d61290/?l651`0:?k5f?38h76a>9g`94?"4i10:m?74n2c4>7b<3f;2jl4?:%1bo7:0c6=>h4i>0;76a>a4094?"4i10:m874n2c4>4==7>5$2c;>4g212d8m:4=;:m2e06=83.8m54>a4;8j6g02:10c56`i6i=o1<7*o8:698k4g3i3:1(>o7:0c6=>h4i>0376a>a5;94?"4i10:m874n2c4><=5$2c;>4g212d8m:4n;:m2e11=83.8m54>a4;8j6g02k10c56`i6i=?1<7*o8:g98k4g3:3:1(>o7:0c6=>h4i>0:<65`1`63>5<#;h21=l;6;o1b3?7632e:m>h50;&0e=<6i<30b>o8:008?j7f;l0;6)=n8;3b1<=i;h=1=>54o0c0`?6=,:k36=9h?27c=n7;36?>i6i:h1<7*10c56`=h9h936=4+3`:95d3>3g9j;7?6;:m2e61=83.8m54>a4;8j6g028k07b?n3483>!5f03;j945a3`595g=5$2c;>4g212d8m:4>c:9l5d54290/?l651`7:?k5f?3;o76a>a2094?"4i10:m874n2c4>4c<3f;j?<4?:%1bo7:0c6=>h4i>09<65`1`0e>5<#;h21=l;6;o1b3?4632e:m?k50;&0e=<6i<30b>o8:308?j7f:m0;6)=n8;3b1<=i;h=1>>54o0c1g?6=,:k36=9h?27c=n7;06?>i6i<=1<7*10c56`=h9h??6=4+3`:95d3>3g9j;7<6;:m2e1e=83.8m54>a4;8j6g02;k07b?n4083>!5f03;j945a3`596g=5$2c;>4g212d8m:4=c:9l5d4e290/?l651`7:?k5f?38o76a>a3c94?"4i10:m874n2c4>7c<3f;j4>4?:%1b5290/?l651`::?k5f?3;07b?n8083>!5f03;j445a3`596>=h9h2;6=4+3`:95d>>3g9j;7=4;n3b3c<72-9j47?n889m7d1=<21d=l9j:18'7d>=9h227c=n7;78?j7f?m0;6)=n8;3b<<=i;h=1:65`1`5a>5<#;h21=l66;o1b3?1<3f;j;l4?:%1b290/?l651`::?k5f?3307b?n7983>!5f03;j445a3`59e>=h9h=<6=4+3`:95d>>3g9j;7l4;n3b33<72-9j47?n889m7d1=k21d=l9::18'7d>=9h227c=n7;f8?j7f?=0;6)=n8;3b<<=i;h=1i65`1`50>5<#;h21=l66;o1b3?`<3f;j;?4?:%1bo7:0c;=>h4i>0:=65`1`4e>5<#;h21=l66;o1b3?7532e:m;k50;&0e=<6i130b>o8:018?j7f>m0;6)=n8;3b<<=i;h=1=954o0c5g?6=,:k36=9h227c=n7;35?>i6i?k1<7*=h9h<<6=4+3`:95d>>3g9j;7?n;:m2e33=83.8m54>a9;8j6g028h07b?n6583>!5f03;j445a3`595f=5$2c;>4g?12d8m:4>d:9l5d05290/?l651`::?k5f?3;n76a>a7394?"4i10:m574n2c4>4`<3f;j:=4?:%1bo7:0c;=>h4i>09=65`1`7f>5<#;h21=l66;o1b3?4532e:m8j50;&0e=<6i130b>o8:318?j7f=j0;6)=n8;3b<<=i;h=1>954o0c;=9h227c=n7;05?>i6i1<1<7*=h9h=h6=4+3`:95d>>3g9j;7a9;8j6g02;h07b?n6783>!5f03;j445a3`596f=n7>5$2c;>4g?12d8m:4=d:9l5d3f290/?l651`::?k5f?38n76a>ac194?"4i10:mo74n2c4>5=7>5$2c;>4ge12d8m:4>;:m2eg7=83.8m54>ac;8j6g02;10ci6ihl1<7*o8:798k4gfj3:1(>o7:0ca=>h4i>0<76a>a`c94?"4i10:mo74n2c4>==5$2c;>4ge12d8m:46;:m2ed>=83.8m54>ac;8j6g02h10ci6ih<1<7*o8:d98k4gf;3:1(>o7:0ca=>h4i>0m76a>a`094?"4i10:mo74n2c4>46<3f;jm=4?:%1bn3:1(>o7:0ca=>h4i>0:>65`1`;f>5<#;h21=ll6;o1b3?7432e:m4j50;&0e=<6ik30b>o8:068?j7f1j0;6)=n8;3bf<=i;h=1=854o0c:f?6=,:k3621d=l7n:18'7d>=9hh27c=n7;34?>i6i031<7*=h9h3>6=4+3`:95dd>3g9j;7?m;:m2e<2=83.8m54>ac;8j6g028i07b?n9283>!5f03;jn45a3`595a=7>5$2c;>4ge12d8m:4>e:9l5d?6290/?l651``:?k5f?3;m76a>a8294?"4i10:mo74n2c4>76<3f;j4k4?:%1bo7:0ca=>h4i>09>65`1`:g>5<#;h21=ll6;o1b3?4432e:m5m50;&0e=<6ik30b>o8:368?j7fj10;6)=n8;3bf<=i;h=1>854o0ca3?6=,:k3621d=ll9:18'7d>=9hh27c=n7;04?>i6ik?1<7*=h9hk:6=4+3`:95dd>3g9j;7ac;8j6g02;i07b?n8c83>!5f03;jn45a3`596a=5$2c;>4ge12d8m:4=e:9l5dc4290/?l651`g:?k5f?3:07b?ne383>!5f03;ji45a3`595>=h9ho:6=4+3`:95dc>3g9j;7<4;n3ba5<72-9j47?ne89m7d1=;21d=lji:18'7d>=9ho27c=n7;68?j7fll0;6)=n8;3ba<=i;h=1965`1`fg>5<#;h21=lk6;o1b3?0<3f;jho4?:%1b!5f03;ji45a3`59=>=h9hn36=4+3`:95dc>3g9j;7o4;n3b`2<72-9j47?ne89m7d1=j21d=lj9:18'7d>=9ho27c=n7;a8?j7fl<0;6)=n8;3ba<=i;h=1h65`1`f7>5<#;h21=lk6;o1b3?c<3f;jh>4?:%1bae294?"4i10:mh74n2c4>47<3f;jok4?:%1bo7:0cf=>h4i>0:?65`1`ag>5<#;h21=lk6;o1b3?7332e:mnm50;&0e=<6il30b>o8:078?j7fkk0;6)=n8;3ba<=i;h=1=;54o0c`e?6=,:k36=9ho27c=n7;3;?>i6ij21<7*=h9hi?6=4+3`:95dc>3g9j;7?l;:m2ef5=83.8m54>ad;8j6g028n07b?nc383>!5f03;ji45a3`595`=5$2c;>4gb12d8m:4>f:9l5de7290/?l651`g:?k5f?38;76a>acd94?"4i10:mh74n2c4>77<3f;jnh4?:%1bo7:0cf=>h4i>09?65`1```>5<#;h21=lk6;o1b3?4332e:mh650;&0e=<6il30b>o8:378?j7fm>0;6)=n8;3ba<=i;h=1>;54o0cf2?6=,:k36=9ho27c=n7;0;?>i6il>1<7*=h9hi=6=4+3`:95dc>3g9j;7ad;8j6g02;n07b?nb`83>!5f03;ji45a3`596`=5$2c;>4d612d8m:4?;:m2f44=83.8m54>b0;8j6g02810c1;29 6g?28h:56`i6j8:1<7*54o0`3b?6=,:k369:l0e2<332e:n=k50;&0e=<6j830b>o8:498k4d7l3:1(>o7:0`2=>h4i>0=76a>b1`94?"4i10:n<74n2c4>2=5$2c;>4d612d8m:47;:m2f5?=83.8m54>b0;8j6g02010ci6j9=1<7*9:l0e2o8:e98k4d7<3:1(>o7:0`2=>h4i>0n76a>b1194?"4i10:n<74n2c4>c=7>5$2c;>4d612d8m:4>0:9l5g67290/?l651c3:?k5f?3;:76a>agd94?"4i10:n<74n2c4>44<3f;jjh4?:%1bo7:0`2=>h4i>0:865`1`d`>5<#;h21=o?6;o1b3?7232e:mkl50;&0e=<6j830b>o8:048?j7fnh0;6)=n8;3a5<=i;h=1=:54o0ce=?6=,:k369:l0e2<6021d=lh7:18'7d>=9k;27c=n7;3:?>i6io=1<7*=h9hl86=4+3`:95g7>3g9j;7?k;:m2ec4=83.8m54>b0;8j6g028o07b?nf083>!5f03;i=45a3`595c=5$2c;>4d612d8m:4=0:9l5dca290/?l651c3:?k5f?38:76a>adg94?"4i10:n<74n2c4>74<3f;jii4?:%1bo7:0`2=>h4i>09865`1c3;>5<#;h21=o?6;o1b3?4232e:n<950;&0e=<6j830b>o8:348?j7e9?0;6)=n8;3a5<=i;h=1>:54o0`21?6=,:k369:l0e2<5021d=o?;:18'7d>=9k;27c=n7;0:?>i6j9i1<7*=h9hoi6=4+3`:95g7>3g9j;7b0;8j6g02;o07b?=db83>!5f03;9i?5a3`594>=h9;ni6=4+3`:957c53g9j;7?4;n31`d<72-9j47?=e39m7d1=:21d=?j6:18'7d>=9;o97c=n7;18?j75l10;6)=n8;31a7=i;h=1865`13f4>5<#;h21=?k=;o1b3?3<3f;9h;4?:%1b!5f03;9i?5a3`59<>=h9;n96=4+3`:957c53g9j;774;n31`4<72-9j47?=e39m7d1=i21d=?j?:18'7d>=9;o97c=n7;`8?j75ko0;6)=n8;31a7=i;h=1o65`13af>5<#;h21=?k=;o1b3?b<3f;9oi4?:%1b!5f03;9i?5a3`5955=5$2c;>44b:2d8m:4>1:9l57e?290/?l6513g1?k5f?3;976a>2b594?"4i10:>h<4n2c4>45<3f;9o;4?:%1bo7:00f6>h4i>0:965`13a7>5<#;h21=?k=;o1b3?7132e:>n=50;&0e=<6:l80b>o8:058?j75k;0;6)=n8;31a7=i;h=1=554o00`5?6=,:k36<=9;o97c=n7;3b?>i6:ko1<7*6`=h9;hi6=4+3`:957c53g9j;7?j;:m26gg=83.8m54>2d08j6g028l07b?=b883>!5f03;9i?5a3`5965=5$2c;>44b:2d8m:4=1:9l57d0290/?l6513g1?k5f?38976a>2c494?"4i10:>h<4n2c4>75<3f;9n84?:%1bo7:00f6>h4i>09965`13g3>5<#;h21=?k=;o1b3?4132e:>ih50;&0e=<6:l80b>o8:358?j75ll0;6)=n8;31a7=i;h=1>554o00g`?6=,:k36<=9;o97c=n7;0b?>i6:jk1<7*6`=h9;h86=4+3`:957c53g9j;70g08j6g02910e<>j9;29 6g?28:m>6`o68l21<7*i2:l0e2<432c:o8:598m46b<3:1(>o7:02e6>h4i>0>76g>0d194?"4i10:3=7>5$2c;>46a:2d8m:48;:k24`7=83.8m54>0g08j6g02110e<>j0;29 6g?28:m>6`o68ml1<7*i2:l0e2o8:b98m46ck3:1(>o7:02e6>h4i>0o76g>0g394?"4i10:`=5$2c;>46a:2d8m:4i;:k24``=83.8m54>0g08j6g028:07d??ed83>!5f03;;j?5a3`5954=5$2c;>46a:2d8m:4>2:9j55cd290/?l6511d1?k5f?3;876g>0d`94?"4i10:42<3`;;i;4?:%1bo7:02e6>h4i>0::65f11fb>5<#;h21==h=;o1b3?7032e:o8:198k46fl3:1(>o7:02ba>h4i>0;76g>b3194?"4i10:n?:4n2c4>5=5;c1f=?6=93:1m<;n02=?6=3th8il4?:083>5}#;j;1?n:4H2aa?M5d;2e8=?4?::a7`d=83;>m7>50z&0g4<58h1C?nl4H2a0?_c02kq3=7?9:6092`<6:3;:6;m570827?772==1q)=>0;3a6f=i0<0;7c68:09meg<73gkh6<5a10`94>"69j08<85a10d94>h6;90:7c84?::k0f6<722c:>54?::k266<722e:=h4?::k0f0<722c8n94?::k26f<722c8n=4?::k262<722c:>?4?::k25d<722c:>44?::k264<722e:=i4?::k0ec<722c8n;4?::ka2?6=,:k36o;4n2c4>5=1<7*h4i>0:76gm3;29 6g?2k?0b>o8:398mg4=83.8m54m5:l0e2<432ci=7>5$2c;>g3ofn3:1(>o7:c78j6g02?10elk50;&0e===h4i>0276gmd;29 6g?2k?0b>o8:`98mge=83.8m54m5:l0e25$2c;>g3oe13:1(>o7:c78j6g02l10eo650;&0e=46<3`ko6=4+3`:9f0=i;h=1=<54oea94?"4i10on6`ici3:1(>o7:e`8j6g02810ci750;&0e=6=h4i>0?76ak6;29 6g?2mh0b>o8:498ka3=83.8m54kb:l0e2<132eo87>5$2c;>adib<3:1(>o7:e`8j6g02010ch=50;&0e=g=h4i>0h76aj0;29 6g?2mh0b>o8:e98ka`=83.8m54kb:l0e25$2c;>ad=hl:0;6)=n8;fa?k5f?3;:76g<6g83>!5f039=i6`o4>m0;6)=n8;15a>h4i>0:76g<6b83>!5f039=i6`o4>k0;6)=n8;15a>h4i>0876g<6`83>!5f039=i6`o4>00;6)=n8;15a>h4i>0>76g<6983>!5f039=i6`o4>>0;6)=n8;15a>h4i>0<76g<6483>!5f039=i6`o4>=0;6)=n8;15a>h4i>0276g<6283>!5f039=i6`o4>;0;6)=n8;15a>h4i>0i76g<6083>!5f039=i6`o4>90;6)=n8;15a>h4i>0o76g<5g83>!5f039=i6`o4=l0;6)=n8;15a>h4i>0m76g<5e83>!5f039=i6`=n;5<#;h21?;k4n2c4>44<3`9>57>5$2c;>60b3g9j;7?<;:k01=<72-9j47=9e:l0e2<6<21b?8950;&0e=<4>l1e?l951498m631290/?l6537g8j6g028<07d=:5;29 6g?2:o4==0;6)=n8;15a>h4i>0:465f34194?"4i108:h5a3`595<=8j;o1b3?7e32c88k4?:%1bc:9j71c=83.8m54<6d9m7d1=9m10e>:k:18'7d>=;?o0b>o8:0g8?l53k3:1(>o7:24f?k5f?3;m76g<4c83>!5f039=i6`=n;=k1<7*<54i26:>5<#;h21?;k4n2c4>74<3`9?47>5$2c;>60b3g9j;7<<;:k002<72-9j47=9e:l0e2<5<21b?9850;&0e=<4>l1e?l952498m612290/?l6537g8j6g02;<07d=84;29 6g?2:o4?:0;6)=n8;15a>h4i>09465f36094?"4i108:h5a3`596<=8j;o1b3?4e32c8:;4?:%1b;?:18'7d>=;?o0b>o8:3g8?l53=3:1(>o7:24f?k5f?38m76g>1283>!5f03;:>6`o6980;6)=n8;326>h4i>0:76g>1183>!5f03;:>6`o68o0;6)=n8;326>h4i>0876g>0d83>!5f03;:>6`o68m0;6)=n8;326>h4i>0>76g>0b83>!5f03;:>6`o68k0;6)=n8;326>h4i>0<76g>0883>!5f03;:>6`o6810;6)=n8;326>h4i>0276g>0683>!5f03;:>6`o68?0;6)=n8;326>h4i>0i76g>0483>!5f03;:>6`o68=0;6)=n8;326>h4i>0o76g>0283>!5f03;:>6`o68;0;6)=n8;326>h4i>0m76g>0083>!5f03;:>6`=n99:1<7*54iga94?"4i10:=?5a3`5951=<54idf94?"4i10:=?5a3`5967=>54id`94?"4i10:=?5a3`5961=854i03:>5<#;h21=<<4n2c4>70<3`;:47>5$2c;>4753g9j;7<8;:k252<72-9j47?>2:l0e2<5021b=<850;&0e=<69;1e?l952898m472290/?l651008j6g02;k07d?>4;29 6g?28;97c=n7;0a?>o68h0;6)=n8;326>h4i>09o65ffg83>!5f03;:>6`=nn=0;6)=n8;326>h4i>09i65fe883>!5f03;:>6`=h;:n1<7*=h;:k1<7*65`32;94?"4i108?n5a3`597>=h;:21<7*=h;:<1<7*=h;:91<7*=h;:;1<7*=h;;l1<7*=h;;n1<7*=h;;h1<7*5<#;h21?>m4n2c4>47<3f9947>5$2c;>65d3g9j;7?=;:m062<72-9j47=i4::0;6)=n8;10g>h4i>0:;65`33094?"4i108?n5a3`595===l;o1b3?7f32e8=k4?:%1bb:9l74b=83.8m54<3b9m7d1=9j10c>?l:18'7d>=;:i0b>o8:0f8?j56j3:1(>o7:21`?k5f?3;n76a<1`83>!5f0398o6`=h;831<7*=54o23;>5<#;h21?>m4n2c4>77<3f9:;7>5$2c;>65d3g9j;7<=;:m053<72-9j47=i4<;0;6)=n8;10g>h4i>09;65`35394?"4i108?n5a3`596==;6=4+3`:976e=l;o1b3?4f32e8?h4?:%1b<6:18'7d>=;:i0b>o8:3f8?j56m3:1(>o7:21`?k5f?38n76a<1283>!5f0398o6`=n;9o1<7*=n;9h1<7*65f31c94?"4i108=n;931<7*=n;9=1<7*=n9:i1<7*=n9:31<7*65f12:94?"4i10:?o5a3`597>=n9:=1<7*=n9:?1<7*=n9=?1<7*=n9=91<7*=n9=;1<7*=n9:l1<7*=n9:n1<7*5<#;h21=>l4n2c4>47<3f9j<7>5$2c;>6?a3g9j;7>4;n1:a?6=,:k36>7i;o1b3?7<3f92h7>5$2c;>6?a3g9j;7<4;n1:g?6=,:k36>7i;o1b3?5<3f92n7>5$2c;>6?a3g9j;7:4;n1:e?6=,:k36>7i;o1b3?3<3f9257>5$2c;>6?a3g9j;784;n1:7i;o1b3?1<3f92:7>5$2c;>6?a3g9j;764;n1:1?6=,:k36>7i;o1b3??<3f9287>5$2c;>6?a3g9j;7o4;n1:7?6=,:k36>7i;o1b3?d<3f92>7>5$2c;>6?a3g9j;7m4;n1:5?6=,:k36>7i;o1b3?b<3f92<7>5$2c;>6?a3g9j;7k4;n1;b?6=,:k36>7i;o1b3?`<3f93i7>5$2c;>6?a3g9j;7??;:m0f290/?l6538d8j6g028907b=79;29 6g?2:3m7c=n7;37?>i4010;6)=n8;1:b>h4i>0:965`39594?"4i1085k5a3`5953=7i;o1b3?7?32e8494?:%1bn2d8m:4>9:9l7=5=83.8m54<9g9m7d1=9h10c>6=:18'7d>=;0l0b>o8:0`8?j5?83:1(>o7:2;e?k5f?3;h76a<7g83>!5f0392j6`=h;>o1<7*5<#;h21?4h4n2c4>4`<3f95$2c;>6?a3g9j;7290/?l6538d8j6g02;907b=88;29 6g?2:3m7c=n7;07?>i4?>0;6)=n8;1:b>h4i>09965`3`494?"4i1085k5a3`5963=6=4+3`:97<`7i;o1b3?4?32e8m>4?:%1bn2d8m:4=9:9l7d4=83.8m54<9g9m7d1=:h10c>o>:18'7d>=;0l0b>o8:3`8?j5>?3:1(>o7:2;e?k5f?38h76a<8b83>!5f0392j6`=h;1;1<7*h54o255>5<#;h21?4h4n2c4>7`<3`386=4+3`:9=7=i;h=1<65f9083>!5f03397c=n7;38?l?7290/?l65939m7d1=:21b4k4?:%1b5<#;h215?5a3`590>=n0m0;6)=n8;;1?k5f?3?07d6l:18'7d>=1;1e?l956:9j!5f03397c=n7;;8?l?f290/?l65939m7d1=i21b544?:%1b5<#;h215?5a3`59g>=n1>0;6)=n8;;1?k5f?3n07d79:18'7d>=1;1e?l95e:9j=0<72-9j477=;o1b3?`<3`3?6=4+3`:9=7=i;h=1==54i9c94?"4i102>6`=h:=31<7*=h:=<1<7*65`25794?"4i109855a3`597>=h:=>1<7*=h:=;1<7*=h::l1<7*=h::n1<7*=h::h1<7*=h::31<7*=h::<1<7*5<#;h21>964n2c4>47<3f8887>5$2c;>72?3g9j;7?=;:m176<72-9j47<;8:l0e2<6;21d>><50;&0e=<5<11e?l951598k756290/?l6525:8j6g028?07b<<0;29 6g?2;>37c=n7;35?>i5:o0;6)=n8;07<>h4i>0:;65`23g94?"4i109855a3`595==b:9l61c=83.8m54=499m7d1=9j10c?:k:18'7d>=:=20b>o8:0f8?j43k3:1(>o7:36;?k5f?3;n76a=4c83>!5f038?46`=h:=k1<7*=54o361>5<#;h21>964n2c4>77<3f88;7>5$2c;>72?3g9j;7<=;:m16f<72-9j47<;8:l0e2<5;21bo44?:%1b4H2``?>od?3:1(>o7:b:8j6g0281C?om4;ha5>5<#;h21o55a3`596>N4jj10en;50;&0e==nk=0;6)=n8;a;?k5f?3>0D>ll;:k`7?6=,:k36n64n2c4>0=O;ki07dm=:18'7d>=k11e?l956:J0ff=h4i>0<7E=mc:9j`7<72-9j47m7;o1b3?><@:hh76gk1;29 6g?2j20b>o8:89K7ge<3`n;6=4+3`:9g==i;h=1m6F!5f03i37c=n7;a8L6dd32chh7>5$2c;>f>=nk90;6)=n8;a;?k5f?3;:7E=mc:9~f6cd290:9l4?:1y'7f7=:9k0D>mm;I1`7>\b?3hp4<4>6;51>3c=9;0:=78l:63956<683><6p*<1182f7e5=#98i1?=;4n03e>5=i9::1=6`=0882?k4293;0b>>i:19m7dg=92.8n:450;9j571=831b=?<50;9j54g=831b=?750;9j577=831d=!5f03h>7c=n7;08?ld5290/?l65b49m7d1=;21bn<4?:%1b5<#;h21n85a3`591>=nio0;6)=n8;`6?k5f?3<07doj:18'7d>=j<1e?l957:9jfc<72-9j47l:;o1b3?><3`hn6=4+3`:9f0=i;h=1565fbe83>!5f03h>7c=n7;c8?ldd290/?l65b49m7d1=j21bno4?:%1b5<#;h21n85a3`59`>=nj00;6)=n8;`6?k5f?3o07dl7:18'7d>=j<1e?l95f:9jf2<72-9j47l:;o1b3?7732cjh7>5$2c;>g35<#;h21ho5a3`594>=hlh0;6)=n8;fa?k5f?3;07bj6:18'7d>=lk1e?l952:9l`=<72-9j47jm;o1b3?5<3fn<6=4+3`:9`g=i;h=1865`d783>!5f03ni7c=n7;78?jb2290/?l65dc9m7d1=>21dh94?:%1b5<#;h21ho5a3`59<>=hm=0;6)=n8;fa?k5f?3307bk<:18'7d>=lk1e?l95a:9la7<72-9j47jm;o1b3?d<3fo:6=4+3`:9`g=i;h=1o65`e183>!5f03ni7c=n7;f8?jba290/?l65dc9m7d1=m21dhh4?:%1b5<#;h21ho5a3`5955=h4i>0:=65f37d94?"4i108:h5a3`594>=n;?n1<7*=n;?h1<7*=n;?31<7*=n;?=1<7*=n;?>1<7*=n;?81<7*=n;?:1<7*=n;8j;o1b3?7532c8944?:%1b3:9j70>=83.8m54<6d9m7d1=9=10e>;8:18'7d>=;?o0b>o8:078?l52>3:1(>o7:24f?k5f?3;=76g<5483>!5f039=i6`=n;<>1<7*5<#;h21?;k4n2c4>4?<3`9>>7>5$2c;>60b3g9j;7?n;:k014<72-9j47=9e:l0e2<6j21b?9h50;&0e=<4>l1e?l951b98m62b290/?l6537g8j6g028n07d=;d;29 6g?2:o4h4i>0:j65f35`94?"4i108:h5a3`5965=j6=4+3`:973c8j;o1b3?4532c8854?:%1b:9:18'7d>=;?o0b>o8:378?l50=3:1(>o7:24f?k5f?38=76g<7583>!5f039=i6`=n;>91<7*554i251>5<#;h21?;k4n2c4>7?<3`9<=7>5$2c;>60b3g9j;7l1e?l952b98m63e290/?l6537g8j6g02;n07d=:0;29 6g?2:o4<<0;6)=n8;15a>h4i>09j65f10194?"4i10:=?5a3`594>=n98;1<7*=n99l1<7*=n99n1<7*=n99h1<7*=n9921<7*=n99<1<7*=n99>1<7*=n9981<7*5<#;h21=<<4n2c4>44<3`lo6=4+3`:95445<#;h21=<<4n2c4>42<3`li6=4+3`:95445<#;h21=<<4n2c4>40<3`l26=4+3`:95445<#;h21=<<4n2c4>4><3`l<6=4+3`:95445<#;h21=<<4n2c4>4g<3`l>6=4+3`:95445<#;h21=<<4n2c4>4e<3`l96=4+3`:95445<#;h21=<<4n2c4>4c<3`l;6=4+3`:95445<#;h21=<<4n2c4>76<3`on6=4+3`:95445<#;h21=<<4n2c4>74<3`oh6=4+3`:95445<#;h21=<<4n2c4>72<3`oj6=4+3`:9544139m7d1=:110e=9880b>o8:3;8?l76=3:1(>o7:031?k5f?38j76g>1583>!5f03;:>6`=n99k1<7*n54igd94?"4i10:=?5a3`596a=1<7*h54id;94?"4i10:=?5a3`596c=5<#;h21?>m4n2c4>4=5<#;h21?>m4n2c4>6=5<#;h21?>m4n2c4>0=5<#;h21?>m4n2c4>2=5<#;h21?>m4n2c4><=5<#;h21?>m4n2c4>g=5<#;h21?>m4n2c4>a=5<#;h21?>m4n2c4>c=4;n11e?6=,:k36>=l;o1b3?7632e8>54?:%1b2:9l771=83.8m54<3b9m7d1=9:10c><9:18'7d>=;:i0b>o8:068?j55=3:1(>o7:21`?k5f?3;>76a<2583>!5f0398o6`=h;;91<7*5<#;h21?>m4n2c4>4><3f99=7>5$2c;>65d3g9j;7?6;:m065<72-9j47=c;29 6g?2:9h7c=n7;3g?>i49k0;6)=n8;10g>h4i>0:i65`30c94?"4i108?n5a3`595c=4;n12=l;o1b3?4632e8=:4?:%1b?::18'7d>=;:i0b>o8:368?j56<3:1(>o7:21`?k5f?38>76a<4283>!5f0398o6`=h;=81<7*:54o262>5<#;h21?>m4n2c4>7><3f9?<7>5$2c;>65d3g9j;7<6;:m07c<72-9j47=k50;&0e=<4;j1e?l952c98k653290/?l6532a8j6g02;i07b==9;29 6g?2:9h7c=n7;0g?>i49l0;6)=n8;10g>h4i>09i65`30194?"4i108?n5a3`596c=5<#;h21?=j4n2c4>4=5<#;h21?=j4n2c4>6=5<#;h21?=j4n2c4>0=5<#;h21?=j4n2c4>2=5<#;h21=>l4n2c4>4=5<#;h21=>l4n2c4>6=5<#;h21=>l4n2c4>0=6=4+3`:956d5<#;h21=>l4n2c4>2=>6=4+3`:956d5<#;h21=>l4n2c4><=86=4+3`:956d5<#;h21=>l4n2c4>g=:6=4+3`:956d5<#;h21=>l4n2c4>a=5<#;h21=>l4n2c4>c=4;h307?6=,:k36<=m;o1b3?7632e8m=4?:%1bn2d8m:4?;:m0=`<72-9j47=6f:l0e2<632e85i4?:%1bn2d8m:4=;:m0=f<72-9j47=6f:l0e2<432e85o4?:%1bn2d8m:4;;:m0=d<72-9j47=6f:l0e2<232e8544?:%1bn2d8m:49;:m0==<72-9j47=6f:l0e2<032e85;4?:%1bn2d8m:47;:m0=0<72-9j47=6f:l0e2<>32e8594?:%1bn2d8m:4n;:m0=6<72-9j47=6f:l0e2n2d8m:4l;:m0=4<72-9j47=6f:l0e2n2d8m:4j;:m0n2d8m:4>0:9l7=b=83.8m54<9g9m7d1=9810c>6m:18'7d>=;0l0b>o8:008?j5?i3:1(>o7:2;e?k5f?3;876a<8883>!5f0392j6`=h;121<7*5<#;h21?4h4n2c4>40<3f93:7>5$2c;>6?a3g9j;7?8;:m0<0<72-9j47=6f:l0e2<6021d?5:50;&0e=<41o1e?l951898k6>4290/?l6538d8j6g028k07b=72;29 6g?2:3m7c=n7;3a?>i4090;6)=n8;1:b>h4i>0:o65`36d94?"4i1085k5a3`595a=7i;o1b3?7a32e8;n4?:%1bn2d8m:4=0:9l72d=83.8m54<9g9m7d1=:810c>9n:18'7d>=;0l0b>o8:308?j5013:1(>o7:2;e?k5f?38876a<7983>!5f0392j6`=h;>=1<7*854o2c5>5<#;h21?4h4n2c4>70<3f9j97>5$2c;>6?a3g9j;7<8;:m0e1<72-9j47=6f:l0e2<5021d?l=50;&0e=<41o1e?l952898k6g5290/?l6538d8j6g02;k07b=n1;29 6g?2:3m7c=n7;0a?>i41>0;6)=n8;1:b>h4i>09o65`39a94?"4i1085k5a3`596a=7i;o1b3?4a32c2?7>5$2c;><46`o>83:1(>o7:808j6g02;10e5h50;&0e=<>:2d8m:4<;:k;a?6=,:k364<4n2c4>1=h4i>0>76g7c;29 6g?2080b>o8:798m=d=83.8m5462:l0e2<032c2o7>5$2c;><46`o>i3:1(>o7:808j6g02h10e4750;&0e=<>:2d8m:4m;:k:f=h4i>0o76g66;29 6g?2080b>o8:d98m<3=83.8m5462:l0e25$2c;><44;h:b>5<#;h215?5a3`5954=26=4+3`:961>5<#;h21>964n2c4>4==6=4+3`:961>5<#;h21>964n2c4>6=?6=4+3`:961>5<#;h21>964n2c4>0=:6=4+3`:961>5<#;h21>964n2c4>2=5<#;h21>964n2c4><=5<#;h21>964n2c4>g=5<#;h21>964n2c4>a=5<#;h21>964n2c4>c=4;n001?6=,:k36?:7;o1b3?7632e9?94?:%1b2:9l665=83.8m54=499m7d1=9:10c?==:18'7d>=:=20b>o8:068?j4493:1(>o7:36;?k5f?3;>76a=3183>!5f038?46`=h:;l1<7*5<#;h21>964n2c4>4><3f89h7>5$2c;>72?3g9j;7?6;:m115<72-9j47<;8:l0e2<6i21d>9h50;&0e=<5<11e?l951c98k72b290/?l6525:8j6g028i07b<;d;29 6g?2;>37c=n7;3g?>i5h4i>0:i65`25`94?"4i109855a3`595c=j6=4+3`:961>4;n076?6=,:k36?:7;o1b3?4632e9?:4?:%1b=nk>0;6)=n8;a;?k5f?3;0D>ll;:k`2?6=,:k36n64n2c4>7=O;ki07dm::18'7d>=k11e?l953:J0ff=1<7*h4i>0?7E=mc:9jg6<72-9j47m7;o1b3?3<@:hh76gl2;29 6g?2j20b>o8:79K7ge<3`i:6=4+3`:9g==i;h=1;6F!5f03i37c=n7;;8L6dd32co<7>5$2c;>f>odk3:1(>o7:b:8j6g02l1C?om4;haa>5<#;h21o55a3`59b>N4jj10eno50;&0e=0:J0ff=h4i>0:=6F50z&0g4<59<1C?nl4H2a0?!5683;i>n5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pl0<729q/?n?52418L6ee3A9h?6*<1182f7e5<5<m<;%124?7e:j1b=?l50;9j655=831b?o650;9l755=831vn>h?:180>5<7s-9h=7=la:J0gg=O;j90(>??:0`1g>o6:k0;66g=2283>>i48:0;66sm3g394?3=83:p(>m>:370?M5dj2B8o>5+30295g4d3`;9n7>5;h037?6=3`9i47>5;n137?6=3f8987>5;|`0b7<72<0;6=u+3b39643<@:ii7E=l3:&164<53`o36=44i003>5<5<m<;%124?7e:j1b=?l50;9j655=831b?o650;9l755=831d>?:50;9~f6`3290>6=4?{%1`5?42;2B8oo5G3b18 67728h9o6g>2c83>>o58:0;66g>i48:0;66a=2583>>{e;o?1<7=50;2x 6e62:ij7E=lb:J0g6=#;8:1=o5;n137?6=3th8j;4?:283>5}#;j;1><4=;hg;>5<5<53;294~"4k809=<5G3b`8L6e43-89=7<4id:94?=n9:;1<75`3``94?=zj:l36=4<:183!5d938:=6F>o6;80;66a>{e;o31<7;50;2x 6e62;?87E=lb:J0g6=#;8:1=o5;h1a5;n010?6=3th8jl4?:483>5}#;j;1>8=4H2aa?M5d;2.8==4>b3a8m44e2900e?><:188m6d?2900c>><:188k7432900qo=ib;297?6=8r.8o<40;3a6f=n9;h1<75f23194?=h;991<75rb2d`>5<2290;w)=l1;067>N4kk1C?n=4$233>4d5k2c:>o4?::k146<722c8n54?::m046<722e9>94?::a7cb=83>1<7>t$2a2>7353A9hn6F6<729q/?n?53bc8L6ee3A9h?6*<1182f7e5<m<;%124?7e:j1b=?l50;9j655=831b?o650;9l755=831d>?:50;9~f167290:9l4?:1y'7f7=:9k0D>mm;I1`7>\b?3hp4<4>6;51>3c=9;0:=78l:63956<683><6p*<1182f7e5=#98i1?=;4n03e>5=i9::1=6`=0882?k4293;0b>>i:09m7dg=92.8n:450;9j571=831b=?<50;9j54g=831b=?750;9j577=831d=!5f03h>7c=n7;08?ld5290/?l65b49m7d1=;21bn<4?:%1b5<#;h21n85a3`591>=nio0;6)=n8;`6?k5f?3<07doj:18'7d>=j<1e?l957:9jfc<72-9j47l:;o1b3?><3`hn6=4+3`:9f0=i;h=1565fbe83>!5f03h>7c=n7;c8?ldd290/?l65b49m7d1=j21bno4?:%1b5<#;h21n85a3`59`>=nj00;6)=n8;`6?k5f?3o07dl7:18'7d>=j<1e?l95f:9jf2<72-9j47l:;o1b3?7732cjh7>5$2c;>g35<#;h21ho5a3`594>=hlh0;6)=n8;fa?k5f?3;07bj6:18'7d>=lk1e?l952:9l`=<72-9j47jm;o1b3?5<3fn<6=4+3`:9`g=i;h=1865`d783>!5f03ni7c=n7;78?jb2290/?l65dc9m7d1=>21dh94?:%1b5<#;h21ho5a3`59<>=hm=0;6)=n8;fa?k5f?3307bk<:18'7d>=lk1e?l95a:9la7<72-9j47jm;o1b3?d<3fo:6=4+3`:9`g=i;h=1o65`e183>!5f03ni7c=n7;f8?jba290/?l65dc9m7d1=m21dhh4?:%1b5<#;h21ho5a3`5955=h4i>0:=65f37d94?"4i108:h5a3`594>=n;?n1<7*=n;?h1<7*=n;?31<7*=n;?=1<7*=n;?>1<7*=n;?81<7*=n;?:1<7*=n;8j;o1b3?7532c8944?:%1b3:9j70>=83.8m54<6d9m7d1=9=10e>;8:18'7d>=;?o0b>o8:078?l52>3:1(>o7:24f?k5f?3;=76g<5483>!5f039=i6`=n;<>1<7*5<#;h21?;k4n2c4>4?<3`9>>7>5$2c;>60b3g9j;7?n;:k014<72-9j47=9e:l0e2<6j21b?9h50;&0e=<4>l1e?l951b98m62b290/?l6537g8j6g028n07d=;d;29 6g?2:o4h4i>0:j65f35`94?"4i108:h5a3`5965=j6=4+3`:973c8j;o1b3?4532c8854?:%1b:9:18'7d>=;?o0b>o8:378?l50=3:1(>o7:24f?k5f?38=76g<7583>!5f039=i6`=n;>91<7*554i251>5<#;h21?;k4n2c4>7?<3`9<=7>5$2c;>60b3g9j;7l1e?l952b98m63e290/?l6537g8j6g02;n07d=:0;29 6g?2:o4<<0;6)=n8;15a>h4i>09j65f10194?"4i10:=?5a3`594>=n98;1<7*=n99l1<7*=n99n1<7*=n99h1<7*=n9921<7*=n99<1<7*=n99>1<7*=n9981<7*5<#;h21=<<4n2c4>44<3`lo6=4+3`:95445<#;h21=<<4n2c4>42<3`li6=4+3`:95445<#;h21=<<4n2c4>40<3`l26=4+3`:95445<#;h21=<<4n2c4>4><3`l<6=4+3`:95445<#;h21=<<4n2c4>4g<3`l>6=4+3`:95445<#;h21=<<4n2c4>4e<3`l96=4+3`:95445<#;h21=<<4n2c4>4c<3`l;6=4+3`:95445<#;h21=<<4n2c4>76<3`on6=4+3`:95445<#;h21=<<4n2c4>74<3`oh6=4+3`:95445<#;h21=<<4n2c4>72<3`oj6=4+3`:9544139m7d1=:110e=9880b>o8:3;8?l76=3:1(>o7:031?k5f?38j76g>1583>!5f03;:>6`=n99k1<7*n54igd94?"4i10:=?5a3`596a=1<7*h54id;94?"4i10:=?5a3`596c=5<#;h21?>m4n2c4>4=5<#;h21?>m4n2c4>6=5<#;h21?>m4n2c4>0=5<#;h21?>m4n2c4>2=5<#;h21?>m4n2c4><=5<#;h21?>m4n2c4>g=5<#;h21?>m4n2c4>a=5<#;h21?>m4n2c4>c=4;n11e?6=,:k36>=l;o1b3?7632e8>54?:%1b2:9l771=83.8m54<3b9m7d1=9:10c><9:18'7d>=;:i0b>o8:068?j55=3:1(>o7:21`?k5f?3;>76a<2583>!5f0398o6`=h;;91<7*5<#;h21?>m4n2c4>4><3f99=7>5$2c;>65d3g9j;7?6;:m065<72-9j47=c;29 6g?2:9h7c=n7;3g?>i49k0;6)=n8;10g>h4i>0:i65`30c94?"4i108?n5a3`595c=4;n12=l;o1b3?4632e8=:4?:%1b?::18'7d>=;:i0b>o8:368?j56<3:1(>o7:21`?k5f?38>76a<4283>!5f0398o6`=h;=81<7*:54o262>5<#;h21?>m4n2c4>7><3f9?<7>5$2c;>65d3g9j;7<6;:m07c<72-9j47=k50;&0e=<4;j1e?l952c98k653290/?l6532a8j6g02;i07b==9;29 6g?2:9h7c=n7;0g?>i49l0;6)=n8;10g>h4i>09i65`30194?"4i108?n5a3`596c=5<#;h21?=j4n2c4>4=5<#;h21?=j4n2c4>6=5<#;h21?=j4n2c4>0=5<#;h21?=j4n2c4>2=5<#;h21=>l4n2c4>4=5<#;h21=>l4n2c4>6=5<#;h21=>l4n2c4>0=6=4+3`:956d5<#;h21=>l4n2c4>2=>6=4+3`:956d5<#;h21=>l4n2c4><=86=4+3`:956d5<#;h21=>l4n2c4>g=:6=4+3`:956d5<#;h21=>l4n2c4>a=5<#;h21=>l4n2c4>c=4;h307?6=,:k36<=m;o1b3?7632e8m=4?:%1bn2d8m:4?;:m0=`<72-9j47=6f:l0e2<632e85i4?:%1bn2d8m:4=;:m0=f<72-9j47=6f:l0e2<432e85o4?:%1bn2d8m:4;;:m0=d<72-9j47=6f:l0e2<232e8544?:%1bn2d8m:49;:m0==<72-9j47=6f:l0e2<032e85;4?:%1bn2d8m:47;:m0=0<72-9j47=6f:l0e2<>32e8594?:%1bn2d8m:4n;:m0=6<72-9j47=6f:l0e2n2d8m:4l;:m0=4<72-9j47=6f:l0e2n2d8m:4j;:m0n2d8m:4>0:9l7=b=83.8m54<9g9m7d1=9810c>6m:18'7d>=;0l0b>o8:008?j5?i3:1(>o7:2;e?k5f?3;876a<8883>!5f0392j6`=h;121<7*5<#;h21?4h4n2c4>40<3f93:7>5$2c;>6?a3g9j;7?8;:m0<0<72-9j47=6f:l0e2<6021d?5:50;&0e=<41o1e?l951898k6>4290/?l6538d8j6g028k07b=72;29 6g?2:3m7c=n7;3a?>i4090;6)=n8;1:b>h4i>0:o65`36d94?"4i1085k5a3`595a=7i;o1b3?7a32e8;n4?:%1bn2d8m:4=0:9l72d=83.8m54<9g9m7d1=:810c>9n:18'7d>=;0l0b>o8:308?j5013:1(>o7:2;e?k5f?38876a<7983>!5f0392j6`=h;>=1<7*854o2c5>5<#;h21?4h4n2c4>70<3f9j97>5$2c;>6?a3g9j;7<8;:m0e1<72-9j47=6f:l0e2<5021d?l=50;&0e=<41o1e?l952898k6g5290/?l6538d8j6g02;k07b=n1;29 6g?2:3m7c=n7;0a?>i41>0;6)=n8;1:b>h4i>09o65`39a94?"4i1085k5a3`596a=7i;o1b3?4a32c2?7>5$2c;><46`o>83:1(>o7:808j6g02;10e5h50;&0e=<>:2d8m:4<;:k;a?6=,:k364<4n2c4>1=h4i>0>76g7c;29 6g?2080b>o8:798m=d=83.8m5462:l0e2<032c2o7>5$2c;><46`o>i3:1(>o7:808j6g02h10e4750;&0e=<>:2d8m:4m;:k:f=h4i>0o76g66;29 6g?2080b>o8:d98m<3=83.8m5462:l0e25$2c;><44;h:b>5<#;h215?5a3`5954=26=4+3`:961>5<#;h21>964n2c4>4==6=4+3`:961>5<#;h21>964n2c4>6=?6=4+3`:961>5<#;h21>964n2c4>0=:6=4+3`:961>5<#;h21>964n2c4>2=5<#;h21>964n2c4><=5<#;h21>964n2c4>g=5<#;h21>964n2c4>a=5<#;h21>964n2c4>c=4;n001?6=,:k36?:7;o1b3?7632e9?94?:%1b2:9l665=83.8m54=499m7d1=9:10c?==:18'7d>=:=20b>o8:068?j4493:1(>o7:36;?k5f?3;>76a=3183>!5f038?46`=h:;l1<7*5<#;h21>964n2c4>4><3f89h7>5$2c;>72?3g9j;7?6;:m115<72-9j47<;8:l0e2<6i21d>9h50;&0e=<5<11e?l951c98k72b290/?l6525:8j6g028i07b<;d;29 6g?2;>37c=n7;3g?>i5h4i>0:i65`25`94?"4i109855a3`595c=j6=4+3`:961>4;n076?6=,:k36?:7;o1b3?4632e9?:4?:%1b=nk>0;6)=n8;a;?k5f?3;0D>ll;:k`2?6=,:k36n64n2c4>7=O;ki07dm::18'7d>=k11e?l953:J0ff=1<7*h4i>0?7E=mc:9jg6<72-9j47m7;o1b3?3<@:hh76gl2;29 6g?2j20b>o8:79K7ge<3`i:6=4+3`:9g==i;h=1;6F!5f03i37c=n7;;8L6dd32co<7>5$2c;>f>odk3:1(>o7:b:8j6g02l1C?om4;haa>5<#;h21o55a3`59b>N4jj10eno50;&0e=0:J0ff=h4i>0:=6F0iw5?517846?0b2881=<49c;52>45=990?;7s+30295g4d3g2>6<5a8682?kge281emn4>;o32f?6<,8;h6>>:;o32b?6h5=80:7c=?f;38j6gf281/?o953b28m4432900e>l>:188m6d52900e<<::188m6d42900e<<7:188m4442900cl;:188m44d2900e>l?:188m4402900e<<=:188m47f2900e<<6:188m4462900cl9:188mg0=83.8m54m5:l0e2<732ci87>5$2c;>g3oe:3:1(>o7:c78j6g02:10eo?50;&0e=0=h4i>0=76gne;29 6g?2k?0b>o8:698mg`=83.8m54m5:l0e25$2c;>g3oek3:1(>o7:c78j6g02k10eol50;&0e=a=h4i>0n76gm8;29 6g?2k?0b>o8:g98mg1=83.8m54m5:l0e2<6821bmi4?:%1b;:mgg?6=,:k36il4n2c4>5=h4i>0:76ak9;29 6g?2mh0b>o8:398ka>=83.8m54kb:l0e2<432eo;7>5$2c;>adic=3:1(>o7:e`8j6g02?10ci:50;&0e===1<7*h4i>0276aj3;29 6g?2mh0b>o8:`98k`4=83.8m54kb:l0e25$2c;>adicn3:1(>o7:e`8j6g02l10cik50;&0e=46<3fn86=4+3`:9`g=i;h=1=<54i24e>5<#;h21?;k4n2c4>5=5<#;h21?;k4n2c4>7=54i24b>5<#;h21?;k4n2c4>1=5<#;h21?;k4n2c4>3=5<#;h21?;k4n2c4>==5<#;h21?;k4n2c4>d=5<#;h21?;k4n2c4>f=5<#;h21?;k4n2c4>`=5<#;h21?;k4n2c4>46<3`9>o7>5$2c;>60b3g9j;7?>;:k01d<72-9j47=9e:l0e2<6:21b?8750;&0e=<4>l1e?l951298m63?290/?l6537g8j6g028>07d=:7;29 6g?2:o4=?0;6)=n8;15a>h4i>0::65f34794?"4i108:h5a3`5952=8j;o1b3?7>32c89?4?:%1ba:9j707=83.8m54<6d9m7d1=9k10e>:i:18'7d>=;?o0b>o8:0a8?l53m3:1(>o7:24f?k5f?3;o76g<4e83>!5f039=i6`=n;=i1<7*5<#;h21?;k4n2c4>76<3`9?m7>5$2c;>60b3g9j;7<>;:k00<<72-9j47=9e:l0e2<5:21b?9650;&0e=<4>l1e?l952298m620290/?l6537g8j6g02;>07d=;6;29 6g?2:o4?<0;6)=n8;15a>h4i>09:65f36694?"4i108:h5a3`5962=8j;o1b3?4>32c8;<4?:%1b89:18'7d>=;?o0b>o8:3a8?l52j3:1(>o7:24f?k5f?38o76g<5183>!5f039=i6`=n;=?1<7*k54i030>5<#;h21=<<4n2c4>5=5<#;h21=<<4n2c4>7=54i02f>5<#;h21=<<4n2c4>1=5<#;h21=<<4n2c4>3=5<#;h21=<<4n2c4>==5<#;h21=<<4n2c4>d=5<#;h21=<<4n2c4>f=5<#;h21=<<4n2c4>`=5<#;h21=<<4n2c4>46<3`;;<7>5$2c;>4753g9j;7?>;:kea?6=,:k365$2c;>4753g9j;7?<;:keg?6=,:k365$2c;>4753g9j;7?:;:kee?6=,:k365$2c;>4753g9j;7?8;:ke5$2c;>4753g9j;7?6;:ke2?6=,:k365$2c;>4753g9j;7?m;:ke7?6=,:k367>5$2c;>4753g9j;7?k;:ke5?6=,:k365$2c;>4753g9j;7?i;:kfb?6=,:k365$2c;>4753g9j;7<>;:kf`?6=,:k365$2c;>4753g9j;7<<;:kff?6=,:k365$2c;>4753g9j;7<:;:k25<<72-9j47?>2:l0e2<5>21b=<650;&0e=<69;1e?l952698m470290/?l651008j6g02;207d?>6;29 6g?28;97c=n7;0:?>o69<0;6)=n8;326>h4i>09m65f10694?"4i10:=?5a3`596g=5<#;h21=<<4n2c4>7b<3`l?6=4+3`:95445<#;h21=<<4n2c4>7`<3f98h7>5$2c;>65d3g9j;7>4;n10f?6=,:k36>=l;o1b3?7<3f98m7>5$2c;>65d3g9j;7<4;n10=?6=,:k36>=l;o1b3?5<3f9847>5$2c;>65d3g9j;7:4;n103?6=,:k36>=l;o1b3?3<3f98:7>5$2c;>65d3g9j;784;n101?6=,:k36>=l;o1b3?1<3f98?7>5$2c;>65d3g9j;764;n106?6=,:k36>=l;o1b3??<3f98=7>5$2c;>65d3g9j;7o4;n104?6=,:k36>=l;o1b3?d<3f99j7>5$2c;>65d3g9j;7m4;n11a?6=,:k36>=l;o1b3?b<3f99h7>5$2c;>65d3g9j;7k4;n11g?6=,:k36>=l;o1b3?`<3f99n7>5$2c;>65d3g9j;7??;:m06d<72-9j47=i4:<0;6)=n8;10g>h4i>0:965`33694?"4i108?n5a3`5953==l;o1b3?7?32e8><4?:%1b9:9l776=83.8m54<3b9m7d1=9h10c>?i:18'7d>=;:i0b>o8:0`8?j56l3:1(>o7:21`?k5f?3;h76a<1b83>!5f0398o6`=h;8h1<7*5<#;h21?>m4n2c4>4`<3f9:57>5$2c;>65d3g9j;75;29 6g?2:9h7c=n7;07?>i49=0;6)=n8;10g>h4i>09965`35194?"4i108?n5a3`5963=96=4+3`:976e=l;o1b3?4?32e88=4?:%1b=j:18'7d>=;:i0b>o8:3`8?j54<3:1(>o7:21`?k5f?38h76a<2883>!5f0398o6`=h;8o1<7*h54o230>5<#;h21?>m4n2c4>7`<3`9;i7>5$2c;>66c3g9j;7>4;h13g?6=,:k36>>k;o1b3?7<3`9;n7>5$2c;>66c3g9j;7<4;h13e?6=,:k36>>k;o1b3?5<3`9;57>5$2c;>66c3g9j;7:4;h13>k;o1b3?3<3`9;;7>5$2c;>66c3g9j;784;h132?6=,:k36>>k;o1b3?1<3`;8o7>5$2c;>45e3g9j;7>4;h30e?6=,:k36<=m;o1b3?7<3`;857>5$2c;>45e3g9j;7<4;h305$2c;>45e3g9j;7:4;h302?6=,:k36<=m;o1b3?3<3`;897>5$2c;>45e3g9j;784;h300?6=,:k36<=m;o1b3?1<3`;?97>5$2c;>45e3g9j;764;h370?6=,:k36<=m;o1b3??<3`;??7>5$2c;>45e3g9j;7o4;h376?6=,:k36<=m;o1b3?d<3`;?=7>5$2c;>45e3g9j;7m4;h374?6=,:k36<=m;o1b3?b<3`;8j7>5$2c;>45e3g9j;7k4;h30a?6=,:k36<=m;o1b3?`<3`;8h7>5$2c;>45e3g9j;7??;:k276<72-9j47?50;&0e=<41o1e?l950:9l7=83.8m54<9g9m7d1=?21d?4850;&0e=<41o1e?l958:9l7<3=83.8m54<9g9m7d1=121d?4:50;&0e=<41o1e?l95a:9l7<5=83.8m54<9g9m7d1=j21d?4<50;&0e=<41o1e?l95c:9l7<7=83.8m54<9g9m7d1=l21d?4>50;&0e=<41o1e?l95e:9l7=`=83.8m54<9g9m7d1=n21d?5k50;&0e=<41o1e?l951198k6>c290/?l6538d8j6g028;07b=7b;29 6g?2:3m7c=n7;31?>i40h0;6)=n8;1:b>h4i>0:?65`39;94?"4i1085k5a3`5951=7i;o1b3?7132e84;4?:%1bn2d8m:4>7:9l7=3=83.8m54<9g9m7d1=9110c>6;:18'7d>=;0l0b>o8:0;8?j5?;3:1(>o7:2;e?k5f?3;j76a<8383>!5f0392j6`=h;1:1<7*5<#;h21?4h4n2c4>4b<3f95$2c;>6?a3g9j;7?j;:m03a<72-9j47=6f:l0e2<6n21d?:m50;&0e=<41o1e?l952198k61e290/?l6538d8j6g02;;07b=8a;29 6g?2:3m7c=n7;01?>i4?00;6)=n8;1:b>h4i>09?65`36:94?"4i1085k5a3`5961=7i;o1b3?4132e8m84?:%1bn2d8m:4=7:9l7d2=83.8m54<9g9m7d1=:110c>o<:18'7d>=;0l0b>o8:3;8?j5f:3:1(>o7:2;e?k5f?38j76a!5f0392j6`=h;0=1<7*n54o2:`>5<#;h21?4h4n2c4>7b<3f93=7>5$2c;>6?a3g9j;74?:%1b4;h;2>5<#;h215?5a3`595>=n190;6)=n8;;1?k5f?3807d6i:18'7d>=1;1e?l953:9j<`<72-9j477=;o1b3?2<3`2o6=4+3`:9=7=i;h=1965f8b83>!5f03397c=n7;48?l>e290/?l65939m7d1=?21b5n4?:%1b5<#;h215?5a3`59=>=n1h0;6)=n8;;1?k5f?3k07d76:18'7d>=1;1e?l95b:9j==<72-9j477=;o1b3?e<3`3<6=4+3`:9=7=i;h=1h65f9783>!5f03397c=n7;g8?l?2290/?l65939m7d1=n21b594?:%1b47<3f8?57>5$2c;>72?3g9j;7>4;n073?6=,:k36?:7;o1b3?7<3f8?:7>5$2c;>72?3g9j;7<4;n071?6=,:k36?:7;o1b3?5<3f8?87>5$2c;>72?3g9j;7:4;n077?6=,:k36?:7;o1b3?3<3f8?=7>5$2c;>72?3g9j;784;n074?6=,:k36?:7;o1b3?1<3f88j7>5$2c;>72?3g9j;764;n00a?6=,:k36?:7;o1b3??<3f88h7>5$2c;>72?3g9j;7o4;n00g?6=,:k36?:7;o1b3?d<3f88n7>5$2c;>72?3g9j;7m4;n00e?6=,:k36?:7;o1b3?b<3f8857>5$2c;>72?3g9j;7k4;n005$2c;>72?3g9j;7??;:m170<72-9j47<;8:l0e2<6921d>>:50;&0e=<5<11e?l951398k754290/?l6525:8j6g028907b<<2;29 6g?2;>37c=n7;37?>i5;80;6)=n8;07<>h4i>0:965`22294?"4i109855a3`5953=i4?:%1b9:9l606=83.8m54=499m7d1=9h10c?:i:18'7d>=:=20b>o8:0`8?j43m3:1(>o7:36;?k5f?3;h76a=4e83>!5f038?46`=h:=i1<7*5<#;h21>964n2c4>4`<3f8?m7>5$2c;>72?3g9j;7>950;&0e=<5<11e?l952398k74d290/?l6525:8j6g02;907dm6:18'7d>=k11e?l950:J0ff=h4i>0:7E=mc:9jg3<72-9j47m7;o1b3?4<@:hh76gl5;29 6g?2j20b>o8:29K7ge<3`i?6=4+3`:9g==i;h=186F!5f03i37c=n7;48L6dd32ch=7>5$2c;>f>odn3:1(>o7:b:8j6g02k1C?om4;haf>5<#;h21o55a3`59g>N4jj10enj50;&0e==nkj0;6)=n8;a;?k5f?3o0D>ll;:k`f?6=,:k36n64n2c4>c=O;ki07dmn:18'7d>=k11e?l95119K7ge<3`i;6=4+3`:9g==i;h=1=<5G3ca8?xd38;0;6<;n:183!5d938;m6F:04937<1m3;964d5k2d397?4n9595>hfj3;0blm51:l25g<73-;:o7=?5:l25c<73g;8<7?4n32:>4=i:<;1=6`<0g82?k5fi3;0(>l8:2a3?l75<3:17d=m1;29?l5e:3:17d?=5;29?l5e;3:17d?=8;29?l75;3:17b?>e;29?l5e=3:17d=m4;29?l75k3:17d=m0;29?l75?3:17d?=2;29?l76i3:17d?=9;29?l7593:17b?>d;29?l5fn3:17d=m6;29?ld1290/?l65b49m7d1=821bn94?:%1b5<#;h21n85a3`596>=nj;0;6)=n8;`6?k5f?3907dl>:18'7d>=j<1e?l954:9jf5<72-9j47l:;o1b3?3<3`km6=4+3`:9f0=i;h=1:65fad83>!5f03h>7c=n7;58?lda290/?l65b49m7d1=021bnh4?:%1b5<#;h21n85a3`59e>=njj0;6)=n8;`6?k5f?3h07dlm:18'7d>=j<1e?l95c:9jfd<72-9j47l:;o1b3?b<3`h26=4+3`:9f0=i;h=1i65fb983>!5f03h>7c=n7;d8?ld0290/?l65b49m7d1=9910elj50;&0e=1:9l`f<72-9j47jm;o1b3?6<3fnj6=4+3`:9`g=i;h=1=65`d883>!5f03ni7c=n7;08?jb?290/?l65dc9m7d1=;21dh:4?:%1b5<#;h21ho5a3`591>=hl<0;6)=n8;fa?k5f?3<07bj;:18'7d>=lk1e?l957:9la0<72-9j47jm;o1b3?><3fo?6=4+3`:9`g=i;h=1565`e283>!5f03ni7c=n7;c8?jc5290/?l65dc9m7d1=j21di<4?:%1b5<#;h21ho5a3`59`>=hlo0;6)=n8;fa?k5f?3o07bjj:18'7d>=lk1e?l95f:9l`a<72-9j47jm;o1b3?7732eo?7>5$2c;>ad8j;o1b3?6<3`9=h7>5$2c;>60b3g9j;7?4;h15g?6=,:k36>8j;o1b3?4<3`9=n7>5$2c;>60b3g9j;7=4;h15e?6=,:k36>8j;o1b3?2<3`9=57>5$2c;>60b3g9j;7;4;h158j;o1b3?0<3`9=;7>5$2c;>60b3g9j;794;h151?6=,:k36>8j;o1b3?><3`9=87>5$2c;>60b3g9j;774;h157?6=,:k36>8j;o1b3?g<3`9=>7>5$2c;>60b3g9j;7l4;h155?6=,:k36>8j;o1b3?e<3`9=<7>5$2c;>60b3g9j;7j4;h16b?6=,:k36>8j;o1b3?c<3`9>i7>5$2c;>60b3g9j;7h4;h16`?6=,:k36>8j;o1b3?7732c89n4?:%1b1:9j70g=83.8m54<6d9m7d1=9;10e>;6:18'7d>=;?o0b>o8:018?l5203:1(>o7:24f?k5f?3;?76g<5683>!5f039=i6`=n;<<1<7*5<#;h21?;k4n2c4>41<3`9>87>5$2c;>60b3g9j;7?7;:k016<72-9j47=9e:l0e2<6121b?8<50;&0e=<4>l1e?l951`98m636290/?l6537g8j6g028h07d=;f;29 6g?2:o4h4i>0:h65f35f94?"4i108:h5a3`595`=h6=4+3`:973c8j;o1b3?4732c88l4?:%1b:7:18'7d>=;?o0b>o8:318?l53?3:1(>o7:24f?k5f?38?76g<4783>!5f039=i6`=n;>?1<7*;54i257>5<#;h21?;k4n2c4>71<3`95$2c;>60b3g9j;7<7;:k037<72-9j47=9e:l0e2<5121b?:?50;&0e=<4>l1e?l952`98m617290/?l6537g8j6g02;h07d=96;29 6g?2:o4=k0;6)=n8;15a>h4i>09h65f34294?"4i108:h5a3`596`=>6=4+3`:973c5$2c;>4753g9j;7?4;h324?6=,:k365$2c;>4753g9j;7=4;h33a?6=,:k365$2c;>4753g9j;7;4;h33g?6=,:k365$2c;>4753g9j;794;h33=?6=,:k36<3`;;47>5$2c;>4753g9j;774;h333?6=,:k365$2c;>4753g9j;7l4;h331?6=,:k365$2c;>4753g9j;7j4;h337?6=,:k367>5$2c;>4753g9j;7h4;h335?6=,:k361:9jb`<72-9j47?>2:l0e2<6:21bji4?:%1b3:9jbf<72-9j47?>2:l0e2<6<21bjo4?:%1b5:9jbd<72-9j47?>2:l0e2<6>21bj44?:%1b7:9jb=<72-9j47?>2:l0e2<6021bj:4?:%1b9:9jb3<72-9j47?>2:l0e2<6i21bj84?:%1bb:9jb6<72-9j47?>2:l0e2<6k21bj?4?:%1bd:9jb4<72-9j47?>2:l0e2<6m21bj=4?:%1bf:9jac<72-9j47?>2:l0e2<5821bih4?:%1b2:l0e2<5:21bin4?:%1b2:l0e2<5<21bil4?:%1b139m7d1=:?10e=9880b>o8:358?l76?3:1(>o7:031?k5f?38376g>1783>!5f03;:>6`=n98?1<7*l54i037>5<#;h21=<<4n2c4>7d<3`;;m7>5$2c;>4753g9j;75$2c;>4753g9j;74?:%1b32e8?<4?:%1bk4?:%1bi4?:%1bo4?:%1b0:9l77g=83.8m54<3b9m7d1=9810c><7:18'7d>=;:i0b>o8:008?j55?3:1(>o7:21`?k5f?3;876a<2783>!5f0398o6`=h;;?1<7*5<#;h21?>m4n2c4>40<3f99?7>5$2c;>65d3g9j;7?8;:m067<72-9j47=f;29 6g?2:9h7c=n7;3a?>i49m0;6)=n8;10g>h4i>0:o65`30a94?"4i108?n5a3`595a==l;o1b3?7a32e8=44?:%1b=83.8m54<3b9m7d1=:810c>?8:18'7d>=;:i0b>o8:308?j56>3:1(>o7:21`?k5f?38876a<1483>!5f0398o6`=h;8>1<7*854o260>5<#;h21?>m4n2c4>70<3f9?>7>5$2c;>65d3g9j;7<8;:m004<72-9j47=50;&0e=<4;j1e?l952898k65a290/?l6532a8j6g02;k07b=i4;=0;6)=n8;10g>h4i>09o65`33;94?"4i108?n5a3`596a==l;o1b3?4a32c832c:8>4?:%1b0:9j565=83.8m54>3c9m7d1=9810c>o?:18'7d>=;0l0b>o8:198k6?b290/?l6538d8j6g02810c>7k:18'7d>=;0l0b>o8:398k6?d290/?l6538d8j6g02:10c>7m:18'7d>=;0l0b>o8:598k6?f290/?l6538d8j6g02<10c>76:18'7d>=;0l0b>o8:798k6??290/?l6538d8j6g02>10c>79:18'7d>=;0l0b>o8:998k6?2290/?l6538d8j6g02010c>7;:18'7d>=;0l0b>o8:`98k6?4290/?l6538d8j6g02k10c>7=:18'7d>=;0l0b>o8:b98k6?6290/?l6538d8j6g02m10c>7?:18'7d>=;0l0b>o8:d98k6>a290/?l6538d8j6g02o10c>6j:18'7d>=;0l0b>o8:028?j5?l3:1(>o7:2;e?k5f?3;:76a<8c83>!5f0392j6`=h;1k1<7*54o2::>5<#;h21?4h4n2c4>42<3f9347>5$2c;>6?a3g9j;7?:;:m0<2<72-9j47=6f:l0e2<6>21d?5850;&0e=<41o1e?l951698k6>2290/?l6538d8j6g028207b=74;29 6g?2:3m7c=n7;3:?>i40:0;6)=n8;1:b>h4i>0:m65`39094?"4i1085k5a3`595g=7i;o1b3?7c32e8;h4?:%1bn2d8m:4>e:9l72b=83.8m54<9g9m7d1=9o10c>9l:18'7d>=;0l0b>o8:328?j50j3:1(>o7:2;e?k5f?38:76a<7`83>!5f0392j6`=h;>31<7*>54o25;>5<#;h21?4h4n2c4>72<3f9<;7>5$2c;>6?a3g9j;7<:;:m0e3<72-9j47=6f:l0e2<5>21d?l;50;&0e=<41o1e?l952698k6g3290/?l6538d8j6g02;207b=n3;29 6g?2:3m7c=n7;0:?>i4i;0;6)=n8;1:b>h4i>09m65`3`394?"4i1085k5a3`596g=7i;o1b3?4c32e84<4?:%1bn2d8m:4=e:9l720=83.8m54<9g9m7d1=:o10e4=50;&0e=<>:2d8m:4?;:k:5?6=,:k364<4n2c4>4=h4i>0976g7f;29 6g?2080b>o8:298m=c=83.8m5462:l0e2<332c3h7>5$2c;><46`o?j3:1(>o7:808j6g02>10e4m50;&0e=<>:2d8m:47;:k:f?6=,:k364<4n2c4><=h4i>0j76g69;29 6g?2080b>o8:c98m<>=83.8m5462:l0e25$2c;><46`o>=3:1(>o7:808j6g02o10e4:50;&0e=<>:2d8m:4>0:9j32e9?i4?:%1b0:9l663=83.8m54=499m7d1=9810c?=;:18'7d>=:=20b>o8:008?j44;3:1(>o7:36;?k5f?3;876a=3383>!5f038?46`=h::;1<7*5<#;h21>964n2c4>40<3f89j7>5$2c;>72?3g9j;7?8;:m16`<72-9j47<;8:l0e2<6021d>?j50;&0e=<5<11e?l951898k737290/?l6525:8j6g028k07b<;f;29 6g?2;>37c=n7;3a?>i5h4i>0:o65`25f94?"4i109855a3`595a=h6=4+3`:961>=:=20b>o8:308?j45k3:1(>o7:36;?k5f?38876gl9;29 6g?2j20b>o8:19K7ge<3`i<6=4+3`:9g==i;h=1=6F!5f03i37c=n7;18L6dd32ch87>5$2c;>f>oc:3:1(>o7:b:8j6g0211C?om4;hf2>5<#;h21o55a3`59=>N4jj10ei>50;&0e==nko0;6)=n8;a;?k5f?3h0D>ll;:k`a?6=,:k36n64n2c4>f=O;ki07dmk:18'7d>=k11e?l95d:J0ff=h4i>0n7E=mc:9jgg<72-9j47m7;o1b3?`<@:hh76gla;29 6g?2j20b>o8:028L6dd32ch<7>5$2c;>f>{e<991<7?:a;294~"4k80924=>l0:>7?>:7a934<6;3;;6995}%124?7e:j1e484>;o:4>4=iik0:7col:09m54d=82.:=n4<049m54`=82d:?=4>;o03=?7h4ih0:7)=m7;1`4>o6:=0;66g>o4j;0;66g>2483>>o4j:0;66g>2983>>o6::0;66a>1d83>>o4j<0;66g>o6:j0;66g>o6:>0;66g>2383>>o69h0;66g>2883>>o6:80;66a>1e83>>o4io0;66g>oe>3:1(>o7:c78j6g02910eo:50;&0e=;:ka7?6=,:k36o;4n2c4>7=h4i>0876gm1;29 6g?2k?0b>o8:598mg6=83.8m54m5:l0e2<232cjj7>5$2c;>g3oen3:1(>o7:c78j6g02110eok50;&0e=d=h4i>0i76gmb;29 6g?2k?0b>o8:b98mgg=83.8m54m5:l0e25$2c;>g3oe?3:1(>o7:c78j6g028:07dok:18'7d>=j<1e?l951098kae=83.8m54kb:l0e2<732eom7>5$2c;>adic03:1(>o7:e`8j6g02:10ci950;&0e=0=h4i>0=76ak4;29 6g?2mh0b>o8:698k`3=83.8m54kb:l0e25$2c;>adib:3:1(>o7:e`8j6g02k10ch?50;&0e=a=h4i>0n76ake;29 6g?2mh0b>o8:g98kab=83.8m54kb:l0e2<6821dh>4?:%1b;:k02c<72-9j47=9e:l0e2<732c8:i4?:%1b;:k02f<72-9j47=9e:l0e2<532c8:o4?:%1bl1e?l951098m63f290/?l6537g8j6g028807d=:9;29 6g?2:o4=10;6)=n8;15a>h4i>0:865f34594?"4i108:h5a3`5950=8j;o1b3?7032c8994?:%1b8:9j705=83.8m54<6d9m7d1=9010e>;=:18'7d>=;?o0b>o8:0c8?l5293:1(>o7:24f?k5f?3;i76g<4g83>!5f039=i6`=n;=o1<7*5<#;h21?;k4n2c4>4c<3`9?o7>5$2c;>60b3g9j;7?i;:k00g<72-9j47=9e:l0e2<5821b?9o50;&0e=<4>l1e?l952098m62>290/?l6537g8j6g02;807d=;8;29 6g?2:o4<>0;6)=n8;15a>h4i>09865f35494?"4i108:h5a3`5960=6=4+3`:973c8j;o1b3?4032c8;>4?:%1b9>:18'7d>=;?o0b>o8:3c8?l5083:1(>o7:24f?k5f?38i76g<6783>!5f039=i6`=n;i54i273>5<#;h21?;k4n2c4>7c<3`9?97>5$2c;>60b3g9j;72:l0e2<732c:=<4?:%1b;:k255<72-9j47?>2:l0e2<532c:2:l0e2<332c:2:l0e2<132c:2:l0e22:l0e22:l0e22:l0e22:l0e2<6821b==>50;&0e=<69;1e?l951098mcc=83.8m54>139m7d1=9;10ekj50;&0e=<69;1e?l951298mce=83.8m54>139m7d1=9=10ekl50;&0e=<69;1e?l951498mcg=83.8m54>139m7d1=9?10ek750;&0e=<69;1e?l951698mc>=83.8m54>139m7d1=9110ek950;&0e=<69;1e?l951898mc0=83.8m54>139m7d1=9h10ek;50;&0e=<69;1e?l951c98mc5=83.8m54>139m7d1=9j10ek<50;&0e=<69;1e?l951e98mc7=83.8m54>139m7d1=9l10ek>50;&0e=<69;1e?l951g98m``=83.8m54>139m7d1=:910ehk50;&0e=<69;1e?l952098m`b=83.8m54>139m7d1=:;10ehm50;&0e=<69;1e?l952298m`d=83.8m54>139m7d1=:=10eho50;&0e=<69;1e?l952498m47>290/?l651008j6g02;<07d?>8;29 6g?28;97c=n7;04?>o69>0;6)=n8;326>h4i>09465f10494?"4i10:=?5a3`596<=6=4+3`:95442:l0e2<5l21bj94?:%1b2:l0e2<5n21d?>j50;&0e=<4;j1e?l950:9l76d=83.8m54<3b9m7d1=921d?>o50;&0e=<4;j1e?l952:9l76?=83.8m54<3b9m7d1=;21d?>650;&0e=<4;j1e?l954:9l761=83.8m54<3b9m7d1==21d?>850;&0e=<4;j1e?l956:9l763=83.8m54<3b9m7d1=?21d?>=50;&0e=<4;j1e?l958:9l764=83.8m54<3b9m7d1=121d?>?50;&0e=<4;j1e?l95a:9l766=83.8m54<3b9m7d1=j21d??h50;&0e=<4;j1e?l95c:9l77c=83.8m54<3b9m7d1=l21d??j50;&0e=<4;j1e?l95e:9l77e=83.8m54<3b9m7d1=n21d??l50;&0e=<4;j1e?l951198k64f290/?l6532a8j6g028;07b==8;29 6g?2:9h7c=n7;31?>i4:>0;6)=n8;10g>h4i>0:?65`33494?"4i108?n5a3`5951=6=4+3`:976e=l;o1b3?7132e8>>4?:%1b7:9l774=83.8m54<3b9m7d1=9110c><>:18'7d>=;:i0b>o8:0;8?j5583:1(>o7:21`?k5f?3;j76a<1g83>!5f0398o6`=h;8n1<7*5<#;h21?>m4n2c4>4b<3f9:n7>5$2c;>65d3g9j;7?j;:m05d<72-9j47=7;29 6g?2:9h7c=n7;01?>i49?0;6)=n8;10g>h4i>09?65`30794?"4i108?n5a3`5961==l;o1b3?4132e88?4?:%1b:?:18'7d>=;:i0b>o8:3;8?j54n3:1(>o7:21`?k5f?38j76a<3d83>!5f0398o6`=h;:>1<7*n54o20:>5<#;h21?>m4n2c4>7b<3f9:i7>5$2c;>65d3g9j;7=83.8m54<0e9m7d1==21b?=950;&0e=<48m1e?l956:9j750=83.8m54<0e9m7d1=?21b=>m50;&0e=<6;k1e?l950:9j56g=83.8m54>3c9m7d1=921b=>750;&0e=<6;k1e?l952:9j56>=83.8m54>3c9m7d1=;21b=>950;&0e=<6;k1e?l954:9j560=83.8m54>3c9m7d1==21b=>;50;&0e=<6;k1e?l956:9j562=83.8m54>3c9m7d1=?21b=9;50;&0e=<6;k1e?l958:9j512=83.8m54>3c9m7d1=121b=9=50;&0e=<6;k1e?l95a:9j514=83.8m54>3c9m7d1=j21b=9?50;&0e=<6;k1e?l95c:9j516=83.8m54>3c9m7d1=l21b=>h50;&0e=<6;k1e?l95e:9j56c=83.8m54>3c9m7d1=n21b=>j50;&0e=<6;k1e?l951198m454290/?l6512`8j6g028;07b=n0;29 6g?2:3m7c=n7;28?j5>m3:1(>o7:2;e?k5f?3;07b=6d;29 6g?2:3m7c=n7;08?j5>k3:1(>o7:2;e?k5f?3907b=6b;29 6g?2:3m7c=n7;68?j5>i3:1(>o7:2;e?k5f?3?07b=69;29 6g?2:3m7c=n7;48?j5>03:1(>o7:2;e?k5f?3=07b=66;29 6g?2:3m7c=n7;:8?j5>=3:1(>o7:2;e?k5f?3307b=64;29 6g?2:3m7c=n7;c8?j5>;3:1(>o7:2;e?k5f?3h07b=62;29 6g?2:3m7c=n7;a8?j5>93:1(>o7:2;e?k5f?3n07b=60;29 6g?2:3m7c=n7;g8?j5?n3:1(>o7:2;e?k5f?3l07b=7e;29 6g?2:3m7c=n7;33?>i40m0;6)=n8;1:b>h4i>0:=65`39`94?"4i1085k5a3`5957=7i;o1b3?7332e8454?:%1bn2d8m:4>5:9l7=1=83.8m54<9g9m7d1=9?10c>69:18'7d>=;0l0b>o8:058?j5?=3:1(>o7:2;e?k5f?3;376a<8583>!5f0392j6`=h;191<7*5<#;h21?4h4n2c4>4d<3f93<7>5$2c;>6?a3g9j;7?l;:m03c<72-9j47=6f:l0e2<6l21d?:k50;&0e=<41o1e?l951d98k61c290/?l6538d8j6g028l07b=8c;29 6g?2:3m7c=n7;03?>i4?k0;6)=n8;1:b>h4i>09=65`36c94?"4i1085k5a3`5967=7i;o1b3?4332e8;:4?:%1bn2d8m:4=5:9l7d0=83.8m54<9g9m7d1=:?10c>o::18'7d>=;0l0b>o8:358?j5f<3:1(>o7:2;e?k5f?38376a!5f0392j6`=h;h81<7*l54o2c2>5<#;h21?4h4n2c4>7d<3f92;7>5$2c;>6?a3g9j;7=1;1e?l950:9j=4<72-9j477=;o1b3?7<3`3;6=4+3`:9=7=i;h=1>65f8g83>!5f03397c=n7;18?l>b290/?l65939m7d1=<21b4i4?:%1b5<#;h215?5a3`592>=n0k0;6)=n8;;1?k5f?3=07d7l:18'7d>=1;1e?l958:9j=g<72-9j477=;o1b3??<3`3j6=4+3`:9=7=i;h=1m65f9883>!5f03397c=n7;`8?l??290/?l65939m7d1=k21b5:4?:%1b5<#;h215?5a3`59a>=n1<0;6)=n8;;1?k5f?3l07d7;:18'7d>=1;1e?l951198m=g=83.8m5462:l0e2<6921d>9750;&0e=<5<11e?l950:9l611=83.8m54=499m7d1=921d>9850;&0e=<5<11e?l952:9l613=83.8m54=499m7d1=;21d>9:50;&0e=<5<11e?l954:9l615=83.8m54=499m7d1==21d>9?50;&0e=<5<11e?l956:9l616=83.8m54=499m7d1=?21d>>h50;&0e=<5<11e?l958:9l66c=83.8m54=499m7d1=121d>>j50;&0e=<5<11e?l95a:9l66e=83.8m54=499m7d1=j21d>>l50;&0e=<5<11e?l95c:9l66g=83.8m54=499m7d1=l21d>>750;&0e=<5<11e?l95e:9l66>=83.8m54=499m7d1=n21d>>850;&0e=<5<11e?l951198k752290/?l6525:8j6g028;07b<<4;29 6g?2;>37c=n7;31?>i5;:0;6)=n8;07<>h4i>0:?65`22094?"4i109855a3`5951=k4?:%1b7:9l67c=83.8m54=499m7d1=9110c?=:=20b>o8:0;8?j4283:1(>o7:36;?k5f?3;j76a=4g83>!5f038?46`=h:=o1<7*5<#;h21>964n2c4>4b<3f8?o7>5$2c;>72?3g9j;7?j;:m10g<72-9j47<;8:l0e2<6n21d>9o50;&0e=<5<11e?l952198k725290/?l6525:8j6g02;;07b<<7;29 6g?2;>37c=n7;01?>i5:j0;6)=n8;07<>h4i>09?65fc883>!5f03i37c=n7;28L6dd32ch;7>5$2c;>f>od;3:1(>o7:b:8j6g02<1C?om4;ha1>5<#;h21o55a3`592>N4jj10en?50;&0e==nl;0;6)=n8;a;?k5f?320D>ll;:kg5?6=,:k36n64n2c4><=O;ki07dj?:18'7d>=k11e?l95a:J0ff=h4i>0i7E=mc:9jg`<72-9j47m7;o1b3?e<@:hh76gld;29 6g?2j20b>o8:e9K7ge<3`ih6=4+3`:9g==i;h=1i6F!5f03i37c=n7;33?M5ek21bo=4?:%1b;I1ag>=zj=:?6=4<:183!5d939hm6F>4?::m046<722wi8=;50;694?6|,:i:6?;=;I1`f>N4k:1/?<>51c0`?l75j3:17dmm;I1`7>"4990:n?m4i00a>5<5<5<;;7>55;294~"4k809=;5G3b`8L6e43-9:<7?m2b9'677=i2cn47>5;h314?6=3`;8=7>5;h1a5;|`74=<72<0;6=u+3b39643<@:ii7E=l3:&055<6j;i0(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wi8=750;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~f16f290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi8=l50;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~f16d290>6=4?{%1`5?46=2B8oo5G3b18 67728h9o6*=2081?lc?2900e<ok:188k6ge2900qo:?d;291?6=8r.8o<4=149K7fd<@:i87)=>0;3a6f=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xd38l0;684?:1y'7f7=:8?0D>mm;I1`7>"4990:n?m4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66sm41d94?3=83:p(>m>:336?M5dj2B8o>5+30295g4d3-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zj=;;6=4::183!5d938:96F5<5<m<;hg;>5<5<5<:>7>55;294~"4k809=85G3b`8L6e43`o36=44i003>5<5<m<;%015?45<2290;w)=l1;021>N4kk1C?n=4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zj=;>6=4::183!5d938:96F>o6:90;66g>3083>>o4im0;66a>{e<8<1<7;50;2x 6e62;;>7E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xd39>0;684?:1y'7f7=:8?0D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188yg2603:197>50z&0g4<59<1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~f17>290>6=4?{%1`5?46=2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::a04g=833j6;<59cyK7f5<,:i:6a:Xf3?3|<10=h7:6:7d9351<7*h4i>0;76gn2;29 6g?2h90b>o8:098md6=83.8m54n3:l0e2<532c:5:4?:%1b>2d8m:4?;:k2=0<72-9j47?66:l0e2<632c:594?:%1b>2d8m:4=;:k2=6<72-9j47?66:l0e2<432c:5?4?:%1b>2d8m:4;;:k2=4<72-9j47?66:l0e2<232c:5=4?:%1b>2d8m:49;:k2>2d8m:47;:k232c:4o4?:%1b>2d8m:4n;:k2>2d8m:4l;:k2<=<72-9j47?66:l0e2>2d8m:4j;:k2<3<72-9j47?66:l0e2>2d8m:4>0:9j5=2=83.8m54>979m7d1=9810e<6=:18'7d>=90<0b>o8:008?l7?93:1(>o7:0;5?k5f?3;876g>8183>!5f03;2:6`=n9>l1<7*5<#;h21=484n2c4>40<3`;5$2c;>4?13g9j;7?8;:k23f<72-9j47?66:l0e2<6021b=:l50;&0e=<61?1e?l951898m41f290/?l651848j6g028k07d?89;29 6g?283=7c=n7;3a?>o6?>0;6)=n8;3:2>h4i>0:o65f16494?"4i10:5;5a3`595a=6=4+3`:95<0>2d8m:4=0:9j527=83.8m54>979m7d1=:810e<9?:18'7d>=90<0b>o8:308?l71n3:1(>o7:0;5?k5f?38876g>6d83>!5f03;2:6`=n9?n1<7*854i0;g>5<#;h21=484n2c4>70<3`;2o7>5$2c;>4?13g9j;7<8;:k2=g<72-9j47?66:l0e2<5021b=4o50;&0e=<61?1e?l952898m4?>290/?l651848j6g02;k07d?68;29 6g?283=7c=n7;0a?>o60l0;6)=n8;3:2>h4i>09o65f19194?"4i10:5;5a3`596a=5$2c;>d?of>3:1(>o7:`;8j6g02;10e>m7:188m4472900e>l6:188m44d2900c=9j20b>o8:198k4e0290/?l651b:8j6g02810c=9j20b>o8:398k4e2290/?l651b:8j6g02:10c=9j20b>o8:598k4e4290/?l651b:8j6g02<10c=9j20b>o8:798k4e6290/?l651b:8j6g02>10c=9j20b>o8:998k4db290/?l651b:8j6g02010c=9j20b>o8:`98k4dd290/?l651b:8j6g02k10c=9j20b>o8:b98k4df290/?l651b:8j6g02m10c=9j20b>o8:d98k4d?290/?l651b:8j6g02o10c=9j20b>o8:028?j7e>3:1(>o7:0a;?k5f?3;:76a>b583>!5f03;h46`=h9k91<7*54o0`1>5<#;h21=n64n2c4>42<3f;i=7>5$2c;>4e?3g9j;7?:;:m2f5<72-9j47?l8:l0e2<6>21d=lh50;&0e=<6k11e?l951698k4gb290/?l651b:8j6g028207b?nd;29 6g?28i37c=n7;3:?>i6ij0;6)=n8;3`<>h4i>0:m65`1``94?"4i10:o55a3`595g=e:9l5d3=83.8m54>c99m7d1=9o10c=9j20b>o8:328?j7f;3:1(>o7:0a;?k5f?38:76a>a383>!5f03;h46`=h9h;1<7*>54o0c3>5<#;h21=n64n2c4>72<3f;2j7>5$2c;>4e?3g9j;7<:;:m2gc<72-9j47?l8:l0e2<5>21d=nk50;&0e=<6k11e?l952698k4ec290/?l651b:8j6g02;207b?lc;29 6g?28i37c=n7;0:?>i6kk0;6)=n8;3`<>h4i>09m65`1bc94?"4i10:o55a3`596g=c99m7d1=:o10c=9ok0b>o8:198k4`>290/?l651gc8j6g02810c=9ok0b>o8:398k4`0290/?l651gc8j6g02:10c=9ok0b>o8:598k4`2290/?l651gc8j6g02<10c=9ok0b>o8:798k4`4290/?l651gc8j6g02>10c:18'7d>=9ok0b>o8:998k4`7290/?l651gc8j6g02010c=9ok0b>o8:`98k4cb290/?l651gc8j6g02k10c=9ok0b>o8:b98k4cd290/?l651gc8j6g02m10c=9ok0b>o8:d98k4cf290/?l651gc8j6g02o10c=9ok0b>o8:028?j7b03:1(>o7:0db?k5f?3;:76a>e783>!5f03;mm6`=h9l?1<7*54o0g7>5<#;h21=ko4n2c4>42<3f;n?7>5$2c;>4`f3g9j;7?:;:m2a7<72-9j47?ia:l0e2<6>21d=h?50;&0e=<6nh1e?l951698k4c7290/?l651gc8j6g028207b?kf;29 6g?28lj7c=n7;3:?>i6ll0;6)=n8;3ee>h4i>0:m65`1ef94?"4i10:jl5a3`595g=e:9l5a1=83.8m54>f`9m7d1=9o10c=9ok0b>o8:328?j7c=3:1(>o7:0db?k5f?38:76a>d583>!5f03;mm6`=h9m91<7*>54o0f1>5<#;h21=ko4n2c4>72<3f;o=7>5$2c;>4`f3g9j;7<:;:m144<72-9j47?ia:l0e2<5>21d>=>50;&0e=<6nh1e?l952698k4`a290/?l651gc8j6g02;207b?ie;29 6g?28lj7c=n7;0:?>i6nm0;6)=n8;3ee>h4i>09m65`1ga94?"4i10:jl5a3`596g=f`9m7d1=:o10n9?m:182>5<7s-9h=7<>a:J0gg=O;j90c??6:188yg26k3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn9?k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a04c=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th?=k4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm43094?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd3::0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo:=4;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f142290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi8?850;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`762<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<947>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb50:>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e<;k1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl;2c83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg25k3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn95<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a07c=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th?>k4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm42094?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd3;:0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo:<4;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f152290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi8>850;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`772<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<847>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb51:>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e<:k1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl;3c83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg24k3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn9=k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a06c=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th??k4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4:6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm45094?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd3<:0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo:;4;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f122290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi89850;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`702<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<?47>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb56:>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e<=k1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl;4c83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg23k3:1h7>50z&0g4<4il1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo:;e;29`?6=8r.8o<45<6=44i325>5<5<5<5<?j7>5d;294~"4k808mh5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg2283:1h7>50z&0g4<4il1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo::2;29`?6=8r.8o<45<6=44i325>5<5<5<5<>?7>5d;294~"4k808mh5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg22<3:1h7>50z&0g4<4il1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo::6;29`?6=8r.8o<45<6=44i325>5<5<5<5<>;7>5d;294~"4k808mh5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg2203:1h7>50z&0g4<4il1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo::a;29`?6=8r.8o<45<6=44i325>5<5<5<5<>n7>5d;294~"4k808mh5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg22k3:1h7>50z&0g4<4il1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo::e;29`?6=8r.8o<45<6=44i325>5<5<5<5<>j7>5d;294~"4k808mh5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg2183:1h7>50z&0g4<4il1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo:92;29`?6=8r.8o<45<6=44i325>5<5<5<5<=?7>5d;294~"4k808mh5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg21<3:1h7>50z&0g4<4il1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo:96;29`?6=8r.8o<45<6=44i325>5<5<5<5<=;7>5d;294~"4k808mh5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg2103:1h7>50z&0g4<4il1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo:9a;29`?6=8r.8o<45<6=44i325>5<5<5<5<=n7>5d;294~"4k808mh5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg21k3:1h7>50z&0g4<4il1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo:9e;29`?6=8r.8o<45<6=44i325>5<5<5<5<=j7>5d;294~"4k808mh5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg2083:1h7>50z&0g4<4il1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo:82;29`?6=8r.8o<45<6=44i325>5<5<5<5<5d;294~"4k808mh5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg20<3:1h7>50z&0g4<4il1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo:86;29`?6=8r.8o<45<6=44i325>5<5<5<5<<;7>5d;294~"4k808mh5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg2003:1h7>50z&0g4<4il1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo:8a;29`?6=8r.8o<45<6=44i325>5<5<5<5<5d;294~"4k808mh5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg20k3:1?7>50z&0g4<5:11C?nl4H2a0?!4593=n7d<>c;29?l46l3:17b=?3;29?xu51ko1<7=>{_c7?823k32370:;d;:;?823m32370:;f;:;?822832370::1;:;?822:32370::3;:;?822<32370::5;:;?822>32370::7;:;?822032370::9;:;?822i32370::b;:;?822k32370::d;:;?822m32370::f;:;?821832370:91;:;?821:32370:93;:;?821<32370:95;:;?821>32370:97;:;?821032370:99;:;?821i32370:9b;:;?821k32370:9d;:;?821m32370:9f;:;?820832370:81;:;?820:32370:83;:;?820<32370:85;:;?820>32370:87;:;?820032370:89;:;?820i32370:8b;:;?xu51kl1<7=>{_c1?823k32=70:;d;:5?823m32=70:;f;:5?822832=70::1;:5?822:32=70::3;:5?822<32=70::5;:5?822>32=70::7;:5?822032=70::9;:5?822i32=70::b;:5?822k32=70::d;:5?822m32=70::f;:5?821832=70:91;:5?821:32=70:93;:5?821<32=70:95;:5?821>32=70:97;:5?821032=70:99;:5?821i32=70:9b;:5?821k32=70:9d;:5?821m32=70:9f;:5?820832=70:81;:5?820:32=70:83;:5?820<32=70:85;:5?820>32=70:87;:5?820032=70:89;:5?820i32=70:8b;:5?xu51j:1<7=>{_c3?823k32?70:;d;:7?823m32?70:;f;:7?822832?70::1;:7?822:32?70::3;:7?822<32?70::5;:7?822>32?70::7;:7?822032?70::9;:7?822i32?70::b;:7?822k32?70::d;:7?822m32?70::f;:7?821832?70:91;:7?821:32?70:93;:7?821<32?70:95;:7?821>32?70:97;:7?821032?70:99;:7?821i32?70:9b;:7?821k32?70:9d;:7?821m32?70:9f;:7?820832?70:81;:7?820:32?70:83;:7?820<32?70:85;:7?820>32?70:87;:7?820032?70:89;:7?820i32?70:8b;:7?xu51j;1<75<5sW;2963;7c8274=z{;3h?7>52z\2=1=:<>k1=>?4}r0:g1<72;qU=4=4=555>4563ty95n;50;0xZ4?534><47?<1:p6k10;6?uQ19d89112289:7p}=9b;94?4|V82o70:84;305>{t:0ij6=4={_3;g>;3?90:?<5rs3;`f?6=:rT:4o524609567;|q1=fb=838pR<66;<65`?7492wx>4mj:181[7?027?:k4>309~w7?dn3:1>vP>869>03c=9:;0q~<6d183>7}Y91<0198n:012?xu51m;1<75<5sW;3863;6c8274=z{;3o?7>52z\2<7=:?4}r0:`1<72;qU=5?4=54:>4563ty95i;50;0xZ4>734>=47?<1:p6l10;6?uQ16f89102289:7p}=9e;94?4|V8=h70:91;305>{t:0nj6=4={_34f>;3>:0:?<5rs3;gf?6=:rT:;l524709567;|q1=ab=838pR<98;<654?7492wx>4jj:181[70>27?9k4>309~w7?cn3:1>vP>749>00d=9:;0q~<6e183>7}Y9>9019;k:012?xu51l;1<75<5sW;<=63;598274=z{;3n?7>52z\235=:<?4}r0:a1<72;qU=;h4=57:>4563ty95h;50;0xZ40b34>>97?<1:p6m10;6?uQ18a89135289:7p}=9d;94?4|V83i70::4;305>{t:0oj6=4={_3:e>;3=:0:?<5rs3;ff?6=:rT:545245d9567<5=?:6<=>;|q1=`b=838pR<6j;<664?7492wx>4kj:181[7?;27?8n4>309~w7?bn3:1>vP>799>01c=9:;0q~<6f183>7}Y9?i019:k:012?xu51o;1<7=>{_cb?823k38;;63;4e8142=:<=o1>=94=56e>76034>><71688<5215891342;:<70::4;033>;3=<09<:524449651<5=?<6?>8;<6600g=:9=019;m:324?822k38;;63;5e8142=:<=94=57e>76034>=<7168;<5215891042;:<70:94;033>;3><09<:524749651<5=<<6?>8;<6503g=:9=0198m:324?821k38;;63;6e8142=:=94=54e>76034><<7168:<5215891142;:<70:84;033>;3?<09<:524649651<5==<6?>8;<6402g=:9=0199m:324?xu51o81<7=>{_c;?823k38;:63;4e8143=:<=o1>=84=56e>76134>><7;3=<09<;524449650<5=?<6?>9;<6627?944=079>00g=:9<019;m:325?822k38;:63;5e8143=:<=84=57e>76134>=<7;3><09<;524749650<5=<<6?>9;<6527?:44=079>03g=:9<0198m:325?821k38;:63;6e8143=:=84=54e>76134><<7;3?<09<;524649650<5==<6?>9;<6427?;44=079>02g=:9<0199m:325?xu51o91<7=>{_c5?823k38;963;4e8140=:<=o1>=;4=56e>76234>><770::4;031>;3=<09<8524449653<5=?<6?>:;<6600g=:9?019;m:326?822k38;963;5e8140=:<=;4=57e>76234>=<770:94;031>;3><09<8524749653<5=<<6?>:;<6503g=:9?0198m:326?821k38;963;6e8140=:=;4=54e>76234><<770:84;031>;3?<09<8524649653<5==<6?>:;<6402g=:9?0199m:326?xu51o>1<75<4:rT:>=5240a9576<5=;o6<219>076=9;:019<>:003?825:3;9<63;228265=:<;>1=?>4=506>44734>9:7?=0:?762<6:9168?651328914>288;70:=a;314>;3:k0:>=5243a9576<5=8o6<k4>219>066=9;:019=>:003?824:3;9<63;328265=:<:>1=?>4=516>44734>8:7?=0:?772<6:9168>651328915>288;70:;3;k0:>=5242a9576<5=9o6<219>016=9;:019:>:003?823:3;9<63;428265=:<=>1=?>4=566>44734>?:7?=0:?702<6:91689651328912>288;70:;a;314>;3=5246a964b:o7k7;<62`?c?34>:i7k7;<62b?c?34>9<7k7;<615?c?34>9>7k7;<617?c?34>987k7;<611?c?34>9:7k7;<613?c?34>947k7;<61=?c?34>9m7k7;<61f?c?34>9o7k7;<61`?c?34>9i7k7;<61b?c?34>8<7k7;<605?c?34>8>7k7;<607?c?34>887k7;<601?c?34>8:7k7;<603?c?34>847k7;<60=?c?34>8m7k7;<60f?c?34>8o7k7;<60`?c?34>8i7k7;<60b?c?34>?<7k7;<675?c?34>?>7k7;<677?c?34>?87k7;<671?c?34>?:7k7;<673?c?34>?47k7;<67=?c?34>?m7k7;<67f?c?34>?o7=l6:?70a<4k?1689k53b48912a2:i=70::0;1`2>;3=808o;5244097f0<5=?86>m9;<660?5d>27?984000=;j<019;8:2a5?822039h:63;5880g3=:<6e134>>o7=l6:?71a<4k?1688k53b48913a2:i=70:90;1`2>;3>808o;5247097f0<5=<86>m9;<650?5d>27?:84030=;j<01988:2a5?821039h:63;6880g3=:6e134>=o7=l6:?72a<4k?168;k53b48910a2:i=70:80;1`2>;3?808o;5246097f0<5==86>m9;<640?5d>27?;84020=;j<01998:2a5?820039h:63;7880g3=:<>k1?n84=55a>6e13ty95k950;0xZ4`e34>?n7=nb:p6<`?2909wS?i9:?70d<4ik1v?7i9;296~X6n11689753``8yv4>nh0;6?uQ1g58912?2:ki7p}=9g`94?4|V8l=70:;7;1bf>{t:0lh6=4={_3e1>;3?6>om;|q1=c`=838pR;<677?5fj2wx>l>?:181[7a827?8?4vP>eg9>017=;hh0q~7}Y9lo019:?:2ca?xu5i991<75<5sW;no63;3d80eg=z{;k;97>52z\2ag=:<:n1?ll4}r0b43<72;qU=ho4=51`>6ge3ty9m=950;0xZ4c>34>8n7=nb:p6d6?2909wS?j8:?77d<4ik1v?o?9;296~X6m?168>753``8yv4f8h0;6?uQ1d78915?2:ki7p}=a1`94?4|V8o?70:<7;1bf>{t:h:h6=4={_3f7>;3;?08mo5rs3c3`?6=:rT:i?5242797ddom;|q1e5`=838pRl??:181[7cn27???4vP>dd9>067=;hh0q~7}Y9mn019=?:2ca?xu5i891<75<5sW;om63;2d80eg=z{;k:97>52z\2`<=:<;n1?ll4}r0b53<72;qU=i94=50`>6ge3ty9m<950;0xZ4b134>9n7=nb:p6d7?2909wS?k5:?76d<4ik1v?o>9;296~X6l=168?753``8yv4f9h0;6?uQ1e18914?2:ki7p}=a0`94?4|V8n970:=7;1bf>{t:h;h6=4={_3g5>;3:?08mo5rs3c2`?6=:rT9<<5243797ddom;|q1e4`=838pRl?4vP>fe9>077=;hh0q~7}Y9oi0195<5sW;n;63;1d80eg=z{;k997>52z\2`f=:<8n1?ll4}r0b63<72;qU=i>4=53`>6ge3ty9m?950;42826j38:563;4b8;=>;3=64=56f>=?<5=>n6?>7;<67b?>>34>?j7007=001688?521:89135213019;=:32;?822;32270::3;03<>;3==03563;55814==:<<5=?=6574=575>76?34>>;766;<663?47027?95479:?71=<581168875889>00?=:92019;n:9;8913f2;:370::b;::?822j38;463;5b8;=>;3=j09<55244f9<<=:<=64=57f>=?<5=?n6?>7;<66b?>>34>>j7037=00168;?521:891052130198=:32;?821;32270:93;03<>;3>=03563;65814==:<5=<=6574=545>76?34>=;766;<653?47027?:5479:?72=<581168;75889>03?=:920198n:9;8910f2;:370:9b;::?821j38;463;6b8;=>;3>j09<55247f9<<=:=64=54f>=?<5=7;<65b?>>34>=j7027=00168:?521:891152130199=:32;?820;32270:83;03<>;3?=03563;75814==:<>?14452467965><5===6574=555>76?34><;766;<643?47027?;5479:?73=<581168:75889>02?=:920199n:9;8911f2;:370:8b;::?820j38;46s|2`0;>5<5s4>:o7?<1:?70a<58=1v?o=9;296~;39m0:?<5245g9652l4?:3y>04c=9:;019:l:327?xu5i;h1<745634>><7;3=809<95rs3c1`?6=:r7?><4>309>01`=:9>0q~7}:<;81=>?4=570>7633ty9m?h50;0x9144289:70::4;030>{t:h9;6=4={<610?74927?9?4=059~w7g493:1>v3;248274=:<<<1>=:4}r0b77<72;q68?85123891302;:?7p}=a2194?4|5=8<6<=>;<661?47<2wx>l=;:18182503;8=63;588141=z{;k897>52z?76<<6;81688o52168yv4f;?0;6?u243c9567<5=?36?>;;|q1e61=838p195<5s4>9o7?<1:?71a<58=1v?o<9;296~;3:m0:?<5244`965207c=9:;019;i:327?xu5i:h1<745634>=<7;3=l09<95rs3c0`?6=:r7??<4>309>034=:9>0q~7}:<:81=>?4=540>7633ty9m>h50;0x9154289:70:91;030>{t:h>;6=4={<600?74927?:84=059~w7g393:1>v3;348274=:=:4}r0b07<72;q68>85123891032;:?7p}=a5194?4|5=9<6<=>;<65l:;:18182403;8=63;688141=z{;k?97>52z?77<<6;8168;952168yv4f;;|q1e11=838p19=m:012?821k38;86s|2`6;>5<5s4>8o7?<1:?72d<58=1v?o;9;296~;3;m0:?<5247g965206c=9:;0198i:327?xu5i=h1<745634>=h7;3?809<95rs3c7`?6=:r7?8<4>309>024=:9>0q~7}:<=81=>?4=553>7633ty9m9h50;0x9124289:70:84;030>{t:h?;6=4={<670?74927?;84=059~w7g293:1>v3;448274=:<>91>=:4}r0b17<72;q68985123891102;:?7p}=a4194?4|5=><6<=>;<64l;;:18182303;8=63;778141=z{;k>97>52z?70<<6;8168:o52168yv4f=?0;6?u245c9567<5==i6?>;;|q1e01=838p19:m:012?820138;86s|2`7;>5<49r7?8n401b=;j=019:j:2a4?823n39h;63;5180g2=:<<;1?n94=571>6e034>>?7=l7:?711<4k>1688;53b5891312:i<70::7;1`3>;3=108o:5244;97f1<5=?j6>m8;<66f?5d?27?9n400b=;j=019;j:2a4?822n39h;63;6180g2=:6e034>=?7=l7:?721<4k>168;;53b5891012:i<70:97;1`3>;3>108o:5247;97f1<5=m8;<65f?5d?27?:n403b=;j=0198j:2a4?821n39h;63;7180g2=:<>;1?n94=551>6e034>168:;53b5891112:i<70:87;1`3>;3?108o:5246;97f1<5==j6>m8;<64f?5d?27?;n4<029~yg20l3:197>50z&0g4<59?1C?nl4H2a0?!5683;i>n5+2339e>ob03:17d?=0;29?l7493:17d=m8;29?j5fj3:17pl;7d83>0<729q/?n?52078L6ee3A9h?6*<1182f7e<,;8:6?5fe983>>o6:90;66g>3083>>o4im0;66a>{e<>l1<7;50;2x 6e62;?87E=lb:J0g6=#;8:1=o5;h1a5;n010?6=3th?4=4?:483>5}#;j;1>8=4H2aa?M5d;2.8==4>b3a8m44e2900e?><:188m6d?2900c>><:188k7432900qo:71;297?6=8r.8o<40;3a6f=n9;h1<75f23194?=h;991<75rb5:1>5<2290;w)=l1;067>N4kk1C?n=4$233>4d5k2c:>o4?::k146<722c8n54?::m046<722e9>94?::a0=5=8391<7>t$2a2>6ef3A9hn6Fmm;I1`7>"4990:n?m4i00a>5<5<5<397>54;294~"4k8099?5G3b`8L6e43-9:<7?m2b9j57d=831b>==50;9j7g>=831d?==50;9~f1>129086=4?{%1`5?5di2B8oo5G3b18 67728h9o6g>2c83>>o5::0;66a<0283>>{e<1=1<7;50;2x 6e62;?87E=lb:J0g6=#;8:1=o5;h1a5;n010?6=3th?454?:483>5}#;j;1>8=4H2aa?M5d;2.8==4>b3a8m44e2900e?><:188m6d?2900c>><:188k7432900qo:79;290?6=8r.8o<4=539K7fd<@:i87)=>0;3a6f=n9;h1<75f21194?=n;k21<75`31194?=zj=2j6=4<:183!5d939hm6F>4?::m046<722wi85l50;794?6|,:i:6?;<;I1`f>N4k:1/?<>51c0`?l75j3:17d0<729q/?n?52418L6ee3A9h?6*<1182f7e5<5<m<;%124?7e:j1b=?l50;9j655=831b?o650;9l755=831vn96j:180>5<7s-9h=7=la:J0gg=O;j90(>??:0`1g>o6:k0;66g=2283>>i48:0;66sm49d94?3=83:p(>m>:370?M5dj2B8o>5+30295g4d3`;9n7>5;h037?6=3`9i47>5;n137?6=3f8987>5;|`7=5<72=0;6=u+3b39604<@:ii7E=l3:&055<6j;i0e<l7:188k6642900qo:61;297?6=8r.8o<40;3a6f=n9;h1<75f23194?=h;991<75rb5;1>5<2290;w)=l1;021>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66sm48194?3=83:p(>m>:336?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pl;9583>0<729q/?n?52078L6ee3A9h?6*<1182f7e<,;8:6?5fe983>>o6:90;66g>3083>>o4im0;66a>{e<0?1<7;50;2x 6e62;;>7E=lb:J0g6=#;8:1=o5<2290;w)=l1;021>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66sm48594?3=83:p(>m>:336?M5dj2B8o>5+30295g4d3-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zj=336=4::183!5d938:96F5<5<m<;%124?7e:j1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3th?5l4?:483>5}#;j;1><;4H2aa?M5d;2.8==4>b3a8 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::a01<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th?5n4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm48d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd3i90;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo:n1;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f1g5290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi8l=50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`7e1<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<j97>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb5c5>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e6gj8;29?l7583:17d?<1;29?j5fj3:17pl;a983>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg2f13:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn9on:180>5<7s-9h=7=la:J0gg=O;j90(>??:0`1g>o6:k0;66g=2283>>i48:0;66sm4``94?5=83:p(>m>:2ab?M5dj2B8o>5+30295g4d3`;9n7>5;h017?6=3f9;?7>5;|`7ef<72:0;6=u+3b397fg<@:ii7E=l3:&055<6j;i0e<><:188yg2fl3:187>50z&0g4<5=;1C?nl4H2a0?!5683;i>n5f13`94?=n:991<75f3c:94?=h;991<75rb5cf>5<2290;w)=l1;067>N4kk1C?n=4$233>4d5k2c:>o4?::k146<722c8n54?::m046<722e9>94?::a0d`=83>1<7>t$2a2>7333A9hn6F1<729q/?n?52468L6ee3A9h?6*<1182f7e5<5<i=7>55;294~"4k8099>5G3b`8L6e43-9:<7?m2b9j57d=831b>==50;9j7g>=831d?==50;9l672=831vn9l=:180>5<7s-9h=7=la:J0gg=O;j90(>??:0`1g>o6:k0;66g=2283>>i48:0;66sm4c194?3=83:p(>m>:336?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pl;b583>0<729q/?n?52078L6ee3A9h?6*=2081?lc?2900e<ok:188k6ge2900qo:m5;290?6=8r.8o<4=129K7fd<@:i87dk7:188m4472900e<=>:188k6ge2900qo:m6;297?6=8r.8o<40;3a6f=n9;h1<75f23194?=h;991<75rb5`4>5<2290;w)=l1;067>N4kk1C?n=4$233>4d5k2c:>o4?::k146<722c8n54?::m046<722e9>94?::a0g>=83?1<7>t$2a2>7343A9hn6Fmm;I1`7>"4990:n?m4i00a>5<5<5<im7>55;294~"4k8099>5G3b`8L6e43-9:<7?m2b9j57d=831b>==50;9j7g>=831d?==50;9l672=831vn9lm:186>5<7s-9h=7<:3:J0gg=O;j90(>??:0`1g>o6:k0;66g=0283>>o4j10;66a<0283>>i5:=0;66sm4ca94?3=83:p(>m>:370?M5dj2B8o>5+30295g4d3`;9n7>5;h037?6=3`9i47>5;n137?6=3f8987>5;|`7fa<72<0;6=u+3b39605<@:ii7E=l3:&055<6j;i0e<l7:188k6642900c?<;:188yg2em3:197>50z&0g4<59<1C?nl4H2a0?!5683;i>n5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pl;bg83>0<729q/?n?52048L6ee3A9h?6*<1182f7e<,;8:6l5fe983>>o6:90;66g>3083>>o4j10;66a>{e5;n137?6=3th?o<4?:483>5}#;j;1>8=4H2aa?M5d;2.8==4>b3a8m44e2900e?><:188m6d?2900c>><:188k7432900qo:l2;291?6=8r.8o<4=529K7fd<@:i87)=>0;3a6f=n9;h1<75f21194?=n;k21<75`31194?=h:;>1<75rb5a0>5<2290;w)=l1;067>N4kk1C?n=4$233>4d5k2c:>o4?::k146<722c8n54?::m046<722e9>94?::a0f2=83?1<7>t$2a2>7343A9hn6Fmm;I1`7>"4990:n?m4i00a>5<5<5<h:7>55;294~"4k8099>5G3b`8L6e43-9:<7?m2b9j57d=831b>==50;9j7g>=831d?==50;9l672=831vn9m8:186>5<7s-9h=7<>5:J0gg=O;j90(>??:0`1g>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188yg2d03:197>50z&0g4<59?1C?nl4H2a0?!5683;i>n5+2339e>ob03:17d?=0;29?l7493:17d=m8;29?j5fj3:17pl;c883>6<729q/?n?53bc8L6ee3A9h?6*<1182f7e5<m<;%124?7e:j1b=?l50;9j675=831d?==50;9~f1ee290?6=4?{%1`5?42:2B8oo5G3b18 67728h9o6g>2c83>>o58:0;66g>i48:0;66sm4ba94?3=83:p(>m>:370?M5dj2B8o>5+30295g4d3`;9n7>5;h037?6=3`9i47>5;n137?6=3f8987>5;|`7ga<72=0;6=u+3b39602<@:ii7E=l3:&055<6j;i0e<l7:188k7432900qo:le;297?6=8r.8o<40;3a6f=n9;h1<75f23194?=h;991<75rb5ae>5<4290;w)=l1;1`e>N4kk1C?n=4$233>4d5k2c:>o4?::k166<722e8<>4?::a0a6=83?1<7>t$2a2>7343A9hn6F0D>mm;I1`7>"4990:n?m4i00a>5<5<m<;%124?7e:j1b=?l50;9j655=831b?o650;9l755=831d>?:50;9~f1b429086=4?{%1`5?5di2B8oo5G3b18 67728h9o6g>2c83>>o5::0;66a<0283>>{e1<7:50;2x 6e62;?97E=lb:J0g6=#;8:1=o5;h1a5;|`7`0<72<0;6=u+3b39605<@:ii7E=l3:&055<6j;i0e<l7:188k6642900c?<;:188yg2c>3:1?7>50z&0g4<4kh1C?nl4H2a0?!5683;i>n5f13`94?=n:;91<75`31194?=zj=n<6=4;:183!5d938:?6F?6F4?::k0f=<722e8<>4?::m161<722wi8i750;194?6|,:i:6>mn;I1`f>N4k:1/?<>51c0`?l75j3:17d<=3;29?j57;3:17pl;d`83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg2cj3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn9jl:186>5<7s-9h=7<>5:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wi8ij50;36e?6=8r.8o<4=0`9K7fd<@:i87Wk8:cy;5?712>81:h4>2;32>3e=?80:?7??:559y!5683;i>n5a8483?k>0281emo4>;oc`>4=i98h1<6*>1b8040=i98l1<6`>3182?k4713;0b?;>:09m75`=92d8ml4>;%1a3?5d82c:>94?::k0f4<722c8n?4?::k260<722c8n>4?::k26=<722c:>>4?::m25`<722c8n84?::k0f1<722c:>n4?::k0f5<722c:>:4?::k267<722c:=l4?::k26<<722c:><4?::m25a<722c8mk4?::k0f3<722ci:7>5$2c;>g3oe;3:1(>o7:c78j6g02;10eo<50;&0e=1=h4i>0>76gnf;29 6g?2k?0b>o8:798mdc=83.8m54m5:l0e2<032cij7>5$2c;>g3oel3:1(>o7:c78j6g02h10eom50;&0e=f=h4i>0o76gm9;29 6g?2k?0b>o8:d98mg>=83.8m54m5:l0e25$2c;>g34;hcg>5<#;h21n85a3`5954=h4i>0;76aka;29 6g?2mh0b>o8:098ka?=83.8m54kb:l0e2<532eo47>5$2c;>ad54oe594?"4i10on6`ic>3:1(>o7:e`8j6g02<10ci;50;&0e=2=h4i>0376aj4;29 6g?2mh0b>o8:898k`5=83.8m54kb:l0e27>5$2c;>adib83:1(>o7:e`8j6g02m10cih50;&0e=c=h4i>0:<65`d283>!5f03ni7c=n7;32?>o4>o0;6)=n8;15a>h4i>0;76g<6e83>!5f039=i6`o4>j0;6)=n8;15a>h4i>0976g<6c83>!5f039=i6`o4>h0;6)=n8;15a>h4i>0?76g<6883>!5f039=i6`o4>10;6)=n8;15a>h4i>0=76g<6683>!5f039=i6`o4><0;6)=n8;15a>h4i>0376g<6583>!5f039=i6`o4>:0;6)=n8;15a>h4i>0j76g<6383>!5f039=i6`o4>80;6)=n8;15a>h4i>0h76g<6183>!5f039=i6`o4=o0;6)=n8;15a>h4i>0n76g<5d83>!5f039=i6`o4=m0;6)=n8;15a>h4i>0:<65f34a94?"4i108:h5a3`5954=8j;o1b3?7432c8954?:%1b4:9j701=83.8m54<6d9m7d1=9<10e>;9:18'7d>=;?o0b>o8:048?l52=3:1(>o7:24f?k5f?3;<76g<5583>!5f039=i6`=n;<91<7*5<#;h21?;k4n2c4>4g<3`9>=7>5$2c;>60b3g9j;7?m;:k00c<72-9j47=9e:l0e2<6k21b?9k50;&0e=<4>l1e?l951e98m62c290/?l6537g8j6g028o07d=;c;29 6g?2:o4h4i>09<65f35c94?"4i108:h5a3`5964=26=4+3`:973c8j;o1b3?4432c88:4?:%1b9::18'7d>=;?o0b>o8:348?l50<3:1(>o7:24f?k5f?38<76g<7283>!5f039=i6`=n;>81<7*454i252>5<#;h21?;k4n2c4>7g<3`9<<7>5$2c;>60b3g9j;7l1e?l952e98m637290/?l6537g8j6g02;o07d=;5;29 6g?2:o69:0;6)=n8;326>h4i>0;76g>1083>!5f03;:>6`o6990;6)=n8;326>h4i>0976g>0g83>!5f03;:>6`o68l0;6)=n8;326>h4i>0?76g>0e83>!5f03;:>6`o68j0;6)=n8;326>h4i>0=76g>0c83>!5f03;:>6`o6800;6)=n8;326>h4i>0376g>0983>!5f03;:>6`o68>0;6)=n8;326>h4i>0j76g>0783>!5f03;:>6`o68<0;6)=n8;326>h4i>0h76g>0583>!5f03;:>6`o68:0;6)=n8;326>h4i>0n76g>0383>!5f03;:>6`o6880;6)=n8;326>h4i>0:<65f11294?"4i10:=?5a3`5954==54idg94?"4i10:=?5a3`5964=?54ida94?"4i10:=?5a3`5966=954idc94?"4i10:=?5a3`5960=139m7d1=:010e=9880b>o8:3c8?l76<3:1(>o7:031?k5f?38i76g>0`83>!5f03;:>6`=nno0;6)=n8;326>h4i>09h65ff583>!5f03;:>6`=nm00;6)=n8;326>h4i>09j65`32f94?"4i108?n5a3`594>=h;:h1<7*=h;:31<7*=h;:=1<7*=h;:?1<7*=h;:81<7*=h;::1<7*=h;;o1<7*=h;;i1<7*=l;o1b3?7532e8>:4?:%1b3:9l770=83.8m54<3b9m7d1=9=10c><::18'7d>=;:i0b>o8:078?j55<3:1(>o7:21`?k5f?3;=76a<2283>!5f0398o6`=h;;81<7*5<#;h21?>m4n2c4>4?<3f99<7>5$2c;>65d3g9j;7?n;:m05c<72-9j47=b;29 6g?2:9h7c=n7;3f?>i49h0;6)=n8;10g>h4i>0:j65`30;94?"4i108?n5a3`5965==l;o1b3?4532e8=;4?:%1b?;:18'7d>=;:i0b>o8:378?j53;3:1(>o7:21`?k5f?38=76a<4383>!5f0398o6`=h;=;1<7*554o263>5<#;h21?>m4n2c4>7?<3f98j7>5$2c;>65d3g9j;7:50;&0e=<4;j1e?l952b98k64>290/?l6532a8j6g02;n07b=>e;29 6g?2:9h7c=n7;0f?>i49:0;6)=n8;10g>h4i>09j65f31g94?"4i108=n;9i1<7*=n;9k1<7*=n;921<7*=n;9<1<7*=n9:k1<7*=n9:21<7*=n9:<1<7*=n9:>1<7*=n9=>1<7*=n9=81<7*=n9=:1<7*=n9:o1<7*7i;o1b3?6<3f92i7>5$2c;>6?a3g9j;7?4;n1:`?6=,:k36>7i;o1b3?4<3f92o7>5$2c;>6?a3g9j;7=4;n1:f?6=,:k36>7i;o1b3?2<3f92m7>5$2c;>6?a3g9j;7;4;n1:=?6=,:k36>7i;o1b3?0<3f9247>5$2c;>6?a3g9j;794;n1:2?6=,:k36>7i;o1b3?><3f9297>5$2c;>6?a3g9j;774;n1:0?6=,:k36>7i;o1b3?g<3f92?7>5$2c;>6?a3g9j;7l4;n1:6?6=,:k36>7i;o1b3?e<3f92=7>5$2c;>6?a3g9j;7j4;n1:4?6=,:k36>7i;o1b3?c<3f93j7>5$2c;>6?a3g9j;7h4;n1;a?6=,:k36>7i;o1b3?7732e84i4?:%1bn2d8m:4>1:9l7=d=83.8m54<9g9m7d1=9;10c>6n:18'7d>=;0l0b>o8:018?j5?13:1(>o7:2;e?k5f?3;?76a<8983>!5f0392j6`=h;1=1<7*5<#;h21?4h4n2c4>41<3f9397>5$2c;>6?a3g9j;7?7;:m0<1<72-9j47=6f:l0e2<6121d?5=50;&0e=<41o1e?l951`98k6>5290/?l6538d8j6g028h07b=70;29 6g?2:3m7c=n7;3`?>i4?o0;6)=n8;1:b>h4i>0:h65`36g94?"4i1085k5a3`595`=7i;o1b3?4732e8;o4?:%1bn2d8m:4=1:9l72g=83.8m54<9g9m7d1=:;10c>96:18'7d>=;0l0b>o8:318?j5003:1(>o7:2;e?k5f?38?76a<7683>!5f0392j6`=h;h<1<7*;54o2c6>5<#;h21?4h4n2c4>71<3f9j87>5$2c;>6?a3g9j;7<7;:m0e6<72-9j47=6f:l0e2<5121d?l<50;&0e=<41o1e?l952`98k6g6290/?l6538d8j6g02;h07b=67;29 6g?2:3m7c=n7;0`?>i40j0;6)=n8;1:b>h4i>09h65`39394?"4i1085k5a3`596`=5<#;h215?5a3`594>=n180;6)=n8;;1?k5f?3;07d7?:18'7d>=1;1e?l952:9j!5f03397c=n7;78?l>d290/?l65939m7d1=>21b4o4?:%1b5<#;h215?5a3`59<>=n1k0;6)=n8;;1?k5f?3307d7n:18'7d>=1;1e?l95a:9j=<<72-9j477=;o1b3?d<3`336=4+3`:9=7=i;h=1o65f9683>!5f03397c=n7;f8?l?1290/?l65939m7d1=m21b584?:%1b5<#;h215?5a3`5955=h4i>0:=65`25;94?"4i109855a3`594>=h:==1<7*=h:=?1<7*=h:=91<7*=h:=:1<7*=h::o1<7*=h::i1<7*=h::k1<7*=h::21<7*6=4+3`:961>4?:%1b3:9l664=83.8m54=499m7d1=9=10c?=>:18'7d>=:=20b>o8:078?j4483:1(>o7:36;?k5f?3;=76a=2g83>!5f038?46`=h:;o1<7*5<#;h21>964n2c4>4?<3f8><7>5$2c;>72?3g9j;7?n;:m10c<72-9j47<;8:l0e2<6j21d>9k50;&0e=<5<11e?l951b98k72c290/?l6525:8j6g028n07b<;c;29 6g?2;>37c=n7;3f?>i5h4i>0:j65`25c94?"4i109855a3`5965=96=4+3`:961>n4?:%1bo8:09K7ge<3`i=6=4+3`:9g==i;h=1>6F!5f03i37c=n7;68L6dd32ch?7>5$2c;>f>2B8nn54ib394?"4i10h46`oc93:1(>o7:b:8j6g0201C?om4;hf3>5<#;h21o55a3`59e>N4jj10enh50;&0e==nkl0;6)=n8;a;?k5f?3i0D>ll;:k``?6=,:k36n64n2c4>a=O;ki07dml:18'7d>=k11e?l95e:J0ff=h4i>0m7E=mc:9jgd<72-9j47m7;o1b3?773A9io65fc183>!5f03i37c=n7;32?M5ek21vn9jj:1821d<729q/?n?521c8L6ee3A9h?6Tj7;`x<4<6>3=96;k513825?0d2>;1=>4>0;64>x"4990:n?m4n9794>h??3;0bll51:lbg?7h5800:7c<:1;38j66a281e?lo51:&0f2<4k91b=?:50;9j7g7=831b?o<50;9j573=831b?o=50;9j57>=831b=?=50;9l54c=831b?o;50;9j7g2=831b=?m50;9j7g6=831b=?950;9j574=831b=4;h`7>5<#;h21n85a3`595>=nj:0;6)=n8;`6?k5f?3807dl=:18'7d>=j<1e?l953:9jf4<72-9j47l:;o1b3?2<3`h;6=4+3`:9f0=i;h=1965fag83>!5f03h>7c=n7;48?lgb290/?l65b49m7d1=?21bnk4?:%1b5<#;h21n85a3`59=>=njm0;6)=n8;`6?k5f?3k07dll:18'7d>=j<1e?l95b:9jfg<72-9j47l:;o1b3?e<3`hj6=4+3`:9f0=i;h=1h65fb883>!5f03h>7c=n7;g8?ld?290/?l65b49m7d1=n21bn:4?:%1b47<3fnh6=4+3`:9`g=i;h=1<65`d`83>!5f03ni7c=n7;38?jb>290/?l65dc9m7d1=:21dh54?:%1b5<#;h21ho5a3`590>=hl?0;6)=n8;fa?k5f?3?07bj::18'7d>=lk1e?l956:9l`1<72-9j47jm;o1b3?1<3fo>6=4+3`:9`g=i;h=1465`e583>!5f03ni7c=n7;;8?jc4290/?l65dc9m7d1=i21di?4?:%1b5<#;h21ho5a3`59g>=hm90;6)=n8;fa?k5f?3n07bji:18'7d>=lk1e?l95e:9l``<72-9j47jm;o1b3?`<3fno6=4+3`:9`g=i;h=1==54oe194?"4i10on6`=n;?l1<7*=n;?i1<7*65f37`94?"4i108:h5a3`597>=n;?k1<7*=n;?21<7*=n;??1<7*=n;?91<7*=n;?;1<7*=n;=n;5<#;h21?;k4n2c4>47<3`9>m7>5$2c;>60b3g9j;7?=;:k01<<72-9j47=9e:l0e2<6;21b?8650;&0e=<4>l1e?l951598m630290/?l6537g8j6g028?07d=:6;29 6g?2:o4=<0;6)=n8;15a>h4i>0:;65f34694?"4i108:h5a3`595==8j;o1b3?7f32c89<4?:%1bb:9j71`=83.8m54<6d9m7d1=9j10e>:j:18'7d>=;?o0b>o8:0f8?l53l3:1(>o7:24f?k5f?3;n76g<4b83>!5f039=i6`=n;=h1<7*=54i26b>5<#;h21?;k4n2c4>77<3`9?57>5$2c;>60b3g9j;7<=;:k00=<72-9j47=9e:l0e2<5;21b?9950;&0e=<4>l1e?l952598m621290/?l6537g8j6g02;?07d=85;29 6g?2:o4?=0;6)=n8;15a>h4i>09;65f36194?"4i108:h5a3`596==8j;o1b3?4f32c8;=4?:%1b;m:18'7d>=;?o0b>o8:3f8?l5283:1(>o7:24f?k5f?38n76g<4483>!5f039=i6`=n9891<7*=n98:1<7*65f11d94?"4i10:=?5a3`597>=n99o1<7*=n99i1<7*=n9931<7*=n99=1<7*=n99?1<7*=n9991<7*=n99;1<7*5<#;h21=<<4n2c4>47<3`ln6=4+3`:95445<#;h21=<<4n2c4>45<3`lh6=4+3`:95445<#;h21=<<4n2c4>43<3`lj6=4+3`:95445<#;h21=<<4n2c4>41<3`l36=4+3`:95445<#;h21=<<4n2c4>4?<3`l=6=4+3`:95445<#;h21=<<4n2c4>4d<3`l86=4+3`:95445<#;h21=<<4n2c4>4b<3`l:6=4+3`:95445<#;h21=<<4n2c4>4`<3`om6=4+3`:95444;hgf>5<#;h21=<<4n2c4>77<3`oo6=4+3`:95445<#;h21=<<4n2c4>75<3`oi6=4+3`:95445<#;h21=<<4n2c4>73<3`;:57>5$2c;>4753g9j;7<9;:k25=<72-9j47?>2:l0e2<5?21b=<950;&0e=<69;1e?l952998m471290/?l651008j6g02;307d?>5;29 6g?28;97c=n7;0b?>o69=0;6)=n8;326>h4i>09n65f11c94?"4i10:=?5a3`596f=i54ig694?"4i10:=?5a3`596`=k54o21g>5<#;h21?>m4n2c4>5=5<#;h21?>m4n2c4>7=54o21;>5<#;h21?>m4n2c4>1=5<#;h21?>m4n2c4>3=6=4+3`:976e5<#;h21?>m4n2c4>==5<#;h21?>m4n2c4>d=5<#;h21?>m4n2c4>f=5<#;h21?>m4n2c4>`=5<#;h21?>m4n2c4>46<3f99m7>5$2c;>65d3g9j;7?>;:m06=<72-9j47=07b==5;29 6g?2:9h7c=n7;36?>i4:=0;6)=n8;10g>h4i>0::65`33194?"4i108?n5a3`5952==l;o1b3?7>32e8>=4?:%1ba:9l74`=83.8m54<3b9m7d1=9k10c>?k:18'7d>=;:i0b>o8:0a8?j56k3:1(>o7:21`?k5f?3;o76a<1c83>!5f0398o6`=h;8k1<7*5<#;h21?>m4n2c4>76<3f9:47>5$2c;>65d3g9j;7<>;:m052<72-9j47=07b=>4;29 6g?2:9h7c=n7;06?>i4<:0;6)=n8;10g>h4i>09:65`35094?"4i108?n5a3`5962=:6=4+3`:976e=l;o1b3?4>32e8?k4?:%1b=;:18'7d>=;:i0b>o8:3a8?j5513:1(>o7:21`?k5f?38o76a<1d83>!5f0398o6`=h;891<7*k54i22f>5<#;h21?=j4n2c4>5=5<#;h21?=j4n2c4>7=54i22:>5<#;h21?=j4n2c4>1=5<#;h21?=j4n2c4>3=5<#;h21=>l4n2c4>5=5<#;h21=>l4n2c4>7=54i014>5<#;h21=>l4n2c4>1=5<#;h21=>l4n2c4>3=5<#;h21=>l4n2c4>==?6=4+3`:956d5<#;h21=>l4n2c4>d=96=4+3`:956d5<#;h21=>l4n2c4>f=;6=4+3`:956d5<#;h21=>l4n2c4>`=5<#;h21=>l4n2c4>46<3`;8?7>5$2c;>45e3g9j;7?>;:m0e5<72-9j47=6f:l0e2<732e85h4?:%1bn2d8m:4>;:m0=a<72-9j47=6f:l0e2<532e85n4?:%1bn2d8m:4<;:m0=g<72-9j47=6f:l0e2<332e85l4?:%1bn2d8m:4:;:m0=<<72-9j47=6f:l0e2<132e8554?:%1bn2d8m:48;:m0=3<72-9j47=6f:l0e2n2d8m:46;:m0=1<72-9j47=6f:l0e24?:%1bn2d8m:4m;:m0=7<72-9j47=6f:l0e2n2d8m:4k;:m0=5<72-9j47=6f:l0e2n2d8m:4i;:m0<`<72-9j47=6f:l0e2<6821d?5j50;&0e=<41o1e?l951098k6>e290/?l6538d8j6g028807b=7a;29 6g?2:3m7c=n7;30?>i4000;6)=n8;1:b>h4i>0:865`39:94?"4i1085k5a3`5950=7i;o1b3?7032e8484?:%1bn2d8m:4>8:9l7=2=83.8m54<9g9m7d1=9010c>6<:18'7d>=;0l0b>o8:0c8?j5?:3:1(>o7:2;e?k5f?3;i76a<8183>!5f0392j6`=h;>l1<7*5<#;h21?4h4n2c4>4c<3f95$2c;>6?a3g9j;7?i;:m03f<72-9j47=6f:l0e2<5821d?:l50;&0e=<41o1e?l952098k61f290/?l6538d8j6g02;807b=89;29 6g?2:3m7c=n7;00?>i4?10;6)=n8;1:b>h4i>09865`36594?"4i1085k5a3`5960=7i;o1b3?4032e8m94?:%1bn2d8m:4=8:9l7d5=83.8m54<9g9m7d1=:010c>o=:18'7d>=;0l0b>o8:3c8?j5f93:1(>o7:2;e?k5f?38i76a<9683>!5f0392j6`=h;1i1<7*i54o2:2>5<#;h21?4h4n2c4>7c<3f9<:7>5$2c;>6?a3g9j;75=h4i>0:76g60;29 6g?2080b>o8:398m=`=83.8m5462:l0e2<432c3i7>5$2c;><46`o?k3:1(>o7:808j6g02?10e5l50;&0e=<>:2d8m:48;:k:g?6=,:k364<4n2c4>==h4i>0276g6a;29 6g?2080b>o8:`98m5$2c;><46`o>>3:1(>o7:808j6g02l10e4;50;&0e=<>:2d8m:4i;:k:0?6=,:k364<4n2c4>46<3`2j6=4+3`:9=7=i;h=1=<54o36:>5<#;h21>964n2c4>5=<6=4+3`:961>5<#;h21>964n2c4>7=>6=4+3`:961>54o367>5<#;h21>964n2c4>1=86=4+3`:961>5<#;h21>964n2c4>3=;6=4+3`:961>5<#;h21>964n2c4>==5<#;h21>964n2c4>d=5<#;h21>964n2c4>f=5<#;h21>964n2c4>`=5<#;h21>964n2c4>46<3f8897>5$2c;>72?3g9j;7?>;:m171<72-9j47<;8:l0e2<6:21d>>=50;&0e=<5<11e?l951298k755290/?l6525:8j6g028>07b<<1;29 6g?2;>37c=n7;36?>i5;90;6)=n8;07<>h4i>0::65`23d94?"4i109855a3`5952=32e99=4?:%1ba:9l61`=83.8m54=499m7d1=9k10c?:j:18'7d>=:=20b>o8:0a8?j43l3:1(>o7:36;?k5f?3;o76a=4b83>!5f038?46`=h:=h1<7*5<#;h21>964n2c4>76<3f8?>7>5$2c;>72?3g9j;7<>;:m172<72-9j47<;8:l0e2<5:21d>?m50;&0e=<5<11e?l952298mf?=83.8m54l8:l0e2<73A9io65fc683>!5f03i37c=n7;38L6dd32ch:7>5$2c;>f>4?:%1bod:3:1(>o7:b:8j6g02?1C?om4;ha2>5<#;h21o55a3`593>N4jj10ei<50;&0e==nl80;6)=n8;a;?k5f?330D>ll;:kg4?6=,:k36n64n2c4>d=O;ki07dmi:18'7d>=k11e?l95b:J0ff=h4i>0h7E=mc:9jga<72-9j47m7;o1b3?b<@:hh76glc;29 6g?2j20b>o8:d9K7ge<3`ii6=4+3`:9g==i;h=1j6FN4jj10qo:kf;2950g=83:p(>m>:32b?M5dj2B8o>5Ue68a=7=9?0<>78j:00954<1k3=:6<=511873?{#;8:1=o5=i0>0:7com:09mef<63g;:n7>4$03`>6623g;:j7>4n013>4=i:931=6`=5082?k57n3;0b>on:09'7g1=;j:0e<<;:188m6d62900e>l=:188m4422900e>l<:188m44?2900e<<<:188k47b2900e>l::188m6d32900e<2900e<<>:188k47c2900e>oi:188m6d12900eo850;&0e=4=h4i>0976gm2;29 6g?2k?0b>o8:298mg7=83.8m54m5:l0e2<332ci<7>5$2c;>g3ofm3:1(>o7:c78j6g02>10eoh50;&0e=<=h4i>0j76gmc;29 6g?2k?0b>o8:c98mgd=83.8m54m5:l0e25$2c;>g3oe03:1(>o7:c78j6g02o10eo950;&0e=0:9jea<72-9j47l:;o1b3?7632eoo7>5$2c;>adic13:1(>o7:e`8j6g02;10ci650;&0e=1=h4i>0>76ak5;29 6g?2mh0b>o8:798ka2=83.8m54kb:l0e2<032en97>5$2c;>adib;3:1(>o7:e`8j6g02h10ch<50;&0e=f=h4i>0o76akf;29 6g?2mh0b>o8:d98kac=83.8m54kb:l0e25$2c;>ad4;nf0>5<#;h21ho5a3`5954=5<#;h21?;k4n2c4>4=5<#;h21?;k4n2c4>6=5<#;h21?;k4n2c4>0=5<#;h21?;k4n2c4>2=6=4+3`:973c5<#;h21?;k4n2c4><=5<#;h21?;k4n2c4>g=5<#;h21?;k4n2c4>a=5<#;h21?;k4n2c4>c=4;h16g?6=,:k36>8j;o1b3?7632c89l4?:%1b2:9j70?=83.8m54<6d9m7d1=9:10e>;7:18'7d>=;?o0b>o8:068?l52?3:1(>o7:24f?k5f?3;>76g<5783>!5f039=i6`=n;5<#;h21?;k4n2c4>4><3`9>?7>5$2c;>60b3g9j;7?6;:k017<72-9j47=9e:l0e2<6i21b?8?50;&0e=<4>l1e?l951c98m62a290/?l6537g8j6g028i07d=;e;29 6g?2:o4h4i>0:i65f35a94?"4i108:h5a3`595c=i6=4+3`:973c4;h17e?6=,:k36>8j;o1b3?4632c8844?:%1b=83.8m54<6d9m7d1=::10e>:8:18'7d>=;?o0b>o8:368?l53>3:1(>o7:24f?k5f?38>76g<7483>!5f039=i6`=n;>>1<7*:54i250>5<#;h21?;k4n2c4>7><3`9<>7>5$2c;>60b3g9j;7<6;:k034<72-9j47=9e:l0e2<5i21b?:>50;&0e=<4>l1e?l952c98m601290/?l6537g8j6g02;i07d=:b;29 6g?2:o4=90;6)=n8;15a>h4i>09i65f35794?"4i108:h5a3`596c=5<#;h21=<<4n2c4>4=5<#;h21=<<4n2c4>6=5<#;h21=<<4n2c4>0=5<#;h21=<<4n2c4>2=5<#;h21=<<4n2c4><=5<#;h21=<<4n2c4>g=6=4+3`:95445<#;h21=<<4n2c4>a=5<#;h21=<<4n2c4>c=4;h334?6=,:k365$2c;>4753g9j;7?=;:ke`?6=,:k365$2c;>4753g9j;7?;;:kef?6=,:k365$2c;>4753g9j;7?9;:ke=?6=,:k365$2c;>4753g9j;7?7;:ke3?6=,:k3632cm:7>5$2c;>4753g9j;7?n;:ke1?6=,:k365$2c;>4753g9j;7?l;:ke6?6=,:k365$2c;>4753g9j;7?j;:ke4?6=,:k365$2c;>4753g9j;75$2c;>4753g9j;7<=;:kfg?6=,:k365$2c;>4753g9j;7<;;:kfe?6=,:k36=83.8m54>139m7d1=:>10e=9880b>o8:3:8?l76>3:1(>o7:031?k5f?38276g>1483>!5f03;:>6`=n98>1<7*o54i02b>5<#;h21=<<4n2c4>7e<3`lm6=4+3`:95445<#;h21=<<4n2c4>7c<3`o26=4+3`:9544=l;o1b3?6<3f98n7>5$2c;>65d3g9j;7?4;n10e?6=,:k36>=l;o1b3?4<3f9857>5$2c;>65d3g9j;7=4;n10=l;o1b3?2<3f98;7>5$2c;>65d3g9j;7;4;n102?6=,:k36>=l;o1b3?0<3f9897>5$2c;>65d3g9j;794;n107?6=,:k36>=l;o1b3?><3f98>7>5$2c;>65d3g9j;774;n105?6=,:k36>=l;o1b3?g<3f98<7>5$2c;>65d3g9j;7l4;n11b?6=,:k36>=l;o1b3?e<3f99i7>5$2c;>65d3g9j;7j4;n11`?6=,:k36>=l;o1b3?c<3f99o7>5$2c;>65d3g9j;7h4;n11f?6=,:k36>=l;o1b3?7732e8>l4?:%1b1:9l77>=83.8m54<3b9m7d1=9;10c><8:18'7d>=;:i0b>o8:018?j55>3:1(>o7:21`?k5f?3;?76a<2483>!5f0398o6`=h;;>1<7*5<#;h21?>m4n2c4>41<3f99>7>5$2c;>65d3g9j;7?7;:m064<72-9j47=50;&0e=<4;j1e?l951`98k67a290/?l6532a8j6g028h07b=>d;29 6g?2:9h7c=n7;3`?>i49j0;6)=n8;10g>h4i>0:h65`30`94?"4i108?n5a3`595`==l;o1b3?4732e8=54?:%1b?9:18'7d>=;:i0b>o8:318?j56=3:1(>o7:21`?k5f?38?76a<1583>!5f0398o6`=h;=91<7*;54o261>5<#;h21?>m4n2c4>71<3f9?=7>5$2c;>65d3g9j;7<7;:m005<72-9j47=h50;&0e=<4;j1e?l952`98k65b290/?l6532a8j6g02;h07b=<4;29 6g?2:9h7c=n7;0`?>i4:00;6)=n8;10g>h4i>09h65`30g94?"4i108?n5a3`596`=>k;o1b3?6<3`9;o7>5$2c;>66c3g9j;7?4;h13f?6=,:k36>>k;o1b3?4<3`9;m7>5$2c;>66c3g9j;7=4;h13=?6=,:k36>>k;o1b3?2<3`9;47>5$2c;>66c3g9j;7;4;h133?6=,:k36>>k;o1b3?0<3`9;:7>5$2c;>66c3g9j;794;h30g?6=,:k36<=m;o1b3?6<3`;8m7>5$2c;>45e3g9j;7?4;h30=?6=,:k36<=m;o1b3?4<3`;847>5$2c;>45e3g9j;7=4;h303?6=,:k36<=m;o1b3?2<3`;8:7>5$2c;>45e3g9j;7;4;h301?6=,:k36<=m;o1b3?0<3`;887>5$2c;>45e3g9j;794;h371?6=,:k36<=m;o1b3?><3`;?87>5$2c;>45e3g9j;774;h377?6=,:k36<=m;o1b3?g<3`;?>7>5$2c;>45e3g9j;7l4;h375?6=,:k36<=m;o1b3?e<3`;?<7>5$2c;>45e3g9j;7j4;h30b?6=,:k36<=m;o1b3?c<3`;8i7>5$2c;>45e3g9j;7h4;h30`?6=,:k36<=m;o1b3?7732c:?>4?:%1b1:9l7d6=83.8m54<9g9m7d1=821d?4k50;&0e=<41o1e?l951:9l721d?4650;&0e=<41o1e?l957:9l7<0=83.8m54<9g9m7d1=021d?4;50;&0e=<41o1e?l959:9l7<2=83.8m54<9g9m7d1=i21d?4=50;&0e=<41o1e?l95b:9l7<4=83.8m54<9g9m7d1=k21d?4?50;&0e=<41o1e?l95d:9l7<6=83.8m54<9g9m7d1=m21d?5h50;&0e=<41o1e?l95f:9l7=c=83.8m54<9g9m7d1=9910c>6k:18'7d>=;0l0b>o8:038?j5?j3:1(>o7:2;e?k5f?3;976a<8`83>!5f0392j6`=h;131<7*5<#;h21?4h4n2c4>43<3f93;7>5$2c;>6?a3g9j;7?9;:m0<3<72-9j47=6f:l0e2<6?21d?5;50;&0e=<41o1e?l951998k6>3290/?l6538d8j6g028307b=73;29 6g?2:3m7c=n7;3b?>i40;0;6)=n8;1:b>h4i>0:n65`39294?"4i1085k5a3`595f=7i;o1b3?7b32e8;i4?:%1bn2d8m:4>f:9l72e=83.8m54<9g9m7d1=:910c>9m:18'7d>=;0l0b>o8:338?j50i3:1(>o7:2;e?k5f?38976a<7883>!5f0392j6`=h;>21<7*954o254>5<#;h21?4h4n2c4>73<3f9j:7>5$2c;>6?a3g9j;7<9;:m0e0<72-9j47=6f:l0e2<5?21d?l:50;&0e=<41o1e?l952998k6g4290/?l6538d8j6g02;307b=n2;29 6g?2:3m7c=n7;0b?>i4i80;6)=n8;1:b>h4i>09n65`38594?"4i1085k5a3`596f=7i;o1b3?4b32e8;;4?:%1bn2d8m:4=f:9j=6<72-9j477=;o1b3?6<3`3:6=4+3`:9=7=i;h=1=65f9183>!5f03397c=n7;08?l>a290/?l65939m7d1=;21b4h4?:%1b5<#;h215?5a3`591>=n0j0;6)=n8;;1?k5f?3<07d6m:18'7d>=1;1e?l957:9j=f<72-9j477=;o1b3?><3`3i6=4+3`:9=7=i;h=1565f9`83>!5f03397c=n7;c8?l?>290/?l65939m7d1=j21b554?:%1b5<#;h215?5a3`59`>=n1?0;6)=n8;;1?k5f?3o07d7::18'7d>=1;1e?l95f:9j=1<72-9j477=;o1b3?7732c3m7>5$2c;><45$2c;>72?3g9j;7?4;n072?6=,:k36?:7;o1b3?4<3f8?97>5$2c;>72?3g9j;7=4;n070?6=,:k36?:7;o1b3?2<3f8??7>5$2c;>72?3g9j;7;4;n075?6=,:k36?:7;o1b3?0<3f8?<7>5$2c;>72?3g9j;794;n00b?6=,:k36?:7;o1b3?><3f88i7>5$2c;>72?3g9j;774;n00`?6=,:k36?:7;o1b3?g<3f88o7>5$2c;>72?3g9j;7l4;n00f?6=,:k36?:7;o1b3?e<3f88m7>5$2c;>72?3g9j;7j4;n00=?6=,:k36?:7;o1b3?c<3f8847>5$2c;>72?3g9j;7h4;n002?6=,:k36?:7;o1b3?7732e9?84?:%1b1:9l662=83.8m54=499m7d1=9;10c?=<:18'7d>=:=20b>o8:018?j44:3:1(>o7:36;?k5f?3;?76a=3083>!5f038?46`=h:::1<7*5<#;h21>964n2c4>41<3f89i7>5$2c;>72?3g9j;7?7;:m16a<72-9j47<;8:l0e2<6121d>8>50;&0e=<5<11e?l951`98k72a290/?l6525:8j6g028h07b<;e;29 6g?2;>37c=n7;3`?>i5h4i>0:h65`25a94?"4i109855a3`595`=i6=4+3`:961>=:=20b>o8:318?le>290/?l65c99m7d1=82B8nn54ib594?"4i10h46`od=3:1(>o7:b:8j6g02:1C?om4;ha7>5<#;h21o55a3`590>N4jj10en=50;&0e==nk;0;6)=n8;a;?k5f?3<0D>ll;:k`5?6=,:k36n64n2c4>2=O;ki07dj=:18'7d>=k11e?l958:J0ff=h4i>027E=mc:9j`5<72-9j47m7;o1b3?g<@:hh76glf;29 6g?2j20b>o8:c9K7ge<3`in6=4+3`:9g==i;h=1o6F!5f03i37c=n7;g8L6dd32chn7>5$2c;>f>5<#;h21o55a3`5954=O;ki07pl;e183>43f290;w)=l1;03e>N4kk1C?n=4Zd59f~>628<1;?49e;31>47=>j0<=7?<:02902h48o0:7c=na;38 6d02:i;7d?=4;29?l5e93:17d=m2;29?l75=3:17d=m3;29?l7503:17d?=3;29?j76m3:17d=m5;29?l5e<3:17d?=c;29?l5e83:17d?=7;29?l75:3:17d?>a;29?l7513:17d?=1;29?j76l3:17d=nf;29?l5e>3:17dl9:18'7d>=j<1e?l950:9jf1<72-9j47l:;o1b3?7<3`h86=4+3`:9f0=i;h=1>65fb383>!5f03h>7c=n7;18?ld6290/?l65b49m7d1=<21bn=4?:%1b5<#;h21n85a3`592>=nil0;6)=n8;`6?k5f?3=07dli:18'7d>=j<1e?l958:9jf`<72-9j47l:;o1b3??<3`ho6=4+3`:9f0=i;h=1m65fbb83>!5f03h>7c=n7;`8?lde290/?l65b49m7d1=k21bnl4?:%1b5<#;h21n85a3`59a>=nj10;6)=n8;`6?k5f?3l07dl8:18'7d>=j<1e?l951198mdb=83.8m54m5:l0e2<6921dhn4?:%1b4;nfb>5<#;h21ho5a3`595>=hl00;6)=n8;fa?k5f?3807bj7:18'7d>=lk1e?l953:9l`2<72-9j47jm;o1b3?2<3fn=6=4+3`:9`g=i;h=1965`d483>!5f03ni7c=n7;48?jb3290/?l65dc9m7d1=?21di84?:%1b5<#;h21ho5a3`59=>=hm:0;6)=n8;fa?k5f?3k07bk=:18'7d>=lk1e?l95b:9la4<72-9j47jm;o1b3?e<3fo;6=4+3`:9`g=i;h=1h65`dg83>!5f03ni7c=n7;g8?jbb290/?l65dc9m7d1=n21dhi4?:%1b47<3`9=j7>5$2c;>60b3g9j;7>4;h15`?6=,:k36>8j;o1b3?7<3`9=o7>5$2c;>60b3g9j;7<4;h15f?6=,:k36>8j;o1b3?5<3`9=m7>5$2c;>60b3g9j;7:4;h15=?6=,:k36>8j;o1b3?3<3`9=47>5$2c;>60b3g9j;784;h153?6=,:k36>8j;o1b3?1<3`9=97>5$2c;>60b3g9j;764;h150?6=,:k36>8j;o1b3??<3`9=?7>5$2c;>60b3g9j;7o4;h156?6=,:k36>8j;o1b3?d<3`9==7>5$2c;>60b3g9j;7m4;h154?6=,:k36>8j;o1b3?b<3`9>j7>5$2c;>60b3g9j;7k4;h16a?6=,:k36>8j;o1b3?`<3`9>h7>5$2c;>60b3g9j;7??;:k01f<72-9j47=9e:l0e2<6921b?8o50;&0e=<4>l1e?l951398m63>290/?l6537g8j6g028907d=:8;29 6g?2:o4=>0;6)=n8;15a>h4i>0:965f34494?"4i108:h5a3`5953=6=4+3`:973c8j;o1b3?7?32c89>4?:%1b9:9j704=83.8m54<6d9m7d1=9h10e>;>:18'7d>=;?o0b>o8:0`8?l53n3:1(>o7:24f?k5f?3;h76g<4d83>!5f039=i6`=n;=n1<7*5<#;h21?;k4n2c4>4`<3`9?n7>5$2c;>60b3g9j;7l1e?l952398m62?290/?l6537g8j6g02;907d=;7;29 6g?2:o4h4i>09965f36794?"4i108:h5a3`5963=8j;o1b3?4?32c8;?4?:%1b9?:18'7d>=;?o0b>o8:3`8?l51>3:1(>o7:24f?k5f?38h76g<5c83>!5f039=i6`=n;<:1<7*h54i266>5<#;h21?;k4n2c4>7`<3`;:?7>5$2c;>4753g9j;7>4;h325?6=,:k365$2c;>4753g9j;7<4;h33b?6=,:k365$2c;>4753g9j;7:4;h33`?6=,:k365$2c;>4753g9j;784;h33f?6=,:k365$2c;>4753g9j;764;h335$2c;>4753g9j;7o4;h332?6=,:k365$2c;>4753g9j;7m4;h330?6=,:k365$2c;>4753g9j;7k4;h336?6=,:k365$2c;>4753g9j;7??;:k245<72-9j47?>2:l0e2<6921bjh4?:%1b2:9jba<72-9j47?>2:l0e2<6;21bjn4?:%1b4:9jbg<72-9j47?>2:l0e2<6=21bjl4?:%1b6:9jb<<72-9j47?>2:l0e2<6?21bj54?:%1b8:9jb2<72-9j47?>2:l0e2<6121bj;4?:%1ba:9jb0<72-9j47?>2:l0e2<6j21bj>4?:%1bc:9jb7<72-9j47?>2:l0e2<6l21bj<4?:%1be:9jb5<72-9j47?>2:l0e2<6n21bik4?:%1b2:l0e2<5921bii4?:%1b2:l0e2<5;21bio4?:%1b2:l0e2<5=21b=<750;&0e=<69;1e?l952798m47?290/?l651008j6g02;=07d?>7;29 6g?28;97c=n7;0;?>o69?0;6)=n8;326>h4i>09565f10794?"4i10:=?5a3`596d=5$2c;>4753g9j;75$2c;>4753g9j;7;:m07d<72-9j47=h4?:%1bn4?:%1bi4:?0;6)=n8;10g>h4i>0:865`33794?"4i108?n5a3`5950==l;o1b3?7032e8>?4?:%1b8:9l777=83.8m54<3b9m7d1=9010c>=;:i0b>o8:0c8?j56n3:1(>o7:21`?k5f?3;i76a<1e83>!5f0398o6`=h;8i1<7*5<#;h21?>m4n2c4>4c<3f9:m7>5$2c;>65d3g9j;7?i;:m05<<72-9j47=6;29 6g?2:9h7c=n7;00?>i49<0;6)=n8;10g>h4i>09865`30694?"4i108?n5a3`5960=86=4+3`:976e=l;o1b3?4032e88<4?:%1b=i:18'7d>=;:i0b>o8:3c8?j54m3:1(>o7:21`?k5f?38i76a<3583>!5f0398o6`=h;;31<7*i54o23f>5<#;h21?>m4n2c4>7c<3f9:?7>5$2c;>65d3g9j;7;:k04g<72-9j47=?d:l0e2<532c8;:k27<<72-9j47?=50;&0e=<6;k1e?l951098k6g7290/?l6538d8j6g02910c>7j:18'7d>=;0l0b>o8:098k6?c290/?l6538d8j6g02;10c>7l:18'7d>=;0l0b>o8:298k6?e290/?l6538d8j6g02=10c>7n:18'7d>=;0l0b>o8:498k6?>290/?l6538d8j6g02?10c>77:18'7d>=;0l0b>o8:698k6?1290/?l6538d8j6g02110c>7::18'7d>=;0l0b>o8:898k6?3290/?l6538d8j6g02h10c>7<:18'7d>=;0l0b>o8:c98k6?5290/?l6538d8j6g02j10c>7>:18'7d>=;0l0b>o8:e98k6?7290/?l6538d8j6g02l10c>6i:18'7d>=;0l0b>o8:g98k6>b290/?l6538d8j6g028:07b=7d;29 6g?2:3m7c=n7;32?>i40k0;6)=n8;1:b>h4i>0:>65`39c94?"4i1085k5a3`5956=7i;o1b3?7232e84:4?:%1bn2d8m:4>6:9l7=0=83.8m54<9g9m7d1=9>10c>6::18'7d>=;0l0b>o8:0:8?j5?<3:1(>o7:2;e?k5f?3;276a<8283>!5f0392j6`=h;181<7*5<#;h21?4h4n2c4>4e<3f95$2c;>6?a3g9j;7?k;:m03`<72-9j47=6f:l0e2<6m21d?:j50;&0e=<41o1e?l951g98k61d290/?l6538d8j6g02;:07b=8b;29 6g?2:3m7c=n7;02?>i4?h0;6)=n8;1:b>h4i>09>65`36;94?"4i1085k5a3`5966=7i;o1b3?4232e8m;4?:%1bn2d8m:4=6:9l7d3=83.8m54<9g9m7d1=:>10c>o;:18'7d>=;0l0b>o8:3:8?j5f;3:1(>o7:2;e?k5f?38276a!5f0392j6`=h;h;1<7*o54o2;4>5<#;h21?4h4n2c4>7e<3f93o7>5$2c;>6?a3g9j;75$2c;><46`o?n3:1(>o7:808j6g02:10e5k50;&0e=<>:2d8m:4;;:k;`?6=,:k364<4n2c4>0=h4i>0=76g7b;29 6g?2080b>o8:698m5$2c;><46`o>13:1(>o7:808j6g02k10e4650;&0e=<>:2d8m:4l;:k:3?6=,:k364<4n2c4>a=h4i>0n76g65;29 6g?2080b>o8:g98m<2=83.8m5462:l0e2<6821b4l4?:%1b;:m10<<72-9j47<;8:l0e2<732e98:4?:%1b;:m103<72-9j47<;8:l0e2<532e9884?:%1b4?:%1b>;50;&0e=<5<11e?l951098k753290/?l6525:8j6g028807b<<3;29 6g?2;>37c=n7;30?>i5;;0;6)=n8;07<>h4i>0:865`22394?"4i109855a3`5950=h4?:%1b8:9l67b=83.8m54=499m7d1=9010c?;?:18'7d>=:=20b>o8:0c8?j43n3:1(>o7:36;?k5f?3;i76a=4d83>!5f038?46`=h:=n1<7*5<#;h21>964n2c4>4c<3f8?n7>5$2c;>72?3g9j;7?i;:m10d<72-9j47<;8:l0e2<5821d>9<50;&0e=<5<11e?l952098k750290/?l6525:8j6g02;807b<=c;29 6g?2;>37c=n7;00?>od13:1(>o7:b:8j6g0291C?om4;ha4>5<#;h21o55a3`595>N4jj10en850;&0e==nk<0;6)=n8;a;?k5f?390D>ll;:k`0?6=,:k36n64n2c4>1=O;ki07dm<:18'7d>=k11e?l955:J0ff=h4i>0=7E=mc:9jg4<72-9j47m7;o1b3?1<@:hh76gk2;29 6g?2j20b>o8:99K7ge<3`n:6=4+3`:9g==i;h=156F!5f03i37c=n7;`8L6dd32chi7>5$2c;>f>odi3:1(>o7:b:8j6g028:0D>ll;:k`4?6=,:k36n64n2c4>47<@:hh76sm4d394?72i3:1m<;[g4>g}?93;=6:<56d826?762?i1;<4>3;33>11=u-9:<7?m2b9m<0<73g2<6<5aac82?kgd281e=4=i;9l1=6`2583>>o4j80;66g>o6:<0;66g>o6:10;66g>2283>>i69l0;66g>o4j=0;66g>2b83>>o4j90;66g>2683>>o6:;0;66g>1`83>>o6:00;66g>2083>>i69m0;66g>o4j?0;66gm6;29 6g?2k?0b>o8:198mg2=83.8m54m5:l0e2<632ci?7>5$2c;>g3oe93:1(>o7:c78j6g02=10eo>50;&0e=3=h4i>0<76gmf;29 6g?2k?0b>o8:998mgc=83.8m54m5:l0e2<>32cih7>5$2c;>g3oej3:1(>o7:c78j6g02j10eoo50;&0e=`=h4i>0m76gm7;29 6g?2k?0b>o8:028?lgc290/?l65b49m7d1=9810cim50;&0e=4=h4i>0976ak8;29 6g?2mh0b>o8:298ka1=83.8m54kb:l0e2<332eo:7>5$2c;>adic<3:1(>o7:e`8j6g02>10ch;50;&0e=<=h4i>0j76aj2;29 6g?2mh0b>o8:c98k`7=83.8m54kb:l0e25$2c;>adicm3:1(>o7:e`8j6g02o10cij50;&0e=0:9l`6<72-9j47jm;o1b3?7632c8:k4?:%1b32c8:>4?:%1b0:9j70e=83.8m54<6d9m7d1=9810e>;n:18'7d>=;?o0b>o8:008?l5213:1(>o7:24f?k5f?3;876g<5983>!5f039=i6`=n;<=1<7*5<#;h21?;k4n2c4>40<3`9>97>5$2c;>60b3g9j;7?8;:k011<72-9j47=9e:l0e2<6021b?8=50;&0e=<4>l1e?l951898m635290/?l6537g8j6g028k07d=:1;29 6g?2:o4h4i>0:o65f35g94?"4i108:h5a3`595a=o6=4+3`:973c8j;o1b3?7a32c88o4?:%1b:6:18'7d>=;?o0b>o8:308?l5303:1(>o7:24f?k5f?38876g<4683>!5f039=i6`=n;=<1<7*854i256>5<#;h21?;k4n2c4>70<3`9<87>5$2c;>60b3g9j;7<8;:k036<72-9j47=9e:l0e2<5021b?:<50;&0e=<4>l1e?l952898m616290/?l6537g8j6g02;k07d=80;29 6g?2:o4>?0;6)=n8;15a>h4i>09o65f34`94?"4i108:h5a3`596a=8j;o1b3?4a32c:=>4?:%1b2:l0e2<632c:==4?:%1b2:l0e2<432c:2:l0e2<232c:2:l0e2<032c:<44?:%1b2:l0e2<>32c:<:4?:%1b2:l0e22:l0e24?:%1b2:l0e20:9j556=83.8m54>139m7d1=9810ekk50;&0e=<69;1e?l951398mcb=83.8m54>139m7d1=9:10ekm50;&0e=<69;1e?l951598mcd=83.8m54>139m7d1=9<10eko50;&0e=<69;1e?l951798mc?=83.8m54>139m7d1=9>10ek650;&0e=<69;1e?l951998mc1=83.8m54>139m7d1=9010ek850;&0e=<69;1e?l951`98mc3=83.8m54>139m7d1=9k10ek=50;&0e=<69;1e?l951b98mc4=83.8m54>139m7d1=9m10ek?50;&0e=<69;1e?l951d98mc6=83.8m54>139m7d1=9o10ehh50;&0e=<69;1e?l952198m`c=83.8m54>139m7d1=:810ehj50;&0e=<69;1e?l952398m`e=83.8m54>139m7d1=::10ehl50;&0e=<69;1e?l952598m`g=83.8m54>139m7d1=:<10e=9880b>o8:348?l7603:1(>o7:031?k5f?38<76g>1683>!5f03;:>6`=n98<1<7*454i036>5<#;h21=<<4n2c4>7g<3`;:87>5$2c;>4753g9j;72:l0e2<5k21bjk4?:%1b2:l0e2<5m21bi44?:%1bl50;&0e=<4;j1e?l951:9l76g=83.8m54<3b9m7d1=:21d?>750;&0e=<4;j1e?l953:9l76>=83.8m54<3b9m7d1=<21d?>950;&0e=<4;j1e?l955:9l760=83.8m54<3b9m7d1=>21d?>;50;&0e=<4;j1e?l957:9l765=83.8m54<3b9m7d1=021d?><50;&0e=<4;j1e?l959:9l767=83.8m54<3b9m7d1=i21d?>>50;&0e=<4;j1e?l95b:9l77`=83.8m54<3b9m7d1=k21d??k50;&0e=<4;j1e?l95d:9l77b=83.8m54<3b9m7d1=m21d??m50;&0e=<4;j1e?l95f:9l77d=83.8m54<3b9m7d1=9910c>=;:i0b>o8:038?j5503:1(>o7:21`?k5f?3;976a<2683>!5f0398o6`=h;;<1<7*5<#;h21?>m4n2c4>43<3f9987>5$2c;>65d3g9j;7?9;:m066<72-9j47=i49o0;6)=n8;10g>h4i>0:n65`30f94?"4i108?n5a3`595f==l;o1b3?7b32e8=l4?:%1bf:9l74?=83.8m54<3b9m7d1=:910c>?7:18'7d>=;:i0b>o8:338?j56?3:1(>o7:21`?k5f?38976a<1783>!5f0398o6`=h;8?1<7*954o237>5<#;h21?>m4n2c4>73<3f9??7>5$2c;>65d3g9j;7<9;:m007<72-9j47=i4;l0;6)=n8;10g>h4i>09n65`32694?"4i108?n5a3`596f==l;o1b3?4b32e8=>4?:%1b21b?=850;&0e=<48m1e?l957:9j56e=83.8m54>3c9m7d1=821b=>o50;&0e=<6;k1e?l951:9j56?=83.8m54>3c9m7d1=:21b=>650;&0e=<6;k1e?l953:9j561=83.8m54>3c9m7d1=<21b=>850;&0e=<6;k1e?l955:9j563=83.8m54>3c9m7d1=>21b=>:50;&0e=<6;k1e?l957:9j513=83.8m54>3c9m7d1=021b=9:50;&0e=<6;k1e?l959:9j515=83.8m54>3c9m7d1=i21b=9<50;&0e=<6;k1e?l95b:9j517=83.8m54>3c9m7d1=k21b=9>50;&0e=<6;k1e?l95d:9j56`=83.8m54>3c9m7d1=m21b=>k50;&0e=<6;k1e?l95f:9j56b=83.8m54>3c9m7d1=9910e<=<:18'7d>=9:h0b>o8:038?j5f83:1(>o7:2;e?k5f?3:07b=6e;29 6g?2:3m7c=n7;38?j5>l3:1(>o7:2;e?k5f?3807b=6c;29 6g?2:3m7c=n7;18?j5>j3:1(>o7:2;e?k5f?3>07b=6a;29 6g?2:3m7c=n7;78?j5>13:1(>o7:2;e?k5f?3<07b=68;29 6g?2:3m7c=n7;58?j5>>3:1(>o7:2;e?k5f?3207b=65;29 6g?2:3m7c=n7;;8?j5><3:1(>o7:2;e?k5f?3k07b=63;29 6g?2:3m7c=n7;`8?j5>:3:1(>o7:2;e?k5f?3i07b=61;29 6g?2:3m7c=n7;f8?j5>83:1(>o7:2;e?k5f?3o07b=7f;29 6g?2:3m7c=n7;d8?j5?m3:1(>o7:2;e?k5f?3;;76a<8e83>!5f0392j6`=h;1h1<7*5<#;h21?4h4n2c4>45<3f9357>5$2c;>6?a3g9j;7?;;:m0<=<72-9j47=6f:l0e2<6=21d?5950;&0e=<41o1e?l951798k6>1290/?l6538d8j6g028=07b=75;29 6g?2:3m7c=n7;3;?>i40=0;6)=n8;1:b>h4i>0:565`39194?"4i1085k5a3`595d=7i;o1b3?7d32e8;k4?:%1bn2d8m:4>d:9l72c=83.8m54<9g9m7d1=9l10c>9k:18'7d>=;0l0b>o8:0d8?j50k3:1(>o7:2;e?k5f?38;76a<7c83>!5f0392j6`=h;>k1<7*?54o25:>5<#;h21?4h4n2c4>75<3f9<47>5$2c;>6?a3g9j;7<;;:m032<72-9j47=6f:l0e2<5=21d?l850;&0e=<41o1e?l952798k6g2290/?l6538d8j6g02;=07b=n4;29 6g?2:3m7c=n7;0;?>i4i:0;6)=n8;1:b>h4i>09565`3`094?"4i1085k5a3`596d=7i;o1b3?4d32e84n4?:%1bn2d8m:4=d:9l7=7=83.8m54<9g9m7d1=:l10c>99:18'7d>=;0l0b>o8:3d8?l?4290/?l65939m7d1=821b5<4?:%1b5<#;h215?5a3`596>=n0o0;6)=n8;;1?k5f?3907d6j:18'7d>=1;1e?l954:9j!5f03397c=n7;58?l?d290/?l65939m7d1=021b5o4?:%1b5<#;h215?5a3`59e>=n100;6)=n8;;1?k5f?3h07d77:18'7d>=1;1e?l95c:9j=2<72-9j477=;o1b3?b<3`3=6=4+3`:9=7=i;h=1i65f9483>!5f03397c=n7;d8?l?3290/?l65939m7d1=9910e5o50;&0e=<>:2d8m:4>1:9l61?=83.8m54=499m7d1=821d>9950;&0e=<5<11e?l951:9l610=83.8m54=499m7d1=:21d>9;50;&0e=<5<11e?l953:9l612=83.8m54=499m7d1=<21d>9=50;&0e=<5<11e?l955:9l617=83.8m54=499m7d1=>21d>9>50;&0e=<5<11e?l957:9l66`=83.8m54=499m7d1=021d>>k50;&0e=<5<11e?l959:9l66b=83.8m54=499m7d1=i21d>>m50;&0e=<5<11e?l95b:9l66d=83.8m54=499m7d1=k21d>>o50;&0e=<5<11e?l95d:9l66?=83.8m54=499m7d1=m21d>>650;&0e=<5<11e?l95f:9l660=83.8m54=499m7d1=9910c?=::18'7d>=:=20b>o8:038?j44<3:1(>o7:36;?k5f?3;976a=3283>!5f038?46`=h::81<7*5<#;h21>964n2c4>43<3f88<7>5$2c;>72?3g9j;7?9;:m16c<72-9j47<;8:l0e2<6?21d>?k50;&0e=<5<11e?l951998k74c290/?l6525:8j6g028307b<:0;29 6g?2;>37c=n7;3b?>i5h4i>0:n65`25g94?"4i109855a3`595f=o6=4+3`:961>f:9l61g=83.8m54=499m7d1=:910c?:=:18'7d>=:=20b>o8:338?j44?3:1(>o7:36;?k5f?38976a=2b83>!5f038?46`=nk00;6)=n8;a;?k5f?3:0D>ll;:k`3?6=,:k36n64n2c4>4=O;ki07dm9:18'7d>=k11e?l952:J0ff=h4i>087E=mc:9jg1<72-9j47m7;o1b3?2<@:hh76gl3;29 6g?2j20b>o8:49K7ge<3`i96=4+3`:9g==i;h=1:6F!5f03i37c=n7;:8L6dd32co=7>5$2c;>f>odl3:1(>o7:b:8j6g02m1C?om4;ha`>5<#;h21o55a3`59a>N4jj10enl50;&0e==nkh0;6)=n8;a;?k5f?3;;7E=mc:9jg5<72-9j47m7;o1b3?763A9io65rb5g1>5<6=h0;6=u+3b3965g<@:ii7E=l3:Xf3?d|080::79=:7g957<693b3a8j=3=82d3;7?4n``95>hfk3;0b;o065?7"4j>08o=5f13694?=n;k;1<75f3c094?=n9;?1<75f3c194?=n9;21<75f13194?=h98o1<75f3c794?=n;k>1<75f13a94?=n;k:1<75f13594?=n9;81<75f10c94?=n9;31<75f13394?=h98n1<75f3`d94?=n;k<1<75fb783>!5f03h>7c=n7;28?ld3290/?l65b49m7d1=921bn>4?:%1b5<#;h21n85a3`597>=nj80;6)=n8;`6?k5f?3>07dl?:18'7d>=j<1e?l955:9jec<72-9j47l:;o1b3?0<3`kn6=4+3`:9f0=i;h=1;65fbg83>!5f03h>7c=n7;:8?ldb290/?l65b49m7d1=121bni4?:%1b5<#;h21n85a3`59f>=njk0;6)=n8;`6?k5f?3i07dln:18'7d>=j<1e?l95d:9jf<<72-9j47l:;o1b3?c<3`h36=4+3`:9f0=i;h=1j65fb683>!5f03h>7c=n7;33?>ofl3:1(>o7:c78j6g028;07bjl:18'7d>=lk1e?l950:9l`d<72-9j47jm;o1b3?7<3fn26=4+3`:9`g=i;h=1>65`d983>!5f03ni7c=n7;18?jb0290/?l65dc9m7d1=<21dh;4?:%1b5<#;h21ho5a3`592>=hl=0;6)=n8;fa?k5f?3=07bk::18'7d>=lk1e?l958:9la1<72-9j47jm;o1b3??<3fo86=4+3`:9`g=i;h=1m65`e383>!5f03ni7c=n7;`8?jc6290/?l65dc9m7d1=k21di=4?:%1b5<#;h21ho5a3`59a>=hll0;6)=n8;fa?k5f?3l07bjk:18'7d>=lk1e?l951198ka5=83.8m54kb:l0e2<6921b?;h50;&0e=<4>l1e?l950:9j73b=83.8m54<6d9m7d1=921b?;m50;&0e=<4>l1e?l952:9j73d=83.8m54<6d9m7d1=;21b?;o50;&0e=<4>l1e?l954:9j73?=83.8m54<6d9m7d1==21b?;650;&0e=<4>l1e?l956:9j731=83.8m54<6d9m7d1=?21b?;;50;&0e=<4>l1e?l958:9j732=83.8m54<6d9m7d1=121b?;=50;&0e=<4>l1e?l95a:9j734=83.8m54<6d9m7d1=j21b?;?50;&0e=<4>l1e?l95c:9j736=83.8m54<6d9m7d1=l21b?8h50;&0e=<4>l1e?l95e:9j70c=83.8m54<6d9m7d1=n21b?8j50;&0e=<4>l1e?l951198m63d290/?l6537g8j6g028;07d=:a;29 6g?2:o4=00;6)=n8;15a>h4i>0:?65f34:94?"4i108:h5a3`5951=8j;o1b3?7132c8984?:%1b7:9j702=83.8m54<6d9m7d1=9110e>;<:18'7d>=;?o0b>o8:0;8?l52:3:1(>o7:24f?k5f?3;j76g<5083>!5f039=i6`=n;=l1<7*5<#;h21?;k4n2c4>4b<3`9?h7>5$2c;>60b3g9j;7?j;:k00f<72-9j47=9e:l0e2<6n21b?9l50;&0e=<4>l1e?l952198m62f290/?l6537g8j6g02;;07d=;9;29 6g?2:o4<10;6)=n8;15a>h4i>09?65f35594?"4i108:h5a3`5961==6=4+3`:973c8j;o1b3?4132c8;94?:%1b9=:18'7d>=;?o0b>o8:3;8?l5093:1(>o7:24f?k5f?38j76g<7183>!5f039=i6`=n;?<1<7*n54i27a>5<#;h21?;k4n2c4>7b<3`9><7>5$2c;>60b3g9j;7139m7d1=921b=<>50;&0e=<69;1e?l952:9j55`=83.8m54>139m7d1=;21b==k50;&0e=<69;1e?l954:9j55b=83.8m54>139m7d1==21b==m50;&0e=<69;1e?l956:9j55d=83.8m54>139m7d1=?21b==750;&0e=<69;1e?l958:9j55>=83.8m54>139m7d1=121b==950;&0e=<69;1e?l95a:9j550=83.8m54>139m7d1=j21b==;50;&0e=<69;1e?l95c:9j552=83.8m54>139m7d1=l21b===50;&0e=<69;1e?l95e:9j554=83.8m54>139m7d1=n21b==?50;&0e=<69;1e?l951198m467290/?l651008j6g028;07dhj:18'7d>=9880b>o8:008?l`c290/?l651008j6g028907dhl:18'7d>=9880b>o8:068?l`e290/?l651008j6g028?07dhn:18'7d>=9880b>o8:048?l`>290/?l651008j6g028=07dh7:18'7d>=9880b>o8:0:8?l`0290/?l651008j6g028307dh9:18'7d>=9880b>o8:0c8?l`2290/?l651008j6g028h07dh<:18'7d>=9880b>o8:0a8?l`5290/?l651008j6g028n07dh>:18'7d>=9880b>o8:0g8?l`7290/?l651008j6g028l07dki:18'7d>=9880b>o8:328?lcb290/?l651008j6g02;;07dkk:18'7d>=9880b>o8:308?lcd290/?l651008j6g02;907dkm:18'7d>=9880b>o8:368?lcf290/?l651008j6g02;?07d?>9;29 6g?28;97c=n7;05?>o6910;6)=n8;326>h4i>09;65f10594?"4i10:=?5a3`596==139m7d1=:j10ekh50;&0e=<69;1e?l952e98mc2=83.8m54>139m7d1=:l10eh750;&0e=<69;1e?l952g98k65c290/?l6532a8j6g02910c>=m:18'7d>=;:i0b>o8:098k65f290/?l6532a8j6g02;10c>=6:18'7d>=;:i0b>o8:298k65?290/?l6532a8j6g02=10c>=8:18'7d>=;:i0b>o8:498k651290/?l6532a8j6g02?10c>=::18'7d>=;:i0b>o8:698k654290/?l6532a8j6g02110c>==:18'7d>=;:i0b>o8:898k656290/?l6532a8j6g02h10c>=?:18'7d>=;:i0b>o8:c98k64a290/?l6532a8j6g02j10c>=;:i0b>o8:e98k64c290/?l6532a8j6g02l10c>=;:i0b>o8:g98k64e290/?l6532a8j6g028:07b==a;29 6g?2:9h7c=n7;32?>i4:10;6)=n8;10g>h4i>0:>65`33594?"4i108?n5a3`5956==l;o1b3?7232e8>94?:%1b6:9l775=83.8m54<3b9m7d1=9>10c><=:18'7d>=;:i0b>o8:0:8?j5593:1(>o7:21`?k5f?3;276a<2183>!5f0398o6`=h;8l1<7*5<#;h21?>m4n2c4>4e<3f9:o7>5$2c;>65d3g9j;7?k;:m05g<72-9j47=290/?l6532a8j6g02;:07b=>8;29 6g?2:9h7c=n7;02?>i49>0;6)=n8;10g>h4i>09>65`30494?"4i108?n5a3`5966=6=4+3`:976e=l;o1b3?4232e88>4?:%1b10c>:>:18'7d>=;:i0b>o8:3:8?j5383:1(>o7:21`?k5f?38276a<3g83>!5f0398o6`=h;:o1<7*o54o217>5<#;h21?>m4n2c4>7e<3f9957>5$2c;>65d3g9j;7>l:18'7d>=;9n0b>o8:098m66e290/?l6531f8j6g02;10e>>n:18'7d>=;9n0b>o8:298m66>290/?l6531f8j6g02=10e>>7:18'7d>=;9n0b>o8:498m660290/?l6531f8j6g02?10e>>9:18'7d>=;9n0b>o8:698m45d290/?l6512`8j6g02910e<=n:18'7d>=9:h0b>o8:098m45>290/?l6512`8j6g02;10e<=7:18'7d>=9:h0b>o8:298m450290/?l6512`8j6g02=10e<=9:18'7d>=9:h0b>o8:498m452290/?l6512`8j6g02?10e<=;:18'7d>=9:h0b>o8:698m422290/?l6512`8j6g02110e<:;:18'7d>=9:h0b>o8:898m424290/?l6512`8j6g02h10e<:=:18'7d>=9:h0b>o8:c98m426290/?l6512`8j6g02j10e<:?:18'7d>=9:h0b>o8:e98m45a290/?l6512`8j6g02l10e<=j:18'7d>=9:h0b>o8:g98m45c290/?l6512`8j6g028:07d?<3;29 6g?289i7c=n7;32?>i4i90;6)=n8;1:b>h4i>0;76a<9d83>!5f0392j6`i41m0;6)=n8;1:b>h4i>0976a<9b83>!5f0392j6`i41k0;6)=n8;1:b>h4i>0?76a<9`83>!5f0392j6`i4100;6)=n8;1:b>h4i>0=76a<9983>!5f0392j6`i41?0;6)=n8;1:b>h4i>0376a<9483>!5f0392j6`i41=0;6)=n8;1:b>h4i>0j76a<9283>!5f0392j6`i41;0;6)=n8;1:b>h4i>0h76a<9083>!5f0392j6`i4190;6)=n8;1:b>h4i>0n76a<8g83>!5f0392j6`i40l0;6)=n8;1:b>h4i>0:<65`39f94?"4i1085k5a3`5954=7i;o1b3?7432e8444?:%1bn2d8m:4>4:9l7=>=83.8m54<9g9m7d1=9<10c>68:18'7d>=;0l0b>o8:048?j5?>3:1(>o7:2;e?k5f?3;<76a<8483>!5f0392j6`=h;1>1<7*5<#;h21?4h4n2c4>4g<3f93>7>5$2c;>6?a3g9j;7?m;:m0<5<72-9j47=6f:l0e2<6k21d?:h50;&0e=<41o1e?l951e98k61b290/?l6538d8j6g028o07b=8d;29 6g?2:3m7c=n7;3e?>i4?j0;6)=n8;1:b>h4i>09<65`36`94?"4i1085k5a3`5964=7i;o1b3?4432e8;54?:%1bn2d8m:4=4:9l721=83.8m54<9g9m7d1=:<10c>o9:18'7d>=;0l0b>o8:348?j5f=3:1(>o7:2;e?k5f?38<76a!5f0392j6`=h;h91<7*454o2c1>5<#;h21?4h4n2c4>7g<3f9j=7>5$2c;>6?a3g9j;76290/?l6538d8j6g02;o07b=86;29 6g?2:3m7c=n7;0e?>o>;3:1(>o7:808j6g02910e4?50;&0e=<>:2d8m:4>;:k:4?6=,:k364<4n2c4>7=h4i>0876g7e;29 6g?2080b>o8:598m=b=83.8m5462:l0e2<232c3o7>5$2c;><46`o>k3:1(>o7:808j6g02110e4l50;&0e=<>:2d8m:46;:k:e?6=,:k364<4n2c4>d=h4i>0i76g68;29 6g?2080b>o8:b98m<1=83.8m5462:l0e25$2c;><46`o><3:1(>o7:808j6g028:07d6n:18'7d>=1;1e?l951098k72>290/?l6525:8j6g02910c?:8:18'7d>=:=20b>o8:098k721290/?l6525:8j6g02;10c?:::18'7d>=:=20b>o8:298k723290/?l6525:8j6g02=10c?:<:18'7d>=:=20b>o8:498k726290/?l6525:8j6g02?10c?:?:18'7d>=:=20b>o8:698k75a290/?l6525:8j6g02110c?=j:18'7d>=:=20b>o8:898k75c290/?l6525:8j6g02h10c?=l:18'7d>=:=20b>o8:c98k75e290/?l6525:8j6g02j10c?=n:18'7d>=:=20b>o8:e98k75>290/?l6525:8j6g02l10c?=7:18'7d>=:=20b>o8:g98k751290/?l6525:8j6g028:07b<<5;29 6g?2;>37c=n7;32?>i5;=0;6)=n8;07<>h4i>0:>65`22194?"4i109855a3`5956=6:9l67`=83.8m54=499m7d1=9>10c?=:=20b>o8:0:8?j45l3:1(>o7:36;?k5f?3;276a=5183>!5f038?46`=h:=l1<7*5<#;h21>964n2c4>4e<3f8?h7>5$2c;>72?3g9j;7?k;:m10f<72-9j47<;8:l0e2<6m21d>9l50;&0e=<5<11e?l951g98k72f290/?l6525:8j6g02;:07b<;2;29 6g?2;>37c=n7;02?>i5;>0;6)=n8;07<>h4i>09>65`23a94?"4i109855a3`5966=h4i>0;7E=mc:9jg2<72-9j47m7;o1b3?7<@:hh76gl6;29 6g?2j20b>o8:39K7ge<3`i>6=4+3`:9g==i;h=1?6F!5f03i37c=n7;78L6dd32ch>7>5$2c;>f>oc83:1(>o7:b:8j6g02h1C?om4;hae>5<#;h21o55a3`59f>N4jj10enk50;&0e==nkm0;6)=n8;a;?k5f?3n0D>ll;:k`g?6=,:k36n64n2c4>`=O;ki07dmm:18'7d>=k11e?l95f:J0ff=h4i>0:<6Ft$2a2>76f3A9hn6F44=980=o79>:01955<3?3w/?<>51c0`?k>2291e4:4>;oca>4=iij0:7c?>b;28 47d2::>7c?>f;28j457281e>=751:l114<63g9;j7?4n2cb>4=#;k=1?n>4i007>5<5<6=44i2`0>5<5<5<5<5<5<5<5<oe<3:1(>o7:c78j6g02810eo=50;&0e=6=h4i>0?76gm0;29 6g?2k?0b>o8:498md`=83.8m54m5:l0e2<132cji7>5$2c;>g3oem3:1(>o7:c78j6g02010eoj50;&0e=g=h4i>0h76gma;29 6g?2k?0b>o8:e98mg?=83.8m54m5:l0e25$2c;>g3=nim0;6)=n8;`6?k5f?3;:76akc;29 6g?2mh0b>o8:198kag=83.8m54kb:l0e2<632eo57>5$2c;>adic?3:1(>o7:e`8j6g02=10ci850;&0e=3=1<7*h4i>0<76aj5;29 6g?2mh0b>o8:998k`2=83.8m54kb:l0e2<>32en?7>5$2c;>adib93:1(>o7:e`8j6g02j10ch>50;&0e=`=h4i>0m76akd;29 6g?2mh0b>o8:028?jb4290/?l65dc9m7d1=9810e>8i:18'7d>=;?o0b>o8:198m60c290/?l6537g8j6g02810e>8l:18'7d>=;?o0b>o8:398m60e290/?l6537g8j6g02:10e>8n:18'7d>=;?o0b>o8:598m60>290/?l6537g8j6g02<10e>87:18'7d>=;?o0b>o8:798m600290/?l6537g8j6g02>10e>8::18'7d>=;?o0b>o8:998m603290/?l6537g8j6g02010e>8<:18'7d>=;?o0b>o8:`98m605290/?l6537g8j6g02k10e>8>:18'7d>=;?o0b>o8:b98m607290/?l6537g8j6g02m10e>;i:18'7d>=;?o0b>o8:d98m63b290/?l6537g8j6g02o10e>;k:18'7d>=;?o0b>o8:028?l52k3:1(>o7:24f?k5f?3;:76g<5`83>!5f039=i6`=n;<31<7*54i27;>5<#;h21?;k4n2c4>42<3`9>;7>5$2c;>60b3g9j;7?:;:k013<72-9j47=9e:l0e2<6>21b?8;50;&0e=<4>l1e?l951698m633290/?l6537g8j6g028207d=:3;29 6g?2:o4=;0;6)=n8;15a>h4i>0:m65f34394?"4i108:h5a3`595g=m6=4+3`:973c8j;o1b3?7c32c88i4?:%1be:9j71e=83.8m54<6d9m7d1=9o10e>:m:18'7d>=;?o0b>o8:328?l53i3:1(>o7:24f?k5f?38:76g<4883>!5f039=i6`=n;=21<7*>54i264>5<#;h21?;k4n2c4>72<3`9?:7>5$2c;>60b3g9j;7<:;:k030<72-9j47=9e:l0e2<5>21b?::50;&0e=<4>l1e?l952698m614290/?l6537g8j6g02;207d=82;29 6g?2:o4?80;6)=n8;15a>h4i>09m65f36294?"4i108:h5a3`596g=8j;o1b3?4c32c89=4?:%1b=9880b>o8:198m476290/?l651008j6g02810e=9880b>o8:398m46a290/?l651008j6g02:10e<>j:18'7d>=9880b>o8:598m46c290/?l651008j6g02<10e<>l:18'7d>=9880b>o8:798m46e290/?l651008j6g02>10e<>6:18'7d>=9880b>o8:998m46?290/?l651008j6g02010e<>8:18'7d>=9880b>o8:`98m461290/?l651008j6g02k10e<>::18'7d>=9880b>o8:b98m463290/?l651008j6g02m10e<><:18'7d>=9880b>o8:d98m465290/?l651008j6g02o10e<>>:18'7d>=9880b>o8:028?l7783:1(>o7:031?k5f?3;:76gie;29 6g?28;97c=n7;31?>oal3:1(>o7:031?k5f?3;876gic;29 6g?28;97c=n7;37?>oaj3:1(>o7:031?k5f?3;>76gia;29 6g?28;97c=n7;35?>oa13:1(>o7:031?k5f?3;<76gi8;29 6g?28;97c=n7;3;?>oa?3:1(>o7:031?k5f?3;276gi6;29 6g?28;97c=n7;3b?>oa=3:1(>o7:031?k5f?3;i76gi3;29 6g?28;97c=n7;3`?>oa:3:1(>o7:031?k5f?3;o76gi1;29 6g?28;97c=n7;3f?>oa83:1(>o7:031?k5f?3;m76gjf;29 6g?28;97c=n7;03?>obm3:1(>o7:031?k5f?38:76gjd;29 6g?28;97c=n7;01?>obk3:1(>o7:031?k5f?38876gjb;29 6g?28;97c=n7;07?>obi3:1(>o7:031?k5f?38>76g>1883>!5f03;:>6`=n9821<7*:54i034>5<#;h21=<<4n2c4>7><3`;::7>5$2c;>4753g9j;7<6;:k250<72-9j47?>2:l0e2<5i21b=<:50;&0e=<69;1e?l952c98m46f290/?l651008j6g02;i07dhi:18'7d>=9880b>o8:3f8?l`3290/?l651008j6g02;o07dk6:18'7d>=9880b>o8:3d8?j54l3:1(>o7:21`?k5f?3:07b=o7:21`?k5f?3807b=<9;29 6g?2:9h7c=n7;18?j5403:1(>o7:21`?k5f?3>07b=<7;29 6g?2:9h7c=n7;78?j54>3:1(>o7:21`?k5f?3<07b=<5;29 6g?2:9h7c=n7;58?j54;3:1(>o7:21`?k5f?3207b=<2;29 6g?2:9h7c=n7;;8?j5493:1(>o7:21`?k5f?3k07b=<0;29 6g?2:9h7c=n7;`8?j55n3:1(>o7:21`?k5f?3i07b==e;29 6g?2:9h7c=n7;f8?j55l3:1(>o7:21`?k5f?3o07b==c;29 6g?2:9h7c=n7;d8?j55j3:1(>o7:21`?k5f?3;;76a<2`83>!5f0398o6`=h;;21<7*5<#;h21?>m4n2c4>45<3f99:7>5$2c;>65d3g9j;7?;;:m060<72-9j47=i4:80;6)=n8;10g>h4i>0:565`33294?"4i108?n5a3`595d==l;o1b3?7d32e8=n4?:%1bd:9l74d=83.8m54<3b9m7d1=9l10c>?n:18'7d>=;:i0b>o8:0d8?j5613:1(>o7:21`?k5f?38;76a<1983>!5f0398o6`=h;8=1<7*?54o235>5<#;h21?>m4n2c4>75<3f9:97>5$2c;>65d3g9j;7<;;:m051<72-9j47=i4<90;6)=n8;10g>h4i>09565`32d94?"4i108?n5a3`596d==l;o1b3?4d32e8>44?:%1b?<:18'7d>=;:i0b>o8:3d8?l57m3:1(>o7:22g?k5f?3:07d=?c;29 6g?2::o7c=n7;38?l57j3:1(>o7:22g?k5f?3807d=?a;29 6g?2::o7c=n7;18?l5713:1(>o7:22g?k5f?3>07d=?8;29 6g?2::o7c=n7;78?l57?3:1(>o7:22g?k5f?3<07d=?6;29 6g?2::o7c=n7;58?l74k3:1(>o7:01a?k5f?3:07d?o7:01a?k5f?3807d?<8;29 6g?289i7c=n7;18?l74?3:1(>o7:01a?k5f?3>07d?<6;29 6g?289i7c=n7;78?l74=3:1(>o7:01a?k5f?3<07d?<4;29 6g?289i7c=n7;58?l73=3:1(>o7:01a?k5f?3207d?;4;29 6g?289i7c=n7;;8?l73;3:1(>o7:01a?k5f?3k07d?;2;29 6g?289i7c=n7;`8?l7393:1(>o7:01a?k5f?3i07d?;0;29 6g?289i7c=n7;f8?l74n3:1(>o7:01a?k5f?3o07d?o7:01a?k5f?3;;76g>3283>!5f03;8n6`=h;h:1<7*=h;0n1<7*65`38a94?"4i1085k5a3`597>=h;0h1<7*=h;031<7*=h;0<1<7*=h;0>1<7*=h;081<7*=h;0:1<7*=h;1o1<7*5<#;h21?4h4n2c4>47<3f93n7>5$2c;>6?a3g9j;7?=;:m0?290/?l6538d8j6g028?07b=77;29 6g?2:3m7c=n7;35?>i40?0;6)=n8;1:b>h4i>0:;65`39794?"4i1085k5a3`595==7i;o1b3?7f32e84?4?:%1bn2d8m:4>b:9l7=6=83.8m54<9g9m7d1=9j10c>9i:18'7d>=;0l0b>o8:0f8?j50m3:1(>o7:2;e?k5f?3;n76a<7e83>!5f0392j6`=h;>i1<7*=54o25a>5<#;h21?4h4n2c4>77<3f95$2c;>6?a3g9j;7<=;:m03<<72-9j47=6f:l0e2<5;21d?:650;&0e=<41o1e?l952598k610290/?l6538d8j6g02;?07b=n6;29 6g?2:3m7c=n7;05?>i4i<0;6)=n8;1:b>h4i>09;65`3`694?"4i1085k5a3`596==7i;o1b3?4f32e8m<4?:%1bn2d8m:4=b:9l7<1=83.8m54<9g9m7d1=:j10c>6l:18'7d>=;0l0b>o8:3f8?j5?93:1(>o7:2;e?k5f?38n76a<7783>!5f0392j6`=n1:0;6)=n8;;1?k5f?3:07d7>:18'7d>=1;1e?l951:9j=5<72-9j477=;o1b3?4<3`2m6=4+3`:9=7=i;h=1?65f8d83>!5f03397c=n7;68?l>c290/?l65939m7d1==21b4n4?:%1b5<#;h215?5a3`593>=n1j0;6)=n8;;1?k5f?3207d7m:18'7d>=1;1e?l959:9j=d<72-9j477=;o1b3?g<3`326=4+3`:9=7=i;h=1n65f9983>!5f03397c=n7;a8?l?0290/?l65939m7d1=l21b5;4?:%1b5<#;h215?5a3`59b>=n1=0;6)=n8;;1?k5f?3;;76g7a;29 6g?2080b>o8:038?j4313:1(>o7:36;?k5f?3:07b<;7;29 6g?2;>37c=n7;38?j43>3:1(>o7:36;?k5f?3807b<;5;29 6g?2;>37c=n7;18?j43<3:1(>o7:36;?k5f?3>07b<;3;29 6g?2;>37c=n7;78?j4393:1(>o7:36;?k5f?3<07b<;0;29 6g?2;>37c=n7;58?j44n3:1(>o7:36;?k5f?3207b<37c=n7;;8?j44l3:1(>o7:36;?k5f?3k07b<37c=n7;`8?j44j3:1(>o7:36;?k5f?3i07b<37c=n7;f8?j4413:1(>o7:36;?k5f?3o07b<<8;29 6g?2;>37c=n7;d8?j44>3:1(>o7:36;?k5f?3;;76a=3483>!5f038?46`=h::>1<7*5<#;h21>964n2c4>45<3f88>7>5$2c;>72?3g9j;7?;;:m174<72-9j47<;8:l0e2<6=21d>>>50;&0e=<5<11e?l951798k74a290/?l6525:8j6g028=07b<=e;29 6g?2;>37c=n7;3;?>i5:m0;6)=n8;07<>h4i>0:565`24294?"4i109855a3`595d=m6=4+3`:961>d:9l61e=83.8m54=499m7d1=9l10c?:m:18'7d>=:=20b>o8:0d8?j43i3:1(>o7:36;?k5f?38;76a=4383>!5f038?46`=h::=1<7*?54o30`>5<#;h21>964n2c4>75<3`i26=4+3`:9g==i;h=1<6F!5f03i37c=n7;08L6dd32ch97>5$2c;>f>5G3ca8?le3290/?l65c99m7d1=<2B8nn54ib194?"4i10h46`od93:1(>o7:b:8j6g02>1C?om4;hf1>5<#;h21o55a3`59<>N4jj10ei?50;&0e==nl90;6)=n8;a;?k5f?3k0D>ll;:k`b?6=,:k36n64n2c4>g=O;ki07dmj:18'7d>=k11e?l95c:J0ff=h4i>0o7E=mc:9jgf<72-9j47m7;o1b3?c<@:hh76glb;29 6g?2j20b>o8:g9K7ge<3`ij6=4+3`:9g==i;h=1==5G3ca8?le7290/?l65c99m7d1=981C?om4;|`7a1<728?j6=4?{%1`5?47i2B8oo5G3b18^`1=jr2:6<857385a?7528;1:n481;30>46=<>0v(>??:0`1g>h?=3:0b5951:lbf?71c83?!76k39;96`>1g83?k7483;0b?>6:09m607=92d8;o1be?7<,:h<6>m?;h310?6=3`9i=7>5;h1a6?6=3`;997>5;h1a7?6=3`;947>5;h317?6=3f;:i7>5;h1a1?6=3`9i87>5;h31g?6=3`9i<7>5;h313?6=3`;9>7>5;h32e?6=3`;957>5;h315?6=3f;:h7>5;h1bb?6=3`9i:7>5;h`5>5<#;h21n85a3`594>=nj=0;6)=n8;`6?k5f?3;07dl<:18'7d>=j<1e?l952:9jf7<72-9j47l:;o1b3?5<3`h:6=4+3`:9f0=i;h=1865fb183>!5f03h>7c=n7;78?lga290/?l65b49m7d1=>21bmh4?:%1b5<#;h21n85a3`59<>=njl0;6)=n8;`6?k5f?3307dlk:18'7d>=j<1e?l95a:9jff<72-9j47l:;o1b3?d<3`hi6=4+3`:9f0=i;h=1o65fb`83>!5f03h>7c=n7;f8?ld>290/?l65b49m7d1=m21bn54?:%1b5<#;h21n85a3`5955=h4i>0:=65`db83>!5f03ni7c=n7;28?jbf290/?l65dc9m7d1=921dh44?:%1b5<#;h21ho5a3`597>=hl>0;6)=n8;fa?k5f?3>07bj9:18'7d>=lk1e?l955:9l`0<72-9j47jm;o1b3?0<3fn?6=4+3`:9`g=i;h=1;65`e483>!5f03ni7c=n7;:8?jc3290/?l65dc9m7d1=121di>4?:%1b5<#;h21ho5a3`59f>=hm80;6)=n8;fa?k5f?3i07bk?:18'7d>=lk1e?l95d:9l`c<72-9j47jm;o1b3?c<3fnn6=4+3`:9`g=i;h=1j65`de83>!5f03ni7c=n7;33?>ic;3:1(>o7:e`8j6g028;07d=9f;29 6g?2:o7:24f?k5f?3;07d=9c;29 6g?2:o7:24f?k5f?3907d=9a;29 6g?2:o7:24f?k5f?3?07d=98;29 6g?2:o7:24f?k5f?3=07d=95;29 6g?2:o7:24f?k5f?3307d=93;29 6g?2:o7:24f?k5f?3h07d=91;29 6g?2:o7:24f?k5f?3n07d=:f;29 6g?2:o7:24f?k5f?3l07d=:d;29 6g?2:o4=j0;6)=n8;15a>h4i>0:=65f34c94?"4i108:h5a3`5957=8j;o1b3?7332c89:4?:%1b5:9j700=83.8m54<6d9m7d1=9?10e>;::18'7d>=;?o0b>o8:058?l52<3:1(>o7:24f?k5f?3;376g<5283>!5f039=i6`=n;<81<7*5<#;h21?;k4n2c4>4d<3`9?j7>5$2c;>60b3g9j;7?l;:k00`<72-9j47=9e:l0e2<6l21b?9j50;&0e=<4>l1e?l951d98m62d290/?l6537g8j6g028l07d=;b;29 6g?2:o4h4i>09=65f35;94?"4i108:h5a3`5967=36=4+3`:973c8j;o1b3?4332c88;4?:%1b9;:18'7d>=;?o0b>o8:358?l50;3:1(>o7:24f?k5f?38376g<7383>!5f039=i6`=n;>;1<7*l54i253>5<#;h21?;k4n2c4>7d<3`9=:7>5$2c;>60b3g9j;750;&0e=<4>l1e?l952d98m622290/?l6537g8j6g02;l07d?>3;29 6g?28;97c=n7;28?l7693:1(>o7:031?k5f?3;07d?>0;29 6g?28;97c=n7;08?l77n3:1(>o7:031?k5f?3907d??e;29 6g?28;97c=n7;68?l77l3:1(>o7:031?k5f?3?07d??c;29 6g?28;97c=n7;48?l77j3:1(>o7:031?k5f?3=07d??9;29 6g?28;97c=n7;:8?l7703:1(>o7:031?k5f?3307d??7;29 6g?28;97c=n7;c8?l77>3:1(>o7:031?k5f?3h07d??5;29 6g?28;97c=n7;a8?l77<3:1(>o7:031?k5f?3n07d??3;29 6g?28;97c=n7;g8?l77:3:1(>o7:031?k5f?3l07d??1;29 6g?28;97c=n7;33?>o6890;6)=n8;326>h4i>0:=65ffd83>!5f03;:>6`=nnm0;6)=n8;326>h4i>0:?65ffb83>!5f03;:>6`=nnk0;6)=n8;326>h4i>0:965ff`83>!5f03;:>6`=nn00;6)=n8;326>h4i>0:;65ff983>!5f03;:>6`=nn>0;6)=n8;326>h4i>0:565ff783>!5f03;:>6`=nn<0;6)=n8;326>h4i>0:n65ff283>!5f03;:>6`=nn;0;6)=n8;326>h4i>0:h65ff083>!5f03;:>6`=nn90;6)=n8;326>h4i>0:j65feg83>!5f03;:>6`=nml0;6)=n8;326>h4i>09=65fee83>!5f03;:>6`=nmj0;6)=n8;326>h4i>09?65fec83>!5f03;:>6`=nmh0;6)=n8;326>h4i>09965f10;94?"4i10:=?5a3`5963=139m7d1=:h10e=9880b>o8:3`8?l77i3:1(>o7:031?k5f?38h76gif;29 6g?28;97c=n7;0g?>oa<3:1(>o7:031?k5f?38n76gj9;29 6g?28;97c=n7;0e?>i4;m0;6)=n8;10g>h4i>0;76a<3c83>!5f0398o6`i4;h0;6)=n8;10g>h4i>0976a<3883>!5f0398o6`i4;10;6)=n8;10g>h4i>0?76a<3683>!5f0398o6`i4;?0;6)=n8;10g>h4i>0=76a<3483>!5f0398o6`i4;:0;6)=n8;10g>h4i>0376a<3383>!5f0398o6`i4;80;6)=n8;10g>h4i>0j76a<3183>!5f0398o6`i4:o0;6)=n8;10g>h4i>0h76a<2d83>!5f0398o6`i4:m0;6)=n8;10g>h4i>0n76a<2b83>!5f0398o6`i4:k0;6)=n8;10g>h4i>0:<65`33c94?"4i108?n5a3`5954==l;o1b3?7432e8>;4?:%1b4:9l773=83.8m54<3b9m7d1=9<10c><;:18'7d>=;:i0b>o8:048?j55;3:1(>o7:21`?k5f?3;<76a<2383>!5f0398o6`=h;;;1<7*5<#;h21?>m4n2c4>4g<3f9:j7>5$2c;>65d3g9j;7?m;:m05a<72-9j47=a;29 6g?2:9h7c=n7;3e?>i4900;6)=n8;10g>h4i>09<65`30:94?"4i108?n5a3`5964==l;o1b3?4432e8=84?:%1b:<:18'7d>=;:i0b>o8:348?j53:3:1(>o7:21`?k5f?38<76a<4083>!5f0398o6`=h;=:1<7*454o21e>5<#;h21?>m4n2c4>7g<3f98i7>5$2c;>65d3g9j;73;29 6g?2:9h7c=n7;0e?>o48l0;6)=n8;13`>h4i>0;76g<0b83>!5f039;h6`o48k0;6)=n8;13`>h4i>0976g<0`83>!5f039;h6`o4800;6)=n8;13`>h4i>0?76g<0983>!5f039;h6`o48>0;6)=n8;13`>h4i>0=76g<0783>!5f039;h6`o6;j0;6)=n8;30f>h4i>0;76g>3`83>!5f03;8n6`o6;00;6)=n8;30f>h4i>0976g>3983>!5f03;8n6`o6;>0;6)=n8;30f>h4i>0?76g>3783>!5f03;8n6`o6;<0;6)=n8;30f>h4i>0=76g>3583>!5f03;8n6`o6<<0;6)=n8;30f>h4i>0376g>4583>!5f03;8n6`o6<:0;6)=n8;30f>h4i>0j76g>4383>!5f03;8n6`o6<80;6)=n8;30f>h4i>0h76g>4183>!5f03;8n6`o6;o0;6)=n8;30f>h4i>0n76g>3d83>!5f03;8n6`o6;m0;6)=n8;30f>h4i>0:<65f12194?"4i10:?o5a3`5954=5<#;h21?4h4n2c4>4=5<#;h21?4h4n2c4>6=5<#;h21?4h4n2c4>0=5<#;h21?4h4n2c4>2=5<#;h21?4h4n2c4><=5<#;h21?4h4n2c4>g=5<#;h21?4h4n2c4>a=5<#;h21?4h4n2c4>c=4;n1;`?6=,:k36>7i;o1b3?7632e84o4?:%1bn2d8m:4>2:9l7=g=83.8m54<9g9m7d1=9:10c>66:18'7d>=;0l0b>o8:068?j5?03:1(>o7:2;e?k5f?3;>76a<8683>!5f0392j6`=h;1<1<7*5<#;h21?4h4n2c4>4><3f9387>5$2c;>6?a3g9j;7?6;:m0<6<72-9j47=6f:l0e2<6i21d?5<50;&0e=<41o1e?l951c98k6>7290/?l6538d8j6g028i07b=8f;29 6g?2:3m7c=n7;3g?>i4?l0;6)=n8;1:b>h4i>0:i65`36f94?"4i1085k5a3`595c=4;n14f?6=,:k36>7i;o1b3?4632e8;l4?:%1bn2d8m:4=2:9l72?=83.8m54<9g9m7d1=::10c>97:18'7d>=;0l0b>o8:368?j50?3:1(>o7:2;e?k5f?38>76a!5f0392j6`=h;h?1<7*:54o2c7>5<#;h21?4h4n2c4>7><3f9j?7>5$2c;>6?a3g9j;7<6;:m0e7<72-9j47=6f:l0e2<5i21d?l?50;&0e=<41o1e?l952c98k6?0290/?l6538d8j6g02;i07b=7c;29 6g?2:3m7c=n7;0g?>i4080;6)=n8;1:b>h4i>09i65`36494?"4i1085k5a3`596c=h4i>0;76g61;29 6g?2080b>o8:098m<6=83.8m5462:l0e2<532c3j7>5$2c;><454i9g94?"4i102>6`o?l3:1(>o7:808j6g02<10e5m50;&0e=<>:2d8m:49;:k;f?6=,:k364<4n2c4>2=h4i>0376g6b;29 6g?2080b>o8:898m5$2c;><46`o>?3:1(>o7:808j6g02m10e4850;&0e=<>:2d8m:4j;:k:1?6=,:k364<4n2c4>c=1<7*h4i>0:<65f8`83>!5f03397c=n7;32?>i5<00;6)=n8;07<>h4i>0;76a=4683>!5f038?46`i5h4i>0976a=4483>!5f038?46`i5<=0;6)=n8;07<>h4i>0?76a=4283>!5f038?46`i5<80;6)=n8;07<>h4i>0=76a=4183>!5f038?46`i5;o0;6)=n8;07<>h4i>0376a=3d83>!5f038?46`i5;m0;6)=n8;07<>h4i>0j76a=3b83>!5f038?46`i5;k0;6)=n8;07<>h4i>0h76a=3`83>!5f038?46`i5;00;6)=n8;07<>h4i>0n76a=3983>!5f038?46`i5;?0;6)=n8;07<>h4i>0:<65`22794?"4i109855a3`5954=4:9l667=83.8m54=499m7d1=9<10c?=?:18'7d>=:=20b>o8:048?j45n3:1(>o7:36;?k5f?3;<76a=2d83>!5f038?46`=h:;n1<7*5<#;h21>964n2c4>4g<3f8?j7>5$2c;>72?3g9j;7?m;:m10`<72-9j47<;8:l0e2<6k21d>9j50;&0e=<5<11e?l951e98k72d290/?l6525:8j6g028o07b<;b;29 6g?2;>37c=n7;3e?>i5h4i>09<65`25094?"4i109855a3`5964=5$2c;>f>od<3:1(>o7:b:8j6g02=1C?om4;ha0>5<#;h21o55a3`591>N4jj10en<50;&0e==nk80;6)=n8;a;?k5f?3=0D>ll;:kg6?6=,:k36n64n2c4>==O;ki07dj>:18'7d>=k11e?l959:J0ff=h4i>0j7E=mc:9jgc<72-9j47m7;o1b3?d<@:hh76gle;29 6g?2j20b>o8:b9K7ge<3`io6=4+3`:9g==i;h=1h6F!5f03i37c=n7;d8L6dd32chm7>5$2c;>f>4H2``?>od83:1(>o7:b:8j6g028;0D>ll;:a0`3=83;>m7>50z&0g4<58h1C?nl4H2a0?_c02kq3=7?9:6092`<6:3;:6;m570827?772==1q)=>0;3a6f=i0<0;7c68:09meg<63gkh6<5a10`94>"69j08<85a10d94>h6;90:7c84?::k0f6<722c:>54?::k266<722e:=h4?::k0f0<722c8n94?::k26f<722c8n=4?::k262<722c:>?4?::k25d<722c:>44?::k264<722e:=i4?::k0ec<722c8n;4?::ka2?6=,:k36o;4n2c4>5=1<7*h4i>0:76gm3;29 6g?2k?0b>o8:398mg4=83.8m54m5:l0e2<432ci=7>5$2c;>g3ofn3:1(>o7:c78j6g02?10elk50;&0e===h4i>0276gmd;29 6g?2k?0b>o8:`98mge=83.8m54m5:l0e25$2c;>g3oe13:1(>o7:c78j6g02l10eo650;&0e=46<3`ko6=4+3`:9f0=i;h=1=<54oea94?"4i10on6`ici3:1(>o7:e`8j6g02810ci750;&0e=6=h4i>0?76ak6;29 6g?2mh0b>o8:498ka3=83.8m54kb:l0e2<132eo87>5$2c;>adib<3:1(>o7:e`8j6g02010ch=50;&0e=g=h4i>0h76aj0;29 6g?2mh0b>o8:e98ka`=83.8m54kb:l0e25$2c;>ad=hl:0;6)=n8;fa?k5f?3;:76g<6g83>!5f039=i6`o4>m0;6)=n8;15a>h4i>0:76g<6b83>!5f039=i6`o4>k0;6)=n8;15a>h4i>0876g<6`83>!5f039=i6`o4>00;6)=n8;15a>h4i>0>76g<6983>!5f039=i6`o4>>0;6)=n8;15a>h4i>0<76g<6483>!5f039=i6`o4>=0;6)=n8;15a>h4i>0276g<6283>!5f039=i6`o4>;0;6)=n8;15a>h4i>0i76g<6083>!5f039=i6`o4>90;6)=n8;15a>h4i>0o76g<5g83>!5f039=i6`o4=l0;6)=n8;15a>h4i>0m76g<5e83>!5f039=i6`=n;5<#;h21?;k4n2c4>44<3`9>57>5$2c;>60b3g9j;7?<;:k01=<72-9j47=9e:l0e2<6<21b?8950;&0e=<4>l1e?l951498m631290/?l6537g8j6g028<07d=:5;29 6g?2:o4==0;6)=n8;15a>h4i>0:465f34194?"4i108:h5a3`595<=8j;o1b3?7e32c88k4?:%1bc:9j71c=83.8m54<6d9m7d1=9m10e>:k:18'7d>=;?o0b>o8:0g8?l53k3:1(>o7:24f?k5f?3;m76g<4c83>!5f039=i6`=n;=k1<7*<54i26:>5<#;h21?;k4n2c4>74<3`9?47>5$2c;>60b3g9j;7<<;:k002<72-9j47=9e:l0e2<5<21b?9850;&0e=<4>l1e?l952498m612290/?l6537g8j6g02;<07d=84;29 6g?2:o4?:0;6)=n8;15a>h4i>09465f36094?"4i108:h5a3`596<=8j;o1b3?4e32c8:;4?:%1b;?:18'7d>=;?o0b>o8:3g8?l53=3:1(>o7:24f?k5f?38m76g>1283>!5f03;:>6`o6980;6)=n8;326>h4i>0:76g>1183>!5f03;:>6`o68o0;6)=n8;326>h4i>0876g>0d83>!5f03;:>6`o68m0;6)=n8;326>h4i>0>76g>0b83>!5f03;:>6`o68k0;6)=n8;326>h4i>0<76g>0883>!5f03;:>6`o6810;6)=n8;326>h4i>0276g>0683>!5f03;:>6`o68?0;6)=n8;326>h4i>0i76g>0483>!5f03;:>6`o68=0;6)=n8;326>h4i>0o76g>0283>!5f03;:>6`o68;0;6)=n8;326>h4i>0m76g>0083>!5f03;:>6`=n99:1<7*54iga94?"4i10:=?5a3`5951=<54idf94?"4i10:=?5a3`5967=>54id`94?"4i10:=?5a3`5961=854i03:>5<#;h21=<<4n2c4>70<3`;:47>5$2c;>4753g9j;7<8;:k252<72-9j47?>2:l0e2<5021b=<850;&0e=<69;1e?l952898m472290/?l651008j6g02;k07d?>4;29 6g?28;97c=n7;0a?>o68h0;6)=n8;326>h4i>09o65ffg83>!5f03;:>6`=nn=0;6)=n8;326>h4i>09i65fe883>!5f03;:>6`=h;:n1<7*=h;:k1<7*65`32;94?"4i108?n5a3`597>=h;:21<7*=h;:<1<7*=h;:91<7*=h;:;1<7*=h;;l1<7*=h;;n1<7*=h;;h1<7*5<#;h21?>m4n2c4>47<3f9947>5$2c;>65d3g9j;7?=;:m062<72-9j47=i4::0;6)=n8;10g>h4i>0:;65`33094?"4i108?n5a3`595===l;o1b3?7f32e8=k4?:%1bb:9l74b=83.8m54<3b9m7d1=9j10c>?l:18'7d>=;:i0b>o8:0f8?j56j3:1(>o7:21`?k5f?3;n76a<1`83>!5f0398o6`=h;831<7*=54o23;>5<#;h21?>m4n2c4>77<3f9:;7>5$2c;>65d3g9j;7<=;:m053<72-9j47=i4<;0;6)=n8;10g>h4i>09;65`35394?"4i108?n5a3`596==;6=4+3`:976e=l;o1b3?4f32e8?h4?:%1b<6:18'7d>=;:i0b>o8:3f8?j56m3:1(>o7:21`?k5f?38n76a<1283>!5f0398o6`=n;9o1<7*=n;9h1<7*65f31c94?"4i108=n;931<7*=n;9=1<7*=n9:i1<7*=n9:31<7*65f12:94?"4i10:?o5a3`597>=n9:=1<7*=n9:?1<7*=n9=?1<7*=n9=91<7*=n9=;1<7*=n9:l1<7*=n9:n1<7*5<#;h21=>l4n2c4>47<3f9j<7>5$2c;>6?a3g9j;7>4;n1:a?6=,:k36>7i;o1b3?7<3f92h7>5$2c;>6?a3g9j;7<4;n1:g?6=,:k36>7i;o1b3?5<3f92n7>5$2c;>6?a3g9j;7:4;n1:e?6=,:k36>7i;o1b3?3<3f9257>5$2c;>6?a3g9j;784;n1:7i;o1b3?1<3f92:7>5$2c;>6?a3g9j;764;n1:1?6=,:k36>7i;o1b3??<3f9287>5$2c;>6?a3g9j;7o4;n1:7?6=,:k36>7i;o1b3?d<3f92>7>5$2c;>6?a3g9j;7m4;n1:5?6=,:k36>7i;o1b3?b<3f92<7>5$2c;>6?a3g9j;7k4;n1;b?6=,:k36>7i;o1b3?`<3f93i7>5$2c;>6?a3g9j;7??;:m0f290/?l6538d8j6g028907b=79;29 6g?2:3m7c=n7;37?>i4010;6)=n8;1:b>h4i>0:965`39594?"4i1085k5a3`5953=7i;o1b3?7?32e8494?:%1bn2d8m:4>9:9l7=5=83.8m54<9g9m7d1=9h10c>6=:18'7d>=;0l0b>o8:0`8?j5?83:1(>o7:2;e?k5f?3;h76a<7g83>!5f0392j6`=h;>o1<7*5<#;h21?4h4n2c4>4`<3f95$2c;>6?a3g9j;7290/?l6538d8j6g02;907b=88;29 6g?2:3m7c=n7;07?>i4?>0;6)=n8;1:b>h4i>09965`3`494?"4i1085k5a3`5963=6=4+3`:97<`7i;o1b3?4?32e8m>4?:%1bn2d8m:4=9:9l7d4=83.8m54<9g9m7d1=:h10c>o>:18'7d>=;0l0b>o8:3`8?j5>?3:1(>o7:2;e?k5f?38h76a<8b83>!5f0392j6`=h;1;1<7*h54o255>5<#;h21?4h4n2c4>7`<3`386=4+3`:9=7=i;h=1<65f9083>!5f03397c=n7;38?l?7290/?l65939m7d1=:21b4k4?:%1b5<#;h215?5a3`590>=n0m0;6)=n8;;1?k5f?3?07d6l:18'7d>=1;1e?l956:9j!5f03397c=n7;;8?l?f290/?l65939m7d1=i21b544?:%1b5<#;h215?5a3`59g>=n1>0;6)=n8;;1?k5f?3n07d79:18'7d>=1;1e?l95e:9j=0<72-9j477=;o1b3?`<3`3?6=4+3`:9=7=i;h=1==54i9c94?"4i102>6`=h:=31<7*=h:=<1<7*65`25794?"4i109855a3`597>=h:=>1<7*=h:=;1<7*=h::l1<7*=h::n1<7*=h::h1<7*=h::31<7*=h::<1<7*5<#;h21>964n2c4>47<3f8887>5$2c;>72?3g9j;7?=;:m176<72-9j47<;8:l0e2<6;21d>><50;&0e=<5<11e?l951598k756290/?l6525:8j6g028?07b<<0;29 6g?2;>37c=n7;35?>i5:o0;6)=n8;07<>h4i>0:;65`23g94?"4i109855a3`595==b:9l61c=83.8m54=499m7d1=9j10c?:k:18'7d>=:=20b>o8:0f8?j43k3:1(>o7:36;?k5f?3;n76a=4c83>!5f038?46`=h:=k1<7*=54o361>5<#;h21>964n2c4>77<3f88;7>5$2c;>72?3g9j;7<=;:m16f<72-9j47<;8:l0e2<5;21bo44?:%1b4H2``?>od?3:1(>o7:b:8j6g0281C?om4;ha5>5<#;h21o55a3`596>N4jj10en;50;&0e==nk=0;6)=n8;a;?k5f?3>0D>ll;:k`7?6=,:k36n64n2c4>0=O;ki07dm=:18'7d>=k11e?l956:J0ff=h4i>0<7E=mc:9j`7<72-9j47m7;o1b3?><@:hh76gk1;29 6g?2j20b>o8:89K7ge<3`n;6=4+3`:9g==i;h=1m6F!5f03i37c=n7;a8L6dd32chh7>5$2c;>f>=nk90;6)=n8;a;?k5f?3;:7E=mc:9~f1c1290:9l4?:1y'7f7=:9k0D>mm;I1`7>\b?3hp4<4>6;51>3c=9;0:=78l:63956<683><6p*<1182f7e5=#98i1?=;4n03e>5=i9::1=6`=0882?k4293;0b>>i:09m7dg=92.8n:450;9j571=831b=?<50;9j54g=831b=?750;9j577=831d=!5f03h>7c=n7;08?ld5290/?l65b49m7d1=;21bn<4?:%1b5<#;h21n85a3`591>=nio0;6)=n8;`6?k5f?3<07doj:18'7d>=j<1e?l957:9jfc<72-9j47l:;o1b3?><3`hn6=4+3`:9f0=i;h=1565fbe83>!5f03h>7c=n7;c8?ldd290/?l65b49m7d1=j21bno4?:%1b5<#;h21n85a3`59`>=nj00;6)=n8;`6?k5f?3o07dl7:18'7d>=j<1e?l95f:9jf2<72-9j47l:;o1b3?7732cjh7>5$2c;>g35<#;h21ho5a3`594>=hlh0;6)=n8;fa?k5f?3;07bj6:18'7d>=lk1e?l952:9l`=<72-9j47jm;o1b3?5<3fn<6=4+3`:9`g=i;h=1865`d783>!5f03ni7c=n7;78?jb2290/?l65dc9m7d1=>21dh94?:%1b5<#;h21ho5a3`59<>=hm=0;6)=n8;fa?k5f?3307bk<:18'7d>=lk1e?l95a:9la7<72-9j47jm;o1b3?d<3fo:6=4+3`:9`g=i;h=1o65`e183>!5f03ni7c=n7;f8?jba290/?l65dc9m7d1=m21dhh4?:%1b5<#;h21ho5a3`5955=h4i>0:=65f37d94?"4i108:h5a3`594>=n;?n1<7*=n;?h1<7*=n;?31<7*=n;?=1<7*=n;?>1<7*=n;?81<7*=n;?:1<7*=n;8j;o1b3?7532c8944?:%1b3:9j70>=83.8m54<6d9m7d1=9=10e>;8:18'7d>=;?o0b>o8:078?l52>3:1(>o7:24f?k5f?3;=76g<5483>!5f039=i6`=n;<>1<7*5<#;h21?;k4n2c4>4?<3`9>>7>5$2c;>60b3g9j;7?n;:k014<72-9j47=9e:l0e2<6j21b?9h50;&0e=<4>l1e?l951b98m62b290/?l6537g8j6g028n07d=;d;29 6g?2:o4h4i>0:j65f35`94?"4i108:h5a3`5965=j6=4+3`:973c8j;o1b3?4532c8854?:%1b:9:18'7d>=;?o0b>o8:378?l50=3:1(>o7:24f?k5f?38=76g<7583>!5f039=i6`=n;>91<7*554i251>5<#;h21?;k4n2c4>7?<3`9<=7>5$2c;>60b3g9j;7l1e?l952b98m63e290/?l6537g8j6g02;n07d=:0;29 6g?2:o4<<0;6)=n8;15a>h4i>09j65f10194?"4i10:=?5a3`594>=n98;1<7*=n99l1<7*=n99n1<7*=n99h1<7*=n9921<7*=n99<1<7*=n99>1<7*=n9981<7*5<#;h21=<<4n2c4>44<3`lo6=4+3`:95445<#;h21=<<4n2c4>42<3`li6=4+3`:95445<#;h21=<<4n2c4>40<3`l26=4+3`:95445<#;h21=<<4n2c4>4><3`l<6=4+3`:95445<#;h21=<<4n2c4>4g<3`l>6=4+3`:95445<#;h21=<<4n2c4>4e<3`l96=4+3`:95445<#;h21=<<4n2c4>4c<3`l;6=4+3`:95445<#;h21=<<4n2c4>76<3`on6=4+3`:95445<#;h21=<<4n2c4>74<3`oh6=4+3`:95445<#;h21=<<4n2c4>72<3`oj6=4+3`:9544139m7d1=:110e=9880b>o8:3;8?l76=3:1(>o7:031?k5f?38j76g>1583>!5f03;:>6`=n99k1<7*n54igd94?"4i10:=?5a3`596a=1<7*h54id;94?"4i10:=?5a3`596c=5<#;h21?>m4n2c4>4=5<#;h21?>m4n2c4>6=5<#;h21?>m4n2c4>0=5<#;h21?>m4n2c4>2=5<#;h21?>m4n2c4><=5<#;h21?>m4n2c4>g=5<#;h21?>m4n2c4>a=5<#;h21?>m4n2c4>c=4;n11e?6=,:k36>=l;o1b3?7632e8>54?:%1b2:9l771=83.8m54<3b9m7d1=9:10c><9:18'7d>=;:i0b>o8:068?j55=3:1(>o7:21`?k5f?3;>76a<2583>!5f0398o6`=h;;91<7*5<#;h21?>m4n2c4>4><3f99=7>5$2c;>65d3g9j;7?6;:m065<72-9j47=c;29 6g?2:9h7c=n7;3g?>i49k0;6)=n8;10g>h4i>0:i65`30c94?"4i108?n5a3`595c=4;n12=l;o1b3?4632e8=:4?:%1b?::18'7d>=;:i0b>o8:368?j56<3:1(>o7:21`?k5f?38>76a<4283>!5f0398o6`=h;=81<7*:54o262>5<#;h21?>m4n2c4>7><3f9?<7>5$2c;>65d3g9j;7<6;:m07c<72-9j47=k50;&0e=<4;j1e?l952c98k653290/?l6532a8j6g02;i07b==9;29 6g?2:9h7c=n7;0g?>i49l0;6)=n8;10g>h4i>09i65`30194?"4i108?n5a3`596c=5<#;h21?=j4n2c4>4=5<#;h21?=j4n2c4>6=5<#;h21?=j4n2c4>0=5<#;h21?=j4n2c4>2=5<#;h21=>l4n2c4>4=5<#;h21=>l4n2c4>6=5<#;h21=>l4n2c4>0=6=4+3`:956d5<#;h21=>l4n2c4>2=>6=4+3`:956d5<#;h21=>l4n2c4><=86=4+3`:956d5<#;h21=>l4n2c4>g=:6=4+3`:956d5<#;h21=>l4n2c4>a=5<#;h21=>l4n2c4>c=4;h307?6=,:k36<=m;o1b3?7632e8m=4?:%1bn2d8m:4?;:m0=`<72-9j47=6f:l0e2<632e85i4?:%1bn2d8m:4=;:m0=f<72-9j47=6f:l0e2<432e85o4?:%1bn2d8m:4;;:m0=d<72-9j47=6f:l0e2<232e8544?:%1bn2d8m:49;:m0==<72-9j47=6f:l0e2<032e85;4?:%1bn2d8m:47;:m0=0<72-9j47=6f:l0e2<>32e8594?:%1bn2d8m:4n;:m0=6<72-9j47=6f:l0e2n2d8m:4l;:m0=4<72-9j47=6f:l0e2n2d8m:4j;:m0n2d8m:4>0:9l7=b=83.8m54<9g9m7d1=9810c>6m:18'7d>=;0l0b>o8:008?j5?i3:1(>o7:2;e?k5f?3;876a<8883>!5f0392j6`=h;121<7*5<#;h21?4h4n2c4>40<3f93:7>5$2c;>6?a3g9j;7?8;:m0<0<72-9j47=6f:l0e2<6021d?5:50;&0e=<41o1e?l951898k6>4290/?l6538d8j6g028k07b=72;29 6g?2:3m7c=n7;3a?>i4090;6)=n8;1:b>h4i>0:o65`36d94?"4i1085k5a3`595a=7i;o1b3?7a32e8;n4?:%1bn2d8m:4=0:9l72d=83.8m54<9g9m7d1=:810c>9n:18'7d>=;0l0b>o8:308?j5013:1(>o7:2;e?k5f?38876a<7983>!5f0392j6`=h;>=1<7*854o2c5>5<#;h21?4h4n2c4>70<3f9j97>5$2c;>6?a3g9j;7<8;:m0e1<72-9j47=6f:l0e2<5021d?l=50;&0e=<41o1e?l952898k6g5290/?l6538d8j6g02;k07b=n1;29 6g?2:3m7c=n7;0a?>i41>0;6)=n8;1:b>h4i>09o65`39a94?"4i1085k5a3`596a=7i;o1b3?4a32c2?7>5$2c;><46`o>83:1(>o7:808j6g02;10e5h50;&0e=<>:2d8m:4<;:k;a?6=,:k364<4n2c4>1=h4i>0>76g7c;29 6g?2080b>o8:798m=d=83.8m5462:l0e2<032c2o7>5$2c;><46`o>i3:1(>o7:808j6g02h10e4750;&0e=<>:2d8m:4m;:k:f=h4i>0o76g66;29 6g?2080b>o8:d98m<3=83.8m5462:l0e25$2c;><44;h:b>5<#;h215?5a3`5954=26=4+3`:961>5<#;h21>964n2c4>4==6=4+3`:961>5<#;h21>964n2c4>6=?6=4+3`:961>5<#;h21>964n2c4>0=:6=4+3`:961>5<#;h21>964n2c4>2=5<#;h21>964n2c4><=5<#;h21>964n2c4>g=5<#;h21>964n2c4>a=5<#;h21>964n2c4>c=4;n001?6=,:k36?:7;o1b3?7632e9?94?:%1b2:9l665=83.8m54=499m7d1=9:10c?==:18'7d>=:=20b>o8:068?j4493:1(>o7:36;?k5f?3;>76a=3183>!5f038?46`=h:;l1<7*5<#;h21>964n2c4>4><3f89h7>5$2c;>72?3g9j;7?6;:m115<72-9j47<;8:l0e2<6i21d>9h50;&0e=<5<11e?l951c98k72b290/?l6525:8j6g028i07b<;d;29 6g?2;>37c=n7;3g?>i5h4i>0:i65`25`94?"4i109855a3`595c=j6=4+3`:961>4;n076?6=,:k36?:7;o1b3?4632e9?:4?:%1b=nk>0;6)=n8;a;?k5f?3;0D>ll;:k`2?6=,:k36n64n2c4>7=O;ki07dm::18'7d>=k11e?l953:J0ff=1<7*h4i>0?7E=mc:9jg6<72-9j47m7;o1b3?3<@:hh76gl2;29 6g?2j20b>o8:79K7ge<3`i:6=4+3`:9g==i;h=1;6F!5f03i37c=n7;;8L6dd32co<7>5$2c;>f>odk3:1(>o7:b:8j6g02l1C?om4;haa>5<#;h21o55a3`59b>N4jj10eno50;&0e=0:J0ff=h4i>0:=6F0iw5?517846?0b2881=<49c;52>45=990?;7s+30295g4d3g2>6=5a8682?kge281emn4>;o32f?6<,8;h6>>:;o32b?6h5=80:7c=?f;38j6gf281/?o953b28m4432900e>l>:188m6d52900e<<::188m6d42900e<<7:188m4442900cl;:188m44d2900e>l?:188m4402900e<<=:188m47f2900e<<6:188m4462900cl9:188mg0=83.8m54m5:l0e2<732ci87>5$2c;>g3oe:3:1(>o7:c78j6g02:10eo?50;&0e=0=h4i>0=76gne;29 6g?2k?0b>o8:698mg`=83.8m54m5:l0e25$2c;>g3oek3:1(>o7:c78j6g02k10eol50;&0e=a=h4i>0n76gm8;29 6g?2k?0b>o8:g98mg1=83.8m54m5:l0e2<6821bmi4?:%1b;:mgg?6=,:k36il4n2c4>5=h4i>0:76ak9;29 6g?2mh0b>o8:398ka>=83.8m54kb:l0e2<432eo;7>5$2c;>adic=3:1(>o7:e`8j6g02?10ci:50;&0e===1<7*h4i>0276aj3;29 6g?2mh0b>o8:`98k`4=83.8m54kb:l0e25$2c;>adicn3:1(>o7:e`8j6g02l10cik50;&0e=46<3fn86=4+3`:9`g=i;h=1=<54i24e>5<#;h21?;k4n2c4>5=5<#;h21?;k4n2c4>7=54i24b>5<#;h21?;k4n2c4>1=5<#;h21?;k4n2c4>3=5<#;h21?;k4n2c4>==5<#;h21?;k4n2c4>d=5<#;h21?;k4n2c4>f=5<#;h21?;k4n2c4>`=5<#;h21?;k4n2c4>46<3`9>o7>5$2c;>60b3g9j;7?>;:k01d<72-9j47=9e:l0e2<6:21b?8750;&0e=<4>l1e?l951298m63?290/?l6537g8j6g028>07d=:7;29 6g?2:o4=?0;6)=n8;15a>h4i>0::65f34794?"4i108:h5a3`5952=8j;o1b3?7>32c89?4?:%1ba:9j707=83.8m54<6d9m7d1=9k10e>:i:18'7d>=;?o0b>o8:0a8?l53m3:1(>o7:24f?k5f?3;o76g<4e83>!5f039=i6`=n;=i1<7*5<#;h21?;k4n2c4>76<3`9?m7>5$2c;>60b3g9j;7<>;:k00<<72-9j47=9e:l0e2<5:21b?9650;&0e=<4>l1e?l952298m620290/?l6537g8j6g02;>07d=;6;29 6g?2:o4?<0;6)=n8;15a>h4i>09:65f36694?"4i108:h5a3`5962=8j;o1b3?4>32c8;<4?:%1b89:18'7d>=;?o0b>o8:3a8?l52j3:1(>o7:24f?k5f?38o76g<5183>!5f039=i6`=n;=?1<7*k54i030>5<#;h21=<<4n2c4>5=5<#;h21=<<4n2c4>7=54i02f>5<#;h21=<<4n2c4>1=5<#;h21=<<4n2c4>3=5<#;h21=<<4n2c4>==5<#;h21=<<4n2c4>d=5<#;h21=<<4n2c4>f=5<#;h21=<<4n2c4>`=5<#;h21=<<4n2c4>46<3`;;<7>5$2c;>4753g9j;7?>;:kea?6=,:k365$2c;>4753g9j;7?<;:keg?6=,:k365$2c;>4753g9j;7?:;:kee?6=,:k365$2c;>4753g9j;7?8;:ke5$2c;>4753g9j;7?6;:ke2?6=,:k365$2c;>4753g9j;7?m;:ke7?6=,:k367>5$2c;>4753g9j;7?k;:ke5?6=,:k365$2c;>4753g9j;7?i;:kfb?6=,:k365$2c;>4753g9j;7<>;:kf`?6=,:k365$2c;>4753g9j;7<<;:kff?6=,:k365$2c;>4753g9j;7<:;:k25<<72-9j47?>2:l0e2<5>21b=<650;&0e=<69;1e?l952698m470290/?l651008j6g02;207d?>6;29 6g?28;97c=n7;0:?>o69<0;6)=n8;326>h4i>09m65f10694?"4i10:=?5a3`596g=5<#;h21=<<4n2c4>7b<3`l?6=4+3`:95445<#;h21=<<4n2c4>7`<3f98h7>5$2c;>65d3g9j;7>4;n10f?6=,:k36>=l;o1b3?7<3f98m7>5$2c;>65d3g9j;7<4;n10=?6=,:k36>=l;o1b3?5<3f9847>5$2c;>65d3g9j;7:4;n103?6=,:k36>=l;o1b3?3<3f98:7>5$2c;>65d3g9j;784;n101?6=,:k36>=l;o1b3?1<3f98?7>5$2c;>65d3g9j;764;n106?6=,:k36>=l;o1b3??<3f98=7>5$2c;>65d3g9j;7o4;n104?6=,:k36>=l;o1b3?d<3f99j7>5$2c;>65d3g9j;7m4;n11a?6=,:k36>=l;o1b3?b<3f99h7>5$2c;>65d3g9j;7k4;n11g?6=,:k36>=l;o1b3?`<3f99n7>5$2c;>65d3g9j;7??;:m06d<72-9j47=i4:<0;6)=n8;10g>h4i>0:965`33694?"4i108?n5a3`5953==l;o1b3?7?32e8><4?:%1b9:9l776=83.8m54<3b9m7d1=9h10c>?i:18'7d>=;:i0b>o8:0`8?j56l3:1(>o7:21`?k5f?3;h76a<1b83>!5f0398o6`=h;8h1<7*5<#;h21?>m4n2c4>4`<3f9:57>5$2c;>65d3g9j;75;29 6g?2:9h7c=n7;07?>i49=0;6)=n8;10g>h4i>09965`35194?"4i108?n5a3`5963=96=4+3`:976e=l;o1b3?4?32e88=4?:%1b=j:18'7d>=;:i0b>o8:3`8?j54<3:1(>o7:21`?k5f?38h76a<2883>!5f0398o6`=h;8o1<7*h54o230>5<#;h21?>m4n2c4>7`<3`9;i7>5$2c;>66c3g9j;7>4;h13g?6=,:k36>>k;o1b3?7<3`9;n7>5$2c;>66c3g9j;7<4;h13e?6=,:k36>>k;o1b3?5<3`9;57>5$2c;>66c3g9j;7:4;h13>k;o1b3?3<3`9;;7>5$2c;>66c3g9j;784;h132?6=,:k36>>k;o1b3?1<3`;8o7>5$2c;>45e3g9j;7>4;h30e?6=,:k36<=m;o1b3?7<3`;857>5$2c;>45e3g9j;7<4;h305$2c;>45e3g9j;7:4;h302?6=,:k36<=m;o1b3?3<3`;897>5$2c;>45e3g9j;784;h300?6=,:k36<=m;o1b3?1<3`;?97>5$2c;>45e3g9j;764;h370?6=,:k36<=m;o1b3??<3`;??7>5$2c;>45e3g9j;7o4;h376?6=,:k36<=m;o1b3?d<3`;?=7>5$2c;>45e3g9j;7m4;h374?6=,:k36<=m;o1b3?b<3`;8j7>5$2c;>45e3g9j;7k4;h30a?6=,:k36<=m;o1b3?`<3`;8h7>5$2c;>45e3g9j;7??;:k276<72-9j47?50;&0e=<41o1e?l950:9l7=83.8m54<9g9m7d1=?21d?4850;&0e=<41o1e?l958:9l7<3=83.8m54<9g9m7d1=121d?4:50;&0e=<41o1e?l95a:9l7<5=83.8m54<9g9m7d1=j21d?4<50;&0e=<41o1e?l95c:9l7<7=83.8m54<9g9m7d1=l21d?4>50;&0e=<41o1e?l95e:9l7=`=83.8m54<9g9m7d1=n21d?5k50;&0e=<41o1e?l951198k6>c290/?l6538d8j6g028;07b=7b;29 6g?2:3m7c=n7;31?>i40h0;6)=n8;1:b>h4i>0:?65`39;94?"4i1085k5a3`5951=7i;o1b3?7132e84;4?:%1bn2d8m:4>7:9l7=3=83.8m54<9g9m7d1=9110c>6;:18'7d>=;0l0b>o8:0;8?j5?;3:1(>o7:2;e?k5f?3;j76a<8383>!5f0392j6`=h;1:1<7*5<#;h21?4h4n2c4>4b<3f95$2c;>6?a3g9j;7?j;:m03a<72-9j47=6f:l0e2<6n21d?:m50;&0e=<41o1e?l952198k61e290/?l6538d8j6g02;;07b=8a;29 6g?2:3m7c=n7;01?>i4?00;6)=n8;1:b>h4i>09?65`36:94?"4i1085k5a3`5961=7i;o1b3?4132e8m84?:%1bn2d8m:4=7:9l7d2=83.8m54<9g9m7d1=:110c>o<:18'7d>=;0l0b>o8:3;8?j5f:3:1(>o7:2;e?k5f?38j76a!5f0392j6`=h;0=1<7*n54o2:`>5<#;h21?4h4n2c4>7b<3f93=7>5$2c;>6?a3g9j;74?:%1b4;h;2>5<#;h215?5a3`595>=n190;6)=n8;;1?k5f?3807d6i:18'7d>=1;1e?l953:9j<`<72-9j477=;o1b3?2<3`2o6=4+3`:9=7=i;h=1965f8b83>!5f03397c=n7;48?l>e290/?l65939m7d1=?21b5n4?:%1b5<#;h215?5a3`59=>=n1h0;6)=n8;;1?k5f?3k07d76:18'7d>=1;1e?l95b:9j==<72-9j477=;o1b3?e<3`3<6=4+3`:9=7=i;h=1h65f9783>!5f03397c=n7;g8?l?2290/?l65939m7d1=n21b594?:%1b47<3f8?57>5$2c;>72?3g9j;7>4;n073?6=,:k36?:7;o1b3?7<3f8?:7>5$2c;>72?3g9j;7<4;n071?6=,:k36?:7;o1b3?5<3f8?87>5$2c;>72?3g9j;7:4;n077?6=,:k36?:7;o1b3?3<3f8?=7>5$2c;>72?3g9j;784;n074?6=,:k36?:7;o1b3?1<3f88j7>5$2c;>72?3g9j;764;n00a?6=,:k36?:7;o1b3??<3f88h7>5$2c;>72?3g9j;7o4;n00g?6=,:k36?:7;o1b3?d<3f88n7>5$2c;>72?3g9j;7m4;n00e?6=,:k36?:7;o1b3?b<3f8857>5$2c;>72?3g9j;7k4;n005$2c;>72?3g9j;7??;:m170<72-9j47<;8:l0e2<6921d>>:50;&0e=<5<11e?l951398k754290/?l6525:8j6g028907b<<2;29 6g?2;>37c=n7;37?>i5;80;6)=n8;07<>h4i>0:965`22294?"4i109855a3`5953=i4?:%1b9:9l606=83.8m54=499m7d1=9h10c?:i:18'7d>=:=20b>o8:0`8?j43m3:1(>o7:36;?k5f?3;h76a=4e83>!5f038?46`=h:=i1<7*5<#;h21>964n2c4>4`<3f8?m7>5$2c;>72?3g9j;7>950;&0e=<5<11e?l952398k74d290/?l6525:8j6g02;907dm6:18'7d>=k11e?l950:J0ff=h4i>0:7E=mc:9jg3<72-9j47m7;o1b3?4<@:hh76gl5;29 6g?2j20b>o8:29K7ge<3`i?6=4+3`:9g==i;h=186F!5f03i37c=n7;48L6dd32ch=7>5$2c;>f>odn3:1(>o7:b:8j6g02k1C?om4;haf>5<#;h21o55a3`59g>N4jj10enj50;&0e==nkj0;6)=n8;a;?k5f?3o0D>ll;:k`f?6=,:k36n64n2c4>c=O;ki07dmn:18'7d>=k11e?l95119K7ge<3`i;6=4+3`:9g==i;h=1=<5G3ca8?xd3m10;6<;n:183!5d938;m6F:04937<1m3;964d5k2d397>4n9595>hfj3;0blm51:l25g<73-;:o7=?5:l25c<73g;8<7?4n32:>4=i:<;1=6`<0g82?k5fi3;0(>l8:2a3?l75<3:17d=m1;29?l5e:3:17d?=5;29?l5e;3:17d?=8;29?l75;3:17b?>e;29?l5e=3:17d=m4;29?l75k3:17d=m0;29?l75?3:17d?=2;29?l76i3:17d?=9;29?l7593:17b?>d;29?l5fn3:17d=m6;29?ld1290/?l65b49m7d1=821bn94?:%1b5<#;h21n85a3`596>=nj;0;6)=n8;`6?k5f?3907dl>:18'7d>=j<1e?l954:9jf5<72-9j47l:;o1b3?3<3`km6=4+3`:9f0=i;h=1:65fad83>!5f03h>7c=n7;58?lda290/?l65b49m7d1=021bnh4?:%1b5<#;h21n85a3`59e>=njj0;6)=n8;`6?k5f?3h07dlm:18'7d>=j<1e?l95c:9jfd<72-9j47l:;o1b3?b<3`h26=4+3`:9f0=i;h=1i65fb983>!5f03h>7c=n7;d8?ld0290/?l65b49m7d1=9910elj50;&0e=1:9l`f<72-9j47jm;o1b3?6<3fnj6=4+3`:9`g=i;h=1=65`d883>!5f03ni7c=n7;08?jb?290/?l65dc9m7d1=;21dh:4?:%1b5<#;h21ho5a3`591>=hl<0;6)=n8;fa?k5f?3<07bj;:18'7d>=lk1e?l957:9la0<72-9j47jm;o1b3?><3fo?6=4+3`:9`g=i;h=1565`e283>!5f03ni7c=n7;c8?jc5290/?l65dc9m7d1=j21di<4?:%1b5<#;h21ho5a3`59`>=hlo0;6)=n8;fa?k5f?3o07bjj:18'7d>=lk1e?l95f:9l`a<72-9j47jm;o1b3?7732eo?7>5$2c;>ad8j;o1b3?6<3`9=h7>5$2c;>60b3g9j;7?4;h15g?6=,:k36>8j;o1b3?4<3`9=n7>5$2c;>60b3g9j;7=4;h15e?6=,:k36>8j;o1b3?2<3`9=57>5$2c;>60b3g9j;7;4;h158j;o1b3?0<3`9=;7>5$2c;>60b3g9j;794;h151?6=,:k36>8j;o1b3?><3`9=87>5$2c;>60b3g9j;774;h157?6=,:k36>8j;o1b3?g<3`9=>7>5$2c;>60b3g9j;7l4;h155?6=,:k36>8j;o1b3?e<3`9=<7>5$2c;>60b3g9j;7j4;h16b?6=,:k36>8j;o1b3?c<3`9>i7>5$2c;>60b3g9j;7h4;h16`?6=,:k36>8j;o1b3?7732c89n4?:%1b1:9j70g=83.8m54<6d9m7d1=9;10e>;6:18'7d>=;?o0b>o8:018?l5203:1(>o7:24f?k5f?3;?76g<5683>!5f039=i6`=n;<<1<7*5<#;h21?;k4n2c4>41<3`9>87>5$2c;>60b3g9j;7?7;:k016<72-9j47=9e:l0e2<6121b?8<50;&0e=<4>l1e?l951`98m636290/?l6537g8j6g028h07d=;f;29 6g?2:o4h4i>0:h65f35f94?"4i108:h5a3`595`=h6=4+3`:973c8j;o1b3?4732c88l4?:%1b:7:18'7d>=;?o0b>o8:318?l53?3:1(>o7:24f?k5f?38?76g<4783>!5f039=i6`=n;>?1<7*;54i257>5<#;h21?;k4n2c4>71<3`95$2c;>60b3g9j;7<7;:k037<72-9j47=9e:l0e2<5121b?:?50;&0e=<4>l1e?l952`98m617290/?l6537g8j6g02;h07d=96;29 6g?2:o4=k0;6)=n8;15a>h4i>09h65f34294?"4i108:h5a3`596`=>6=4+3`:973c5$2c;>4753g9j;7?4;h324?6=,:k365$2c;>4753g9j;7=4;h33a?6=,:k365$2c;>4753g9j;7;4;h33g?6=,:k365$2c;>4753g9j;794;h33=?6=,:k36<3`;;47>5$2c;>4753g9j;774;h333?6=,:k365$2c;>4753g9j;7l4;h331?6=,:k365$2c;>4753g9j;7j4;h337?6=,:k367>5$2c;>4753g9j;7h4;h335?6=,:k361:9jb`<72-9j47?>2:l0e2<6:21bji4?:%1b3:9jbf<72-9j47?>2:l0e2<6<21bjo4?:%1b5:9jbd<72-9j47?>2:l0e2<6>21bj44?:%1b7:9jb=<72-9j47?>2:l0e2<6021bj:4?:%1b9:9jb3<72-9j47?>2:l0e2<6i21bj84?:%1bb:9jb6<72-9j47?>2:l0e2<6k21bj?4?:%1bd:9jb4<72-9j47?>2:l0e2<6m21bj=4?:%1bf:9jac<72-9j47?>2:l0e2<5821bih4?:%1b2:l0e2<5:21bin4?:%1b2:l0e2<5<21bil4?:%1b139m7d1=:?10e=9880b>o8:358?l76?3:1(>o7:031?k5f?38376g>1783>!5f03;:>6`=n98?1<7*l54i037>5<#;h21=<<4n2c4>7d<3`;;m7>5$2c;>4753g9j;75$2c;>4753g9j;74?:%1b32e8?<4?:%1bk4?:%1bi4?:%1bo4?:%1b0:9l77g=83.8m54<3b9m7d1=9810c><7:18'7d>=;:i0b>o8:008?j55?3:1(>o7:21`?k5f?3;876a<2783>!5f0398o6`=h;;?1<7*5<#;h21?>m4n2c4>40<3f99?7>5$2c;>65d3g9j;7?8;:m067<72-9j47=f;29 6g?2:9h7c=n7;3a?>i49m0;6)=n8;10g>h4i>0:o65`30a94?"4i108?n5a3`595a==l;o1b3?7a32e8=44?:%1b=83.8m54<3b9m7d1=:810c>?8:18'7d>=;:i0b>o8:308?j56>3:1(>o7:21`?k5f?38876a<1483>!5f0398o6`=h;8>1<7*854o260>5<#;h21?>m4n2c4>70<3f9?>7>5$2c;>65d3g9j;7<8;:m004<72-9j47=50;&0e=<4;j1e?l952898k65a290/?l6532a8j6g02;k07b=i4;=0;6)=n8;10g>h4i>09o65`33;94?"4i108?n5a3`596a==l;o1b3?4a32c832c:8>4?:%1b0:9j565=83.8m54>3c9m7d1=9810c>o?:18'7d>=;0l0b>o8:198k6?b290/?l6538d8j6g02810c>7k:18'7d>=;0l0b>o8:398k6?d290/?l6538d8j6g02:10c>7m:18'7d>=;0l0b>o8:598k6?f290/?l6538d8j6g02<10c>76:18'7d>=;0l0b>o8:798k6??290/?l6538d8j6g02>10c>79:18'7d>=;0l0b>o8:998k6?2290/?l6538d8j6g02010c>7;:18'7d>=;0l0b>o8:`98k6?4290/?l6538d8j6g02k10c>7=:18'7d>=;0l0b>o8:b98k6?6290/?l6538d8j6g02m10c>7?:18'7d>=;0l0b>o8:d98k6>a290/?l6538d8j6g02o10c>6j:18'7d>=;0l0b>o8:028?j5?l3:1(>o7:2;e?k5f?3;:76a<8c83>!5f0392j6`=h;1k1<7*54o2::>5<#;h21?4h4n2c4>42<3f9347>5$2c;>6?a3g9j;7?:;:m0<2<72-9j47=6f:l0e2<6>21d?5850;&0e=<41o1e?l951698k6>2290/?l6538d8j6g028207b=74;29 6g?2:3m7c=n7;3:?>i40:0;6)=n8;1:b>h4i>0:m65`39094?"4i1085k5a3`595g=7i;o1b3?7c32e8;h4?:%1bn2d8m:4>e:9l72b=83.8m54<9g9m7d1=9o10c>9l:18'7d>=;0l0b>o8:328?j50j3:1(>o7:2;e?k5f?38:76a<7`83>!5f0392j6`=h;>31<7*>54o25;>5<#;h21?4h4n2c4>72<3f9<;7>5$2c;>6?a3g9j;7<:;:m0e3<72-9j47=6f:l0e2<5>21d?l;50;&0e=<41o1e?l952698k6g3290/?l6538d8j6g02;207b=n3;29 6g?2:3m7c=n7;0:?>i4i;0;6)=n8;1:b>h4i>09m65`3`394?"4i1085k5a3`596g=7i;o1b3?4c32e84<4?:%1bn2d8m:4=e:9l720=83.8m54<9g9m7d1=:o10e4=50;&0e=<>:2d8m:4?;:k:5?6=,:k364<4n2c4>4=h4i>0976g7f;29 6g?2080b>o8:298m=c=83.8m5462:l0e2<332c3h7>5$2c;><46`o?j3:1(>o7:808j6g02>10e4m50;&0e=<>:2d8m:47;:k:f?6=,:k364<4n2c4><=h4i>0j76g69;29 6g?2080b>o8:c98m<>=83.8m5462:l0e25$2c;><46`o>=3:1(>o7:808j6g02o10e4:50;&0e=<>:2d8m:4>0:9j32e9?i4?:%1b0:9l663=83.8m54=499m7d1=9810c?=;:18'7d>=:=20b>o8:008?j44;3:1(>o7:36;?k5f?3;876a=3383>!5f038?46`=h::;1<7*5<#;h21>964n2c4>40<3f89j7>5$2c;>72?3g9j;7?8;:m16`<72-9j47<;8:l0e2<6021d>?j50;&0e=<5<11e?l951898k737290/?l6525:8j6g028k07b<;f;29 6g?2;>37c=n7;3a?>i5h4i>0:o65`25f94?"4i109855a3`595a=h6=4+3`:961>=:=20b>o8:308?j45k3:1(>o7:36;?k5f?38876gl9;29 6g?2j20b>o8:19K7ge<3`i<6=4+3`:9g==i;h=1=6F!5f03i37c=n7;18L6dd32ch87>5$2c;>f>oc:3:1(>o7:b:8j6g0211C?om4;hf2>5<#;h21o55a3`59=>N4jj10ei>50;&0e==nko0;6)=n8;a;?k5f?3h0D>ll;:k`a?6=,:k36n64n2c4>f=O;ki07dmk:18'7d>=k11e?l95d:J0ff=h4i>0n7E=mc:9jgg<72-9j47m7;o1b3?`<@:hh76gla;29 6g?2j20b>o8:028L6dd32ch<7>5$2c;>f>{e24=>l0:>7?>:7a934<6;3;;6995}%124?7e:j1e484?;o:4>4=iik0:7col:09m54d=82.:=n4<049m54`=82d:?=4>;o03=?7h4ih0:7)=m7;1`4>o6:=0;66g>o4j;0;66g>2483>>o4j:0;66g>2983>>o6::0;66a>1d83>>o4j<0;66g>o6:j0;66g>o6:>0;66g>2383>>o69h0;66g>2883>>o6:80;66a>1e83>>o4io0;66g>oe>3:1(>o7:c78j6g02910eo:50;&0e=;:ka7?6=,:k36o;4n2c4>7=h4i>0876gm1;29 6g?2k?0b>o8:598mg6=83.8m54m5:l0e2<232cjj7>5$2c;>g3oen3:1(>o7:c78j6g02110eok50;&0e=d=h4i>0i76gmb;29 6g?2k?0b>o8:b98mgg=83.8m54m5:l0e25$2c;>g3oe?3:1(>o7:c78j6g028:07dok:18'7d>=j<1e?l951098kae=83.8m54kb:l0e2<732eom7>5$2c;>adic03:1(>o7:e`8j6g02:10ci950;&0e=0=h4i>0=76ak4;29 6g?2mh0b>o8:698k`3=83.8m54kb:l0e25$2c;>adib:3:1(>o7:e`8j6g02k10ch?50;&0e=a=h4i>0n76ake;29 6g?2mh0b>o8:g98kab=83.8m54kb:l0e2<6821dh>4?:%1b;:k02c<72-9j47=9e:l0e2<732c8:i4?:%1b;:k02f<72-9j47=9e:l0e2<532c8:o4?:%1bl1e?l951098m63f290/?l6537g8j6g028807d=:9;29 6g?2:o4=10;6)=n8;15a>h4i>0:865f34594?"4i108:h5a3`5950=8j;o1b3?7032c8994?:%1b8:9j705=83.8m54<6d9m7d1=9010e>;=:18'7d>=;?o0b>o8:0c8?l5293:1(>o7:24f?k5f?3;i76g<4g83>!5f039=i6`=n;=o1<7*5<#;h21?;k4n2c4>4c<3`9?o7>5$2c;>60b3g9j;7?i;:k00g<72-9j47=9e:l0e2<5821b?9o50;&0e=<4>l1e?l952098m62>290/?l6537g8j6g02;807d=;8;29 6g?2:o4<>0;6)=n8;15a>h4i>09865f35494?"4i108:h5a3`5960=6=4+3`:973c8j;o1b3?4032c8;>4?:%1b9>:18'7d>=;?o0b>o8:3c8?l5083:1(>o7:24f?k5f?38i76g<6783>!5f039=i6`=n;i54i273>5<#;h21?;k4n2c4>7c<3`9?97>5$2c;>60b3g9j;72:l0e2<732c:=<4?:%1b;:k255<72-9j47?>2:l0e2<532c:2:l0e2<332c:2:l0e2<132c:2:l0e22:l0e22:l0e22:l0e22:l0e2<6821b==>50;&0e=<69;1e?l951098mcc=83.8m54>139m7d1=9;10ekj50;&0e=<69;1e?l951298mce=83.8m54>139m7d1=9=10ekl50;&0e=<69;1e?l951498mcg=83.8m54>139m7d1=9?10ek750;&0e=<69;1e?l951698mc>=83.8m54>139m7d1=9110ek950;&0e=<69;1e?l951898mc0=83.8m54>139m7d1=9h10ek;50;&0e=<69;1e?l951c98mc5=83.8m54>139m7d1=9j10ek<50;&0e=<69;1e?l951e98mc7=83.8m54>139m7d1=9l10ek>50;&0e=<69;1e?l951g98m``=83.8m54>139m7d1=:910ehk50;&0e=<69;1e?l952098m`b=83.8m54>139m7d1=:;10ehm50;&0e=<69;1e?l952298m`d=83.8m54>139m7d1=:=10eho50;&0e=<69;1e?l952498m47>290/?l651008j6g02;<07d?>8;29 6g?28;97c=n7;04?>o69>0;6)=n8;326>h4i>09465f10494?"4i10:=?5a3`596<=6=4+3`:95442:l0e2<5l21bj94?:%1b2:l0e2<5n21d?>j50;&0e=<4;j1e?l950:9l76d=83.8m54<3b9m7d1=921d?>o50;&0e=<4;j1e?l952:9l76?=83.8m54<3b9m7d1=;21d?>650;&0e=<4;j1e?l954:9l761=83.8m54<3b9m7d1==21d?>850;&0e=<4;j1e?l956:9l763=83.8m54<3b9m7d1=?21d?>=50;&0e=<4;j1e?l958:9l764=83.8m54<3b9m7d1=121d?>?50;&0e=<4;j1e?l95a:9l766=83.8m54<3b9m7d1=j21d??h50;&0e=<4;j1e?l95c:9l77c=83.8m54<3b9m7d1=l21d??j50;&0e=<4;j1e?l95e:9l77e=83.8m54<3b9m7d1=n21d??l50;&0e=<4;j1e?l951198k64f290/?l6532a8j6g028;07b==8;29 6g?2:9h7c=n7;31?>i4:>0;6)=n8;10g>h4i>0:?65`33494?"4i108?n5a3`5951=6=4+3`:976e=l;o1b3?7132e8>>4?:%1b7:9l774=83.8m54<3b9m7d1=9110c><>:18'7d>=;:i0b>o8:0;8?j5583:1(>o7:21`?k5f?3;j76a<1g83>!5f0398o6`=h;8n1<7*5<#;h21?>m4n2c4>4b<3f9:n7>5$2c;>65d3g9j;7?j;:m05d<72-9j47=7;29 6g?2:9h7c=n7;01?>i49?0;6)=n8;10g>h4i>09?65`30794?"4i108?n5a3`5961==l;o1b3?4132e88?4?:%1b:?:18'7d>=;:i0b>o8:3;8?j54n3:1(>o7:21`?k5f?38j76a<3d83>!5f0398o6`=h;:>1<7*n54o20:>5<#;h21?>m4n2c4>7b<3f9:i7>5$2c;>65d3g9j;7=83.8m54<0e9m7d1==21b?=950;&0e=<48m1e?l956:9j750=83.8m54<0e9m7d1=?21b=>m50;&0e=<6;k1e?l950:9j56g=83.8m54>3c9m7d1=921b=>750;&0e=<6;k1e?l952:9j56>=83.8m54>3c9m7d1=;21b=>950;&0e=<6;k1e?l954:9j560=83.8m54>3c9m7d1==21b=>;50;&0e=<6;k1e?l956:9j562=83.8m54>3c9m7d1=?21b=9;50;&0e=<6;k1e?l958:9j512=83.8m54>3c9m7d1=121b=9=50;&0e=<6;k1e?l95a:9j514=83.8m54>3c9m7d1=j21b=9?50;&0e=<6;k1e?l95c:9j516=83.8m54>3c9m7d1=l21b=>h50;&0e=<6;k1e?l95e:9j56c=83.8m54>3c9m7d1=n21b=>j50;&0e=<6;k1e?l951198m454290/?l6512`8j6g028;07b=n0;29 6g?2:3m7c=n7;28?j5>m3:1(>o7:2;e?k5f?3;07b=6d;29 6g?2:3m7c=n7;08?j5>k3:1(>o7:2;e?k5f?3907b=6b;29 6g?2:3m7c=n7;68?j5>i3:1(>o7:2;e?k5f?3?07b=69;29 6g?2:3m7c=n7;48?j5>03:1(>o7:2;e?k5f?3=07b=66;29 6g?2:3m7c=n7;:8?j5>=3:1(>o7:2;e?k5f?3307b=64;29 6g?2:3m7c=n7;c8?j5>;3:1(>o7:2;e?k5f?3h07b=62;29 6g?2:3m7c=n7;a8?j5>93:1(>o7:2;e?k5f?3n07b=60;29 6g?2:3m7c=n7;g8?j5?n3:1(>o7:2;e?k5f?3l07b=7e;29 6g?2:3m7c=n7;33?>i40m0;6)=n8;1:b>h4i>0:=65`39`94?"4i1085k5a3`5957=7i;o1b3?7332e8454?:%1bn2d8m:4>5:9l7=1=83.8m54<9g9m7d1=9?10c>69:18'7d>=;0l0b>o8:058?j5?=3:1(>o7:2;e?k5f?3;376a<8583>!5f0392j6`=h;191<7*5<#;h21?4h4n2c4>4d<3f93<7>5$2c;>6?a3g9j;7?l;:m03c<72-9j47=6f:l0e2<6l21d?:k50;&0e=<41o1e?l951d98k61c290/?l6538d8j6g028l07b=8c;29 6g?2:3m7c=n7;03?>i4?k0;6)=n8;1:b>h4i>09=65`36c94?"4i1085k5a3`5967=7i;o1b3?4332e8;:4?:%1bn2d8m:4=5:9l7d0=83.8m54<9g9m7d1=:?10c>o::18'7d>=;0l0b>o8:358?j5f<3:1(>o7:2;e?k5f?38376a!5f0392j6`=h;h81<7*l54o2c2>5<#;h21?4h4n2c4>7d<3f92;7>5$2c;>6?a3g9j;7=1;1e?l950:9j=4<72-9j477=;o1b3?7<3`3;6=4+3`:9=7=i;h=1>65f8g83>!5f03397c=n7;18?l>b290/?l65939m7d1=<21b4i4?:%1b5<#;h215?5a3`592>=n0k0;6)=n8;;1?k5f?3=07d7l:18'7d>=1;1e?l958:9j=g<72-9j477=;o1b3??<3`3j6=4+3`:9=7=i;h=1m65f9883>!5f03397c=n7;`8?l??290/?l65939m7d1=k21b5:4?:%1b5<#;h215?5a3`59a>=n1<0;6)=n8;;1?k5f?3l07d7;:18'7d>=1;1e?l951198m=g=83.8m5462:l0e2<6921d>9750;&0e=<5<11e?l950:9l611=83.8m54=499m7d1=921d>9850;&0e=<5<11e?l952:9l613=83.8m54=499m7d1=;21d>9:50;&0e=<5<11e?l954:9l615=83.8m54=499m7d1==21d>9?50;&0e=<5<11e?l956:9l616=83.8m54=499m7d1=?21d>>h50;&0e=<5<11e?l958:9l66c=83.8m54=499m7d1=121d>>j50;&0e=<5<11e?l95a:9l66e=83.8m54=499m7d1=j21d>>l50;&0e=<5<11e?l95c:9l66g=83.8m54=499m7d1=l21d>>750;&0e=<5<11e?l95e:9l66>=83.8m54=499m7d1=n21d>>850;&0e=<5<11e?l951198k752290/?l6525:8j6g028;07b<<4;29 6g?2;>37c=n7;31?>i5;:0;6)=n8;07<>h4i>0:?65`22094?"4i109855a3`5951=k4?:%1b7:9l67c=83.8m54=499m7d1=9110c?=:=20b>o8:0;8?j4283:1(>o7:36;?k5f?3;j76a=4g83>!5f038?46`=h:=o1<7*5<#;h21>964n2c4>4b<3f8?o7>5$2c;>72?3g9j;7?j;:m10g<72-9j47<;8:l0e2<6n21d>9o50;&0e=<5<11e?l952198k725290/?l6525:8j6g02;;07b<<7;29 6g?2;>37c=n7;01?>i5:j0;6)=n8;07<>h4i>09?65fc883>!5f03i37c=n7;28L6dd32ch;7>5$2c;>f>od;3:1(>o7:b:8j6g02<1C?om4;ha1>5<#;h21o55a3`592>N4jj10en?50;&0e==nl;0;6)=n8;a;?k5f?320D>ll;:kg5?6=,:k36n64n2c4><=O;ki07dj?:18'7d>=k11e?l95a:J0ff=h4i>0i7E=mc:9jg`<72-9j47m7;o1b3?e<@:hh76gld;29 6g?2j20b>o8:e9K7ge<3`ih6=4+3`:9g==i;h=1i6F!5f03i37c=n7;33?M5ek21bo=4?:%1b;I1ag>=zj=oj6=4>5`83>5}#;j;1>=o4H2aa?M5d;2Pn;7lt80822?152?o1=?4>1;4`>27=9:0:<7:8:|&055<6j;i0b5;50:l;3?7>51:l14<<63g8>=7?4n22e>4=i;hk1=6*1<75f3c394?=n;k81<75f13794?=n;k91<75f13:94?=n9;91<75`10g94?=n;k?1<75f3c694?=n9;i1<75f3c294?=n9;=1<75f13094?=n98k1<75f13;94?=n9;;1<75`10f94?=n;hl1<75f3c494?=nj?0;6)=n8;`6?k5f?3:07dl;:18'7d>=j<1e?l951:9jf6<72-9j47l:;o1b3?4<3`h96=4+3`:9f0=i;h=1?65fb083>!5f03h>7c=n7;68?ld7290/?l65b49m7d1==21bmk4?:%1b5<#;h21n85a3`593>=njo0;6)=n8;`6?k5f?3207dlj:18'7d>=j<1e?l959:9jfa<72-9j47l:;o1b3?g<3`hh6=4+3`:9f0=i;h=1n65fbc83>!5f03h>7c=n7;a8?ldf290/?l65b49m7d1=l21bn44?:%1b5<#;h21n85a3`59b>=nj>0;6)=n8;`6?k5f?3;;76gnd;29 6g?2k?0b>o8:038?jbd290/?l65dc9m7d1=821dhl4?:%1b5<#;h21ho5a3`596>=hl10;6)=n8;fa?k5f?3907bj8:18'7d>=lk1e?l954:9l`3<72-9j47jm;o1b3?3<3fn>6=4+3`:9`g=i;h=1:65`d583>!5f03ni7c=n7;58?jc2290/?l65dc9m7d1=021di94?:%1b5<#;h21ho5a3`59e>=hm;0;6)=n8;fa?k5f?3h07bk>:18'7d>=lk1e?l95c:9la5<72-9j47jm;o1b3?b<3fnm6=4+3`:9`g=i;h=1i65`dd83>!5f03ni7c=n7;d8?jbc290/?l65dc9m7d1=9910ci=50;&0e=1:9j73`=83.8m54<6d9m7d1=821b?;j50;&0e=<4>l1e?l951:9j73e=83.8m54<6d9m7d1=:21b?;l50;&0e=<4>l1e?l953:9j73g=83.8m54<6d9m7d1=<21b?;750;&0e=<4>l1e?l955:9j73>=83.8m54<6d9m7d1=>21b?;950;&0e=<4>l1e?l957:9j733=83.8m54<6d9m7d1=021b?;:50;&0e=<4>l1e?l959:9j735=83.8m54<6d9m7d1=i21b?;<50;&0e=<4>l1e?l95b:9j737=83.8m54<6d9m7d1=k21b?;>50;&0e=<4>l1e?l95d:9j70`=83.8m54<6d9m7d1=m21b?8k50;&0e=<4>l1e?l95f:9j70b=83.8m54<6d9m7d1=9910e>;l:18'7d>=;?o0b>o8:038?l52i3:1(>o7:24f?k5f?3;976g<5883>!5f039=i6`=n;<21<7*5<#;h21?;k4n2c4>43<3`9>:7>5$2c;>60b3g9j;7?9;:k010<72-9j47=9e:l0e2<6?21b?8:50;&0e=<4>l1e?l951998m634290/?l6537g8j6g028307d=:2;29 6g?2:o4=80;6)=n8;15a>h4i>0:n65f35d94?"4i108:h5a3`595f=n6=4+3`:973c8j;o1b3?7b32c88n4?:%1bf:9j71d=83.8m54<6d9m7d1=:910e>:n:18'7d>=;?o0b>o8:338?l5313:1(>o7:24f?k5f?38976g<4983>!5f039=i6`=n;==1<7*954i265>5<#;h21?;k4n2c4>73<3`9<97>5$2c;>60b3g9j;7<9;:k031<72-9j47=9e:l0e2<5?21b?:=50;&0e=<4>l1e?l952998m615290/?l6537g8j6g02;307d=81;29 6g?2:o4?90;6)=n8;15a>h4i>09n65f37494?"4i108:h5a3`596f=8j;o1b3?4b32c8884?:%1b139m7d1=821b=139m7d1=:21b==h50;&0e=<69;1e?l953:9j55c=83.8m54>139m7d1=<21b==j50;&0e=<69;1e?l955:9j55e=83.8m54>139m7d1=>21b==l50;&0e=<69;1e?l957:9j55?=83.8m54>139m7d1=021b==650;&0e=<69;1e?l959:9j551=83.8m54>139m7d1=i21b==850;&0e=<69;1e?l95b:9j553=83.8m54>139m7d1=k21b==:50;&0e=<69;1e?l95d:9j555=83.8m54>139m7d1=m21b==<50;&0e=<69;1e?l95f:9j557=83.8m54>139m7d1=9910e<>?:18'7d>=9880b>o8:038?l`b290/?l651008j6g028807dhk:18'7d>=9880b>o8:018?l`d290/?l651008j6g028>07dhm:18'7d>=9880b>o8:078?l`f290/?l651008j6g028<07dh6:18'7d>=9880b>o8:058?l`?290/?l651008j6g028207dh8:18'7d>=9880b>o8:0;8?l`1290/?l651008j6g028k07dh::18'7d>=9880b>o8:0`8?l`4290/?l651008j6g028i07dh=:18'7d>=9880b>o8:0f8?l`6290/?l651008j6g028o07dh?:18'7d>=9880b>o8:0d8?lca290/?l651008j6g02;:07dkj:18'7d>=9880b>o8:338?lcc290/?l651008j6g02;807dkl:18'7d>=9880b>o8:318?lce290/?l651008j6g02;>07dkn:18'7d>=9880b>o8:378?l7613:1(>o7:031?k5f?38=76g>1983>!5f03;:>6`=n98=1<7*554i035>5<#;h21=<<4n2c4>7?<3`;:97>5$2c;>4753g9j;72:l0e2<5j21b==o50;&0e=<69;1e?l952b98mc`=83.8m54>139m7d1=:m10ek:50;&0e=<69;1e?l952d98m`?=83.8m54>139m7d1=:o10c>=k:18'7d>=;:i0b>o8:198k65e290/?l6532a8j6g02810c>=n:18'7d>=;:i0b>o8:398k65>290/?l6532a8j6g02:10c>=7:18'7d>=;:i0b>o8:598k650290/?l6532a8j6g02<10c>=9:18'7d>=;:i0b>o8:798k652290/?l6532a8j6g02>10c>=<:18'7d>=;:i0b>o8:998k655290/?l6532a8j6g02010c>=>:18'7d>=;:i0b>o8:`98k657290/?l6532a8j6g02k10c>=;:i0b>o8:b98k64b290/?l6532a8j6g02m10c>=;:i0b>o8:d98k64d290/?l6532a8j6g02o10c>=;:i0b>o8:028?j55i3:1(>o7:21`?k5f?3;:76a<2983>!5f0398o6`=h;;=1<7*54o205>5<#;h21?>m4n2c4>42<3f9997>5$2c;>65d3g9j;7?:;:m061<72-9j47=21d??=50;&0e=<4;j1e?l951698k645290/?l6532a8j6g028207b==1;29 6g?2:9h7c=n7;3:?>i4:90;6)=n8;10g>h4i>0:m65`30d94?"4i108?n5a3`595g==l;o1b3?7c32e8=o4?:%1be:9l74g=83.8m54<3b9m7d1=9o10c>?6:18'7d>=;:i0b>o8:328?j5603:1(>o7:21`?k5f?38:76a<1683>!5f0398o6`=h;8<1<7*>54o236>5<#;h21?>m4n2c4>72<3f9:87>5$2c;>65d3g9j;7<:;:m006<72-9j47=21d?9<50;&0e=<4;j1e?l952698k626290/?l6532a8j6g02;207b=;0;29 6g?2:9h7c=n7;0:?>i4;o0;6)=n8;10g>h4i>09m65`32g94?"4i108?n5a3`596g==l;o1b3?4c32e8=h4?:%1b>j:18'7d>=;9n0b>o8:198m66d290/?l6531f8j6g02810e>>m:18'7d>=;9n0b>o8:398m66f290/?l6531f8j6g02:10e>>6:18'7d>=;9n0b>o8:598m66?290/?l6531f8j6g02<10e>>8:18'7d>=;9n0b>o8:798m661290/?l6531f8j6g02>10e<=l:18'7d>=9:h0b>o8:198m45f290/?l6512`8j6g02810e<=6:18'7d>=9:h0b>o8:398m45?290/?l6512`8j6g02:10e<=8:18'7d>=9:h0b>o8:598m451290/?l6512`8j6g02<10e<=::18'7d>=9:h0b>o8:798m453290/?l6512`8j6g02>10e<:::18'7d>=9:h0b>o8:998m423290/?l6512`8j6g02010e<:<:18'7d>=9:h0b>o8:`98m425290/?l6512`8j6g02k10e<:>:18'7d>=9:h0b>o8:b98m427290/?l6512`8j6g02m10e<=i:18'7d>=9:h0b>o8:d98m45b290/?l6512`8j6g02o10e<=k:18'7d>=9:h0b>o8:028?l74;3:1(>o7:01a?k5f?3;:76a!5f0392j6`i41l0;6)=n8;1:b>h4i>0:76a<9e83>!5f0392j6`i41j0;6)=n8;1:b>h4i>0876a<9c83>!5f0392j6`i41h0;6)=n8;1:b>h4i>0>76a<9883>!5f0392j6`i4110;6)=n8;1:b>h4i>0<76a<9783>!5f0392j6`i41<0;6)=n8;1:b>h4i>0276a<9583>!5f0392j6`i41:0;6)=n8;1:b>h4i>0i76a<9383>!5f0392j6`i4180;6)=n8;1:b>h4i>0o76a<9183>!5f0392j6`i40o0;6)=n8;1:b>h4i>0m76a<8d83>!5f0392j6`=h;1n1<7*5<#;h21?4h4n2c4>44<3f93m7>5$2c;>6?a3g9j;7?<;:m0<<<72-9j47=6f:l0e2<6<21d?5650;&0e=<41o1e?l951498k6>0290/?l6538d8j6g028<07b=76;29 6g?2:3m7c=n7;34?>i40<0;6)=n8;1:b>h4i>0:465`39694?"4i1085k5a3`595<=7i;o1b3?7e32e84=4?:%1bn2d8m:4>c:9l72`=83.8m54<9g9m7d1=9m10c>9j:18'7d>=;0l0b>o8:0g8?j50l3:1(>o7:2;e?k5f?3;m76a<7b83>!5f0392j6`=h;>h1<7*<54o25b>5<#;h21?4h4n2c4>74<3f9<57>5$2c;>6?a3g9j;7<<;:m03=<72-9j47=6f:l0e2<5<21d?:950;&0e=<41o1e?l952498k6g1290/?l6538d8j6g02;<07b=n5;29 6g?2:3m7c=n7;04?>i4i=0;6)=n8;1:b>h4i>09465`3`194?"4i1085k5a3`596<=7i;o1b3?4e32e85:4?:%1bn2d8m:4=c:9l7=e=83.8m54<9g9m7d1=:m10c>6>:18'7d>=;0l0b>o8:3g8?j50>3:1(>o7:2;e?k5f?38m76g63;29 6g?2080b>o8:198m<7=83.8m5462:l0e2<632c2<7>5$2c;><46`o?m3:1(>o7:808j6g02=10e5j50;&0e=<>:2d8m:4:;:k;g?6=,:k364<4n2c4>3=h4i>0<76g6c;29 6g?2080b>o8:998m32c2m7>5$2c;><46`o>03:1(>o7:808j6g02j10e4950;&0e=<>:2d8m:4k;:k:2?6=,:k364<4n2c4>`=h4i>0m76g64;29 6g?2080b>o8:028?l>f290/?l65939m7d1=9810c?:6:18'7d>=:=20b>o8:198k720290/?l6525:8j6g02810c?:9:18'7d>=:=20b>o8:398k722290/?l6525:8j6g02:10c?:;:18'7d>=:=20b>o8:598k724290/?l6525:8j6g02<10c?:>:18'7d>=:=20b>o8:798k727290/?l6525:8j6g02>10c?=i:18'7d>=:=20b>o8:998k75b290/?l6525:8j6g02010c?=k:18'7d>=:=20b>o8:`98k75d290/?l6525:8j6g02k10c?=m:18'7d>=:=20b>o8:b98k75f290/?l6525:8j6g02m10c?=6:18'7d>=:=20b>o8:d98k75?290/?l6525:8j6g02o10c?=9:18'7d>=:=20b>o8:028?j44=3:1(>o7:36;?k5f?3;:76a=3583>!5f038?46`=h::91<7*54o311>5<#;h21>964n2c4>42<3f88=7>5$2c;>72?3g9j;7?:;:m175<72-9j47<;8:l0e2<6>21d>?h50;&0e=<5<11e?l951698k74b290/?l6525:8j6g028207b<=d;29 6g?2;>37c=n7;3:?>i5=90;6)=n8;07<>h4i>0:m65`25d94?"4i109855a3`595g=n6=4+3`:961>e:9l61d=83.8m54=499m7d1=9o10c?:n:18'7d>=:=20b>o8:328?j43:3:1(>o7:36;?k5f?38:76a=3683>!5f038?46`=h:;i1<7*>54ib;94?"4i10h46`od>3:1(>o7:b:8j6g02;1C?om4;ha6>5<#;h21o55a3`597>N4jj10en:50;&0e==nk:0;6)=n8;a;?k5f?3?0D>ll;:k`6?6=,:k36n64n2c4>3=O;ki07dm>:18'7d>=k11e?l957:J0ff=h4i>037E=mc:9j`4<72-9j47m7;o1b3??<@:hh76gk0;29 6g?2j20b>o8:`9K7ge<3`im6=4+3`:9g==i;h=1n6F!5f03i37c=n7;f8L6dd32cho7>5$2c;>f>N4jj10en>50;&0e=1:J0ff=nn7>514c94?6|,:i:6?>n;I1`f>N4k:1Qi:4m{93953<0:3;o13b?75<5<5<5<6=44i2`7>5<5<5<5<5<5<h4i>0;76gm4;29 6g?2k?0b>o8:098mg5=83.8m54m5:l0e2<532ci>7>5$2c;>g354ic394?"4i10i96`oe83:1(>o7:c78j6g02<10elh50;&0e=2=h4i>0376gme;29 6g?2k?0b>o8:898mgb=83.8m54m5:l0e25$2c;>g3oei3:1(>o7:c78j6g02m10eo750;&0e=c=h4i>0:<65fae83>!5f03h>7c=n7;32?>ick3:1(>o7:e`8j6g02910cio50;&0e=;:mg=?6=,:k36il4n2c4>7=h4i>0876ak7;29 6g?2mh0b>o8:598ka0=83.8m54kb:l0e2<232eo97>5$2c;>adib=3:1(>o7:e`8j6g02110ch:50;&0e=d=h4i>0i76aj1;29 6g?2mh0b>o8:b98k`6=83.8m54kb:l0e25$2c;>adicl3:1(>o7:e`8j6g028:07bj<:18'7d>=lk1e?l951098m60a290/?l6537g8j6g02910e>8k:18'7d>=;?o0b>o8:098m60d290/?l6537g8j6g02;10e>8m:18'7d>=;?o0b>o8:298m60f290/?l6537g8j6g02=10e>86:18'7d>=;?o0b>o8:498m60?290/?l6537g8j6g02?10e>88:18'7d>=;?o0b>o8:698m602290/?l6537g8j6g02110e>8;:18'7d>=;?o0b>o8:898m604290/?l6537g8j6g02h10e>8=:18'7d>=;?o0b>o8:c98m606290/?l6537g8j6g02j10e>8?:18'7d>=;?o0b>o8:e98m63a290/?l6537g8j6g02l10e>;j:18'7d>=;?o0b>o8:g98m63c290/?l6537g8j6g028:07d=:c;29 6g?2:o4=h0;6)=n8;15a>h4i>0:>65f34;94?"4i108:h5a3`5956=8j;o1b3?7232c89;4?:%1b6:9j703=83.8m54<6d9m7d1=9>10e>;;:18'7d>=;?o0b>o8:0:8?l52;3:1(>o7:24f?k5f?3;276g<5383>!5f039=i6`=n;<;1<7*5<#;h21?;k4n2c4>4e<3`9?i7>5$2c;>60b3g9j;7?k;:k00a<72-9j47=9e:l0e2<6m21b?9m50;&0e=<4>l1e?l951g98m62e290/?l6537g8j6g02;:07d=;a;29 6g?2:o4<00;6)=n8;15a>h4i>09>65f35:94?"4i108:h5a3`5966=<6=4+3`:973c8j;o1b3?4232c8;84?:%1b10e>9<:18'7d>=;?o0b>o8:3:8?l50:3:1(>o7:24f?k5f?38276g<7083>!5f039=i6`=n;>:1<7*o54i245>5<#;h21?;k4n2c4>7e<3`9>n7>5$2c;>60b3g9j;7l1e?l952g98m474290/?l651008j6g02910e:18'7d>=9880b>o8:098m477290/?l651008j6g02;10e<>i:18'7d>=9880b>o8:298m46b290/?l651008j6g02=10e<>k:18'7d>=9880b>o8:498m46d290/?l651008j6g02?10e<>m:18'7d>=9880b>o8:698m46>290/?l651008j6g02110e<>7:18'7d>=9880b>o8:898m460290/?l651008j6g02h10e<>9:18'7d>=9880b>o8:c98m462290/?l651008j6g02j10e<>;:18'7d>=9880b>o8:e98m464290/?l651008j6g02l10e<>=:18'7d>=9880b>o8:g98m466290/?l651008j6g028:07d??0;29 6g?28;97c=n7;32?>oam3:1(>o7:031?k5f?3;976gid;29 6g?28;97c=n7;30?>oak3:1(>o7:031?k5f?3;?76gib;29 6g?28;97c=n7;36?>oai3:1(>o7:031?k5f?3;=76gi9;29 6g?28;97c=n7;34?>oa03:1(>o7:031?k5f?3;376gi7;29 6g?28;97c=n7;3:?>oa>3:1(>o7:031?k5f?3;j76gi5;29 6g?28;97c=n7;3a?>oa;3:1(>o7:031?k5f?3;h76gi2;29 6g?28;97c=n7;3g?>oa93:1(>o7:031?k5f?3;n76gi0;29 6g?28;97c=n7;3e?>obn3:1(>o7:031?k5f?38;76gje;29 6g?28;97c=n7;02?>obl3:1(>o7:031?k5f?38976gjc;29 6g?28;97c=n7;00?>obj3:1(>o7:031?k5f?38?76gja;29 6g?28;97c=n7;06?>o6900;6)=n8;326>h4i>09:65f10:94?"4i10:=?5a3`5962=32c:=84?:%1b139m7d1=:k10e<>n:18'7d>=9880b>o8:3a8?l`a290/?l651008j6g02;n07dh;:18'7d>=9880b>o8:3g8?lc>290/?l651008j6g02;l07b=o7:21`?k5f?3;07b=o7:21`?k5f?3907b=<8;29 6g?2:9h7c=n7;68?j54?3:1(>o7:21`?k5f?3?07b=<6;29 6g?2:9h7c=n7;48?j54=3:1(>o7:21`?k5f?3=07b=<3;29 6g?2:9h7c=n7;:8?j54:3:1(>o7:21`?k5f?3307b=<1;29 6g?2:9h7c=n7;c8?j5483:1(>o7:21`?k5f?3h07b==f;29 6g?2:9h7c=n7;a8?j55m3:1(>o7:21`?k5f?3n07b==d;29 6g?2:9h7c=n7;g8?j55k3:1(>o7:21`?k5f?3l07b==b;29 6g?2:9h7c=n7;33?>i4:h0;6)=n8;10g>h4i>0:=65`33:94?"4i108?n5a3`5957==l;o1b3?7332e8>84?:%1b5:9l772=83.8m54<3b9m7d1=9?10c><<:18'7d>=;:i0b>o8:058?j55:3:1(>o7:21`?k5f?3;376a<2083>!5f0398o6`=h;;:1<7*5<#;h21?>m4n2c4>4d<3f9:h7>5$2c;>65d3g9j;7?l;:m05f<72-9j47=9;29 6g?2:9h7c=n7;03?>i4910;6)=n8;10g>h4i>09=65`30594?"4i108?n5a3`5967==l;o1b3?4332e8=94?:%1b:=:18'7d>=;:i0b>o8:358?j5393:1(>o7:21`?k5f?38376a<4183>!5f0398o6`=h;:l1<7*l54o21f>5<#;h21?>m4n2c4>7d<3f9887>5$2c;>65d3g9j;7o7:22g?k5f?3;07d=?b;29 6g?2::o7c=n7;08?l57i3:1(>o7:22g?k5f?3907d=?9;29 6g?2::o7c=n7;68?l5703:1(>o7:22g?k5f?3?07d=?7;29 6g?2::o7c=n7;48?l57>3:1(>o7:22g?k5f?3=07d?o7:01a?k5f?3;07d?<9;29 6g?289i7c=n7;08?l7403:1(>o7:01a?k5f?3907d?<7;29 6g?289i7c=n7;68?l74>3:1(>o7:01a?k5f?3?07d?<5;29 6g?289i7c=n7;48?l74<3:1(>o7:01a?k5f?3=07d?;5;29 6g?289i7c=n7;:8?l73<3:1(>o7:01a?k5f?3307d?;3;29 6g?289i7c=n7;c8?l73:3:1(>o7:01a?k5f?3h07d?;1;29 6g?289i7c=n7;a8?l7383:1(>o7:01a?k5f?3n07d?o7:01a?k5f?3l07d?o6;:0;6)=n8;30f>h4i>0:=65`3`294?"4i1085k5a3`594>=h;0o1<7*=h;0i1<7*=h;0k1<7*=h;021<7*=h;0?1<7*=h;091<7*=h;0;1<7*=h;1l1<7*7i;o1b3?7532e84l4?:%1bn2d8m:4>3:9l7=?=83.8m54<9g9m7d1=9=10c>67:18'7d>=;0l0b>o8:078?j5??3:1(>o7:2;e?k5f?3;=76a<8783>!5f0392j6`=h;1?1<7*5<#;h21?4h4n2c4>4?<3f93?7>5$2c;>6?a3g9j;7?n;:m0<7<72-9j47=6f:l0e2<6j21d?5>50;&0e=<41o1e?l951b98k61a290/?l6538d8j6g028n07b=8e;29 6g?2:3m7c=n7;3f?>i4?m0;6)=n8;1:b>h4i>0:j65`36a94?"4i1085k5a3`5965=7i;o1b3?4532e8;44?:%1bn2d8m:4=3:9l72>=83.8m54<9g9m7d1=:=10c>98:18'7d>=;0l0b>o8:378?j5f>3:1(>o7:2;e?k5f?38=76a!5f0392j6`=h;h>1<7*554o2c0>5<#;h21?4h4n2c4>7?<3f9j>7>5$2c;>6?a3g9j;7d290/?l6538d8j6g02;n07b=71;29 6g?2:3m7c=n7;0f?>i4??0;6)=n8;1:b>h4i>09j65f9283>!5f03397c=n7;28?l?6290/?l65939m7d1=921b5=4?:%1b5<#;h215?5a3`597>=n0l0;6)=n8;;1?k5f?3>07d6k:18'7d>=1;1e?l955:9j!5f03397c=n7;:8?l?e290/?l65939m7d1=121b5l4?:%1b5<#;h215?5a3`59f>=n110;6)=n8;;1?k5f?3i07d78:18'7d>=1;1e?l95d:9j=3<72-9j477=;o1b3?c<3`3>6=4+3`:9=7=i;h=1j65f9583>!5f03397c=n7;33?>o?i3:1(>o7:808j6g028;07b<;9;29 6g?2;>37c=n7;28?j43?3:1(>o7:36;?k5f?3;07b<;6;29 6g?2;>37c=n7;08?j43=3:1(>o7:36;?k5f?3907b<;4;29 6g?2;>37c=n7;68?j43;3:1(>o7:36;?k5f?3?07b<;1;29 6g?2;>37c=n7;48?j4383:1(>o7:36;?k5f?3=07b<37c=n7;:8?j44m3:1(>o7:36;?k5f?3307b<37c=n7;c8?j44k3:1(>o7:36;?k5f?3h07b<37c=n7;a8?j44i3:1(>o7:36;?k5f?3n07b<<9;29 6g?2;>37c=n7;g8?j4403:1(>o7:36;?k5f?3l07b<<6;29 6g?2;>37c=n7;33?>i5;<0;6)=n8;07<>h4i>0:=65`22694?"4i109855a3`5957=5:9l666=83.8m54=499m7d1=9?10c?=:=20b>o8:058?j45m3:1(>o7:36;?k5f?3;376a=2e83>!5f038?46`=h:<:1<7*5<#;h21>964n2c4>4d<3f8?i7>5$2c;>72?3g9j;7?l;:m10a<72-9j47<;8:l0e2<6l21d>9m50;&0e=<5<11e?l951d98k72e290/?l6525:8j6g028l07b<;a;29 6g?2;>37c=n7;03?>i5<;0;6)=n8;07<>h4i>09=65`22594?"4i109855a3`5967=5<#;h21o55a3`594>N4jj10en950;&0e=;I1ag>=nk?0;6)=n8;a;?k5f?380D>ll;:k`1?6=,:k36n64n2c4>6=O;ki07dm;:18'7d>=k11e?l954:J0ff=h4i>0>7E=mc:9jg7<72-9j47m7;o1b3?0<@:hh76gl1;29 6g?2j20b>o8:69K7ge<3`n96=4+3`:9g==i;h=146F3A9io65fd183>!5f03i37c=n7;c8L6dd32chj7>5$2c;>f>odj3:1(>o7:b:8j6g02o1C?om4;hab>5<#;h21o55a3`5955=O;ki07dm?:18'7d>=k11e?l95109K7ge<3th?in4?:07b>5<7s-9h=740=?;0=i7?=:0392f<093;86<>5468~ 67728h9o6`75;28j=1=92djn7?4n`a95>h69k0;7)?>c;131>h69o0;7c?<0;38j76>281e>8?51:l04c<63g9jm7?4$2`4>6e73`;987>5;h1a5?6=3`9i>7>5;h311?6=3`9i?7>5;h315;n32a?6=3`9i97>5;h1a0?6=3`;9o7>5;h1a4?6=3`;9;7>5;h316?6=3`;:m7>5;h31=?6=3`;9=7>5;n32`?6=3`9jj7>5;h1a2?6=3`h=6=4+3`:9f0=i;h=1<65fb583>!5f03h>7c=n7;38?ld4290/?l65b49m7d1=:21bn?4?:%1b5<#;h21n85a3`590>=nj90;6)=n8;`6?k5f?3?07doi:18'7d>=j<1e?l956:9je`<72-9j47l:;o1b3?1<3`hm6=4+3`:9f0=i;h=1465fbd83>!5f03h>7c=n7;;8?ldc290/?l65b49m7d1=i21bnn4?:%1b5<#;h21n85a3`59g>=njh0;6)=n8;`6?k5f?3n07dl6:18'7d>=j<1e?l95e:9jf=<72-9j47l:;o1b3?`<3`h<6=4+3`:9f0=i;h=1==54i`f94?"4i10i96`=hlj0;6)=n8;fa?k5f?3:07bjn:18'7d>=lk1e?l951:9l`<<72-9j47jm;o1b3?4<3fn36=4+3`:9`g=i;h=1?65`d683>!5f03ni7c=n7;68?jb1290/?l65dc9m7d1==21dh84?:%1b5<#;h21ho5a3`593>=hm<0;6)=n8;fa?k5f?3207bk;:18'7d>=lk1e?l959:9la6<72-9j47jm;o1b3?g<3fo96=4+3`:9`g=i;h=1n65`e083>!5f03ni7c=n7;a8?jc7290/?l65dc9m7d1=l21dhk4?:%1b5<#;h21ho5a3`59b>=hlm0;6)=n8;fa?k5f?3;;76ak3;29 6g?2mh0b>o8:038?l51n3:1(>o7:24f?k5f?3:07d=9d;29 6g?2:o7:24f?k5f?3807d=9b;29 6g?2:o7:24f?k5f?3>07d=99;29 6g?2:o7:24f?k5f?3<07d=97;29 6g?2:o7:24f?k5f?3207d=94;29 6g?2:o7:24f?k5f?3k07d=92;29 6g?2:o7:24f?k5f?3i07d=90;29 6g?2:o7:24f?k5f?3o07d=:e;29 6g?2:o7:24f?k5f?3;;76g<5b83>!5f039=i6`=n;5<#;h21?;k4n2c4>45<3`9>47>5$2c;>60b3g9j;7?;;:k012<72-9j47=9e:l0e2<6=21b?8850;&0e=<4>l1e?l951798m632290/?l6537g8j6g028=07d=:4;29 6g?2:o4=:0;6)=n8;15a>h4i>0:565f34094?"4i108:h5a3`595d=8j;o1b3?7d32c88h4?:%1bd:9j71b=83.8m54<6d9m7d1=9l10e>:l:18'7d>=;?o0b>o8:0d8?l53j3:1(>o7:24f?k5f?38;76g<4`83>!5f039=i6`=n;=31<7*?54i26;>5<#;h21?;k4n2c4>75<3`9?;7>5$2c;>60b3g9j;7<;;:k003<72-9j47=9e:l0e2<5=21b?:;50;&0e=<4>l1e?l952798m613290/?l6537g8j6g02;=07d=83;29 6g?2:o4?;0;6)=n8;15a>h4i>09565f36394?"4i108:h5a3`596d=8j;o1b3?4d32c89o4?:%1b:::18'7d>=;?o0b>o8:3d8?l76;3:1(>o7:031?k5f?3:07d?>1;29 6g?28;97c=n7;38?l7683:1(>o7:031?k5f?3807d??f;29 6g?28;97c=n7;18?l77m3:1(>o7:031?k5f?3>07d??d;29 6g?28;97c=n7;78?l77k3:1(>o7:031?k5f?3<07d??b;29 6g?28;97c=n7;58?l7713:1(>o7:031?k5f?3207d??8;29 6g?28;97c=n7;;8?l77?3:1(>o7:031?k5f?3k07d??6;29 6g?28;97c=n7;`8?l77=3:1(>o7:031?k5f?3i07d??4;29 6g?28;97c=n7;f8?l77;3:1(>o7:031?k5f?3o07d??2;29 6g?28;97c=n7;d8?l7793:1(>o7:031?k5f?3;;76g>0183>!5f03;:>6`=nnl0;6)=n8;326>h4i>0:>65ffe83>!5f03;:>6`=nnj0;6)=n8;326>h4i>0:865ffc83>!5f03;:>6`=nnh0;6)=n8;326>h4i>0::65ff883>!5f03;:>6`=nn10;6)=n8;326>h4i>0:465ff683>!5f03;:>6`=nn?0;6)=n8;326>h4i>0:m65ff483>!5f03;:>6`=nn:0;6)=n8;326>h4i>0:o65ff383>!5f03;:>6`=nn80;6)=n8;326>h4i>0:i65ff183>!5f03;:>6`=nmo0;6)=n8;326>h4i>09<65fed83>!5f03;:>6`=nmm0;6)=n8;326>h4i>09>65feb83>!5f03;:>6`=nmk0;6)=n8;326>h4i>09865fe`83>!5f03;:>6`=n9831<7*;54i03;>5<#;h21=<<4n2c4>71<3`;:;7>5$2c;>4753g9j;7<7;:k253<72-9j47?>2:l0e2<5121b=<;50;&0e=<69;1e?l952`98m473290/?l651008j6g02;h07d??a;29 6g?28;97c=n7;0`?>oan3:1(>o7:031?k5f?38o76gi4;29 6g?28;97c=n7;0f?>ob13:1(>o7:031?k5f?38m76a<3e83>!5f0398o6`i4;k0;6)=n8;10g>h4i>0:76a<3`83>!5f0398o6`i4;00;6)=n8;10g>h4i>0876a<3983>!5f0398o6`i4;>0;6)=n8;10g>h4i>0>76a<3783>!5f0398o6`i4;<0;6)=n8;10g>h4i>0<76a<3283>!5f0398o6`i4;;0;6)=n8;10g>h4i>0276a<3083>!5f0398o6`i4;90;6)=n8;10g>h4i>0i76a<2g83>!5f0398o6`i4:l0;6)=n8;10g>h4i>0o76a<2e83>!5f0398o6`i4:j0;6)=n8;10g>h4i>0m76a<2c83>!5f0398o6`=h;;k1<7*5<#;h21?>m4n2c4>44<3f99;7>5$2c;>65d3g9j;7?<;:m063<72-9j47=i4:;0;6)=n8;10g>h4i>0:465`33394?"4i108?n5a3`595<==l;o1b3?7e32e8=i4?:%1bc:9l74e=83.8m54<3b9m7d1=9m10c>?m:18'7d>=;:i0b>o8:0g8?j56i3:1(>o7:21`?k5f?3;m76a<1883>!5f0398o6`=h;821<7*<54o234>5<#;h21?>m4n2c4>74<3f9::7>5$2c;>65d3g9j;7<<;:m050<72-9j47=i4<80;6)=n8;10g>h4i>09465`35294?"4i108?n5a3`596<==l;o1b3?4e32e8?94?:%1b?j:18'7d>=;:i0b>o8:3g8?j56;3:1(>o7:21`?k5f?38m76g<0d83>!5f039;h6`o48j0;6)=n8;13`>h4i>0:76g<0c83>!5f039;h6`o48h0;6)=n8;13`>h4i>0876g<0883>!5f039;h6`o4810;6)=n8;13`>h4i>0>76g<0683>!5f039;h6`o48?0;6)=n8;13`>h4i>0<76g>3b83>!5f03;8n6`o6;h0;6)=n8;30f>h4i>0:76g>3883>!5f03;8n6`o6;10;6)=n8;30f>h4i>0876g>3683>!5f03;8n6`o6;?0;6)=n8;30f>h4i>0>76g>3483>!5f03;8n6`o6;=0;6)=n8;30f>h4i>0<76g>4483>!5f03;8n6`o6<=0;6)=n8;30f>h4i>0276g>4283>!5f03;8n6`o6<;0;6)=n8;30f>h4i>0i76g>4083>!5f03;8n6`o6<90;6)=n8;30f>h4i>0o76g>3g83>!5f03;8n6`o6;l0;6)=n8;30f>h4i>0m76g>3e83>!5f03;8n6`=n9:91<7*5<#;h21?4h4n2c4>5=5<#;h21?4h4n2c4>7=54o2;a>5<#;h21?4h4n2c4>1=5<#;h21?4h4n2c4>3=5<#;h21?4h4n2c4>==6=4+3`:97<`5<#;h21?4h4n2c4>d=5<#;h21?4h4n2c4>f=5<#;h21?4h4n2c4>`=5<#;h21?4h4n2c4>46<3f93h7>5$2c;>6?a3g9j;7?>;:m0>290/?l6538d8j6g028>07b=78;29 6g?2:3m7c=n7;36?>i40>0;6)=n8;1:b>h4i>0::65`39494?"4i1085k5a3`5952=6=4+3`:97<`7i;o1b3?7>32e84>4?:%1bn2d8m:4>a:9l7=4=83.8m54<9g9m7d1=9k10c>6?:18'7d>=;0l0b>o8:0a8?j50n3:1(>o7:2;e?k5f?3;o76a<7d83>!5f0392j6`=h;>n1<7*5<#;h21?4h4n2c4>76<3f95$2c;>6?a3g9j;7<>;:m03d<72-9j47=6f:l0e2<5:21d?:750;&0e=<41o1e?l952298k61?290/?l6538d8j6g02;>07b=87;29 6g?2:3m7c=n7;06?>i4i?0;6)=n8;1:b>h4i>09:65`3`794?"4i1085k5a3`5962=7i;o1b3?4>32e8m?4?:%1bn2d8m:4=a:9l7d7=83.8m54<9g9m7d1=:k10c>78:18'7d>=;0l0b>o8:3a8?j5?k3:1(>o7:2;e?k5f?38o76a<8083>!5f0392j6`=h;><1<7*k54i8194?"4i102>6`o>93:1(>o7:808j6g02810e4>50;&0e=<>:2d8m:4=;:k;b?6=,:k364<4n2c4>6=h4i>0?76g7d;29 6g?2080b>o8:498m=e=83.8m5462:l0e2<132c3n7>5$2c;><46`o>j3:1(>o7:808j6g02010e4o50;&0e=<>:2d8m:4n;:k:=?6=,:k364<4n2c4>g=h4i>0h76g67;29 6g?2080b>o8:e98m<0=83.8m5462:l0e25$2c;><46`=n0h0;6)=n8;;1?k5f?3;:76a=4883>!5f038?46`i5<>0;6)=n8;07<>h4i>0:76a=4783>!5f038?46`i5<<0;6)=n8;07<>h4i>0876a=4583>!5f038?46`i5<:0;6)=n8;07<>h4i>0>76a=4083>!5f038?46`i5<90;6)=n8;07<>h4i>0<76a=3g83>!5f038?46`i5;l0;6)=n8;07<>h4i>0276a=3e83>!5f038?46`i5;j0;6)=n8;07<>h4i>0i76a=3c83>!5f038?46`i5;h0;6)=n8;07<>h4i>0o76a=3883>!5f038?46`i5;10;6)=n8;07<>h4i>0m76a=3783>!5f038?46`=h::?1<7*5<#;h21>964n2c4>44<3f88?7>5$2c;>72?3g9j;7?<;:m177<72-9j47<;8:l0e2<6<21d>>?50;&0e=<5<11e?l951498k757290/?l6525:8j6g028<07b<=f;29 6g?2;>37c=n7;34?>i5:l0;6)=n8;07<>h4i>0:465`23f94?"4i109855a3`595<=c:9l61b=83.8m54=499m7d1=9m10c?:l:18'7d>=:=20b>o8:0g8?j43j3:1(>o7:36;?k5f?3;m76a=4`83>!5f038?46`=h:=81<7*<54o314>5<#;h21>964n2c4>74<3f89o7>5$2c;>72?3g9j;7<<;:k`=?6=,:k36n64n2c4>5=O;ki07dm8:18'7d>=k11e?l951:J0ff=h4i>097E=mc:9jg0<72-9j47m7;o1b3?5<@:hh76gl4;29 6g?2j20b>o8:59K7ge<3`i86=4+3`:9g==i;h=196F!5f03i37c=n7;58L6dd32co>7>5$2c;>f>odm3:1(>o7:b:8j6g02j1C?om4;hag>5<#;h21o55a3`59`>N4jj10enm50;&0e==nkk0;6)=n8;a;?k5f?3l0D>ll;:k`e?6=,:k36n64n2c4>46<@:hh76gl0;29 6g?2j20b>o8:038L6dd32wi8hj50;36e?6=8r.8o<4=0`9K7fd<@:i87Wk8:cy;5?712>81:h4>2;32>3e=?80:?7??:559y!5683;i>n5a8483?k>0281emo4>;oc`>4=i98h1<6*>1b8040=i98l1<6`>3182?k4713;0b?;>:09m75`=92d8ml4>;%1a3?5d82c:>94?::k0f4<722c8n?4?::k260<722c8n>4?::k26=<722c:>>4?::m25`<722c8n84?::k0f1<722c:>n4?::k0f5<722c:>:4?::k267<722c:=l4?::k26<<722c:><4?::m25a<722c8mk4?::k0f3<722ci:7>5$2c;>g3oe;3:1(>o7:c78j6g02;10eo<50;&0e=1=h4i>0>76gnf;29 6g?2k?0b>o8:798mdc=83.8m54m5:l0e2<032cij7>5$2c;>g3oel3:1(>o7:c78j6g02h10eom50;&0e=f=h4i>0o76gm9;29 6g?2k?0b>o8:d98mg>=83.8m54m5:l0e25$2c;>g34;hcg>5<#;h21n85a3`5954=h4i>0;76aka;29 6g?2mh0b>o8:098ka?=83.8m54kb:l0e2<532eo47>5$2c;>ad54oe594?"4i10on6`ic>3:1(>o7:e`8j6g02<10ci;50;&0e=2=h4i>0376aj4;29 6g?2mh0b>o8:898k`5=83.8m54kb:l0e27>5$2c;>adib83:1(>o7:e`8j6g02m10cih50;&0e=c=h4i>0:<65`d283>!5f03ni7c=n7;32?>o4>o0;6)=n8;15a>h4i>0;76g<6e83>!5f039=i6`o4>j0;6)=n8;15a>h4i>0976g<6c83>!5f039=i6`o4>h0;6)=n8;15a>h4i>0?76g<6883>!5f039=i6`o4>10;6)=n8;15a>h4i>0=76g<6683>!5f039=i6`o4><0;6)=n8;15a>h4i>0376g<6583>!5f039=i6`o4>:0;6)=n8;15a>h4i>0j76g<6383>!5f039=i6`o4>80;6)=n8;15a>h4i>0h76g<6183>!5f039=i6`o4=o0;6)=n8;15a>h4i>0n76g<5d83>!5f039=i6`o4=m0;6)=n8;15a>h4i>0:<65f34a94?"4i108:h5a3`5954=8j;o1b3?7432c8954?:%1b4:9j701=83.8m54<6d9m7d1=9<10e>;9:18'7d>=;?o0b>o8:048?l52=3:1(>o7:24f?k5f?3;<76g<5583>!5f039=i6`=n;<91<7*5<#;h21?;k4n2c4>4g<3`9>=7>5$2c;>60b3g9j;7?m;:k00c<72-9j47=9e:l0e2<6k21b?9k50;&0e=<4>l1e?l951e98m62c290/?l6537g8j6g028o07d=;c;29 6g?2:o4h4i>09<65f35c94?"4i108:h5a3`5964=26=4+3`:973c8j;o1b3?4432c88:4?:%1b9::18'7d>=;?o0b>o8:348?l50<3:1(>o7:24f?k5f?38<76g<7283>!5f039=i6`=n;>81<7*454i252>5<#;h21?;k4n2c4>7g<3`9<<7>5$2c;>60b3g9j;7l1e?l952e98m637290/?l6537g8j6g02;o07d=;5;29 6g?2:o69:0;6)=n8;326>h4i>0;76g>1083>!5f03;:>6`o6990;6)=n8;326>h4i>0976g>0g83>!5f03;:>6`o68l0;6)=n8;326>h4i>0?76g>0e83>!5f03;:>6`o68j0;6)=n8;326>h4i>0=76g>0c83>!5f03;:>6`o6800;6)=n8;326>h4i>0376g>0983>!5f03;:>6`o68>0;6)=n8;326>h4i>0j76g>0783>!5f03;:>6`o68<0;6)=n8;326>h4i>0h76g>0583>!5f03;:>6`o68:0;6)=n8;326>h4i>0n76g>0383>!5f03;:>6`o6880;6)=n8;326>h4i>0:<65f11294?"4i10:=?5a3`5954==54idg94?"4i10:=?5a3`5964=?54ida94?"4i10:=?5a3`5966=954idc94?"4i10:=?5a3`5960=139m7d1=:010e=9880b>o8:3c8?l76<3:1(>o7:031?k5f?38i76g>0`83>!5f03;:>6`=nno0;6)=n8;326>h4i>09h65ff583>!5f03;:>6`=nm00;6)=n8;326>h4i>09j65`32f94?"4i108?n5a3`594>=h;:h1<7*=h;:31<7*=h;:=1<7*=h;:?1<7*=h;:81<7*=h;::1<7*=h;;o1<7*=h;;i1<7*=l;o1b3?7532e8>:4?:%1b3:9l770=83.8m54<3b9m7d1=9=10c><::18'7d>=;:i0b>o8:078?j55<3:1(>o7:21`?k5f?3;=76a<2283>!5f0398o6`=h;;81<7*5<#;h21?>m4n2c4>4?<3f99<7>5$2c;>65d3g9j;7?n;:m05c<72-9j47=b;29 6g?2:9h7c=n7;3f?>i49h0;6)=n8;10g>h4i>0:j65`30;94?"4i108?n5a3`5965==l;o1b3?4532e8=;4?:%1b?;:18'7d>=;:i0b>o8:378?j53;3:1(>o7:21`?k5f?38=76a<4383>!5f0398o6`=h;=;1<7*554o263>5<#;h21?>m4n2c4>7?<3f98j7>5$2c;>65d3g9j;7:50;&0e=<4;j1e?l952b98k64>290/?l6532a8j6g02;n07b=>e;29 6g?2:9h7c=n7;0f?>i49:0;6)=n8;10g>h4i>09j65f31g94?"4i108=n;9i1<7*=n;9k1<7*=n;921<7*=n;9<1<7*=n9:k1<7*=n9:21<7*=n9:<1<7*=n9:>1<7*=n9=>1<7*=n9=81<7*=n9=:1<7*=n9:o1<7*7i;o1b3?6<3f92i7>5$2c;>6?a3g9j;7?4;n1:`?6=,:k36>7i;o1b3?4<3f92o7>5$2c;>6?a3g9j;7=4;n1:f?6=,:k36>7i;o1b3?2<3f92m7>5$2c;>6?a3g9j;7;4;n1:=?6=,:k36>7i;o1b3?0<3f9247>5$2c;>6?a3g9j;794;n1:2?6=,:k36>7i;o1b3?><3f9297>5$2c;>6?a3g9j;774;n1:0?6=,:k36>7i;o1b3?g<3f92?7>5$2c;>6?a3g9j;7l4;n1:6?6=,:k36>7i;o1b3?e<3f92=7>5$2c;>6?a3g9j;7j4;n1:4?6=,:k36>7i;o1b3?c<3f93j7>5$2c;>6?a3g9j;7h4;n1;a?6=,:k36>7i;o1b3?7732e84i4?:%1bn2d8m:4>1:9l7=d=83.8m54<9g9m7d1=9;10c>6n:18'7d>=;0l0b>o8:018?j5?13:1(>o7:2;e?k5f?3;?76a<8983>!5f0392j6`=h;1=1<7*5<#;h21?4h4n2c4>41<3f9397>5$2c;>6?a3g9j;7?7;:m0<1<72-9j47=6f:l0e2<6121d?5=50;&0e=<41o1e?l951`98k6>5290/?l6538d8j6g028h07b=70;29 6g?2:3m7c=n7;3`?>i4?o0;6)=n8;1:b>h4i>0:h65`36g94?"4i1085k5a3`595`=7i;o1b3?4732e8;o4?:%1bn2d8m:4=1:9l72g=83.8m54<9g9m7d1=:;10c>96:18'7d>=;0l0b>o8:318?j5003:1(>o7:2;e?k5f?38?76a<7683>!5f0392j6`=h;h<1<7*;54o2c6>5<#;h21?4h4n2c4>71<3f9j87>5$2c;>6?a3g9j;7<7;:m0e6<72-9j47=6f:l0e2<5121d?l<50;&0e=<41o1e?l952`98k6g6290/?l6538d8j6g02;h07b=67;29 6g?2:3m7c=n7;0`?>i40j0;6)=n8;1:b>h4i>09h65`39394?"4i1085k5a3`596`=5<#;h215?5a3`594>=n180;6)=n8;;1?k5f?3;07d7?:18'7d>=1;1e?l952:9j!5f03397c=n7;78?l>d290/?l65939m7d1=>21b4o4?:%1b5<#;h215?5a3`59<>=n1k0;6)=n8;;1?k5f?3307d7n:18'7d>=1;1e?l95a:9j=<<72-9j477=;o1b3?d<3`336=4+3`:9=7=i;h=1o65f9683>!5f03397c=n7;f8?l?1290/?l65939m7d1=m21b584?:%1b5<#;h215?5a3`5955=h4i>0:=65`25;94?"4i109855a3`594>=h:==1<7*=h:=?1<7*=h:=91<7*=h:=:1<7*=h::o1<7*=h::i1<7*=h::k1<7*=h::21<7*6=4+3`:961>4?:%1b3:9l664=83.8m54=499m7d1=9=10c?=>:18'7d>=:=20b>o8:078?j4483:1(>o7:36;?k5f?3;=76a=2g83>!5f038?46`=h:;o1<7*5<#;h21>964n2c4>4?<3f8><7>5$2c;>72?3g9j;7?n;:m10c<72-9j47<;8:l0e2<6j21d>9k50;&0e=<5<11e?l951b98k72c290/?l6525:8j6g028n07b<;c;29 6g?2;>37c=n7;3f?>i5h4i>0:j65`25c94?"4i109855a3`5965=96=4+3`:961>n4?:%1bo8:09K7ge<3`i=6=4+3`:9g==i;h=1>6F!5f03i37c=n7;68L6dd32ch?7>5$2c;>f>2B8nn54ib394?"4i10h46`oc93:1(>o7:b:8j6g0201C?om4;hf3>5<#;h21o55a3`59e>N4jj10enh50;&0e==nkl0;6)=n8;a;?k5f?3i0D>ll;:k``?6=,:k36n64n2c4>a=O;ki07dml:18'7d>=k11e?l95e:J0ff=h4i>0m7E=mc:9jgd<72-9j47m7;o1b3?773A9io65fc183>!5f03i37c=n7;32?M5ek21vn9kj:1821d<729q/?n?521c8L6ee3A9h?6Tj7;`x<4<6>3=96;k513825?0d2>;1=>4>0;64>x"4990:n?m4n9794>h??3;0bll51:lbg?7h5800:7c<:1;38j66a281e?lo51:&0f2<4k91b=?:50;9j7g7=831b?o<50;9j573=831b?o=50;9j57>=831b=?=50;9l54c=831b?o;50;9j7g2=831b=?m50;9j7g6=831b=?950;9j574=831b=4;h`7>5<#;h21n85a3`595>=nj:0;6)=n8;`6?k5f?3807dl=:18'7d>=j<1e?l953:9jf4<72-9j47l:;o1b3?2<3`h;6=4+3`:9f0=i;h=1965fag83>!5f03h>7c=n7;48?lgb290/?l65b49m7d1=?21bnk4?:%1b5<#;h21n85a3`59=>=njm0;6)=n8;`6?k5f?3k07dll:18'7d>=j<1e?l95b:9jfg<72-9j47l:;o1b3?e<3`hj6=4+3`:9f0=i;h=1h65fb883>!5f03h>7c=n7;g8?ld?290/?l65b49m7d1=n21bn:4?:%1b47<3fnh6=4+3`:9`g=i;h=1<65`d`83>!5f03ni7c=n7;38?jb>290/?l65dc9m7d1=:21dh54?:%1b5<#;h21ho5a3`590>=hl?0;6)=n8;fa?k5f?3?07bj::18'7d>=lk1e?l956:9l`1<72-9j47jm;o1b3?1<3fo>6=4+3`:9`g=i;h=1465`e583>!5f03ni7c=n7;;8?jc4290/?l65dc9m7d1=i21di?4?:%1b5<#;h21ho5a3`59g>=hm90;6)=n8;fa?k5f?3n07bji:18'7d>=lk1e?l95e:9l``<72-9j47jm;o1b3?`<3fno6=4+3`:9`g=i;h=1==54oe194?"4i10on6`=n;?l1<7*=n;?i1<7*65f37`94?"4i108:h5a3`597>=n;?k1<7*=n;?21<7*=n;??1<7*=n;?91<7*=n;?;1<7*=n;=n;5<#;h21?;k4n2c4>47<3`9>m7>5$2c;>60b3g9j;7?=;:k01<<72-9j47=9e:l0e2<6;21b?8650;&0e=<4>l1e?l951598m630290/?l6537g8j6g028?07d=:6;29 6g?2:o4=<0;6)=n8;15a>h4i>0:;65f34694?"4i108:h5a3`595==8j;o1b3?7f32c89<4?:%1bb:9j71`=83.8m54<6d9m7d1=9j10e>:j:18'7d>=;?o0b>o8:0f8?l53l3:1(>o7:24f?k5f?3;n76g<4b83>!5f039=i6`=n;=h1<7*=54i26b>5<#;h21?;k4n2c4>77<3`9?57>5$2c;>60b3g9j;7<=;:k00=<72-9j47=9e:l0e2<5;21b?9950;&0e=<4>l1e?l952598m621290/?l6537g8j6g02;?07d=85;29 6g?2:o4?=0;6)=n8;15a>h4i>09;65f36194?"4i108:h5a3`596==8j;o1b3?4f32c8;=4?:%1b;m:18'7d>=;?o0b>o8:3f8?l5283:1(>o7:24f?k5f?38n76g<4483>!5f039=i6`=n9891<7*=n98:1<7*65f11d94?"4i10:=?5a3`597>=n99o1<7*=n99i1<7*=n9931<7*=n99=1<7*=n99?1<7*=n9991<7*=n99;1<7*5<#;h21=<<4n2c4>47<3`ln6=4+3`:95445<#;h21=<<4n2c4>45<3`lh6=4+3`:95445<#;h21=<<4n2c4>43<3`lj6=4+3`:95445<#;h21=<<4n2c4>41<3`l36=4+3`:95445<#;h21=<<4n2c4>4?<3`l=6=4+3`:95445<#;h21=<<4n2c4>4d<3`l86=4+3`:95445<#;h21=<<4n2c4>4b<3`l:6=4+3`:95445<#;h21=<<4n2c4>4`<3`om6=4+3`:95444;hgf>5<#;h21=<<4n2c4>77<3`oo6=4+3`:95445<#;h21=<<4n2c4>75<3`oi6=4+3`:95445<#;h21=<<4n2c4>73<3`;:57>5$2c;>4753g9j;7<9;:k25=<72-9j47?>2:l0e2<5?21b=<950;&0e=<69;1e?l952998m471290/?l651008j6g02;307d?>5;29 6g?28;97c=n7;0b?>o69=0;6)=n8;326>h4i>09n65f11c94?"4i10:=?5a3`596f=i54ig694?"4i10:=?5a3`596`=k54o21g>5<#;h21?>m4n2c4>5=5<#;h21?>m4n2c4>7=54o21;>5<#;h21?>m4n2c4>1=5<#;h21?>m4n2c4>3=6=4+3`:976e5<#;h21?>m4n2c4>==5<#;h21?>m4n2c4>d=5<#;h21?>m4n2c4>f=5<#;h21?>m4n2c4>`=5<#;h21?>m4n2c4>46<3f99m7>5$2c;>65d3g9j;7?>;:m06=<72-9j47=07b==5;29 6g?2:9h7c=n7;36?>i4:=0;6)=n8;10g>h4i>0::65`33194?"4i108?n5a3`5952==l;o1b3?7>32e8>=4?:%1ba:9l74`=83.8m54<3b9m7d1=9k10c>?k:18'7d>=;:i0b>o8:0a8?j56k3:1(>o7:21`?k5f?3;o76a<1c83>!5f0398o6`=h;8k1<7*5<#;h21?>m4n2c4>76<3f9:47>5$2c;>65d3g9j;7<>;:m052<72-9j47=07b=>4;29 6g?2:9h7c=n7;06?>i4<:0;6)=n8;10g>h4i>09:65`35094?"4i108?n5a3`5962=:6=4+3`:976e=l;o1b3?4>32e8?k4?:%1b=;:18'7d>=;:i0b>o8:3a8?j5513:1(>o7:21`?k5f?38o76a<1d83>!5f0398o6`=h;891<7*k54i22f>5<#;h21?=j4n2c4>5=5<#;h21?=j4n2c4>7=54i22:>5<#;h21?=j4n2c4>1=5<#;h21?=j4n2c4>3=5<#;h21=>l4n2c4>5=5<#;h21=>l4n2c4>7=54i014>5<#;h21=>l4n2c4>1=5<#;h21=>l4n2c4>3=5<#;h21=>l4n2c4>==?6=4+3`:956d5<#;h21=>l4n2c4>d=96=4+3`:956d5<#;h21=>l4n2c4>f=;6=4+3`:956d5<#;h21=>l4n2c4>`=5<#;h21=>l4n2c4>46<3`;8?7>5$2c;>45e3g9j;7?>;:m0e5<72-9j47=6f:l0e2<732e85h4?:%1bn2d8m:4>;:m0=a<72-9j47=6f:l0e2<532e85n4?:%1bn2d8m:4<;:m0=g<72-9j47=6f:l0e2<332e85l4?:%1bn2d8m:4:;:m0=<<72-9j47=6f:l0e2<132e8554?:%1bn2d8m:48;:m0=3<72-9j47=6f:l0e2n2d8m:46;:m0=1<72-9j47=6f:l0e24?:%1bn2d8m:4m;:m0=7<72-9j47=6f:l0e2n2d8m:4k;:m0=5<72-9j47=6f:l0e2n2d8m:4i;:m0<`<72-9j47=6f:l0e2<6821d?5j50;&0e=<41o1e?l951098k6>e290/?l6538d8j6g028807b=7a;29 6g?2:3m7c=n7;30?>i4000;6)=n8;1:b>h4i>0:865`39:94?"4i1085k5a3`5950=7i;o1b3?7032e8484?:%1bn2d8m:4>8:9l7=2=83.8m54<9g9m7d1=9010c>6<:18'7d>=;0l0b>o8:0c8?j5?:3:1(>o7:2;e?k5f?3;i76a<8183>!5f0392j6`=h;>l1<7*5<#;h21?4h4n2c4>4c<3f95$2c;>6?a3g9j;7?i;:m03f<72-9j47=6f:l0e2<5821d?:l50;&0e=<41o1e?l952098k61f290/?l6538d8j6g02;807b=89;29 6g?2:3m7c=n7;00?>i4?10;6)=n8;1:b>h4i>09865`36594?"4i1085k5a3`5960=7i;o1b3?4032e8m94?:%1bn2d8m:4=8:9l7d5=83.8m54<9g9m7d1=:010c>o=:18'7d>=;0l0b>o8:3c8?j5f93:1(>o7:2;e?k5f?38i76a<9683>!5f0392j6`=h;1i1<7*i54o2:2>5<#;h21?4h4n2c4>7c<3f9<:7>5$2c;>6?a3g9j;75=h4i>0:76g60;29 6g?2080b>o8:398m=`=83.8m5462:l0e2<432c3i7>5$2c;><46`o?k3:1(>o7:808j6g02?10e5l50;&0e=<>:2d8m:48;:k:g?6=,:k364<4n2c4>==h4i>0276g6a;29 6g?2080b>o8:`98m5$2c;><46`o>>3:1(>o7:808j6g02l10e4;50;&0e=<>:2d8m:4i;:k:0?6=,:k364<4n2c4>46<3`2j6=4+3`:9=7=i;h=1=<54o36:>5<#;h21>964n2c4>5=<6=4+3`:961>5<#;h21>964n2c4>7=>6=4+3`:961>54o367>5<#;h21>964n2c4>1=86=4+3`:961>5<#;h21>964n2c4>3=;6=4+3`:961>5<#;h21>964n2c4>==5<#;h21>964n2c4>d=5<#;h21>964n2c4>f=5<#;h21>964n2c4>`=5<#;h21>964n2c4>46<3f8897>5$2c;>72?3g9j;7?>;:m171<72-9j47<;8:l0e2<6:21d>>=50;&0e=<5<11e?l951298k755290/?l6525:8j6g028>07b<<1;29 6g?2;>37c=n7;36?>i5;90;6)=n8;07<>h4i>0::65`23d94?"4i109855a3`5952=32e99=4?:%1ba:9l61`=83.8m54=499m7d1=9k10c?:j:18'7d>=:=20b>o8:0a8?j43l3:1(>o7:36;?k5f?3;o76a=4b83>!5f038?46`=h:=h1<7*5<#;h21>964n2c4>76<3f8?>7>5$2c;>72?3g9j;7<>;:m172<72-9j47<;8:l0e2<5:21d>?m50;&0e=<5<11e?l952298mf?=83.8m54l8:l0e2<73A9io65fc683>!5f03i37c=n7;38L6dd32ch:7>5$2c;>f>4?:%1bod:3:1(>o7:b:8j6g02?1C?om4;ha2>5<#;h21o55a3`593>N4jj10ei<50;&0e==nl80;6)=n8;a;?k5f?330D>ll;:kg4?6=,:k36n64n2c4>d=O;ki07dmi:18'7d>=k11e?l95b:J0ff=h4i>0h7E=mc:9jga<72-9j47m7;o1b3?b<@:hh76glc;29 6g?2j20b>o8:d9K7ge<3`ii6=4+3`:9g==i;h=1j6FN4jj10qo:jf;2950g=83:p(>m>:32b?M5dj2B8o>5Ue68a=7=9?0<>78j:00954<1k3=:6<=511873?{#;8:1=o5=i0>0:7com:09mef<63g;:n7>4$03`>6623g;:j7>4n013>4=i:931=6`=5082?k57n3;0b>on:09'7g1=;j:0e<<;:188m6d62900e>l=:188m4422900e>l<:188m44?2900e<<<:188k47b2900e>l::188m6d32900e<2900e<<>:188k47c2900e>oi:188m6d12900eo850;&0e=4=h4i>0976gm2;29 6g?2k?0b>o8:298mg7=83.8m54m5:l0e2<332ci<7>5$2c;>g3ofm3:1(>o7:c78j6g02>10eoh50;&0e=<=h4i>0j76gmc;29 6g?2k?0b>o8:c98mgd=83.8m54m5:l0e25$2c;>g3oe03:1(>o7:c78j6g02o10eo950;&0e=0:9jea<72-9j47l:;o1b3?7632eoo7>5$2c;>adic13:1(>o7:e`8j6g02;10ci650;&0e=1=h4i>0>76ak5;29 6g?2mh0b>o8:798ka2=83.8m54kb:l0e2<032en97>5$2c;>adib;3:1(>o7:e`8j6g02h10ch<50;&0e=f=h4i>0o76akf;29 6g?2mh0b>o8:d98kac=83.8m54kb:l0e25$2c;>ad4;nf0>5<#;h21ho5a3`5954=5<#;h21?;k4n2c4>4=5<#;h21?;k4n2c4>6=5<#;h21?;k4n2c4>0=5<#;h21?;k4n2c4>2=6=4+3`:973c5<#;h21?;k4n2c4><=5<#;h21?;k4n2c4>g=5<#;h21?;k4n2c4>a=5<#;h21?;k4n2c4>c=4;h16g?6=,:k36>8j;o1b3?7632c89l4?:%1b2:9j70?=83.8m54<6d9m7d1=9:10e>;7:18'7d>=;?o0b>o8:068?l52?3:1(>o7:24f?k5f?3;>76g<5783>!5f039=i6`=n;5<#;h21?;k4n2c4>4><3`9>?7>5$2c;>60b3g9j;7?6;:k017<72-9j47=9e:l0e2<6i21b?8?50;&0e=<4>l1e?l951c98m62a290/?l6537g8j6g028i07d=;e;29 6g?2:o4h4i>0:i65f35a94?"4i108:h5a3`595c=i6=4+3`:973c4;h17e?6=,:k36>8j;o1b3?4632c8844?:%1b=83.8m54<6d9m7d1=::10e>:8:18'7d>=;?o0b>o8:368?l53>3:1(>o7:24f?k5f?38>76g<7483>!5f039=i6`=n;>>1<7*:54i250>5<#;h21?;k4n2c4>7><3`9<>7>5$2c;>60b3g9j;7<6;:k034<72-9j47=9e:l0e2<5i21b?:>50;&0e=<4>l1e?l952c98m601290/?l6537g8j6g02;i07d=:b;29 6g?2:o4=90;6)=n8;15a>h4i>09i65f35794?"4i108:h5a3`596c=5<#;h21=<<4n2c4>4=5<#;h21=<<4n2c4>6=5<#;h21=<<4n2c4>0=5<#;h21=<<4n2c4>2=5<#;h21=<<4n2c4><=5<#;h21=<<4n2c4>g=6=4+3`:95445<#;h21=<<4n2c4>a=5<#;h21=<<4n2c4>c=4;h334?6=,:k365$2c;>4753g9j;7?=;:ke`?6=,:k365$2c;>4753g9j;7?;;:kef?6=,:k365$2c;>4753g9j;7?9;:ke=?6=,:k365$2c;>4753g9j;7?7;:ke3?6=,:k3632cm:7>5$2c;>4753g9j;7?n;:ke1?6=,:k365$2c;>4753g9j;7?l;:ke6?6=,:k365$2c;>4753g9j;7?j;:ke4?6=,:k365$2c;>4753g9j;75$2c;>4753g9j;7<=;:kfg?6=,:k365$2c;>4753g9j;7<;;:kfe?6=,:k36=83.8m54>139m7d1=:>10e=9880b>o8:3:8?l76>3:1(>o7:031?k5f?38276g>1483>!5f03;:>6`=n98>1<7*o54i02b>5<#;h21=<<4n2c4>7e<3`lm6=4+3`:95445<#;h21=<<4n2c4>7c<3`o26=4+3`:9544=l;o1b3?6<3f98n7>5$2c;>65d3g9j;7?4;n10e?6=,:k36>=l;o1b3?4<3f9857>5$2c;>65d3g9j;7=4;n10=l;o1b3?2<3f98;7>5$2c;>65d3g9j;7;4;n102?6=,:k36>=l;o1b3?0<3f9897>5$2c;>65d3g9j;794;n107?6=,:k36>=l;o1b3?><3f98>7>5$2c;>65d3g9j;774;n105?6=,:k36>=l;o1b3?g<3f98<7>5$2c;>65d3g9j;7l4;n11b?6=,:k36>=l;o1b3?e<3f99i7>5$2c;>65d3g9j;7j4;n11`?6=,:k36>=l;o1b3?c<3f99o7>5$2c;>65d3g9j;7h4;n11f?6=,:k36>=l;o1b3?7732e8>l4?:%1b1:9l77>=83.8m54<3b9m7d1=9;10c><8:18'7d>=;:i0b>o8:018?j55>3:1(>o7:21`?k5f?3;?76a<2483>!5f0398o6`=h;;>1<7*5<#;h21?>m4n2c4>41<3f99>7>5$2c;>65d3g9j;7?7;:m064<72-9j47=50;&0e=<4;j1e?l951`98k67a290/?l6532a8j6g028h07b=>d;29 6g?2:9h7c=n7;3`?>i49j0;6)=n8;10g>h4i>0:h65`30`94?"4i108?n5a3`595`==l;o1b3?4732e8=54?:%1b?9:18'7d>=;:i0b>o8:318?j56=3:1(>o7:21`?k5f?38?76a<1583>!5f0398o6`=h;=91<7*;54o261>5<#;h21?>m4n2c4>71<3f9?=7>5$2c;>65d3g9j;7<7;:m005<72-9j47=h50;&0e=<4;j1e?l952`98k65b290/?l6532a8j6g02;h07b=<4;29 6g?2:9h7c=n7;0`?>i4:00;6)=n8;10g>h4i>09h65`30g94?"4i108?n5a3`596`=>k;o1b3?6<3`9;o7>5$2c;>66c3g9j;7?4;h13f?6=,:k36>>k;o1b3?4<3`9;m7>5$2c;>66c3g9j;7=4;h13=?6=,:k36>>k;o1b3?2<3`9;47>5$2c;>66c3g9j;7;4;h133?6=,:k36>>k;o1b3?0<3`9;:7>5$2c;>66c3g9j;794;h30g?6=,:k36<=m;o1b3?6<3`;8m7>5$2c;>45e3g9j;7?4;h30=?6=,:k36<=m;o1b3?4<3`;847>5$2c;>45e3g9j;7=4;h303?6=,:k36<=m;o1b3?2<3`;8:7>5$2c;>45e3g9j;7;4;h301?6=,:k36<=m;o1b3?0<3`;887>5$2c;>45e3g9j;794;h371?6=,:k36<=m;o1b3?><3`;?87>5$2c;>45e3g9j;774;h377?6=,:k36<=m;o1b3?g<3`;?>7>5$2c;>45e3g9j;7l4;h375?6=,:k36<=m;o1b3?e<3`;?<7>5$2c;>45e3g9j;7j4;h30b?6=,:k36<=m;o1b3?c<3`;8i7>5$2c;>45e3g9j;7h4;h30`?6=,:k36<=m;o1b3?7732c:?>4?:%1b1:9l7d6=83.8m54<9g9m7d1=821d?4k50;&0e=<41o1e?l951:9l721d?4650;&0e=<41o1e?l957:9l7<0=83.8m54<9g9m7d1=021d?4;50;&0e=<41o1e?l959:9l7<2=83.8m54<9g9m7d1=i21d?4=50;&0e=<41o1e?l95b:9l7<4=83.8m54<9g9m7d1=k21d?4?50;&0e=<41o1e?l95d:9l7<6=83.8m54<9g9m7d1=m21d?5h50;&0e=<41o1e?l95f:9l7=c=83.8m54<9g9m7d1=9910c>6k:18'7d>=;0l0b>o8:038?j5?j3:1(>o7:2;e?k5f?3;976a<8`83>!5f0392j6`=h;131<7*5<#;h21?4h4n2c4>43<3f93;7>5$2c;>6?a3g9j;7?9;:m0<3<72-9j47=6f:l0e2<6?21d?5;50;&0e=<41o1e?l951998k6>3290/?l6538d8j6g028307b=73;29 6g?2:3m7c=n7;3b?>i40;0;6)=n8;1:b>h4i>0:n65`39294?"4i1085k5a3`595f=7i;o1b3?7b32e8;i4?:%1bn2d8m:4>f:9l72e=83.8m54<9g9m7d1=:910c>9m:18'7d>=;0l0b>o8:338?j50i3:1(>o7:2;e?k5f?38976a<7883>!5f0392j6`=h;>21<7*954o254>5<#;h21?4h4n2c4>73<3f9j:7>5$2c;>6?a3g9j;7<9;:m0e0<72-9j47=6f:l0e2<5?21d?l:50;&0e=<41o1e?l952998k6g4290/?l6538d8j6g02;307b=n2;29 6g?2:3m7c=n7;0b?>i4i80;6)=n8;1:b>h4i>09n65`38594?"4i1085k5a3`596f=7i;o1b3?4b32e8;;4?:%1bn2d8m:4=f:9j=6<72-9j477=;o1b3?6<3`3:6=4+3`:9=7=i;h=1=65f9183>!5f03397c=n7;08?l>a290/?l65939m7d1=;21b4h4?:%1b5<#;h215?5a3`591>=n0j0;6)=n8;;1?k5f?3<07d6m:18'7d>=1;1e?l957:9j=f<72-9j477=;o1b3?><3`3i6=4+3`:9=7=i;h=1565f9`83>!5f03397c=n7;c8?l?>290/?l65939m7d1=j21b554?:%1b5<#;h215?5a3`59`>=n1?0;6)=n8;;1?k5f?3o07d7::18'7d>=1;1e?l95f:9j=1<72-9j477=;o1b3?7732c3m7>5$2c;><45$2c;>72?3g9j;7?4;n072?6=,:k36?:7;o1b3?4<3f8?97>5$2c;>72?3g9j;7=4;n070?6=,:k36?:7;o1b3?2<3f8??7>5$2c;>72?3g9j;7;4;n075?6=,:k36?:7;o1b3?0<3f8?<7>5$2c;>72?3g9j;794;n00b?6=,:k36?:7;o1b3?><3f88i7>5$2c;>72?3g9j;774;n00`?6=,:k36?:7;o1b3?g<3f88o7>5$2c;>72?3g9j;7l4;n00f?6=,:k36?:7;o1b3?e<3f88m7>5$2c;>72?3g9j;7j4;n00=?6=,:k36?:7;o1b3?c<3f8847>5$2c;>72?3g9j;7h4;n002?6=,:k36?:7;o1b3?7732e9?84?:%1b1:9l662=83.8m54=499m7d1=9;10c?=<:18'7d>=:=20b>o8:018?j44:3:1(>o7:36;?k5f?3;?76a=3083>!5f038?46`=h:::1<7*5<#;h21>964n2c4>41<3f89i7>5$2c;>72?3g9j;7?7;:m16a<72-9j47<;8:l0e2<6121d>8>50;&0e=<5<11e?l951`98k72a290/?l6525:8j6g028h07b<;e;29 6g?2;>37c=n7;3`?>i5h4i>0:h65`25a94?"4i109855a3`595`=i6=4+3`:961>=:=20b>o8:318?le>290/?l65c99m7d1=82B8nn54ib594?"4i10h46`od=3:1(>o7:b:8j6g02:1C?om4;ha7>5<#;h21o55a3`590>N4jj10en=50;&0e==nk;0;6)=n8;a;?k5f?3<0D>ll;:k`5?6=,:k36n64n2c4>2=O;ki07dj=:18'7d>=k11e?l958:J0ff=h4i>027E=mc:9j`5<72-9j47m7;o1b3?g<@:hh76glf;29 6g?2j20b>o8:c9K7ge<3`in6=4+3`:9g==i;h=1o6F!5f03i37c=n7;g8L6dd32chn7>5$2c;>f>5<#;h21o55a3`5954=O;ki07pl;f183>43f290;w)=l1;03e>N4kk1C?n=4Zd59f~>628<1;?49e;31>47=>j0<=7?<:02902h48o0:7c=na;38 6d02:i;7d?=4;29?l5e93:17d=m2;29?l75=3:17d=m3;29?l7503:17d?=3;29?j76m3:17d=m5;29?l5e<3:17d?=c;29?l5e83:17d?=7;29?l75:3:17d?>a;29?l7513:17d?=1;29?j76l3:17d=nf;29?l5e>3:17dl9:18'7d>=j<1e?l950:9jf1<72-9j47l:;o1b3?7<3`h86=4+3`:9f0=i;h=1>65fb383>!5f03h>7c=n7;18?ld6290/?l65b49m7d1=<21bn=4?:%1b5<#;h21n85a3`592>=nil0;6)=n8;`6?k5f?3=07dli:18'7d>=j<1e?l958:9jf`<72-9j47l:;o1b3??<3`ho6=4+3`:9f0=i;h=1m65fbb83>!5f03h>7c=n7;`8?lde290/?l65b49m7d1=k21bnl4?:%1b5<#;h21n85a3`59a>=nj10;6)=n8;`6?k5f?3l07dl8:18'7d>=j<1e?l951198mdb=83.8m54m5:l0e2<6921dhn4?:%1b4;nfb>5<#;h21ho5a3`595>=hl00;6)=n8;fa?k5f?3807bj7:18'7d>=lk1e?l953:9l`2<72-9j47jm;o1b3?2<3fn=6=4+3`:9`g=i;h=1965`d483>!5f03ni7c=n7;48?jb3290/?l65dc9m7d1=?21di84?:%1b5<#;h21ho5a3`59=>=hm:0;6)=n8;fa?k5f?3k07bk=:18'7d>=lk1e?l95b:9la4<72-9j47jm;o1b3?e<3fo;6=4+3`:9`g=i;h=1h65`dg83>!5f03ni7c=n7;g8?jbb290/?l65dc9m7d1=n21dhi4?:%1b47<3`9=j7>5$2c;>60b3g9j;7>4;h15`?6=,:k36>8j;o1b3?7<3`9=o7>5$2c;>60b3g9j;7<4;h15f?6=,:k36>8j;o1b3?5<3`9=m7>5$2c;>60b3g9j;7:4;h15=?6=,:k36>8j;o1b3?3<3`9=47>5$2c;>60b3g9j;784;h153?6=,:k36>8j;o1b3?1<3`9=97>5$2c;>60b3g9j;764;h150?6=,:k36>8j;o1b3??<3`9=?7>5$2c;>60b3g9j;7o4;h156?6=,:k36>8j;o1b3?d<3`9==7>5$2c;>60b3g9j;7m4;h154?6=,:k36>8j;o1b3?b<3`9>j7>5$2c;>60b3g9j;7k4;h16a?6=,:k36>8j;o1b3?`<3`9>h7>5$2c;>60b3g9j;7??;:k01f<72-9j47=9e:l0e2<6921b?8o50;&0e=<4>l1e?l951398m63>290/?l6537g8j6g028907d=:8;29 6g?2:o4=>0;6)=n8;15a>h4i>0:965f34494?"4i108:h5a3`5953=6=4+3`:973c8j;o1b3?7?32c89>4?:%1b9:9j704=83.8m54<6d9m7d1=9h10e>;>:18'7d>=;?o0b>o8:0`8?l53n3:1(>o7:24f?k5f?3;h76g<4d83>!5f039=i6`=n;=n1<7*5<#;h21?;k4n2c4>4`<3`9?n7>5$2c;>60b3g9j;7l1e?l952398m62?290/?l6537g8j6g02;907d=;7;29 6g?2:o4h4i>09965f36794?"4i108:h5a3`5963=8j;o1b3?4?32c8;?4?:%1b9?:18'7d>=;?o0b>o8:3`8?l51>3:1(>o7:24f?k5f?38h76g<5c83>!5f039=i6`=n;<:1<7*h54i266>5<#;h21?;k4n2c4>7`<3`;:?7>5$2c;>4753g9j;7>4;h325?6=,:k365$2c;>4753g9j;7<4;h33b?6=,:k365$2c;>4753g9j;7:4;h33`?6=,:k365$2c;>4753g9j;784;h33f?6=,:k365$2c;>4753g9j;764;h335$2c;>4753g9j;7o4;h332?6=,:k365$2c;>4753g9j;7m4;h330?6=,:k365$2c;>4753g9j;7k4;h336?6=,:k365$2c;>4753g9j;7??;:k245<72-9j47?>2:l0e2<6921bjh4?:%1b2:9jba<72-9j47?>2:l0e2<6;21bjn4?:%1b4:9jbg<72-9j47?>2:l0e2<6=21bjl4?:%1b6:9jb<<72-9j47?>2:l0e2<6?21bj54?:%1b8:9jb2<72-9j47?>2:l0e2<6121bj;4?:%1ba:9jb0<72-9j47?>2:l0e2<6j21bj>4?:%1bc:9jb7<72-9j47?>2:l0e2<6l21bj<4?:%1be:9jb5<72-9j47?>2:l0e2<6n21bik4?:%1b2:l0e2<5921bii4?:%1b2:l0e2<5;21bio4?:%1b2:l0e2<5=21b=<750;&0e=<69;1e?l952798m47?290/?l651008j6g02;=07d?>7;29 6g?28;97c=n7;0;?>o69?0;6)=n8;326>h4i>09565f10794?"4i10:=?5a3`596d=5$2c;>4753g9j;75$2c;>4753g9j;7;:m07d<72-9j47=h4?:%1bn4?:%1bi4:?0;6)=n8;10g>h4i>0:865`33794?"4i108?n5a3`5950==l;o1b3?7032e8>?4?:%1b8:9l777=83.8m54<3b9m7d1=9010c>=;:i0b>o8:0c8?j56n3:1(>o7:21`?k5f?3;i76a<1e83>!5f0398o6`=h;8i1<7*5<#;h21?>m4n2c4>4c<3f9:m7>5$2c;>65d3g9j;7?i;:m05<<72-9j47=6;29 6g?2:9h7c=n7;00?>i49<0;6)=n8;10g>h4i>09865`30694?"4i108?n5a3`5960=86=4+3`:976e=l;o1b3?4032e88<4?:%1b=i:18'7d>=;:i0b>o8:3c8?j54m3:1(>o7:21`?k5f?38i76a<3583>!5f0398o6`=h;;31<7*i54o23f>5<#;h21?>m4n2c4>7c<3f9:?7>5$2c;>65d3g9j;7;:k04g<72-9j47=?d:l0e2<532c8;:k27<<72-9j47?=50;&0e=<6;k1e?l951098k6g7290/?l6538d8j6g02910c>7j:18'7d>=;0l0b>o8:098k6?c290/?l6538d8j6g02;10c>7l:18'7d>=;0l0b>o8:298k6?e290/?l6538d8j6g02=10c>7n:18'7d>=;0l0b>o8:498k6?>290/?l6538d8j6g02?10c>77:18'7d>=;0l0b>o8:698k6?1290/?l6538d8j6g02110c>7::18'7d>=;0l0b>o8:898k6?3290/?l6538d8j6g02h10c>7<:18'7d>=;0l0b>o8:c98k6?5290/?l6538d8j6g02j10c>7>:18'7d>=;0l0b>o8:e98k6?7290/?l6538d8j6g02l10c>6i:18'7d>=;0l0b>o8:g98k6>b290/?l6538d8j6g028:07b=7d;29 6g?2:3m7c=n7;32?>i40k0;6)=n8;1:b>h4i>0:>65`39c94?"4i1085k5a3`5956=7i;o1b3?7232e84:4?:%1bn2d8m:4>6:9l7=0=83.8m54<9g9m7d1=9>10c>6::18'7d>=;0l0b>o8:0:8?j5?<3:1(>o7:2;e?k5f?3;276a<8283>!5f0392j6`=h;181<7*5<#;h21?4h4n2c4>4e<3f95$2c;>6?a3g9j;7?k;:m03`<72-9j47=6f:l0e2<6m21d?:j50;&0e=<41o1e?l951g98k61d290/?l6538d8j6g02;:07b=8b;29 6g?2:3m7c=n7;02?>i4?h0;6)=n8;1:b>h4i>09>65`36;94?"4i1085k5a3`5966=7i;o1b3?4232e8m;4?:%1bn2d8m:4=6:9l7d3=83.8m54<9g9m7d1=:>10c>o;:18'7d>=;0l0b>o8:3:8?j5f;3:1(>o7:2;e?k5f?38276a!5f0392j6`=h;h;1<7*o54o2;4>5<#;h21?4h4n2c4>7e<3f93o7>5$2c;>6?a3g9j;75$2c;><46`o?n3:1(>o7:808j6g02:10e5k50;&0e=<>:2d8m:4;;:k;`?6=,:k364<4n2c4>0=h4i>0=76g7b;29 6g?2080b>o8:698m5$2c;><46`o>13:1(>o7:808j6g02k10e4650;&0e=<>:2d8m:4l;:k:3?6=,:k364<4n2c4>a=h4i>0n76g65;29 6g?2080b>o8:g98m<2=83.8m5462:l0e2<6821b4l4?:%1b;:m10<<72-9j47<;8:l0e2<732e98:4?:%1b;:m103<72-9j47<;8:l0e2<532e9884?:%1b4?:%1b>;50;&0e=<5<11e?l951098k753290/?l6525:8j6g028807b<<3;29 6g?2;>37c=n7;30?>i5;;0;6)=n8;07<>h4i>0:865`22394?"4i109855a3`5950=h4?:%1b8:9l67b=83.8m54=499m7d1=9010c?;?:18'7d>=:=20b>o8:0c8?j43n3:1(>o7:36;?k5f?3;i76a=4d83>!5f038?46`=h:=n1<7*5<#;h21>964n2c4>4c<3f8?n7>5$2c;>72?3g9j;7?i;:m10d<72-9j47<;8:l0e2<5821d>9<50;&0e=<5<11e?l952098k750290/?l6525:8j6g02;807b<=c;29 6g?2;>37c=n7;00?>od13:1(>o7:b:8j6g0291C?om4;ha4>5<#;h21o55a3`595>N4jj10en850;&0e==nk<0;6)=n8;a;?k5f?390D>ll;:k`0?6=,:k36n64n2c4>1=O;ki07dm<:18'7d>=k11e?l955:J0ff=h4i>0=7E=mc:9jg4<72-9j47m7;o1b3?1<@:hh76gk2;29 6g?2j20b>o8:99K7ge<3`n:6=4+3`:9g==i;h=156F!5f03i37c=n7;`8L6dd32chi7>5$2c;>f>odi3:1(>o7:b:8j6g028:0D>ll;:k`4?6=,:k36n64n2c4>47<@:hh76sm4g394?72i3:1m<;[g4>g}?93;=6:<56d826?762?i1;<4>3;33>11=u-9:<7?m2b9m<0<73g2<6<5aac82?kgd281e=4=i;9l1=6`2583>>o4j80;66g>o6:<0;66g>o6:10;66g>2283>>i69l0;66g>o4j=0;66g>2b83>>o4j90;66g>2683>>o6:;0;66g>1`83>>o6:00;66g>2083>>i69m0;66g>o4j?0;66gm6;29 6g?2k?0b>o8:198mg2=83.8m54m5:l0e2<632ci?7>5$2c;>g3oe93:1(>o7:c78j6g02=10eo>50;&0e=3=h4i>0<76gmf;29 6g?2k?0b>o8:998mgc=83.8m54m5:l0e2<>32cih7>5$2c;>g3oej3:1(>o7:c78j6g02j10eoo50;&0e=`=h4i>0m76gm7;29 6g?2k?0b>o8:028?lgc290/?l65b49m7d1=9810cim50;&0e=4=h4i>0976ak8;29 6g?2mh0b>o8:298ka1=83.8m54kb:l0e2<332eo:7>5$2c;>adic<3:1(>o7:e`8j6g02>10ch;50;&0e=<=h4i>0j76aj2;29 6g?2mh0b>o8:c98k`7=83.8m54kb:l0e25$2c;>adicm3:1(>o7:e`8j6g02o10cij50;&0e=0:9l`6<72-9j47jm;o1b3?7632c8:k4?:%1b32c8:>4?:%1b0:9j70e=83.8m54<6d9m7d1=9810e>;n:18'7d>=;?o0b>o8:008?l5213:1(>o7:24f?k5f?3;876g<5983>!5f039=i6`=n;<=1<7*5<#;h21?;k4n2c4>40<3`9>97>5$2c;>60b3g9j;7?8;:k011<72-9j47=9e:l0e2<6021b?8=50;&0e=<4>l1e?l951898m635290/?l6537g8j6g028k07d=:1;29 6g?2:o4h4i>0:o65f35g94?"4i108:h5a3`595a=o6=4+3`:973c8j;o1b3?7a32c88o4?:%1b:6:18'7d>=;?o0b>o8:308?l5303:1(>o7:24f?k5f?38876g<4683>!5f039=i6`=n;=<1<7*854i256>5<#;h21?;k4n2c4>70<3`9<87>5$2c;>60b3g9j;7<8;:k036<72-9j47=9e:l0e2<5021b?:<50;&0e=<4>l1e?l952898m616290/?l6537g8j6g02;k07d=80;29 6g?2:o4>?0;6)=n8;15a>h4i>09o65f34`94?"4i108:h5a3`596a=8j;o1b3?4a32c:=>4?:%1b2:l0e2<632c:==4?:%1b2:l0e2<432c:2:l0e2<232c:2:l0e2<032c:<44?:%1b2:l0e2<>32c:<:4?:%1b2:l0e22:l0e24?:%1b2:l0e20:9j556=83.8m54>139m7d1=9810ekk50;&0e=<69;1e?l951398mcb=83.8m54>139m7d1=9:10ekm50;&0e=<69;1e?l951598mcd=83.8m54>139m7d1=9<10eko50;&0e=<69;1e?l951798mc?=83.8m54>139m7d1=9>10ek650;&0e=<69;1e?l951998mc1=83.8m54>139m7d1=9010ek850;&0e=<69;1e?l951`98mc3=83.8m54>139m7d1=9k10ek=50;&0e=<69;1e?l951b98mc4=83.8m54>139m7d1=9m10ek?50;&0e=<69;1e?l951d98mc6=83.8m54>139m7d1=9o10ehh50;&0e=<69;1e?l952198m`c=83.8m54>139m7d1=:810ehj50;&0e=<69;1e?l952398m`e=83.8m54>139m7d1=::10ehl50;&0e=<69;1e?l952598m`g=83.8m54>139m7d1=:<10e=9880b>o8:348?l7603:1(>o7:031?k5f?38<76g>1683>!5f03;:>6`=n98<1<7*454i036>5<#;h21=<<4n2c4>7g<3`;:87>5$2c;>4753g9j;72:l0e2<5k21bjk4?:%1b2:l0e2<5m21bi44?:%1bl50;&0e=<4;j1e?l951:9l76g=83.8m54<3b9m7d1=:21d?>750;&0e=<4;j1e?l953:9l76>=83.8m54<3b9m7d1=<21d?>950;&0e=<4;j1e?l955:9l760=83.8m54<3b9m7d1=>21d?>;50;&0e=<4;j1e?l957:9l765=83.8m54<3b9m7d1=021d?><50;&0e=<4;j1e?l959:9l767=83.8m54<3b9m7d1=i21d?>>50;&0e=<4;j1e?l95b:9l77`=83.8m54<3b9m7d1=k21d??k50;&0e=<4;j1e?l95d:9l77b=83.8m54<3b9m7d1=m21d??m50;&0e=<4;j1e?l95f:9l77d=83.8m54<3b9m7d1=9910c>=;:i0b>o8:038?j5503:1(>o7:21`?k5f?3;976a<2683>!5f0398o6`=h;;<1<7*5<#;h21?>m4n2c4>43<3f9987>5$2c;>65d3g9j;7?9;:m066<72-9j47=i49o0;6)=n8;10g>h4i>0:n65`30f94?"4i108?n5a3`595f==l;o1b3?7b32e8=l4?:%1bf:9l74?=83.8m54<3b9m7d1=:910c>?7:18'7d>=;:i0b>o8:338?j56?3:1(>o7:21`?k5f?38976a<1783>!5f0398o6`=h;8?1<7*954o237>5<#;h21?>m4n2c4>73<3f9??7>5$2c;>65d3g9j;7<9;:m007<72-9j47=i4;l0;6)=n8;10g>h4i>09n65`32694?"4i108?n5a3`596f==l;o1b3?4b32e8=>4?:%1b21b?=850;&0e=<48m1e?l957:9j56e=83.8m54>3c9m7d1=821b=>o50;&0e=<6;k1e?l951:9j56?=83.8m54>3c9m7d1=:21b=>650;&0e=<6;k1e?l953:9j561=83.8m54>3c9m7d1=<21b=>850;&0e=<6;k1e?l955:9j563=83.8m54>3c9m7d1=>21b=>:50;&0e=<6;k1e?l957:9j513=83.8m54>3c9m7d1=021b=9:50;&0e=<6;k1e?l959:9j515=83.8m54>3c9m7d1=i21b=9<50;&0e=<6;k1e?l95b:9j517=83.8m54>3c9m7d1=k21b=9>50;&0e=<6;k1e?l95d:9j56`=83.8m54>3c9m7d1=m21b=>k50;&0e=<6;k1e?l95f:9j56b=83.8m54>3c9m7d1=9910e<=<:18'7d>=9:h0b>o8:038?j5f83:1(>o7:2;e?k5f?3:07b=6e;29 6g?2:3m7c=n7;38?j5>l3:1(>o7:2;e?k5f?3807b=6c;29 6g?2:3m7c=n7;18?j5>j3:1(>o7:2;e?k5f?3>07b=6a;29 6g?2:3m7c=n7;78?j5>13:1(>o7:2;e?k5f?3<07b=68;29 6g?2:3m7c=n7;58?j5>>3:1(>o7:2;e?k5f?3207b=65;29 6g?2:3m7c=n7;;8?j5><3:1(>o7:2;e?k5f?3k07b=63;29 6g?2:3m7c=n7;`8?j5>:3:1(>o7:2;e?k5f?3i07b=61;29 6g?2:3m7c=n7;f8?j5>83:1(>o7:2;e?k5f?3o07b=7f;29 6g?2:3m7c=n7;d8?j5?m3:1(>o7:2;e?k5f?3;;76a<8e83>!5f0392j6`=h;1h1<7*5<#;h21?4h4n2c4>45<3f9357>5$2c;>6?a3g9j;7?;;:m0<=<72-9j47=6f:l0e2<6=21d?5950;&0e=<41o1e?l951798k6>1290/?l6538d8j6g028=07b=75;29 6g?2:3m7c=n7;3;?>i40=0;6)=n8;1:b>h4i>0:565`39194?"4i1085k5a3`595d=7i;o1b3?7d32e8;k4?:%1bn2d8m:4>d:9l72c=83.8m54<9g9m7d1=9l10c>9k:18'7d>=;0l0b>o8:0d8?j50k3:1(>o7:2;e?k5f?38;76a<7c83>!5f0392j6`=h;>k1<7*?54o25:>5<#;h21?4h4n2c4>75<3f9<47>5$2c;>6?a3g9j;7<;;:m032<72-9j47=6f:l0e2<5=21d?l850;&0e=<41o1e?l952798k6g2290/?l6538d8j6g02;=07b=n4;29 6g?2:3m7c=n7;0;?>i4i:0;6)=n8;1:b>h4i>09565`3`094?"4i1085k5a3`596d=7i;o1b3?4d32e84n4?:%1bn2d8m:4=d:9l7=7=83.8m54<9g9m7d1=:l10c>99:18'7d>=;0l0b>o8:3d8?l?4290/?l65939m7d1=821b5<4?:%1b5<#;h215?5a3`596>=n0o0;6)=n8;;1?k5f?3907d6j:18'7d>=1;1e?l954:9j!5f03397c=n7;58?l?d290/?l65939m7d1=021b5o4?:%1b5<#;h215?5a3`59e>=n100;6)=n8;;1?k5f?3h07d77:18'7d>=1;1e?l95c:9j=2<72-9j477=;o1b3?b<3`3=6=4+3`:9=7=i;h=1i65f9483>!5f03397c=n7;d8?l?3290/?l65939m7d1=9910e5o50;&0e=<>:2d8m:4>1:9l61?=83.8m54=499m7d1=821d>9950;&0e=<5<11e?l951:9l610=83.8m54=499m7d1=:21d>9;50;&0e=<5<11e?l953:9l612=83.8m54=499m7d1=<21d>9=50;&0e=<5<11e?l955:9l617=83.8m54=499m7d1=>21d>9>50;&0e=<5<11e?l957:9l66`=83.8m54=499m7d1=021d>>k50;&0e=<5<11e?l959:9l66b=83.8m54=499m7d1=i21d>>m50;&0e=<5<11e?l95b:9l66d=83.8m54=499m7d1=k21d>>o50;&0e=<5<11e?l95d:9l66?=83.8m54=499m7d1=m21d>>650;&0e=<5<11e?l95f:9l660=83.8m54=499m7d1=9910c?=::18'7d>=:=20b>o8:038?j44<3:1(>o7:36;?k5f?3;976a=3283>!5f038?46`=h::81<7*5<#;h21>964n2c4>43<3f88<7>5$2c;>72?3g9j;7?9;:m16c<72-9j47<;8:l0e2<6?21d>?k50;&0e=<5<11e?l951998k74c290/?l6525:8j6g028307b<:0;29 6g?2;>37c=n7;3b?>i5h4i>0:n65`25g94?"4i109855a3`595f=o6=4+3`:961>f:9l61g=83.8m54=499m7d1=:910c?:=:18'7d>=:=20b>o8:338?j44?3:1(>o7:36;?k5f?38976a=2b83>!5f038?46`=nk00;6)=n8;a;?k5f?3:0D>ll;:k`3?6=,:k36n64n2c4>4=O;ki07dm9:18'7d>=k11e?l952:J0ff=h4i>087E=mc:9jg1<72-9j47m7;o1b3?2<@:hh76gl3;29 6g?2j20b>o8:49K7ge<3`i96=4+3`:9g==i;h=1:6F!5f03i37c=n7;:8L6dd32co=7>5$2c;>f>odl3:1(>o7:b:8j6g02m1C?om4;ha`>5<#;h21o55a3`59a>N4jj10enl50;&0e==nkh0;6)=n8;a;?k5f?3;;7E=mc:9jg5<72-9j47m7;o1b3?763A9io65rb5d1>5<6=h0;6=u+3b3965g<@:ii7E=l3:Xf3?d|080::79=:7g957<693b3a8j=3=82d3;7?4n``95>hfk3;0b;o065?7"4j>08o=5f13694?=n;k;1<75f3c094?=n9;?1<75f3c194?=n9;21<75f13194?=h98o1<75f3c794?=n;k>1<75f13a94?=n;k:1<75f13594?=n9;81<75f10c94?=n9;31<75f13394?=h98n1<75f3`d94?=n;k<1<75fb783>!5f03h>7c=n7;28?ld3290/?l65b49m7d1=921bn>4?:%1b5<#;h21n85a3`597>=nj80;6)=n8;`6?k5f?3>07dl?:18'7d>=j<1e?l955:9jec<72-9j47l:;o1b3?0<3`kn6=4+3`:9f0=i;h=1;65fbg83>!5f03h>7c=n7;:8?ldb290/?l65b49m7d1=121bni4?:%1b5<#;h21n85a3`59f>=njk0;6)=n8;`6?k5f?3i07dln:18'7d>=j<1e?l95d:9jf<<72-9j47l:;o1b3?c<3`h36=4+3`:9f0=i;h=1j65fb683>!5f03h>7c=n7;33?>ofl3:1(>o7:c78j6g028;07bjl:18'7d>=lk1e?l950:9l`d<72-9j47jm;o1b3?7<3fn26=4+3`:9`g=i;h=1>65`d983>!5f03ni7c=n7;18?jb0290/?l65dc9m7d1=<21dh;4?:%1b5<#;h21ho5a3`592>=hl=0;6)=n8;fa?k5f?3=07bk::18'7d>=lk1e?l958:9la1<72-9j47jm;o1b3??<3fo86=4+3`:9`g=i;h=1m65`e383>!5f03ni7c=n7;`8?jc6290/?l65dc9m7d1=k21di=4?:%1b5<#;h21ho5a3`59a>=hll0;6)=n8;fa?k5f?3l07bjk:18'7d>=lk1e?l951198ka5=83.8m54kb:l0e2<6921b?;h50;&0e=<4>l1e?l950:9j73b=83.8m54<6d9m7d1=921b?;m50;&0e=<4>l1e?l952:9j73d=83.8m54<6d9m7d1=;21b?;o50;&0e=<4>l1e?l954:9j73?=83.8m54<6d9m7d1==21b?;650;&0e=<4>l1e?l956:9j731=83.8m54<6d9m7d1=?21b?;;50;&0e=<4>l1e?l958:9j732=83.8m54<6d9m7d1=121b?;=50;&0e=<4>l1e?l95a:9j734=83.8m54<6d9m7d1=j21b?;?50;&0e=<4>l1e?l95c:9j736=83.8m54<6d9m7d1=l21b?8h50;&0e=<4>l1e?l95e:9j70c=83.8m54<6d9m7d1=n21b?8j50;&0e=<4>l1e?l951198m63d290/?l6537g8j6g028;07d=:a;29 6g?2:o4=00;6)=n8;15a>h4i>0:?65f34:94?"4i108:h5a3`5951=8j;o1b3?7132c8984?:%1b7:9j702=83.8m54<6d9m7d1=9110e>;<:18'7d>=;?o0b>o8:0;8?l52:3:1(>o7:24f?k5f?3;j76g<5083>!5f039=i6`=n;=l1<7*5<#;h21?;k4n2c4>4b<3`9?h7>5$2c;>60b3g9j;7?j;:k00f<72-9j47=9e:l0e2<6n21b?9l50;&0e=<4>l1e?l952198m62f290/?l6537g8j6g02;;07d=;9;29 6g?2:o4<10;6)=n8;15a>h4i>09?65f35594?"4i108:h5a3`5961==6=4+3`:973c8j;o1b3?4132c8;94?:%1b9=:18'7d>=;?o0b>o8:3;8?l5093:1(>o7:24f?k5f?38j76g<7183>!5f039=i6`=n;?<1<7*n54i27a>5<#;h21?;k4n2c4>7b<3`9><7>5$2c;>60b3g9j;7139m7d1=921b=<>50;&0e=<69;1e?l952:9j55`=83.8m54>139m7d1=;21b==k50;&0e=<69;1e?l954:9j55b=83.8m54>139m7d1==21b==m50;&0e=<69;1e?l956:9j55d=83.8m54>139m7d1=?21b==750;&0e=<69;1e?l958:9j55>=83.8m54>139m7d1=121b==950;&0e=<69;1e?l95a:9j550=83.8m54>139m7d1=j21b==;50;&0e=<69;1e?l95c:9j552=83.8m54>139m7d1=l21b===50;&0e=<69;1e?l95e:9j554=83.8m54>139m7d1=n21b==?50;&0e=<69;1e?l951198m467290/?l651008j6g028;07dhj:18'7d>=9880b>o8:008?l`c290/?l651008j6g028907dhl:18'7d>=9880b>o8:068?l`e290/?l651008j6g028?07dhn:18'7d>=9880b>o8:048?l`>290/?l651008j6g028=07dh7:18'7d>=9880b>o8:0:8?l`0290/?l651008j6g028307dh9:18'7d>=9880b>o8:0c8?l`2290/?l651008j6g028h07dh<:18'7d>=9880b>o8:0a8?l`5290/?l651008j6g028n07dh>:18'7d>=9880b>o8:0g8?l`7290/?l651008j6g028l07dki:18'7d>=9880b>o8:328?lcb290/?l651008j6g02;;07dkk:18'7d>=9880b>o8:308?lcd290/?l651008j6g02;907dkm:18'7d>=9880b>o8:368?lcf290/?l651008j6g02;?07d?>9;29 6g?28;97c=n7;05?>o6910;6)=n8;326>h4i>09;65f10594?"4i10:=?5a3`596==139m7d1=:j10ekh50;&0e=<69;1e?l952e98mc2=83.8m54>139m7d1=:l10eh750;&0e=<69;1e?l952g98k65c290/?l6532a8j6g02910c>=m:18'7d>=;:i0b>o8:098k65f290/?l6532a8j6g02;10c>=6:18'7d>=;:i0b>o8:298k65?290/?l6532a8j6g02=10c>=8:18'7d>=;:i0b>o8:498k651290/?l6532a8j6g02?10c>=::18'7d>=;:i0b>o8:698k654290/?l6532a8j6g02110c>==:18'7d>=;:i0b>o8:898k656290/?l6532a8j6g02h10c>=?:18'7d>=;:i0b>o8:c98k64a290/?l6532a8j6g02j10c>=;:i0b>o8:e98k64c290/?l6532a8j6g02l10c>=;:i0b>o8:g98k64e290/?l6532a8j6g028:07b==a;29 6g?2:9h7c=n7;32?>i4:10;6)=n8;10g>h4i>0:>65`33594?"4i108?n5a3`5956==l;o1b3?7232e8>94?:%1b6:9l775=83.8m54<3b9m7d1=9>10c><=:18'7d>=;:i0b>o8:0:8?j5593:1(>o7:21`?k5f?3;276a<2183>!5f0398o6`=h;8l1<7*5<#;h21?>m4n2c4>4e<3f9:o7>5$2c;>65d3g9j;7?k;:m05g<72-9j47=290/?l6532a8j6g02;:07b=>8;29 6g?2:9h7c=n7;02?>i49>0;6)=n8;10g>h4i>09>65`30494?"4i108?n5a3`5966=6=4+3`:976e=l;o1b3?4232e88>4?:%1b10c>:>:18'7d>=;:i0b>o8:3:8?j5383:1(>o7:21`?k5f?38276a<3g83>!5f0398o6`=h;:o1<7*o54o217>5<#;h21?>m4n2c4>7e<3f9957>5$2c;>65d3g9j;7>l:18'7d>=;9n0b>o8:098m66e290/?l6531f8j6g02;10e>>n:18'7d>=;9n0b>o8:298m66>290/?l6531f8j6g02=10e>>7:18'7d>=;9n0b>o8:498m660290/?l6531f8j6g02?10e>>9:18'7d>=;9n0b>o8:698m45d290/?l6512`8j6g02910e<=n:18'7d>=9:h0b>o8:098m45>290/?l6512`8j6g02;10e<=7:18'7d>=9:h0b>o8:298m450290/?l6512`8j6g02=10e<=9:18'7d>=9:h0b>o8:498m452290/?l6512`8j6g02?10e<=;:18'7d>=9:h0b>o8:698m422290/?l6512`8j6g02110e<:;:18'7d>=9:h0b>o8:898m424290/?l6512`8j6g02h10e<:=:18'7d>=9:h0b>o8:c98m426290/?l6512`8j6g02j10e<:?:18'7d>=9:h0b>o8:e98m45a290/?l6512`8j6g02l10e<=j:18'7d>=9:h0b>o8:g98m45c290/?l6512`8j6g028:07d?<3;29 6g?289i7c=n7;32?>i4i90;6)=n8;1:b>h4i>0;76a<9d83>!5f0392j6`i41m0;6)=n8;1:b>h4i>0976a<9b83>!5f0392j6`i41k0;6)=n8;1:b>h4i>0?76a<9`83>!5f0392j6`i4100;6)=n8;1:b>h4i>0=76a<9983>!5f0392j6`i41?0;6)=n8;1:b>h4i>0376a<9483>!5f0392j6`i41=0;6)=n8;1:b>h4i>0j76a<9283>!5f0392j6`i41;0;6)=n8;1:b>h4i>0h76a<9083>!5f0392j6`i4190;6)=n8;1:b>h4i>0n76a<8g83>!5f0392j6`i40l0;6)=n8;1:b>h4i>0:<65`39f94?"4i1085k5a3`5954=7i;o1b3?7432e8444?:%1bn2d8m:4>4:9l7=>=83.8m54<9g9m7d1=9<10c>68:18'7d>=;0l0b>o8:048?j5?>3:1(>o7:2;e?k5f?3;<76a<8483>!5f0392j6`=h;1>1<7*5<#;h21?4h4n2c4>4g<3f93>7>5$2c;>6?a3g9j;7?m;:m0<5<72-9j47=6f:l0e2<6k21d?:h50;&0e=<41o1e?l951e98k61b290/?l6538d8j6g028o07b=8d;29 6g?2:3m7c=n7;3e?>i4?j0;6)=n8;1:b>h4i>09<65`36`94?"4i1085k5a3`5964=7i;o1b3?4432e8;54?:%1bn2d8m:4=4:9l721=83.8m54<9g9m7d1=:<10c>o9:18'7d>=;0l0b>o8:348?j5f=3:1(>o7:2;e?k5f?38<76a!5f0392j6`=h;h91<7*454o2c1>5<#;h21?4h4n2c4>7g<3f9j=7>5$2c;>6?a3g9j;76290/?l6538d8j6g02;o07b=86;29 6g?2:3m7c=n7;0e?>o>;3:1(>o7:808j6g02910e4?50;&0e=<>:2d8m:4>;:k:4?6=,:k364<4n2c4>7=h4i>0876g7e;29 6g?2080b>o8:598m=b=83.8m5462:l0e2<232c3o7>5$2c;><46`o>k3:1(>o7:808j6g02110e4l50;&0e=<>:2d8m:46;:k:e?6=,:k364<4n2c4>d=h4i>0i76g68;29 6g?2080b>o8:b98m<1=83.8m5462:l0e25$2c;><46`o><3:1(>o7:808j6g028:07d6n:18'7d>=1;1e?l951098k72>290/?l6525:8j6g02910c?:8:18'7d>=:=20b>o8:098k721290/?l6525:8j6g02;10c?:::18'7d>=:=20b>o8:298k723290/?l6525:8j6g02=10c?:<:18'7d>=:=20b>o8:498k726290/?l6525:8j6g02?10c?:?:18'7d>=:=20b>o8:698k75a290/?l6525:8j6g02110c?=j:18'7d>=:=20b>o8:898k75c290/?l6525:8j6g02h10c?=l:18'7d>=:=20b>o8:c98k75e290/?l6525:8j6g02j10c?=n:18'7d>=:=20b>o8:e98k75>290/?l6525:8j6g02l10c?=7:18'7d>=:=20b>o8:g98k751290/?l6525:8j6g028:07b<<5;29 6g?2;>37c=n7;32?>i5;=0;6)=n8;07<>h4i>0:>65`22194?"4i109855a3`5956=6:9l67`=83.8m54=499m7d1=9>10c?=:=20b>o8:0:8?j45l3:1(>o7:36;?k5f?3;276a=5183>!5f038?46`=h:=l1<7*5<#;h21>964n2c4>4e<3f8?h7>5$2c;>72?3g9j;7?k;:m10f<72-9j47<;8:l0e2<6m21d>9l50;&0e=<5<11e?l951g98k72f290/?l6525:8j6g02;:07b<;2;29 6g?2;>37c=n7;02?>i5;>0;6)=n8;07<>h4i>09>65`23a94?"4i109855a3`5966=h4i>0;7E=mc:9jg2<72-9j47m7;o1b3?7<@:hh76gl6;29 6g?2j20b>o8:39K7ge<3`i>6=4+3`:9g==i;h=1?6F!5f03i37c=n7;78L6dd32ch>7>5$2c;>f>oc83:1(>o7:b:8j6g02h1C?om4;hae>5<#;h21o55a3`59f>N4jj10enk50;&0e==nkm0;6)=n8;a;?k5f?3n0D>ll;:k`g?6=,:k36n64n2c4>`=O;ki07dmm:18'7d>=k11e?l95f:J0ff=h4i>0:<6Ft$2a2>76f3A9hn6F44=980=o79>:01955<3?3w/?<>51c0`?k>2291e4:4>;oca>4=iij0:7c?>b;28 47d2::>7c?>f;28j457281e>=751:l114<63g9;j7?4n2cb>4=#;k=1?n>4i007>5<5<6=44i2`0>5<5<5<5<5<5<5<5<oe<3:1(>o7:c78j6g02810eo=50;&0e=6=h4i>0?76gm0;29 6g?2k?0b>o8:498md`=83.8m54m5:l0e2<132cji7>5$2c;>g3oem3:1(>o7:c78j6g02010eoj50;&0e=g=h4i>0h76gma;29 6g?2k?0b>o8:e98mg?=83.8m54m5:l0e25$2c;>g3=nim0;6)=n8;`6?k5f?3;:76akc;29 6g?2mh0b>o8:198kag=83.8m54kb:l0e2<632eo57>5$2c;>adic?3:1(>o7:e`8j6g02=10ci850;&0e=3=1<7*h4i>0<76aj5;29 6g?2mh0b>o8:998k`2=83.8m54kb:l0e2<>32en?7>5$2c;>adib93:1(>o7:e`8j6g02j10ch>50;&0e=`=h4i>0m76akd;29 6g?2mh0b>o8:028?jb4290/?l65dc9m7d1=9810e>8i:18'7d>=;?o0b>o8:198m60c290/?l6537g8j6g02810e>8l:18'7d>=;?o0b>o8:398m60e290/?l6537g8j6g02:10e>8n:18'7d>=;?o0b>o8:598m60>290/?l6537g8j6g02<10e>87:18'7d>=;?o0b>o8:798m600290/?l6537g8j6g02>10e>8::18'7d>=;?o0b>o8:998m603290/?l6537g8j6g02010e>8<:18'7d>=;?o0b>o8:`98m605290/?l6537g8j6g02k10e>8>:18'7d>=;?o0b>o8:b98m607290/?l6537g8j6g02m10e>;i:18'7d>=;?o0b>o8:d98m63b290/?l6537g8j6g02o10e>;k:18'7d>=;?o0b>o8:028?l52k3:1(>o7:24f?k5f?3;:76g<5`83>!5f039=i6`=n;<31<7*54i27;>5<#;h21?;k4n2c4>42<3`9>;7>5$2c;>60b3g9j;7?:;:k013<72-9j47=9e:l0e2<6>21b?8;50;&0e=<4>l1e?l951698m633290/?l6537g8j6g028207d=:3;29 6g?2:o4=;0;6)=n8;15a>h4i>0:m65f34394?"4i108:h5a3`595g=m6=4+3`:973c8j;o1b3?7c32c88i4?:%1be:9j71e=83.8m54<6d9m7d1=9o10e>:m:18'7d>=;?o0b>o8:328?l53i3:1(>o7:24f?k5f?38:76g<4883>!5f039=i6`=n;=21<7*>54i264>5<#;h21?;k4n2c4>72<3`9?:7>5$2c;>60b3g9j;7<:;:k030<72-9j47=9e:l0e2<5>21b?::50;&0e=<4>l1e?l952698m614290/?l6537g8j6g02;207d=82;29 6g?2:o4?80;6)=n8;15a>h4i>09m65f36294?"4i108:h5a3`596g=8j;o1b3?4c32c89=4?:%1b=9880b>o8:198m476290/?l651008j6g02810e=9880b>o8:398m46a290/?l651008j6g02:10e<>j:18'7d>=9880b>o8:598m46c290/?l651008j6g02<10e<>l:18'7d>=9880b>o8:798m46e290/?l651008j6g02>10e<>6:18'7d>=9880b>o8:998m46?290/?l651008j6g02010e<>8:18'7d>=9880b>o8:`98m461290/?l651008j6g02k10e<>::18'7d>=9880b>o8:b98m463290/?l651008j6g02m10e<><:18'7d>=9880b>o8:d98m465290/?l651008j6g02o10e<>>:18'7d>=9880b>o8:028?l7783:1(>o7:031?k5f?3;:76gie;29 6g?28;97c=n7;31?>oal3:1(>o7:031?k5f?3;876gic;29 6g?28;97c=n7;37?>oaj3:1(>o7:031?k5f?3;>76gia;29 6g?28;97c=n7;35?>oa13:1(>o7:031?k5f?3;<76gi8;29 6g?28;97c=n7;3;?>oa?3:1(>o7:031?k5f?3;276gi6;29 6g?28;97c=n7;3b?>oa=3:1(>o7:031?k5f?3;i76gi3;29 6g?28;97c=n7;3`?>oa:3:1(>o7:031?k5f?3;o76gi1;29 6g?28;97c=n7;3f?>oa83:1(>o7:031?k5f?3;m76gjf;29 6g?28;97c=n7;03?>obm3:1(>o7:031?k5f?38:76gjd;29 6g?28;97c=n7;01?>obk3:1(>o7:031?k5f?38876gjb;29 6g?28;97c=n7;07?>obi3:1(>o7:031?k5f?38>76g>1883>!5f03;:>6`=n9821<7*:54i034>5<#;h21=<<4n2c4>7><3`;::7>5$2c;>4753g9j;7<6;:k250<72-9j47?>2:l0e2<5i21b=<:50;&0e=<69;1e?l952c98m46f290/?l651008j6g02;i07dhi:18'7d>=9880b>o8:3f8?l`3290/?l651008j6g02;o07dk6:18'7d>=9880b>o8:3d8?j54l3:1(>o7:21`?k5f?3:07b=o7:21`?k5f?3807b=<9;29 6g?2:9h7c=n7;18?j5403:1(>o7:21`?k5f?3>07b=<7;29 6g?2:9h7c=n7;78?j54>3:1(>o7:21`?k5f?3<07b=<5;29 6g?2:9h7c=n7;58?j54;3:1(>o7:21`?k5f?3207b=<2;29 6g?2:9h7c=n7;;8?j5493:1(>o7:21`?k5f?3k07b=<0;29 6g?2:9h7c=n7;`8?j55n3:1(>o7:21`?k5f?3i07b==e;29 6g?2:9h7c=n7;f8?j55l3:1(>o7:21`?k5f?3o07b==c;29 6g?2:9h7c=n7;d8?j55j3:1(>o7:21`?k5f?3;;76a<2`83>!5f0398o6`=h;;21<7*5<#;h21?>m4n2c4>45<3f99:7>5$2c;>65d3g9j;7?;;:m060<72-9j47=i4:80;6)=n8;10g>h4i>0:565`33294?"4i108?n5a3`595d==l;o1b3?7d32e8=n4?:%1bd:9l74d=83.8m54<3b9m7d1=9l10c>?n:18'7d>=;:i0b>o8:0d8?j5613:1(>o7:21`?k5f?38;76a<1983>!5f0398o6`=h;8=1<7*?54o235>5<#;h21?>m4n2c4>75<3f9:97>5$2c;>65d3g9j;7<;;:m051<72-9j47=i4<90;6)=n8;10g>h4i>09565`32d94?"4i108?n5a3`596d==l;o1b3?4d32e8>44?:%1b?<:18'7d>=;:i0b>o8:3d8?l57m3:1(>o7:22g?k5f?3:07d=?c;29 6g?2::o7c=n7;38?l57j3:1(>o7:22g?k5f?3807d=?a;29 6g?2::o7c=n7;18?l5713:1(>o7:22g?k5f?3>07d=?8;29 6g?2::o7c=n7;78?l57?3:1(>o7:22g?k5f?3<07d=?6;29 6g?2::o7c=n7;58?l74k3:1(>o7:01a?k5f?3:07d?o7:01a?k5f?3807d?<8;29 6g?289i7c=n7;18?l74?3:1(>o7:01a?k5f?3>07d?<6;29 6g?289i7c=n7;78?l74=3:1(>o7:01a?k5f?3<07d?<4;29 6g?289i7c=n7;58?l73=3:1(>o7:01a?k5f?3207d?;4;29 6g?289i7c=n7;;8?l73;3:1(>o7:01a?k5f?3k07d?;2;29 6g?289i7c=n7;`8?l7393:1(>o7:01a?k5f?3i07d?;0;29 6g?289i7c=n7;f8?l74n3:1(>o7:01a?k5f?3o07d?o7:01a?k5f?3;;76g>3283>!5f03;8n6`=h;h:1<7*=h;0n1<7*65`38a94?"4i1085k5a3`597>=h;0h1<7*=h;031<7*=h;0<1<7*=h;0>1<7*=h;081<7*=h;0:1<7*=h;1o1<7*5<#;h21?4h4n2c4>47<3f93n7>5$2c;>6?a3g9j;7?=;:m0?290/?l6538d8j6g028?07b=77;29 6g?2:3m7c=n7;35?>i40?0;6)=n8;1:b>h4i>0:;65`39794?"4i1085k5a3`595==7i;o1b3?7f32e84?4?:%1bn2d8m:4>b:9l7=6=83.8m54<9g9m7d1=9j10c>9i:18'7d>=;0l0b>o8:0f8?j50m3:1(>o7:2;e?k5f?3;n76a<7e83>!5f0392j6`=h;>i1<7*=54o25a>5<#;h21?4h4n2c4>77<3f95$2c;>6?a3g9j;7<=;:m03<<72-9j47=6f:l0e2<5;21d?:650;&0e=<41o1e?l952598k610290/?l6538d8j6g02;?07b=n6;29 6g?2:3m7c=n7;05?>i4i<0;6)=n8;1:b>h4i>09;65`3`694?"4i1085k5a3`596==7i;o1b3?4f32e8m<4?:%1bn2d8m:4=b:9l7<1=83.8m54<9g9m7d1=:j10c>6l:18'7d>=;0l0b>o8:3f8?j5?93:1(>o7:2;e?k5f?38n76a<7783>!5f0392j6`=n1:0;6)=n8;;1?k5f?3:07d7>:18'7d>=1;1e?l951:9j=5<72-9j477=;o1b3?4<3`2m6=4+3`:9=7=i;h=1?65f8d83>!5f03397c=n7;68?l>c290/?l65939m7d1==21b4n4?:%1b5<#;h215?5a3`593>=n1j0;6)=n8;;1?k5f?3207d7m:18'7d>=1;1e?l959:9j=d<72-9j477=;o1b3?g<3`326=4+3`:9=7=i;h=1n65f9983>!5f03397c=n7;a8?l?0290/?l65939m7d1=l21b5;4?:%1b5<#;h215?5a3`59b>=n1=0;6)=n8;;1?k5f?3;;76g7a;29 6g?2080b>o8:038?j4313:1(>o7:36;?k5f?3:07b<;7;29 6g?2;>37c=n7;38?j43>3:1(>o7:36;?k5f?3807b<;5;29 6g?2;>37c=n7;18?j43<3:1(>o7:36;?k5f?3>07b<;3;29 6g?2;>37c=n7;78?j4393:1(>o7:36;?k5f?3<07b<;0;29 6g?2;>37c=n7;58?j44n3:1(>o7:36;?k5f?3207b<37c=n7;;8?j44l3:1(>o7:36;?k5f?3k07b<37c=n7;`8?j44j3:1(>o7:36;?k5f?3i07b<37c=n7;f8?j4413:1(>o7:36;?k5f?3o07b<<8;29 6g?2;>37c=n7;d8?j44>3:1(>o7:36;?k5f?3;;76a=3483>!5f038?46`=h::>1<7*5<#;h21>964n2c4>45<3f88>7>5$2c;>72?3g9j;7?;;:m174<72-9j47<;8:l0e2<6=21d>>>50;&0e=<5<11e?l951798k74a290/?l6525:8j6g028=07b<=e;29 6g?2;>37c=n7;3;?>i5:m0;6)=n8;07<>h4i>0:565`24294?"4i109855a3`595d=m6=4+3`:961>d:9l61e=83.8m54=499m7d1=9l10c?:m:18'7d>=:=20b>o8:0d8?j43i3:1(>o7:36;?k5f?38;76a=4383>!5f038?46`=h::=1<7*?54o30`>5<#;h21>964n2c4>75<3`i26=4+3`:9g==i;h=1<6F!5f03i37c=n7;08L6dd32ch97>5$2c;>f>5G3ca8?le3290/?l65c99m7d1=<2B8nn54ib194?"4i10h46`od93:1(>o7:b:8j6g02>1C?om4;hf1>5<#;h21o55a3`59<>N4jj10ei?50;&0e==nl90;6)=n8;a;?k5f?3k0D>ll;:k`b?6=,:k36n64n2c4>g=O;ki07dmj:18'7d>=k11e?l95c:J0ff=h4i>0o7E=mc:9jgf<72-9j47m7;o1b3?c<@:hh76glb;29 6g?2j20b>o8:g9K7ge<3`ij6=4+3`:9g==i;h=1==5G3ca8?le7290/?l65c99m7d1=981C?om4;|`7b1<728?j6=4?{%1`5?47i2B8oo5G3b18^`1=jr2:6<857385a?7528;1:n481;30>46=<>0v(>??:0`1g>h?=3:0b5951:lbf?71c83?!76k39;96`>1g83?k7483;0b?>6:09m607=92d8;o1be?7<,:h<6>m?;h310?6=3`9i=7>5;h1a6?6=3`;997>5;h1a7?6=3`;947>5;h317?6=3f;:i7>5;h1a1?6=3`9i87>5;h31g?6=3`9i<7>5;h313?6=3`;9>7>5;h32e?6=3`;957>5;h315?6=3f;:h7>5;h1bb?6=3`9i:7>5;h`5>5<#;h21n85a3`594>=nj=0;6)=n8;`6?k5f?3;07dl<:18'7d>=j<1e?l952:9jf7<72-9j47l:;o1b3?5<3`h:6=4+3`:9f0=i;h=1865fb183>!5f03h>7c=n7;78?lga290/?l65b49m7d1=>21bmh4?:%1b5<#;h21n85a3`59<>=njl0;6)=n8;`6?k5f?3307dlk:18'7d>=j<1e?l95a:9jff<72-9j47l:;o1b3?d<3`hi6=4+3`:9f0=i;h=1o65fb`83>!5f03h>7c=n7;f8?ld>290/?l65b49m7d1=m21bn54?:%1b5<#;h21n85a3`5955=h4i>0:=65`db83>!5f03ni7c=n7;28?jbf290/?l65dc9m7d1=921dh44?:%1b5<#;h21ho5a3`597>=hl>0;6)=n8;fa?k5f?3>07bj9:18'7d>=lk1e?l955:9l`0<72-9j47jm;o1b3?0<3fn?6=4+3`:9`g=i;h=1;65`e483>!5f03ni7c=n7;:8?jc3290/?l65dc9m7d1=121di>4?:%1b5<#;h21ho5a3`59f>=hm80;6)=n8;fa?k5f?3i07bk?:18'7d>=lk1e?l95d:9l`c<72-9j47jm;o1b3?c<3fnn6=4+3`:9`g=i;h=1j65`de83>!5f03ni7c=n7;33?>ic;3:1(>o7:e`8j6g028;07d=9f;29 6g?2:o7:24f?k5f?3;07d=9c;29 6g?2:o7:24f?k5f?3907d=9a;29 6g?2:o7:24f?k5f?3?07d=98;29 6g?2:o7:24f?k5f?3=07d=95;29 6g?2:o7:24f?k5f?3307d=93;29 6g?2:o7:24f?k5f?3h07d=91;29 6g?2:o7:24f?k5f?3n07d=:f;29 6g?2:o7:24f?k5f?3l07d=:d;29 6g?2:o4=j0;6)=n8;15a>h4i>0:=65f34c94?"4i108:h5a3`5957=8j;o1b3?7332c89:4?:%1b5:9j700=83.8m54<6d9m7d1=9?10e>;::18'7d>=;?o0b>o8:058?l52<3:1(>o7:24f?k5f?3;376g<5283>!5f039=i6`=n;<81<7*5<#;h21?;k4n2c4>4d<3`9?j7>5$2c;>60b3g9j;7?l;:k00`<72-9j47=9e:l0e2<6l21b?9j50;&0e=<4>l1e?l951d98m62d290/?l6537g8j6g028l07d=;b;29 6g?2:o4h4i>09=65f35;94?"4i108:h5a3`5967=36=4+3`:973c8j;o1b3?4332c88;4?:%1b9;:18'7d>=;?o0b>o8:358?l50;3:1(>o7:24f?k5f?38376g<7383>!5f039=i6`=n;>;1<7*l54i253>5<#;h21?;k4n2c4>7d<3`9=:7>5$2c;>60b3g9j;750;&0e=<4>l1e?l952d98m622290/?l6537g8j6g02;l07d?>3;29 6g?28;97c=n7;28?l7693:1(>o7:031?k5f?3;07d?>0;29 6g?28;97c=n7;08?l77n3:1(>o7:031?k5f?3907d??e;29 6g?28;97c=n7;68?l77l3:1(>o7:031?k5f?3?07d??c;29 6g?28;97c=n7;48?l77j3:1(>o7:031?k5f?3=07d??9;29 6g?28;97c=n7;:8?l7703:1(>o7:031?k5f?3307d??7;29 6g?28;97c=n7;c8?l77>3:1(>o7:031?k5f?3h07d??5;29 6g?28;97c=n7;a8?l77<3:1(>o7:031?k5f?3n07d??3;29 6g?28;97c=n7;g8?l77:3:1(>o7:031?k5f?3l07d??1;29 6g?28;97c=n7;33?>o6890;6)=n8;326>h4i>0:=65ffd83>!5f03;:>6`=nnm0;6)=n8;326>h4i>0:?65ffb83>!5f03;:>6`=nnk0;6)=n8;326>h4i>0:965ff`83>!5f03;:>6`=nn00;6)=n8;326>h4i>0:;65ff983>!5f03;:>6`=nn>0;6)=n8;326>h4i>0:565ff783>!5f03;:>6`=nn<0;6)=n8;326>h4i>0:n65ff283>!5f03;:>6`=nn;0;6)=n8;326>h4i>0:h65ff083>!5f03;:>6`=nn90;6)=n8;326>h4i>0:j65feg83>!5f03;:>6`=nml0;6)=n8;326>h4i>09=65fee83>!5f03;:>6`=nmj0;6)=n8;326>h4i>09?65fec83>!5f03;:>6`=nmh0;6)=n8;326>h4i>09965f10;94?"4i10:=?5a3`5963=139m7d1=:h10e=9880b>o8:3`8?l77i3:1(>o7:031?k5f?38h76gif;29 6g?28;97c=n7;0g?>oa<3:1(>o7:031?k5f?38n76gj9;29 6g?28;97c=n7;0e?>i4;m0;6)=n8;10g>h4i>0;76a<3c83>!5f0398o6`i4;h0;6)=n8;10g>h4i>0976a<3883>!5f0398o6`i4;10;6)=n8;10g>h4i>0?76a<3683>!5f0398o6`i4;?0;6)=n8;10g>h4i>0=76a<3483>!5f0398o6`i4;:0;6)=n8;10g>h4i>0376a<3383>!5f0398o6`i4;80;6)=n8;10g>h4i>0j76a<3183>!5f0398o6`i4:o0;6)=n8;10g>h4i>0h76a<2d83>!5f0398o6`i4:m0;6)=n8;10g>h4i>0n76a<2b83>!5f0398o6`i4:k0;6)=n8;10g>h4i>0:<65`33c94?"4i108?n5a3`5954==l;o1b3?7432e8>;4?:%1b4:9l773=83.8m54<3b9m7d1=9<10c><;:18'7d>=;:i0b>o8:048?j55;3:1(>o7:21`?k5f?3;<76a<2383>!5f0398o6`=h;;;1<7*5<#;h21?>m4n2c4>4g<3f9:j7>5$2c;>65d3g9j;7?m;:m05a<72-9j47=a;29 6g?2:9h7c=n7;3e?>i4900;6)=n8;10g>h4i>09<65`30:94?"4i108?n5a3`5964==l;o1b3?4432e8=84?:%1b:<:18'7d>=;:i0b>o8:348?j53:3:1(>o7:21`?k5f?38<76a<4083>!5f0398o6`=h;=:1<7*454o21e>5<#;h21?>m4n2c4>7g<3f98i7>5$2c;>65d3g9j;73;29 6g?2:9h7c=n7;0e?>o48l0;6)=n8;13`>h4i>0;76g<0b83>!5f039;h6`o48k0;6)=n8;13`>h4i>0976g<0`83>!5f039;h6`o4800;6)=n8;13`>h4i>0?76g<0983>!5f039;h6`o48>0;6)=n8;13`>h4i>0=76g<0783>!5f039;h6`o6;j0;6)=n8;30f>h4i>0;76g>3`83>!5f03;8n6`o6;00;6)=n8;30f>h4i>0976g>3983>!5f03;8n6`o6;>0;6)=n8;30f>h4i>0?76g>3783>!5f03;8n6`o6;<0;6)=n8;30f>h4i>0=76g>3583>!5f03;8n6`o6<<0;6)=n8;30f>h4i>0376g>4583>!5f03;8n6`o6<:0;6)=n8;30f>h4i>0j76g>4383>!5f03;8n6`o6<80;6)=n8;30f>h4i>0h76g>4183>!5f03;8n6`o6;o0;6)=n8;30f>h4i>0n76g>3d83>!5f03;8n6`o6;m0;6)=n8;30f>h4i>0:<65f12194?"4i10:?o5a3`5954=5<#;h21?4h4n2c4>4=5<#;h21?4h4n2c4>6=5<#;h21?4h4n2c4>0=5<#;h21?4h4n2c4>2=5<#;h21?4h4n2c4><=5<#;h21?4h4n2c4>g=5<#;h21?4h4n2c4>a=5<#;h21?4h4n2c4>c=4;n1;`?6=,:k36>7i;o1b3?7632e84o4?:%1bn2d8m:4>2:9l7=g=83.8m54<9g9m7d1=9:10c>66:18'7d>=;0l0b>o8:068?j5?03:1(>o7:2;e?k5f?3;>76a<8683>!5f0392j6`=h;1<1<7*5<#;h21?4h4n2c4>4><3f9387>5$2c;>6?a3g9j;7?6;:m0<6<72-9j47=6f:l0e2<6i21d?5<50;&0e=<41o1e?l951c98k6>7290/?l6538d8j6g028i07b=8f;29 6g?2:3m7c=n7;3g?>i4?l0;6)=n8;1:b>h4i>0:i65`36f94?"4i1085k5a3`595c=4;n14f?6=,:k36>7i;o1b3?4632e8;l4?:%1bn2d8m:4=2:9l72?=83.8m54<9g9m7d1=::10c>97:18'7d>=;0l0b>o8:368?j50?3:1(>o7:2;e?k5f?38>76a!5f0392j6`=h;h?1<7*:54o2c7>5<#;h21?4h4n2c4>7><3f9j?7>5$2c;>6?a3g9j;7<6;:m0e7<72-9j47=6f:l0e2<5i21d?l?50;&0e=<41o1e?l952c98k6?0290/?l6538d8j6g02;i07b=7c;29 6g?2:3m7c=n7;0g?>i4080;6)=n8;1:b>h4i>09i65`36494?"4i1085k5a3`596c=h4i>0;76g61;29 6g?2080b>o8:098m<6=83.8m5462:l0e2<532c3j7>5$2c;><454i9g94?"4i102>6`o?l3:1(>o7:808j6g02<10e5m50;&0e=<>:2d8m:49;:k;f?6=,:k364<4n2c4>2=h4i>0376g6b;29 6g?2080b>o8:898m5$2c;><46`o>?3:1(>o7:808j6g02m10e4850;&0e=<>:2d8m:4j;:k:1?6=,:k364<4n2c4>c=1<7*h4i>0:<65f8`83>!5f03397c=n7;32?>i5<00;6)=n8;07<>h4i>0;76a=4683>!5f038?46`i5h4i>0976a=4483>!5f038?46`i5<=0;6)=n8;07<>h4i>0?76a=4283>!5f038?46`i5<80;6)=n8;07<>h4i>0=76a=4183>!5f038?46`i5;o0;6)=n8;07<>h4i>0376a=3d83>!5f038?46`i5;m0;6)=n8;07<>h4i>0j76a=3b83>!5f038?46`i5;k0;6)=n8;07<>h4i>0h76a=3`83>!5f038?46`i5;00;6)=n8;07<>h4i>0n76a=3983>!5f038?46`i5;?0;6)=n8;07<>h4i>0:<65`22794?"4i109855a3`5954=4:9l667=83.8m54=499m7d1=9<10c?=?:18'7d>=:=20b>o8:048?j45n3:1(>o7:36;?k5f?3;<76a=2d83>!5f038?46`=h:;n1<7*5<#;h21>964n2c4>4g<3f8?j7>5$2c;>72?3g9j;7?m;:m10`<72-9j47<;8:l0e2<6k21d>9j50;&0e=<5<11e?l951e98k72d290/?l6525:8j6g028o07b<;b;29 6g?2;>37c=n7;3e?>i5h4i>09<65`25094?"4i109855a3`5964=5$2c;>f>od<3:1(>o7:b:8j6g02=1C?om4;ha0>5<#;h21o55a3`591>N4jj10en<50;&0e==nk80;6)=n8;a;?k5f?3=0D>ll;:kg6?6=,:k36n64n2c4>==O;ki07dj>:18'7d>=k11e?l959:J0ff=h4i>0j7E=mc:9jgc<72-9j47m7;o1b3?d<@:hh76gle;29 6g?2j20b>o8:b9K7ge<3`io6=4+3`:9g==i;h=1h6F!5f03i37c=n7;d8L6dd32chm7>5$2c;>f>4H2``?>od83:1(>o7:b:8j6g028;0D>ll;:a0c3=83?1<7>t$2a2>7723A9hn6F5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`7b3<72<0;6=u+3b39643<@:ii7E=l3:&164<53`o36=44i003>5<5<m<;%015?45<2290;w)=l1;021>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66sm4g;94?3=83:p(>m>:336?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pl;f`83>0<729q/?n?52078L6ee3A9h?6*=2081?lc?2900e<ok:188k6ge2900qo:ib;291?6=8r.8o<4=149K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831b?lj50;9l7dd=831vn9hl:186>5<7s-9h=7<>5:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wi8kj50;794?6|,:i:6??:;I1`f>N4k:1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3th?jh4?:483>5}#;j;1><;4H2aa?M5d;2.9><4=;hg;>5<5<5<mj7>55;294~"4k809=85G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zj<:;6=4::183!5d938:96F>o6:90;66g>3083>>o4im0;66a>{e=9;1<7;50;2x 6e62;;>7E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xd28;0;684?:1y'7f7=:8?0D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188yg37;3:197>50z&0g4<59<1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~f063290>6=4?{%1`5?46=2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::a153=83?1<7>t$2a2>7723A9hn6F5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`643<72<0;6=u+3b39643<@:ii7E=l3:&164<53`o36=44i003>5<5<m<;%015?45<2290;w)=l1;021>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66sm51;94?3=83:p(>m>:336?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pl:0`83>0<729q/?n?52078L6ee3A9h?6*=2081?lc?2900e<ok:188k6ge2900qo;?b;291?6=8r.8o<4=149K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831b?lj50;9l7dd=831vn8>l:186>5<7s-9h=7<>5:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wi9=j50;794?6|,:i:6??:;I1`f>N4k:1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3th>5}#;j;1><;4H2aa?M5d;2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zj<;;6=4::183!5d938:96F>o6:90;66g>3083>>o4im0;66a>{e=8;1<7;50;2x 6e62;;>7E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xd29;0;684?:1y'7f7=:8?0D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188yg36;3:197>50z&0g4<59<1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~f073290>6=4?{%1`5?46=2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::a143=83?1<7>t$2a2>7723A9hn6F5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`653<72<0;6=u+3b39643<@:ii7E=l3:&164<53`o36=44i003>5<5<m<;%015?45<2290;w)=l1;021>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66sm50;94?3=83:p(>m>:336?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pl:1`83>0<729q/?n?52078L6ee3A9h?6*=2081?lc?2900e<ok:188k6ge2900qo;>b;291?6=8r.8o<4=149K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831b?lj50;9l7dd=831vn8?l:186>5<7s-9h=7<>5:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wi9N4k:1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3th>=h4?:483>5}#;j;1><;4H2aa?M5d;2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zj<8;6=4::183!5d938:96F>o6:90;66g>3083>>o4im0;66a>{e=;;1<7;50;2x 6e62;;>7E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xd2:;0;6?;523802~N4k:1/?n?535c7?_c02:q:57k5f;j=`<72-9j477k;o1b3?6<3`;?j7>5$2c;>42b3g9j;7>4;h37`?6=,:k36<:j;o1b3?7<3`;?o7>5$2c;>42b3g9j;7<4;h37f?6=,:k36<:j;o1b3?5<3`;?m7>5$2c;>42b3g9j;7:4;h37=?6=,:k36<:j;o1b3?3<3`;>57>5$2c;>42b3g9j;784;h36;7>5$2c;>42b3g9j;764;h361?6=,:k36<:j;o1b3??<3`;>87>5$2c;>42b3g9j;7o4;h367?6=,:k36<:j;o1b3?d<3`;>>7>5$2c;>42b3g9j;7m4;h365?6=,:k36<:j;o1b3?b<3`;><7>5$2c;>42b3g9j;7k4;h375;h314?6=3`9i57>5;h31g?6=3f;==7>5$2c;>4073g9j;7>4;n36b?6=,:k36<8?;o1b3?7<3f;>i7>5$2c;>4073g9j;7<4;n36`?6=,:k36<8?;o1b3?5<3f;>o7>5$2c;>4073g9j;7:4;n36f?6=,:k36<8?;o1b3?3<3f;=n7>5$2c;>4073g9j;784;n35e?6=,:k36<8?;o1b3?1<3f;=57>5$2c;>4073g9j;764;n353?6=,:k36<8?;o1b3??<3f;=:7>5$2c;>4073g9j;7o4;n351?6=,:k36<8?;o1b3?d<3f;=87>5$2c;>4073g9j;7m4;n357?6=,:k36<8?;o1b3?b<3f;=>7>5$2c;>4073g9j;7k4;n36e?6=,:k36<8?;o1b3?`<3k?9?7>51;294~"4k809=l5G3b`8L6e43f8:57>5;|`661<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb405>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e=;=1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl:2983>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg3513:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn85<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a17d=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th>>n4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm53d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd2;90;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo;<1;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f055290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi9>=50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`671<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:3483><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>?;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2;>0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`67=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:3883><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>?l4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2;k0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`67f<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:3e83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>?h4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2;o0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`605<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:4083><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>8?4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2<:0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`601<72:0;6=u+3b3967><@:ii7E=l3:&164<0m2c9=n4?::k15a<722e8<>4?::p6d3>290:=vP6e:?671?8474:?673?:474:?67=?4474:?67d?o474:?67f?i474:?67`?k474:?6058<474:?6078>474:p6d3f2909wS?;f:?606<6;81v?o:b;296~X6i70;;0;305>{t:h?n6=4={_37e>;2;o0:?<5rs3c6b?6=:rT:845252g9567;|q1e37=838pR<;7;<70g?7492wx>l8=:181[72?27>?o4>309~w7g1;3:1>vP>549>16g=9:;0q~7}Y9<>018=6:012?xu5i??1<75<5sW;>>63:368274=z{;k=;7>52z\214=:=:<1=>?4}r0b2=<72;qU=8>4=416>4563ty9m;750;0xZ42?34?887?<1:p6d0f2909wS=l7:?601<59j1v?o9b;2957}Y9;:018<;:003?835=3;9<63:278265=:=;=1=?>4=40;>44734?957?=0:?66d<6:9169?l51328904d288;70;=d;314>;2:l0:>=5253d9576<5<9;6<??4>219>165=9;:018:;:33g?xu5i?i1<7<>{_31g>;2:=0n463:248f<>;2:?0n463:268f<>;2:10n463:288f<>;2:h0n463:2c8f<>;2:j0n463:2e8f<>;2:l0n463:2g8f<>;2;90n463:308f<>;2;;0n463:328f<>;2;=0:>n52527957e<5<9=6<?54>2b9>16?=9;i018=n:00`?834j3;9o63:3b826f=:=:n1=?m4=41f>44d34?8j7?=c:?605<6:j1699?513a89025288h70;;3;31g>{t:h;2;:08mo5rs3c5a?6=:rT:9k5252097ddom;|q1e26=838pR<;k;<704?5fj2wx>l9>:181[72k27>>k4vP>5c9>17c=;hh0q~7}Y9?h018>1<75<5sW;=563:2c80eg=z{;k<:7>52z\222=:=;k1?ll4}r0b32<72;qU=;84=40:>6ge3ty9m:650;0xZ40234?947=nb:p6d1>2909wS?94:?662<4ik1v?o8a;296~X6>:169?853``8yv4f?k0;6?uQ170890422:ki7p}=a6a94?4|V8?j70;=4;1bf>{t:h=o6=4<1z?666<590169>:5879>162=01169>:5889>163=0?169>;5899>163=00169>85879>160=01169>85889>161=0?169>95899>161=00169>65879>16>=01169>65889>16?=0?169>75899>16?=00169>o5879>16g=01169>o5889>16d=0?169>l5899>16d=00169>m5879>16e=01169>m5889>16b=0?169>j5899>16b=00169>k5879>16c=01169>k5889>16`=0?169>h5899>16`=001699>5879>116=011699>5889>117=0?1699?5899>117=001699<5879>114=011699<5889>115=0?1699=5899>115=001v?o8e;296~;2:=0:?<5252697dd173=9:;018=::2ca?xu5i1:1<745634?8:7=nb:p6d>62909w0;=7;305>;2;>08mo5rs3c;6?6=:r7>>54>309>16>=;hh0q~7}:=;31=>?4=41:>6ge3ty9m5:50;0x904f289:70;{t:h2>6=4={<71f?74927>?o43:1>v3:2b8274=:=:i1?ll4}r0b<2<72;q69?j51238905c2:ki7p}=a9:94?4|5<8n6<=>;<70a?5fj2wx>l66:181835n3;8=63:3g80eg=z{;k3m7>52z?675<6;81699>53``8yv4f0k0;6?u25239567<5<>:6>om;|q1e=e=838p18==:012?833:39jn6s|2`:g>5<5s4?8?7?<1:?606<4ik1v?o7e;2954}:=:>1=?>4=416>44734?8:7?=0:?672<6:9169>651328905>288;70;;2;k0:>=5252a9576<5<9o6<?k4>219>116=9;:018:>:003?833:3;9<63:428265=:==>1?==4}|`600<72;?1>?4<6zJ0g6=#;j;1?9o;;[g4>6}613o1j7sf9d83>!5f033o7c=n7;28?l73n3:1(>o7:06f?k5f?3:07d?;d;29 6g?28>n7c=n7;38?l73k3:1(>o7:06f?k5f?3807d?;b;29 6g?28>n7c=n7;18?l73i3:1(>o7:06f?k5f?3>07d?;9;29 6g?28>n7c=n7;78?l7213:1(>o7:06f?k5f?3<07d?:8;29 6g?28>n7c=n7;58?l72?3:1(>o7:06f?k5f?3207d?:5;29 6g?28>n7c=n7;;8?l72<3:1(>o7:06f?k5f?3k07d?:3;29 6g?28>n7c=n7;`8?l72:3:1(>o7:06f?k5f?3i07d?:1;29 6g?28>n7c=n7;f8?l7283:1(>o7:06f?k5f?3o07d?;8;29 6g?28>n7c=n7;d8?l5d?3:17d?=0;29?l5e13:17d?=c;29?j7193:1(>o7:043?k5f?3:07b?:f;29 6g?28<;7c=n7;38?j72m3:1(>o7:043?k5f?3807b?:d;29 6g?28<;7c=n7;18?j72k3:1(>o7:043?k5f?3>07b?:b;29 6g?28<;7c=n7;78?j71j3:1(>o7:043?k5f?3<07b?9a;29 6g?28<;7c=n7;58?j7113:1(>o7:043?k5f?3207b?97;29 6g?28<;7c=n7;;8?j71>3:1(>o7:043?k5f?3k07b?95;29 6g?28<;7c=n7;`8?j71<3:1(>o7:043?k5f?3i07b?93;29 6g?28<;7c=n7;f8?j71:3:1(>o7:043?k5f?3o07b?:a;29 6g?28<;7c=n7;d8?g33>3:1=7>50z&0g4<59h1C?nl4H2a0?j4613:17pl:4683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg3303:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn8:6:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a11g=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th>8o4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4o6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm55g94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd2mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo;:0;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f036290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi98<50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`616<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<87>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb476>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e=<<1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl:5683><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>954?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2=00;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`61d<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:5c83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>9n4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2=m0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`61`<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:5g83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>:=4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2>80;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`627<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:6283><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>:94?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2><0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`623<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:6683>6<729q/?n?523:8L6ee3A9h?6*=2084a>o59j0;66g=1e83>>i48:0;66s|2`:e>5<69rT2i63:568;0>;2=103863:588;0>;2=h03863:5c8;0>;2=j03863:5e8;0>;2=l03863:5g8;0>;2>903863:608;0>;2>;03863:628;0>;2>=03863:648;0>;2>?0386s|2`;3>5<5sW;?j63:678274=z{;k2=7>52z\20a=:=??1=>?4}r0b=7<72;qU=9m4=447>4563ty9m4=50;0xZ42e34?=?7?<1:p6d?32909wS?;a:?627<6;81v?o65;296~X6<0169;?51238yv4f1?0;6?uQ14;89007289:7p}=a8594?4|V8?370;:f;305>{t:h336=4={_363>;2=l0:?<5rs3c:=?6=:rT:985254f9567;|q1el7l:181[72:27>9l4>309~w7g>l3:1>vP>509>10?=9:;0q~7}Y9<:018;7:012?xu5i0l1<75<5sW9h;63:66815f=z{;kj=7>513y]576<5<><6<844>219>11g=9;:018:m:003?833k3;9<63:4e8265=:==o1=?>4=46e>44734?><7?=0:?614<6:91698<513289034288;70;:4;314>;2=<0:>=525449576<5<<<6??k;|q1ed4=838:wS?=c:?602854j8:?60<8l4j8:?60g8n4j8:?60a8h4j8:?60c9=4j8:?6149?4j8:?616994j8:?6109;4j8:?612<6:j16986513a8903>288h70;:a;31g>;2=k0:>n5254a957e<59k4>2b9>136=9;i0188>:00`?831:3;9o63:62826f=:=?>1=?m4=446>44d34?=:7?=c:p6dg42909wS?91:?613<4ik1v?on4;296~X6=o1698;53``8yv4fi<0;6?uQ14g890332:ki7p}=a`494?4|V8?o70;:3;1bf>{t:hk<6=4={_36g>;2=;08mo5rs3cbom;|q1edg=838pR<8n;<77b?5fj2wx>lom:181[71127>8h4vP>669>11b=;hh0q~7}Y9?<018:l:2ca?xu5iho1<75<5sW;=863:4`80eg=z{;ki<7>52z\226=:==31?ll4}r0bf4<72;qU=;<4=46;>6ge3ty9mo<50;0xZ43f34??;7=nb:p6dd42908=v3:47815<=:=<=14;525459<==:=<=1445254:9<3=:=<21455254:9<<=:=<314;5254;9<==:=<31445254c9<3=:=145525769<<=:=??14;525779<==:=??144525749<3=:=?<145525749<<=z{;ki87>52z?602<6;81698953``8yv4fj<0;6?u255:9567<5om;|q1eg0=838p18:6:012?832139jn6s|2``4>5<5s4??m7?<1:?61d<4ik1v?om8;296~;211e=9:;018;l:2ca?xu5ikk1<745634?>h7=nb:p6dde2909w0;;e;305>;2=l08mo5rs3cag?6=:r7>8k4>309>10`=;hh0q~7}:=<:1=>?4=443>6ge3ty9mok50;0x9036289:70;91;1bf>{t:hhm6=4={<766?74927>:?4v3:528274=:=?91?ll4}r0bg4<72;q698:5123890032:ki7p}=ab094?4|56<=>;<751?5fj2wx>lm<:181832>3;8=63:6780eg=z{;kh87>510y>101=9;:018;7:003?83213;9<63:5`8265=:=4=47`>44734?>h7?=0:?61`<6:91698h513289007288;70;91;314>;2>;0:>=525719576<5<:;4>219>131=;990qpl:6983>73=:;08:vF4;h37b?6=,:k36<:j;o1b3?6<3`;?h7>5$2c;>42b3g9j;7?4;h37g?6=,:k36<:j;o1b3?4<3`;?n7>5$2c;>42b3g9j;7=4;h37e?6=,:k36<:j;o1b3?2<3`;?57>5$2c;>42b3g9j;7;4;h36=?6=,:k36<:j;o1b3?0<3`;>47>5$2c;>42b3g9j;794;h363?6=,:k36<:j;o1b3?><3`;>97>5$2c;>42b3g9j;774;h360?6=,:k36<:j;o1b3?g<3`;>?7>5$2c;>42b3g9j;7l4;h366?6=,:k36<:j;o1b3?e<3`;>=7>5$2c;>42b3g9j;7j4;h364?6=,:k36<:j;o1b3?c<3`;?47>5$2c;>42b3g9j;7h4;h1`3?6=3`;9<7>5;h1a=?6=3`;9o7>5;n355?6=,:k36<8?;o1b3?6<3f;>j7>5$2c;>4073g9j;7?4;n36a?6=,:k36<8?;o1b3?4<3f;>h7>5$2c;>4073g9j;7=4;n36g?6=,:k36<8?;o1b3?2<3f;>n7>5$2c;>4073g9j;7;4;n35f?6=,:k36<8?;o1b3?0<3f;=m7>5$2c;>4073g9j;794;n35=?6=,:k36<8?;o1b3?><3f;=;7>5$2c;>4073g9j;774;n352?6=,:k36<8?;o1b3?g<3f;=97>5$2c;>4073g9j;7l4;n350?6=,:k36<8?;o1b3?e<3f;=?7>5$2c;>4073g9j;7j4;n356?6=,:k36<8?;o1b3?c<3f;>m7>5$2c;>4073g9j;7h4;c75=?6=93:1m<;n02=?6=3th>:l4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm57f94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd2>l0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo;9f;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f017290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi9:?50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`637<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb457>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e=>?1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl:7783>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg30?3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn897:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a12?=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th>;l4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2?k0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`63f<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:7e83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>;h4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2?o0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6<5<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:8083><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>4?4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd20:0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6<1<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:8483><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>4;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd20>0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6<=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:8883><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>4l4?:283>5}#;j;1>?64H2aa?M5d;2.9><48e:k15f<722c9=i4?::m046<722wx>lm::1825~X>m27>;l474:?63g;n474:?63a;h474:?63c4=474:?6<44?474:?6<649474:?6<04;474:?6<245474:?6<<lm9:181[73n27>444>309~w7gd?3:1>vP>4e9>1=>=9:;0q~7}Y9=i01868:012?xu5ij31<73;8=6s|2`ab>5<5sW;?m63:848274=z{;khn7>52z\20<=:=1>1=>?4}r0bgf<72;qU=874=4:0>4563ty9mnj50;0xZ43?34?3>7?<1:p6deb2909wS?:7:?6<4<6;81v?olf;296~X6=<1695>51238yv4fl90;6?uQ1468901a289:7p}=ae394?4|V8?870;8e;305>{t:hn96=4={_366>;2?m0:?<5rs3cg7?6=:rT:9<5256a9567;|q1ea3=838pR<:7;<74e?7492wx>lj9:181[5d?27>4l4=1b9~w7gc?3:1=?uQ1328900f288;70;9b;314>;2>j0:>=5257f9576<5<;=4>219>127=9;:0189=:003?830;3;9<63:758265=:=>?1=?>4=455>44734?<;7?=0:?63=<6:9169:75132890>f2;;o7p}=ae:94?46sW;9o63:6`8f<>;2>k0n463:6b8f<>;2>m0n463:6d8f<>;2>o0n463:718f<>;2?80n463:738f<>;2?:0n463:758f<>;2?<0n463:778f<>;2?>0n463:798f<>;2?00n463:7`826f=:=>h1=?m4=45`>44d34?7288h70;71;31g>;20;0:>n52591957e<5<2?6<4;4>2b9>1=1=9;i01867:00`?83?13;9o6s|2`f:>5<5sW;==63:7880eg=z{;kom7>52z\21c=:=>21?ll4}r0b`g<72;qU=8k4=454>6ge3ty9mim50;0xZ43c34?<:7=nb:p6dbc2909wS?:c:?630<4ik1v?oke;296~X6=k169::53``8yv4flo0;6?uQ17`890142:ki7p}=ad294?4|V8{t:ho:6=4={_35=>;2?808mo5rs3cf6?6=:rT:::5256297dd4?:3y]530<5<om;|q1e`2=838pR<8:;<75a?5fj2wx>lk::181[71<27>:i43:1>vP>629>13e=;hh0q~7}Y9?80188m:2ca?xu5il21<75<49r7>:44=189>12g=0?169:o5899>12g=00169:l5879>12d=01169:l5889>12e=0?169:m5899>12e=00169:j5879>12b=01169:j5889>12c=0?169:k5899>12c=00169:h5879>12`=01169:h5889>1=6=0?1695>5899>1=6=001695?5879>1=7=011695?5889>1=4=0?1695<5899>1=4=001695=5879>1=5=011695=5889>1=2=0?1695:5899>1=2=001695;5879>1=3=011695;5889>1=0=0?169585899>1=0=00169595879>1=1=01169595889>1=>=0?169565899>1=>=00169575879>1=?=01169575889~w7gbi3:1>v3:6`8274=:=>k1?ll4}r0bag<72;q69;l51238901e2:ki7p}=ada94?4|5<;<74g?5fj2wx>lkk:181831l3;8=63:7e80eg=z{;kni7>52z?62`<6;8169:k53``8yv4fmo0;6?u257d9567<5<=m6>om;|q1ec6=838p189?:012?83?839jn6s|2`d2>5<5s4?<=7?<1:?6<4<4ik1v?oi2;296~;2?;0:?<5259097dd4?:3y>125=9:;0186<:2ca?xu5io>1<745634?387=nb:p6d`22909w0;85;305>;20<08mo5rs3ce2?6=:r7>;;4>309>1=0=;hh0q~7}:=>=1=>?4=4:4>6ge3ty9mk650;0x901?289:70;78;1bf>{t:hl26=4={<74=?74927>444;i4>219>12c=9;:0189i:003?83?83;9<63:808265=:=181=?>4=4:0>44734?387?=0:?6<0<6:9169585132890>0288;70;78;314>;2000:>=5259c97554o4?:37967<4>rB8o>5+3b3971g33So<6>u>9;g9b?{n1l0;6)=n8;;g?k5f?3:07d?;f;29 6g?28>n7c=n7;28?l73l3:1(>o7:06f?k5f?3;07d?;c;29 6g?28>n7c=n7;08?l73j3:1(>o7:06f?k5f?3907d?;a;29 6g?28>n7c=n7;68?l7313:1(>o7:06f?k5f?3?07d?:9;29 6g?28>n7c=n7;48?l7203:1(>o7:06f?k5f?3=07d?:7;29 6g?28>n7c=n7;:8?l72=3:1(>o7:06f?k5f?3307d?:4;29 6g?28>n7c=n7;c8?l72;3:1(>o7:06f?k5f?3h07d?:2;29 6g?28>n7c=n7;a8?l7293:1(>o7:06f?k5f?3n07d?:0;29 6g?28>n7c=n7;g8?l7303:1(>o7:06f?k5f?3l07d=l7;29?l7583:17d=m9;29?l75k3:17b?91;29 6g?28<;7c=n7;28?j72n3:1(>o7:043?k5f?3;07b?:e;29 6g?28<;7c=n7;08?j72l3:1(>o7:043?k5f?3907b?:c;29 6g?28<;7c=n7;68?j72j3:1(>o7:043?k5f?3?07b?9b;29 6g?28<;7c=n7;48?j71i3:1(>o7:043?k5f?3=07b?99;29 6g?28<;7c=n7;:8?j71?3:1(>o7:043?k5f?3307b?96;29 6g?28<;7c=n7;c8?j71=3:1(>o7:043?k5f?3h07b?94;29 6g?28<;7c=n7;a8?j71;3:1(>o7:043?k5f?3n07b?92;29 6g?28<;7c=n7;g8?j72i3:1(>o7:043?k5f?3l07o;7c;295?6=8r.8o<4=1`9K7fd<@:i87b<>9;29?xd20m0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo;7e;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f0>a290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi94>50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`6=4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb4;0>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e=0>1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl:9483>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg3>>3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn878:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a1<>=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th>544?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm58a94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd21m0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6=`<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:9g83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>m=4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2i80;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6e7<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:a283><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>m94?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2i<0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6e3<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:a683><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>m54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2i00;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6ed<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:ac83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>mn4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2im0;6>4?:1y'7f7=:;20D>mm;I1`7>"5:80>o59m0;66a<0283>>{t:hli6=4>1z\:a>;21m03863:9d8;0>;21o03863:a18;0>;2i803863:a38;0>;2i:03863:a58;0>;2i<03863:a78;0>;2i>03863:a98;0>;2i003863:a`8;0>;2ik03863:ab8;0>{t:hlh6=4={_37b>;2ij0:?<5rs3ce`?6=:rT:8i525``9567;|q1ec`=838pR<:m;<7b=?7492wx>o>?:181[73i27>m54>309~w7d793:1>vP>489>1d1=9:;0q~7}Y9<3018o9:012?xu5j991<75<5sW;>;63:a58274=z{;h;97>52z\210=:=h91=>?4}r0a43<72;qU=8:4=4c1>4563ty9n=950;0xZ43434?j=7?<1:p6g6?2909wS?:2:?6e5<6;81v?l?9;296~X6=81694h51238yv4e8h0;6?uQ142890?b289:7p}=b1`94?4|V8>370;6d;305>{t:k:h6=4={_1`3>;2im09=n5rs3`3`?6=9;qU=?>4=4:g>44734?3i7?=0:?65132890?6288;70;62;314>;21:0:>=525869576<5<3>6<5:4>219>1<>=9;:01876:003?83>i3;9<63:9c8265=:=0i1=?>4=4cg>77c3ty9n=k50;02[75k27>4i4j8:?6<`4k4j8:?6=55<4j8:?6=75>4j8:?6=1584j8:?6=35:4j8:?6==544j8:?6=d5o4j8:?6=f5i4>2b9>144d34?j87?=c:?6e0<6:j169l8513a890g0288h70;n8;31g>;2i00:>n525`c957e<5o>i:181[71927>5n4vP>5g9>17}Y9139jn6s|2c30>5<5sW;>o63:9980eg=z{;h:87>52z\21g=:=0=1?ll4}r0a50<72;qU=;l4=4;5>6ge3ty9n<850;0xZ40f34?297=nb:p6g702909wS?99:?6=1<4ik1v?l>8;296~X6>>1694=53``8yv4e900;6?uQ174890?52:ki7p}=b0c94?4|V8<>70;61;1bf>{t:k;i6=4={_350>;21908mo5rs3`2g?6=:rT::>5259d97ddom;|q1f4c=838pR<;n;<7;`?5fj2wx>o?i:1805~;20j09=45258f9<3=:=0n1455258f9<<=:=0o14;5258g9<==:=0o1445258d9<3=:=0l1455258d9<<=:=h:14;525`29<==:=h:144525`39<3=:=h;145525`39<<=:=h814;525`09<==:=h8144525`19<3=:=h9145525`19<<=:=h>14;525`69<==:=h>144525`79<3=:=h?145525`79<<=:=h<14;525`49<==:=h<144525`59<3=:=h=145525`59<<=:=h214;525`:9<==:=h2144525`;9<3=:=h3145525`;9<<=:=hk14;525`c9<==:=hk144525``9<3=:=hh145525``9<<=:=hi14;525`a9<==:=hi1445rs3`14?6=:r7>4i4>309>17}:=1o1=>?4=4;f>6ge3ty9n?<50;0x90>a289:70;6f;1bf>{t:k886=4={<7:4?74927>m=4v3:908274=:=h;1?ll4}r0a60<72;q694<5123890g52:ki7p}=b3494?4|5<386<=>;<7b7?5fj2wx>o<8:18183><3;8=63:a580eg=z{;h947>52z?6=0<6;8169l;53``8yv4e:00;6?u25849567<5om;|q1f7g=838p1878:012?83f?39jn6s|2c0a>5<5s4?247?<1:?6e=<4ik1v?l=c;296~;2100:?<525`;97ddi4?:3y>145634?jn7=nb:p6g4a2909w0;6c;305>;2ij08mo5rs3`04?6=98q694j5132890?b288;70;6f;314>;2i90:>=525`39576<5m94>219>1d3=9;:018o9:003?83f?3;9<63:a98265=:=h31=?>4=4cb>44734?jn7?=0:?6ef<6:9169lj53118yxd2il0;6?;523802~N4k:1/?n?535c7?_c02:q:57k5f;j=`<72-9j477k;o1b3?6<3`;?j7>5$2c;>42b3g9j;7>4;h37`?6=,:k36<:j;o1b3?7<3`;?o7>5$2c;>42b3g9j;7<4;h37f?6=,:k36<:j;o1b3?5<3`;?m7>5$2c;>42b3g9j;7:4;h37=?6=,:k36<:j;o1b3?3<3`;>57>5$2c;>42b3g9j;784;h36;7>5$2c;>42b3g9j;764;h361?6=,:k36<:j;o1b3??<3`;>87>5$2c;>42b3g9j;7o4;h367?6=,:k36<:j;o1b3?d<3`;>>7>5$2c;>42b3g9j;7m4;h365?6=,:k36<:j;o1b3?b<3`;><7>5$2c;>42b3g9j;7k4;h375;h314?6=3`9i57>5;h31g?6=3f;==7>5$2c;>4073g9j;7>4;n36b?6=,:k36<8?;o1b3?7<3f;>i7>5$2c;>4073g9j;7<4;n36`?6=,:k36<8?;o1b3?5<3f;>o7>5$2c;>4073g9j;7:4;n36f?6=,:k36<8?;o1b3?3<3f;=n7>5$2c;>4073g9j;784;n35e?6=,:k36<8?;o1b3?1<3f;=57>5$2c;>4073g9j;764;n353?6=,:k36<8?;o1b3??<3f;=:7>5$2c;>4073g9j;7o4;n351?6=,:k36<8?;o1b3?d<3f;=87>5$2c;>4073g9j;7m4;n357?6=,:k36<8?;o1b3?b<3f;=>7>5$2c;>4073g9j;7k4;n36e?6=,:k36<8?;o1b3?`<3k?jj7>51;294~"4k809=l5G3b`8L6e43f8:57>5;|`6f5<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb4`1>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e=k91<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl:b583>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg3e=3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn8l9:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a1g1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th>n54?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm5c`94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd2jj0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo;md;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f0db290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi9oh50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`6g5<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:c083><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>o?4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2k:0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6g1<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:c483><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>o;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2k>0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6g=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:c883><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>ol4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2kk0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6gf<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:ce83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>oh4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2ko0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6`5<72:0;6=u+3b3967><@:ii7E=l3:&164<0m2c9=n4?::k15a<722e8<>4?::p6g56290:=vP6e:?6g5o<474:?6g7o>474:?6g1o8474:?6g3o:474:?6g=o4474:?6gdoo474:?6gfoi474:?6g`ok474:p6g552909wS?;f:?6gc<6;81v?l<3;296~X6i70;lc;305>{t:k9=6=4={_37e>;2kk0:?<5rs3`03?6=:rT:84525bc9567;|q1f6?=838pR<;7;<7`o=n:181[72?27>o:4>309~w7d4j3:1>vP>549>1f0=9:;0q~7}Y9<>018m::012?xu5j:n1<75<5sW;>>63:c28274=z{;h8j7>52z\214=:=j81=>?4}r0a05<72;qU=8>4=4a2>4563ty9n9?50;0xZ42?34?h<7?<1:p6g252909wS=l7:?6`5<59j1v?l;3;2957}Y9;:018l?:003?83e93;9<63:b38265=:=k91=?>4=4`7>44734?i97?=0:?6f3<6:9169o95132890d?288;70;m9;314>;2jh0:>=525c`9576<5nh4>219>1g`=9;:018j?:33g?xu5j=>1<7<>{_31g>;2j90n463:b08f<>;2j;0n463:b28f<>;2j=0n463:b48f<>;2j?0n463:b68f<>;2j10n463:b88f<>;2jh0n463:bc8f<>;2jj0n463:be8f<>;2jl0n463:bg8f<>;2k90:>n525b3957e<5o94>2b9>1f3=9;i018m9:00`?83d?3;9o63:c9826f=:=j31=?m4=4ab>44d34?hn7?=c:?6gf<6:j169nj513a890eb288h70;lf;31g>{t:k>>6=4={_355>;2jo08mo5rs3`72?6=:rT:9k525cg97ddom;|q1f1>=838pR<;k;<7ag?5fj2wx>o:6:181[72k27>no4vP>5c9>1gg=;hh0q~7}Y9?h018l6:2ca?xu5j=i1<75<5sW;=563:b680eg=z{;h?i7>52z\222=:=k<1?ll4}r0a0c<72;qU=;84=4`6>6ge3ty9n8>50;0xZ40234?i87=nb:p6g362909wS?94:?6f6<4ik1v?l:2;296~X6>:169o<53``8yv4e=:0;6?uQ170890d62:ki7p}=b4694?4|V8?j70;m0;1bf>{t:k?>6=4<1z?6ec<590169n>5879>1f6=01169n>5889>1f7=0?169n?5899>1f7=00169n<5879>1f4=01169n<5889>1f5=0?169n=5899>1f5=00169n:5879>1f2=01169n:5889>1f3=0?169n;5899>1f3=00169n85879>1f0=01169n85889>1f1=0?169n95899>1f1=00169n65879>1f>=01169n65889>1f?=0?169n75899>1f?=00169no5879>1fg=01169no5889>1fd=0?169nl5899>1fd=00169nm5879>1fe=01169nm5889>1fb=0?169nj5899>1fb=00169nk5879>1fc=01169nk5889>1f`=0?169nh5899>1f`=001v?l:6;296~;2j90:?<525b297dd1g7=9:;018m>:2ca?xu5j<21<745634?h>7=nb:p6g3>2909w0;m3;305>;2k:08mo5rs3`6e?6=:r7>n94>309>1f2=;hh0q~7}:=k?1=>?4=4a6>6ge3ty9n8m50;0x90d1289:70;l6;1bf>{t:k?o6=4={<7a3?74927>o:4v3:b98274=:=j21?ll4}r0a1c<72;q69o75123890e>2:ki7p}=b7294?4|5;<7`e?5fj2wx>o8>:18183ej3;8=63:cc80eg=z{;h=>7>52z?6ff<6;8169nm53``8yv4e>:0;6?u25cf9567<5om;|q1f32=838p18lj:012?83dm39jn6s|2c46>5<5s4?ij7?<1:?6gc<4ik1v?l96;2954}:=j:1=?>4=4a2>44734?h>7?=0:?6g6<6:9169n:5132890e2288;70;l6;314>;2k>0:>=525b:9576<5oo4>219>1fe=9;:018mk:003?83dm3;9<63:cg8265=:=m:1?==4}|`6`4<72;?1>?4<6zJ0g6=#;j;1?9o;;[g4>6}613o1j7sf9d83>!5f033o7c=n7;28?l73n3:1(>o7:06f?k5f?3:07d?;d;29 6g?28>n7c=n7;38?l73k3:1(>o7:06f?k5f?3807d?;b;29 6g?28>n7c=n7;18?l73i3:1(>o7:06f?k5f?3>07d?;9;29 6g?28>n7c=n7;78?l7213:1(>o7:06f?k5f?3<07d?:8;29 6g?28>n7c=n7;58?l72?3:1(>o7:06f?k5f?3207d?:5;29 6g?28>n7c=n7;;8?l72<3:1(>o7:06f?k5f?3k07d?:3;29 6g?28>n7c=n7;`8?l72:3:1(>o7:06f?k5f?3i07d?:1;29 6g?28>n7c=n7;f8?l7283:1(>o7:06f?k5f?3o07d?;8;29 6g?28>n7c=n7;d8?l5d?3:17d?=0;29?l5e13:17d?=c;29?j7193:1(>o7:043?k5f?3:07b?:f;29 6g?28<;7c=n7;38?j72m3:1(>o7:043?k5f?3807b?:d;29 6g?28<;7c=n7;18?j72k3:1(>o7:043?k5f?3>07b?:b;29 6g?28<;7c=n7;78?j71j3:1(>o7:043?k5f?3<07b?9a;29 6g?28<;7c=n7;58?j7113:1(>o7:043?k5f?3207b?97;29 6g?28<;7c=n7;;8?j71>3:1(>o7:043?k5f?3k07b?95;29 6g?28<;7c=n7;`8?j71<3:1(>o7:043?k5f?3i07b?93;29 6g?28<;7c=n7;f8?j71:3:1(>o7:043?k5f?3o07b?:a;29 6g?28<;7c=n7;d8?g3c:3:1=7>50z&0g4<59h1C?nl4H2a0?j4613:17pl:d283>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg3c<3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn8j::187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a1a0=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th>h:4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm5ec94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd2lk0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo;kc;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f0bc290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi9ik50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`6`c<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb4g2>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e=l81<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl:e283><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>i94?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2m<0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6a3<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:e683><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>i54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2m00;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6ad<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:ec83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>in4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2mm0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6a`<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:eg83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>j=4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2n80;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6b7<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:f283>6<729q/?n?523:8L6ee3A9h?6*=2084a>o59j0;66g=1e83>>i48:0;66s|2c44>5<69rT2i63:e28;0>;2m=03863:e48;0>;2m?03863:e68;0>;2m103863:e88;0>;2mh03863:ec8;0>;2mj03863:ee8;0>;2ml03863:eg8;0>;2n903863:f08;0>;2n;0386s|2c4;>5<5sW;?j63:f38274=z{;h=57>52z\20a=:=o;1=>?4}r0a2d<72;qU=9m4=4d3>4563ty9n;l50;0xZ42e34?nj7?<1:p6g0d2909wS?;a:?6a`<6;81v?l9d;296~X6<0169hj51238yv4e>l0;6?uQ14;890cd289:7p}=b7d94?4|V8?370;jb;305>{t:k=;6=4={_363>;2mh0:?<5rs3`45?6=:rT:98525d;9567;|q1f25=838pR<;<;<7f3?7492wx>o9;:181[72:27>i;4>309~w7d0=3:1>vP>509>1`3=9:;0q~7}Y9<:018k;:012?xu5j>=1<75<5sW9h;63:f2815f=z{;h<57>513y]576<5h84>219>1a0=9;:018j8:003?83c03;9<63:d88265=:=mk1=?>4=4fa>44734?oo7?=0:?6`a<6:9169ik5132890ba288;70;j0;314>;2m80:>=525d09576<5h94j8:?6`0h;4j8:?6`2h54j8:?6`<hl4j8:?6`ghn4j8:?6`ahh4j8:?6`ci=4j8:?6a4i?4j8:?6a6<6:j169h:513a890c2288h70;j6;31g>;2m>0:>n525d:957e<5io4>2b9>1`e=9;i018kk:00`?83bm3;9o63:eg826f=:=o:1=?m4=4d2>44d34?m>7?=c:p6g1e2909wS?91:?6a7<4ik1v?l8c;296~X6=o169h?53``8yv4e?m0;6?uQ14g890c72:ki7p}=b6g94?4|V8?o70;kf;1bf>{t:k=m6=4={_36g>;2ll08mo5rs3`;4?6=:rT:9o525ef97ddom;|q1f=4=838pR<8n;<7gf?5fj2wx>o6<:181[71127>hl4vP>669>1a?=;hh0q~7}Y9?<018j7:2ca?xu5j1<1<75<5sW;=863:d780eg=z{;h347>52z\226=:=m?1?ll4}r0a<<<72;qU=;<4=4f7>6ge3ty9n5o50;0xZ43f34?o?7=nb:p6g>e2908=v3:d3815<=:=l914;525d19<==:=l9144525d69<3=:=l>145525d69<<=:=l?14;525d79<==:=l?144525d49<3=:=l<145525d49<<=:=l=14;525d59<==:=l=144525d:9<3=:=l2145525d:9<<=:=l314;525d;9<==:=l3144525dc9<3=:=lk145525dc9<<=:=lh14;525d`9<==:=lh144525da9<3=:=li145525da9<<=:=ln14;525df9<==:=ln144525dg9<3=:=lo145525dg9<<=:=ll14;525dd9<==:=ll144525g29<3=:=o:145525g29<<=:=o;14;525g39<==:=o;144525g09<3=:=o8145525g09<<=z{;h3o7>52z?6`6<6;8169h=53``8yv4e0m0;6?u25e69567<5om;|q1f=c=838p18j::012?83b=39jn6s|2c:e>5<5s4?o:7?<1:?6a3<4ik1v?l60;296~;2l>0:?<525d597dd1a>=9:;018k7:2ca?xu5j081<745634?n57=nb:p6g?42909w0;ka;305>;2mh08mo5rs3`:0?6=:r7>ho4>309>1`d=;hh0q~7}:=mi1=>?4=4g`>6ge3ty9n4850;0x90bc289:70;jd;1bf>{t:k3<6=4={<7ga?74927>ih403:1>v3:dg8274=:=ll1?ll4}r0a=<<72;q69h>5123890`72:ki7p}=b8c94?4|5;<7e5?5fj2wx>o7m:18183b:3;8=63:f380eg=z{;h2o7>510y>1`5=9;:018k;:003?83b=3;9<63:e78265=:=l=1=?>4=4g;>44734?n57?=0:?6ad<6:9169hl5132890cd288;70;jd;314>;2ml0:>=525dd9576<5j?4>219>1c5=;990qpl:f583>73=:;08:vF4;h37b?6=,:k36<:j;o1b3?6<3`;?h7>5$2c;>42b3g9j;7?4;h37g?6=,:k36<:j;o1b3?4<3`;?n7>5$2c;>42b3g9j;7=4;h37e?6=,:k36<:j;o1b3?2<3`;?57>5$2c;>42b3g9j;7;4;h36=?6=,:k36<:j;o1b3?0<3`;>47>5$2c;>42b3g9j;794;h363?6=,:k36<:j;o1b3?><3`;>97>5$2c;>42b3g9j;774;h360?6=,:k36<:j;o1b3?g<3`;>?7>5$2c;>42b3g9j;7l4;h366?6=,:k36<:j;o1b3?e<3`;>=7>5$2c;>42b3g9j;7j4;h364?6=,:k36<:j;o1b3?c<3`;?47>5$2c;>42b3g9j;7h4;h1`3?6=3`;9<7>5;h1a=?6=3`;9o7>5;n355?6=,:k36<8?;o1b3?6<3f;>j7>5$2c;>4073g9j;7?4;n36a?6=,:k36<8?;o1b3?4<3f;>h7>5$2c;>4073g9j;7=4;n36g?6=,:k36<8?;o1b3?2<3f;>n7>5$2c;>4073g9j;7;4;n35f?6=,:k36<8?;o1b3?0<3f;=m7>5$2c;>4073g9j;794;n35=?6=,:k36<8?;o1b3?><3f;=;7>5$2c;>4073g9j;774;n352?6=,:k36<8?;o1b3?g<3f;=97>5$2c;>4073g9j;7l4;n350?6=,:k36<8?;o1b3?e<3f;=?7>5$2c;>4073g9j;7j4;n356?6=,:k36<8?;o1b3?c<3f;>m7>5$2c;>4073g9j;7h4;c7e1?6=93:1m<;n02=?6=3th>j;4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm5g;94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd2nh0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo;ib;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f0`d290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi9kj50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`6b`<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb723>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e>9;1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl90383>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg07;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn;>;:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a253=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th=<;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd18>0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`54=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl90883><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd18k0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`54f<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl90e83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd18o0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`555<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl91083><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th==?4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd19:0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`551<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl91483><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th==;4?:283>5}#;j;1>?64H2aa?M5d;2.9><48e:k15f<722c9=i4?::m046<722wx>o7k:1825~X>m27=<;474:?542o7j:181[73n27==84>309~w7d>n3:1>vP>4e9>242=9:;0q~7}Y9=i01;?<:012?xu5jh;1<75<5sW;?m639108274=z{;hj?7>52z\20<=:>8:1=>?4}r0ae1<72;qU=874=72e>4563ty9nl;50;0xZ43?34<;i7?<1:p6gg12909wS?:7:?54a<6;81v?ln7;296~X6=<16:=m51238yv4ei10;6?uQ1468936e289:7p}=b`;94?4|V8?8708?a;305>{t:kkj6=4={_366>;1800:?<5rs3`bf?6=:rT:9<5261:9567;|q1fdb=838pR<:7;<432?7492wx>ooj:181[5d?27==;4=1b9~w7dfn3:1=?uQ132890`1288;70;i7;314>;2n10:>=525g;9576<5jn4>219>1cb=9;:018hj:003?83an3;9<639018265=:>9;1=?>4=721>44734<;?7?=0:?541<6:916:=;5132893712;;o7p}=bc294?46sW;9o63:f78f<>;2n>0n463:f98f<>;2n00n463:f`8f<>;2nk0n463:fb8f<>;2nm0n463:fd8f<>;2no0n4639018f<>;1880n4639038f<>;18:0n4639058f<>;18<0n463907826f=:>9=1=?m4=72;>44d34<;57?=c:?54d<6:j16:=l513a8936d288h708?d;31g>;18l0:>n5261d957e<5?;;6<2b9>245=9;i01;?;:00`?806=3;9o6s|2c`2>5<5sW;==6390480eg=z{;hi>7>52z\21c=:>9>1?ll4}r0af6<72;qU=8k4=720>6ge3ty9no:50;0xZ43c34<;>7=nb:p6gd22909wS?:c:?544<4ik1v?lm6;296~X6=k16:=>53``8yv4ej>0;6?uQ17`890`a2:ki7p}=bc:94?4|V8{t:kh26=4={_35=>;2nm08mo5rs3`ae?6=:rT:::525ga97ddom;|q1fge=838pR<8:;<7ee?5fj2wx>olk:181[71<27>j44vP>629>1c>=;hh0q~7}Y9?8018h8:2ca?xu5jj:1<739jn6s|2ca2>5<49r7>j84=189>250=0?16:=85899>250=0016:=95879>251=0116:=95889>25>=0?16:=65899>25>=0016:=75879>25?=0116:=75889>25g=0?16:=o5899>25g=0016:=l5879>25d=0116:=l5889>25e=0?16:=m5899>25e=0016:=j5879>25b=0116:=j5889>25c=0?16:=k5899>25c=0016:=h5879>25`=0116:=h5889>246=0?16:<>5899>246=0016:247=0116:244=0?16:<<5899>244=0016:<=5879>245=0116:<=5889>242=0?16:<:5899>242=0016:<;5879>243=0116:<;5889~w7dd:3:1>v3:f78274=:>9<1?ll4}r0ag6<72;q69k95123893602:ki7p}=bb694?4|5;<43om::18183a13;8=6390880eg=z{;hh:7>52z?6bd<6;816:=o53``8yv4ek>0;6?u25g`9567<5?:i6>om;|q1ff>=838p18hl:012?807k39jn6s|2ca:>5<5s4?mh7?<1:?54a<4ik1v?lla;296~;2nl0:?<5261g97dd1c`=9:;01;>i:2ca?xu5jji1<745634<:<7=nb:p6gec2909w08?1;305>;19808mo5rs3``a?6=:r7=309>244=;hh0q~7}:>991=>?4=730>6ge3ty9ni>50;0x9363289:708>4;1bf>{t:kn:6=4={<431?74927==84219>25g=9;:01;>m:003?807k3;9<6390e8265=:>9o1=?>4=72e>44734<:<7?=0:?554<6:916:<<513289374288;708>4;314>;19<0:>=526049755rB8o>5+3b3971g33So<6>u>9;g9b?{n1l0;6)=n8;;g?k5f?3:07d?;f;29 6g?28>n7c=n7;28?l73l3:1(>o7:06f?k5f?3;07d?;c;29 6g?28>n7c=n7;08?l73j3:1(>o7:06f?k5f?3907d?;a;29 6g?28>n7c=n7;68?l7313:1(>o7:06f?k5f?3?07d?:9;29 6g?28>n7c=n7;48?l7203:1(>o7:06f?k5f?3=07d?:7;29 6g?28>n7c=n7;:8?l72=3:1(>o7:06f?k5f?3307d?:4;29 6g?28>n7c=n7;c8?l72;3:1(>o7:06f?k5f?3h07d?:2;29 6g?28>n7c=n7;a8?l7293:1(>o7:06f?k5f?3n07d?:0;29 6g?28>n7c=n7;g8?l7303:1(>o7:06f?k5f?3l07d=l7;29?l7583:17d=m9;29?l75k3:17b?91;29 6g?28<;7c=n7;28?j72n3:1(>o7:043?k5f?3;07b?:e;29 6g?28<;7c=n7;08?j72l3:1(>o7:043?k5f?3907b?:c;29 6g?28<;7c=n7;68?j72j3:1(>o7:043?k5f?3?07b?9b;29 6g?28<;7c=n7;48?j71i3:1(>o7:043?k5f?3=07b?99;29 6g?28<;7c=n7;:8?j71?3:1(>o7:043?k5f?3307b?96;29 6g?28<;7c=n7;c8?j71=3:1(>o7:043?k5f?3h07b?94;29 6g?28<;7c=n7;a8?j71;3:1(>o7:043?k5f?3n07b?92;29 6g?28<;7c=n7;g8?j72i3:1(>o7:043?k5f?3l07o8>8;295?6=8r.8o<4=1`9K7fd<@:i87b<>9;29?xd1900;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo8>a;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f37e290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi:N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`55a<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb73e>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e>;:1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl92083>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg05:3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn;<<:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a272=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th=>84?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm63:94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd1:00;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`56d<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl92c83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=>n4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1:m0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`56`<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl92g83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=?=4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1;80;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`577<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl93283><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=?94?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1;<0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`573<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl93683><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=?54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1;00;6>4?:1y'7f7=:;20D>mm;I1`7>"5:80>o59m0;66a<0283>>{t:kn86=4>1z\:a>;1:00386392`8;0>;1:k0386392b8;0>;1:m0386392d8;0>;1:o038639318;0>;1;8038639338;0>;1;:038639358;0>;1;<038639378;0>;1;>038639398;0>{t:kn?6=4={_37b>;1;10:?<5rs3`g1?6=:rT:8i526259567;|q1fa1=838pR<:m;<401?7492wx>oj7:181[73i27=?94>309~w7dc13:1>vP>489>265=9:;0q~7}Y9<301;==:012?xu5jmh1<75<5sW;>;639318274=z{;hoh7>52z\210=:>;l1=>?4}r0a``<72;qU=8:4=70f>4563ty9nih50;0xZ43434<9h7?<1:p6gc72909wS?:2:?56f<6;81v?lj1;296~X6=816:?l51238yv4em;0;6?uQ1428934f289:7p}=bd194?4|V8>3708=9;305>{t:ko?6=4={_1`3>;1;009=n5rs3`f1?6=9;qU=?>4=73:>44734<:m7?=0:?55g<6:916:e;314>;19o0:>=526329576<5?8:6<>4>219>272=9;:01;<::003?805>3;9<639268265=:>;21=?>4=71:>77c3ty9nh850;02[75k27==44j8:?55d<4j8:?567>4j8:?56184j8:?563:4j8:?56=44>2b9>27g=9;i01;;o1=?m4=70e>44d34<8<7?=c:?574<6:j16:><513a89354288h708<4;31g>;1;<0:>n52624957e<5?9<6<ok8:181[71927=>54vP>5g9>271=;hh0q~7}Y95<5sW;>o6392580eg=z{;hno7>52z\21g=:>;91?ll4}r0aaa<72;qU=;l4=701>6ge3ty9nhk50;0xZ40f34<9=7=nb:p6gca2909wS?99:?565<4ik1v?li0;296~X6>>16:708>d;1bf>{t:kl86=4={_350>;19j08mo5rs3`e0?6=:rT::>5260`97ddom;|q1fc0=838pR<;n;<42=?5fj2wx>oh8:1805~;19109=45263;9<3=:>;31455263;9<<=:>;k14;5263c9<==:>;k1445263`9<3=:>;h1455263`9<<=:>;i14;5263a9<==:>;i1445263f9<3=:>;n1455263f9<<=:>;o14;5263g9<==:>;o1445263d9<3=:>;l1455263d9<<=:>::14;526229<==:>::144526239<3=:>:;145526239<<=:>:814;526209<==:>:8144526219<3=:>:9145526219<<=:>:>14;526269<==:>:>144526279<3=:>:?145526279<<=:>:<14;526249<==:>:<144526259<3=:>:=145526259<<=:>:214;5262:9<==:>:21445rs3`e309>27?=;hh0q~7}:>8k1=>?4=70b>6ge3ty9nko50;0x937e289:708=b;1bf>{t:kli6=4={<42g?74927=>n4v391e8274=:>;n1?ll4}r0aba<72;q6:;<41b?5fj2wx>ohi:18180583;8=6393180eg=z{;i;<7>52z?564<6;816:>?53``8yv4d880;6?u26309567<5?996>om;|q1g54=838p1;<<:012?804;39jn6s|2b20>5<5s4<987?<1:?571<4ik1v?m?4;296~;1:<0:?<5262797dd270=9:;01;=9:2ca?xu5k9<1<745634<8;7=nb:p6f602909w08=8;305>;1;108mo5rs3a3;1:j0:>=5263f9576<5?8n6<219>267=9;:01;==:003?804;3;9<639358265=:>:?1=?>4=715>44734<8;7?=0:?57=<6:916:>753118yxd1;h0;6?;523802~N4k:1/?n?535c7?_c02:q:57k5f;j=`<72-9j477k;o1b3?6<3`;?j7>5$2c;>42b3g9j;7>4;h37`?6=,:k36<:j;o1b3?7<3`;?o7>5$2c;>42b3g9j;7<4;h37f?6=,:k36<:j;o1b3?5<3`;?m7>5$2c;>42b3g9j;7:4;h37=?6=,:k36<:j;o1b3?3<3`;>57>5$2c;>42b3g9j;784;h36;7>5$2c;>42b3g9j;764;h361?6=,:k36<:j;o1b3??<3`;>87>5$2c;>42b3g9j;7o4;h367?6=,:k36<:j;o1b3?d<3`;>>7>5$2c;>42b3g9j;7m4;h365?6=,:k36<:j;o1b3?b<3`;><7>5$2c;>42b3g9j;7k4;h375;h314?6=3`9i57>5;h31g?6=3f;==7>5$2c;>4073g9j;7>4;n36b?6=,:k36<8?;o1b3?7<3f;>i7>5$2c;>4073g9j;7<4;n36`?6=,:k36<8?;o1b3?5<3f;>o7>5$2c;>4073g9j;7:4;n36f?6=,:k36<8?;o1b3?3<3f;=n7>5$2c;>4073g9j;784;n35e?6=,:k36<8?;o1b3?1<3f;=57>5$2c;>4073g9j;764;n353?6=,:k36<8?;o1b3??<3f;=:7>5$2c;>4073g9j;7o4;n351?6=,:k36<8?;o1b3?d<3f;=87>5$2c;>4073g9j;7m4;n357?6=,:k36<8?;o1b3?b<3f;=>7>5$2c;>4073g9j;7k4;n36e?6=,:k36<8?;o1b3?`<3k<8n7>51;294~"4k809=l5G3b`8L6e43f8:57>5;|`57f<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb71f>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e>:l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl94183>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg0393:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn;:=:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a215=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th=894?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4=6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm65594?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd1<10;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo8;9;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f32f290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi:9l50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`50f<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl94e83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=8h4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`515<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl95083><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=9?4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1=:0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`511<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl95483><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=9;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1=>0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`51=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl95883><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=9l4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1=k0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`51f<72:0;6=u+3b3967><@:ii7E=l3:&164<0m2c9=n4?::k15a<722e8<>4?::p6f6>290:=vP6e:?50f474:?511289:7p}=c1f94?4|V8>i708:8;305>{t:j:n6=4={_37e>;1=>0:?<5rs3a3b?6=:rT:845264495676<=>;|q1g47=838pR<;7;<460?7492wx>n?=:181[72?27=9>4>309~w7e6;3:1>vP>549>204=9:;0q~7}Y9<>01;;>:012?xu5k8?1<75<5sW;>>6394g8274=z{;i:;7>52z\214=:>=o1=>?4}r0`5=<72;qU=8>4=76g>4563ty9o<750;0xZ42?34b;2957}Y9;:01;=l:003?804l3;9<6393d8265=:>:l1=?>4=763>44734;1=526559576<5?>36<219>21d=9;:01;;l:33g?xu5k8i1<7<>{_31g>;1;j0n46393e8f<>;1;l0n46393g8f<>;1<90n4639408f<>;1<;0n4639428f<>;1<=0n4639448f<>;1;1<10n4639488f<>;1;1n5265f957e<5?>n6<2b9>207=9;i01;;=:00`?802;3;9o63955826f=:>44d34<>;7?=c:?51=<6:j16:87513a8933f288h708:b;31g>{t:j;o6=4={_355>;126>om;|q1g76=838pR<;k;<47n<>:181[72k27=8:4vP>5c9>210=;hh0q~7}Y9?h01;:::2ca?xu5k;>1<75<5sW;=56394280eg=z{;i9:7>52z\222=:>=81?ll4}r0`62<72;qU=;84=762>6ge3ty9o?650;0xZ402342909wS?94:?57c<4ik1v?m=a;296~X6>:16:>k53``8yv4d:k0;6?uQ1708935c2:ki7p}=c3a94?4|V8?j708{t:j8o6=4<1z?57g<59016:9m5879>21e=0116:9m5889>21b=0?16:9j5899>21b=0016:9k5879>21c=0116:9k5889>21`=0?16:9h5899>21`=0016:8>5879>206=0116:8>5889>207=0?16:8?5899>207=0016:8<5879>204=0116:8<5889>205=0?16:8=5899>205=0016:8:5879>202=0116:8:5889>203=0?16:8;5899>203=0016:885879>200=0116:885889>201=0?16:895899>201=0016:865879>20>=0116:865889>20?=0?16:875899>20?=0016:8o5879>20g=0116:8o5889>20d=0?16:8l5899>20d=001v?m=e;296~;1;j0:?<5265a97ddk4?:3y>26b=9:;01;:k:2ca?xu5k::1<745634;1309>206=;hh0q~7}:>=;1=>?4=772>6ge3ty9o>:50;0x9325289:708:2;1bf>{t:j9>6=4={<477?74927=9>43:1>v39458274=:><>1?ll4}r0`72<72;q6:9;5123893322:ki7p}=c2:94?4|5?>=6<=>;<462?5fj2wx>n=6:181803?3;8=6395680eg=z{;i8m7>52z?50=<6;816:8653``8yv4d;k0;6?u265;9567<5??26>om;|q1g6e=838p1;:n:012?802i39jn6s|2b1g>5<5s4=i1=?>4=76g>44734513289336288;708:2;314>;1=:0:>=526469576<5??>6<219>20>=9;:01;;6:003?802i3;9<6395c8265=:>?4<6zJ0g6=#;j;1?9o;;[g4>6}613o1j7sf9d83>!5f033o7c=n7;28?l73n3:1(>o7:06f?k5f?3:07d?;d;29 6g?28>n7c=n7;38?l73k3:1(>o7:06f?k5f?3807d?;b;29 6g?28>n7c=n7;18?l73i3:1(>o7:06f?k5f?3>07d?;9;29 6g?28>n7c=n7;78?l7213:1(>o7:06f?k5f?3<07d?:8;29 6g?28>n7c=n7;58?l72?3:1(>o7:06f?k5f?3207d?:5;29 6g?28>n7c=n7;;8?l72<3:1(>o7:06f?k5f?3k07d?:3;29 6g?28>n7c=n7;`8?l72:3:1(>o7:06f?k5f?3i07d?:1;29 6g?28>n7c=n7;f8?l7283:1(>o7:06f?k5f?3o07d?;8;29 6g?28>n7c=n7;d8?l5d?3:17d?=0;29?l5e13:17d?=c;29?j7193:1(>o7:043?k5f?3:07b?:f;29 6g?28<;7c=n7;38?j72m3:1(>o7:043?k5f?3807b?:d;29 6g?28<;7c=n7;18?j72k3:1(>o7:043?k5f?3>07b?:b;29 6g?28<;7c=n7;78?j71j3:1(>o7:043?k5f?3<07b?9a;29 6g?28<;7c=n7;58?j7113:1(>o7:043?k5f?3207b?97;29 6g?28<;7c=n7;;8?j71>3:1(>o7:043?k5f?3k07b?95;29 6g?28<;7c=n7;`8?j71<3:1(>o7:043?k5f?3i07b?93;29 6g?28<;7c=n7;f8?j71:3:1(>o7:043?k5f?3o07b?:a;29 6g?28<;7c=n7;d8?g02m3:1=7>50z&0g4<59h1C?nl4H2a0?j4613:17pl95g83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg0183:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn;8>:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a234=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th=:>4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?46=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm67494?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd1>>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo898;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f30>290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi:;o50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`52g<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb74g>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e>?o1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl96g83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=;=4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1?80;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`537<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl97283><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=;94?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1?<0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`533<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl97683><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=;54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1?00;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`53d<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl97c83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=;n4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1?m0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`53`<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl97g83>6<729q/?n?523:8L6ee3A9h?6*=2084a>o59j0;66g=1e83>>i48:0;66s|2b1e>5<69rT2i6396g8;0>;1?9038639708;0>;1?;038639728;0>;1?=038639748;0>;1??038639768;0>;1?1038639788;0>;1?h0386397c8;0>;1?j0386397e8;0>;1?l0386s|2b63>5<5sW;?j6397d8274=z{;i?=7>52z\20a=:>>n1=>?4}r0`07<72;qU=9m4=75`>4563ty9o9=50;0xZ42e34<{t:j>36=4={_363>;1??0:?<5rs3a7=?6=:rT:98526679567;|q1g1d=838pR<;<;<447?7492wx>n:l:181[72:27=;?4>309~w7e3l3:1>vP>509>227=9:;0q~7}Y9<:01;9?:012?xu5k=l1<75<5sW9h;6397g815f=z{;i>=7>513y]576<5??m6<219>234=9;:01;8<:003?801<3;9<639648265=:>?<1=?>4=744>44734<=47?=0:?52<<6:916:;o51328930e288;7089c;314>;1>m0:>=5267g9576<5?=m6??k;|q1g04=838:wS?=c:?51c513a89316288h70882;31g>;1?:0:>n52666957e<5?=>6<2b9>22>=9;i01;96:00`?800i3;9o6397c826f=:>>i1=?m4=75g>44d34<{t:j?<6=4={_36g>;1>h08mo5rs3a6om;|q1g0g=838pR<8n;<453?5fj2wx>n;m:181[71127=:;4vP>669>233=;hh0q~7}Y9?<01;8;:2ca?xu5k5<5sW;=86396380eg=z{;i=<7>52z\226=:>?;1?ll4}r0`24<72;qU=;<4=743>6ge3ty9o;<50;0xZ43f34<>j7=nb:p6f042908=v395d815<=:>?l14;5267d9<==:>?l144526629<3=:>>:145526629<<=:>>;14;526639<==:>>;144526609<3=:>>8145526609<<=:>>914;526619<==:>>9144526669<3=:>>>145526669<<=:>>?14;526679<==:>>?144526649<3=:>><145526649<<=:>>=14;526659<==:>>=1445266:9<3=:>>21455266:9<<=:>>314;5266;9<==:>>31445266c9<3=:>>k1455266c9<<=:>>h14;5266`9<==:>>h1445266a9<3=:>>i1455266a9<<=:>>n14;5266f9<==:>>n1445266g9<3=:>>o1455266g9<<=z{;i=87>52z?51c<6;816:;h53``8yv4d><0;6?u26729567<5?=;6>om;|q1g30=838p1;8>:012?800939jn6s|2b44>5<5s4<=>7?<1:?537<4ik1v?m98;296~;1>:0:?<5266197dd232=9:;01;9;:2ca?xu5k?k1<745634<<97=nb:p6f0e2909w0896;305>;1??08mo5rs3a5g?6=:r7=::4>309>221=;hh0q~7}:>?21=>?4=75;>6ge3ty9o;k50;0x930>289:70889;1bf>{t:jv396c8274=:>>h1?ll4}r0`34<72;q6:;m51238931d2:ki7p}=c6094?4|5?;<44`?5fj2wx>n9<:181801m3;8=6397d80eg=z{;i<87>510y>23`=9;:01;9?:003?80093;9<639738265=:>>91=?>4=757>44734<<97?=0:?533<6:916::951328931?288;70889;314>;1?h0:>=5266`9576<5?=h6<219>22`=;990qpl98183>73=:;08:vF4;h37b?6=,:k36<:j;o1b3?6<3`;?h7>5$2c;>42b3g9j;7?4;h37g?6=,:k36<:j;o1b3?4<3`;?n7>5$2c;>42b3g9j;7=4;h37e?6=,:k36<:j;o1b3?2<3`;?57>5$2c;>42b3g9j;7;4;h36=?6=,:k36<:j;o1b3?0<3`;>47>5$2c;>42b3g9j;794;h363?6=,:k36<:j;o1b3?><3`;>97>5$2c;>42b3g9j;774;h360?6=,:k36<:j;o1b3?g<3`;>?7>5$2c;>42b3g9j;7l4;h366?6=,:k36<:j;o1b3?e<3`;>=7>5$2c;>42b3g9j;7j4;h364?6=,:k36<:j;o1b3?c<3`;?47>5$2c;>42b3g9j;7h4;h1`3?6=3`;9<7>5;h1a=?6=3`;9o7>5;n355?6=,:k36<8?;o1b3?6<3f;>j7>5$2c;>4073g9j;7?4;n36a?6=,:k36<8?;o1b3?4<3f;>h7>5$2c;>4073g9j;7=4;n36g?6=,:k36<8?;o1b3?2<3f;>n7>5$2c;>4073g9j;7;4;n35f?6=,:k36<8?;o1b3?0<3f;=m7>5$2c;>4073g9j;794;n35=?6=,:k36<8?;o1b3?><3f;=;7>5$2c;>4073g9j;774;n352?6=,:k36<8?;o1b3?g<3f;=97>5$2c;>4073g9j;7l4;n350?6=,:k36<8?;o1b3?e<3f;=?7>5$2c;>4073g9j;7j4;n356?6=,:k36<8?;o1b3?c<3f;>m7>5$2c;>4073g9j;7h4;c4;5?6=93:1m<;n02=?6=3th=4?4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm69794?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd10?0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo877;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f3>?290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi:5750;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`55<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb7:`>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e>1n1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl98d83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg0?n3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn;7?:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a2<7=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th=5?4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd11:0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`5=1<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl99483><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=5;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd11>0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`5==<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl99883><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=5l4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd11k0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`5=f<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl99e83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=5h4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd11o0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`5e5<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl9a083><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=m?4?:283>5}#;j;1>?64H2aa?M5d;2.9><48e:k15f<722c9=i4?::m046<722wx>n9::1825~X>m27=5?474:?5=6n99:181[73n27=m<4>309~w7e0?3:1>vP>4e9>2d6=9:;0q~7}Y9=i01;7i:012?xu5k>31<7m3;8=6s|2b5b>5<5sW;?m6399e8274=z{;i52z\20<=:>0i1=>?4}r0`3f<72;qU=874=7;a>4563ty9o:j50;0xZ43?34<2m7?<1:p6f1b2909wS?:7:?5=<<6;81v?m8f;296~X6=<16:4651238yv4d090;6?uQ146893?0289:7p}=c9394?4|V8?870866;305>{t:j296=4={_366>;11<0:?<5rs3a;7?6=:rT:9<526869567;|q1g=3=838pR<:7;<4:6?7492wx>n69:181[5d?27=m?4=1b9~w7e??3:1=?uQ132893>5288;70873;314>;10=0:>=526979576<5?2=6<219>2=?=9;:01;6n:003?80?j3;9<6398b8265=:>1n1=?>4=7:f>44734<3j7?=0:?5=5<6:916:4?5132893g52;;o7p}=c9:94?46sW;9o639838f<>;10:0n4639858f<>;10<0n4639878f<>;10>0n4639898f<>;1000n46398`8f<>;10k0n46398b8f<>;10m0n46398d8f<>;10o0n4639918f<>;1180n463993826f=:>091=?m4=7;7>44d34<297?=c:?5=3<6:j16:49513a893??288h70869;31g>;11h0:>n5268`957e<5?3h6<2b9>2<`=9;i01;o?:00`?80f93;9o6s|2b::>5<5sW;==6399080eg=z{;i3m7>52z\21c=:>0:1?ll4}r0`6ge3ty9o5m50;0xZ43c34<3i7=nb:p6f>c2909wS?:c:?5e2:ki7p}=c8294?4|V8{t:j3:6=4={_35=>;10008mo5rs3a:6?6=:rT:::5269:97dd4?:3y]530<5?2<6>om;|q1g<2=838pR<8:;<4;2?5fj2wx>n7::181[71<27=484>3:1>vP>629>2=2=;hh0q~7}Y9?801;6<:2ca?xu5k021<75<49r7=4<4=189>2<4=0?16:4<5899>2<4=0016:4=5879>2<5=0116:4=5889>2<2=0?16:4:5899>2<2=0016:4;5879>2<3=0116:4;5889>2<0=0?16:485899>2<0=0016:495879>2<1=0116:495889>2<>=0?16:465899>2<>=0016:475879>2222222222<`=0116:4h5889>2d6=0?16:l>5899>2d6=0016:l?5879>2d7=0116:l?5889~w7e>i3:1>v39838274=:>081?ll4}r0`=g<72;q6:5=5123893?42:ki7p}=c8a94?4|5?2?6<=>;<4:0?5fj2wx>n7k:18180?=3;8=6399480eg=z{;i2i7>52z?5<3<6;816:4853``8yv4d1o0;6?u26959567<5?3<6>om;|q1gd6=838p1;67:012?80>039jn6s|2bc2>5<5s4<357?<1:?5=<<4ik1v?mn2;296~;10h0:?<5268c97dd4?:3y>2=d=9:;01;7m:2ca?xu5kh>1<745634<2o7=nb:p6fg22909w087d;305>;11m08mo5rs3ab2?6=:r7=4h4>309>27}:>1l1=>?4=7;e>6ge3ty9ol650;0x93?7289:708n0;1bf>{t:jk26=4={<4:5?74927=m<4219>2<0=9;:01;78:003?80>03;9<639988265=:>0k1=?>4=7;a>44734<2o7?=0:?5=a<6:916:4k5132893?a288;708n0;314>;1i80:>=526`097554?:37967<4>rB8o>5+3b3971g33So<6>u>9;g9b?{n1l0;6)=n8;;g?k5f?3:07d?;f;29 6g?28>n7c=n7;28?l73l3:1(>o7:06f?k5f?3;07d?;c;29 6g?28>n7c=n7;08?l73j3:1(>o7:06f?k5f?3907d?;a;29 6g?28>n7c=n7;68?l7313:1(>o7:06f?k5f?3?07d?:9;29 6g?28>n7c=n7;48?l7203:1(>o7:06f?k5f?3=07d?:7;29 6g?28>n7c=n7;:8?l72=3:1(>o7:06f?k5f?3307d?:4;29 6g?28>n7c=n7;c8?l72;3:1(>o7:06f?k5f?3h07d?:2;29 6g?28>n7c=n7;a8?l7293:1(>o7:06f?k5f?3n07d?:0;29 6g?28>n7c=n7;g8?l7303:1(>o7:06f?k5f?3l07d=l7;29?l7583:17d=m9;29?l75k3:17b?91;29 6g?28<;7c=n7;28?j72n3:1(>o7:043?k5f?3;07b?:e;29 6g?28<;7c=n7;08?j72l3:1(>o7:043?k5f?3907b?:c;29 6g?28<;7c=n7;68?j72j3:1(>o7:043?k5f?3?07b?9b;29 6g?28<;7c=n7;48?j71i3:1(>o7:043?k5f?3=07b?99;29 6g?28<;7c=n7;:8?j71?3:1(>o7:043?k5f?3307b?96;29 6g?28<;7c=n7;c8?j71=3:1(>o7:043?k5f?3h07b?94;29 6g?28<;7c=n7;a8?j71;3:1(>o7:043?k5f?3n07b?92;29 6g?28<;7c=n7;g8?j72i3:1(>o7:043?k5f?3l07o8n4;295?6=8r.8o<4=1`9K7fd<@:i87b<>9;29?xd1i<0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo8n6;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f3g0290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi:l650;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`5e<<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb7ca>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e>hi1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl9ae83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg0fm3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn;oi:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a2g6=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th=n<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm6c694?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd1j<0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`5f3<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl9b683><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=n54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1j00;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`5fd<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl9bc83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=nn4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1jm0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`5f`<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl9bg83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=o=4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1k80;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`5g7<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl9c283><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=o94?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1k<0;6>4?:1y'7f7=:;20D>mm;I1`7>"5:80>o59m0;66a<0283>>{t:jki6=4>1z\:a>;1j<038639b78;0>;1j>038639b98;0>;1j0038639b`8;0>;1jk038639bb8;0>;1jm038639bd8;0>;1jo038639c18;0>;1k8038639c38;0>;1k:038639c58;0>{t:jkh6=4={_37b>;1k=0:?<5rs3ab`?6=:rT:8i526b19567;|q1gd`=838pR<:m;<4`5?7492wx>nl?:181[73i27=o=4>309~w7ee93:1>vP>489>2g`=9:;0q~7}Y9<301;lj:012?xu5kk91<75<5sW;>;639bb8274=z{;ii97>52z\210=:>kh1=>?4}r0`f3<72;qU=8:4=7`b>4563ty9oo950;0xZ434343708m5;305>{t:jhh6=4={_1`3>;1k<09=n5rs3aa`?6=9;qU=?>4=7c6>44734288;708na;314>;1ik0:>=526`a9576<5?ko6<219>2g6=9;:01;l>:003?80e:3;9<639b28265=:>k>1=?>4=7a6>77c3ty9ook50;02[75k27=m84j8:?5e34j8:?5f12b9>2g0=9;i01;l8:00`?80e03;9o639b8826f=:>kk1=?m4=7`a>44d34;1k80:>n526b0957e<5?i86<nli:181[71927=n94vP>5g9>2g5=;hh0q~7}Y95<5sW;>o639b180eg=z{;ih87>52z\21g=:>hl1?ll4}r0`g0<72;qU=;l4=7cf>6ge3ty9on850;0xZ40f34>16:ll53``8yv4dk00;6?uQ174893gf2:ki7p}=cbc94?4|V8<>708n9;1bf>{t:jii6=4={_350>;1i108mo5rs3a`g?6=:rT::>526`597ddom;|q1gfc=838pR<;n;<4b1?5fj2wx>nmi:1805~;1i=09=4526c79<3=:>k?145526c79<<=:>k<14;526c49<==:>k<144526c59<3=:>k=145526c59<<=:>k214;526c:9<==:>k2144526c;9<3=:>k3145526c;9<<=:>kk14;526cc9<==:>kk144526c`9<3=:>kh145526c`9<<=:>ki14;526ca9<==:>ki144526cf9<3=:>kn145526cf9<<=:>ko14;526cg9<==:>ko144526cd9<3=:>kl145526cd9<<=:>j:14;526b29<==:>j:144526b39<3=:>j;145526b39<<=:>j814;526b09<==:>j8144526b19<3=:>j9145526b19<<=:>j>14;526b69<==:>j>1445rs3ag4?6=:r7=m84>309>2g3=;hh0q~7}:>h<1=>?4=7`5>6ge3ty9oi<50;0x93g0289:708m7;1bf>{t:jn86=4={<4bv39a88274=:>k31?ll4}r0``0<72;q6:lo5123893df2:ki7p}=ce494?4|5?ki6<=>;<4af?5fj2wx>nj8:18180fk3;8=639bb80eg=z{;io47>52z?5ea<6;816:oj53``8yv4dl00;6?u26`g9567<5?hn6>om;|q1gag=838p1;oi:012?80en39jn6s|2bfa>5<5s42g4=9:;01;m=:2ca?xu5kmo1<745634;1k=08mo5rs3af4?6=98q6:o;5132893d1288;708m7;314>;1j10:>=526c;9576<5?hj6<219>2gb=9;:01;lj:003?80en3;9<639c18265=:>j;1=?>4=7a1>447345$2c;>42b3g9j;7>4;h37`?6=,:k36<:j;o1b3?7<3`;?o7>5$2c;>42b3g9j;7<4;h37f?6=,:k36<:j;o1b3?5<3`;?m7>5$2c;>42b3g9j;7:4;h37=?6=,:k36<:j;o1b3?3<3`;>57>5$2c;>42b3g9j;784;h36;7>5$2c;>42b3g9j;764;h361?6=,:k36<:j;o1b3??<3`;>87>5$2c;>42b3g9j;7o4;h367?6=,:k36<:j;o1b3?d<3`;>>7>5$2c;>42b3g9j;7m4;h365?6=,:k36<:j;o1b3?b<3`;><7>5$2c;>42b3g9j;7k4;h375;h314?6=3`9i57>5;h31g?6=3f;==7>5$2c;>4073g9j;7>4;n36b?6=,:k36<8?;o1b3?7<3f;>i7>5$2c;>4073g9j;7<4;n36`?6=,:k36<8?;o1b3?5<3f;>o7>5$2c;>4073g9j;7:4;n36f?6=,:k36<8?;o1b3?3<3f;=n7>5$2c;>4073g9j;784;n35e?6=,:k36<8?;o1b3?1<3f;=57>5$2c;>4073g9j;764;n353?6=,:k36<8?;o1b3??<3f;=:7>5$2c;>4073g9j;7o4;n351?6=,:k36<8?;o1b3?d<3f;=87>5$2c;>4073g9j;7m4;n357?6=,:k36<8?;o1b3?b<3f;=>7>5$2c;>4073g9j;7k4;n36e?6=,:k36<8?;o1b3?`<3k51;294~"4k809=l5G3b`8L6e43f8:57>5;|`5g=<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb7ab>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e>jh1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl9cb83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg0dl3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn;mj:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a2f`=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th=h=4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm6e194?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd1l=0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo8k5;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f3b1290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi:i950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`5`=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl9d883><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=hl4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1lk0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`5`f<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl9de83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=hh4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1lo0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`5a5<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl9e083><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=i?4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1m:0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`5a1<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl9e483><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=i;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1m>0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`5a=<72:0;6=u+3b3967><@:ii7E=l3:&164<0m2c9=n4?::k15a<722e8<>4?::p6fc6290:=vP6e:?5`=474:?5a1i708j4;305>{t:jo=6=4={_37e>;1m:0:?<5rs3af3?6=:rT:84526d09567;|q1g`?=838pR<;7;<4f4?7492wx>nkn:181[72?27=hk4>309~w7ebj3:1>vP>549>2ac=9:;0q~7}Y9<>01;jk:012?xu5kln1<75<5sW;>>639dc8274=z{;inj7>52z\214=:>mk1=>?4}r0`b5<72;qU=8>4=7f:>4563ty9ok?50;0xZ42?34jh1=?>4=7a`>44734;1l;0:>=526e19576<5?n?6<219>2a1=9;:01;k7:33g?xu5ko>1<7<>{_31g>;1k10n4639c88f<>;1kh0n4639cc8f<>;1kj0n4639ce8f<>;1kl0n4639cg8f<>;1l90n4639d08f<>;1l;0n4639d28f<>;1l=0n4639d48f<>;1l?0n4639d68f<>;1l10:>n526e;957e<5?nj6<2b9>2ab=9;i01;jj:00`?80cn3;9o639e1826f=:>l;1=?m4=7g1>44d34{t:jl>6=4={_355>;1l>08mo5rs3ae2?6=:rT:9k526e497dd6>om;|q1gc>=838pR<;k;<4g0?5fj2wx>nh6:181[72k27=h>4vP>5c9>2a4=;hh0q~7}Y9?h01;j>:2ca?xu5koi1<75<5sW;=5639cg80eg=z{;imi7>52z\222=:>jo1?ll4}r0`bc<72;qU=;84=7ag>6ge3ty9h=>50;0xZ40234:16:no53``8yv4c8:0;6?uQ170893e>2:ki7p}=d1694?4|V8?j708l8;1bf>{t:m:>6=4<1z?5g2<59016:i65879>2a>=0116:i65889>2a?=0?16:i75899>2a?=0016:io5879>2ag=0116:io5889>2ad=0?16:il5899>2ad=0016:im5879>2ae=0116:im5889>2ab=0?16:ij5899>2ab=0016:ik5879>2ac=0116:ik5889>2a`=0?16:ih5899>2a`=0016:h>5879>2`6=0116:h>5889>2`7=0?16:h?5899>2`7=0016:h<5879>2`4=0116:h<5889>2`5=0?16:h=5899>2`5=0016:h:5879>2`2=0116:h:5889>2`3=0?16:h;5899>2`3=0016:h85879>2`0=0116:h85889>2`1=0?16:h95899>2`1=001v?j?6;296~;1k10:?<526e:97dd2f?=9:;01;j6:2ca?xu5l921<7456342909w08lb;305>;1lk08mo5rs3f3e?6=:r7=on4>309>2ae=;hh0q~7}:>jn1=>?4=7fg>6ge3ty9h=m50;0x93eb289:708ke;1bf>{t:m:o6=4={<4`b?74927=hk4v39d18274=:>l:1?ll4}r0g4c<72;q6:i?5123893c62:ki7p}=d0294?4|5?n96<=>;<4f6?5fj2wx>i?>:18180c;3;8=639e280eg=z{;n:>7>52z?5`1<6;816:h:53``8yv4c9:0;6?u26e79567<5?o>6>om;|q1`42=838p1;j9:012?80b>39jn6s|2e36>5<5s46;2954}:>m21=?>4=7f:>44734;1lo0:>=526d29576<5?o:6<4>219>2`2=9;:01;k::003?80b>3;9<639e68265=:>l21?==4}|`5a<<72;?1>?4<6zJ0g6=#;j;1?9o;;[g4>6}613o1j7sf9d83>!5f033o7c=n7;28?l73n3:1(>o7:06f?k5f?3:07d?;d;29 6g?28>n7c=n7;38?l73k3:1(>o7:06f?k5f?3807d?;b;29 6g?28>n7c=n7;18?l73i3:1(>o7:06f?k5f?3>07d?;9;29 6g?28>n7c=n7;78?l7213:1(>o7:06f?k5f?3<07d?:8;29 6g?28>n7c=n7;58?l72?3:1(>o7:06f?k5f?3207d?:5;29 6g?28>n7c=n7;;8?l72<3:1(>o7:06f?k5f?3k07d?:3;29 6g?28>n7c=n7;`8?l72:3:1(>o7:06f?k5f?3i07d?:1;29 6g?28>n7c=n7;f8?l7283:1(>o7:06f?k5f?3o07d?;8;29 6g?28>n7c=n7;d8?l5d?3:17d?=0;29?l5e13:17d?=c;29?j7193:1(>o7:043?k5f?3:07b?:f;29 6g?28<;7c=n7;38?j72m3:1(>o7:043?k5f?3807b?:d;29 6g?28<;7c=n7;18?j72k3:1(>o7:043?k5f?3>07b?:b;29 6g?28<;7c=n7;78?j71j3:1(>o7:043?k5f?3<07b?9a;29 6g?28<;7c=n7;58?j7113:1(>o7:043?k5f?3207b?97;29 6g?28<;7c=n7;;8?j71>3:1(>o7:043?k5f?3k07b?95;29 6g?28<;7c=n7;`8?j71<3:1(>o7:043?k5f?3i07b?93;29 6g?28<;7c=n7;f8?j71:3:1(>o7:043?k5f?3o07b?:a;29 6g?28<;7c=n7;d8?g0bi3:1=7>50z&0g4<59h1C?nl4H2a0?j4613:17pl9ec83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg0bk3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn;kk:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a2`c=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th=ik4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm6g094?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd1n:0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo8i4;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f3`2290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi:k850;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`5b2<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb7d:>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e>ok1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl9fc83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=jn4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1nm0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`5b`<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl9fg83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<<=4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0880;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`447<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl80283><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<<94?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd08<0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`443<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl80683><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<<54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0800;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`44d<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl80c83>6<729q/?n?523:8L6ee3A9h?6*=2084a>o59j0;66g=1e83>>i48:0;66s|2e34>5<69rT2i639fc8;0>;1nj038639fe8;0>;1nl038639fg8;0>;089038638008;0>;08;038638028;0>;08=038638048;0>;08?038638068;0>;081038638088;0>;08h0386s|2e3;>5<5sW;?j6380`8274=z{;n:57>52z\20a=:?931=>?4}r0g5d<72;qU=9m4=62;>4563ty9hd;296~X6<016;=;51238yv4c9l0;6?uQ14;89263289:7p}=d0d94?4|V8?3709?3;305>{t:m8;6=4={_363>;08;0:?<5rs3f15?6=:rT:98527139567?4?:3y]502<5>:;6<=>;|q1`75=838pR<;<;<4eb?7492wx>i<;:181[72:27=jh4>309~w7b5=3:1>vP>509>2cb=9:;0q~7}Y9<:01;hl:012?xu5l;=1<75<5sW9h;6380c815f=z{;n957>513y]576<5?oi6<219>2`c=9;:01;ki:003?80a83;9<639f08265=:>o81=?>4=7d0>44734;1n00:>=526gc9576<5>:i6??k;|q1`7g=838:wS?=c:?5ag;1no0:>n52712957e<5>::6<4>2b9>352=9;i01:>::00`?817>3;9o63806826f=:?921=?m4=62:>44d34=;m7?=c:p6a4e2909wS?91:?5bd<4ik1v?j=c;296~X6=o16:k753``8yv4c:m0;6?uQ14g893`?2:ki7p}=d3g94?4|V8?o708i7;1bf>{t:m8m6=4={_36g>;1n?08mo5rs3f04?6=:rT:9o526g797ddom;|q1`64=838pR<8n;<4e7?5fj2wx>i=<:181[71127=j?4vP>669>2c7=;hh0q~7}Y9?<01;h?:2ca?xu5l:<1<75<5sW;=8639ed80eg=z{;n847>52z\226=:>ln1?ll4}r0g7<<72;qU=;<4=7g`>6ge3ty9h>o50;0xZ43f34oh14;526g`9<==:>oh144526ga9<3=:>oi145526ga9<<=:>on14;526gf9<==:>on144526gg9<3=:>oo145526gg9<<=:>ol14;526gd9<==:>ol144527129<3=:?9:145527129<<=:?9;14;527139<==:?9;144527109<3=:?98145527109<<=:?9914;527119<==:?99144527169<3=:?9>145527169<<=:?9?14;527179<==:?9?144527149<3=:?9<145527149<<=:?9=14;527159<==:?9=1445271:9<3=:?921455271:9<<=:?9314;5271;9<==:?931445271c9<3=:?9k1455271c9<<=z{;n8o7>52z?5ag<6;816:kl53``8yv4c;m0;6?u26da9567<5?lh6>om;|q1`6c=838p1;kk:012?80al39jn6s|2e1e>5<5s42c6=9:;01:>?:2ca?xu5l=81<745634=;=7=nb:p6a242909w08i2;305>;08;08mo5rs3f70?6=:r7=j>4>309>355=;hh0q~7}:>o>1=>?4=627>6ge3ty9h9850;0x93`2289:709?5;1bf>{t:m><6=4={<4e2?74927<<;4v39f68274=:?9=1?ll4}r0g0<<72;q6:k651238926?2:ki7p}=d5c94?4|5?l26<=>;<53=?5fj2wx>i:m:18180ai3;8=6380`80eg=z{;n?o7>510y>2cd=9;:01;hl:003?80al3;9<639fd8265=:>ol1=?>4=623>44734=;=7?=0:?447<6:916;==513289263288;709?5;314>;08?0:>=527159576<5>:36<219>35d=;990qpl80b83>73=:;08:vF4;h37b?6=,:k36<:j;o1b3?6<3`;?h7>5$2c;>42b3g9j;7?4;h37g?6=,:k36<:j;o1b3?4<3`;?n7>5$2c;>42b3g9j;7=4;h37e?6=,:k36<:j;o1b3?2<3`;?57>5$2c;>42b3g9j;7;4;h36=?6=,:k36<:j;o1b3?0<3`;>47>5$2c;>42b3g9j;794;h363?6=,:k36<:j;o1b3?><3`;>97>5$2c;>42b3g9j;774;h360?6=,:k36<:j;o1b3?g<3`;>?7>5$2c;>42b3g9j;7l4;h366?6=,:k36<:j;o1b3?e<3`;>=7>5$2c;>42b3g9j;7j4;h364?6=,:k36<:j;o1b3?c<3`;?47>5$2c;>42b3g9j;7h4;h1`3?6=3`;9<7>5;h1a=?6=3`;9o7>5;n355?6=,:k36<8?;o1b3?6<3f;>j7>5$2c;>4073g9j;7?4;n36a?6=,:k36<8?;o1b3?4<3f;>h7>5$2c;>4073g9j;7=4;n36g?6=,:k36<8?;o1b3?2<3f;>n7>5$2c;>4073g9j;7;4;n35f?6=,:k36<8?;o1b3?0<3f;=m7>5$2c;>4073g9j;794;n35=?6=,:k36<8?;o1b3?><3f;=;7>5$2c;>4073g9j;774;n352?6=,:k36<8?;o1b3?g<3f;=97>5$2c;>4073g9j;7l4;n350?6=,:k36<8?;o1b3?e<3f;=?7>5$2c;>4073g9j;7j4;n356?6=,:k36<8?;o1b3?c<3f;>m7>5$2c;>4073g9j;7h4;c53`?6=93:1m<;n02=?6=3th<5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4;;6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm70394?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd09;0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo9>3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f273290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi;<;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`453<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb63;>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e?831<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl81`83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg16j3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn:?l:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a34b=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th<=h4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd09o0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`465<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl82083><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<>?4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0::0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`461<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl82483><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<>;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0:>0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`46=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl82883><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<>l4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0:k0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`46f<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl82e83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<>h4?:283>5}#;j;1>?64H2aa?M5d;2.9><48e:k15f<722c9=i4?::m046<722wx>i:k:1825~X>m27<=h474:?45c=474:?464?474:?4669474:?460;474:?4625474:?46<l474:?46gn474:?46ai:j:181[73n27<>i4>309~w7b3n3:1>vP>4e9>37e=9:;0q~7}Y9=i01:5<5sW;?m638288274=z{;n>?7>52z\20<=:?;21=>?4}r0g11<72;qU=874=604>4563ty9h8;50;0xZ43?34=9:7?<1:p6a312909wS?:7:?460<6;81v?j:7;296~X6=<16;?:51238yv4c=10;6?uQ14689244289:7p}=d4;94?4|V8?8709=2;305>{t:m?j6=4={_366>;0:80:?<5rs3f6f?6=:rT:9<527329567;m6<=>;|q1`0b=838pR<:7;<52a?7492wx>i;j:181[5d?27<>h4=1b9~w7b2n3:1=?uQ1328926b288;709?f;314>;0990:>=527039576<5>;96<219>343=9;:01:?9:003?816?3;9<638198265=:?831=?>4=63b>44734=:n7?=0:?45f<6:916;;08o0n4638118f<>;0980n4638138f<>;09:0n4638158f<>;09<0n4638178f<>;09>0n4638198f<>;0900n46381`8f<>;09k0n46381b8f<>;09m0n46381d826f=:?8l1=?m4=603>44d34=9=7?=c:?467<6:j16;?=513a89243288h709=5;31g>;0:?0:>n52735957e<5>836<l4>2b9>37d=9;i01:5<5sW;==6381e80eg=z{;n=>7>52z\21c=:?8i1?ll4}r0g26<72;qU=8k4=63a>6ge3ty9h;:50;0xZ43c34=:m7=nb:p6a022909wS?:c:?45<<4ik1v?j96;296~X6=k16;<653``8yv4c>>0;6?uQ17`892702:ki7p}=d7:94?4|V86;1bf>{t:m<26=4={_35=>;09<08mo5rs3f5e?6=:rT:::5270697dd;86>om;|q1`3e=838pR<8:;<526?5fj2wx>i8k:181[71<27<=<4vP>629>346=;hh0q~7}Y9?801:>i:2ca?xu5l>:1<75<49r7<34c=0?16;34c=0016;34`=0116;376=0?16;?>5899>376=0016;??5879>377=0116;??5889>374=0?16;?<5899>374=0016;?=5879>375=0116;?=5889>372=0?16;?:5899>372=0016;?;5879>373=0116;?;5889>370=0?16;?85899>370=0016;?95879>371=0116;?95889>37>=0?16;?65899>37>=0016;?75879>37?=0116;?75889>37g=0?16;?o5899>37g=0016;?l5879>37d=0116;?l5889>37e=0?16;?m5899>37e=0016;?j5879>37b=0116;?j5889~w7b0:3:1>v380d8274=:?8o1?ll4}r0g36<72;q6;=h51238927a2:ki7p}=d6694?4|5>;;6<=>;<514?5fj2wx>i9::18181693;8=6382080eg=z{;n<:7>52z?457<6;816;?<53``8yv4c?>0;6?u27019567<5>886>om;|q1`2>=838p1:?;:012?815<39jn6s|2e5:>5<5s4=:97?<1:?460<4ik1v?j8a;296~;09?0:?<5273497dd341=9:;01:<8:2ca?xu5l>i1<745634=947=nb:p6a1c2909w09>9;305>;0:008mo5rs3f4a?6=:r7<=l4>309>37g=;hh0q~7}:?8h1=>?4=60a>6ge3ty9h5>50;0x927d289:709=c;1bf>{t:m2:6=4={<52`?74927<>i4;m6<<4>219>374=9;:01:<<:003?815<3;9<638248265=:?;<1=?>4=604>44734=947?=0:?46<<6:916;?o51328924e288;709=c;314>;0:m0:>=5273g9755k4?:37967<4>rB8o>5+3b3971g33So<6>u>9;g9b?{n1l0;6)=n8;;g?k5f?3:07d?;f;29 6g?28>n7c=n7;28?l73l3:1(>o7:06f?k5f?3;07d?;c;29 6g?28>n7c=n7;08?l73j3:1(>o7:06f?k5f?3907d?;a;29 6g?28>n7c=n7;68?l7313:1(>o7:06f?k5f?3?07d?:9;29 6g?28>n7c=n7;48?l7203:1(>o7:06f?k5f?3=07d?:7;29 6g?28>n7c=n7;:8?l72=3:1(>o7:06f?k5f?3307d?:4;29 6g?28>n7c=n7;c8?l72;3:1(>o7:06f?k5f?3h07d?:2;29 6g?28>n7c=n7;a8?l7293:1(>o7:06f?k5f?3n07d?:0;29 6g?28>n7c=n7;g8?l7303:1(>o7:06f?k5f?3l07d=l7;29?l7583:17d=m9;29?l75k3:17b?91;29 6g?28<;7c=n7;28?j72n3:1(>o7:043?k5f?3;07b?:e;29 6g?28<;7c=n7;08?j72l3:1(>o7:043?k5f?3907b?:c;29 6g?28<;7c=n7;68?j72j3:1(>o7:043?k5f?3?07b?9b;29 6g?28<;7c=n7;48?j71i3:1(>o7:043?k5f?3=07b?99;29 6g?28<;7c=n7;:8?j71?3:1(>o7:043?k5f?3307b?96;29 6g?28<;7c=n7;c8?j71=3:1(>o7:043?k5f?3h07b?94;29 6g?28<;7c=n7;a8?j71;3:1(>o7:043?k5f?3n07b?92;29 6g?28<;7c=n7;g8?j72i3:1(>o7:043?k5f?3l07o9<0;295?6=8r.8o<4=1`9K7fd<@:i87b<>9;29?xd0;80;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo9<2;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f254290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi;>:50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`470<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb614>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e?:21<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl83883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg14i3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn:=m:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a36e=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?49m6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm75294?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd0<80;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`407<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl84283><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<894?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0<<0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`403<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl84683><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<854?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0<00;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`40d<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl84c83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<8n4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`40`<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl84g83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<9=4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0=80;6>4?:1y'7f7=:;20D>mm;I1`7>"5:80>o59m0;66a<0283>>{t:m286=4>1z\:a>;0<8038638438;0>;0<:038638458;0>;0<<038638478;0>;0<>038638498;0>;0<00386384`8;0>;0;0;0{t:m2?6=4={_37b>;0=90:?<5rs3f;1?6=:rT:8i5275d9567>n6<=>;|q1`=1=838pR<:m;<57`?7492wx>i67:181[73i27<8n4>309~w7b?13:1>vP>489>31d=9:;0q~7}Y9<301::n:012?xu5l1h1<75<5sW;>;638498274=z{;n3h7>52z\210=:?==1=>?4}r0g<`<72;qU=8:4=665>4563ty9h5h50;0xZ43434=?97?<1:p6a?72909wS?:2:?401<6;81v?j61;296~X6=816;9=51238yv4c1;0;6?uQ14289225289:7p}=d8194?4|V8>3709;1;305>{t:m3?6=4={_1`3>;0=809=n5rs3f:1?6=9;qU=?>4=612>44734=8>7?=0:?476<6:916;>:513289252288;709<6;314>;0;>0:>=5272:9576<5>926<219>36e=9;:01:=k:003?814m3;9<6383g8265=:?=:1=?>4=672>77c3ty9h4850;02[75k274j8:?4712b9>314=9;i01::<:00`?813<3;9o63844826f=:?=<1=?m4=664>44d34=?47?=c:?40<<6:j16;9o513a8922e288h709;c;31g>;0n5275g957e<5>>m6<i78:181[71927<8=403:1>vP>5g9>36`=;hh0q~7}Y95<5sW;>o6383b80eg=z{;n2o7>52z\21g=:?:h1?ll4}r0g=a<72;qU=;l4=61b>6ge3ty9h4k50;0xZ40f34=857=nb:p6a?a2909wS?99:?47=<4ik1v?jn0;296~X6>>16;>953``8yv4ci80;6?uQ174892512:ki7p}=d`094?4|V8<>709<5;1bf>{t:mk86=4={_350>;0;=08mo5rs3fb0?6=:rT::>5272197dd996>om;|q1`d0=838pR<;n;<505?5fj2wx>io8:1805~;0;909=4527539<3=:?=;145527539<<=:?=814;527509<==:?=8144527519<3=:?=9145527519<<=:?=>14;527569<==:?=>144527579<3=:?=?145527579<<=:?=<14;527549<==:?=<144527559<3=:?==145527559<<=:?=214;5275:9<==:?=21445275;9<3=:?=31455275;9<<=:?=k14;5275c9<==:?=k1445275`9<3=:?=h1455275`9<<=:?=i14;5275a9<==:?=i1445275f9<3=:?=n1455275f9<<=:?=o14;5275g9<==:?=o1445275d9<3=:?=l1455275d9<<=:?<:14;527429<==:?<:1445rs3fb309>317=;hh0q~7}:?:81=>?4=661>6ge3ty9hlo50;0x9254289:709;3;1bf>{t:mki6=4={<500?74927<894v38348274=:?=?1?ll4}r0gea<72;q6;>85123892212:ki7p}=d`g94?4|5>9<6<=>;<573?5fj2wx>ioi:18181403;8=6384980eg=z{;ni<7>52z?47<<6;816;9753``8yv4cj80;6?u272c9567<5>>j6>om;|q1`g4=838p1:=m:012?813j39jn6s|2e`0>5<5s4=8o7?<1:?40f<4ik1v?jm4;296~;0;m0:?<5275f97dd36c=9:;01::j:2ca?xu5lk<1<745634=?j7=nb:p6ad02909w09;0;305>;0=908mo5rs3fa;0<=0:>=527579576<5>>=6<219>31?=9;:01::n:003?813j3;9<6384b8265=:?=n1=?>4=66f>44734=?j7?=0:?415<6:916;8?53118yxd0=;0;6?;523802~N4k:1/?n?535c7?_c02:q:57k5f;j=`<72-9j477k;o1b3?6<3`;?j7>5$2c;>42b3g9j;7>4;h37`?6=,:k36<:j;o1b3?7<3`;?o7>5$2c;>42b3g9j;7<4;h37f?6=,:k36<:j;o1b3?5<3`;?m7>5$2c;>42b3g9j;7:4;h37=?6=,:k36<:j;o1b3?3<3`;>57>5$2c;>42b3g9j;784;h36;7>5$2c;>42b3g9j;764;h361?6=,:k36<:j;o1b3??<3`;>87>5$2c;>42b3g9j;7o4;h367?6=,:k36<:j;o1b3?d<3`;>>7>5$2c;>42b3g9j;7m4;h365?6=,:k36<:j;o1b3?b<3`;><7>5$2c;>42b3g9j;7k4;h375;h314?6=3`9i57>5;h31g?6=3f;==7>5$2c;>4073g9j;7>4;n36b?6=,:k36<8?;o1b3?7<3f;>i7>5$2c;>4073g9j;7<4;n36`?6=,:k36<8?;o1b3?5<3f;>o7>5$2c;>4073g9j;7:4;n36f?6=,:k36<8?;o1b3?3<3f;=n7>5$2c;>4073g9j;784;n35e?6=,:k36<8?;o1b3?1<3f;=57>5$2c;>4073g9j;764;n353?6=,:k36<8?;o1b3??<3f;=:7>5$2c;>4073g9j;7o4;n351?6=,:k36<8?;o1b3?d<3f;=87>5$2c;>4073g9j;7m4;n357?6=,:k36<8?;o1b3?b<3f;=>7>5$2c;>4073g9j;7k4;n36e?6=,:k36<8?;o1b3?`<3k=>?7>51;294~"4k809=l5G3b`8L6e43f8:57>5;|`411<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<97>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb675>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e?<=1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl85983>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg1213:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn:;n:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a30d=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th<9n4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4?n6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm74d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd0>90;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo991;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f205290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi;;=50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`421<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl86483><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<:;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0>>0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`42=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl86883><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<:l4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0>k0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`42f<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl86e83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<:h4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0>o0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`435<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl87083><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<;?4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0?:0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`431<72:0;6=u+3b3967><@:ii7E=l3:&164<0m2c9=n4?::k15a<722e8<>4?::p6ad>290:=vP6e:?421474:p6adf2909wS?;f:?436<6;81v?jmb;296~X6i70980;305>{t:mhn6=4={_37e>;0>o0:?<5rs3fab?6=:rT:845277g9567;|q1`f7=838pR<;7;<55g?7492wx>im=:181[72?27<:o4>309~w7bd;3:1>vP>549>33g=9:;0q~7}Y9<>01:86:012?xu5lj?1<75<5sW;>>638668274=z{;nh;7>52z\214=:??<1=>?4}r0gg=<72;qU=8>4=646>4563ty9hn750;0xZ42?34==87?<1:p6aef2909wS=l7:?431<59j1v?jlb;2957}Y9;:01:;;:003?812=3;9<638578265=:?<=1=?>4=67;>44734=>57?=0:?41d<6:916;8l51328923d288;709:d;314>;0=l0:>=5274d9576<5><;6<219>335=9;:01:9;:33g?xu5lji1<7<>{_31g>;0==0n4638548f<>;0=?0n4638568f<>;0=10n4638588f<>;0=h0n46385c8f<>;0=j0n46385e8f<>;0=l0n46385g8f<>;0>90n4638608f<>;0>;0n4638628f<>;0>=0:>n52777957e<5><=6<2b9>33?=9;i01:8n:00`?811j3;9o6386b826f=:??n1=?m4=64f>44d34==j7?=c:?435<6:j16;:?513a89215288h70983;31g>{t:mio6=4={_355>;0>:08mo5rs3f`a?6=:rT:9k5277097dd<:6>om;|q1`a6=838pR<;k;<554?5fj2wx>ij>:181[72k27<9k4vP>5c9>30c=;hh0q~7}Y9?h01:;k:2ca?xu5lm>1<75<5sW;=56385c80eg=z{;no:7>52z\222=:?6ge3ty9hi650;0xZ40234=>47=nb:p6ab>2909wS?94:?412<4ik1v?jka;296~X6>:16;8853``8yv4clk0;6?uQ170892322:ki7p}=dea94?4|V8?j709:4;1bf>{t:mno6=4<1z?416<59016;;:5879>332=0116;;:5889>333=0?16;;;5899>333=0016;;85879>330=0116;;85889>331=0?16;;95899>331=0016;;65879>33>=0116;;65889>33?=0?16;;75899>33?=0016;;o5879>33g=0116;;o5889>33d=0?16;;l5899>33d=0016;;m5879>33e=0116;;m5889>33b=0?16;;j5899>33b=0016;;k5879>33c=0116;;k5889>33`=0?16;;h5899>33`=0016;:>5879>326=0116;:>5889>327=0?16;:?5899>327=0016;:<5879>324=0116;:<5889>325=0?16;:=5899>325=001v?jke;296~;0==0:?<5277697dd303=9:;01:8::2ca?xu5ll:1<745634==:7=nb:p6ac62909w09:7;305>;0>>08mo5rs3ff6?6=:r7<954>309>33>=;hh0q~7}:?<31=>?4=64:>6ge3ty9hh:50;0x923f289:7099a;1bf>{t:mo>6=4={<56f?74927<:o43:1>v385b8274=:??i1?ll4}r0ga2<72;q6;8j51238920c2:ki7p}=dd:94?4|5>?n6<=>;<55a?5fj2wx>ik6:181812n3;8=6386g80eg=z{;nnm7>52z?425<6;816;:>53``8yv4cmk0;6?u27739567<5>=:6>om;|q1``e=838p1:8=:012?810:39jn6s|2egg>5<5s4==?7?<1:?436<4ik1v?jje;2954}:??>1=?>4=646>44734==:7?=0:?422<6:916;;651328920>288;7099a;314>;0>k0:>=5277a9576<5>219>326=9;:01:9>:003?810:3;9<638728265=:?>>1?==4}|`430<72;?1>?4<6zJ0g6=#;j;1?9o;;[g4>6}613o1j7sf9d83>!5f033o7c=n7;28?l73n3:1(>o7:06f?k5f?3:07d?;d;29 6g?28>n7c=n7;38?l73k3:1(>o7:06f?k5f?3807d?;b;29 6g?28>n7c=n7;18?l73i3:1(>o7:06f?k5f?3>07d?;9;29 6g?28>n7c=n7;78?l7213:1(>o7:06f?k5f?3<07d?:8;29 6g?28>n7c=n7;58?l72?3:1(>o7:06f?k5f?3207d?:5;29 6g?28>n7c=n7;;8?l72<3:1(>o7:06f?k5f?3k07d?:3;29 6g?28>n7c=n7;`8?l72:3:1(>o7:06f?k5f?3i07d?:1;29 6g?28>n7c=n7;f8?l7283:1(>o7:06f?k5f?3o07d?;8;29 6g?28>n7c=n7;d8?l5d?3:17d?=0;29?l5e13:17d?=c;29?j7193:1(>o7:043?k5f?3:07b?:f;29 6g?28<;7c=n7;38?j72m3:1(>o7:043?k5f?3807b?:d;29 6g?28<;7c=n7;18?j72k3:1(>o7:043?k5f?3>07b?:b;29 6g?28<;7c=n7;78?j71j3:1(>o7:043?k5f?3<07b?9a;29 6g?28<;7c=n7;58?j7113:1(>o7:043?k5f?3207b?97;29 6g?28<;7c=n7;;8?j71>3:1(>o7:043?k5f?3k07b?95;29 6g?28<;7c=n7;`8?j71<3:1(>o7:043?k5f?3i07b?93;29 6g?28<;7c=n7;f8?j71:3:1(>o7:043?k5f?3o07b?:a;29 6g?28<;7c=n7;d8?g10>3:1=7>50z&0g4<59h1C?nl4H2a0?j4613:17pl87683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg1003:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn:96:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a32g=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th<;o4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4=o6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm76g94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd0?o0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo970;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f2>6290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi;5<50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`4<6<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb6:6>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e?1<1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl88683><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<454?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0000;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4<<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<4n4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd00m0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4<`<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl88g83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<5=4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0180;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4=7<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl89283><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<594?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd01<0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4=3<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl89683>6<729q/?n?523:8L6ee3A9h?6*=2084a>o59j0;66g=1e83>>i48:0;66s|2ege>5<69rT2i638868;0>;001038638888;0>;00h0386388c8;0>;00j0386388e8;0>;00l0386388g8;0>;019038638908;0>;01;038638928;0>;01=038638948;0>;01?0386s|2ed3>5<5sW;?j638978274=z{;nm=7>52z\20a=:?0?1=>?4}r0gb7<72;qU=9m4=6;7>4563ty9hk=50;0xZ42e34=2?7?<1:p6a`32909wS?;a:?4=7<6;81v?ji5;296~X6<016;4?51238yv4cn?0;6?uQ14;892?7289:7p}=dg594?4|V8?37097f;305>{t:ml36=4={_363>;00l0:?<5rs3fe=?6=:rT:985279f95672h6<=>;|q1`cd=838pR<;<;<5;f?7492wx>ihl:181[72:27<4l4>309~w7bal3:1>vP>509>3=?=9:;0q~7}Y9<:01:67:012?xu5lol1<75<5sW9h;63896815f=z{;o;=7>513y]576<5>=<6<219>32g=9;:01:9m:003?810k3;9<6387e8265=:?>o1=?>4=65e>44734=3<7?=0:?4<4<6:916;5<5132892>4288;70974;314>;00<0:>=527949576<5>3<6??k;|q1a54=838:wS?=c:?432>288h7097a;31g>;00k0:>n5279a957e<5>2o6<2b9>3<6=9;i01:7>:00`?81>:3;9o63892826f=:?0>1=?m4=6;6>44d34=2:7?=c:p6`642909wS?91:?4<3<4ik1v?k?4;296~X6=o16;5;53``8yv4b8<0;6?uQ14g892>32:ki7p}=e1494?4|V8?o70973;1bf>{t:l:<6=4={_36g>;00;08mo5rs3g32;6>om;|q1a5g=838pR<8n;<54b?5fj2wx>h>m:181[71127<;h4vP>669>32b=;hh0q~7}Y9?<01:9l:2ca?xu5m9o1<75<5sW;=86387`80eg=z{;o:<7>52z\226=:?>31?ll4}r0f54<72;qU=;<4=65;>6ge3ty9i<<50;0xZ43f34=<;7=nb:p6`742908=v3877815<=:?1=14;527959<==:?1=1445279:9<3=:?121455279:9<<=:?1314;5279;9<==:?131445279c9<3=:?1k1455279c9<<=:?1h14;5279`9<==:?1h1445279a9<3=:?1i1455279a9<<=:?1n14;5279f9<==:?1n1445279g9<3=:?1o1455279g9<<=:?1l14;5279d9<==:?1l144527829<3=:?0:145527829<<=:?0;14;527839<==:?0;144527809<3=:?08145527809<<=:?0914;527819<==:?09144527869<3=:?0>145527869<<=:?0?14;527879<==:?0?144527849<3=:?0<145527849<<=z{;o:87>52z?432<6;816;5953``8yv4b9<0;6?u276:9567<5>236>om;|q1a40=838p1:96:012?81?139jn6s|2d34>5<5s4=8;296~;0?k0:?<5279`97dd32e=9:;01:6l:2ca?xu5m8k1<745634=3h7=nb:p6`7e2909w098e;305>;00l08mo5rs3g2g?6=:r7<;k4>309>3=`=;hh0q~7}:?1:1=>?4=6;3>6ge3ty9i6289:70961;1bf>{t:l;m6=4={<5;6?74927<5?4v38828274=:?091?ll4}r0f64<72;q6;5:5123892?32:ki7p}=e3094?4|5>2>6<=>;<5:1?5fj2wx>h<<:18181?>3;8=6389780eg=z{;o987>510y>3=1=9;:01:67:003?81?13;9<6388`8265=:?1h1=?>4=6:`>44734=3h7?=0:?4<`<6:916;5h5132892?7288;70961;314>;01;0:>=527819576<5>3?6<219>3<1=;990qpl89983>73=:;08:vF4;h37b?6=,:k36<:j;o1b3?6<3`;?h7>5$2c;>42b3g9j;7?4;h37g?6=,:k36<:j;o1b3?4<3`;?n7>5$2c;>42b3g9j;7=4;h37e?6=,:k36<:j;o1b3?2<3`;?57>5$2c;>42b3g9j;7;4;h36=?6=,:k36<:j;o1b3?0<3`;>47>5$2c;>42b3g9j;794;h363?6=,:k36<:j;o1b3?><3`;>97>5$2c;>42b3g9j;774;h360?6=,:k36<:j;o1b3?g<3`;>?7>5$2c;>42b3g9j;7l4;h366?6=,:k36<:j;o1b3?e<3`;>=7>5$2c;>42b3g9j;7j4;h364?6=,:k36<:j;o1b3?c<3`;?47>5$2c;>42b3g9j;7h4;h1`3?6=3`;9<7>5;h1a=?6=3`;9o7>5;n355?6=,:k36<8?;o1b3?6<3f;>j7>5$2c;>4073g9j;7?4;n36a?6=,:k36<8?;o1b3?4<3f;>h7>5$2c;>4073g9j;7=4;n36g?6=,:k36<8?;o1b3?2<3f;>n7>5$2c;>4073g9j;7;4;n35f?6=,:k36<8?;o1b3?0<3f;=m7>5$2c;>4073g9j;794;n35=?6=,:k36<8?;o1b3?><3f;=;7>5$2c;>4073g9j;774;n352?6=,:k36<8?;o1b3?g<3f;=97>5$2c;>4073g9j;7l4;n350?6=,:k36<8?;o1b3?e<3f;=?7>5$2c;>4073g9j;7j4;n356?6=,:k36<8?;o1b3?c<3f;>m7>5$2c;>4073g9j;7h4;c5:=?6=93:1m<;n02=?6=3th<5l4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?43h6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm78f94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd01l0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo96f;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f2g7290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi;l?50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`4e7<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb6c7>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e?h?1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl8a783>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg1f?3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn:o7:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a3d?=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0ik0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4ef<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl8ae83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0io0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4f5<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl8b083><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0j:0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4f1<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl8b483><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0j>0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4f=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl8b883><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th5}#;j;1>?64H2aa?M5d;2.9><48e:k15f<722c9=i4?::m046<722wx>h<::1825~X>m27h<9:181[73n27309~w7c5?3:1>vP>4e9>3g>=9:;0q~7}Y9=i01:l8:012?xu5m;31<73;8=6s|2d0b>5<5sW;?m638b48274=z{;o9n7>52z\20<=:?k>1=>?4}r0f6f<72;qU=874=6`0>4563ty9i?j50;0xZ43?34=i>7?<1:p6`4b2909wS?:7:?4f4<6;81v?k=f;296~X6=<16;o>51238yv4b;90;6?uQ146892ga289:7p}=e2394?4|V8?8709ne;305>{t:l996=4={_366>;0im0:?<5rs3g07?6=:rT:9<527`a9567ki6<=>;|q1a63=838pR<:7;<5be?7492wx>h=9:181[5d?27;01j0:>=5278f9576<5>3n6<219>3d7=9;:01:o=:003?81f;3;9<638a58265=:?h?1=?>4=6c5>44734=j;7?=0:?4e=<6:916;l75132892df2;;o7p}=e2:94?46sW;9o6389`8f<>;01k0n46389b8f<>;01m0n46389d8f<>;01o0n4638a18f<>;0i80n4638a38f<>;0i:0n4638a58f<>;0i<0n4638a78f<>;0i>0n4638a98f<>;0i00n4638a`826f=:?hh1=?m4=6c`>44d34=jh7?=c:?4e`<6:j16;lh513a892d7288h709m1;31g>;0j;0:>n527c1957e<5>h?6<2b9>3g1=9;i01:l7:00`?81e13;9o6s|2d1:>5<5sW;==638a880eg=z{;o8m7>52z\21c=:?h21?ll4}r0f7g<72;qU=8k4=6c4>6ge3ty9i>m50;0xZ43c34=j:7=nb:p6`5c2909wS?:c:?4e0<4ik1v?k{t:l>:6=4={_35=>;0i808mo5rs3g76?6=:rT:::527`297dd4?:3y]530<5>3m6>om;|q1a12=838pR<8:;<5:a?5fj2wx>h:::181[71<27<5i43:1>vP>629>37}Y9?801:7m:2ca?xu5m=21<7i39jn6s|2d6:>5<49r7<544=189>3dg=0?16;lo5899>3dg=0016;ll5879>3dd=0116;ll5889>3de=0?16;lm5899>3de=0016;lj5879>3db=0116;lj5889>3dc=0?16;lk5899>3dc=0016;lh5879>3d`=0116;lh5889>3g6=0?16;o>5899>3g6=0016;o?5879>3g7=0116;o?5889>3g4=0?16;o<5899>3g4=0016;o=5879>3g5=0116;o=5889>3g2=0?16;o:5899>3g2=0016;o;5879>3g3=0116;o;5889>3g0=0?16;o85899>3g0=0016;o95879>3g1=0116;o95889>3g>=0?16;o65899>3g>=0016;o75879>3g?=0116;o75889~w7c3i3:1>v389`8274=:?hk1?ll4}r0f0g<72;q6;4l5123892ge2:ki7p}=e5a94?4|5>3h6<=>;<5bg?5fj2wx>h:k:18181>l3;8=638ae80eg=z{;o?i7>52z?4=`<6;816;lk53``8yv4bkm6>om;|q1a06=838p1:o?:012?81e839jn6s|2d72>5<5s4=j=7?<1:?4f4<4ik1v?k:2;296~;0i;0:?<527c097dd4?:3y>3d5=9:;01:l<:2ca?xu5m<>1<745634=i87=nb:p6`322909w09n5;305>;0j<08mo5rs3g62?6=:r7309>3g0=;hh0q~7}:?h=1=>?4=6`4>6ge3ty9i8650;0x92g?289:709m8;1bf>{t:l?26=4={<5b=?74927ki6<219>3dc=9;:01:oi:003?81e83;9<638b08265=:?k81=?>4=6`0>44734=i87?=0:?4f0<6:916;o85132892d0288;709m8;314>;0j00:>=527cc9755rB8o>5+3b3971g33So<6>u>9;g9b?{n1l0;6)=n8;;g?k5f?3:07d?;f;29 6g?28>n7c=n7;28?l73l3:1(>o7:06f?k5f?3;07d?;c;29 6g?28>n7c=n7;08?l73j3:1(>o7:06f?k5f?3907d?;a;29 6g?28>n7c=n7;68?l7313:1(>o7:06f?k5f?3?07d?:9;29 6g?28>n7c=n7;48?l7203:1(>o7:06f?k5f?3=07d?:7;29 6g?28>n7c=n7;:8?l72=3:1(>o7:06f?k5f?3307d?:4;29 6g?28>n7c=n7;c8?l72;3:1(>o7:06f?k5f?3h07d?:2;29 6g?28>n7c=n7;a8?l7293:1(>o7:06f?k5f?3n07d?:0;29 6g?28>n7c=n7;g8?l7303:1(>o7:06f?k5f?3l07d=l7;29?l7583:17d=m9;29?l75k3:17b?91;29 6g?28<;7c=n7;28?j72n3:1(>o7:043?k5f?3;07b?:e;29 6g?28<;7c=n7;08?j72l3:1(>o7:043?k5f?3907b?:c;29 6g?28<;7c=n7;68?j72j3:1(>o7:043?k5f?3?07b?9b;29 6g?28<;7c=n7;48?j71i3:1(>o7:043?k5f?3=07b?99;29 6g?28<;7c=n7;:8?j71?3:1(>o7:043?k5f?3307b?96;29 6g?28<;7c=n7;c8?j71=3:1(>o7:043?k5f?3h07b?94;29 6g?28<;7c=n7;a8?j71;3:1(>o7:043?k5f?3n07b?92;29 6g?28<;7c=n7;g8?j72i3:1(>o7:043?k5f?3l07o9mc;295?6=8r.8o<4=1`9K7fd<@:i87b<>9;29?xd0jm0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo9me;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f2da290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi;n>50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`4g4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb6a0>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e?j>1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl8c483>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg1d>3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn:m8:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a3f>=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4ii6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm7ba94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd0km0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4g`<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl8cg83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0l80;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4`7<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl8d283><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0l<0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4`3<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl8d683><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0l00;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4`d<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl8dc83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0lm0;6>4?:1y'7f7=:;20D>mm;I1`7>"5:80>o59m0;66a<0283>>{t:l?i6=4>1z\:a>;0km038638cd8;0>;0ko038638d18;0>;0l8038638d38;0>;0l:038638d58;0>;0l<038638d78;0>;0l>038638d98;0>;0l0038638d`8;0>;0lk038638db8;0>{t:l?h6=4={_37b>;0lj0:?<5rs3g6`?6=:rT:8i527e`9567nj6<=>;|q1a0`=838pR<:m;<5g=?7492wx>h8?:181[73i27309~w7c193:1>vP>489>3a1=9:;0q~7}Y9<301:j9:012?xu5m?91<75<5sW;>;638d58274=z{;o=97>52z\210=:?m91=>?4}r0f23<72;qU=8:4=6f1>4563ty9i;950;0xZ43434=o=7?<1:p6`0?2909wS?:2:?4`5<6;81v?k99;296~X6=816;nh51238yv4b>h0;6?uQ142892eb289:7p}=e7`94?4|V8>3709ld;305>{t:l;0lm09=n5rs3g5`?6=9;qU=?>4=6`g>44734=ii7?=0:?4fc<6:916;n>5132892e6288;709l2;314>;0k:0:>=527b69576<5>i>6<219>3f>=9;:01:m6:003?81di3;9<638cc8265=:?ji1=?>4=6fg>77c3ty9i;k50;02[75k274j8:?4g12b9>3fc=9;i01:mi:00`?81c83;9o638d0826f=:?m81=?m4=6f0>44d34=o87?=c:?4`0<6:j16;i8513a892b0288h709k8;31g>;0l00:>n527ec957e<5>ni6<h8i:181[71927vP>5g9>3fd=;hh0q~7}Y981<75<5sW;>o638c980eg=z{;o<87>52z\21g=:?j=1?ll4}r0f30<72;qU=;l4=6a5>6ge3ty9i:850;0xZ40f34=h97=nb:p6`102909wS?99:?4g1<4ik1v?k88;296~X6>>16;n=53``8yv4b?00;6?uQ174892e52:ki7p}=e6c94?4|V8<>709l1;1bf>{t:l=i6=4={_350>;0k908mo5rs3g4g?6=:rT::>527cd97ddhn6>om;|q1a2c=838pR<;n;<5a`?5fj2wx>h9i:1805~;0jj09=4527bf9<3=:?jn145527bf9<<=:?jo14;527bg9<==:?jo144527bd9<3=:?jl145527bd9<<=:?m:14;527e29<==:?m:144527e39<3=:?m;145527e39<<=:?m814;527e09<==:?m8144527e19<3=:?m9145527e19<<=:?m>14;527e69<==:?m>144527e79<3=:?m?145527e79<<=:?m<14;527e49<==:?m<144527e59<3=:?m=145527e59<<=:?m214;527e:9<==:?m2144527e;9<3=:?m3145527e;9<<=:?mk14;527ec9<==:?mk144527e`9<3=:?mh145527e`9<<=:?mi14;527ea9<==:?mi1445rs3g;4?6=:r7309>3fb=;hh0q~7}:?ko1=>?4=6af>6ge3ty9i5<50;0x92da289:709lf;1bf>{t:l286=4={<5`4?74927v38c08274=:?m;1?ll4}r0f<0<72;q6;n<5123892b52:ki7p}=e9494?4|5>i86<=>;<5g7?5fj2wx>h68:18181d<3;8=638d580eg=z{;o347>52z?4g0<6;816;i;53``8yv4b000;6?u27b49567<5>n=6>om;|q1a=g=838p1:m8:012?81c?39jn6s|2d:a>5<5s4=h47?<1:?4`=<4ik1v?k7c;296~;0k00:?<527e;97dd3fg=9:;01:jn:2ca?xu5m1o1<745634=on7=nb:p6`>a2909w09lc;305>;0lj08mo5rs3g:4?6=98q6;nj5132892eb288;709lf;314>;0l90:>=527e39576<5>n96<219>3a3=9;:01:j9:003?81c?3;9<638d98265=:?m31=?>4=6fb>44734=on7?=0:?4`f<6:916;ij53118yxd0ll0;6?;523802~N4k:1/?n?535c7?_c02:q:57k5f;j=`<72-9j477k;o1b3?6<3`;?j7>5$2c;>42b3g9j;7>4;h37`?6=,:k36<:j;o1b3?7<3`;?o7>5$2c;>42b3g9j;7<4;h37f?6=,:k36<:j;o1b3?5<3`;?m7>5$2c;>42b3g9j;7:4;h37=?6=,:k36<:j;o1b3?3<3`;>57>5$2c;>42b3g9j;784;h36;7>5$2c;>42b3g9j;764;h361?6=,:k36<:j;o1b3??<3`;>87>5$2c;>42b3g9j;7o4;h367?6=,:k36<:j;o1b3?d<3`;>>7>5$2c;>42b3g9j;7m4;h365?6=,:k36<:j;o1b3?b<3`;><7>5$2c;>42b3g9j;7k4;h375;h314?6=3`9i57>5;h31g?6=3f;==7>5$2c;>4073g9j;7>4;n36b?6=,:k36<8?;o1b3?7<3f;>i7>5$2c;>4073g9j;7<4;n36`?6=,:k36<8?;o1b3?5<3f;>o7>5$2c;>4073g9j;7:4;n36f?6=,:k36<8?;o1b3?3<3f;=n7>5$2c;>4073g9j;784;n35e?6=,:k36<8?;o1b3?1<3f;=57>5$2c;>4073g9j;764;n353?6=,:k36<8?;o1b3??<3f;=:7>5$2c;>4073g9j;7o4;n351?6=,:k36<8?;o1b3?d<3f;=87>5$2c;>4073g9j;7m4;n357?6=,:k36<8?;o1b3?b<3f;=>7>5$2c;>4073g9j;7k4;n36e?6=,:k36<8?;o1b3?`<3k=oj7>51;294~"4k809=l5G3b`8L6e43f8:57>5;|`4a5<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb6g1>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e?l91<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl8e583>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg1b=3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn:k9:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a3`1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4oj6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm7d`94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd0mj0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo9jd;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f2cb290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi;hh50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`4b5<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl8f083><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0n:0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4b1<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl8f483><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0n>0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4b=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl8f883><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0nk0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4bf<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl8fe83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0no0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`;45<72:0;6=u+3b3967><@:ii7E=l3:&164<0m2c9=n4?::k15a<722e8<>4?::p6`?6290:=vP6e:?4b5474:?4b1i709ic;305>{t:l3=6=4={_37e>;0nk0:?<5rs3g:3?6=:rT:84527gc9567l26<=>;|q1ah7n:181[72?27309~w7c>j3:1>vP>549>3c0=9:;0q~7}Y9<>01:h::012?xu5m0n1<75<5sW;>>638f28274=z{;o2j7>52z\214=:?o81=>?4}r0fe5<72;qU=8>4=6d2>4563ty9il?50;0xZ42?34=m<7?<1:p6`g52909wS=l7:?;45<59j1v?kn3;2957}Y9;:01:k?:003?81b93;9<638e38265=:?l91=?>4=6g7>44734=n97?=0:?4a3<6:916;h95132892c?288;709j9;314>;0mh0:>=527d`9576<5>oh6<219>3``=9;:015>?:33g?xu5mh>1<7<>{_31g>;0m90n4638e08f<>;0m;0n4638e28f<>;0m=0n4638e48f<>;0m?0n4638e68f<>;0m10n4638e88f<>;0mh0n4638ec8f<>;0mj0n4638ee8f<>;0ml0n4638eg8f<>;0n90:>n527g3957e<5>l96<2b9>3c3=9;i01:h9:00`?81a?3;9o638f9826f=:?o31=?m4=6db>44d34=mn7?=c:?4bf<6:j16;kj513a892`b288h709if;31g>{t:lk>6=4={_355>;0mo08mo5rs3gb2?6=:rT:9k527dg97ddoo6>om;|q1ad>=838pR<;k;<5fg?5fj2wx>ho6:181[72k27vP>5c9>3`g=;hh0q~7}Y9?h01:k6:2ca?xu5mhi1<75<5sW;=5638e680eg=z{;oji7>52z\222=:?l<1?ll4}r0fec<72;qU=;84=6g6>6ge3ty9io>50;0xZ40234=n87=nb:p6`d62909wS?94:?4a6<4ik1v?km2;296~X6>:16;h<53``8yv4bj:0;6?uQ170892c62:ki7p}=ec694?4|V8?j709j0;1bf>{t:lh>6=4<1z?4`c<59016;k>5879>3c6=0116;k>5889>3c7=0?16;k?5899>3c7=0016;k<5879>3c4=0116;k<5889>3c5=0?16;k=5899>3c5=0016;k:5879>3c2=0116;k:5889>3c3=0?16;k;5899>3c3=0016;k85879>3c0=0116;k85889>3c1=0?16;k95899>3c1=0016;k65879>3c>=0116;k65889>3c?=0?16;k75899>3c?=0016;ko5879>3cg=0116;ko5889>3cd=0?16;kl5899>3cd=0016;km5879>3ce=0116;km5889>3cb=0?16;kj5899>3cb=0016;kk5879>3cc=0116;kk5889>3c`=0?16;kh5899>3c`=001v?km6;296~;0m90:?<527g297dd3`7=9:;01:h>:2ca?xu5mk21<745634=m>7=nb:p6`d>2909w09j3;305>;0n:08mo5rs3gae?6=:r7309>3c2=;hh0q~7}:?l?1=>?4=6d6>6ge3ty9iom50;0x92c1289:709i6;1bf>{t:lho6=4={<5f3?74927v38e98274=:?o21?ll4}r0ffc<72;q6;h75123892`>2:ki7p}=eb294?4|5>oj6<=>;<5ee?5fj2wx>hm>:18181bj3;8=638fc80eg=z{;oh>7>52z?4af<6;816;km53``8yv4bk:0;6?u27df9567<5>lo6>om;|q1af2=838p1:kj:012?81am39jn6s|2da6>5<5s4=nj7?<1:?4bc<4ik1v?kl6;2954}:?o:1=?>4=6d2>44734=m>7?=0:?4b6<6:916;k:5132892`2288;709i6;314>;0n>0:>=527g:9576<5>l26<219>3ce=9;:01:hk:003?81am3;9<638fg8265=:09:1?==4}|`;44<72;?1>?4<6zJ0g6=#;j;1?9o;;[g4>6}613o1j7sf9d83>!5f033o7c=n7;28?l73n3:1(>o7:06f?k5f?3:07d?;d;29 6g?28>n7c=n7;38?l73k3:1(>o7:06f?k5f?3807d?;b;29 6g?28>n7c=n7;18?l73i3:1(>o7:06f?k5f?3>07d?;9;29 6g?28>n7c=n7;78?l7213:1(>o7:06f?k5f?3<07d?:8;29 6g?28>n7c=n7;58?l72?3:1(>o7:06f?k5f?3207d?:5;29 6g?28>n7c=n7;;8?l72<3:1(>o7:06f?k5f?3k07d?:3;29 6g?28>n7c=n7;`8?l72:3:1(>o7:06f?k5f?3i07d?:1;29 6g?28>n7c=n7;f8?l7283:1(>o7:06f?k5f?3o07d?;8;29 6g?28>n7c=n7;d8?l5d?3:17d?=0;29?l5e13:17d?=c;29?j7193:1(>o7:043?k5f?3:07b?:f;29 6g?28<;7c=n7;38?j72m3:1(>o7:043?k5f?3807b?:d;29 6g?28<;7c=n7;18?j72k3:1(>o7:043?k5f?3>07b?:b;29 6g?28<;7c=n7;78?j71j3:1(>o7:043?k5f?3<07b?9a;29 6g?28<;7c=n7;58?j7113:1(>o7:043?k5f?3207b?97;29 6g?28<;7c=n7;;8?j71>3:1(>o7:043?k5f?3k07b?95;29 6g?28<;7c=n7;`8?j71<3:1(>o7:043?k5f?3i07b?93;29 6g?28<;7c=n7;f8?j71:3:1(>o7:043?k5f?3o07b?:a;29 6g?28<;7c=n7;d8?g>7:3:1=7>50z&0g4<59h1C?nl4H2a0?j4613:17pl70283>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg>7<3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn5>::187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a<50=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th3<:4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm81c94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd?8k0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo6?c;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f=6c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi4=k50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`;4c<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb932>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e0881<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl71283><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th3=94?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd?9<0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`;53<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl71683><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th3=54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd?900;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`;5d<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl71c83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th3=n4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd?9m0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`;5`<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl71g83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th3>=4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd?:80;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`;67<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl72283>6<729q/?n?523:8L6ee3A9h?6*=2084a>o59j0;66g=1e83>>i48:0;66s|2da4>5<69rT2i637128;0>;?9=038637148;0>;?9?038637168;0>;?91038637188;0>;?9h0386371c8;0>;?9j0386371e8;0>;?9l0386371g8;0>;?:9038637208;0>;?:;0386s|2da;>5<5sW;?j637238274=z{;oh57>52z\20a=:0;;1=>?4}r0fgd<72;qU=9m4=903>4563ty9inl50;0xZ42e342:j7?<1:p6`ed2909wS?;a:?;5`<6;81v?kld;296~X6<0164b;305>{t:ln;6=4={_363>;?9h0:?<5rs3gg5?6=:rT:985280;9567;|q1aa5=838pR<;<;<:23?7492wx>hj;:181[72:273=;4>309~w7cc=3:1>vP>509><43=9:;0q~7}Y9<:015?;:012?xu5mm=1<76;3;8=6s|2df;>5<5sW9h;63722815f=z{;oo57>513y]576<51:86<219><50=9;:015>8:003?8>703;9<637088265=:09k1=?>4=92a>447342;o7?=0:?;4a<6:9164=k513289=6a288;706>0;314>;?980:>=528009576<51886??k;|q1aag=838:wS?=c:?;466;31g>;?9>0:>n5280:957e<51;26<2b9><4e=9;i015?k:00`?8>6m3;9o6371g826f=:0;:1=?m4=902>44d3429>7?=c:p6`be2909wS?91:?;57<4ik1v?kkc;296~X6=o164{t:lnm6=4={_36g>;?8l08mo5rs3gf4?6=:rT:9o5281f97ddom;|q1a`4=838pR<8n;<:3f?5fj2wx>hk<:181[711273vP>669><5?=;hh0q~7}Y9?<015>7:2ca?xu5ml<1<77?39jn6s|2dg4>5<5sW;=86370780eg=z{;on47>52z\226=:09?1?ll4}r0fa<<72;qU=;<4=927>6ge3ty9iho50;0xZ43f342;?7=nb:p6`ce2908=v3703815<=:08914;528019<==:089144528069<3=:08>145528069<<=:08?14;528079<==:08?144528049<3=:08<145528049<<=:08=14;528059<==:08=1445280:9<3=:0821455280:9<<=:08314;5280;9<==:0831445280c9<3=:08k1455280c9<<=:08h14;5280`9<==:08h1445280a9<3=:08i1455280a9<<=:08n14;5280f9<==:08n1445280g9<3=:08o1455280g9<<=:08l14;5280d9<==:08l144528329<3=:0;:145528329<<=:0;;14;528339<==:0;;144528309<3=:0;8145528309<<=z{;ono7>52z?;46<6;8164<=53``8yv4bmm0;6?u28169567<51;?6>om;|q1a`c=838p15>::012?8>6=39jn6s|2dge>5<5s42;:7?<1:?;53<4ik1v?ki0;296~;?8>0:?<5280597dd<5>=9:;015?7:2ca?xu5mo81<7456342:57=nb:p6``42909w06?a;305>;?9h08mo5rs3ge0?6=:r73309><4d=;hh0q~7}:09i1=>?4=93`>6ge3ty9ik850;0x9=6c289:706>d;1bf>{t:ll<6=4={<:3a?749273=h4v370g8274=:08l1?ll4}r0fb<<72;q64<>512389=472:ki7p}=egc94?4|51;:6<=>;<:15?5fj2wx>hhm:1818>6:3;8=6372380eg=z{;omo7>510y><45=9;:015?;:003?8>6=3;9<637178265=:08=1=?>4=93;>447342:57?=0:?;5d<6:9164d;314>;?9l0:>=5280d9576<518;6<?4>219><75=;990qpl72583>73=:;08:vF4;h37b?6=,:k36<:j;o1b3?6<3`;?h7>5$2c;>42b3g9j;7?4;h37g?6=,:k36<:j;o1b3?4<3`;?n7>5$2c;>42b3g9j;7=4;h37e?6=,:k36<:j;o1b3?2<3`;?57>5$2c;>42b3g9j;7;4;h36=?6=,:k36<:j;o1b3?0<3`;>47>5$2c;>42b3g9j;794;h363?6=,:k36<:j;o1b3?><3`;>97>5$2c;>42b3g9j;774;h360?6=,:k36<:j;o1b3?g<3`;>?7>5$2c;>42b3g9j;7l4;h366?6=,:k36<:j;o1b3?e<3`;>=7>5$2c;>42b3g9j;7j4;h364?6=,:k36<:j;o1b3?c<3`;?47>5$2c;>42b3g9j;7h4;h1`3?6=3`;9<7>5;h1a=?6=3`;9o7>5;n355?6=,:k36<8?;o1b3?6<3f;>j7>5$2c;>4073g9j;7?4;n36a?6=,:k36<8?;o1b3?4<3f;>h7>5$2c;>4073g9j;7=4;n36g?6=,:k36<8?;o1b3?2<3f;>n7>5$2c;>4073g9j;7;4;n35f?6=,:k36<8?;o1b3?0<3f;=m7>5$2c;>4073g9j;794;n35=?6=,:k36<8?;o1b3?><3f;=;7>5$2c;>4073g9j;774;n352?6=,:k36<8?;o1b3?g<3f;=97>5$2c;>4073g9j;7l4;n350?6=,:k36<8?;o1b3?e<3f;=?7>5$2c;>4073g9j;7j4;n356?6=,:k36<8?;o1b3?c<3f;>m7>5$2c;>4073g9j;7h4;c:11?6=93:1m<;n02=?6=3th3>;4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm83;94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd?:h0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo6=b;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f=4d290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi4?j50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`;6`<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb913>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e0:;1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl73383>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg>4;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn5=;:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a<63=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th3?;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd?;>0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`;7=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl73883><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th3?l4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd?;k0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`;7f<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl73e83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th3?h4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd?;o0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`;05<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl74083><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th38?4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd?<:0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`;01<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl74483><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th38;4?:283>5}#;j;1>?64H2aa?M5d;2.9><48e:k15f<722c9=i4?::m046<722wx>hhk:1825~X>m273?;474:?;72hhj:181[73n273884>309~w7can3:1>vP>4e9><12=9:;0q~7}Y9=i015:<:012?xu5n9;1<73:3;8=6s|2g21>5<5sW;?m637408274=z{;l;?7>52z\20<=:0=:1=>?4}r0e41<72;qU=874=91e>4563ty9j=;50;0xZ43?3428i7?<1:p6c612909wS?:7:?;7a<6;81v?h?7;296~X6=<164>m51238yv4a810;6?uQ14689=5e289:7p}=f1;94?4|V8?8706{t:o:j6=4={_366>;?;00:?<5rs3d3f?6=:rT:9<5282:9567;|q1b5b=838pR<:7;<:02?7492wx>k>j:181[5d?2738;4=1b9~w7`7n3:1=?uQ13289=41288;706=7;314>;?:10:>=5283;9576<518j6<n4>219><7b=9;:0155n3;9<637318265=:0:;1=?>4=911>4473428?7?=0:?;71<6:9164>;513289=212;;o7p}=f0294?46sW;9o637278f<>;?:>0n4637298f<>;?:00n46372`8f<>;?:k0n46372b8f<>;?:m0n46372d8f<>;?:o0n4637318f<>;?;80n4637338f<>;?;:0n4637358f<>;?;<0n463737826f=:0:=1=?m4=91;>44d342857?=c:?;7d<6:j164>l513a89=5d288h706;?;l0:>n5282d957e<51>;6<2b9><15=9;i015:;:00`?8>3=3;9o6s|2g32>5<5sW;==6373480eg=z{;l:>7>52z\21c=:0:>1?ll4}r0e56<72;qU=8k4=910>6ge3ty9j<:50;0xZ43c3428>7=nb:p6c722909wS?:c:?;74<4ik1v?h>6;296~X6=k164>>53``8yv4a9>0;6?uQ17`89=4a2:ki7p}=f0:94?4|V8{t:o;26=4={_35=>;?:m08mo5rs3d2e?6=:rT:::5283a97ddom;|q1b4e=838pR<8:;<:1e?5fj2wx>k?k:181[71<273>44vP>629><7>=;hh0q~7}Y9?8015<8:2ca?xu5n;:1<75>39jn6s|2g02>5<49r73>84=189><60=0?164>85899><60=00164>95879><61=01164>95889><6>=0?164>65899><6>=00164>75879><6?=01164>75889><6g=0?164>o5899><6g=00164>l5879><6d=01164>l5889><6e=0?164>m5899><6e=00164>j5879><6b=01164>j5889><6c=0?164>k5899><6c=00164>h5879><6`=01164>h5889><16=0?1649>5899><16=001649?5879><17=011649?5889><14=0?1649<5899><14=001649=5879><15=011649=5889><12=0?1649:5899><12=001649;5879><13=011649;5889~w7`5:3:1>v37278274=:0:<1?ll4}r0e66<72;q64?9512389=502:ki7p}=f3694?4|51836<=>;<:0k<::1818>513;8=6373880eg=z{;l9:7>52z?;6d<6;8164>o53``8yv4a:>0;6?u283`9567<519i6>om;|q1b7>=838p154k39jn6s|2g0:>5<5s429h7?<1:?;7a<4ik1v?h=a;296~;?:l0:?<5282g97ddo4?:3y><7`=9:;015=i:2ca?xu5n;i1<7456342?<7=nb:p6c4c2909w06<1;305>;?<808mo5rs3d1a?6=:r73??4>309><14=;hh0q~7}:0:91=>?4=960>6ge3ty9j>>50;0x9=53289:706;4;1bf>{t:o9:6=4={<:01?749273884219><6g=9;:015=m:003?8>4k3;9<6373e8265=:0:o1=?>4=91e>447342?<7?=0:?;04<6:91649<513289=24288;706;4;314>;?<<0:>=528549755rB8o>5+3b3971g33So<6>u>9;g9b?{n1l0;6)=n8;;g?k5f?3:07d?;f;29 6g?28>n7c=n7;28?l73l3:1(>o7:06f?k5f?3;07d?;c;29 6g?28>n7c=n7;08?l73j3:1(>o7:06f?k5f?3907d?;a;29 6g?28>n7c=n7;68?l7313:1(>o7:06f?k5f?3?07d?:9;29 6g?28>n7c=n7;48?l7203:1(>o7:06f?k5f?3=07d?:7;29 6g?28>n7c=n7;:8?l72=3:1(>o7:06f?k5f?3307d?:4;29 6g?28>n7c=n7;c8?l72;3:1(>o7:06f?k5f?3h07d?:2;29 6g?28>n7c=n7;a8?l7293:1(>o7:06f?k5f?3n07d?:0;29 6g?28>n7c=n7;g8?l7303:1(>o7:06f?k5f?3l07d=l7;29?l7583:17d=m9;29?l75k3:17b?91;29 6g?28<;7c=n7;28?j72n3:1(>o7:043?k5f?3;07b?:e;29 6g?28<;7c=n7;08?j72l3:1(>o7:043?k5f?3907b?:c;29 6g?28<;7c=n7;68?j72j3:1(>o7:043?k5f?3?07b?9b;29 6g?28<;7c=n7;48?j71i3:1(>o7:043?k5f?3=07b?99;29 6g?28<;7c=n7;:8?j71?3:1(>o7:043?k5f?3307b?96;29 6g?28<;7c=n7;c8?j71=3:1(>o7:043?k5f?3h07b?94;29 6g?28<;7c=n7;a8?j71;3:1(>o7:043?k5f?3n07b?92;29 6g?28<;7c=n7;g8?j72i3:1(>o7:043?k5f?3l07o6;8;295?6=8r.8o<4=1`9K7fd<@:i87b<>9;29?xd?<00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo6;a;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f=2e290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi49m50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`;0a<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb96e>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e0<:1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl75083>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg>2:3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn5;<:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a<02=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th3984?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm84:94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd?=00;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`;1d<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl75c83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th39n4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd?=m0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`;1`<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl75g83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th3:=4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd?>80;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`;27<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl76283><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th3:94?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd?><0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`;23<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl76683><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th3:54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd?>00;6>4?:1y'7f7=:;20D>mm;I1`7>"5:80>o59m0;66a<0283>>{t:o986=4>1z\:a>;?=00386375`8;0>;?=k0386375b8;0>;?=m0386375d8;0>;?=o038637618;0>;?>8038637638;0>;?>:038637658;0>;?><038637678;0>;?>>038637698;0>{t:o9?6=4={_37b>;?>10:?<5rs3d01?6=:rT:8i528759567;|q1b61=838pR<:m;<:51?7492wx>k=7:181[73i273:94>309~w7`413:1>vP>489><35=9:;0q~7}Y9<30158=:012?xu5n:h1<7193;8=6s|2g1`>5<5sW;>;637618274=z{;l8h7>52z\210=:0?4}r0e7`<72;qU=8:4=97f>4563ty9j>h50;0xZ434342>h7?<1:p6c272909wS?:2:?;1f<6;81v?h;1;296~X6=81648l51238yv4a<;0;6?uQ14289=3f289:7p}=f5194?4|V8>3706:9;305>{t:o>?6=4={_1`3>;?>009=n5rs3d71?6=9;qU=?>4=96:>447342?m7?=0:?;0g<6:91649m513289=2c288;706;e;314>;?=528429576<51?:6<4>219><02=9;:015;::003?8>2>3;9<637568265=:0<21=?>4=94:>77c3ty9j9850;02[75k273844j8:?;0d4j8:?;112b9><0g=9;i015;m:00`?8>2k3;9o6375e826f=:044d342=<7?=c:?;24<6:j164;<513a89=04288h70694;31g>;?><0:>n52874957e<51<<6<k:8:181[719273954vP>5g9><01=;hh0q~7}Y92=39jn6s|2g6a>5<5sW;>o6375580eg=z{;l?o7>52z\21g=:0<91?ll4}r0e0a<72;qU=;l4=971>6ge3ty9j9k50;0xZ40f342>=7=nb:p6c2a2909wS?99:?;15<4ik1v?h:0;296~X6>>1649h53``8yv4a=80;6?uQ17489=2b2:ki7p}=f4094?4|V8<>706;d;1bf>{t:o?86=4={_350>;?5285`97ddj6>om;|q1b00=838pR<;n;<:7=?5fj2wx>k;8:1805~;?<109=45284;9<3=:0<31455284;9<<=:014;528769<==:0?>144528779<3=:0??145528779<<=:0?<14;528749<==:0?<144528759<3=:0?=145528759<<=:0?214;5287:9<==:0?21445rs3d6309><0?=;hh0q~7}:0=k1=>?4=97b>6ge3ty9j8o50;0x9=2e289:706:b;1bf>{t:o?i6=4={<:7g?7492739n4v374e8274=:0m6<=>;<:6b?5fj2wx>k;i:1818>283;8=6376180eg=z{;l=<7>52z?;14<6;8164;?53``8yv4a>80;6?u28409567<51<96>om;|q1b34=838p15;<:012?8>1;39jn6s|2g40>5<5s42>87?<1:?;21<4ik1v?h94;296~;?=<0:?<5287797dd<00=9:;01589:2ca?xu5n?<1<7456342=;7=nb:p6c002909w06:8;305>;?>108mo5rs3d5;?=j0:>=5284f9576<51?n6<219><37=9;:0158=:003?8>1;3;9<637658265=:0??1=?>4=945>447342=;7?=0:?;2=<6:9164;753118yxd?>h0;6>k539857~N4k:1/?n?51c3a?_c024;hc3>5<#;h21m<5a3`595>=n9>?1<7*=n9>81<7*65f16394?"4i10:;95a3`597>=n9>:1<7*=n9?o1<7*=n90n1<7*=n90h1<7*=n9031<7*=n91o1<7*=n9>21<7*5<#;h21=::4n2c4>47<3`k36=4+3`:9e2=i;h=1<65fa783>!5f03k<7c=n7;38?l5d03:17d?=0;29?l5e13:17d?=c;29?j7f?3:1(>o7:0c5?k5f?3:07b?n5;29 6g?28k=7c=n7;38?j7f<3:1(>o7:0c5?k5f?3807b?n3;29 6g?28k=7c=n7;18?j7f:3:1(>o7:0c5?k5f?3>07b?n1;29 6g?28k=7c=n7;78?j7f83:1(>o7:0c5?k5f?3<07b?6f;29 6g?28k=7c=n7;58?j7dn3:1(>o7:0c5?k5f?3207b?le;29 6g?28k=7c=n7;;8?j7dl3:1(>o7:0c5?k5f?3k07b?lc;29 6g?28k=7c=n7;`8?j7dj3:1(>o7:0c5?k5f?3i07b?la;29 6g?28k=7c=n7;f8?j7d83:1(>o7:0c5?k5f?3o07b?m5;29 6g?28k=7c=n7;d8?j7fi3:1(>o7:0c5?k5f?3;;76a>9d83>!5f03;j:6`=h9m31<7*=h9m<1<7*65`1e794?"4i10:h55a3`597>=h9m>1<7*=h9m81<7*=h:9;1<7*=h9ol1<7*=h9on1<7*=h9o81<7*=h9mi1<7*5<#;h21=i64n2c4>47<3k2=n7>51;294~"4k809=l5G3b`8L6e43f8:57>5;|`;2f<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb94f>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e0?l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl77183>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg>093:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn59=:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a<25=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th3;94?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm86594?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd??10;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo689;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f=1f290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi4:l50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`;3f<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb95f>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e0>l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl78183>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg>?93:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn56=:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a<=5=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th3494?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm89594?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd?010;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo679;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f=>f290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi45l50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`;5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb9:f>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e01l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl79183>a<729q/?n?53`g8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th35<4?:e83>5}#;j;1?lk4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17bmm;I1`7>"5:80<7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`;=6<72m0;6=u+3b397dc<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th3584?:e83>5}#;j;1?lk4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17bmm;I1`7>"5:80<7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`;=2<72m0;6=u+3b397dc<@:ii7E=l3:&164<03`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2086?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th3544?:e83>5}#;j;1?lk4H2aa?M5d;2.9><4:;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17bmm;I1`7>"5:80>7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`;=g<72m0;6=u+3b397dc<@:ii7E=l3:&164<23`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2086?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th35i4?:e83>5}#;j;1?lk4H2aa?M5d;2.9><4:;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17bmm;I1`7>"5:80>7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`;=c<72m0;6=u+3b397dc<@:ii7E=l3:&164<23`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2086?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th3m<4?:e83>5}#;j;1?lk4H2aa?M5d;2.9><4:;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17b4?:1y'7f7=:;20D>mm;I1`7>"5:80>o59m0;66a<0283>>{t:o<26=4>3z\b6>;?1903:637908;2>;?1;03:637928;2>;?1=03:637948;2>;?1?03:637968;2>;?1103:637988;2>;?1h03:6379c8;2>;?1j03:6379e8;2>;?1l03:6379g8;2>;?i903:637a08;2>{t:o3z\b4>;?19038637908;0>;?1;038637928;0>;?1=038637948;0>;?1?038637968;0>;?11038637988;0>;?1h0386379c8;0>;?1j0386379e8;0>;?1l0386379g8;0>;?i9038637a08;0>{t:o;?i80:?<5rs3d5g?6=:rT:;>528`29567;|q1b3c=838pR<9>;<::a?7492wx>k8i:181[7082735i4>309~w7`083:1>vP>6g9><7}Y9?o01576:012?xu5n>81<7>j3;8=6s|2g50>5<5sW;2h6379`8274=z{;l<87>52z\2=f=:0021=>?4}r0e30<72;qU=4l4=9;4>4563ty9j:850;0xZ4?f3422:7?<1:p6c102909wS?69:?;=0<6;81v?h88;296~X6111644:51238yv4a?00;6?uQ19g89=?4289:7p}=f6c94?4|V82870660;305>{t:o=i6=4={_34<>;?1;0:?<5rs3d4g?6=:rT::n528839567<513;6?>9;<::5?47>2735?4=079><<5=:9<0157;:325?8>>=38;:637978143=:00=1>=84=9;;>761342257;?1l09<;5288d9650<51k;6?>9;<:b5?47>2wx>k9j:1827~Xf>2735=4=049><<7=:9?0157=:326?8>>;38;9637958140=:00?1>=;4=9;5>7623422;77066b;031>;?1j09<85288f9653<513n6?>:;<::b?47=273m=4=049>7}Y;j2015o=:33`?xu5n1:1<7<9{_314>;?>j0:>=5287f9576<51219><27=9;:0159=:003?8>0;3;9<637758265=:0>?1=?>4=955>447342<;7?=0:?;3=<6:9164:7513289=1f288;7068b;314>;??j0:>=5286f9576<51=n6<219><=7=9;:0156=:003?8>?;3;9<637858265=:01?1=?>4=9:5>4473423;7?=0:?;<=<6:916457513289=>f288;7067b;314>;?0j0:>=5289f9576<512n6<:d:89=152l20159<:d:89=132l20159::d:89=112l201598:d:89=1?2l201596:d:89=1f2l20159m:d:89=1d2l20159k:d:89=1b2l20159i:d:89=>72l20156>:d:89=>52l20156<:d:89=>32l20156::d:89=>12l201568:d:89=>?2l201566:d:89=>f2l20156m:d:89=>d2l20156k:d:89=>b2l20156i:d:89=?72:i=70661;1`2>;?1;08o;5288197f0<513?6>m9;<::1?5d>2735;4<<1=;j<01577:2a5?8>>139h:6379`80g3=:00h1?n84=9;`>6e13422h7=l6:?;=`<4k?1644h53b489=g72:i=706n1;1`2>{t:o296=4={_3b3>;??m08mo5rs3d;7?6=:rT:m85286a97ddom;|q1b=3=838pRk69:181[7f:273;44vP>a09><2>=;hh0q~7}Y9h:01598:2ca?xu5n131<70>39jn6s|2g:b>5<5sW;hj6377480eg=z{;l3n7>52z\2g`=:0>>1?ll4}r0e6ge3ty9j5j50;0xZ4ed342<>7=nb:p6c>b2909wS?lb:?;34<4ik1v?h7f;296~X6kh164:>53``8yv4a190;6?uQ1b289=0a2:ki7p}=f8394?4|V8h>7069e;1bf>{t:o396=4={_3be>;?>m08mo5rs3d:7?6=:rT:5h5287a97ddom;|q1b<3=838pRk79:181[7c>2734i4?3:1>vP>d49><=e=;hh0q~7}Y9m>0156m:2ca?xu5n031<7?i39jn6s|2g;b>5<5sW;o>6378880eg=z{;l2n7>52z\2`4=:0121?ll4}r0e=f<72;qU>=?4=9:4>6ge3ty9j4j50;0xZ7673423:7=nb:p6c?b2909wS?if:?;<0<4ik1v?h6f;296~X6nl1645:53``8yv4ai90;6?uQ1gf89=>42:ki7p}=f`394?4|V8lh70672;1bf>{t:ok96=4={_3e6>;?0808mo5rs3db7?6=:rT:i:5289297ddom;|q1bd3=838pRko9:187=~;?>k09=4528829<==:00:144528829651<513;6?>7;<::5?>?3422=766;<::5?47?2735<4=099><<4=011644<5889><<4=:9=0157=:32;?8>>;32370663;::?8>>;38;;63792814==:00>145528869<<=:00>1>=94=9;7>76?34229767;<::1?>>342297<<0=0016448521589=?12;:370667;:;?8>>?32270667;033>;?1>09<55288:9<==:0021445288:9651<51336?>7;<::=?>?34225766;<::=?47?273544=099><<>j3237066b;::?8>>j38;;6379c814==:00i1455288a9<<=:00i1>=94=9;`>76?3422h767;<::`?>>3422h7<>n3227066f;033>;?1o09<5528`29<==:0h:144528`29651<51k;6?>7;<:b5?>?342j=766;<:b5?47?273m<4=099~w7`f?3:1>v376b8274=:00;1?ok4}r0ee=<72;q64;j512389=?52:hn7p}=f`;94?4|51;<::4?5em2wx>kon:1818>1n3;8=6379280f`=z{;ljn7>52z?;35<6;81644:53cg8yv4aij0;6?u28639567<513>6>lj;|q1bdb=838p159=:012?8>>>39ii6s|2gcf>5<5s42<23=9:;0157n:2`f?xu5nk;1<74563422n7=me:p6cd52909w0687;305>;?1008nh5rs3da7?6=:r73;54>309><7}:0>31=>?4=9;g>6db3ty9jo;50;0x9=1f289:7066e;1aa>{t:oh=6=4={<:4f?7492735k4v377b8274=:0h:1?ok4}r0ef=<72;q64:j512389=g62:hn7p}=fc;94?4|51=n6<=>;<::5?47<2wx>kln:1818>0n3;8=637938141=z{;lin7>52z?;<5<6;81644>52168yv4ajj0;6?u28939567<51386?>;;|q1bgb=838p156=:012?8>><38;86s|2g`f>5<5s423?7?<1:?;=0<58=1v?hmf;296~;?0=0:?<528849652<=3=9:;01578:327?xu5nj;1<7456342247;?1h09<95rs3d`7?6=:r73454>309><0q~7}:0131=>?4=9;:>7633ty9jn;50;0x9=>f289:7066c;030>{t:oi=6=4={<:;f?7492735i4=059~w7`d?3:1>v378b8274=:00o1>=:4}r0eg=<72;q645j512389=?a2;:?7p}=fb;94?4|512n6<=>;<:b4?47<2wx>kmn:1818>?n3;8=637a08141=z{;lhn7>512y><<6=;j=0157>:2a4?8>>:39h;6379280g2=:00>1?n94=9;6>6e03422:7=l7:?;=2<4k>1644653b589=?>2:i<7066a;1`3>;?1k08o:5288a97f1<513o6>m8;<::a?5d?2735k4:2a4?8>f:39;?6srb9c0>5<4m3936;=tH2a0?!5d93;i=n5Ue68613=9=0?:7?8:0:9ylg5290/?l65a09m7d1=821bm=4?:%1b5$2c;>4133g9j;7?4;h346?6=,:k36<9;;o1b3?4<3`;<=7>5$2c;>4133g9j;7=4;h344?6=,:k36<9;;o1b3?2<3`;=j7>5$2c;>4133g9j;7;4;h35a?6=,:k36<9;;o1b3?0<3`;=h7>5$2c;>4133g9j;794;h3:`?6=,:k36<9;;o1b3?><3`;2o7>5$2c;>4133g9j;774;h3:f?6=,:k36<9;;o1b3?g<3`;2m7>5$2c;>4133g9j;7l4;h3:=?6=,:k36<9;;o1b3?e<3`;247>5$2c;>4133g9j;7j4;h3;a?6=,:k36<9;;o1b3?c<3`;3?7>5$2c;>4133g9j;7h4;h341:9je=<72-9j47o8;o1b3?6<3`k=6=4+3`:9e2=i;h=1=65f3b:94?=n9;:1<75f3c;94?=n9;i1<75`1`594?"4i10:m;5a3`594>=h9h?1<7*=h9h91<7*=h9h;1<7*=h90l1<7*=h9jo1<7*=h9ji1<7*=h9jk1<7*=h9k?1<7*5$2c;>4b?3g9j;7?4;n3g2?6=,:k365$2c;>4b?3g9j;7=4;n3g0?6=,:k365$2c;>4b?3g9j;7;4;n3g6?6=,:k365$2c;>4b?3g9j;794;n035?6=,:k36<3f8;<7>5$2c;>4b?3g9j;774;n3eb?6=,:k365$2c;>4b?3g9j;7l4;n3e`?6=,:k365$2c;>4b?3g9j;7j4;n3e6?6=,:k365$2c;>4b?3g9j;7h4;n3gg?6=,:k361:9at$2a2>77f3A9hn6F5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th3m:4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm8`c94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd?ik0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo6nc;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f=gc290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi4lk50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`;ec<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb9`2>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e0k81<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl7b283>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg>e<3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn5l::187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th3n:4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm8cc94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd?jk0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo6mc;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f=dc290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi4ok50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`;fc<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb9a2>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e0j81<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl7c283>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg>d<3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn5m::187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th3o:4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f=ef290o6=4?{%1`5?5fm2B8oo5G3b18 7462?1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb9aa>5N4kk1C?n=4$302>3=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vn5ml:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:49j<1<722c3:7>5;h:;>5<>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f=eb290o6=4?{%1`5?5fm2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb9ae>5N4kk1C?n=4$302>2=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vn5j?:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f=b5290o6=4?{%1`5?5fm2B8oo5G3b18 7462<1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb9f0>5N4kk1C?n=4$302>2=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vn5j;:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:49j<1<722c3:7>5;h:;>5<6=4k:183!5d939ji6F>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f=b1290o6=4?{%1`5?5fm2B8oo5G3b18 7462<1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb9f4>5N4kk1C?n=4$302>0=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vn5j7:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:49j<1<722c3:7>5;h:;>5<>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f=bf290o6=4?{%1`5?5fm2B8oo5G3b18 7462<1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb9fa>5<4290;w)=l1;01<>N4kk1C?n=4$302>2c5<=0<51ij6584=9aa>=0<51ih6584=9ag>=0<51in6584=9ae>=0<51n;6584=9f2>=0<51n96584=9f0>=0<51n?6584=9f6>=0<51n=6584=9f4>=0<51n36584=9f:>=0<51nj6584}r0ega<7289pRl>4=9a:>=2<51ij65:4=9aa>=2<51ih65:4=9ag>=2<51in65:4=9ae>=2<51n;65:4=9f2>=2<51n965:4=9f0>=2<51n?65:4=9f6>=2<51n=65:4=9f4>=2<51n365:4=9f:>=2<51nj65:4}r0eg`<72;qU=:;4=9fb>4563ty9jnh50;0xZ414342o57?<1:p6cb72909wS?82:?;`=<6;81v?hk1;296~X6?8164i951238yv4al;0;6?uQ16289=b1289:7p}=fe194?4|V8{t:on?6=4={_35a>;?l;0:?<5rs3dg1?6=:rT::i528e69567;|q1ba1=838pR<7l;<:g5?7492wx>kj7:181[7>j273h=4>309~w7`c13:1>vP>9`9>7}Y903015mj:012?xu5nmh1<7dl3;8=6s|2gf`>5<5sW;3i637cb8274=z{;loh7>52z\2<6=:0j31=>?4}r0e``<72;qU=:64=9aa>4563ty9jih50;0xZ40d342hm7?<1:p6cc7290:?vPn8:?;g<<58?164no521489=ee2;:=706lc;032>;?km09<;528bg9650<51im6?>9;<:g4?47>273h<4=079>c<38;:637d48143=:0m<1>=84=9f4>761342o472;:>706la;031>;?kk09<8528ba9653<51io6?>:;<:`a?47=273ok4=049>:326?8>c:38;9637d28140=:0m>1>=;4=9f6>762342o:72;:>706ka;031>{t:oo96=4={_1`<>;?lk09=n5rs3df7?6=:?qU=?>4=9c6>447342j:7?=0:?;e2<6:9164l6513289=g>288;706na;314>;?ik0:>=528`a9576<51ko6<219>:003?8>e:3;9<637b28265=:0k>1=?>4=9`6>447342i:7?=0:?;f2<6:9164o6513289=d>288;706ma;314>;?jk0:>=528ca9576<51ho6<219>:003?8>d:3;9<637c28265=:0j>1=?>4=9a6>447342h:7?=0:?;g2<6:9164n6513289=be2;;o7p}=fd694?50sW;9o637a48f<>;?i?0n4637a68f<>;?i10n4637a88f<>;?ih0n4637ac8f<>;?ij0n4637ae8f<>;?il0n4637ag8f<>;?j90n4637b08f<>;?j;0n4637b28f<>;?j=0n4637b48f<>;?j?0n4637b68f<>;?j10n4637b88f<>;?jh0n4637bc8f<>;?jj0n4637be8f<>;?jl0n4637bg8f<>;?k90n4637c08f<>;?k;0n4637c28f<>;?k=0n4637c48f<>;?k?0n4637c68f<>;?k10n4637c880g3=:0jk1?n84=9aa>6e1342ho7=l6:?;ga<4k?164nk53b489=ea2:i=706k0;1`2>;?l808o;528e097f0<51n86>m9;<:g0?5d>273h84c039h:637d880g3=:0mk1?n84}r0ea0<72;qU=l94=9`5>6ge3ty9jh850;0xZ4g2342i97=nb:p6cc02909wS?n4:?;f1<4ik1v?hj8;296~X6i:164o=53``8yv4am00;6?uQ1`089=d52:ki7p}=fdc94?4|V8k:706m1;1bf>{t:ooi6=4={_3b4>;?j908mo5rs3dfg?6=:rT:5k528`d97ddom;|q1b`c=838pRkki:181[7dl273mn4vP>cb9>7}Y9jh015on:2ca?xu5no81<7f139jn6s|2gd0>5<5sW;h<637a980eg=z{;lm87>52z\2f0=:0h=1?ll4}r0eb0<72;qU=lo4=9c5>6ge3ty9jk850;0xZ4?b342j97=nb:p6c`02909wS?k9:?;g=<4ik1v?hi8;296~X6l>164n953``8yv4an00;6?uQ1e489=e12:ki7p}=fgc94?4|V8n>706l5;1bf>{t:oli6=4={_3g0>;?k=08mo5rs3deg?6=:rT:h>528b197ddom;|q1bcc=838pR;<:`5?5fj2wx>khi:181[479273o=4vP=019>7}Y9ol015lj:2ca?xu48981<7el39jn6s|3120>5<5sW;mh637bb80eg=z{::;87>52z\2bf=:0kh1?ll4}r1340<72;qU=k<4=9`b>6ge3ty8<=850;0xZ4c0342i57=nb:p75602909wS?kc:?;f=<4ik1v>>?8;296~X6l9164o953``8yv57800;697t=9c7>77>342h5767;<:`=?>>342h57dj322706lb;033>;?kk09<5528ba9<==:0ji144528ba9651<51ih6?>7;<:``?>?342hh766;<:``?47?273oi4=099>dn323706lf;::?8>dn38;;637cg814==:0m:145528e29<<=:0m:1>=94=9f3>76?342o=767;<:g5?>>342o=7c;322706k3;033>;?l:09<5528e69<==:0m>144528e69651<51n?6?>7;<:g1?>?342o9766;<:g1?47?273h84=099>c?323706k7;::?8>c?38;;637d6814==:0m2145528e:9<<=:0m21>=94=9f;>76?342o5767;<:g=?>>342o576<=>;<:`e?5em2wx?=>m:1818>f>3;8=637cc80f`=z{::;o7>52z?;e2<6;8164n753cg8yv578m0;6?u28`:9567<51ih6>lj;|q045c=838p15o6:012?8>dl39ii6s|312e>5<5s42jm7?<1:?;g`<4jl1v>>>0;296~;?ik0:?<528bd97gc456342o=7=me:p75742909w06ne;305>;?l:08nh5rs2220?6=:r73mk4>309>7}:0k:1=>?4=9f1>6db3ty8<<850;0x9=d6289:706k5;1aa>{t;9;<6=4={<:a6?749273h;4v37b28274=:0m=1?ok4}r135<<72;q64o:512389=b?2:hn7p}<00c94?4|51h>6<=>;<:g=?5em2wx?=?m:1818>e>3;8=637d`80f`=z{:::o7>52z?;f2<6;8164no52168yv579m0;6?u28c:9567<51ii6?>;;|q044c=838p15l6:012?8>d138;86s|313e>5<5s42im7?<1:?;gf<58=1v>>=0;296~;?jk0:?<528bf9652<4?:3y>456342hj7;?l909<95rs2210?6=:r73nk4>309>0q~=?2483>7}:0j:1=>?4=9f0>7633ty8{t;98<6=4={<:`6?749273h?4=059~w66503:1>v37c28274=:0m?1>=:4}r136<<72;q64n:512389=b12;:?7p}<03c94?4|51i>6<=>;<:g3?47<2wx?=d>3;8=637d98141=z{::9o7>52z?;g2<6;8164i752168yv57:m0;6?u28b:9567<51nj6?>;;|q047c=83;8w06l9;1`3>;?kh08o:528b`97f1<51ih6>m8;<:``?5d?273oh4c939h;637d380g2=:0m91?n94=9f7>6e0342o97=l7:?;`3<4k>164i953b589=b?2:i<706k9;1`3>;?lh08o:528e`97555+3b395g7c3So<68u;5;37>10=9>0:47sfa383>!5f03k:7c=n7;28?lg7290/?l65a09m7d1=921b=:;50;&0e=<6?=1e?l950:9j525=83.8m54>759m7d1=921b=:<50;&0e=<6?=1e?l952:9j527=83.8m54>759m7d1=;21b=:>50;&0e=<6?=1e?l954:9j53`=83.8m54>759m7d1==21b=;k50;&0e=<6?=1e?l956:9j53b=83.8m54>759m7d1=?21b=4j50;&0e=<6?=1e?l958:9j5759m7d1=121b=4l50;&0e=<6?=1e?l95a:9j5759m7d1=j21b=4750;&0e=<6?=1e?l95c:9j5<>=83.8m54>759m7d1=l21b=5k50;&0e=<6?=1e?l95e:9j5=5=83.8m54>759m7d1=n21b=:650;&0e=<6?=1e?l951198m40d290/?l651668j6g028;07do7:18'7d>=i>1e?l950:9je3<72-9j47o8;o1b3?7<3`9h47>5;h314?6=3`9i57>5;h31g?6=3f;j;7>5$2c;>4g13g9j;7>4;n3b1?6=,:k365$2c;>4g13g9j;7<4;n3b7?6=,:k367>5$2c;>4g13g9j;7:4;n3b5?6=,:k365$2c;>4g13g9j;784;n3:b?6=,:k365$2c;>4g13g9j;764;n3`a?6=,:k365$2c;>4g13g9j;7o4;n3`g?6=,:k365$2c;>4g13g9j;7m4;n3`e?6=,:k365$2c;>4g13g9j;7k4;n3a1?6=,:k365$2c;>4g13g9j;7??;:m2=`<72-9j47?n6:l0e2<6921d=i750;&0e=<6l11e?l950:9l5a1=83.8m54>d99m7d1=921d=i850;&0e=<6l11e?l952:9l5a3=83.8m54>d99m7d1=;21d=i:50;&0e=<6l11e?l954:9l5a5=83.8m54>d99m7d1==21d=i<50;&0e=<6l11e?l956:9l5a7=83.8m54>d99m7d1=?21d>=?50;&0e=<6l11e?l958:9l656=83.8m54>d99m7d1=121d=kh50;&0e=<6l11e?l95a:9l5cc=83.8m54>d99m7d1=j21d=kj50;&0e=<6l11e?l95c:9l5ce=83.8m54>d99m7d1=l21d=k<50;&0e=<6l11e?l95e:9l5`1=83.8m54>d99m7d1=n21d=im50;&0e=<6l11e?l951198k4b7290/?l651e:8j6g028;07o6kd;295?6=8r.8o<4=1`9K7fd<@:i87b<>9;29?xd?ll0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo6kf;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f=c7290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi4h?50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`;a7<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb9g7>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e0l?1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl7e783>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg>b?3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn5k7:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a<`?=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th3il4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm8df94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd?ml0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo6jf;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f=`7290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi4k?50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`;b7<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb9d7>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e0o?1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl7f783>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg>a?3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn5h7:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th3jl4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm8gf94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd?nl0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo6if;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f<67290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi5=?50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`:47<72m0;6=u+3b397dc<@:ii7E=l3:&164<03`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2086?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th2<94?:e83>5}#;j;1?lk4H2aa?M5d;2.9><48;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17b8<0;6i4?:1y'7f7=;ho0D>mm;I1`7>"5:80<7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`:43<72m0;6=u+3b397dc<@:ii7E=l3:&164<23`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2085?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th2<54?:e83>5}#;j;1?lk4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17b800;6i4?:1y'7f7=;ho0D>mm;I1`7>"5:80=7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`:4d<72m0;6=u+3b397dc<@:ii7E=l3:&164<13`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2084?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th25}#;j;1?lk4H2aa?M5d;2.9><4:;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17b8m0;6i4?:1y'7f7=;ho0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`:4`<72m0;6=u+3b397dc<@:ii7E=l3:&164<23`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2086?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th2==4?:e83>5}#;j;1?lk4H2aa?M5d;2.9><4:;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17b980;6i4?:1y'7f7=;ho0D>mm;I1`7>"5:80>7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`:57<72m0;6=u+3b397dc<@:ii7E=l3:&164<23`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2086?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th2=94?:283>5}#;j;1>?64H2aa?M5d;2.9><48e:k15f<722c9=i4?::m046<722wx?=272<9476:?:40272<;476:?:42272<5476:?:4<272272272272==476:?:54272=?476:?:562wx?==?:1827~Xf8272:181[70=272=>4>309~w664:3:1>vP>729>=44=9:;0q~=?3283>7}Y9>8014?>:012?xu48:>1<75<5sW;<<6360g8274=z{::8:7>52z\22c=:19o1=>?4}r1372<72;qU=;k4=82a>4563ty8<>650;0xZ40c343;h7?<1:p755>2909wS?6d:?:4f<6;81v>>289:7p}<02a94?4|V83j707?8;305>{t;99o6=4={_3:=>;>8>0:?<5rs220a?6=:rT:555291495676<=>;|q0416=838pR<6<;<;36?7492wx?=:>:181[700272<94>309~w663:3:1>vP>6b9>=55=9:;0q~=?4283>45|Vh2014>=:325?8?7;38;:636058143=:19?1>=84=825>761343;;7;>8j09<;5291f9650<50:n6?>9;<;3b?47>272==4=079>=47=:9<014?=:325?8?6;38;:6s|3167>5<6;rTj:636038140=:1991>=;4=827>762343;97707?9;031>;>8h09<85291`9653<50:h6?>:;<;3`?47=272=5`=:9?014??:326?8?6938;9636138140=:1891>=;4}r1300<72;qU?n64=837>77d3ty8<9850;05[758273hh4>219>b93;9<637e38265=:0l91=?>4=9g7>447342n97?=0:?;a3<6:9164h9513289=c?288;706j9;314>;?mh0:>=528d`9576<51oh6<219><``=9;:015h?:003?8>a93;9<637f38265=:0o91=?>4=9d7>447342m97?=0:?;b3<6:9164k9513289=`?288;706i9;314>;?nh0:>=528g`9576<51lh6<219>?:003?8?793;9<63615815a=z{::?;7>536y]57e<51nn6h64=9fe>`><51o;6h64=9g2>`><51o96h64=9g0>`><51o?6h64=9g6>`><51o=6h64=9g4>`><51o36h64=9g:>`><51oj6h64=9ga>`><51oh6h64=9gg>`><51on6h64=9ge>`><51l;6h64=9d2>`><51l96h64=9d0>`><51l?6h64=9d6>`><51l=6h64=9d4>`><51l36h64=9d:>`><51lj6h64=9da>`><51lh6h64=9dg>`><51ln6h64=9de>`><50:;6h64=822>`><50:96>m9;<;37?5d>272<94=53=;j<014>9:2a5?8?7?39h:6360980g3=:1931?n84=82b>6e1343;n7=l6:?:4f<4k?165=j53b489<6b2:i=707?f;1`2>;>9908o;5290397f0<50;96>m9;<;27?5d>2wx?=:7:181[7f?273ik4vP>a49><`c=;hh0q~=?4`83>7}Y9h>015kk:2ca?xu48=h1<7bk39jn6s|316`>5<5sW;j>637ec80eg=z{::?h7>52z\2e4=:0lk1?ll4}r130`<72;qU=l>4=9g:>6ge3ty8<9h50;0xZ4?a342n47=nb:p75372909wS?lf:?;a2<4ik1v>>:1;296~X6kl164h853``8yv57=;0;6?uQ1bf89=c22:ki7p}<04194?4|V8ih706j4;1bf>{t;9??6=4={_3`f>;?m:08mo5rs2261?6=:rT:ol528d097ddom;|q0401=838pRvP>9d9>7}Y9m3014>>:2ca?xu485<5sW;o:637fg80eg=z{::>h7>52z\2`0=:0oo1?ll4}r131`<72;qU=i:4=9dg>6ge3ty8<8h50;0xZ4b4342mo7=nb:p75072909wS?k2:?;bg<4ik1v>>91;296~X6l8164ko53``8yv57>;0;6?uQ21389=`>2:ki7p}<07194?4|V;:;706i8;1bf>{t;9;?n>08mo5rs2251?6=:rT:jh528g497dd6>om;|q0431=838pR4vP>e69>7}Y9mi015h>:2ca?xu48?h1<7a839jn6s|314`>5<31r73hi4=189>=54=01165=<5889>=54=:9=014>=:32;?8?7;323707?3;::?8?7;38;;63602814==:19>145529169<<=:19>1>=94=827>76?343;9767;<;31?>>343;97=50=00165=8521589<612;:3707?7;:;?8?7?322707?7;033>;>8>09<55291:9<==:1921445291:9651<50:36?>7;<;3=?>?343;5766;<;3=?47?272<44=099>=5g=01165=o5889>=5g=:9=014>n:32;?8?7j323707?b;::?8?7j38;;6360c814==:19i1455291a9<<=:19i1>=94=82`>76?343;h767;<;3`?>>343;h7=5c=00165=k521589<6b2;:3707?f;:;?8?7n322707?f;033>;>8o09<5529029<==:18:144529029651<50;;6?>7;<;25?>?343:=766;<;25?47?272=<4=099>=44=01165<<5889>=44=:9=014?=:32;?8?6;323707>3;::?8?6;38;;63612814==z{::=h7>52z?;``<6;8165==53cg8yv57>l0;6?u28ed9567<50:?6>lj;|q043`=838p15k?:012?8?7:39ii6s|3153>5<5s42n=7?<1:?:40<4jl1v>>81;296~;?m;0:?<5291497gc<`5=9:;014>8:2`f?xu48>91<7456343;47=me:p75132909w06j5;305>;>8008nh5rs2241?6=:r73i;4>309>=5g=;ko0q~=?7783>7}:0l=1=>?4=82`>6db3ty8<:950;0x9=c?289:707?d;1aa>{t;9=36=4={<:f=?749272v37e`8274=:19o1?ok4}r133d<72;q64hl512389<6a2:hn7p}<06`94?4|51oh6<=>;<;24?5em2wx?=9l:1818>bl3;8=6361080f`=z{::52z?;a`<6;8165<<53cg8yv57?l0;6?u28dd9567<50;86>lj;|q042`=838p15h?:012?8?7;38;86s|31:3>5<5s42m=7?<1:?:41<58=1v>>71;296~;?n;0:?<529109652::327?xu48191<7456343;:732909w06i5;305>;>8>09<95rs22;1?6=:r73j;4>309>=5>=:9>0q~=?8783>7}:0o=1=>?4=82:>7633ty8<5950;0x9=`?289:707?a;030>{t;9236=4={<:e=?749272v37f`8274=:19n1>=:4}r13;<;3a?47<2wx?=6l:1818>al3;8=6360g8141=z{::3h7>52z?;b`<6;8165<>52168yv570l0;6?u28gd9567<50;:6?>;;|q04=`=838p14>?:012?8?6:38;86s|31;3>5<5s43;=7?<1:?:56<58=1v>>61;2956}:1981?n94=820>6e0343;87=l7:?:40<4k>165=853b589<602:i<707?8;1`3>;>8008o:5291c97f1<50:i6>m8;<;3g?5d?272=5c=;j=014>i:2a4?8?6839h;6361080g2=:1881?n94=830>6e0343:87=?3:~f<722908i7=7:71xL6e43-9h=7?m1d9Ya2<2s=?1=94;6;34>4>=u`k96=4+3`:9e4=i;h=1<65fa183>!5f03k:7c=n7;38?l70=3:1(>o7:057?k5f?3:07d?83;29 6g?28=?7c=n7;38?l70:3:1(>o7:057?k5f?3807d?81;29 6g?28=?7c=n7;18?l7083:1(>o7:057?k5f?3>07d?9f;29 6g?28=?7c=n7;78?l71m3:1(>o7:057?k5f?3<07d?9d;29 6g?28=?7c=n7;58?l7>l3:1(>o7:057?k5f?3207d?6c;29 6g?28=?7c=n7;;8?l7>j3:1(>o7:057?k5f?3k07d?6a;29 6g?28=?7c=n7;`8?l7>13:1(>o7:057?k5f?3i07d?68;29 6g?28=?7c=n7;f8?l7?m3:1(>o7:057?k5f?3o07d?73;29 6g?28=?7c=n7;d8?l7003:1(>o7:057?k5f?3;;76g>6b83>!5f03;<86`=ni10;6)=n8;c4?k5f?3:07do9:18'7d>=i>1e?l951:9j7f>=831b=?>50;9j7g?=831b=?m50;9l5d1=83.8m54>a79m7d1=821d=l;50;&0e=<6i?1e?l951:9l5d2=83.8m54>a79m7d1=:21d=l=50;&0e=<6i?1e?l953:9l5d4=83.8m54>a79m7d1=<21d=l?50;&0e=<6i?1e?l955:9l5d6=83.8m54>a79m7d1=>21d=4h50;&0e=<6i?1e?l957:9l5f`=83.8m54>a79m7d1=021d=nk50;&0e=<6i?1e?l959:9l5fb=83.8m54>a79m7d1=i21d=nm50;&0e=<6i?1e?l95b:9l5fd=83.8m54>a79m7d1=k21d=no50;&0e=<6i?1e?l95d:9l5f6=83.8m54>a79m7d1=m21d=o;50;&0e=<6i?1e?l95f:9l5dg=83.8m54>a79m7d1=9910c<7j:18'7d>=9h<0b>o8:038?j7c13:1(>o7:0f;?k5f?3:07b?k7;29 6g?28n37c=n7;38?j7c>3:1(>o7:0f;?k5f?3807b?k5;29 6g?28n37c=n7;18?j7c<3:1(>o7:0f;?k5f?3>07b?k3;29 6g?28n37c=n7;78?j7c:3:1(>o7:0f;?k5f?3<07b?k1;29 6g?28n37c=n7;58?j4793:1(>o7:0f;?k5f?3207bo7:0f;?k5f?3k07b?ie;29 6g?28n37c=n7;`8?j7al3:1(>o7:0f;?k5f?3i07b?ic;29 6g?28n37c=n7;f8?j7a:3:1(>o7:0f;?k5f?3o07b?j7;29 6g?28n37c=n7;d8?j7ck3:1(>o7:0f;?k5f?3;;76a>d183>!5f03;o46`=e18<1<7?50;2x 6e62;;j7E=lb:J0g6=h:831<75rb834>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e1821<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl61883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?6i3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn4?m:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a=4e=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th2=i4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm93294?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd>:80;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo7=2;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f<44290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi5?:50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`:60<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb804>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e1;21<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl62883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?5i3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn45<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a=7e=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th2>i4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm92294?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd>;80;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo7<2;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f<54290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi5>:50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`:70<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb814>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e1:21<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl63883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?4i3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn4=m:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:69j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f<5c290o6=4?{%1`5?5fm2B8oo5G3b18 7462?1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb81f>5N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vn4=i:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<;6=4k:183!5d939ji6F>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f<26290o6=4?{%1`5?5fm2B8oo5G3b18 7462>1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb861>5N4kk1C?n=4$302>0=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vn4:<:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:79j<1<722c3:7>5;h:;>5<?6=4k:183!5d939ji6F5f8583>>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f<22290o6=4?{%1`5?5fm2B8oo5G3b18 7462?1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb865>5N4kk1C?n=4$302>3=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vn4:8:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:69j<1<722c3:7>5;h:;>5<36=4k:183!5d939ji6F>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f<2>290o6=4?{%1`5?5fm2B8oo5G3b18 7462<1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb86b>5N4kk1C?n=4$302>0=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vn4:m:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:49j<1<722c3:7>5;h:;>5<h6=4k:183!5d939ji6F>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f<2c29086=4?{%1`5?4502B8oo5G3b18 7462>o0e??l:188m77c2900c>><:188yv571;0;6<=t^`089<5e21<014=l:9489<5c21<014=j:9489<5a21<014:?:9489<2621<014:=:9489<2421<014:;:9489<2221<014:9:9489<2021<014:7:9489<2>21<014:n:9489<2e21<014:l:948yv571:0;6<=t^`289<5e21>014=l:9689<5c21>014=j:9689<5a21>014:?:9689<2621>014:=:9689<2421>014:;:9689<2221>014:9:9689<2021>014:7:9689<2>21>014:n:9689<2e21>014:l:968yv571=0;6?uQ16789<2d289:7p}<08794?4|V8=8707;b;305>{t;93=6=4={_346>;>36<=>;|q04309~w66>j3:1>vP>6e9>=10=9:;0q~=?9b83>7}Y90n014:::012?xu480n1<75<5sW;2n636438274=z{::2j7>52z\2=d=:1=;1=>?4}r13e5<72;qU=474=863>4563ty8>n3;296~X60:165>l51238yv57i=0;6?uQ16:89<5c289:7p}<0`794?4|V8{t;9k=6=4>3z\b<>;>;k09<;5292a9650<509o6?>9;<;0a?47>272?k4=079>=16=:9<014:>:325?8?3:38;:636428143=:1=>1>=84=866>761343?:72;:=707;a;032>;>:;<;0g?47=272?i4=049>=6c=:9?014=i:326?8?3838;9636408140=:1=81>=;4=860>762343?87707;8;031>;><009<85295c9653<50>i6?>:;<;7g?47=2wx?=o7:181[5d02728i4=1b9~w66f13:1>;uQ13289<70288;707>8;314>;>900:>=5290c9576<50;i6<219>=4c=9;:014?i:003?8?583;9<636208265=:1;81=?>4=800>447343987?=0:?:60<6:9165?8513289<40288;707=8;314>;>:00:>=5293c9576<508i6<i4>219>=7c=9;:0144=810>447343887?=0:?:70<6:9165>8513289<50288;707<8;314>;>;00:>=5292c9576<50>o6??k;|q04dg=839=4j8:?:64?4j8:?:6694j8:?:60;4j8:?:6254j8:?:6<l4j8:?:6gn4j8:?:6ah4j8:?:6cm53b489<5c2:i=707;>;o08o;5295297f0<50>:6>m9;<;76?5d>2728>4=12=;j<014:::2a5?8?3>39h:6364680g3=:1=21?n84=86:>6e1343?m7=l6:?:0g<4k?1659m53b48yv57ik0;6?uQ1`589<4?2:ki7p}<0`a94?4|V8k>707=7;1bf>{t;9ko6=4={_3b0>;>:?08mo5rs22ba?6=:rT:m>5293797ddom;|q04g6=838pR;<;17?5fj2wx?=l>:181[7f8272>?4vP>9g9>=77=;hh0q~=?b283>7}Y9jl0141<75<5sW;hh6361d80eg=z{::i:7>52z\2gf=:18n1?ll4}r13f2<72;qU=nl4=83`>6ge3ty82909wS?l0:?:5d<4ik1v>>ma;296~X6j<165<753``8yv57jk0;6?uQ1`c89<7?2:ki7p}<0ca94?4|V83n707>7;1bf>{t;9ho6=4={_3g=>;>;h08mo5rs22aa?6=:rT:h:5292;97ddom;|q04f6=838pR:181[7c<272?;4vP>d29>=63=;hh0q~=?c283>7}Y9m8014=;:2ca?xu48j>1<75<5sW8;=6363380eg=z{::h:7>52z\145=:1:;1?ll4}r13g2<72;qU=kh4=813>6ge3ty82909wS?id:?:6`<4ik1v>>la;296~X6nj165?j53``8yv57kk0;6?uQ1g089<4d2:ki7p}<0ba94?4|V8o<707=b;1bf>{t;9io6=4={_3gg>;>:h08mo5rs22`a?6=:rT:h=5293;97dd;>;k09<55292a9<==:1:i1445292a9651<509h6?>7;<;0`?>?3438h766;<;0`?47?272?i4=099>=6c=01165>k5889>=6c=:9=014=j:32;?8?4n323707=94=863>76?343?=767;<;75?>>343?=7=14=001659<521589<252;:3707;3;:;?8?3;322707;3;033>;><:09<5529569<==:1=>144529569651<50>?6?>7;<;71?>?343?9766;<;71?47?272884=099>=10=01165985889>=10=:9=014:9:32;?8?3?323707;7;::?8?3?38;;63646814==:1=21455295:9<<=:1=21>=94=86;>76?343?5767;<;7=?>>343?57=1g=001659o521589<2f2;:3707;b;:;?8?3j322707;b;033>;>h6?>7;|q04a6=838p14?8:012?8?4k39ii6s|31f2>5<5s43:47?<1:?:7a<4jl1v>>k2;296~;>900:?<5292`97gc4?:3y>=4g=9:;014=j:2`f?xu48m>1<74563438j7=me:p75b22909w07>c;305>;><908nh5rs22g2?6=:r72=i4>309>=17=;ko0q~=?d683>7}:18o1=>?4=861>6db3ty8{t;9n26=4={<;14?749272884v36208274=:1=<1?ok4}r13`g<72;q65?<512389<232:hn7p}<0ea94?4|50886<=>;<;73?5em2wx?=jk:1818?5<3;8=6364980f`=z{::oi7>52z?:60<6;81659753cg8yv57lo0;6?u29349567<50>j6>lj;|q04`6=838p14<8:012?8?3j39ii6s|31g2>5<5s43947?<1:?:0f<4jl1v>>j2;296~;>:00:?<5292a96524?:3y>=7g=9:;014=k:327?xu48l>1<74563438n7;>;l09<95rs22f2?6=:r72>i4>309>=6`=:9>0q~=?e683>7}:1;o1=>?4=863>7633ty8{t;9o26=4={<;04?7492728?4=059~w66bi3:1>v36308274=:1=91>=:4}r13ag<72;q65><512389<222;:?7p}<0da94?4|50986<=>;<;72?47<2wx?=kk:1818?4<3;8=636458141=z{::ni7>52z?:70<6;81659952168yv57mo0;6?u29249567<50>36?>;;|q04c6=838p14=8:012?8?3138;86s|31d2>5<5s43847?<1:?:0d<58=1v>>i2;296~;>;00:?<5295`96524?:3y>=6g=9:;014:l:327?xu48o>1<7?<{<;0f?5d?272?n4=6b=;j=014=j:2a4?8?4n39h;6364180g2=:1=;1?n94=861>6e0343??7=l7:?:01<4k>1659;53b589<212:i<707;7;1`3>;><108o:5295;97f1<50>j6>m8;<;7f?5d?2728n4=1b=;990qpl64d83>6c=;10=?vF8;je7<72-9j47o>;o1b3?6<3`k;6=4+3`:9e4=i;h=1=65f16794?"4i10:;95a3`594>=n9>91<7*=n9>;1<7*=n9?l1<7*=n9?n1<7*=n90i1<7*=n90k1<7*=n9021<7*=n9191<7*5<#;h21m:5a3`594>=ni?0;6)=n8;c4?k5f?3;07d=l8;29?l7583:17d=m9;29?l75k3:17b?n7;29 6g?28k=7c=n7;28?j7f=3:1(>o7:0c5?k5f?3;07b?n4;29 6g?28k=7c=n7;08?j7f;3:1(>o7:0c5?k5f?3907b?n2;29 6g?28k=7c=n7;68?j7f93:1(>o7:0c5?k5f?3?07b?n0;29 6g?28k=7c=n7;48?j7>n3:1(>o7:0c5?k5f?3=07b?lf;29 6g?28k=7c=n7;:8?j7dm3:1(>o7:0c5?k5f?3307b?ld;29 6g?28k=7c=n7;c8?j7dk3:1(>o7:0c5?k5f?3h07b?lb;29 6g?28k=7c=n7;a8?j7di3:1(>o7:0c5?k5f?3n07b?l0;29 6g?28k=7c=n7;g8?j7e=3:1(>o7:0c5?k5f?3l07b?na;29 6g?28k=7c=n7;33?>i61l0;6)=n8;3b2>h4i>0:=65`1e;94?"4i10:h55a3`594>=h9m=1<7*=h9m?1<7*=h9m91<7*=h9m;1<7*=h:9:1<7*=h9oo1<7*=h9oi1<7*=h9l=1<7*m<;n02=?6=3th29=4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm94194?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd>==0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo7:5;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f<31290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi58950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`:1=<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<57>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb87b>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e16gj8;29?l7583:17d?<1;29?j5fj3:17pl65b83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?2l3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn4;j:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a=0`=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th2:=4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm97194?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd>>=0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo795;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f<01290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi5;950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`:2=<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb84b>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e1?h1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl66b83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?1l3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn48j:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a=3`=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th2;=4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm96194?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd>?=0;6i4?:1y'7f7=;ho0D>mm;I1`7>"5:80>7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`:30<72m0;6=u+3b397dc<@:ii7E=l3:&164<23`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th2;:4?:e83>5}#;j;1?lk4H2aa?M5d;2.9><48;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17b?10;6i4?:1y'7f7=;ho0D>mm;I1`7>"5:80>7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`:3<<72m0;6=u+3b397dc<@:ii7E=l3:&164<13`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th2;o4?:e83>5}#;j;1?lk4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17b?j0;6i4?:1y'7f7=;ho0D>mm;I1`7>"5:80=7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`:3a<72m0;6=u+3b397dc<@:ii7E=l3:&164<03`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th2;k4?:e83>5}#;j;1?lk4H2aa?M5d;2.9><4:;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17b090;6i4?:1y'7f7=;ho0D>mm;I1`7>"5:80<7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`:<4<72m0;6=u+3b397dc<@:ii7E=l3:&164<13`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2086?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th24>4?:e83>5}#;j;1?lk4H2aa?M5d;2.9><4:;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17b0=0;6i4?:1y'7f7=;ho0D>mm;I1`7>"5:80>7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`:<0<72m0;6=u+3b397dc<@:ii7E=l3:&164<23`2?6=44i9494?=n010;66g79;29?l7493:17d3:17d6<729q/?n?523:8L6ee3A9h?6*=2084a>o59j0;66g=1e83>>i48:0;66s|31d6>5<6;rTj>636758;2>;>?<03:636778;2>;>?>03:636798;2>;>?003:6367`8;2>;>?k03:6367b8;2>;>?m03:6367d8;2>;>?o03:636818;2>;>0803:636838;2>;>0:03:636858;2>;>0<03:6s|31d5>5<6;rTj<636758;0>;>?<038636778;0>;>?>038636798;0>;>?00386367`8;0>;>?k0386367b8;0>;>?m0386367d8;0>;>?o038636818;0>;>08038636838;0>;>0:038636858;0>;>0<0386s|31d4>5<5sW;<9636848274=z{::m47>52z\236=:11>1=>?4}r13b<<72;qU=:<4=8:0>4563ty87?<1:p75`e2909wS?80:?:<4<6;81v>>ic;296~X6>o1655>51238yv57nm0;6?uQ17g89<1c289:7p}<0gg94?4|V8{t;9lm6=4={_3:`>;>?l0:?<5rs2334?6=:rT:5n5296a9567;|q0554=838pR<7n;<;4e?7492wx?<><:181[7>1272;44>309~w677<3:1>vP>999>=2>=9:;0q~=>0483>7}Y91o01498:012?xu499<1<75<5sW;<4636778274=z{:;;47>52z\22f=:1>?1=>?4}r124<<7289pRl64=857>761343<97;>?h09<;5296`9650<50=h6?>9;<;4`?47>272;h4=079>=2`=:9<0146?:325?8??938;:636838143=:1191>=84=8:7>76134339770787;031>;>?109<85296;9653<50=j6?>:;<;4f?47=272;n4=049>=2b=:9?0149j:326?8?0n38;9636818140=:11;1>=;4=8:1>7623433?712;;h7p}<11a94?41sW;9<636518265=:1<;1=?>4=871>447343>?7?=0:?:11<6:91658;513289<31288;707:7;314>;>=10:>=5294;9576<50?j6<219>=0b=9;:014;j:003?8?2n3;9<636618265=:1?;1=?>4=841>447343=?7?=0:?:21<6:9165;;513289<01288;70797;314>;>>10:>=5297;9576<50219>=3b=9;:0148j:003?8?1n3;9<636718265=:1>;1=?>4=851>447343??d;2972}Y9;i014;?:d:89<362l2014;=:d:89<342l2014;;:d:89<322l2014;9:d:89<302l2014;7:d:89<3>2l2014;n:d:89<3e2l2014;l:d:89<3c2l2014;j:d:89<3a2l20148?:d:89<062l20148=:d:89<042l20148;:d:89<022l201489:d:89<002l201487:d:89<0>2l20148n:d:89<0e2l20148l:d:89<0c2l20148j:d:89<0a2l20149?:d:89<162l20149=:d:89<142l20149;:2a5?8?0=39h:6367780g3=:1>=1?n84=85;>6e1343<57=l6:?:3d<4k?165:l53b489<1d2:i=7078d;1`2>;>?l08o;5296d97f0<502;6>m9;<;;5?5d>2724?4==5=;j<0146;:2a5?8??=39h:6s|302f>5<5sW;j;6366080eg=z{:;;j7>52z\2e0=:1?:1?ll4}r1255<72;qU=l:4=87e>6ge3ty8=i7=nb:p74752909wS?n2:?:1a<4ik1v>?>3;296~X6i81658m53``8yv569=0;6?uQ1`289<3e2:ki7p}<10794?4|V83m707:a;1bf>{t;8;=6=4={_3`b>;>=008mo5rs2323?6=:rT:oh5294:97ddom;|q054?=838pRvP>c`9>=02=;hh0q~=>1b83>7}Y9j:014;<:2ca?xu498n1<75<5sW;jm6365080eg=z{:;:j7>52z\2=`=:1<:1?ll4}r1265<72;qU=i74=850>6ge3ty8=??50;0xZ4b0343<>7=nb:p74452909wS?k6:?:34<4ik1v>?=3;296~X6l<165:>53``8yv56:=0;6?uQ1e689<0a2:ki7p}<13794?4|V8n87079e;1bf>{t;88=6=4={_3g6>;>>m08mo5rs2313?6=:rT:h<5297a97dd54?:3y]657<50om;|q057?=838pR?>?;<;5e?5fj2wx?<vP>fd9>=3>=;hh0q~=>2b83>7}Y9on01488:2ca?xu49;n1<739jn6s|300f>5<5sW;m>6366480eg=z{:;9j7>52z\2a2=:1?>1?ll4}r1275<72;qU=im4=840>6ge3ty8=>?50;0xZ4b7343=>7=nb:p7455290?5v364g815<=:1>>145529669<<=:1>>1>=94=857>76?343<9767;<;41?>>343<97=20=00165:8521589<112;:370787;:;?8?0?32270787;033>;>?>09<55296:9<==:1>21445296:9651<50=36?>7;<;4=?>?343<5766;<;4=?47?272;44=099>=2g=01165:o5889>=2g=:9=0149n:32;?8?0j3237078b;::?8?0j38;;6367c814==:1>i1455296a9<<=:1>i1>=94=85`>76?343>343=2c=00165:k521589<1b2;:37078f;:;?8?0n3227078f;033>;>?o09<5529929<==:11:144529929651<502;6?>7;<;;5?>?3433=766;<;;5?47?2724<4=099>==4=011655<5889>==4=:9=0146=:32;?8??;32370773;::?8??;38;;63682814==:11>145529969<<=:11>1>=94=8:7>76?34339767;<;;1?>>343397?<3;296~;>=90:?<5296797gc=07=9:;01499:2`f?xu49:?1<7456343<87=me:p74512909w07:3;305>;>?>08nh5rs2303?6=:r72994>309>=2>=;ko0q~=>3983>7}:1?4=85:>6db3ty8=>750;0x9<31289:7078a;1aa>{t;89j6=4={<;63?749272;o4v36598274=:1>i1?ok4}r127f<72;q6587512389<1b2:hn7p}<12f94?4|50?j6<=>;<;4b?5em2wx?<=j:1818?2j3;8=6367e80f`=z{:;8j7>52z?:1f<6;81655>53cg8yv56<90;6?u294f9567<502:6>lj;|q0517=838p14;j:012?8??:39ii6s|3061>5<5s43>j7?<1:?:<6<4jl1v>?;3;296~;>>90:?<5299697gc=37=9:;0146::2`f?xu49=?1<7456343<97;>??09<95rs2373?6=:r72:94>309>=22=:9>0q~=>4983>7}:1??1=>?4=854>7633ty8=9750;0x9<01289:70788;030>{t;8>j6=4={<;53?749272;44=059~w673j3:1>v36698274=:1>k1>=:4}r120f<72;q65;7512389<1e2;:?7p}<15f94?4|50;<;4g?47<2wx?<:j:1818?1j3;8=6367d8141=z{:;?j7>52z?:2f<6;8165:h52168yv56=90;6?u297f9567<50=o6?>;;|q0507=838p148j:012?8??838;86s|3071>5<5s43=j7?<1:?:<4<58=1v>?:3;296~;>?90:?<529909652=27=9:;0146<:327?xu49456343387;>0<09<95rs2363?6=9:q65::53b589<122:i<70786;1`3>;>?>08o:5296:97f1<50=26>m8;<;4e?5d?272;o4=2e=;j=0149k:2a4?8?0m39h;6367g80g2=:11:1?n94=8:2>6e03433>7=l7:?:<6<4k>1655:53b589<>22:i<70776;137>{zj02<6=46`|@:i87)=l1;3a65=]m>0>w9;515872?702821qdo=:18'7d>=i81e?l950:9je5<72-9j47o>;o1b3?7<3`;<97>5$2c;>4133g9j;7>4;h347?6=,:k36<9;;o1b3?7<3`;<>7>5$2c;>4133g9j;7<4;h345?6=,:k36<9;;o1b3?5<3`;<<7>5$2c;>4133g9j;7:4;h35b?6=,:k36<9;;o1b3?3<3`;=i7>5$2c;>4133g9j;784;h35`?6=,:k36<9;;o1b3?1<3`;2h7>5$2c;>4133g9j;764;h3:g?6=,:k36<9;;o1b3??<3`;2n7>5$2c;>4133g9j;7o4;h3:e?6=,:k36<9;;o1b3?d<3`;257>5$2c;>4133g9j;7m4;h3:5$2c;>4133g9j;7k4;h3;7?6=,:k36<9;;o1b3?`<3`;<47>5$2c;>4133g9j;7??;:k22f<72-9j47?84:l0e2<6921bm54?:%1b4;hc5>5<#;h21m:5a3`595>=n;j21<75f13294?=n;k31<75f13a94?=h9h=1<7*=h9h>1<7*65`1`194?"4i10:m;5a3`597>=h9h81<7*=h9h:1<7*=h9jl1<7*=h9jn1<7*=h9jh1<7*=h9j:1<7*=h9hk1<7*5<#;h21=l84n2c4>47<3f;o57>5$2c;>4b?3g9j;7>4;n3g3?6=,:k365$2c;>4b?3g9j;7<4;n3g1?6=,:k365$2c;>4b?3g9j;7:4;n3g7?6=,:k367>5$2c;>4b?3g9j;784;n3g5?6=,:k365$2c;>4b?3g9j;764;n034?6=,:k365$2c;>4b?3g9j;7o4;n3ea?6=,:k365$2c;>4b?3g9j;7m4;n3eg?6=,:k367>5$2c;>4b?3g9j;7k4;n3f3?6=,:k365$2c;>4b?3g9j;7??;:m2`5<72-9j47?k8:l0e2<6921i55650;394?6|,:i:6??n;I1`f>N4k:1d><750;9~f<>>290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi55o50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`:5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb8:g>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e11o1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl68g83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?>83:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn47>:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a=<4=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th25>4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?46=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm98494?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd>1>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo768;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi54o50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`:=g<72m0;6=u+3b397dc<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2085?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th25i4?:e83>5}#;j;1?lk4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17b1l0;6i4?:1y'7f7=;ho0D>mm;I1`7>"5:80>7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`:=c<72m0;6=u+3b397dc<@:ii7E=l3:&164<23`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th2m<4?:e83>5}#;j;1?lk4H2aa?M5d;2.9><48;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17bi;0;6i4?:1y'7f7=;ho0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`:e6<72m0;6=u+3b397dc<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2086?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th2m84?:e83>5}#;j;1?lk4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17bi?0;6i4?:1y'7f7=;ho0D>mm;I1`7>"5:80=7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`:e2<72m0;6=u+3b397dc<@:ii7E=l3:&164<23`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th2m44?:e83>5}#;j;1?lk4H2aa?M5d;2.9><49;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17bih0;6i4?:1y'7f7=;ho0D>mm;I1`7>"5:80>7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`:eg<72m0;6=u+3b397dc<@:ii7E=l3:&164<03`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2086?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th2mi4?:283>5}#;j;1>?64H2aa?M5d;2.9><48e:k15f<722c9=i4?::m046<722wx?<;7:1827~Xf:2725o476:?:=f2725i476:?:=`2725k476:?:e5272m<476:?:e7272m>476:?:e1272m8476:?:e3272m:476:?:e=272m4476:?:ed272mo476:?:ef2wx?<;6:1827~Xf82725o474:?:=f474:?:e1309~w672j3:1>vP>729>=dd=9:;0q~=>5b83>7}Y9>8014on:012?xu495<5sW;<<636a98274=z{:;>j7>52z\22c=:1h=1=>?4}r1225<72;qU=;k4=8c7>4563ty8=;?50;0xZ40c343j:7?<1:p74052909wS?6d:?:e0<6;81v>?93;296~X61j165l=51238yv56>=0;6?uQ18`89{t;8<=6=4={_3:=>;>i90:?<5rs2353?6=:rT:555298d9567;|q053?=838pR<6<;<;:f?7492wx?<8n:181[7002725i4>309~w671j3:1>vP>6b9>=6b83>45|Vh20147m:325?8?>k38;:6369e8143=:10o1>=84=8;e>761343j<7;>i<09<;529`49650<50k<6?>9;<;b272m44=079>=dg=:9<014om:325?8?fk38;:6s|304g>5<6;rTj:6369c8140=:10i1>=;4=8;g>7623432i7521789707n2;031>;>i:09<8529`69653<50k>6?>:;<;b2?47=272m:4=049>=d>=:9?014o6:326?8?fi38;9636ac8140=:1hi1>=;4}r122`<72;qU?n64=8cg>77d3ty8=;h50;37[758272444>219>==g=9;:0146m:003?8??k3;9<6368e8265=:11o1=?>4=8:e>4473432<7?=0:?:=4<6:91654<513289;>1<0:>=529849576<503<6<219>=:1<7<:{_31g>;>000n46368`8f<>;>0k0n46368b8f<>;>0m0n46368d8f<>;>0o0n4636918f<>;>180n4636938f<>;>1:0n4636958f<>;>1<0n4636978f<>;>1>0n4636998f<>;>100n46369`8f<>;>1k08o;5298a97f0<503o6>m9;<;:a?5d>2725k4=d6=;j<014o>:2a5?8?f:39h:636a280g3=:1h>1?n84=8c6>6e1343j:7=l6:?:e2<4k?165l653b4892:i=707na;1`2>;>ik08o;529`a97f0om;|q0524=838pR272554vP>d49>=<1=;hh0q~=>7483>7}Y9m>01479:2ca?xu49><1<7=39jn6s|3054>5<5sW;o>6369580eg=z{:;<47>52z\2`4=:1091?ll4}r123<<72;qU>=?4=8;1>6ge3ty8=:o50;0xZ7673432=7=nb:p741e2909wS?if:?:=5<4ik1v>?8c;296~X6nl1655h53``8yv56?m0;6?uQ1gf89<>b2:ki7p}<16g94?4|V8lh7077d;1bf>{t;8=m6=4={_3e6>;>0j08mo5rs23;4?6=:rT:i:5299`97ddom;|q05=4=838pR0109=45298`9<==:10h1445298`9651<503i6?>7;<;:g?>?3432o766;<;:g?47?2725n4=099>==m3237076e;::?8?>m38;;6369d814==:10l1455298d9<<=:10l1>=94=8;e>76?343j<767;<;b4?>>343j<7=d7=00165l?521589;>i;09<5529`19<==:1h9144529`19651<50k86?>7;<;b0?>?343j8766;<;b0?47?272m94=099>=d3=01165l;5889>=d3=:9=014o::32;?8?f>323707n6;::?8?f>38;;636a7814==:1h=145529`59<<=:1h=1>=94=8c4>76?343j4767;<;b>343j47=d?=00165l75215892;:3707na;:;?8?fi322707na;033>;>ih09<5529``9<==:1hh144529``9651<50ki6?>7;<;bg?>?343jo766;<;bg?47?272mn4=099~w67?<3:1>v36888274=:10i1>=:4}r12<0<72;q655o512389;<;:f?47<2wx?<68:1818??k3;8=6369d8141=z{:;347>52z?:;;|q05=g=838p146i:012?8?f938;86s|30:a>5<5s432<7?<1:?:e7<58=1v>?7c;296~;>180:?<529`19652=<4=9:;014o::327?xu491o1<7456343j:7a2909w0764;305>;>i=09<95rs23:4?6=:r72584>309>=d1=:9>0q~=>9083>7}:10<1=>?4=8c;>7633ty8=4<50;0x9{t;8386=4={<;:<3:1>v36988274=:1hh1>=:4}r12=0<72;q654o5123891654j53b589;>i908o:529`397f1<50k96>m8;<;b7?5d?272m94=d3=;j=014o9:2a4?8?f?39h;636a980g2=:1h31?n94=8cb>6e0343jn7=l7:?:ef<4k>165lj53118yxd>il0;6<;51382a~N4k:1/?n?535c6?_c02:q:576?:909yl?b290/?l659e9m7d1=821b=8950;&0e=<6=?1e?l950:9j503=83.8m54>579m7d1=921b=8:50;&0e=<6=?1e?l952:9j505=83.8m54>579m7d1=;21b=8<50;&0e=<6=?1e?l954:9j507=83.8m54>579m7d1==21b=8>50;&0e=<6=?1e?l956:9j51>=83.8m54>579m7d1=?21b?n950;9j576=831b?o750;9j57e=831d=;750;&0e=<6>11e?l950:9l531=83.8m54>699m7d1=921d=;850;&0e=<6>11e?l952:9l533=83.8m54>699m7d1=;21d=;:50;&0e=<6>11e?l954:9l535=83.8m54>699m7d1==21d=;<50;&0e=<6>11e?l956:9l50g=83.8m54>699m7d1=?21i5lh50;394?6|,:i:6??n;I1`f>N4k:1d><750;9~fN4k:1/>??52:kf5;h305?6=3f9jn7>5;|`:f7<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb8`7>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e1k?1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl6b783>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?e?3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn4l7:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vn4ll:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vn4m?:180>5<7s-9h=7<=8:J0gg=O;j90(?<>:6g8m77d2900e??k:188k6642900q~=>9683><}Y1l165o65859>=g?=0=165oo5859>=gd=0=165om5859>=gb=0=165ok5859>=g`=0=1v>?68;296~X6=>165oh51238yv56100;6?uQ14789{t;83i6=4={_367>;>jj0:?<5rs23:g?6=:rT:9?529c`9567;|q05309~w67f83:1>vP=f6=:8i0q~=>a083>d}Y9;:014l?:003?8?e93;9<636b38265=:1k91=?>4=8`7>447343i97?=0:?:f3<6:9165o9513289;>j80n4636b38f<>;>j:0n4636b58f<>;>j<0n4636b78f<>;>j>0n4636b9826f=:1k31=?m4=8`b>44d343in7?=c:?:ff<6:j165oj513a89{t;8k86=4={_35=>;>j>08mo5rs23b0?6=:rT:::529c497dd6>om;|q05d0=838pR<8:;<;a0?5fj2wx?4vP>629>=g4=;hh0q~=>a883>7}Y9?8014l>:2ca?xu49hk1<75<61r72mk4=189>=g>=0?165o65899>=g>=00165o75879>=g?=01165o75889>=gg=0?165oo5899>=gg=00165ol5879>=gd=01165ol5889>=ge=0?165om5899>=ge=00165oj5879>=gb=01165oj5889>=gc=0?165ok5899>=gc=00165oh5879>=g`=01165oh5889~w67fk3:1>v36b18274=:1k21?ll4}r12ea<72;q65o?5123892:ki7p}<1`g94?4|50h96<=>;<;ae?5fj2wx?52z?:f1<6;8165om53``8yv56j80;6?u29c79567<50ho6>om;|q05g4=838p14l9:012?8?em39jn6s|30`0>5<5s43i;7?<1:?:fc<4ik1v>?m4;29=~;>j10:>=529c;9576<50hj6<219>=gb=9;:014lj:003?8?en3;9<636c18046=zuk3h=7>514826?7bsA9h?6*h4i>0;76g>5683>!5f03;>:6`o6=<0;6)=n8;362>h4i>0:76g>5583>!5f03;>:6`o6=:0;6)=n8;362>h4i>0876g>5383>!5f03;>:6`o6=80;6)=n8;362>h4i>0>76g>5183>!5f03;>:6`o6<10;6)=n8;362>h4i>0<76g>o6:90;66g>o6:j0;66a>6883>!5f03;=46`i6>>0;6)=n8;35<>h4i>0:76a>6783>!5f03;=46`i6><0;6)=n8;35<>h4i>0876a>6583>!5f03;=46`i6>:0;6)=n8;35<>h4i>0>76a>6383>!5f03;=46`i6=h0;6)=n8;35<>h4i>0<76l6c383>4<729q/?n?520c8L6ee3A9h?6a=1883>>{e1j91<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl6c583>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?d=3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn4m9:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a=f1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th2o54?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm9b`94??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wi5nm50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{e1jn1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a=fc=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sm9bd94??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wi5i>50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{e1m;1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a=a4=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sm9e194?5=83:p(>m>:30;?M5dj2B8o>5+23393`=n:8i1<75f20f94?=h;991<75rs23a1?6=1rT2i636cc8;0>;>kj038636ce8;0>;>kl038636cg8;0>;>l9038636d08;0>;>l;0386s|30`5>5<5sW;>;636d38274=z{:;i;7>52z\210=:1m;1=>?4}r12f=<72;qU=8:4=8f3>4563ty8=o750;0xZ434343hj7?<1:p74df2909wS?:2:?:g`<6;81v>?mb;296~X6=8165nj51238yv56jj0;6?uQ142893707lb;305>{t;8hn6=4={_1`3>;>l:09=n5rs23ab?6=irT:>=529b19576<50i?6<219>=f1=9;:014m7:003?8?d13;9<636c`8265=:1m91>2b9>=fb=9;i014mj:00`?8?dn3;9o636d1826f=:1m;1=?m4=8f1>44d3ty8=n?50;0xZ40>343hm7=nb:p74e52909wS?97:?:g<<4ik1v>?l3;296~X6>?165n653``8yv56k=0;6?uQ17789{t;8i=6=4={_357>;>k<08mo5rs23`3?6=:rT::?529b697ddom;|q05f?=83;2w07l2;02=>;>kk03:636cc8;<>;>kk035636cb8;2>;>kj034636cb8;=>;>km03:636ce8;<>;>km035636cd8;2>;>kl034636cd8;=>;>ko03:636cg8;<>;>ko035636d18;2>;>l9034636d18;=>;>l803:636d08;<>;>l8035636d38;2>;>l;034636d38;=>{t;8ij6=4={<;`7?749272oo4v36c58274=:1ji1?ll4}r12gf<72;q65n;512389;<;`a?5fj2wx?52z?:g=<6;8165i>53``8yv56l90;6?u29b;9567<50n:6>om;|q05a7=838p14mn:012?8?c:39jn6s|30f1>5<>s43hn7?=0:?:gf<6:9165nj513289;>l90:>=529e39576<50n96<m<;%1`5?53i<1Qi:4<{0;9<54;h363?6=,:k36<;9;o1b3?6<3`;>97>5$2c;>4313g9j;7?4;h360?6=,:k36<;9;o1b3?4<3`;>?7>5$2c;>4313g9j;7=4;h366?6=,:k36<;9;o1b3?2<3`;>=7>5$2c;>4313g9j;7;4;h364?6=,:k36<;9;o1b3?0<3`;?47>5$2c;>4313g9j;794;h1`3?6=3`;9<7>5;h1a=?6=3`;9o7>5;n35=?6=,:k36<87;o1b3?6<3f;=;7>5$2c;>40?3g9j;7?4;n352?6=,:k36<87;o1b3?4<3f;=97>5$2c;>40?3g9j;7=4;n350?6=,:k36<87;o1b3?2<3f;=?7>5$2c;>40?3g9j;7;4;n356?6=,:k36<87;o1b3?0<3f;>m7>5$2c;>40?3g9j;794;c;g1?6=93:1m<;n02=?6=3th2h;4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm9e;94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd>lh0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo7kb;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fN4k:1/>??52:kf5;h305?6=3f9jn7>5;|`:``<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl6dg83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th2i=4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd>m80;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`:a7<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl6e283><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th2i94?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd>m<0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`:a3<72:0;6=u+3b3967><@:ii7E=l3:&164<0m2c9=n4?::k15a<722e8<>4?::p74b42902wS7j;<;ga?>3343oj76;;<;f4?>3343n=76;;<;f6?>3343n?76;;<;f0?>3343n976;;|q05a2=838pR<;8;<;f1?7492wx?309~w67c>3:1>vP>559>=`5=9:;0q~=>d683>7}Y9<9014k=:012?xu49m21<75<5sW;>=636e18274=z{:;om7>52z\215=:1ml1=>?4}r12`g<72;qU=964=8ff>4563ty8=im50;0xZ6e0343n:7<>c:p74bc290jwS?=0:?:`3<6:9165i9513289;>lh0:>=529e`9576<50nh6<;>m90:>n529d3957e<50o96<2b9>=`3=9;i0q~=>dg83>7}Y9?3014jk:2ca?xu49l:1<75<5sW;=:636dc80eg=z{:;n>7>52z\220=:1mk1?ll4}r12a6<72;qU=;:4=8f:>6ge3ty8=h:50;0xZ404343o47=nb:p74c22909wS?92:?:`2<4ik1v>?j6;296~X6=h165i853``8yv56m>0;6<7t=8f6>77>343oi769;<;ga?>?343oi766;<;gb?>1343oj767;<;gb?>>343n<769;<;f4?>?343n<766;<;f5?>1343n=767;<;f5?>>343n>769;<;f6?>?343n>766;<;f7?>1343n?767;<;f7?>>343n8769;<;f0?>?343n8766;<;f1?>1343n9767;<;f1?>>3ty8=h650;0x9{t;8o26=4={<;g3?749272hk4v36d98274=:1l:1?ll4}r12ag<72;q65i7512389;<;f6?5fj2wx?52z?:`f<6;8165h:53``8yv56mo0;6?u29ef9567<50o>6>om;|q05c6=833p14jj:003?8?cn3;9<636e18265=:1l;1=?>4=8g1>447343n?7?=0:?:a1<6:9165h;513289j96Tj7;1x5<o8:198m430290/?l651448j6g02910e<;::18'7d>=9<<0b>o8:098m433290/?l651448j6g02;10e<;<:18'7d>=9<<0b>o8:298m435290/?l651448j6g02=10e<;>:18'7d>=9<<0b>o8:498m437290/?l651448j6g02?10e<:7:18'7d>=9<<0b>o8:698m6e02900e<2900e<290/?l6517:8j6g02910c<88:18'7d>=9?20b>o8:098k401290/?l6517:8j6g02;10c<8::18'7d>=9?20b>o8:298k403290/?l6517:8j6g02=10c<8<:18'7d>=9?20b>o8:498k405290/?l6517:8j6g02?10c<;n:18'7d>=9?20b>o8:698f2900qo7j9;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fN4k:1/>??52:kf5;h305?6=3f9jn7>5;|`:af<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb8gf>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e1ll1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl6f183>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?a93:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo7i3;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg?a=3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo7i7;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg?a13:1?7>50z&0g4<5:11C?nl4H2a0?!4593=n7d<>c;29?l46l3:17b=?3;29?xu49o;1<77t^8g89<`621>014h=:9689<`421>014h;:9689<`221>014h9:9689<`021>014h7:968yv56n;0;6?uQ14589<`?289:7p}<1g194?4|V8?>707i7;305>{t;8l?6=4={_360>;>n?0:?<5rs23e1?6=:rT:9>529g79567;|q05c1=838pR<;>;<;e7?7492wx?309~w67a13:1>vP>499>=c7=9:;0q~=>f`83>7}Y;j=014h6:33`?xu49oh1<7ot^003?8?b13;9<636e`8265=:1lh1=?>4=8g`>447343nh7?=0:?:a`<6:9165hh513289<`7288;707i9;02`>{t;8lh6=4>1z\26f=:1l31i5529dc9a==:1lh1i5529da9a==:1ln1i5529dg9a==:1ll1i5529g29a==:1o;1=?m4=8d1>44d343m?7?=c:?:b1<6:j165k;513a89<`1288h707i7;31g>;>n10:>n5rs23e`?6=:rT::4529g297ddom;|q05c`=838pR<89;<;fa?5fj2wx??>?:181[71=272ii4vP>659>=`e=;hh0q~==0383>7}Y9?9014km:2ca?xu4:991<75<5sW;>m636e880eg=z{:8;97>518y>=`>=:83014h>:9489<`6212014h>:9;89<`521<014h=:9:89<`5213014h<:9489<`4212014h<:9;89<`321<014h;:9:89<`3213014h::9489<`2212014h::9;89<`121<014h9:9:89<`1213014h8:9489<`0212014h8:9;89<`?21<014h7:9:89<`?2130q~==0783>7}:1l31=>?4=8d2>6ge3ty8>=950;0x9{t;;:36=4={<;ff?749272j>4v36eb8274=:1o>1?ll4}r114d<72;q65hj512389<`22:ki7p}<21`94?4|50on6<=>;<;e2?5fj2wx??>l:1818?bn3;8=636f680eg=z{:8;h7>52z?:b5<6;8165k653``8yv558l0;64u29g39576<50l96<219>=c3=9;:014h9:003?8?a?3;9<636f98265=:1o31?==4}|`:bd<728?1=?4>ezJ0g6=#;j;1?9o:;[g4>6}6132;65<5}h;f>5<#;h215i5a3`594>=n9<=1<7*=n9<>1<7*65f14194?"4i10:9;5a3`597>=n9<81<7*=n9<:1<7*=n;j=1<75f13294?=n;k31<75f13a94?=h9?31<7*=h9?<1<7*65`17794?"4i10::55a3`597>=h9?>1<7*=h9?81<7*=e1oh1<7?50;2x 6e62;;j7E=lb:J0g6=h:831<75rb8d`>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e1on1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl6fd83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?an3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnl>?:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::ae57=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thj5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fd6229026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb`25>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnl>8:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fd6>29026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb`2b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnl>m:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5<5<14952a179<1=:i9<14952a159<1=:i9214952a1;9<1=:i9k14952a1`9<1=z{:8:<7>52z\212=:i9h1=>?4}r1154<72;qU=8;4=`2b>4563ty8><<50;0xZ43334k;57?<1:p77742909wS?:3:?b4=<6;81v><>4;296~X6=;16m=951238yv559<0;6?uQ14389d61289:7p}<20494?4|V8?;70o?5;305>{t;;;<6=4={_37<>;f8=0:?<5rs202219>=c`=9;:01l>?:003?8g793;9<63n038265=:i991=?>4=`2`>77c3ty8>2b9>e53=9;i01l>9:00`?8g7?3;9o63n09826f=:i931=?m4=`2b>44d34k;n7?=c:p777e2909wS?99:?b46<4ik1v><>c;296~X6>>16m=<53``8yv559m0;6?uQ17489d662:ki7p}<20g94?4|V8<>70o?0;1bf>{t;;;m6=4={_350>;>no08mo5rs2014?6=:rT::>529gg97dd<4?:3y]534<50lo6>om;|q0674=838pR<;n;<;eg?5fj2wx??<<:182=~;>nk09=452a169<3=:i9>14552a169<<=:i9?14;52a179<==:i9?14452a149<3=:i9<14552a149<<=:i9=14;52a159<==:i9=14452a1:9<3=:i9214552a1:9<<=:i9314;52a1;9<==:i9314452a1c9<3=:i9k14552a1c9<<=:i9h14;52a1`9<==:i9h1445rs2010?6=:r72jn4>309>e52=;hh0q~==2483>7}:1on1=>?4=`26>6ge3ty8>?850;0x9<`b289:70o?6;1bf>{t;;8<6=4={<;eb?74927j<:4v3n018274=:i921?ll4}r116<<72;q6m=?512389d6>2:ki7p}<23c94?4|5h:96<=>;59z?b41<6:916m=;513289d61288;70o?7;314>;f810:>=52a1;9576<5h:j6<2;3fM5d;2.8o<4<4`78^`1=;r;265>5838~m2d8m:4?;:k210<72-9j47?:6:l0e2<632c:994?:%1b2d8m:4=;:k216<72-9j47?:6:l0e2<432c:9?4?:%1b2d8m:4;;:k214<72-9j47?:6:l0e2<232c:9=4?:%1b2d8m:49;:k20=<72-9j47?:6:l0e2<032c8o:4?::k265<722c8n44?::k26f<722e::44?:%1b5}#;j;1>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thj==4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sma0194?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdf9=0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoo>5;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fd71290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wim<950;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{ei821<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ae4?=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sma0c94??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wimli;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{ei8i1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ae4b=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sma0g94??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wimN4k:1/>??57d9j64e=831b><=d;29=~X>m27j=:474:?b5=309~w645n3:1>vP>549>e4b=9:;0q~==3183>7}Y9<>01l?l:012?xu4::;1<75<5sW;>>63n1`8274=z{:88?7>52z\214=:i831=>?4}r1171<72;qU=8>4=`3;>4563ty8>>;50;0xZ42?34k:;7?<1:p77512909wS=l7:?b5c<59j1v><<7;29e~X6:916m=h513289d77288;70o>1;314>;f9;0:>=52a019576<5h;?6<219>e4`=:8n0q~==3983>47|V88h70o?f;g;?8g683o370o>1;g;?8g6:3o370o>3;g;?8g6<3o370o>5;g;?8g6>3o370o>7;31g>;f910:>n52a0;957e<5h;j6<2b9>e4b=9;i01l?j:00`?xu4::31<739jn6s|331b>5<5sW;=;63n1480eg=z{:88n7>52z\223=:i8>1?ll4}r117f<72;qU=;;4=`30>6ge3ty8>>j50;0xZ40334k:>7=nb:p775b2909wS?93:?b54<4ik1v><;16m<>53``8yv55<90;6?uQ14c89d6a2:ki7p}<25394?7>s4k;i7<>9:?b5227j=:478:?b5227j=4478:?b5<27j=o478:?b5g27j=i478:?b5a;f9>08mo5rs2077?6=:r7j==4>309>e4>=;hh0q~==4583>7}:i8;1=>?4=`3:>6ge3ty8>9;50;0x9d75289:70o>a;1bf>{t;;>=6=4={v3n158274=:i8i1?ll4}r110=<72;q6m<;512389d7c2:ki7p}<25;94?4|5h;=6<=>;4=`3b>44734k:n7?=0:?b5f<6:916mf;137>{zjh8;6=4>5;31>4c|@:i87)=l1;17e0=]m>08w<75818;6?{n1l0;6)=n8;;g?k5f?3:07d?:7;29 6g?28?=7c=n7;28?l72=3:1(>o7:075?k5f?3;07d?:4;29 6g?28?=7c=n7;08?l72;3:1(>o7:075?k5f?3907d?:2;29 6g?28?=7c=n7;68?l7293:1(>o7:075?k5f?3?07d?:0;29 6g?28?=7c=n7;48?l7303:1(>o7:075?k5f?3=07d=l7;29?l7583:17d=m9;29?l75k3:17b?99;29 6g?28<37c=n7;28?j71?3:1(>o7:04;?k5f?3;07b?96;29 6g?28<37c=n7;08?j71=3:1(>o7:04;?k5f?3907b?94;29 6g?28<37c=n7;68?j71;3:1(>o7:04;?k5f?3?07b?92;29 6g?28<37c=n7;48?j72i3:1(>o7:04;?k5f?3=07oo=1;295?6=8r.8o<4=1`9K7fd<@:i87b<>9;29?xdf:;0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoo=3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fd43290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wim?;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`b63<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb`0;>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{ei;31<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pln2`83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thj>o4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdf:j0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`b6a<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pln2d83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thj>k4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdf;90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`b74<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pln3383>6<729q/?n?523:8L6ee3A9h?6*=2084a>o59j0;66g=1e83>>i48:0;66s|336a>5<>sW3n70o=a;:7?8g5j32?70o=c;:7?8g5l32?70o=e;:7?8g5n32?70o<0;:7?8g4932?7p}<25a94?4|V8?<70o<1;305>{t;;>o6=4={_361>;f;90:?<5rs207a?6=:rT:9952a3d9567;|q0606=838pR<;=;:181[72927j>n4>309~w642:3:1>vP>519>e7d=9:;0q~==5283>7}Y9=201l1<754=`07>44734k997?=0:?b63<6:916m?9513289d4?288;70o=9;314>;f;;09=i5rs2062?6=98qU=?m4=`01>`><5h886h64=`07>`><5h8>6h64=`05>`><5h8<6h64=`0;>`><5h826h64=`0b>44d34k9n7?=c:?b6f<6:j16m?j513a89d4b288h70o=f;31g>;f;90:>n52a23957eom;|q060>=838pR<88;27j>:4vP>649>e70=;hh0q~==5c83>7}Y9?>01l<::2ca?xu4:5<5sW;=>63n2280eg=z{:8>i7>52z\21d=:i;81?ll4}r111c<7283p1l<>:33:?8g5i32=70o=a;:;?8g5i32270o=b;:5?8g5j32370o=b;::?8g5k32=70o=c;:;?8g5k32270o=d;:5?8g5l32370o=d;::?8g5m32=70o=e;:;?8g5m32270o=f;:5?8g5n32370o=f;::?8g4832=70o<0;:;?8g4832270o<1;:5?8g4932370o<1;::?xu4:?:1<745634k9m7=nb:p77062909w0o=3;305>;f:k08mo5rs2056?6=:r7j>94>309>e7e=;hh0q~==6283>7}:i;?1=>?4=`0g>6ge3ty8>;:50;0x9d41289:70o=e;1bf>{t;;<>6=4={k43:1>v3n298274=:i::1?ll4}r1122<72;q6m?7512389d562:ki7p}<27:94??|5h8j6<n4>219>e7b=9;:01l4=`11>6643twim>=50;36>44=9lqC?n=4$2a2>62f=2Pn;7=t188;4?>52tc2i7>5$2c;>5<#;h21=884n2c4>5=6=4+3`:95005<#;h21=884n2c4>7=54i071>5<#;h21=884n2c4>1=5<#;h21=884n2c4>3=36=4+3`:95005<5<5<#;h21=;64n2c4>5=5<#;h21=;64n2c4>7=6=4+3`:953>54o047>5<#;h21=;64n2c4>1=5<#;h21=;64n2c4>3=5<6290;w)=l1;02e>N4kk1C?n=4o33:>5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb`15>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{ei:=1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pln3983>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygg413:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnl=n:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::ae6d=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thj?n4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoo5<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygg383:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoo;2;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygg3<3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?1b3`8:o7>5;h02`?6=3f9;?7>5;|q063?=833pR4k4=`1g>=2<5h9n65:4=`1e>=2<5h>;65:4=`62>=2<5h>965:4=`60>=2<5h>?65:4}r112d<72;qU=894=`67>4563ty8>;l50;0xZ43234k??7?<1:p770d2909wS?:4:?b07<6;81v><9d;296~X6=:16m9?51238yv55>l0;6?uQ14089d27289:7p}<27d94?4|V8?:70o{t;;=;6=4={_364>;f;l0:?<5rs2045?6=:rT:8552a2f9567>6??l;|q0625=83kpR<219>e61=9;:01l=7:003?8g413;9<63n3`8265=:i:h1=?>4=`1`>44734k?97<>d:p7713290:=vP>2b9>e63=m116m>85e99>e61=m116m>65e99>e6?=m116m>o5e99>e6d=m116m>m5e99>e6b=9;i01l=j:00`?8g4n3;9o63n41826f=:i=;1=?m4=`61>44d34k??7?=c:?b01<6:j1v><85;296~X6>016m>m53``8yv55??0;6?uQ17589d5e2:ki7p}<26594?4|V8<=70o{t;;=36=4={_351>;f;008mo5rs204=?6=:rT::952a2:97ddom;|q062d=838pR<8=;=><5h9o6574=`1f>=0<5h9n6564=`1f>=?<5h9m6584=`1e>=><5h9m6574=`63>=0<5h>;6564=`63>=?<5h>:6584=`62>=><5h>:6574=`61>=0<5h>96564=`61>=?<5h>86584=`60>=><5h>86574=`67>=0<5h>?6564=`67>=?e63=9:;01l=k:2ca?xu4:>l1<745634k8i7=nb:p77>72909w0o<7;305>;f;o08mo5rs20;5?6=:r7j?54>309>e16=;hh0q~==8383>7}:i:31=>?4=`62>6ge3ty8>5=50;0x9d5f289:70o;2;1bf>{t;;2?6=4={4v3n3b8274=:i=>1?ll4}r11<3<720q6m>j513289d5b288;70o;f<90:>=52a539576<5h>96<219>e13=;990qpln4783>43=9;0:ivF7Wk8:2y2=?>72181qd7j:18'7d>=1m1e?l950:9j501=83.8m54>579m7d1=821b=8;50;&0e=<6=?1e?l951:9j502=83.8m54>579m7d1=:21b=8=50;&0e=<6=?1e?l953:9j504=83.8m54>579m7d1=<21b=8?50;&0e=<6=?1e?l955:9j506=83.8m54>579m7d1=>21b=9650;&0e=<6=?1e?l957:9j7f1=831b=?>50;9j7g?=831b=?m50;9l53?=83.8m54>699m7d1=821d=;950;&0e=<6>11e?l951:9l530=83.8m54>699m7d1=:21d=;;50;&0e=<6>11e?l953:9l532=83.8m54>699m7d1=<21d=;=50;&0e=<6>11e?l955:9l534=83.8m54>699m7d1=>21d=8o50;&0e=<6>11e?l957:9ae11=83;1<7>t$2a2>77f3A9hn6F5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::ae1?=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thj8l4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4h6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sma5f94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdfmm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoo;f;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fd3729026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb`72>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnl;=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fd3329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb`76>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnl;9:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fd3?29086=4?{%1`5?4502B8oo5G3b18 7462>o0e??l:188m77c2900c>><:188yv550>0;64uQ9d9>e06=0=16m8?5859>e04=0=16m8=5859>e02=0=16m8;5859>e00=0=16m895859~w64?03:1>vP>569>e01=9:;0q~==8883>7}Y95<5sW;>?63n558274=z{:83o7>52z\217=:i<91=>?4}r114563ty8>5k50;0xZ43734k>=7?<1:p77>a2909wS?;8:?b15<6;81v><60;296~X4k>16m86520a8yv55180;6luQ13289d2?288;70o;9;314>;f=52a5`9576<5h>h6<219>e1`=9;:01l;7:33g?xu4:081<7?>{_31g>;f<10n463n488f<>;f;f;f;f=90:>n52a43957e<5h?96<2b9>e03=9;i01l;9:00`?8g2?3;9o6s|33;0>5<5sW;=563n4g80eg=z{:8287>52z\222=:i=o1?ll4}r11=0<72;qU=;84=`6g>6ge3ty8>4850;0xZ40234k?o7=nb:p77?02909wS?94:?b0g<4ik1v><68;296~X6>:16m9o53``8yv55100;6?uQ17089d2>2:ki7p}<28c94?4|V8?j70o;8;1bf>{t;;3i6=4>9z?b02<59016m8>5879>e06=0116m8>5889>e07=0?16m8?5899>e07=0016m8<5879>e04=0116m8<5889>e05=0?16m8=5899>e05=0016m8:5879>e02=0116m8:5889>e03=0?16m8;5899>e03=0016m885879>e00=0116m885889>e01=0?16m895899>e01=001v><6c;296~;f<10:?<52a4297dde1?=9:;01l;>:2ca?xu4:0o1<745634k>>7=nb:p77?a2909w0o;b;305>;f=:08mo5rs20b4?6=:r7j8n4>309>e02=;hh0q~==a083>7}:i=n1=>?4=`76>6ge3ty8>l<50;0x9d2b289:70o:6;1bf>{t;;k86=4={4=`71>44734k>?7?=0:?b11<6:916m8;513289d31288;70o:7;314>;f=108<>5r}cc6=?6=9<0:>7?j{I1`7>"4k8088l;4Zd597~7>21:14?4ri8g94?"4i102h6`o6=>0;6)=n8;362>h4i>0;76g>5483>!5f03;>:6`o6==0;6)=n8;362>h4i>0976g>5283>!5f03;>:6`o6=;0;6)=n8;362>h4i>0?76g>5083>!5f03;>:6`o6=90;6)=n8;362>h4i>0=76g>4983>!5f03;>:6`o4k>0;66g>2183>>o4j00;66g>2b83>>i6>00;6)=n8;35<>h4i>0;76a>6683>!5f03;=46`i6>?0;6)=n8;35<>h4i>0976a>6483>!5f03;=46`i6>=0;6)=n8;35<>h4i>0?76a>6283>!5f03;=46`i6>;0;6)=n8;35<>h4i>0=76a>5`83>!5f03;=46`df=h0;6<4?:1y'7f7=:8k0D>mm;I1`7>i5900;66sma4`94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdf=j0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoo:d;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fd3b290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wim8h50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`b25<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb`41>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{ei?91<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ae32=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sma7794??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wim;850;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{ei?=1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ae3>=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sma7;94??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wim;o50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{ei?h1<7=50;2x 6e62;837E=lb:J0g6=#:;;1;h5f20a94?=n:8n1<75`31194?=z{:8j97>59z\:a>;f>:03863n658;0>;f><03863n678;0>;f>>03863n698;0>;f>003863n6`8;0>{t;;k=6=4={_363>;f>h0:?<5rs20b3?6=:rT:9852a7;9567;|q06d?=838pR<;<;309~w64fj3:1>vP>509>e33=9:;0q~==ab83>7}Y9<:01l8;:012?xu4:hn1<75<5sW9h;63n6c815f=z{:8jj7>5az\265=:i4=`7`>44734k>h7?=0:?b1`<6:916m8h513289d07288;70o91;314>;f>;0:>=52a7`964bn7k7;h7k7;j7k7;;f>10:>n52a7;957e<5hvP>679>e36=;hh0q~==b583>7}Y9??01l;i:2ca?xu4:k?1<75<5sW;=?63n5e80eg=z{:8i;7>52z\227=:i6ge3ty8>o750;3:8g2i38:563n628;2>;f>:03463n628;=>;f>=03:63n658;<>;f>=03563n648;2>;f><03463n648;=>;f>?03:63n678;<>;f>?03563n668;2>;f>>03463n668;=>;f>103:63n698;<>;f>103563n688;2>;f>003463n688;=>;f>h03:63n6`8;<>;f>h0356s|33`b>5<5s4k>n7?<1:?b26<4ik1v>e0b=9:;01l8::2ca?xu4:kn1<745634k=:7=nb:p77db2909w0o:f;305>;f>>08mo5rs20ab?6=:r7j:=4>309>e3>=;hh0q~==c183>7}:i?;1=>?4=`4:>6ge3ty8>n?50;0x9d05289:70o9a;1bf>{t;;i96=46{219>e33=9;:01l89:003?8g1?3;9<63n698265=:i?31=?>4=`4b>44734k=n7=?3:~fd0d290:97?=:0gxL6e43-9h=7=;a49Ya2<4s8314=472;j=`<72-9j477k;o1b3?6<3`;>;7>5$2c;>4313g9j;7>4;h361?6=,:k36<;9;o1b3?7<3`;>87>5$2c;>4313g9j;7<4;h367?6=,:k36<;9;o1b3?5<3`;>>7>5$2c;>4313g9j;7:4;h365?6=,:k36<;9;o1b3?3<3`;><7>5$2c;>4313g9j;784;h375;h314?6=3`9i57>5;h31g?6=3f;=57>5$2c;>40?3g9j;7>4;n353?6=,:k36<87;o1b3?7<3f;=:7>5$2c;>40?3g9j;7<4;n351?6=,:k36<87;o1b3?5<3f;=87>5$2c;>40?3g9j;7:4;n357?6=,:k36<87;o1b3?3<3f;=>7>5$2c;>40?3g9j;784;n36e?6=,:k36<87;o1b3?1<3kk=h7>51;294~"4k809=l5G3b`8L6e43f8:57>5;|`b2`<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb`53>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{ei>;1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pln7383>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygg0;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnl9;:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::ae23=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thj;;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdf?>0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`b3=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pln7883><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thj;l4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdf?k0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`b3f<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pln7e83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thj;h4?:283>5}#;j;1>?64H2aa?M5d;2.9><48e:k15f<722c9=i4?::m046<722wx??m<:18:[?b34k<:76;;334k<476;;334k334k33ty8>n:50;0xZ43034k0;6?uQ14189d1f289:7p}<2b:94?4|V8?970o89;305>{t;;i26=4={_365>;f?10:?<5rs20`e?6=:rT:9=52a659567<5h==6<=>;|q06fe=838pR>m8;219>e3`=9;:01l9?:003?8g093;9<63n738265=:i>91=?>4=`57>44734k<97?=0:?b3`<59m1v>31=?m4=`5b>44d34k{t;;n:6=4={_352>;f?:08mo5rs20g6?6=:rT::852a6097dd4?:3y]532<5h=:6>om;|q06a2=838pR<8<;3:1>vP>5`9>e3c=;hh0q~==d683>4?|5h134k<:767;>34k<;769;?34k<;766;134k<4767;>34k<5769;?34k<5766;134k>34k?34k134k>34k?34k=838p1l8j:012?8g0>39jn6s|33f:>5<5s4k=j7?<1:?b32<4ik1v>e27=9:;01l96:2ca?xu4:mi1<745634k;f?k08mo5rs20ga?6=:r7j;94>309>e2e=;hh0q~==dg83>7}:i>?1=>?4=`5g>6ge3ty8>h>50;;x9d11288;70o87;314>;f?10:>=52a6;9576<5h=j6<219>e2b=9;:01l9j:220?x{ei>l1<7?::0095`}O;j90(>m>:26b1>\b?39p=4470;:1>xo>m3:1(>o7:8f8j6g02910e<;8:18'7d>=9<<0b>o8:198m432290/?l651448j6g02810e<;;:18'7d>=9<<0b>o8:398m434290/?l651448j6g02:10e<;=:18'7d>=9<<0b>o8:598m436290/?l651448j6g02<10e<;?:18'7d>=9<<0b>o8:798m42?290/?l651448j6g02>10e>m8:188m4472900e>l6:188m44d2900c<86:18'7d>=9?20b>o8:198k400290/?l6517:8j6g02810c<89:18'7d>=9?20b>o8:398k402290/?l6517:8j6g02:10c<8;:18'7d>=9?20b>o8:598k404290/?l6517:8j6g02<10c<8=:18'7d>=9?20b>o8:798k43f290/?l6517:8j6g02>10nl6?:182>5<7s-9h=7<>a:J0gg=O;j90c??6:188ygg?93:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnl6=:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::ae=5=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thj494?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sma9594?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdf010;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoo79;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygg?j3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoo7d;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygg?n3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoo61;297?6=8r.8o<4=299K7fd<@:i87)<=1;5f?l46k3:17d<>d;29?j57;3:17p}<2d394??|V0o01l66:9689d>f21>01l6m:9689d>d21>01l6k:9689d>b21>01l6i:9689d?721>0q~==e383>7}Y9<=01l7?:012?xu4:l91<75<5sW;>863n8d8274=z{:8n97>52z\216=:i1n1=>?4}r11a3<72;qU=8<4=`:`>4563ty8>h950;0xZ43634k3n7?<1:p77c?2909wS?:0:?b;f0;0:>=52a919576<5h2?6<219>e=1=9;:01l67:003?8g>938:h6s|33g`>5<69rT:>n52a939a==:i181i552a919a==:i1>1i552a979a==:i1<1i552a959a==:i121i552a9;957e<5h2j6<2b9>e=b=9;i01l6j:00`?8g?n3;9o63n91826f=z{:8nh7>52z\22<=:i121?ll4}r11a`<72;qU=;94=`:4>6ge3ty8>hh50;0xZ40134k3:7=nb:p77`72909wS?95:?b<0<4ik1v>=16m5:53``8yv55n;0;6?uQ17189d>42:ki7p}<2g194?4|V8<970o72;1bf>{t;;l?6=4={_36e>;f0808mo5rs20e1?6=90q6m5>520;89d>>21<01l66:9:89d>>21301l6n:9489d>f21201l6n:9;89d>e21<01l6m:9:89d>e21301l6l:9489d>d21201l6l:9;89d>c21<01l6k:9:89d>c21301l6j:9489d>b21201l6j:9;89d>a21<01l6i:9:89d>a21301l7?:9489d?721201l7?:9;8yv55n?0;6?u2a939567<5h226>om;|q06c1=838p1l6=:012?8g?i39jn6s|33d;>5<5s4k3?7?<1:?be=3=9:;01l6k:2ca?xu4:oh1<745634k3i7=nb:p77`d2909w0o77;305>;f0o08mo5rs20e`?6=:r7j454>309>e<6=;hh0q~==fd83><}:i131=?>4=`:b>44734k3n7?=0:?bb288;70o7f;314>;f190:>=52a8397555+3b3971g23So<6>u>9;:3>=4=u`3n6=4+3`:9=a=i;h=1<65f14594?"4i10:9;5a3`594>=n9=n9<91<7*=n9<;1<7*=n9=21<7*=h9?=1<7*=h9??1<7*=h9?91<7*=h9m>:33b?M5dj2B8o>5`20;94?=zjh3?6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sma8794?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdf1?0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoo67;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fd??290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wim4750;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`b=d<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb`;`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnl7k:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fd?a29026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb`c3>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnlo>:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fdg429026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb`c7>5<4290;w)=l1;01<>N4kk1C?n=4$302>2c5<;|q0754=838pR<;;;><:181[72;27jm=4>309~w657<3:1>vP>539>e<`=9:;0q~=<0483>7}Y9<;01l7j:012?xu4;9<1<7l3;8=6s|3224>5<5sW;?463n9b8274=z{:9;47>52z\0g2=:ih>1>4=`;7>44734k297?=0:?b=3<6:916m49513289d??288;70o69;314>;f1h0:>=52a8`9576<5hk?6??k;|q075g=83;:wS?=c:?b=1;fi90:>n52a`3957e<5hk96<>m:181[71127j5o4vP>669>e7}Y9?<01l76:2ca?xu4;9o1<7039jn6s|322e>5<5sW;=863n9680eg=z{:9:<7>52z\226=:i0<1?ll4}r1054<72;qU=;<4=`;6>6ge3ty8?<<50;0xZ43f34k287=nb:p7674290:5v3n92815<=:i0i14;52a8a9<==:i0i14452a8f9<3=:i0n14552a8f9<<=:i0o14;52a8g9<==:i0o14452a8d9<3=:i0l14552a8d9<<=:ih:14;52a`29<==:ih:14452a`39<3=:ih;14552a`39<<=:ih814;52a`09<==:ih814452a`19<3=:ih914552a`19<<=z{:9:87>52z?b=1<6;816m4m53``8yv549<0;6?u2a879567<5h3o6>om;|q0740=838p1l79:012?8g>m39jn6s|3234>5<5s4k2;7?<1:?b=c<4ik1v>=>8;296~;f110:?<52a`297dde:2ca?xu4;8k1<745634kj>7=nb:p767e2909w0o6b;305>;fi:08mo5rs212g?6=1r7j5n4>219>en3;9<63na18265=:ih;1=?>4=`c1>44734kj?7?=0:?be1<48:1vqoon5;2950<6:3;nwE=l3:&0g4<4=6=0;0ve4k50;&0e=<>l2d8m:4?;:k212<72-9j47?:6:l0e2<732c:984?:%1b2d8m:4>;:k211<72-9j47?:6:l0e2<532c:9>4?:%1b2d8m:4<;:k217<72-9j47?:6:l0e2<332c:9<4?:%1b2d8m:4:;:k215<72-9j47?:6:l0e2<132c:854?:%1b2d8m:48;:k0g2<722c:>=4?::k0f<<722c:>n4?::m22<<72-9j47?98:l0e2<732e:::4?:%1b;:m223<72-9j47?98:l0e2<532e::84?:%1b4?:%1bN4k:1/>??52:kf5;h305?6=3f9jn7>5;|`be=<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb`cb>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{eihh1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plnab83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yggfl3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnloj:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aed`=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smac294??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wimo?50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{eik81<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aeg5=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smac694??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wimo;50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{eik<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aeg1=8391<7>t$2a2>74?3A9hn6F=>f;296~X6=<16mo;51238yv54:90;6?uQ14689dd3289:7p}<33394?4|V8?870om3;305>{t;:896=4={_366>;fj;0:?<5rs2117?6=:rT:9<52ac3956794?:3y]506<5hh;6<=>;|q0773=838pR<:7;<9:181[5d?27jn:4=1b9~w655?3:1mvP>219>ed1=9;:01lo7:003?8gf13;9<63na`8265=:ihh1=?>4=`c`>44734kjh7?=0:?be`<6:916mo9520f8yv54:10;644d34ki?7?=c:?bf1<6:j16mo;513a89dd1288h7p}<33;94?4|V8<270one;1bf>{t;:8j6=4={_353>;fim08mo5rs211f?6=:rT::;52a`a97ddn4?:3y]533<5hki6>om;|q077b=838pR<8;;vP>639>ed>=;hh0q~=<3183>7}Y927jn=478:?bf527jn?478:?bf7476:?bf6479:?bf127jn9478:?bf127jn;478:?bf3==:1818gf?3;8=63nag80eg=z{:98?7>52z?be=<6;816mo>53``8yv54;=0;6?u2a`;9567<5hh:6>om;|q0763=838p1lon:012?8ge:39jn6s|3215>5<5s4kjn7?<1:?bf6<4ik1v>=<7;296~;fij0:?<52ac697ddedb=9:;01ll::2ca?xu4;:31<745634ki:7=nb:p765f2902w0onf;314>;fj90:>=52ac39576<5hh96<219>eg3=9;:01ll9:003?8ge?39;?6srb``;>5<6=3;967sf9d83>!5f033o7c=n7;28?l72?3:1(>o7:075?k5f?3:07d?:5;29 6g?28?=7c=n7;38?l72<3:1(>o7:075?k5f?3807d?:3;29 6g?28?=7c=n7;18?l72:3:1(>o7:075?k5f?3>07d?:1;29 6g?28?=7c=n7;78?l7283:1(>o7:075?k5f?3<07d?;8;29 6g?28?=7c=n7;58?l5d?3:17d?=0;29?l5e13:17d?=c;29?j7113:1(>o7:04;?k5f?3:07b?97;29 6g?28<37c=n7;38?j71>3:1(>o7:04;?k5f?3807b?95;29 6g?28<37c=n7;18?j71<3:1(>o7:04;?k5f?3>07b?93;29 6g?28<37c=n7;78?j71:3:1(>o7:04;?k5f?3<07b?:a;29 6g?28<37c=n7;58?gge13:1=7>50z&0g4<59h1C?nl4H2a0?j4613:17plnb`83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yggej3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnlll:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aegb=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thjnh4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66smab394?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdfk;0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`bg6<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plnc583><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thjo84?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdfk?0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`bg2<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plnc983><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thjo44?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdfkh0;6>4?:1y'7f7=:;20D>mm;I1`7>"5:80>o59m0;66a<0283>>{t;:9i6=46{_;f?8gd:32?70ol3;:7?8gd<32?70ol5;:7?8gd>32?70ol7;:7?8gd032?70ol9;:7?xu4;:i1<75<5sW;>963nc98274=z{:98i7>52z\211=:ij=1=>?4}r107c<72;qU=8=4=`a5>4563ty8?9>50;0xZ43534kh97?<1:p76262909wS?:1:?bg1<6;81v>=;2;296~X6=916mn=51238yv54<:0;6?uQ15:89de5289:7p}<35694?4|V:i<70ola;02g>{t;:>>6=4n{_314>;fjh0:>=52ac`9576<5hhh6<219>eg`=9;:01lm?:003?8gd93;9<63nc`815a=z{:9?:7>510y]57e<5hhj6h64=``a>`><5hhh6h64=``g>`><5hhn6h64=``e>`><5hi;6h64=`a2>`><5hi96<2b9>ef3=9;i01lm9:00`?8gd?3;9o63nc9826f=:ij31=?m4}r1002<72;qU=;74=`a2>6ge3ty8?9650;0xZ40034kh<7=nb:p762>2909wS?96:?bfc<4ik1v>=;a;296~X6><16mok53``8yv54{t;:>o6=4={_356>;fjk08mo5rs217a?6=:rT:9l52acc97dd2;;270ol2;:5?8gd:32370ol2;::?8gd;32=70ol3;:;?8gd;32270ol4;:5?8gd<32370ol4;::?8gd=32=70ol5;:;?8gd=32270ol6;:5?8gd>32370ol6;::?8gd?32=70ol7;:;?8gd?32270ol8;:5?8gd032370ol8;::?8gd132=70ol9;:;?8gd13227p}<34294?4|5hhj6<=>;;>:1818gej3;8=63nc280eg=z{:9>>7>52z?bff<6;816mn:53``8yv54=:0;6?u2acf9567<5hi>6>om;|q0702=838p1llj:012?8gd>39jn6s|3276>5<5s4kij7?<1:?bg2<4ik1v>=:6;296~;fk90:?<52ab:97ddef7=9:;01lm6:2ca?xu4;<21<77t=`a1>44734kh?7?=0:?bg1<6:916mn;513289de1288;70ol7;314>;fk10:>=52ab;9576<5hij6>><;|aefd=83;>6<<51dyK7f5<,:i:6>:n5:Xf3?5|9003<76=:|k:a?6=,:k364j4n2c4>5=5<#;h21=884n2c4>4=5<#;h21=884n2c4>6=5<#;h21=884n2c4>0=5<#;h21=884n2c4>2=5<5<5<#;h21=;64n2c4>4=5<#;h21=;64n2c4>6=5<#;h21=;64n2c4>0=5<#;h21=;64n2c4>2=:183!5d938:m6Fm<;%015?4>o6:90;66g>3083>>i4ik0;66smabd94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdfl90;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qook1;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fdb5290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wimi=50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`b`1<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yggc>3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qook8;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yggci3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qookc;29=?6=8r.8o<45<5<5<53;294~"4k809>55G3b`8L6e43-89=79j;h02g?6=3`8:h7>5;n137?6=3ty8?8750;;xZ65:4=`f5>=2<5hn<65:4=`f;>=2<5hn265:4=`fb>=2<5hni65:4=`f`>=2;|q070d=838pR<;:;;l:181[72<27jhl4>309~w652l3:1>vP>529>ea?=9:;0q~=<5d83>7}Y9<801lj7:012?xu4;5<5sW;><63nd78274=z{:9==7>52z\20==:im?1=>?4}r1027<72;qU?n94=`fg>77d3ty8?;=50;cxZ44734khh7?=0:?bg`<6:916mnh513289db7288;70ok1;314>;fl;0:>=52ae19576<5hn?6<8;:1825~X6:j16mnj5e99>efc=m116mnh5e99>ea6=m116mi?5e99>ea4=m116mi=5e99>ea2=m116mi;513a89db1288h70ok7;31g>;fl10:>n52ae;957e<5hnj6<2b9~w651=3:1>vP>689>ea2=;hh0q~=<6783>7}Y9?=01lj<:2ca?xu4;?=1<75<5sW;=963nd080eg=z{:9=57>52z\221=:im:1?ll4}r102d<72;qU=;=4=`ae>6ge3ty8?;l50;0xZ40534khi7=nb:p760d2909wS?:a:?bga<4ik1v>=9d;295<}:iji1><74=`f6>=0<5hn>6564=`f6>=?<5hn=6584=`f5>=><5hn=6574=`f4>=0<5hn<6564=`f4>=?<5hn36584=`f;>=><5hn36574=`f:>=0<5hn26564=`f:>=?<5hnj6584=`fb>=><5hnj6574=`fa>=0<5hni6564=`fa>=?<5hnh6584=`f`>=><5hnh6574}r102`<72;q6mnj512389db22:ki7p}<37d94?4|5hin6<=>;9?:1818gdn3;8=63nd680eg=z{:9<=7>52z?b`5<6;816mi653``8yv54?;0;6?u2ae39567<5hn26>om;|q0725=838p1lj=:012?8gci39jn6s|3257>5<5s4ko?7?<1:?b`g<4ik1v>=85;296~;fl=0:?<52aea97ddea3=9;:01lj9:003?8gc?3;9<63nd98265=:im31=?>4=`fb>44734kon7?=0:?b`f<6:916mij53118yxdfll0;6<;51382a~N4k:1/?n?535c6?_c02:q:576?:909yl?b290/?l659e9m7d1=821b=8950;&0e=<6=?1e?l950:9j503=83.8m54>579m7d1=921b=8:50;&0e=<6=?1e?l952:9j505=83.8m54>579m7d1=;21b=8<50;&0e=<6=?1e?l954:9j507=83.8m54>579m7d1==21b=8>50;&0e=<6=?1e?l956:9j51>=83.8m54>579m7d1=?21b?n950;9j576=831b?o750;9j57e=831d=;750;&0e=<6>11e?l950:9l531=83.8m54>699m7d1=921d=;850;&0e=<6>11e?l952:9l533=83.8m54>699m7d1=;21d=;:50;&0e=<6>11e?l954:9l535=83.8m54>699m7d1==21d=;<50;&0e=<6>11e?l956:9l50g=83.8m54>699m7d1=?21imih50;394?6|,:i:6??n;I1`f>N4k:1d><750;9~fdc7290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wimh?50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`ba7<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb`g7>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{eil?1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plne783>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yggb?3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnlk7:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fdcf29026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb`ga>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnlkl:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fdcb29026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb`ge>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnlh?:180>5<7s-9h=7<=8:J0gg=O;j90(?<>:6g8m77d2900e??k:188k6642900q~=<7683><}Y1l16mh65859>e`?=0=16mho5859>e`d=0=16mhm5859>e`b=0=16mhk5859>e``=0=1v>=88;296~X6=>16mhh51238yv54?00;6?uQ14789dcb289:7p}<36c94?4|V8??70ojd;305>{t;:=i6=4={_367>;fmj0:?<5rs214g?6=:rT:9?52ad`9567;|q072c=838pR<;?;9i:181[73027ji54>309~w65?83:1>vPec6=:8i0q~=<8083>d}Y9;:01lk?:003?8gb93;9<63ne38265=:il91=?>4=`g7>44734kn97?=0:?ba3<6:916mh9513289d`72;;o7p}<39094?76sW;9o63ne18f<>;fm80n463ne38f<>;fm:0n463ne58f<>;fm<0n463ne78f<>;fm>0n463ne9826f=:il31=?m4=`gb>44d34knn7?=c:?baf<6:j16mhj513a89dcb288h70ojf;31g>{t;:286=4={_35=>;fm>08mo5rs21;0?6=:rT:::52ad497dd6>om;|q07=0=838pR<8:;68:181[71<27ji>4vP>629>e`4=;hh0q~=<8883>7}Y9?801lk>:2ca?xu4;1k1<75<61r7jhk4=189>e`>=0?16mh65899>e`>=0016mh75879>e`?=0116mh75889>e`g=0?16mho5899>e`g=0016mhl5879>e`d=0116mhl5889>e`e=0?16mhm5899>e`e=0016mhj5879>e`b=0116mhj5889>e`c=0?16mhk5899>e`c=0016mhh5879>e``=0116mhh5889~w65?k3:1>v3ne18274=:il21?ll4}r102:ki7p}<39g94?4|5ho96<=>;6i:1818gb;3;8=63nec80eg=z{:92<7>52z?ba1<6;816mhm53``8yv54180;6?u2ad79567<5hoo6>om;|q07<4=838p1lk9:012?8gbm39jn6s|32;0>5<5s4kn;7?<1:?bac<4ik1v>=64;29=~;fm10:>=52ad;9576<5hoj6<219>e`b=9;:01lkj:003?8gbn3;9<63nf18046=zukkm=7>514826?7bsA9h?6*h4i>0;76g>5683>!5f03;>:6`o6=<0;6)=n8;362>h4i>0:76g>5583>!5f03;>:6`o6=:0;6)=n8;362>h4i>0876g>5383>!5f03;>:6`o6=80;6)=n8;362>h4i>0>76g>5183>!5f03;>:6`o6<10;6)=n8;362>h4i>0<76g>o6:90;66g>o6:j0;66a>6883>!5f03;=46`i6>>0;6)=n8;35<>h4i>0:76a>6783>!5f03;=46`i6><0;6)=n8;35<>h4i>0876a>6583>!5f03;=46`i6>:0;6)=n8;35<>h4i>0>76a>6383>!5f03;=46`i6=h0;6)=n8;35<>h4i>0<76lnf383>4<729q/?n?520c8L6ee3A9h?6a=1883>>{eio91<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plnf583>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygga=3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnlh9:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aec1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thjj54?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66smag`94??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wimkm50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{eion1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aecc=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smagd94??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722win=>50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{ej9;1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::af54=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smb1194?5=83:p(>m>:30;?M5dj2B8o>5+23393`=n:8i1<75f20f94?=h;991<75rs21:1?6=1rT2i63nfc8;0>;fnj03863nfe8;0>;fnl03863nfg8;0>;e8903863m008;0>;e8;0386s|32;5>5<5sW;>;63m038274=z{:92;7>52z\210=:j9;1=>?4}r10==<72;qU=8:4=c23>4563ty8?4750;0xZ43434kmj7?<1:p76?f2909wS?:2:?bb`<6;81v>=6b;296~X6=816mkj51238yv541j0;6?uQ14289d`d289:7p}<38f94?4|V8>370oib;305>{t;:3n6=4={_1`3>;e8:09=n5rs21:b?6=irT:>=52ag19576<5hl?6<219>ec1=9;:01lh7:003?8ga13;9<63nf`8265=:j991>2b9>ecb=9;i01lhj:00`?8gan3;9o63m01826f=:j9;1=?m4=c21>44d3ty8?l?50;0xZ40>34kmm7=nb:p76g52909wS?97:?bb<<4ik1v>=n3;296~X6>?16mk653``8yv54i=0;6?uQ17789d`02:ki7p}<3`794?4|V8{t;:k=6=4={_357>;fn<08mo5rs21b3?6=:rT::?52ag697ddom;|q07d?=83;2w0oi2;02=>;fnk03:63nfc8;<>;fnk03563nfb8;2>;fnj03463nfb8;=>;fnm03:63nfe8;<>;fnm03563nfd8;2>;fnl03463nfd8;=>;fno03:63nfg8;<>;fno03563m018;2>;e8903463m018;=>;e8803:63m008;<>;e8803563m038;2>;e8;03463m038;=>{t;:kj6=4={v3nf58274=:ioi1?ll4}r10ef<72;q6mk;512389d`c2:ki7p}<3`f94?4|5hl=6<=>;oj:1818ga?3;8=63nfg80eg=z{:9jj7>52z?bb=<6;816n=>53``8yv54j90;6?u2ag;9567<5k::6>om;|q07g7=838p1lhn:012?8d7:39jn6s|32`1>5<>s4kmn7?=0:?bbf<6:916mkj513289d`b288;70oif;314>;e890:>=52b139576<5k:96<;:1821?7528opD>m<;%1`5?53i<1Qi:4<{0;9<54;h363?6=,:k36<;9;o1b3?6<3`;>97>5$2c;>4313g9j;7?4;h360?6=,:k36<;9;o1b3?4<3`;>?7>5$2c;>4313g9j;7=4;h366?6=,:k36<;9;o1b3?2<3`;>=7>5$2c;>4313g9j;7;4;h364?6=,:k36<;9;o1b3?0<3`;?47>5$2c;>4313g9j;794;h1`3?6=3`;9<7>5;h1a=?6=3`;9o7>5;n35=?6=,:k36<87;o1b3?6<3f;=;7>5$2c;>40?3g9j;7?4;n352?6=,:k36<87;o1b3?4<3f;=97>5$2c;>40?3g9j;7=4;n350?6=,:k36<87;o1b3?2<3f;=?7>5$2c;>40?3g9j;7;4;n356?6=,:k36<87;o1b3?0<3f;>m7>5$2c;>40?3g9j;794;c`31?6=93:1m<;n02=?6=3thi<;4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66smb1;94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xde8h0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qol?b;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fg6d290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722win=j50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`a4`<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plm0g83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thi==4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xde980;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`a57<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plm1283><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thi=94?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xde9<0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`a53<72:0;6=u+3b3967><@:ii7E=l3:&164<0m2c9=n4?::k15a<722e8<>4?::p76d42902wS7j;<`3a?>334h;j76;;<`24?>334h:=76;;<`26?>334h:?76;;<`20?>334h:976;;|q07g2=838pR<;8;<`21?7492wx?>l::181[72=27i=94>309~w65e>3:1>vP>559>f45=9:;0q~=7}Y9<901o?=:012?xu4;k21<75<5sW;>=63m118274=z{:9im7>52z\215=:j9l1=>?4}r10fg<72;qU=964=c2f>4563ty8?om50;0xZ6e034h::7<>c:p76dc290jwS?=0:?a43<6:916n=9513289g6?288;70l?9;314>;e8h0:>=52b1`9576<5k:h6<8:d:89g6?2l201o>6:d:89g6f2l201o>m:d:89g6d2l201o>k:d:89g6b288h70l?f;31g>;e990:>n52b03957e<5k;96<2b9>f43=9;i0q~=7}Y9?301o>k:2ca?xu4;j:1<75<5sW;=:63m0c80eg=z{:9h>7>52z\220=:j9k1?ll4}r10g6<72;qU=;:4=c2:>6ge3ty8?n:50;0xZ40434h;47=nb:p76e22909wS?92:?a42<4ik1v>=l6;296~X6=h16n=853``8yv54k>0;6<7t=c26>77>34h;i769;<`3a?>?34h;i766;<`3b?>134h;j767;<`3b?>>34h:<769;<`24?>?34h:<766;<`25?>134h:=767;<`25?>>34h:>769;<`26?>?34h:>766;<`27?>134h:?767;<`27?>>34h:8769;<`20?>?34h:8766;<`21?>134h:9767;<`21?>>3ty8?n650;0x9g61289:70l?e;1bf>{t;:i26=4={<`33?74927iv3m098274=:j8:1?ll4}r10gg<72;q6n=7512389g762:ki7p}<3ba94?4|5k:j6<=>;<`26?5fj2wx?>mk:1818d7j3;8=63m1280eg=z{:9hi7>52z?a4f<6;816n<:53``8yv54ko0;6?u2b1f9567<5k;>6>om;|q07a6=833p1o>j:003?8d7n3;9<63m118265=:j8;1=?>4=c31>44734h:?7?=0:?a51<6:916n<;513289g712::87psmb0594?722881=huG3b18 6e62:>j96Tj7;1x5<o8:198m430290/?l651448j6g02910e<;::18'7d>=9<<0b>o8:098m433290/?l651448j6g02;10e<;<:18'7d>=9<<0b>o8:298m435290/?l651448j6g02=10e<;>:18'7d>=9<<0b>o8:498m437290/?l651448j6g02?10e<:7:18'7d>=9<<0b>o8:698m6e02900e<2900e<290/?l6517:8j6g02910c<88:18'7d>=9?20b>o8:098k401290/?l6517:8j6g02;10c<8::18'7d>=9?20b>o8:298k403290/?l6517:8j6g02=10c<8<:18'7d>=9?20b>o8:498k405290/?l6517:8j6g02?10c<;n:18'7d>=9?20b>o8:698fg7?290:6=4?{%1`5?46i2B8oo5G3b18k77>2900qol>9;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fg7f290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722winN4k:1/>??52:kf5;h305?6=3f9jn7>5;|`a5f<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbc3f>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{ej8l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plm2183>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygd593:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qol=3;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygd5=3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qol=7;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygd513:1?7>50z&0g4<5:11C?nl4H2a0?!4593=n7d<>c;29?l46l3:17b=?3;29?xu4;m;1<77t^8g89g4621>01o<=:9689g4421>01o<;:9689g4221>01o<9:9689g4021>01o<7:968yv54l;0;6?uQ14589g4?289:7p}<3e194?4|V8?>70l=7;305>{t;:n?6=4={_360>;e:?0:?<5rs21g1?6=:rT:9>52b379567;|q07a1=838pR<;>;<`17?7492wx?>j7:181[72827i>?4>309~w65c13:1>vP>499>f77=9:;0q~=7}Y;j=01o<6:33`?xu4;mh1<7ot^003?8d613;9<63m1`8265=:j8h1=?>4=c3`>44734h:h7?=0:?a5`<6:916n{t;:nh6=4>1z\26f=:j831i552b0c9a==:j8h1i552b0a9a==:j8n1i552b0g9a==:j8l1i552b329a==:j;;1=?m4=c01>44d34h9?7?=c:?a61<6:j16n?;513a89g41288h70l=7;31g>;e:10:>n5rs21g`?6=:rT::452b3297ddom;|q07a`=838pR<89;<`2a?5fj2wx?>k?:181[71=27i=i4vP>659>f4e=;hh0q~=7}Y9?901o?m:2ca?xu4;l91<75<5sW;>m63m1880eg=z{:9n97>518y>f4>=:8301o<>:9489g4621201o<>:9;89g4521<01o<=:9:89g4521301o<<:9489g4421201o<<:9;89g4321<01o<;:9:89g4321301o<::9489g4221201o<::9;89g4121<01o<9:9:89g4121301o<8:9489g4021201o<8:9;89g4?21<01o<7:9:89g4?2130q~=7}:j831=>?4=c02>6ge3ty8?h950;0x9g7f289:70l=2;1bf>{t;:o36=4={<`2f?74927i>>4v3m1b8274=:j;>1?ll4}r10ad<72;q6n;<`12?5fj2wx?>kl:1818d6n3;8=63m2680eg=z{:9nh7>52z?a65<6;816n?653``8yv54ml0;64u2b339576<5k896<94>219>f73=9;:01o<9:003?8d5?3;9<63m298265=:j;31?==4}|`a6d<728?1=?4>ezJ0g6=#;j;1?9o:;[g4>6}6132;65<5}h;f>5<#;h215i5a3`594>=n9<=1<7*=n9<>1<7*65f14194?"4i10:9;5a3`597>=n9<81<7*=n9<:1<7*=n;j=1<75f13294?=n;k31<75f13a94?=h9?31<7*=h9?<1<7*65`17794?"4i10::55a3`597>=h9?>1<7*=h9?81<7*=ej;h1<7?50;2x 6e62;;j7E=lb:J0g6=h:831<75rbc0`>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{ej;n1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plm2d83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygd5n3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vno=?:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::af67=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thi??4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fg5229026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbc15>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vno=8:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fg5>29026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbc1b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vno=m:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5<5<14952b279<1=:j:<14952b259<1=:j:214952b2;9<1=:j:k14952b2`9<1=z{:9m<7>52z\212=:j:h1=>?4}r10b4<72;qU=8;4=c1b>4563ty8?k<50;0xZ43334h857?<1:p76`42909wS?:3:?a7=<6;81v>=i4;296~X6=;16n>951238yv54n<0;6?uQ14389g51289:7p}<3g494?4|V8?;70l<5;305>{t;:l<6=4={_37<>;e;=0:?<5rs21eh4>219>f7`=9;:01o=?:003?8d493;9<63m338265=:j:91=?>4=c1`>77c3ty8?ko50;32[75k27i>n4j8:?a6ah4j8:?a6c2b9>f63=9;i01o=9:00`?8d4?3;9o63m39826f=:j:31=?m4=c1b>44d34h8n7?=c:p76`e2909wS?99:?a76<4ik1v>=ic;296~X6>>16n><53``8yv54nm0;6?uQ17489g562:ki7p}<3gg94?4|V8<>70l<0;1bf>{t;:lm6=4={_350>;e:o08mo5rs2634?6=:rT::>52b3g97ddom;|q0054=838pR<;n;<`1g?5fj2wx?9><:182=~;e:k09=452b269<3=:j:>14552b269<<=:j:?14;52b279<==:j:?14452b249<3=:j:<14552b249<<=:j:=14;52b259<==:j:=14452b2:9<3=:j:214552b2:9<<=:j:314;52b2;9<==:j:314452b2c9<3=:j:k14552b2c9<<=:j:h14;52b2`9<==:j:h1445rs2630?6=:r7i>n4>309>f62=;hh0q~=;0483>7}:j;n1=>?4=c16>6ge3ty88=850;0x9g4b289:70l<6;1bf>{t;=:<6=4={<`1b?74927i?:4v3m318274=:j:21?ll4}r174<<72;q6n>?512389g5>2:ki7p}<41c94?4|5k996<=>;<`0e?5fj2wx?9>m:1818d4;3;8=63m3c80eg=z{:>;o7>59z?a71<6:916n>;513289g51288;70l<7;314>;e;10:>=52b2;9576<5k9j6<2;3fM5d;2.8o<4<4`78^`1=;r;265>5838~m2d8m:4?;:k210<72-9j47?:6:l0e2<632c:994?:%1b2d8m:4=;:k216<72-9j47?:6:l0e2<432c:9?4?:%1b2d8m:4;;:k214<72-9j47?:6:l0e2<232c:9=4?:%1b2d8m:49;:k20=<72-9j47?:6:l0e2<032c8o:4?::k265<722c8n44?::k26f<722e::44?:%1b5}#;j;1>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thi8=4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?496=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smb5194?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xde<=0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qol;5;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fg21290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722win9950;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{ej=21<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::af1?=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smb5c94??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722win9l50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{ej=i1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::af1b=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smb5g94??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722win9h50;194?6|,:i:6?<7;I1`f>N4k:1/>??57d9j64e=831b>:?d;29=~X>m27i8:474:?a0=j:181[72?27i8h4>309~w627n3:1>vP>549>f1b=9:;0q~=;1183>7}Y9<>01o:l:012?xu4<8;1<75<5sW;>>63m4`8274=z{:>:?7>52z\214=:j=31=>?4}r1751<72;qU=8>4=c6;>4563ty88<;50;0xZ42?34h?;7?<1:p71712909wS=l7:?a0c<59j1v>:>7;29e~X6:916n>h513289g27288;70l;1;314>;e<;0:>=52b519576<5k>?6<219>f1`=:8n0q~=;1983>47|V88h70l3o370l;7;31g>;e<10:>n52b5;957e<5k>j6<2b9>f1b=9;i01o:j:00`?xu4<831<739jn6s|353b>5<5sW;=;63m4480eg=z{:>:n7>52z\223=:j=>1?ll4}r175f<72;qU=;;4=c60>6ge3ty887=nb:p717b2909wS?93:?a04<4ik1v>:>f;296~X6>;16n9>53``8yv53:90;6?uQ14c89g5a2:ki7p}<43394?7>s4h8i7<>9:?a0227i8:478:?a0227i84478:?a0<27i8o478:?a0g27i8i478:?a0a;e<>08mo5rs2617?6=:r7i8=4>309>f1>=;hh0q~=;2583>7}:j=;1=>?4=c6:>6ge3ty88?;50;0x9g25289:70l;a;1bf>{t;=8=6=4={<`77?74927i8o4v3m458274=:j=i1?ll4}r176=<72;q6n9;512389g2c2:ki7p}<43;94?4|5k>=6<=>;<`7a?5fj2wx?94=c6b>44734h?n7?=0:?a0f<6:916n9j513289g2b288;70l;f;137>{zjk?;6=4>5;31>4c|@:i87)=l1;17e0=]m>08w<75818;6?{n1l0;6)=n8;;g?k5f?3:07d?:7;29 6g?28?=7c=n7;28?l72=3:1(>o7:075?k5f?3;07d?:4;29 6g?28?=7c=n7;08?l72;3:1(>o7:075?k5f?3907d?:2;29 6g?28?=7c=n7;68?l7293:1(>o7:075?k5f?3?07d?:0;29 6g?28?=7c=n7;48?l7303:1(>o7:075?k5f?3=07d=l7;29?l7583:17d=m9;29?l75k3:17b?99;29 6g?28<37c=n7;28?j71?3:1(>o7:04;?k5f?3;07b?96;29 6g?28<37c=n7;08?j71=3:1(>o7:04;?k5f?3907b?94;29 6g?28<37c=n7;68?j71;3:1(>o7:04;?k5f?3?07b?92;29 6g?28<37c=n7;48?j72i3:1(>o7:04;?k5f?3=07ol:1;295?6=8r.8o<4=1`9K7fd<@:i87b<>9;29?xde=;0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qol:3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fg33290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722win8;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`a13<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<;7>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbc7;>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{ej<31<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plm5`83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thi9o4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xde=j0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`a1a<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plm5d83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thi9k4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xde>90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`a24<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plm6383>6<729q/?n?523:8L6ee3A9h?6*=2084a>o59j0;66g=1e83>>i48:0;66s|350a>5<>sW3n70l:a;:7?8d2j32?70l:c;:7?8d2l32?70l:e;:7?8d2n32?70l90;:7?8d1932?7p}<43a94?4|V8?<70l91;305>{t;=8o6=4={_361>;e>90:?<5rs261a?6=:rT:9952b4d9567k4?:3y]505<5k?n6<=>;|q0066=838pR<;=;<`6`?7492wx?9=>:181[72927i9n4>309~w624:3:1>vP>519>f0d=9:;0q~=;3283>7}Y9=201o;n:012?xu4<:>1<754=c77>44734h>97?=0:?a13<6:916n89513289g3?288;70l:9;314>;e>;09=i5rs2602?6=98qU=?m4=c71>`><5k?86h64=c77>`><5k?>6h64=c75>`><5k?<6h64=c7;>`><5k?26h64=c7b>44d34h>n7?=c:?a1f<6:j16n8j513a89g3b288h70l:f;31g>;e>90:>n52b73957eom;|q006>=838pR<88;<`627i9:4vP>649>f00=;hh0q~=;3c83>7}Y9?>01o;::2ca?xu4<:i1<75<5sW;=>63m5280eg=z{:>8i7>52z\21d=:j<81?ll4}r177c<7283p1o;>:33:?8d2i32=70l:a;:;?8d2i32270l:b;:5?8d2j32370l:b;::?8d2k32=70l:c;:;?8d2k32270l:d;:5?8d2l32370l:d;::?8d2m32=70l:e;:;?8d2m32270l:f;:5?8d2n32370l:f;::?8d1832=70l90;:;?8d1832270l91;:5?8d1932370l91;::?xu4<=:1<745634h>m7=nb:p71262909w0l:3;305>;e=k08mo5rs2676?6=:r7i994>309>f0e=;hh0q~=;4283>7}:j?4=c7g>6ge3ty889:50;0x9g31289:70l:e;1bf>{t;=>>6=4={<`63?74927i9k43:1>v3m598274=:j?:1?ll4}r1702<72;q6n87512389g062:ki7p}<45:94??|5k?j6<219>f0b=9;:01o;j:003?8d2n3;9<63m618265=:j?;1=?>4=c41>6643twin;=50;36>44=9lqC?n=4$2a2>62f=2Pn;7=t188;4?>52tc2i7>5$2c;>5<#;h21=884n2c4>5=6=4+3`:95005<#;h21=884n2c4>7=54i071>5<#;h21=884n2c4>1=5<#;h21=884n2c4>3=36=4+3`:95005<5<5<#;h21=;64n2c4>5=5<#;h21=;64n2c4>7=6=4+3`:953>54o047>5<#;h21=;64n2c4>1=5<#;h21=;64n2c4>3=5<6290;w)=l1;02e>N4kk1C?n=4o33:>5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbc45>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{ej?=1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plm6983>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygd113:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vno8n:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::af3d=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thi:n4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qol9e;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygd083:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qol82;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygd0<3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?1b3`8:o7>5;h02`?6=3f9;?7>5;|q001?=833pR4k4=c4g>=2<5k=2<5k=;65:4=c52>=2<5k=965:4=c50>=2<5k=?65:4}r170d<72;qU=894=c57>4563ty889l50;0xZ43234h:;d;296~X6=:16n:?51238yv53{t;=?;6=4={_364>;e>l0:?<5rs2665?6=:rT:8552b7f95676??l;|q0005=83kpR<219>f31=9;:01o87:003?8d113;9<63m6`8265=:j?h1=?>4=c4`>44734h<97<>d:p7133290:=vP>2b9>f33=m116n;85e99>f31=m116n;65e99>f3?=m116n;o5e99>f3d=m116n;m5e99>f3b=9;i01o8j:00`?8d1n3;9o63m71826f=:j>;1=?m4=c51>44d34h::5;296~X6>016n;m53``8yv53=?0;6?uQ17589g0e2:ki7p}<44594?4|V8<=70l9a;1bf>{t;=?36=4={_351>;e>008mo5rs266=?6=:rT::952b7:97ddom;|q000d=838pR<8=;<`52?5fj2wx?9;l:181[72i27i:84=><5k=0<5k=?<5k=><5k=0<5k=;6564=c53>=?<5k=:6584=c52>=><5k=:6574=c51>=0<5k=96564=c51>=?<5k=86584=c50>=><5k=86574=c57>=0<5k=?6564=c57>=?f33=9:;01o8k:2ca?xu4<45634h=i7=nb:p71072909w0l97;305>;e>o08mo5rs2655?6=:r7i:54>309>f26=;hh0q~=;6383>7}:j?31=>?4=c52>6ge3ty88;=50;0x9g0f289:70l82;1bf>{t;=4v3m6b8274=:j>>1?ll4}r1723<720q6n;j513289g0b288;70l9f;314>;e?90:>=52b639576<5k=96<219>f23=;990qplm7783>0<729q/?n?52078L6ee3A9h?6*=2081?lc?2900e<ok:188k6ge2900qol87;291?6=8r.8o<4=149K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831b?lj50;9l7dd=831vno97:186>5<7s-9h=7<>5:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722win:750;794?6|,:i:6??:;I1`f>N4k:1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thi;l4?:483>5}#;j;1><;4H2aa?M5d;2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjk=h6=4::183!5d938:96F>o6:90;66g>3083>>o4im0;66a>{ej>n1<7;50;2x 6e62;;>7E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xde?l0;684?:1y'7f7=:8?0D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188ygd0n3:197>50z&0g4<59<1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~fg>7290>6=4?{%1`5?46=2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::af=7=83?1<7>t$2a2>7723A9hn6F5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`a<7<72<0;6=u+3b39643<@:ii7E=l3:&164<53`o36=44i003>5<5<m<;%015?45<2290;w)=l1;021>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smb9794?3=83:p(>m>:336?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17plm8783>0<729q/?n?52078L6ee3A9h?6*=2081?lc?2900e<ok:188k6ge2900qol77;291?6=8r.8o<4=149K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831b?lj50;9l7dd=831vno67:186>5<7s-9h=7<>5:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722win5750;794?6|,:i:6??:;I1`f>N4k:1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thi4l4?:483>5}#;j;1><;4H2aa?M5d;2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjk2h6=4::183!5d938:96F>o6:90;66g>3083>>o4im0;66a>{ej1n1<7;50;2x 6e62;;>7E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xde0l0;684?:1y'7f7=:8?0D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188ygd?n3:197>50z&0g4<59<1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~fg?7290>6=4?{%1`5?46=2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::af<7=83?1<7>t$2a2>7723A9hn6F5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`a=7<72<0;6=u+3b39643<@:ii7E=l3:&164<53`o36=44i003>5<5<m<;%015?45<2290;w)=l1;021>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smb8794?3=83:p(>m>:336?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17plm9783>0<729q/?n?52078L6ee3A9h?6*=2081?lc?2900e<ok:188k6ge2900qol67;291?6=8r.8o<4=149K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831b?lj50;9l7dd=831vno77:186>5<7s-9h=7<>5:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722win4750;794?6|,:i:6??:;I1`f>N4k:1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thi5l4?:483>5}#;j;1><;4H2aa?M5d;2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjk3h6=4::183!5d938:96F>o6:90;66g>3083>>o4im0;66a>{ej0n1<7;50;2x 6e62;;>7E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xde1l0;684?:1y'7f7=:8?0D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188ygd>n3:197>50z&0g4<59<1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~fgg7290>6=4?{%1`5?46=2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::afd7=83?1<7>t$2a2>7723A9hn6F5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`ae7<72<0;6=u+3b39643<@:ii7E=l3:&164<53`o36=44i003>5<5<m<;%015?45<2290;w)=l1;021>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smb`794?3=83:p(>m>:336?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17plma783>6e=:?08hvF8;je5<72-9j477i;o1b3?6<3`;<97>5$2c;>4133g9j;7>4;h347?6=,:k36<9;;o1b3?7<3`;<>7>5$2c;>4133g9j;7<4;h345?6=,:k36<9;;o1b3?5<3`;<<7>5$2c;>4133g9j;7:4;h35b?6=,:k36<9;;o1b3?3<3`;=i7>5$2c;>4133g9j;784;h35`?6=,:k36<9;;o1b3?1<3`;2h7>5$2c;>4133g9j;764;h3:g?6=,:k36<9;;o1b3??<3`;2n7>5$2c;>4133g9j;7o4;h3:e?6=,:k36<9;;o1b3?d<3`;257>5$2c;>4133g9j;7m4;h3:5$2c;>4133g9j;7k4;h3;7?6=,:k36<9;;o1b3?`<3`;<47>5$2c;>4133g9j;7??;:k22f<72-9j47?84:l0e2<6921bm;4?:%1b4;h1`5;h1a=?6=3`;9o7>5;n3b3?6=,:k365$2c;>4g13g9j;7?4;n3b0?6=,:k365$2c;>4g13g9j;7=4;n3b6?6=,:k365$2c;>4g13g9j;7;4;n3b4?6=,:k365$2c;>4g13g9j;794;n3`b?6=,:k36<3f;hi7>5$2c;>4g13g9j;774;n3``?6=,:k365$2c;>4g13g9j;7l4;n3`f?6=,:k365$2c;>4g13g9j;7j4;n3`4?6=,:k365$2c;>4g13g9j;7h4;n3be?6=,:k362d8m:4>1:9l5a?=83.8m54>d99m7d1=821d=i950;&0e=<6l11e?l951:9l5a0=83.8m54>d99m7d1=:21d=i;50;&0e=<6l11e?l953:9l5a2=83.8m54>d99m7d1=<21d=i=50;&0e=<6l11e?l955:9l5a4=83.8m54>d99m7d1=>21d=i?50;&0e=<6l11e?l957:9l657=83.8m54>d99m7d1=021d>=>50;&0e=<6l11e?l959:9l5c`=83.8m54>d99m7d1=i21d=kk50;&0e=<6l11e?l95b:9l5cb=83.8m54>d99m7d1=k21d=km50;&0e=<6l11e?l95d:9l5c4=83.8m54>d99m7d1=m21d=h950;&0e=<6l11e?l95f:9l5ae=83.8m54>d99m7d1=9910c=9m20b>o8:038?gdf?3:1=7>50z&0g4<59h1C?nl4H2a0?j4613:17plma983>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygdf13:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnoon:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::afdd=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thimn4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66smb`d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdej90;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qolm1;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fgd5290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wino=50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`af1<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbc`5>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{ejk=1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plmb983>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygde13:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnoln:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:49j<1<722c3:7>5;h:;>5<>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~fgdd290o6=4?{%1`5?5fm2B8oo5G3b18 7462<1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rbc`g>5N4kk1C?n=4$302>0=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vnolj:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:49j<1<722c3:7>5;h:;>5<>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~fge7290o6=4?{%1`5?5fm2B8oo5G3b18 7462<1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rbca2>5N4kk1C?n=4$302>0=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vnom=:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:49j<1<722c3:7>5;h:;>5<>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~fge3290o6=4?{%1`5?5fm2B8oo5G3b18 7462<1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rbca6>5N4kk1C?n=4$302>0=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vnom9:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:49j<1<722c3:7>5;h:;>5<>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~fge?290o6=4?{%1`5?5fm2B8oo5G3b18 7462<1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rbca:>5N4kk1C?n=4$302>0=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vnomn:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:49j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~fged29086=4?{%1`5?4502B8oo5G3b18 7462>o0e??l:188m77c2900c>><:188yv53>>0;6<=t^`289gdf21>01olm:9689gdd21>01olk:9689gdb21>01oli:9689ge721>01om>:9689ge521>01om<:9689ge321>01om::9689ge121>01om8:9689ge?21>01om6:9689gef21>01omm:968yv53>10;6?uQ16789gee289:7p}<47;94?4|V8=870lla;305>{t;=;ek00:?<5rs265f?6=:rT:;<52bb:9567;|q003b=838pR<8i;<``2?7492wx?98j:181[71m27io>4>309~w621n3:1>vP>6e9>ff3=9:;0q~=;7183>7}Y90n01om;:012?xu4<>;1<75<5sW;2n63mc08274=z{:>52z\2=d=:jj:1=>?4}r1731<72;qU=474=c`e>4563ty88:;50;0xZ4??34hii7?<1:p71112909wS?7e:?afa<6;81v>:87;296~X60:16noo51238yv53?10;6?uQ16:89gdd289:7p}<46;94?4|V8{t;==j6=4>3z\b2>;ejh09<852bc`9653<5khh6?>:;<`a`?47=27inh4=049>fg`=:9?01om?:326?8dd938;963mc38140=:jj91>=;4=ca7>76234hh9770ll9;031>;ekh09<852bb`9653<5kih6??l;|q002e=83;?wS?=0:?ae=<6:916nl7513289ggf288;70lnb;314>;eij0:>=52b`f9576<5kkn6<219>fg7=9;:01ol=:003?8de;3;9<63mb58265=:jk?1=?>4=c`5>44734hi;7?=0:?af=<6:916no7513289ged2;;o7p}<46f94?42sW;9o63ma98f<>;ei00n463ma`8f<>;eik0n463mab8f<>;eim0n463mad8f<>;eio0n463mb18f<>;ej80n463mb38f<>;ej:0n463mb58f<>;ej<0n463mb78f<>;ej>0n463mb98f<>;ej00n463mb`80g3=:jkh1?n84=c``>6e134hih7=l6:?af`<4k?16noh53b489ge72:i=70ll1;1`2>;ek;08o;52bb197f0<5ki?6>m9;<``1?5d>27io;4ff1=;j<01om7:2a5?8dd139h:63mc`80g3=:jjh1?n84}r173`<72;qU=i74=c`:>6ge3ty88:h50;0xZ4b034hi47=nb:p71>72909wS?k6:?af2<4ik1v>:71;296~X6l<16no853``8yv530;0;6?uQ1e689gd22:ki7p}<49194?4|V8n870lm4;1bf>{t;=2?6=4={_3g6>;ej:08mo5rs26;1?6=:rT:h<52bc097ddom;|q00=1=838pR?>?;<`a4?5fj2wx?967:181[7an27imk4vP>fd9>fdc=;hh0q~=;8`83>7}Y9on01ook:2ca?xu4<1h1<75<5sW;m>63mac80eg=z{:>3h7>52z\2a2=:jhk1?ll4}r17<`<72;qU=im4=cc:>6ge3ty885h50;0xZ4b734hj47=nb:p71?7290=hv3ma6815<=:jkk14;52bcc9<==:jkk14452bcc9650<5khj6?>8;<`ae?47027ino476:?afg=64=c`g>=0<5kho6564=c`g>=?<5kho6?>9;<`a`?47?27ini4=099>fgc=0?16nok5899>fgc=0016nok521489gdb2;:<70lme;03<>;ejo03:63mbg8;<>;ejo03563mbg8143=:jkl1>=94=c`e>76?34hh<769;<``4?>?34hh<766;<``4?47>27io=4=069>ff6=:9201om>:9489ge621201om>:9;89ge62;:=70ll1;033>;ek809<552bb09<3=:jj814552bb09<<=:jj81>=84=ca1>76034hh>727io>478:?ag64=079>ff5=:9=01om<:32;?8dd<32=70ll4;:;?8dd<32270ll4;032>;ek=09<:52bb6965><5ki>6584=ca6>=><5ki>6574=ca6>76134hh97ff0=0116nn85889>ff0=:9<01om9:324?8dd>38;463mc68;2>;ek>03463mc68;=>;ek>09<;52bb59651<5ki<6?>7;<``134hh4767;<``>34hh4716nn6521:89ge>21<01om6:9:89ge>21301om6:325?8dd138;;63mc8814==:jjk14;52bbc9<==:jjk14452bbc9650<5kij6?>8;<``e?47027ioo476:?agg;<`af?47<2wx?97=:1818df13;8=63mbb8141=z{:>2?7>52z?aed<6;816noo52168yv531=0;6?u2b``9567<5kho6?>;;|q00<3=838p1ool:012?8dem38;86s|35;5>5<5s4hjh7?<1:?afc<58=1v>:67;296~;eil0:?<52bb29652fd`=9:;01om>:327?xu4<031<745634hh>7;ek=09<95rs26:f?6=:r7in?4>309>ff3=:9>0q~=;9b83>7}:jk91=>?4=ca0>7633ty884j50;0x9gd3289:70ll6;030>{t;=3n6=4={<`a1?74927io:4=059~w62>n3:1>v3mb78274=:jj21>=:4}r17e5<72;q6no9512389ge>2;:?7p}<4`394?4|5kh36<=>;<``e?47<2wx?9o=:1818de13;8=63mcc8141=z{:>j?7>512y>fgg=;j=01olm:2a4?8dek39h;63mbe80g2=:jko1?n94=c`e>6e034hh<7=l7:?ag4<4k>16nn<53b589ge42:i<70ll4;1`3>;ek<08o:52bb497f1<5ki<6>m8;<``ffg=;j=01omm:2a4?8ddk39;?6srbcag>5<4290;w)=l1;1`e>N4kk1C?n=4$233>4d5k2c:>o4?::k166<722e8<>4?::affc=8391<7>t$2a2>6ef3A9hn6F4?:1y'7f7=;jk0D>mm;I1`7>"4990:n?m4i00a>5<5<53;294~"4k808ol5G3b`8L6e43-9:<7?m2b9j57d=831b>?=50;9l755=831vnoj>:180>5<7s-9h=7=la:J0gg=O;j90(>??:0`1g>o6:k0;66g=2283>>i48:0;66smbe094?5=83:p(>m>:2ab?M5dj2B8o>5+30295g4d3`;9n7>5;h017?6=3f9;?7>5;|`a`6<72<0;6=u+3b39605<@:ii7E=l3:&055<6j;i0e<l7:188k6642900c?<;:188ygdc<3:187>50z&0g4<5==1C?nl4H2a0?!5683;i>n5f13`94?=n:991<75f3c:94?=h:;>1<75rbcf6>5<3290;w)=l1;060>N4kk1C?n=4$233>4d5k2c:>o4?::k146<722c8n54?::m161<722wini850;694?6|,:i:6?;;;I1`f>N4k:1/?<>51c0`?l75j3:17d0;694?:1y'7f7=:<>0D>mm;I1`7>"4990:n?m4i00a>5<5<m<;%124?7e:j1b=?l50;9j655=831b?o650;9l672=831vnoj6:186>5<7s-9h=7<>5:J0gg=O;j90(>??:0`1g>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188ygdci3:197>50z&0g4<59<1C?nl4H2a0?!5683;i>n5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17plmdc83>0<729q/?n?52078L6ee3A9h?6*<1182f7e<,;8:6?5fe983>>o6:90;66g>3083>>o4im0;66a>{ejmi1<7;50;2x 6e62;;>7E=lb:J0g6=#;8:1=o5<2290;w)=l1;021>N4kk1C?n=4$233>4d5k2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-9:<7?m2b9'677=:2cn47>5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`a`c<72<0;6=u+3b39643<@:ii7E=l3:&055<6j;i0(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722winh>50;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~fgc6290>6=4?{%1`5?46=2B8oo5G3b18 67728h9o6*=2081?lc?2900e<ok:188k6ge2900qolj2;291?6=8r.8o<4=149K7fd<@:i87)=>0;3a6f=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xdem:0;684?:1y'7f7=:8?0D>mm;I1`7>"4990:n?m4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smbd694?3=83:p(>m>:336?M5dj2B8o>5+30295g4d3-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjko>6=4::183!5d938:96F5<5<m<;%124?7e:j1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thii:4?:483>5}#;j;1><;4H2aa?M5d;2.8==4>b3a8 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::af`>=83?1<7>t$2a2>7723A9hn6F=831b=?>50;9j567=831b?lj50;9l7dd=831vnok6:186>5<7s-9h=7<>5:J0gg=O;j90(>??:0`1g>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188ygdbi3:197>50z&0g4<59<1C?nl4H2a0?!5683;i>n5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17plmec83>0<729q/?n?52078L6ee3A9h?6*<1182f7e<,;8:6?5fe983>>o6:90;66g>3083>>o4im0;66a>{ejli1<7;50;2x 6e62;;>7E=lb:J0g6=#;8:1=o5<2290;w)=l1;021>N4kk1C?n=4$233>4d5k2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-9:<7?m2b9'677=:2cn47>5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`aac<72<0;6=u+3b39643<@:ii7E=l3:&055<6j;i0(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wink>50;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~fg`6290>6=4?{%1`5?46=2B8oo5G3b18 67728h9o6*=2081?lc?2900e<ok:188k6ge2900qoli2;291?6=8r.8o<4=149K7fd<@:i87)=>0;3a6f=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xden:0;684?:1y'7f7=:8?0D>mm;I1`7>"4990:n?m4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smbg694?3=83:p(>m>:336?M5dj2B8o>5+30295g4d3-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjkl>6=4::183!5d938:96F5<5<m<;%124?7e:j1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thij:4?:483>5}#;j;1><;4H2aa?M5d;2.8==4>b3a8 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::afc>=83?1<7>t$2a2>7723A9hn6F=831b=?>50;9j567=831b?lj50;9l7dd=831vnoh6:186>5<7s-9h=7<>5:J0gg=O;j90(>??:0`1g>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188ygdai3:197>50z&0g4<59<1C?nl4H2a0?!5683;i>n5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17plmfc83>0<729q/?n?52078L6ee3A9h?6*<1182f7e<,;8:6?5fe983>>o6:90;66g>3083>>o4im0;66a>{ejoi1<7;50;2x 6e62;;>7E=lb:J0g6=#;8:1=o5<2290;w)=l1;021>N4kk1C?n=4$233>4d5k2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-9:<7?m2b9'677=:2cn47>5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`abc<72<0;6=u+3b39643<@:ii7E=l3:&055<6j;i0(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wio=>50;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~ff66290>6=4?{%1`5?46=2B8oo5G3b18 67728h9o6*=2081?lc?2900e<ok:188k6ge2900qom?2;291?6=8r.8o<4=149K7fd<@:i87)=>0;3a6f=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xdd8:0;684?:1y'7f7=:8?0D>mm;I1`7>"4990:n?m4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smc1694?3=83:p(>m>:336?M5dj2B8o>5+30295g4d3-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjj:>6=4::183!5d938:96F5<5<m<;%124?7e:j1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thh<:4?:483>5}#;j;1><;4H2aa?M5d;2.8==4>b3a8 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::ag5>=83?1<7>t$2a2>7723A9hn6F=831b=?>50;9j567=831b?lj50;9l7dd=831vnn>6:186>5<7s-9h=7<>5:J0gg=O;j90(>??:0`1g>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188yge7i3:197>50z&0g4<59<1C?nl4H2a0?!5683;i>n5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pll0c83>0<729q/?n?52078L6ee3A9h?6*<1182f7e<,;8:6?5fe983>>o6:90;66g>3083>>o4im0;66a>{ek9i1<7;50;2x 6e62;;>7E=lb:J0g6=#;8:1=o5<2290;w)=l1;021>N4kk1C?n=4$233>4d5k2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-9:<7?m2b9'677=:2cn47>5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|``4c<72<0;6=u+3b39643<@:ii7E=l3:&055<6j;i0(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wio<>50;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~ff76290>6=4?{%1`5?46=2B8oo5G3b18 67728h9o6*=2081?lc?2900e<ok:188k6ge2900qom>2;291?6=8r.8o<4=149K7fd<@:i87)=>0;3a6f=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xdd9:0;684?:1y'7f7=:8?0D>mm;I1`7>"4990:n?m4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smc0694?3=83:p(>m>:336?M5dj2B8o>5+30295g4d3-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjj;>6=4::183!5d938:96F5<5<m<;%124?7e:j1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thh=:4?:483>5}#;j;1><;4H2aa?M5d;2.8==4>b3a8 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::ag4>=83?1<7>t$2a2>7723A9hn6F=831b=?>50;9j567=831b?lj50;9l7dd=831vnn?6:186>5<7s-9h=7<>5:J0gg=O;j90(>??:0`1g>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188yge6i3:197>50z&0g4<59<1C?nl4H2a0?!5683;i>n5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pll1c83>0<729q/?n?52078L6ee3A9h?6*<1182f7e<,;8:6?5fe983>>o6:90;66g>3083>>o4im0;66a>{ek8i1<7;50;2x 6e62;;>7E=lb:J0g6=#;8:1=o5<2290;w)=l1;021>N4kk1C?n=4$233>4d5k2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-9:<7?m2b9'677=:2cn47>5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|``5c<72<0;6=u+3b39643<@:ii7E=l3:&055<6j;i0(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wio?>50;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~ff46290>6=4?{%1`5?46=2B8oo5G3b18 67728h9o6*=2081?lc?2900e<ok:188k6ge2900qom=2;291?6=8r.8o<4=149K7fd<@:i87)=>0;3a6f=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xdd::0;684?:1y'7f7=:8?0D>mm;I1`7>"4990:n?m4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smc3694?3=83:p(>m>:336?M5dj2B8o>5+30295g4d3-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjj8>6=4::183!5d938:96F5<5<m<;%124?7e:j1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thh>:4?:483>5}#;j;1><;4H2aa?M5d;2.8==4>b3a8 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::ag7>=83?1<7>t$2a2>7723A9hn6F=831b=?>50;9j567=831b?lj50;9l7dd=831vnn<6:186>5<7s-9h=7<>5:J0gg=O;j90(>??:0`1g>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188yge5i3:197>50z&0g4<59<1C?nl4H2a0?!5683;i>n5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pll2c83>0<729q/?n?52078L6ee3A9h?6*<1182f7e<,;8:6?5fe983>>o6:90;66g>3083>>o4im0;66a>{ek;i1<7;50;2x 6e62;;>7E=lb:J0g6=#;8:1=o5<2290;w)=l1;021>N4kk1C?n=4$233>4d5k2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-9:<7?m2b9'677=:2cn47>5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|``6c<72<0;6=u+3b39643<@:ii7E=l3:&055<6j;i0(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wio>>50;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~ff56290>6=4?{%1`5?46=2B8oo5G3b18 67728h9o6*=2081?lc?2900e<ok:188k6ge2900qom<2;291?6=8r.8o<4=149K7fd<@:i87)=>0;3a6f=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xdd;:0;684?:1y'7f7=:8?0D>mm;I1`7>"4990:n?m4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smc2694?3=83:p(>m>:336?M5dj2B8o>5+30295g4d3-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjj9>6=4::183!5d938:96F5<5<m<;%124?7e:j1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thh?:4?:483>5}#;j;1><;4H2aa?M5d;2.8==4>b3a8 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::ag6>=8391<7>t$2a2>6ef3A9hn6F4?:1y'7f7=;jk0D>mm;I1`7>"4990:n?m4i00a>5<5<53;294~"4k808ol5G3b`8L6e43-9:<7?m2b9j57d=831b>?=50;9l755=831vnn=m:180>5<7s-9h=7=la:J0gg=O;j90(>??:0`1g>o6:k0;66g=2283>>i48:0;66smc2a94?5=83:p(>m>:2ab?M5dj2B8o>5+30295g4d3`;9n7>5;h017?6=3f9;?7>5;|``7a<72<0;6=u+3b39605<@:ii7E=l3:&055<6j;i0e<l7:188k6642900c?<;:188yge4m3:187>50z&0g4<5==1C?nl4H2a0?!5683;i>n5f13`94?=n:991<75f3c:94?=h:;>1<75rbb1e>5<3290;w)=l1;060>N4kk1C?n=4$233>4d5k2c:>o4?::k146<722c8n54?::m161<722wio9>50;694?6|,:i:6?;;;I1`f>N4k:1/?<>51c0`?l75j3:17d0D>mm;I1`7>"4990:n?m4i00a>5<5<m<;%124?7e:j1b=?l50;9j655=831b?o650;9l755=831vnn:<:186>5<7s-9h=7<=a:J0gg=O;j90(?<>:331?!5f139n>6g=1b83>>o59m0;66g=1d83>>o59o0;66a<0283>>{ek=>1<7:50;2x 6e62;827E=lb:J0g6=#:;;14>5f20a94?=n:8n1<75f20g94?=h;991<75rbb66>5<2290;w)=l1;01e>N4kk1C?n=4$302>23<,:k26>j6;h02g?6=3`8:h7>5;h02a?6=3`8:j7>5;n137?6=3thh8;4?:583>5}#;j;1>?74H2aa?M5d;2.9><46;h02g?6=3`8:h7>5;h02a?6=3f9;?7>5;|``02<72<0;6=u+3b3967g<@:ii7E=l3:&164<0n2.8m445<7s-9h=7<=b:J0gg=O;j90(?<>:005?!5f139o56g=1b83>>o59m0;66g=1d83>>o59o0;66g=2183>>i48:0;66smc5;94?5=83:p(>m>:30;?M5dj2B8o>5+233933=n:8i1<75f20f94?=h;991<75rbb6b>5<3290;w)=l1;01=>N4kk1C?n=4$302>2d5<5<54;294~"4k809>45G3b`8L6e43-89=7?<2:&0e<<4m;1b>5<7s-9h=7<=8:J0gg=O;j90(?<>:648m77d2900e??k:188k6642900qom;d;290?6=8r.8o<4=289K7fd<@:i87)<=1;03b>"4i008i<5f20a94?=n:8n1<75f20g94?=h;991<75rbb6f>5<1290;w)=l1;01f>N4kk1C?n=4$302>1=n:8i1<75f20f94?=n:8o1<75f20d94?=n:;:1<75`31194?=zjj>m6=4;:183!5d938956Fl;%1b=?5b;2c9=n4?::k15a<722c9=h4?::m046<722wio8>50;694?6|,:i:6?<6;I1`f>N4k:1/>??5c:k15f<722c9=i4?::k15`<722e8<>4?::ag07=8391<7>t$2a2>74?3A9hn6Fo0e??l:188m77c2900c>><:188yge2;3:197>50z&0g4<5:h1C?nl4H2a0?!45938:;6g=1b83>>o59m0;66g=1d83>>o59o0;66a<0283>>{ek<>1<7850;2x 6e62;8i7E=lb:J0g6=#:;;1><64i33`>5<5<5<m<;%015?113`8:o7>5;h02`?6=3f9;?7>5;|``13<72=0;6=u+3b3967?<@:ii7E=l3:&1642c9=n4?::k15a<722c9=h4?::m046<722wio8950;694?6|,:i:6?<6;I1`f>N4k:1/>??5e79j64e=831b>5<7s-9h=7<=9:J0gg=O;j90(?<>:338m77d2900e??k:188m77b2900c>><:188yge2i3:187>50z&0g4<5:01C?nl4H2a0?!45938:7)=n9;1gg>o59j0;66g=1e83>>o59l0;66a<0283>>{ek4$2c:>6bd3`8:o7>5;h02`?6=3f9;?7>5;|``1a<72<0;6=u+3b3967g<@:ii7E=l3:&164<6<>1b>i0e??l:188m77c2900e??j:188k6642900qom:f;297?6=8r.8o<4=299K7fd<@:i87)<=1;03f>o59j0;66g=1e83>>i48:0;66smc7294?2=83:p(>m>:30:?M5dj2B8o>5+23393f=n:8i1<75f20f94?=n:8o1<75`31194?=zjj<:6=4<:183!5d938946F5<5<7>54;294~"4k809>45G3b`8L6e43-89=7k9;h02g?6=3`8:h7>5;h02a?6=3f9;?7>5;|``26<72:0;6=u+3b3967><@:ii7E=l3:&164<0i2c9=n4?::k15a<722e8<>4?::ag32=8391<7>t$2a2>74?3A9hn6Fi0e??l:188m77c2900e??j:188k6642900qom96;290?6=8r.8o<4=289K7fd<@:i87)<=1;5`?l46k3:17d<>d;29?l46m3:17b=?3;29?xdd>>0;6>4?:1y'7f7=:;20D>mm;I1`7>"5:80>o59m0;66a<0283>>{ek?21<7=50;2x 6e62;837E=lb:J0g6=#:;;1;l5f20a94?=n:8n1<75`31194?=zjj<26=4<:183!5d938946F5<5<54;294~"4k809>45G3b`8L6e43-89=7?;6:k15f<722c9=i4?::k15`<722e8<>4?::ag3d=83>1<7>t$2a2>74>3A9hn6Fd;29?j57;3:17pll6e83>6<729q/?n?523:8L6ee3A9h?6*=20842>o59j0;66g=1e83>>i48:0;66smc7g94?2=83:p(>m>:30:?M5dj2B8o>5+23395105<5<53;294~"4k809>55G3b`8L6e43-89=799;h02g?6=3`8:h7>5;n137?6=3thh;=4?:283>5}#;j;1>?64H2aa?M5d;2.9><48a:k15f<722c9=i4?::m046<722wio:?50;194?6|,:i:6?<7;I1`f>N4k:1/>??521`8m77d2900e??k:188k6642900qom82;297?6=8r.8o<4=299K7fd<@:i87)<=1;5f?!5f139o86g=1b83>>o59m0;66a<0283>>{ek>91<7=50;2x 6e62;837E=lb:J0g6=#:;;1;h5+3`;97ad5<m<;%015?1b3-9j57=kb:k15f<722c9=i4?::m046<722wio:;50;194?6|,:i:6?<7;I1`f>N4k:1/>??57d9'7d?=;mk0e??l:188m77c2900c>><:188yge0>3:1?7>50z&0g4<5:11C?nl4H2a0?!4593=n7)=n9;1ge>o59j0;66g=1e83>>i48:0;66smc6594?5=83:p(>m>:30;?M5dj2B8o>5+23393`=#;h31?ij4i33`>5<5<53;294~"4k809>55G3b`8L6e43-89=799;h02g?6=3`8:h7>5;n137?6=3thh;44?:483>5}#;j;1>?o4H2aa?M5d;2.9><484:k15f<722c9=i4?::k15`<722c9=k4?::m046<722wio:o50;794?6|,:i:6?N4k:1/>??5759j64e=831b>5<7s-9h=7<=a:J0gg=O;j90(?<>:668m77d2900e??k:188m77b2900e??i:188k6642900qom8c;291?6=8r.8o<4=2`9K7fd<@:i87)<=1;57?l46k3:17d<>d;29?l46m3:17d<>f;29?j57;3:17pll7e83>0<729q/?n?523c8L6ee3A9h?6*=20840>o59j0;66g=1e83>>o59l0;66g=1g83>>i48:0;66smc6g94?3=83:p(>m>:30b?M5dj2B8o>5+233931=n:8i1<75f20f94?=n:8o1<75f20d94?=h;991<75rbb5e>5<2290;w)=l1;01e>N4kk1C?n=4$302>225<5<m<;%015?133`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3f9;?7>5;|``<4<72<0;6=u+3b3967g<@:ii7E=l3:&164<0<2c9=n4?::k15a<722c9=h4?::k15c<722e8<>4?::ag=4=83?1<7>t$2a2>74f3A9hn6F4290>6=4?{%1`5?45i2B8oo5G3b18 7462>>0e??l:188m77c2900e??j:188m77a2900c>><:188yge?<3:197>50z&0g4<5:h1C?nl4H2a0?!4593=?7d<>c;29?l46l3:17d<>e;29?l46n3:17b=?3;29?xdd0<0;684?:1y'7f7=:;k0D>mm;I1`7>"5:80<86g=1b83>>o59m0;66g=1d83>>o59o0;66a<0283>>{ek1<1<7;50;2x 6e62;8j7E=lb:J0g6=#:;;1;95f20a94?=n:8n1<75f20g94?=n:8l1<75`31194?=zjj2<6=4::183!5d9389m6F5<5<5<55;294~"4k809>l5G3b`8L6e43-89=79;;h02g?6=3`8:h7>5;h02a?6=3`8:j7>5;n137?6=3thh444?:483>5}#;j;1>?o4H2aa?M5d;2.9><484:k15f<722c9=i4?::k15`<722c9=k4?::m046<722wio5o50;794?6|,:i:6?N4k:1/>??5759j64e=831b>5<7s-9h=7<=a:J0gg=O;j90(?<>:668m77d2900e??k:188m77b2900e??i:188k6642900qom7c;291?6=8r.8o<4=2`9K7fd<@:i87)<=1;57?l46k3:17d<>d;29?l46m3:17d<>f;29?j57;3:17pll8e83>0<729q/?n?523c8L6ee3A9h?6*=20840>o59j0;66g=1e83>>o59l0;66g=1g83>>i48:0;66smc9g94?3=83:p(>m>:30b?M5dj2B8o>5+233931=n:8i1<75f20f94?=n:8o1<75f20d94?=h;991<75rbb:e>5<2290;w)=l1;01e>N4kk1C?n=4$302>225<5<m<;%015?133`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3f9;?7>5;|``=4<72<0;6=u+3b3967g<@:ii7E=l3:&164<0<2c9=n4?::k15a<722c9=h4?::k15c<722e8<>4?::ag<4=83?1<7>t$2a2>74f3A9hn6F6=4?{%1`5?45i2B8oo5G3b18 7462>>0e??l:188m77c2900e??j:188m77a2900c>><:188yge><3:197>50z&0g4<5:h1C?nl4H2a0?!4593=?7d<>c;29?l46l3:17d<>e;29?l46n3:17b=?3;29?xdd1<0;684?:1y'7f7=:;k0D>mm;I1`7>"5:80<86g=1b83>>o59m0;66g=1d83>>o59o0;66a<0283>>{ek0<1<7;50;2x 6e62;8j7E=lb:J0g6=#:;;1;95f20a94?=n:8n1<75f20g94?=n:8l1<75`31194?=zjj3<6=4::183!5d9389m6F5<5<5<55;294~"4k809>l5G3b`8L6e43-89=79;;h02g?6=3`8:h7>5;h02a?6=3`8:j7>5;n137?6=3thh544?:483>5}#;j;1>?o4H2aa?M5d;2.9><484:k15f<722c9=i4?::k15`<722c9=k4?::m046<722wio4o50;794?6|,:i:6?N4k:1/>??5759j64e=831b>5<7s-9h=7<=a:J0gg=O;j90(?<>:668m77d2900e??k:188m77b2900e??i:188k6642900qom6c;291?6=8r.8o<4=2`9K7fd<@:i87)<=1;57?l46k3:17d<>d;29?l46m3:17d<>f;29?j57;3:17pll9e83>0<729q/?n?523c8L6ee3A9h?6*=20840>o59j0;66g=1e83>>o59l0;66g=1g83>>i48:0;66smc8g94?3=83:p(>m>:30b?M5dj2B8o>5+233931=n:8i1<75f20f94?=n:8o1<75f20d94?=h;991<75rbb;e>5<2290;w)=l1;01e>N4kk1C?n=4$302>225<5<m<;%015?133`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3f9;?7>5;|``e4<72<0;6=u+3b3967g<@:ii7E=l3:&164<0<2c9=n4?::k15a<722c9=h4?::k15c<722e8<>4?::agd4=83?1<7>t$2a2>74f3A9hn6F6=4?{%1`5?45i2B8oo5G3b18 7462>>0e??l:188m77c2900e??j:188m77a2900c>><:188ygef<3:197>50z&0g4<5:h1C?nl4H2a0?!4593=?7d<>c;29?l46l3:17d<>e;29?l46n3:17b=?3;29?xddi<0;684?:1y'7f7=:;k0D>mm;I1`7>"5:80<86g=1b83>>o59m0;66g=1d83>>o59o0;66a<0283>>{ekh<1<7;50;2x 6e62;8j7E=lb:J0g6=#:;;1;95f20a94?=n:8n1<75f20g94?=n:8l1<75`31194?=zjjk<6=4::183!5d9389m6F5<5<5<55;294~"4k809>l5G3b`8L6e43-89=79;;h02g?6=3`8:h7>5;h02a?6=3`8:j7>5;n137?6=3thhm44?:483>5}#;j;1>?o4H2aa?M5d;2.9><484:k15f<722c9=i4?::k15`<722c9=k4?::m046<722wiolo50;794?6|,:i:6?N4k:1/>??5759j64e=831b>5<7s-9h=7<=a:J0gg=O;j90(?<>:668m77d2900e??k:188m77b2900e??i:188k6642900qomnc;291?6=8r.8o<4=2`9K7fd<@:i87)<=1;57?l46k3:17d<>d;29?l46m3:17d<>f;29?j57;3:17pllae83>0<729q/?n?523c8L6ee3A9h?6*=20840>o59j0;66g=1e83>>o59l0;66g=1g83>>i48:0;66smc`g94?3=83:p(>m>:30b?M5dj2B8o>5+233931=n:8i1<75f20f94?=n:8o1<75f20d94?=h;991<75rbbce>5<2290;w)=l1;01e>N4kk1C?n=4$302>225<5<m<;%015?133`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3f9;?7>5;|``f4<72<0;6=u+3b3967g<@:ii7E=l3:&164<0<2c9=n4?::k15a<722c9=h4?::k15c<722e8<>4?::agg4=83?1<7>t$2a2>74f3A9hn6F6=4?{%1`5?45i2B8oo5G3b18 7462>>0e??l:188m77c2900e??j:188m77a2900c>><:188ygee<3:197>50z&0g4<5:h1C?nl4H2a0?!4593=?7d<>c;29?l46l3:17d<>e;29?l46n3:17b=?3;29?xddj<0;684?:1y'7f7=:;k0D>mm;I1`7>"5:80<86g=1b83>>o59m0;66g=1d83>>o59o0;66a<0283>>{ekk<1<7;50;2x 6e62;8j7E=lb:J0g6=#:;;1;95f20a94?=n:8n1<75f20g94?=n:8l1<75`31194?=zjjh<6=4::183!5d9389m6F5<5<5<55;294~"4k809>l5G3b`8L6e43-89=79;;h02g?6=3`8:h7>5;h02a?6=3`8:j7>5;n137?6=3thhn44?:483>5}#;j;1>?o4H2aa?M5d;2.9><484:k15f<722c9=i4?::k15`<722c9=k4?::m046<722wiooo50;794?6|,:i:6?N4k:1/>??5759j64e=831b>5<7s-9h=7<=a:J0gg=O;j90(?<>:668m77d2900e??k:188m77b2900e??i:188k6642900qommc;291?6=8r.8o<4=2`9K7fd<@:i87)<=1;57?l46k3:17d<>d;29?l46m3:17d<>f;29?j57;3:17pllbe83>0<729q/?n?523c8L6ee3A9h?6*=20840>o59j0;66g=1e83>>o59l0;66g=1g83>>i48:0;66smccg94?3=83:p(>m>:30b?M5dj2B8o>5+233931=n:8i1<75f20f94?=n:8o1<75f20d94?=h;991<75rbb`e>5<2290;w)=l1;01e>N4kk1C?n=4$302>225<5<m<;%015?133`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3f9;?7>5;|``g4<72<0;6=u+3b3967g<@:ii7E=l3:&164<0<2c9=n4?::k15a<722c9=h4?::k15c<722e8<>4?::agf4=83?1<7>t$2a2>74f3A9hn6F6=4?{%1`5?45i2B8oo5G3b18 7462>>0e??l:188m77c2900e??j:188m77a2900c>><:188yged<3:197>50z&0g4<5:h1C?nl4H2a0?!4593=?7d<>c;29?l46l3:17d<>e;29?l46n3:17b=?3;29?xddk<0;684?:1y'7f7=:;k0D>mm;I1`7>"5:80<86g=1b83>>o59m0;66g=1d83>>o59o0;66a<0283>>{ekj<1<7;50;2x 6e62;8j7E=lb:J0g6=#:;;1;95f20a94?=n:8n1<75f20g94?=n:8l1<75`31194?=zjji<6=4::183!5d9389m6F5<5<5<55;294~"4k809>l5G3b`8L6e43-89=79;;h02g?6=3`8:h7>5;h02a?6=3`8:j7>5;n137?6=3thho44?:483>5}#;j;1>?o4H2aa?M5d;2.9><484:k15f<722c9=i4?::k15`<722c9=k4?::m046<722wiono50;794?6|,:i:6?N4k:1/>??5759j64e=831b>5<7s-9h=7<=a:J0gg=O;j90(?<>:668m77d2900e??k:188m77b2900e??i:188k6642900qomlc;291?6=8r.8o<4=2`9K7fd<@:i87)<=1;57?l46k3:17d<>d;29?l46m3:17d<>f;29?j57;3:17pllce83>0<729q/?n?523c8L6ee3A9h?6*=20840>o59j0;66g=1e83>>o59l0;66g=1g83>>i48:0;66smcbg94?3=83:p(>m>:30b?M5dj2B8o>5+233931=n:8i1<75f20f94?=n:8o1<75f20d94?=h;991<75rbbae>5<2290;w)=l1;01e>N4kk1C?n=4$302>225<5<m<;%015?133`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3f9;?7>5;|```4<72<0;6=u+3b3967g<@:ii7E=l3:&164<0<2c9=n4?::k15a<722c9=h4?::k15c<722e8<>4?::aga4=83?1<7>t$2a2>74f3A9hn6F6=4?{%1`5?45i2B8oo5G3b18 7462>>0e??l:188m77c2900e??j:188m77a2900c>><:188ygec<3:197>50z&0g4<5:h1C?nl4H2a0?!4593=?7d<>c;29?l46l3:17d<>e;29?l46n3:17b=?3;29?xddl<0;684?:1y'7f7=:;k0D>mm;I1`7>"5:80<86g=1b83>>o59m0;66g=1d83>>o59o0;66a<0283>>{ekm<1<7;50;2x 6e62;8j7E=lb:J0g6=#:;;1;95f20a94?=n:8n1<75f20g94?=n:8l1<75`31194?=zjjn<6=4;:183!5d938956F5<3290;w)=l1;01=>N4kk1C?n=4$302>7653`8:o7>5;h02`?6=3`8:i7>5;n137?6=3thhh44?:283>5}#;j;1>?64H2aa?M5d;2.9><4=0c9j64e=831b>5<7s-9h=7<=8:J0gg=O;j90(?<>:32a?l46k3:17d<>d;29?j57;3:17plldc83>6<729q/?n?523:8L6ee3A9h?6*=20814g=n:8i1<75f20f94?=h;991<75rbbf`>5<4290;w)=l1;01<>N4kk1C?n=4$302>76e3`8:o7>5;h02`?6=3f9;?7>5;|```a<72<0;6=u+3b39643<@:ii7E=l3:&055<6j;i0(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wioik50;194?6|,:i:6??>;I1`f>N4k:1/>??5a:kf5;n1bf?6=3thhhk4?:283>5}#;j;1>?64H2aa?M5d;2.9><4=0:k15f<722c9=i4?::m046<722wioh>50;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~ffc6290>6=4?{%1`5?46=2B8oo5G3b18 67728h9o6*=2081?lc?2900e<ok:188k6ge2900qomj2;291?6=8r.8o<4=149K7fd<@:i87)=>0;3a6f=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xddm:0;684?:1y'7f7=:8?0D>mm;I1`7>"4990:n?m4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smcd694?3=83:p(>m>:336?M5dj2B8o>5+30295g4d3-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjjo>6=4;:183!5d938:86F5<5<m<;%124?7e:j1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thhi54?:483>5}#;j;1><;4H2aa?M5d;2.8==4>b3a8 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::ag`?=83?1<7>t$2a2>7713A9hn6F=831b=?>50;9j567=831b?o650;9l7dd=831vnnkn:186>5<7s-9h=7<>6:J0gg=O;j90(>??:0`1g>"5:80j7dk7:188m4472900e<=>:188m6d?2900c>om:188ygebj3:197>50z&0g4<59<1C?nl4H2a0?!5683;i>n5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17plleb83>1<729q/?n?52068L6ee3A9h?6gj8;29?l7493:17d=nd;29?j5fj3:17pllee83>0<729q/?n?52078L6ee3A9h?6*<1182f7e<,;8:6?5fe983>>o6:90;66g>3083>>o4im0;66a>{eklo1<7;50;2x 6e62;;=7E=lb:J0g6=#;8:1=o5<2290;w)=l1;021>N4kk1C?n=4$233>4d5k2.9><4=;hg;>5<5<5<55;294~"4k809=;5G3b`8L6e43-9:<7?m2b9'677=i2cn47>5;h314?6=3`;8=7>5;h1a5;|``b4<72<0;6=u+3b39640<@:ii7E=l3:&055<6j;i0(?<>:`9ja=<722c:>=4?::k274<722c8n54?::m0eg<722wiok<50;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~ff`4290>6=4?{%1`5?46>2B8oo5G3b18 67728h9o6*=208b?lc?2900e<l7:188k6ge2900qomi4;291?6=8r.8o<4=149K7fd<@:i87)=>0;3a6f=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xddn<0;684?:1y'7f7=:8?0D>mm;I1`7>"4990:n?m4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smcg494?3=83:p(>m>:336?M5dj2B8o>5+30295g4d3-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjjl<6=4::183!5d938:96F5<5<m<;%124?7e:j1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thhj44?:383>5}#;j;1>?94H2aa?M5d;2.9><4=0:k15f<722e8<>4?::agcg=8381<7>t$2a2>7403A9hn6F5<7s-9h=7<=7:J0gg=O;j90(?<>:328m77d2900c>><:188ygeak3:1>7>50z&0g4<5:>1C?nl4H2a0?!45938;7d<>c;29?j57;3:17pllfe83>7<729q/?n?52358L6ee3A9h?6*=20814>o59j0;66a<0283>>{ekoo1<7<50;2x 6e62;8<7E=lb:J0g6=#:;;1>=5f20a94?=h;991<75rbbde>5<5290;w)=l1;013>N4kk1C?n=4$302>765<52;294~"4k809>:5G3b`8L6e43-89=75;|`g44<72;0;6=u+3b39671<@:ii7E=l3:&164<582c9=n4?::m046<722wih=<50;094?6|,:i:6?<8;I1`f>N4k:1/>??5219j64e=831d?==50;9~fa64290?6=4?{%1`5?46<2B8oo5G3b18 7462;1bi54?::k274<722c8mi4?::m0eg<722wih=:50;694?6|,:i:6??;;I1`f>N4k:1/>??52:kf5;h1b`?6=3f9jn7>5;|`g40<72=0;6=u+3b39642<@:ii7E=l3:&164<53`o36=44i012>5<5<54;294~"4k809=95G3b`8L6e43-89=7<4id:94?=n9:;1<75f3`f94?=h;hh1<75rbe24>5<3290;w)=l1;020>N4kk1C?n=4$302>7=nm10;66g>3083>>o4im0;66a>{el921<7=50;2x 6e62;;:7E=lb:J0g6=#:;;1m6gj8;29?l7493:17b=nb;29?xdc800;6>4?:1y'7f7=:8;0D>mm;I1`7>"5:80j7dk7:188m4562900c>om:188ygb7i3:197>50z&0g4<5:h1C?nl4H2a0?!45938;i6g=1b83>>o59m0;66g=1d83>>o59o0;66a<0283>>{el9h1<7;50;2x 6e62;8j7E=lb:J0g6=#:;;1>=k4i33`>5<5<5<55;294~"4k809>l5G3b`8L6e43-89=798;%1b=?5cm2c9=n4?::k15a<722c9=h4?::k15c<722e8<>4?::a`5b=83>1<7>t$2a2>74>3A9hn6F><:188ygb7m3:1:7>50z&0g4<5:k1C?nl4H2a0?!4593=o7)=n9;1ga>o59j0;66g=1e83>>o59l0;66g=1g83>>o5:90;66a<0283>>{el9l1<7;50;2x 6e62;8j7E=lb:J0g6=#:;;1;:5+3`;97`65<5<m<;%015?47n2c9=n4?::k15a<722c9=h4?::m046<722wihN4k:1/>??521f8m77d2900e??k:188m77b2900e??i:188k6642900qoj>2;291?6=8r.8o<4=2`9K7fd<@:i87)<=1;03`>o59j0;66g=1e83>>o59l0;66g=1g83>>i48:0;66smd0194?3=83:p(>m>:30b?M5dj2B8o>5+233965b<,:k26>jk;h02g?6=3`8:h7>5;h02a?6=3`8:j7>5;n137?6=3tho=94?:583>5}#;j;1>?74H2aa?M5d;2.9><4=0g9'7d?=;l90e??l:188m77c2900e??j:188k6642900qoj>5;292?6=8r.8o<4=2c9K7fd<@:i87)<=1;01?!5f139o>6g=1b83>>o59m0;66g=1d83>>o59o0;66g=2183>>i48:0;66smd0494?3=83:p(>m>:30b?M5dj2B8o>5+2339`>"4i008h?5f20a94?=n:8n1<75f20g94?=n:8l1<75`31194?=zjm;<6=49:183!5d9389n6F6ba3`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3`89<7>5;n137?6=3tho=54?:583>5}#;j;1>?74H2aa?M5d;2.9><4=1:&0e<<4lo1b>5<7s-9h=7<=8:J0gg=O;j90(?<>:6g8 6g>2:n37d<>c;29?l46l3:17b=?3;29?xdc9h0;6>4?:1y'7f7=:;20D>mm;I1`7>"5:805<4290;w)=l1;01<>N4kk1C?n=4$302>2c<,:k26>j7;h02g?6=3`8:h7>5;n137?6=3tho=n4?:283>5}#;j;1>?64H2aa?M5d;2.9><48e:&0e<<4l>1b>o0(>o6:2f5?l46k3:17d<>d;29?j57;3:17plk1d83>6<729q/?n?523:8L6ee3A9h?6*=2084a>"4i008h85f20a94?=n:8n1<75`31194?=zjm;m6=4<:183!5d938946F6b33`8:o7>5;h02`?6=3f9;?7>5;|`g65<72:0;6=u+3b3967><@:ii7E=l3:&164<0m2.8m44:180>5<7s-9h=7<=8:J0gg=O;j90(?<>:6g8 6g>2:n87d<>c;29?l46l3:17b=?3;29?xdc:;0;6>4?:1y'7f7=:;20D>mm;I1`7>"5:805<4290;w)=l1;01<>N4kk1C?n=4$302>2c<,:k26>k;;h02g?6=3`8:h7>5;n137?6=3tho>94?:283>5}#;j;1>?64H2aa?M5d;2.9><48e:&0e<<4l?1b>><:188ygb5>3:1>7>50z&0g4<5:;1C?nl4H2a0?l46j3:17b=?3;29?xdc:>0;6?4?:1y'7f7=:;80D>mm;I1`7>o59k0;66a<0283>>{el;21<7<50;2x 6e62;897E=lb:J0g6=n:8h1<75`31194?=zjm826=4=:183!5d9389>6F5<52;294~"4k809>?5G3b`8L6e43`8:n7>5;n137?6=3tho>o4?:383>5}#;j;1>?<4H2aa?M5d;2c9=o4?::m046<722wih?m50;094?6|,:i:6?<=;I1`f>N4k:1b>5<7s-9h=7<=2:J0gg=O;j90e??m:188k6642900qoj=e;296?6=8r.8o<4=239K7fd<@:i87d<>b;29?j57;3:17plk2g83>7<729q/?n?52308L6ee3A9h?6g=1c83>>i48:0;66smd2294?4=83:p(>m>:301?M5dj2B8o>5f20`94?=h;991<75rbe12>5<5290;w)=l1;016>N4kk1C?n=4i33a>5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoj<3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fa5329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbe16>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{el:<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a`61=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3tho?54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdc;00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoj5<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbe1`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vni=k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a`6c=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smd2d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdc<90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`g04<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygb3;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vni:;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<>6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smd5494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wih9950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`g0=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plk4883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygb3i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?4h6=46:183!5d939ij6F5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fa2c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wih9k50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{el=l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plk5183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3tho9<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoj:3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fa3329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbe76>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{el<<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a`01=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3tho954?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdc=00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoj:a;29=?6=8r.8o<45<5<5<n7>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbe7`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vni;k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a`0c=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smd4d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdc>90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`g24<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygb1;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vni8;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smd7494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wih;950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`g2=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plk6883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygb1i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fa0c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wih;k50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{el?l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plk7183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3tho;<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoj83;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fa1329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbe56>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{el><1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a`21=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3tho;54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdc?00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoj8a;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbe5`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vni9k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a`2c=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smd6d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdc090;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`g<4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygb?;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vni6;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smd9494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wih5950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`g<=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plk8883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygb?i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fa>c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wih5k50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{el1l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plk9183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3tho5<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoj63;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fa?329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbe;6>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{el0<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a`<1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3tho554?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdc100;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoj6a;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbe;`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vni7k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a`t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smd8d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdci90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`ge4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygbf;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnio;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smd`494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wihl950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`ge=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plka883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygbfi3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fagc290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wihlk50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{elhl1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plkb183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thon<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qojm3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fad329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbe`6>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{elk<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a`g1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thon54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdcj00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qojma;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbe``>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnilk:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a`gc=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smdcd94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdck90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`gg4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygbd;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnim;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smdb494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wihn950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`gg=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plkc883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygbdi3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~faec290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wihnk50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{eljl1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plkd183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thoh<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qojk3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fab329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbef6>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{elm<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a`a1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thoh54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdcl00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qojka;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbef`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnijk:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a`ac=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smded94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdcm90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`ga4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygbb;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnik;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smdd494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wihh950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`ga=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plke883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygbbi3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~facc290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wihhk50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{elll1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plkf183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thoj<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoji3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fa`329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbed6>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{elo<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a`c1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thoj54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdcn00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qojia;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbed`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnihk:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a`cc=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smdgd94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdb890;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`f44<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygc7;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnh>;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sme1494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wii=950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`f4=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plj0883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygc7i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f`6c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wii=k50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{em9l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plj1183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thn=<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qok>3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f`7329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbd36>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{em8<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aa41=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thn=54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdb900;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qok>a;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbd3`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnh?k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aa4c=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sme0d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdb:90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`f64<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygc5;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnh<;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sme3494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wii?950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`f6=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plj2883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygc5i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f`4c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wii?k50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{em;l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plj3183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thn?<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qok<3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f`5329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbd16>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{em:<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aa61=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thn?54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdb;00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qok5<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbd1`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnh=k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aa6c=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sme2d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdb<90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`f04<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygc3;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnh:;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<>6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sme5494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wii9950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`f0=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plj4883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygc3i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?4h6=46:183!5d939ij6F5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f`2c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wii9k50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{em=l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plj5183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thn9<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qok:3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f`3329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbd76>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{em<<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aa01=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thn954?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdb=00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qok:a;29=?6=8r.8o<45<5<5<n7>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbd7`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnh;k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aa0c=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sme4d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdb>90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`f24<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygc1;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnh8;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sme7494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wii;950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`f2=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plj6883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygc1i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f`0c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wii;k50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{em?l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plj7183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thn;<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qok83;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f`1329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbd56>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{em><1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aa21=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thn;54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdb?00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qok8a;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbd5`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnh9k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aa2c=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sme6d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdb090;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`f<4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygc?;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnh6;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sme9494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wii5950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`f<=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plj8883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygc?i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f`>c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wii5k50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{em1l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plj9183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thn5<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qok63;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f`?329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbd;6>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{em0<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aa<1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thn554?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdb100;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qok6a;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbd;`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnh7k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aat$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sme8d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdbi90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`fe4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygcf;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnho;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sme`494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wiil950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`fe=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plja883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygcfi3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f`gc290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wiilk50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{emhl1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pljb183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thnn<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qokm3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f`d329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbd`6>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{emk<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aag1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thnn54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdbj00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qokma;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbd``>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnhlk:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aagc=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smecd94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdbk90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`fg4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygcd;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnhm;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smeb494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wiin950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`fg=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pljc883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygcdi3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f`ec290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wiink50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{emjl1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pljd183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thnh<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qokk3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f`b329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbdf6>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{emm<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aaa1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thnh54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdbl00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qokka;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbdf`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnhjk:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aaac=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smeed94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdbm90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`fa4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygcb;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnhk;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smed494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wiih950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`fa=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plje883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygcbi3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f`cc290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wiihk50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{emll1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pljf183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thnj<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoki3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f``329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbdd6>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{emo<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aac1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thnj54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdbn00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qokia;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbdd`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnhhk:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aacc=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smegd94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xda890;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`e44<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg`7;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnk>;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smf1494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wij=950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`e4=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pli0883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg`7i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fc6c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wij=k50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{en9l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pli1183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thm=<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoh>3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fc7329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbg36>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{en8<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ab41=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thm=54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xda900;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoh>a;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbg3`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnk?k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::ab4c=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smf0d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xda:90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`e64<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg`5;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnk<;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smf3494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wij?950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`e6=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pli2883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg`5i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fc4c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wij?k50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{en;l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pli3183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thm?<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoh<3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fc5329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbg16>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{en:<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ab61=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thm?54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xda;00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoh5<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbg1`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnk=k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::ab6c=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smf2d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xda<90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`e04<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg`3;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnk:;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<>6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smf5494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wij9950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`e0=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pli4883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg`3i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?4h6=46:183!5d939ij6F5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fc2c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wij9k50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{en=l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pli5183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thm9<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoh:3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fc3329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbg76>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{en<<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ab01=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thm954?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xda=00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoh:a;29=?6=8r.8o<45<5<5<n7>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbg7`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnk;k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::ab0c=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smf4d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xda>90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`e24<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg`1;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnk8;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smf7494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wij;950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`e2=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pli6883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg`1i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fc0c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wij;k50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{en?l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pli7183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thm;<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoh83;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fc1329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbg56>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{en><1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ab21=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thm;54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xda?00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoh8a;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbg5`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnk9k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::ab2c=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smf6d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xda090;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`e<4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg`?;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnk6;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smf9494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wij5950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`e<=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pli8883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg`?i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fc>c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wij5k50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{en1l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pli9183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thm5<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoh63;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fc?329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbg;6>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{en0<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ab<1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thm554?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xda100;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoh6a;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbg;`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnk7k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::abt$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smf8d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdai90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`ee4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg`f;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnko;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smf`494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wijl950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`ee=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plia883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg`fi3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fcgc290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wijlk50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{enhl1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plib183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thmn<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qohm3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fcd329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbg`6>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{enk<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::abg1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thmn54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdaj00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qohma;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbg``>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnklk:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::abgc=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smfcd94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdak90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`eg4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg`d;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnkm;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smfb494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wijn950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`eg=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plic883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg`di3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fcec290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wijnk50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{enjl1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plid183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thmh<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qohk3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fcb329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbgf6>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{enm<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aba1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thmh54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdal00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qohka;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbgf`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnkjk:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::abac=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smfed94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdam90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`ea4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg`b;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnkk;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smfd494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wijh950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`ea=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plie883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg`bi3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fccc290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wijhk50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{enll1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plif183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thmj<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qohi3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fc`329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbgd6>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{eno<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::abc1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thmj54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdan00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qohia;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbgd`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnkhk:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::abcc=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smfgd94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd689:1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a5566290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi==>=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb0230?6=13:1m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo??0483>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg778?0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`2451=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th:<=650;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{e99:26=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm112b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vn<>?b;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f467k3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd689l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl>00294??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wi==?>:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a557529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb0227?6=<3:1m<;%015?459;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg779<0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo??1783><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th:<<950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`244>=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sm113:>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e99;j6=46:183!5d939ij6F5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f466j3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn<>>c;29=?6=8r.8o<45<5<5<5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<00d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd68;:1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a5546290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi==<=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb0210?6=13:1m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo??2483>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg77:?0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`2471=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th:li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{e99826=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm110b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vn<>=b;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f465k3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<h4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd68;l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl>02294??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wi===>:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a555529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb0207?6=<3:1m<;%015?459;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg77;<0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo??3783><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th:<>950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`246>=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sm111:>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e999j6=46:183!5d939ij6F5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f464j3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn<>5<5<5<5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<02d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd68=:1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a5526290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi==:=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb0270?6=13:1m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo??4483>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg77mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`2411=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th:<9650;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{e99>26=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm116b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vn<>;b;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f463k3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd68=l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl>04294??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wi==;>:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a553529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb0267?6=<3:1m<;%015?487>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg77=<0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo??5783><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th:<8950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`240>=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sm117:>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e99?j6=46:183!5d939ij6F5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f462j3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn<>:c;29=?6=8r.8o<45<5<5<5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<04d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd68?:1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a5506290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi==8=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb0250?6=13:1m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo??6483>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg77>?0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`2431=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th:<;650;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{e99<26=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm114b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vn<>9b;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f461k3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd68?l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl>06294??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wi==9>:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a551529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb0247?6=<3:1m<;%015?459;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg77?<0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo??7783><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th:<:950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`242>=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sm115:>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e99=j6=46:183!5d939ij6F5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f460j3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn<>8c;29=?6=8r.8o<45<5<5<5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<06d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd681:1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a55>6290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi==6=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb02;0?6=13:1m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo??8483>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg770?0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`24=1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th:<5650;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{e99226=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm11:b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vn<>7b;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f46?k3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd681l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl>08294??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wi==7>:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a55?529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb02:7?6=<3:1m<;%015?459;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg771<0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo??9783><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th:<4950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`24<>=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sm11;:>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e993j6=46:183!5d939ij6F5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f46>j3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn<>6c;29=?6=8r.8o<45<5<5<5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<08d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd68h:1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a55g6290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi==o=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb02b0?6=13:1m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo??a483>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg77i?0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`24d1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th:li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{e99k26=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66s|1c13>5<5sW;;n452d2c9567`6>=9:;0q~?m3283>7}Y99h=70j{t9k9?6=4={_33f0=:l=:1=>?4}r3a70<72;qU==l;;0;6?uQ11`1?8b303;8=6s|1c1;>5<5sW;;o<52d5c9567`1e=9:;0q~?m3c83>7}Y99hn70j;e;305>{t9k9h6=4={_33fa=:l<:1=>?4}r3a7a<72;qU==ll;5<5sW;;n<52d469567m7?<1:p5g252909wS?>029>04g=9>i0q~?m4283>7}Y98:970:>a;34f>{t9k>?6=4={_3244=:<8k1=:o4}r3a00<72;qU=<>?;<62e?7012wx=o:9:181[77nl1680;6?uQ11dg?826i3;<:6s|1c6;>5<5sW;;jn5240c9523:m7?83:p5g2f2909wS??f`9>04g=9>80q~?m4c83>7}Y99l270:>a;345>{t9k>h6=4={_33b==:<8k1=:>4}r3a0a<72;qU==h8;<62e?71n2wx=o:j:181[77n?1685<5sW;:34>:m7?6c:p5g352909wS?>099>04g=90h0q~?m5283>7}Y98:<70:>a;3:e>{t9k??6=4={_3243=:<8k1=474}r3a10<72;qU=<>:;<62e?7>02wx=o;9:181[768=1680;6?uQ11de?826i3;3?6s|1c7;>5<5sW;;j95240c952>:m7?9c:p5g3f2909wS?>e29>04g=90=0q~?m5c83>7}Y98o970:>a;3:1>{t9k?h6=4={_32a4=:<8k1=4:4}r3a1a<72;qU=;2wx=o;j:181[76ll1685<5sW;:hn5240c95<6:m7?7f:p5g052909wS?>d`9>04g=91n0q~?m6283>7}Y98n270:>a;3;g>{t9k>0;6?uQ10f6?826i3;346s|1c4;>5<5sW;:il5240c95=134>:m7?76:p5g0f2909wS?>e99>04g=91?0q~?m6c83>7}Y98o<70:>a;3;0>{t9ko0;6?uQ10fe?826i3;5<5sW;:h95240c952c:m7?8d:p5g15290:=6gc34>;57=nd:?74g<4im168=m53`f8916c2:ko70:?e;1b`>;38o08mi5240297db<5=;:6>l7;<626?5fl27?=94043=;hn019?9:2cg?826039jh63;1880ea=:<8k1?o74=427>6gc34?;97=nd:?643<4im169=953`f8906?2:ko70;?9;1b`>;28h08mi5251`97db<5<:h6>ok;<73`?5fl27>15`=;hn018??:2cg?836939jh63:1380ea=:=891?lj4=437>6gc34?:97=nd:?653<4im169<953`f8907?2:ko70;>9;1b`>;29h08mi5250`97db<5<;h6>ok;<72`?5fl27>=h414`=;hn0186d>34?3n7=m9:?6e`<4j0169i?53c;890`32:h2708>7;1a=>;1;h08n45264f97g?<5?2;6>l6;<4b7?5e127=o;42`?=;k301:>l:2`:?815n39i56385380f<=:?>?1?o74=6;;>6d>34=in7=m9:?4``<4j0164=?53c;89=432:h2706;7;1a=>;?>h08n4528`197g?<51nh6>l6;<;21?5e12728h4==1=;k3014oj:2`:?8?d939i5636d580f<=:1l=1?o74=8db>6d>34k;h7=m9:?b65<4j016m>=53c;89d212:h270o:9;1a=>;f>j08n452a6d97g?<5h396>l6;efd=;k301ljj:2`:?8ga939i563m0580f<=:j8=1?o74=c0b>6d>34h8h7=m9:?a15<4j016n;=53c;89g112:ko70l87;1b`>;e?108mi52b6;97db<5k=j6>ok;<`4f?5fl27i;n4f2b=;hn01o9j:2cg?8d0n39jh63m8180ea=:j1;1?lj4=c:1>6gc34h3?7=nd:?a<1<4im16n5;53`f89g>12:ko70l77;1b`>;e0108mi52b9;97db<5k2j6>ok;<`;f?5fl27i4n4f=b=;hn01o6j:2cg?8d?n39jh63m9180ea=:j0;1?lj4=c;1>6gc34h2?7=nd:?a=1<4im16n4;53`f89g?12:ko70l67;1b`>;e1108mi52b8;97db<5k3j6>ok;<`:f?5fl27i5n4fn39jh63ma180ea=:jh;1?lj4=cc1>6gc34hj?7=nd:?ae1<4im16nl;53`f89gg12:h270lk9;1b`>;elh08mi52be`97db<5knh6>ok;<`g`?5fl27ihh4fa`=;hn01ok?:2cg?8db939jh63me380ea=:jl91?lj4=cg7>6gc34hn97=nd:?aa3<4im16nh953`f89gc?2:ko70lj9;1b`>;emh08mi52bd`97db<5koh6>ok;<`f`?5fl27iih4f``=;hn01oh?:2cg?8da939jh63mf380ea=:jo91?lj4=cd7>6gc34hm97=nd:?ab3<4im16nk953`f89g`?2:ko70li9;1b`>;enh08mi52bg`97db<5klh6>ok;<`e`?5fl27ijh4fc`=;hn01n>?:2cg?8e7939jh63l0380ea=:k991?lj4=b27>6gc34i;97=nd:?`43<4im16o=953`f89f6?2:ko70m?9;1b`>;d8h08mi52c1`97db<5j:h6>ok;g5`=;hn01n??:2cg?8e6939jh63l1380ea=:k891?lj4=b37>6gc34i:97=nd:?`53<4im16o<953`f89f7?2:ko70m>9;1b`>;d9h08mi52c0`97db<5j;h6>ok;g4`=;hn01n6gc34i997=nd:?`63<4im16o?953`f89f4?2:ko70m=9;1b`>;d:h08mi52c3`97db<5j8h6>ok;h4g7`=;hn01n=?:2cg?8e4939jh63l3380ea=:k:91?lj4=b17>6gc34i897=nd:?`73<4im16o>953`f89f2a2;;h70m81;02g>;dlm08mi52ced964b<5jo=6>ok;g`?=;k201nkj:2`;?8ebn39jh63lf180f==:ko;1?o64=bd1>6gc34im?7=m8:?`b1<4im16ok;53`f89f`12:ko70mi7;1b`>;dn108mi52d1197db<5m:?6>ok;`51=;hn01i?;:33g?xu6j>91<7:jaz\24d`<5:oi6<;38>0n463;098f<>;3800n463;0`8f<>;38k0n463;0b8f<>;38m0n463;0d8f<>;38o0n463;118f<>;3980n463;138f<>;39:0n463;158f<>;39<0n463;178f<>;39>0n463;198f<>;3900n463;1`826f=:<>n1i55246g9a==:<081i5524819a==:<0>1i5524879a==:<0<1i5524859a==:<021i55248;9a==:<0k1i55248`9a==:<0i1i55248f9a==:<0o1i55248d9a==:1i5524`79a==:2b9>0`7=9;i019k=:00`?82b;3;9o63;e5826f=:44d34>n;7?=c:?7a=<6:j168h7513a891cf288h70:jb;31g>;3mj0:>n524df957e<5=on6<2b9>0c7=9;i019h=:00`?82a;3;9o63;f5826f=:>6<4o4>2b9>1dc=9;i018j>:00`?83a<3;9o63916826f=:>:k1=?m4=77g>44d34<3<7?=c:?5e6<6:j16:n8513a893c>288h709?c;31g>;0:o0:>n52740957e<5>=>6<2b9>3ac=9;i015>>:00`?8>5<3;9o63746826f=:0?k1=?m4=9c0>44d342oo7?=c:?:50<6:j1659k513a89<>0288h707ne;31g>;>k80:>n529e6957e<50o<6<2b9>e76=9;i01l=<:00`?8g3>3;9o63n58826f=:i?i1=?m4=`5e>44d34k2>7?=c:?be0<6:j16mo6513a89dee288h70oke;31g>;fn80:>n52b16957e<5k;<6<2b9>f06=9;i01o8<:00`?8d0>3o370l87;g;?8d003o370l89;g;?8d0i3o370l8b;g;?8d0k3o370l8d;g;?8d0m3o370l8f;g;?8d?83o370l71;g;?8d?:3o370l73;g;?8d?<3o370l75;g;?8d?>3o370l77;g;?8d?03o370l79;g;?8d?i3o370l7b;g;?8d?k3o370l7d;g;?8d?m3o370l7f;g;?8d>83o370l61;g;?8d>:3o370l63;g;?8d><3o370l65;g;?8d>>3o370l67;g;?8d>03o370l69;g;?8d>i3o370l6b;g;?8d>k3o370l6d;g;?8d>m3o370l6f;g;?8df83o370ln1;g;?8df:3o370ln3;g;?8df<3o370ln5;g;?8df>3;9o63md88f<>;elh0n463mdc8f<>;elj0n463mde8f<>;ell0n463mdg8f<>;em90n463me08f<>;em;0n463me28f<>;em=0n463me48f<>;em?0n463me68f<>;em10n463me88f<>;emh0n463mec8f<>;emj0n463mee8f<>;eml0n463meg8f<>;en90n463mf08f<>;en;0n463mf28f<>;en=0n463mf48f<>;en?0n463mf68f<>;en10n463mf88f<>;enh0n463mfc8f<>;enj0n463mfe8f<>;enl0n463mfg8f<>;d890n463l008f<>;d8;0n463l028f<>;d8=0n463l048f<>;d8?0n463l068f<>;d810n463l088f<>;d8h0n463l0c8f<>;d8j0n463l0e8f<>;d8l0n463l0g8f<>;d990n463l108f<>;d9;0n463l128f<>;d9=0n463l148f<>;d9?0n463l168f<>;d910n463l188f<>;d9h0n463l1c8f<>;d9j0n463l1e8f<>;d9l0n463l1g8f<>;d:90n463l208f<>;d:;0n463l228f<>;d:=0n463l248f<>;d:?0n463l268f<>;d:10n463l288f<>;d:h0n463l2c8f<>;d:j0n463l2e8f<>;d:l0n463l2g8f<>;d;90n463l308f<>;d;;0n463l328f<>;d;=0n463l348f<>;d;?0n463l368f<>;dlm0n463ldd8f<>;dm90n463le08f<>;dm;0n463le28f<>;dm=0n463le48f<>;dm?0n463le68f<>;dm10n463le88f<>;dmh0n463lec8f<>;dmj0n463lee8f<>;dml0n463leg8f<>;dn90n463lf08f<>;dn;0n463lf28f<>;dn=0n463lf48f<>;dn?0n463lf68f<>;dn10n463k028f<>;c8=0n463k048f<>;c8?0n463k068f<>;c810n463k088f<>;c;;0:>n52d219a==:l:>1=?m4=e16>`><5m9=6<2b9>`6d=m116h>m513a89a5c2l201i=j:00`?8b4n3o370j;0;31g>;c<80n463k43826f=:l=91i552d56957e<5m>>6h64=e65>44d34n?;7k7;`1e=9;i01i:k:d:89a2b288h70j;f;g;?8b283;9o63k508f<>;c=;0:>n52d419a==:l<>1=?m4=e76>`><5m?=6<47?=c:?g1<2b9>`0d=m116h8m513a89a3c2l201i;j:00`?8b2n3o370j90;31g>;c>80n463k63826f=:l?91i552d76957e<5m<>6h64=e45>44d34n=;7k7;`3e=9;i01i8k:d:89a0b288h70j9f;g;?8b083;9o63k708f<>;c?;0:>n52d619a==:l>>1=?m4=e56>`><5m==6<2b9>`2d=m116h:m513a89a1c2l201i9j:00`?8b0n3o370j70;31g>;c080n463k83826f=:l191i552d96957e<5m2>6h64=e:5>44d34n3;7k7;`=e=9;i01i6k:d:89a>b288h70j7f;g;?8b>83;9o63k908f<>;c1;0:>n52d819a==:l0>1=?m4=e;6>`><5m3=6<2b9>`n3o370jn0;31g>;ci80n463ka3826f=:lh91i552d`6957e<5mk>6h64=ec5>44d34nj;7k7;`de=9;i01iok:d:89agb288h70jnf;g;?8be83;9o63kb08f<>;cj;0:>n52dc19a==:lk>1=?m4=e`6>`><5mh=6<2b9>`gd=m116hom513a89adc2l201ilj:00`?8ben3o370jl0;31g>;ck80n463kc3826f=:lj91i552db6957e<5mi>6h64=ea5>44d34nh;7k7;`fe=9;i01imk:d:89aeb288h70jlf;g;?8bc83;9o63kd08f<>;cl;0:>n52de19a==:lm>1=?m4=ef6>`><5mn=6<2b9>`ad=m116him513a89abc2l201ijj:00`?8bcn3o370jj0;31g>;cm80n463ke3826f=:ll91i552dd6957e<5mo>6h64=eg5>44d34nn;7k7;``e=9;i01ikk:d:89acb288h70jjf;g;?8ba83;9o63kf08f<>;cn;0:>n52dg19a==:lo>1=?m4=ed6>`><5ml=6<2b9>`cd=m116hkm513a89a`c2l201ihj:00`?8ban3o370k?0;31g>;b880n463j03826f=:m991i552e16957e<5l:>6h64=d25>44d34o;;7k7;a5e=9;i01h>k:d:89`6b288h70k?f;g;?8c683;9o63j108f<>;b9;0:>n52e019a==:m8>1=?m4=d36>`><5l;=6<2b9>a4d=m116i;b:80n463j23826f=:m;91i552e36957e<5l8>6h64=d05>44d34o9;7k7;44j8:?f6d<6:j16i?l5e99>a7e=9;i01h;b;;0:>n52e219a==:m:>1=?m4=d16>`><5l9=6<2b9>a6d=m116i>m513a89`5c2l201h=j:00`?8c4n3o370k;0;31g>;b<80n463j43826f=:m=91i552e56957e<5l>>6h64=d65>44d34o?;7k7;a1e=9;i01h:k:d:89`2b288h70k;f;g;?8c283;9o63j508f<>;b=;0:>n52e419a==:m<>1=?m4=d76>`><5l?=6<47?=c:?f1<2b9>a0d=m116i8m513a89`3c2l201h;j:00`?8c2n3o370k90;31g>;b>80n463j63826f=:m?91i552e76957e<5l<>6h64=d45>44d34o=;7k7;a3e=9;i01h8k:d:89`0b288h70k9f;g;?8c083;9o63j708f<>;b?;0:>n52e619a==:m>>1=?m4=d56>`><5l==6<2b9>a2d=m116i:m513a89`1c2l201h9j:00`?8c0n3o370k70;31g>;b080n463j83826f=:m191i552e96957e<5l2>6h64=d:5>44d34o3;7k7;a=e=9;i01h6k:d:89`>b288h70k7f;g;?8c>83;9o63j908f<>;b1;0:>n52e819a==:m0>1=?m4=d;6>`><5l3=6<2b9>an3o370kn0;31g>;bi80n463ja3826f=:mh91i552e`6957e<5lk>6h64=dc5>44d34oj;7k7;ade=9;i01hok:d:89`gb288h70knf;g;?8ce83;9o63jb08f<>;bj;0:>n52ec19a==:mk>1=?m4=d`6>`><5lh=6<2b9>agd=m116iom513a89`dc2l201hlj:00`?8cen3o370kl0;31g>;bk80n463jc3826f=:mj91i552eb6957e<5li>6h64=da5>44d34oh;7k7;afe=9;i01hmk:d:89`eb288h70klf;g;?8cc83;9o63jd08f<>;bl;0:>n52ee19a==:mm>1=?m4=df6>`><5ln=6<2b9>aad=m116iim513a89`bc2l201hjj:00`?8ccn3o370kj0;31g>;bm80n463je3826f=:ml91i552ed6957e<5lo>6h64=dg5>44d34on;7k7;a`e=9;i01hkk:d:89`cb288h70kjf;g;?8ca83;9o63jf08f<>;bn;0:>n52eg19a==:mo>1=?m4=dd6>`><5ll=6<2b9>acd=m116ikm513a89``c2l201hhj:00`?8can3o370h?0;31g>;a880n463i03826f=:n991i552f16957e<5o:>6h64=g25>44d34l;;7k7;b5e=9;i01k>k:d:89c6b288h70h?f;g;?8`683;9o63i108f<>;a9;0:>n52f019a==:n8>1=?m4=g36>`><5o;=6<2b9>b4d=m116j;a:80n463i23826f=:n;91i552f36957e<5o8>6h64=g05>44d34l9;7k7;44j8:?e6d<6:j16j?l5e99>b7e=9;i01k;a;;0:>n52f219a==:n:>1=?m4=g16>`><5o9=6<2b9>b6d=m116j>m513a89c5c2l201k=j:00`?8`4n3o370h;0;31g>;a<80n463i43826f=:n=91i552f56957e<5o>>6h64=g65>44d34l?;7k7;b1e=9;i01k:k:d:89c2b288h70h;f;g;?8`283;9o63i508f<>;a=;0:>n52f419a==:n<>1=?m4=g76>`><5o?=6<47?=c:?e1<2b9>b0d=m116j8m513a89c3c2l201k;j:00`?8`2n3o370h90;31g>;a>80n463i63826f=:n?91i552f76957e<5o<>6h64=g45>44d34l=;7k7;b3e=9;i01k8k:d:89c0b288h70h9f;g;?8`083;9o63i708f<>;a?;0:>n52f619a==:n>>1=?m4=g56>`><5o==6<2b9>b2d=m116j:m513a89c1c2l201k9j:00`?8`0n3o370h70;31g>;a080n463i83826f=:n191i552f96957e<5o2>6h64=g:5>44d34l3;7k7;b=e=9;i01k6k:d:89c>b288h70h7f;g;?8`>83;9o63i908f<>;a1;0:>n52f819a==:n0>1=?m4=g;6>`><5o3=6<2b9>bn3o370hn0;31g>;ai80n463ia3826f=:nh91i552f`6957e<5ok>6h64=gc5>44d34lj;7k7;bde=9;i01kok:d:89cgb288h70hnf;g;?8`e83;9o63ib08f<>;aj;0:>n52fc19a==:nk>1=?m4=g`6>`><5oh=6<2b9>bgd=m116jom513a89cdc2l201klj:00`?8`en3o370hl0;31g>;ak80n463ic3826f=:nj91i552fb6957e<5oi>6h64=ga5>44d34lh;7k7;bfe=9;i01kmk:d:89ceb288h70hlf;g;?8`c83;9o63id08f<>;al;0:>n52fe19a==:nm>1=?m4=gf6>`><5on=6<2b9>bad=m116jim513a89cbc2l201kjj:00`?8`cn3o370hj0;31g>;am80n463ie3826f=:nl91i552fd6957e<5oo>6h64=gg5>44d34ln;7k7;b`e=9;i01kkk:d:89ccb288h70hjf;g;?8`a83;9o63if08f<>;an;0:>n52fg19a==:no>1=?m4=gd6>`><5ol=6<2b9>bcd=m116jkm513a89c`c2l201khj:00`?8`an3o370??01826f=:99::6h64=0236?75k27:<==5e99>5563288h70??048f<>;689<1=?m4=0233?c?34;;<54>2b9>556>2l201<>?a;31g>;689h1i552112`>44d34;;?f;g;?877990:>n521132>`><58::>7?=c:?2445=m116==?;:00`?8779<0n463>004957e<58::;7k7;<335=<6:j16==?6:d:89466i3;9o63>00`9a==:99;h6<5543288h70??248f<>;68;<1=?m4=0213?c?34;;>54>2b9>554>2l201<>=a;31g>;68;h1i552110`>44d34;;>i4j8:?247c=9;i01<>=f;g;?877;90:>n521112>`><58:8>7?=c:?2465=m116===;:00`?877;<0n463>024957e<58:8;7k7;<337=<6:j16===6:d:89464i3;9o63>02`9a==:999h6<k513a89464n3o370??41826f=:99>:6h64=0276?75k27:<9=5e99>5523288h70??448f<>;68=<1=?m4=0273?c?34;;854>2b9>552>2l201<>;a;31g>;68=h1i552116`>44d34;;8i4j8:?241c=9;i01<>;f;g;?877=90:>n521172>`><58:>>7?=c:?2405=m116==;;:00`?877=<0n463>044957e<58:>;7k7;<331=<6:j16==;6:d:89462i3;9o63>04`9a==:99?h6<5503288h70??648f<>;68?<1=?m4=0253?c?34;;:54>2b9>550>2l201<>9a;31g>;68?h1i552114`>44d34;;:i4j8:?243c=9;i01<>9f;g;?877?90:>n521152>`><58:<>7?=c:?2425=m116==9;:00`?877?<0n463>064957e<58:<;7k7;<333=<6:j16==96:d:89460i3;9o63>06`9a==:99=h6<55>3288h70??848f<>;681<1=?m4=02;3?c?34;;454>2b9>55>>2l201<>7a;31g>;681h1i55211:`>44d34;;4i4j8:?24=c=9;i01<>7f;g;?877190:>n5211;2>`><58:2>7?=c:?24<5=m116==7;:00`?8771<0n463>084957e<58:2;7k7;<33==<6:j16==76:d:8946>i3;9o63>08`9a==:993h6<n3o370??a1826f=:99k:6h64=02b6?75k27:55g3288h70??a48f<>;68h<1=?m4=02b3?c?34;;m54>2b9>55g>2l20q~?m7583>607sW;;ml523d`9572<5:oi6<<:;<1ff?750278io4>229>7`d=9;=01>km:001?85bj3;9563442349no7?=8:?0af<6::16?hm5135896cd288970=jc;31=>;4mj0:><523df9576<5:l96<249>056=9;2019>?:000?82783;9;63;018267=:<9:1=?74=523>44634>;=7?=4:?744<6:<168=?513:89166288870:?1;313>;3880:>?52413957?<5=::6<<>;<636?75<27?249>054=9;2019>=:000?827:3;9;63;038267=:<981=?74=521>44634>;?7?=4:?746<6:<168==513:89164288870:?3;313>;38:0:>?52411957?<5=:86<<>;<633?75827?<54>219>05?=9;:019>n:003?827j3;9<63;0b8265=:<9n1=?>4=52f>44734>;j7?=0:?755<6:91683;314>;39=0:>=524079576<5=;=6<219>04?=9;:019?n:003?820l3;9<63;7d8265=:<081=?>4=5;0>44734>287?=0:?7=0<6:9168485132891?0288;70:68;314>;3100:>=5248c9576<5=3i6<219>04=5c0>44734>j87?=0:?7e0<6:9168l85132891g0288;70:n8;314>;3i00:>=524c19576<5=h?6<219>0g`=9;:019m8:003?82d03;9<63;d68265=:4=5fa>44734>oo7?=0:?7`a<6:=168ij5137891bc288370:kd;317>;3lm0:>:524ef9574<5=no6<<6;<6g`?75927?hh4>259>0ac=9;?019jj:00;?82cm3;9?63;dd8262=:44>34>oi7?=1:?7`c<6:=168ih5137891ba288370:kf;317>;3lo0:>:524ed9574<5=nm6<<6;<6gb?75927?i=4>259>0`6=9;?019k?:00;?82b83;9?63;e18262=:44>34>n<7?=1:?7a4<6:=168h?5137891c6288370:j1;317>;3m80:>:524d39574<5=o:6<<6;<6f5?75927?i?4>259>0`4=9;?019k=:00;?82b:3;9?63;e38262=:44>34>n>7?=1:?7a6<6:=168h=5137891c4288370:j3;317>;3m:0:>:524d19574<5=o86<<6;<6f7?75927?i94>259>0`2=9;?019k;:00;?82b<3;9?63;e58262=:1=?<4=5g7>44>34>n87?=1:?7a0<6:=168h;5137891c2288370:j5;317>;3m<0:>:524d79574<5=o>6<<6;<6f1?75927?i;4>259>0`0=9;?019k9:00;?82b>3;9?63;e78262=:44>34>n:7?=1:?7a2<6:=168h95137891c0288370:j7;317>;3m>0:>:524d59574<5=o<6<<6;<6f3?75927?i54>259>0`>=9;?019k7:00;?82b03;9?63;e98262=:44>34>n47?=1:?7a<<6:=168h75137891c>288370:j9;317>;3m00:>:524d;9574<5=o26<<6;<6f=?75927?il4>259>0`g=9;?019kn:00;?82bi3;9?63;e`8262=:44>34>nm7?=1:?7ag<6:=168hl5137891ce288370:jb;317>;3mk0:>:524d`9574<5=oi6<<6;<6ff?75927?in4>259>0`e=9;?019kl:00;?82bk3;9?63;eb8262=:44>34>no7?=1:?7aa<6:=168hj5137891cc288370:jd;317>;3mm0:>:524df9574<5=oo6<<6;<6f`?75927?ih4>259>0`c=9;?019kj:00;?82bm3;9?63;ed8262=:44>34>ni7?=1:?7ac<6:=168hh5137891ca288370:jf;317>;3mo0:>:524dd9574<5=om6<<6;<6fb?75927?j=4>259>0c6=9;?019h?:00;?82a83;9?63;f18262=:44>34>m<7?=1:?7b4<6:=168k?5137891`6288370:i1;317>;3n80:>:524g39574<5=l:6<<6;<6e5?75927?j?4>259>0c4=9;?019h=:00;?82a:3;9?63;f38262=:44>34>m>7?=1:?7b6<6:=168k=5137891`4288370:i3;317>;3n:0:>:524g19574<5=l86<<6;<6e7?75927?j94>259>0c2=9;?019h;:00;?82a<3;9?63;f58262=:1=?<4=5d7>44>34>m87?=1:?7b0<6:9168k85132891`0288;70:i8;314>;3n00:>=524gc9576<5=li6<219>0cc=9;:019hi:003?83783;9<63:008265=:=981=?>4=420>44734?;87?=0:?640<6:9169=8513289060288;70;?8;314>;2800:>=5251c9576<5<:i6<219>15c=9;:018>i:003?83683;9<63:108265=:=881=?>4=430>44734?:87?=0:?650<6:9169<8513289070288;70;>8;314>;2900:>=5250c9576<5<;i6<=i4>219>14c=9;:018?i:003?83583;9<63:208265=:=;81=?>4=466>44734?=47?=0:?6;19>0:>=5262c9576<5??o6<4>219>2f0=9;:01;k6:003?817k3;9<6382g8265=:?<81=?>4=656>44734=247?=0:?4fg<6:916;ik513289=66288;706=4;314>;?<>0:>=5287c9576<51k86<219>=1c=9;:01468:003?8?fm3;9<636c08265=:1m>1=?>4=8g4>447343mm7?=0:?b4a<6:916m?>513289d54288;70o;6;314>;f=00:>=52a7a9576<5h=m6<219>eg>=9;:01lmm:003?8gcm3;9<63nf08265=:j9>1=?>4=c34>44734h9m7?=0:?a7a<6:916n8>513289g04288;70ln6;314>;el00:>=52bec9576<5kni6<219>fac=9;:01oji:003?8db83;9<63me08265=:jl81=?>4=cg0>44734hn87?=0:?aa0<6:916nh8513289gc0288;70lj8;314>;em00:>=52bdc9576<5koi6<219>f`c=9;:01oki:003?8da83;9<63mf08265=:jo81=?>4=cd0>44734hm87?=0:?ab0<6:916nk8513289g`0288;70li8;314>;en00:>=52bgc9576<5kli6<219>fcc=9;:01ohi:003?8e783;9<63l008265=:k981=?>4=b20>44734i;87?=0:?`40<6:916o=8513289f60288;70m?8;314>;d800:>=52c1c9576<5j:i6<219>g5c=9;:01n>i:003?8e683;9<63l108265=:k881=?>4=b30>44734i:87?=0:?`50<6:916o<8513289f70288;70m>8;314>;d900:>=52c0c9576<5j;i6<219>g4c=9;:01n?i:003?8e583;9<63l208265=:k;81=?>4=b00>44734i987?=0:?`60<6:916o?8513289f40288;70m=8;314>;d:00:>=52c3c9576<5j8i6<i4>219>g7c=9;:01n4=b10>44734i887?=0:?`70<6:916o>8513289f50288;70m;3;02g>;dm6??k;4=1b9>g22=:8i01n9::33`?8e0>38:o63l76815f=:kmn1=?>4=bg3>44734in=7?=0:?`a7<6:916oh=513289fc3288;70mj5;1b`>;dm?0:>=52cd59576<5jo36<219>g`d=9;:01nkl:2cg?8ebl3;9<63led8265=:kll1=?>4=bd3>44734im=7?=0:?`b7<6:916ok=513289f`3288;70mi5;314>;dn?0:>=52cg59576<5jl36<`5c=:8n01i>i:33e?8b6838:o63k10815a=:l881>77c34n:87<>c:?g5<<59j16hc;02g>;c9m09=n52d0g964e<5m;m6??l;<4=1b9>`74=:8i01i<<:33`?8b5<38:o63k338265=:l:91=?>4=e17>44734n897?=0:?g73<6:916h>9513289a5?288;70j<9;314>;c;h0:>=52d2`9576<5m9h6<219>`6`=9;:01i:?:003?8b393;9<63k438265=:l=91=?>4=e67>44734n?97?=0:?g03<6:916h99513289a2?288;70j;9;314>;c=52d5`9576<5m>h6<219>`1`=9;:01i;?:003?8b293;9<63k538265=:l<91=?>4=e77>44734n>97?=0:?g13<6:916h89513289a3?288;70j:9;314>;c=h0:>=52d4`9576<5m?h6<219>`0`=9;:01i8?:003?8b193;9<63k638265=:l?91=?>4=e47>44734n=97?=0:?g23<6:916h;9513289a0?288;70j99;314>;c>h0:>=52d7`9576<5m219>`3`=9;:01i9?:003?8b093;9<63k738265=:l>91=?>4=e57>44734n<97?=0:?g33<6:916h:9513289a1?288;70j89;314>;c?h0:>=52d6`9576<5m=h6<219>`2`=9;:01i6?:003?8b?93;9<63k838265=:l191=?>4=e:7>44734n397?=0:?g<3<6:916h59513289a>?288;70j79;314>;c0h0:>=52d9`9576<5m2h6<219>`=`=9;:01i7?:003?8b>93;9<63k938265=:l091=?>4=e;7>44734n297?=0:?g=3<6:916h49513289a??288;70j69;314>;c1h0:>=52d8`9576<5m3h6<219>`<`=9;:01io?:003?8bf93;9<63ka38265=:lh91=?>4=ec7>44734nj97?=0:?ge3<6:916hl9513289ag?288;70jn9;314>;cih0:>=52d``9576<5mkh6<219>`d`=9;:01il?:003?8be93;9<63kb38265=:lk91=?>4=e`7>44734ni97?=0:?gf3<6:916ho9513289ad?288;70jm9;314>;cjh0:>=52dc`9576<5mhh6<219>`g`=9;:01im?:003?8bd93;9<63j038265=:m991=?>4=d27>44734o;97?=0:?f43<6:916i=9513289`6?288;70k?9;314>;b8h0:>=52e1`9576<5l:h6<219>a5`=9;:01h??:003?8c693;9<63j138265=:m891=?>4=d37>44734o:97?=0:?f53<6:916i<9513289`7?288;70k>9;314>;b9h0:>=52e0`9576<5l;h6<219>a4`=9;:01h4=d07>44734o997?=0:?f63<6:916i?9513289`4?288;70k=9;314>;b:h0:>=52e3`9576<5l8h6<h4>219>a7`=9;:01h=?:003?8c493;9<63j338265=:m:91=?>4=d17>44734o897?=0:?f73<6:916i>9513289`5?288;70k<9;314>;b;h0:>=52e2`9576<5l9h6<219>a6`=9;:01h:?:003?8c393;9<63jc38265=:mj91=?>4=da7>44734oh97?=0:?e63<6:916j?9513289c4?288;70h=9;314>;a:h0:>=52f3`9576<5o8h6<h4>219>b7`=9;:01k=?:003?8`493;9<63i338265=:n:91=?>4=g17>44734l897?=0:?e73<6:916j>9513289c5?288;70h<9;314>;a;h0:>=52f2`9576<5o9h6<219>b6`=9;:01k:?:003?8`393;9<63i438265=:n=91=?>4=g67>44734l?97?=0:?e03<6:916j99513289c2?288;70h;9;314>;a=52f5`9576<5o>h6<219>b1`=9;:01k;?:003?8`293;9<63i538265=:n<91=?>4=g77>44734l>97?=0:?e13<6:916j89513289c3?288;70h:9;314>;a=h0:>=52f4`9576<5o?h6<219>b0`=9;:01k8?:003?8`193;9<63i638265=:n?91=?>4=g47>44734l=97?=0:?e23<6:916j;9513289c0?288;70h99;314>;a>h0:>=52f7`9576<5o219>b3`=9;:01k9?:003?8`093;9<63i738265=:n>91=?>4=g57>44734l<97?=0:?e33<6:916j:9513289c1?288;70h89;314>;a?h0:>=52f6`9576<5o=h6<219>b2`=9;:01k6?:003?8`?93;9<63i838265=:n191=?>4=g:7>44734l397?=0:?e<3<6:916j59513289cc?288;70hj9;314>{t9k=>6=46{_3a6d=:;lo1?o64=53b>6e?34i>>7<>d:?`2d<59j16o;l520a89f0d2;;h70j?c;02b>;c8l09=n5rs0`42?6=9:qU==j8;<6:3?5fl27?55401>?>4=b74>77b34i>m7<>d:?`1f<59j16oh>53`f89fc62:ko70mj2;1b`>;dm:08mi52d079676<5m;=6??l;?4=b70>77b34i>87<>d:?`10<59m16o88520f89f3f2;;h70m:b;02g>;d=m09=k52c4g964b<5m:j6??j;`40=:8o0q~?m7983>d?4sW;;mo5Q174b?[71>01U=;87;_3522=Y9?<>7S?9659]53043W;=:?5Q1742?[71>91U=;;i;_351`=Y9??o7S?95b9]53163W;=;=5Q174e?[71>l1U=;8k;_352f=Y9?;_3;05=Y919m7S?73d9]5=5c3W;3?o5Q191b?[7?;01U=5=7;_3;72=Y919=7S?7349]5=533W;3?>5Q1911?[7?;91U=5l5Q190:?[7?:11U=5<8;_3;60=Y918?7S?7229]5=453W;3><5Q1903?[7?9o1U=5?j;_3;5a=Y91;h7S?7499]5=203W;38;5Q1966?[7?<=1U=5=l;_3;74=Y918=7S?71c9]5=7f3W;8h7S?82c9]524f3W;<>45Q160;?[70:>1U=:<9;_3460=Y9>8?7S?8389]525?3W;8n7S?8229]52453W;2o>5Q18a1?[7>k81U=4m?;_3:fc=Y90hn7S?6be9]5j11U=4l8;_3:f3=Y90h>7S?6b59]5io1U=4oj;_3:ea=Y90kh7S?6ac9]5i>1U=4o:;_3:e1=Y90k87S?6a39]51l1U=47k;_3:=f=Y90i37S?6c69]5jj1U=4l>;_3:e3=Y903i7S?69`9>7`?=:8301>km:2`2?85bj39i>636d3349nn7=m0:?0ag<69h16?hl53`d896ce2:h=70=jb;15b>;4mk08:i523d`973e<5:oi6>8m;<1ff?51i278io4<689>7`d=;?201>km:244?85bj39=963605349nn7=91:?0ag<4>916?hl534d896ce2:?n70=jb;16`>;4mk089n523d`970g<5:oi6>;6;<1ff?520278io4<569>7`d=;<<01>km:276?85bj39>863636349nn7=;f:?0ag<4h70=jb;17f>;4mk088l523d`971?<5:oi6>:7;<1ff?53?278io4<479>7`d=;>?01>km:257?85bj39617349nn7=96:?0ag<4=k16?hl5342896ce2:>>70=jb;13a>;4mk08>n;<1fg?5e9278in47`e=;k901>kl:2`6?85bk39i8636ga349no7=m6:?0af<4>o16?hm537f896cd2:;4mj08:l523da973?<5:oh6>87;<1fg?51?278in4<649>7`e=;?>01>kl:240?85bk39=>634=2g`>63a349no7=:e:?0af<4=m16?hm534a896cd2:?j70=jc;16=>;4mj0895523da9701<5:oh6>;9;<1fg?52=278in4<559>7`e=;<901>kl:271?85bk39>=6362c349no7=;c:?0af<4270=jc;17<>;4mj088:523da9710<5:oh6>9:;<1fg?50<278in4<729>7`e=;>801>kl:252?85bk39<<63637349no7=;5:?0af<48l16?hm531a896cd2::i70=jc;13e>;4mo09<>523g29675<5:l:6?><;<1e7?47;278j94>2c9>7c3=9;h01>hk:320?85am389?636d534>;<7=m3:?745<4j<168=>53c6891672:h;70:?0;32e>;38908mk5241297g0<5=:;6119>056=99l019>?:02f?82783;;h63;01824f=:<9:1==l4=523>46>34>;<7??8:?745<68>168=>51148916728:>70:?0;330>;3890:<>524129554<5=:;6<>>;<634?77827?<=4ie:?745189>056=982019>?:034?82783;::63;018250=:<9:1=<:4=523>46f34>;<7hi;<634?`334>;<7k6;<634?57m27?<=4<0b9>056=;9h019>?:22b?827839;563;01827f=:<9:1=>o4=523>45>34>;<7?<8:?745<6;>168=>512489167289>70:?0;300>;3890:88524129512<5=:;6<:<;<634?73:27?<=4>409>056=9=:019>?:01e?82783;8i63;01827a=:<9:1=>=4=522>6d634>;=7=m2:?744<4j:168=?53c7891662:h?70:?1;1a4>;3880:=l5241397d`<5=::6>l9;<635?d134>;=7l;;<635?76;27?<<4>109>057=98:019>>:02e?82793;;i63;00824a=:<9;1==m4=522>46e34>;=7??9:?744<681168=?51158916628:=70:?1;331>;3880:<9524139555<5=::6<>=;<635?77927?<<4>019>057=nl168=?5fe9>057=nj168=?5fc9>057=nh168=?5f89>057=n1168=?5f69>057=n?168=?5f49>057=n:168=?5f39>057=n8168=?5f19>057=mo168=?5ed9>057=mm168=?5eb9>057=mk168=?5e`9>057=983019>>:03;?82793;:;63;008253=:<9;1=<;4=522>47334>;=7??a:?744057=;9i019>>:22a?827939;m63;00804<=:<9;1=>m4=522>45f34>;=7?<9:?744<6;1168=?512589166289=70:?1;301>;3880:?9524139513<5=::6<:;;<635?73;27?<<4>439>057=9=;019>>:063?82793;8j63;00827`=:<9;1=>j4=522>45434>;>7=m1:?747<4j;168=<53c1891652:h>70:?2;1a0>;38;08n=52410954g<5=:96>oi;<636?5e>27?129>054=98;019>=:033?827:3;;j63;03824`=:<981==j4=521>46d34>;>7??b:?747<680168=<511:8916528:<70:?2;332>;38;0:<8524109552<5=:96<><;<636?77:27?009>054=99:019>=:gg891652on019>=:ga891652oh019>=:gc891652o3019>=:g:891652o=019>=:g4891652o?019>=:g1891652o8019>=:g3891652o:019>=:dd891652lo019>=:df891652li019>=:d`891652lk019>=:03:?827:3;:463;038252=:<981=<84=521>47234>;>7?>4:?747<68h168=<5fg9>054=n=168=<5e89>054=;9o019>=:22`?827:39;n63;03804d=:<981?=74=521>45d34>;>7?;38;0:?8524109562<5=:96<::;<636?73<27?429>054=9=8019>=:062?827:3;?<63;03827c=:<981=>k4=521>45c34>;>7?<3:?746<4j8168==53c0891642:h870:?3;1a1>;38:08n95241197g6<5=:864055=j?168==5b59>055=989019><:032?827;3;:<63;02824c=:<991==k4=520>46c34>;?7??c:?746<68k168==511;8916428:370:?3;333>;38:0:<;524119553<5=:86<>;;<637?77;27?<>4>039>055=99;019><:023?827;3ln70:?3;dg?827;3lh70:?3;da?827;3lj70:?3;d:?827;3l370:?3;d4?827;3l=70:?3;d6?827;3l870:?3;d1?827;3l:70:?3;d3?827;3om70:?3;gf?827;3oo70:?3;g`?827;3oi70:?3;gb?827;3;:563;02825==:<991=<94=520>47134>;?7?>5:?746<69=168==511c891642ol019><:g6891642l3019><:22f?827;39;o63;02804g=:<991?=o4=520>66>34>;?7?;38:0:?;524119563<5=:86<=;;<637?73=27?<>4>459>055=9=9019><:061?827;3;?=63;028205=:<991=>h4=520>45b34>;?7?;3?m08n55246g97db<5=296<0=0=:;901968:320?82?038;?63;888146=:<1k1>?=4=5:`>76434>3h7;31;08mi5248197db<5=3?6>ok;<6:1?5fl27?5;40db=9;h019l<:2cg?82e<39jh63;bd80ea=:6gc34>h47=m8:?7gg<6:k168nk513`891b6288i70:k2;31f>;3l:0:>o524e6957d<5=nh6>ok;<6g`?5e927?hi40ab=;k9019jk:2`6?82cl39i863;de80f5=:6ga34>oh7=m6:?7`a<4>o168ij537f891bc2:;3lm08:l524ef973?<5=no6>87;<6g`?51?27?hi4<649>0ab=;?>019jk:240?82cl39=>63;de8024=:4=5fg>63a34>oh7=:e:?7`a<4=m168ij534a891bc2:?j70:kd;16=>;3lm0895524ef9701<5=no6>;9;<6g`?52=27?hi4<559>0ab=;<9019jk:271?82cl39>=63;de800c=:62c34>oh7=;c:?7`a<4270:kd;17<>;3lm088:524ef9710<5=no6>9:;<6g`?50<27?hi4<729>0ab=;>8019jk:252?82cl39<<63;de8023=:63734>oh7=;5:?7`a<48l168ij531a891bc2::i70:kd;13=>;3lm08<5524ef9751<5=nn6>l>;<6ga?5e:27?hh40ac=;k?019jj:2`7?82cm39i<63;dd825d=:6d134>oi7=9f:?7``<4>m168ik537a891bb2:;3ll08:4524eg973><5=nn6>88;<6ga?51=27?hh4<659>0ac=;?9019jj:241?82cm39==63;dd8025=:63b34>oi7=:d:?7``<4=j168ik534c891bb2:?270:ke;16<>;3ll089:524eg9700<5=nn6>;:;<6ga?52<27?hh4<529>0ac=;<8019jj:272?82cm39?j63;dd800`=:62d34>oi7=;b:?7``<4370:ke;173>;3ll088;524eg9723<5=nn6>9;;<6ga?50;27?hh4<739>0ac=;>;019jj:253?82cm39=:63;dd801g=:4=5ff>62234>oi7=?e:?7``<48j168ik531`891bb2::270:ke;13<>;3ll08<:524ed97g7<5=nm6>l=;<6gb?5e;27?hk40a`=;k>019ji:2`3?82cn3;:m63;dg80ec=:g0<5=nm6o:4=5fe>60a34>oj7=9d:?7`c<4>j168ih537`891ba2:;3lo08:5524ed9731<5=nm6>8:;<6gb?51<27?hk4<629>0a`=;?8019ji:242?82cn39=<63;dg801c=:63c34>oj7=:c:?7`c<4=h168ih534;891ba2:?370:kf;163>;3lo089;524ed9703<5=nm6>;;;<6gb?52;27?hk4<539>0a`=;<;019ji:26e?82cn39?i63;dg800a=:62e34>oj7=;a:?7`c<4<0168ih535:891ba2:><70:kf;172>;3lo08;8524ed9722<5=nm6>9<;<6gb?50:27?hk4<709>0a`=;>:019ji:245?82cn39>n63;dg8015=:66b34>oj7=?c:?7`c<48k168ih531;891ba2::370:kf;133>;3lo0:?n524ed956g<5=o;6>l>;<6f4?5e:27?i=40`6=;k?019k?:2`7?82b839i<63;e1825d=:6d134>n<7l9;<6f4?d334>n<7=9f:?7a5<4>m168h>537a891c72:;3m908:4524d2973><5=o;6>88;<6f4?51=27?i=4<659>0`6=;?9019k?:241?82b839==63;e18025=:63b34>n<7=:d:?7a5<4=j168h>534c891c72:?270:j0;16<>;3m9089:524d29700<5=o;6>;:;<6f4?52<27?i=4<529>0`6=;<8019k?:272?82b839?j63;e1800`=:62d34>n<7=;b:?7a5<4535;891c72:>370:j0;173>;3m9088;524d29723<5=o;6>9;;<6f4?50;27?i=4<739>0`6=;>;019k?:253?82b839=:63;e1801g=:4=5g3>62234>n<7=?e:?7a5<48j168h>531`891c72::270:j0;13<>;3m908<:524d2956e<5=o;6<=n;<6f5?5e927?i<40`7=;k9019k>:2`6?82b939i863;e080f5=:6ga34>n=7=m6:?7a4<48l168h?531a891c62::i70:j1;13=>;3m808<:524d097g7<5=o96>l=;<6f6?5e;27?i?40`4=;k>019k=:2`3?82b:3;:m63;e380ec=:66b34>n>7=?c:?7a7<48k168h<531;891c52::<70:j3;1a5>;3m:08n?524d197g5<5=o86>l:;<6f7?5e<27?i>40`5=98k019k<:2ce?82b;39i:63;e2804`=:66e34>n?7=?9:?7a6<48>168h:53c3891c32:h970:j4;1a7>;3m=08n8524d697g2<5=o?6>l?;<6f0?76i27?i940`2=;k<019k;:22f?82b<39;o63;e5804g=:1?=74=5g7>66034>n97=m1:?7a0<4j;168h;53c1891c22:h>70:j5;1a0>;3m<08n=524d7954g<5=o>6>oi;<6f1?5e>27?i84<0d9>0`3=;9i019k::22a?82b=39;563;e48042=:6d534>n:7=m3:?7a3<4j<168h853c6891c12:h;70:j6;32e>;3m?08mk524d497g0<5=o=6>>j;<6f2?57k27?i;4<0c9>0`0=;93019k9:224?82b?39i=63;e680f7=:6d234>n;7=m4:?7a2<4j9168h9510c891c02:km70:j7;1a2>;3m>08>m;<6f3?57127?i:4<069>0`>=;k;019k7:2`1?82b039i?63;e980f0=:6d734>n47?>a:?7a=<4io168h653c4891c?2::n70:j8;13g>;3m108>8;<6f=?5e927?i440`?=;k9019k6:2`6?82b139i863;e880f5=:6ga34>n57=m6:?7a<<48l168h7531a891c>2::i70:j9;13=>;3m008<:524dc97g7<5=oj6>l=;<6fe?5e;27?il40`g=;k>019kn:2`3?82bi3;:m63;e`80ec=:66b34>nm7=?c:?7ad<48k168ho531;891cf2::<70:jb;1a5>;3mk08n?524d`97g5<5=oi6>l:;<6ff?5e<27?io40`d=98k019km:2ce?82bj39i:63;ec8a2>;3mk0i863;ec804`=:66e34>nn7=?9:?7ag<48>168hl512a891ce289j70:jc;1a5>;3mj08n?524da97g5<5=oh6>l:;<6fg?5e<27?in40`e=98k019kl:2ce?82bk39i:63;eb8a2>;3mj0i863;eb804`=:66e34>no7=?9:?7af<48>168hm512a891cd289j70:jd;1a5>;3mm08n?524df97g5<5=oo6>l:;<6f`?5e<27?ii40`b=98k019kk:2ce?82bl39i:63;ee8a2>;3mm0i863;ee804`=:66e34>nh7=?9:?7aa<48>168hj512a891cc289j70:je;1a5>;3ml08n?524dg97g5<5=on6>l:;<6fa?5e<27?ih40`c=98k019kj:2ce?82bm39i:63;ed8a2>;3ml0i863;ed804`=:66e34>ni7=?9:?7a`<48>168hk512a891cb289j70:jf;1a5>;3mo08n?524dd97g5<5=om6>l:;<6fb?5e<27?ik40``=98k019ki:2ce?82bn39i:63;eg8a2>;3mo0i863;eg804`=:66e34>nj7=?9:?7ac<48>168hh512a891ca289j70:i0;1a5>;3n908n?524g297g5<5=l;6>l:;<6e4?5e<27?j=40c6=98k019h?:2ce?82a839i:63;f18a2>;3n90i863;f1804`=:66e34>m<7=?9:?7b5<48>168k>512a891`7289j70:i1;1a5>;3n808n?524g397g5<5=l:6>l:;<6e5?5e<27?j<40c7=98k019h>:2ce?82a939i:63;f08a2>;3n80i863;f0804`=:66e34>m=7=?9:?7b4<48>168k?512a891`6289j70:i2;1a5>;3n;08n?524g097g5<5=l96>l:;<6e6?5e<27?j?40c4=98k019h=:2ce?82a:39i:63;f38a2>;3n;0i863;f3804`=:66e34>m>7=?9:?7b7<48>168k<512a891`5289j70:i3;1a5>;3n:08n?524g197g5<5=l86>l:;<6e7?5e<27?j>40c5=98k019h<:2ce?82a;39i:63;f28a2>;3n:0i863;f2804`=:66e34>m?7=?9:?7b6<48>168k=512a891`4289j70:i4;1a5>;3n=08n?524g697g5<5=l?6>l:;<6e0?5e<27?j940c2=98k019h;:2ce?82a<39i:63;f58a2>;3n=0i863;f5804`=:1?=m4=5d7>66e34>m87=?9:?7b1<48>168k:512a891`3289j70:i5;1b`>;3n?08mi524g597db<5=l36>ok;<6e=?5fl27?jl40cd=;hn019hl:2cg?82al39jh63;fd80ea=:6gc34?;=7=nd:?647<4im169==53`f89gec288i70lk8;31f>;d<;0:>o52d209<==:l:814452d269<1=:l:>14;52d269<<=:l:<14;52d249<==:l:<14452d2:9<1=:l:214;52d2:9<==:l:214452d2c9<1=:l:k14;52d2c9<==:l:k14452d2a9<1=:l:i14;52d2a9<==:l:i14452d2g9<1=:l:o14;52d2g9<==:l:o14452d529<1=:l=:14;52d529<==:l=:14452d509<1=:l=814;52d509<==:l=814452d569<1=:l=>14;52d569<==:l=>14452d549<1=:l=<14;52d549<==:l=<14452d5:9<1=:l=214;52d5:9<==:l=214452d5c9<1=:l=k14;52d5c9<==:l=k14452d5a9<1=:l=i14;52d5a9<==:l=i14452d5g9<1=:l=o14;52d5g9<==:l=o14452d429<1=:l<:14;52d429<==:l<:14452d409<1=:l<814;52d409<==:l<814452d469<1=:l<>14;52d469<==:l<>14452d449<1=:l<<14;52d449<==:l<<14452d4:9<1=:l<214;52d4:9<==:l<214452d4c9<1=:l14;52d769<==:l?>14452d749<3=:l?<14552d749<<=:l?214;52d7:9<==:l?214452d7c9<3=:l?k14552d7c9<<=:l?i14;52d7a9<==:l?i14452d7g9<3=:l?o14552d7g9<<=:l>:14;52d629<==:l>:14452d609<3=:l>814552d609<<=:l>>14;52d669<==:l>>14452d649<3=:l><14552d649<<=:l>214;52d6:9<==:l>214452d6c9<3=:l>k14552d6c9<<=:l>i14;52d6a9<==:l>i14452d6g9<3=:l>o14552d6g9<<=:l1:14;52d929<==:l1:14452d909<3=:l1814552d909<<=:l1>14;52d969<==:l1>14452d949<3=:l1<14552d949<<=:l1214;52d9:9<==:l1214452d9c9<3=:l1k14552d9c9<<=:l1i14;52d9a9<==:l1i14452d9g9<3=:l1o14552d9g9<<=:l0:14;52d829<==:l0:14452d809<3=:l0814552d809<<=:l0>14;52d869<==:l0>14452d849<3=:l0<14552d849<<=:l0214;52d8:9<==:l0214452d8c9<3=:l0k14552d8c9<<=:l0i14;52d8a9<==:l0i14452d8g9<3=:l0o14552d8g9<<=:lh:14;52d`29<==:lh:14452d`09<3=:lh814552d`09<<=:lh>14;52d`69<==:lh>14452d`49<3=:lh<14552d`49<<=:lh214;52d`:9<==:lh214452d`c9<3=:lhk14552d`c9<<=:lhi14;52d`a9<==:lhi14452d`g9<3=:lho14552d`g9<<=:lk:14;52dc29<==:lk:14452dc09<3=:lk814552dc09<<=:lk>14;52dc69<==:lk>14452dc49<3=:lk<14552dc49<<=:lk214;52dc:9<==:lk214452dcc9<3=:lkk14552dcc9<<=:lki14;52dca9<==:lki14452dcg9<3=:lko14552dcg9<<=:lj:14;52db29<==:lj:14452db09<1=:lj814;52db09<==:lj814452db69<1=:lj>14;52db69<==:lj>14452db49<1=:lj<14;52db49<==:lj<14452db:9<1=:lj214;52db:9<==:lj214452dbc9<1=:ljk14;52dbc9<==:ljk14452dba9<1=:lji14;52dba9<==:lji14452dbg9<1=:ljo14;52dbg9<==:ljo14452de29<1=:lm:14;52de29<==:lm:14452de09<1=:lm814;52de09<==:lm814452de69<1=:lm>14;52de69<==:lm>14452de49<1=:lm<14;52de49<==:lm<14452de:9<1=:lm214;52de:9<==:lm214452dec9<1=:lmk14;52dec9<==:lmk14452dea9<1=:lmi14;52dea9<==:lmi14452deg9<1=:lmo14;52deg9<==:lmo14452dd29<1=:ll:14;52dd29<==:ll:14452dd09<1=:ll814;52dd09<==:ll814452dd69<1=:ll>14;52dd69<==:ll>14452dd49<1=:ll<14;52dd49<==:ll<14452dd:9<1=:ll214;52dd:9<==:ll214452ddc9<1=:llk14;52ddc9<==:llk14452dda9<1=:lli14;52dda9<==:lli14452ddg9<1=:llo14;52ddg9<==:llo14452dg29<1=:lo:14;52dg29<==:lo:14452dg09<1=:lo814;52dg09<==:lo814452dg69<1=:lo>14;52dg69<==:lo>14452dg49<1=:lo<14;52dg49<==:lo<14452dg:9<1=:lo214;52dg:9<==:lo214452dgc9<1=:lok14;52dgc9<==:lok14452dga9<1=:loi14;52dga9<==:loi14452dgg9<1=:loo14;52dgg9<==:loo14452e129<1=:m9:14;52e129<==:m9:14452e109<1=:m9814;52e109<==:m9814452e169<1=:m9>14;52e169<==:m9>14452e149<1=:m9<14;52e149<==:m9<14452e1:9<1=:m9214;52e1:9<==:m9214452e1c9<1=:m9k14;52e1c9<==:m9k14452e1a9<1=:m9i14;52e1a9<==:m9i14452e1g9<1=:m9o14;52e1g9<==:m9o14452e029<1=:m8:14;52e029<==:m8:14452e009<1=:m8814;52e009<==:m8814452e069<1=:m8>14;52e069<==:m8>14452e049<1=:m8<14;52e049<==:m8<14452e0:9<1=:m8214;52e0:9<==:m8214452e0c9<1=:m8k14;52e0c9<==:m8k14452e0a9<1=:m8i14;52e0a9<==:m8i14452e0g9<1=:m8o14;52e0g9<==:m8o14452e329<1=:m;:14;52e329<==:m;:14452e309<1=:m;814;52e309<==:m;814452e369<1=:m;>14;52e369<==:m;>14452e349<1=:m;<14;52e349<==:m;<14452e3:9<1=:m;214;52e3:9<==:m;214452e3c9<1=:m;k14;52e3c9<==:m;k14452e3a9<1=:m;i14;52e3a9<==:m;i14452e3g9<1=:m;o14;52e3g9<==:m;o14452e229<1=:m::14;52e229<==:m::14452e209<1=:m:814;52e209<==:m:814452e269<1=:m:>14;52e269<==:m:>14452e249<1=:m:<14;52e249<==:m:<14452e2:9<1=:m:214;52e2:9<==:m:214452e2c9<1=:m:k14;52e2c9<==:m:k14452e2a9<1=:m:i14;52e2a9<==:m:i14452e2g9<1=:m:o14;52e2g9<==:m:o14452e529<1=:m=:14;52e529<==:m=:14452e509<1=:m=814;52e509<==:m=814452e569<1=:m=>14;52e569<==:m=>14452e549<1=:m=<14;52e549<==:m=<14452e5:9<1=:m=214;52e5:9<==:m=214452e5c9<1=:m=k14;52e5c9<==:m=k14452e5a9<1=:m=i14;52e5a9<==:m=i14452e5g9<1=:m=o14;52e5g9<==:m=o14452e429<1=:m<:14;52e429<==:m<:14452e409<1=:m<814;52e409<==:m<814452e469<1=:m<>14;52e469<==:m<>14452e449<1=:m<<14;52e449<==:m<<14452e4:9<1=:m<214;52e4:9<==:m<214452e4c9<1=:m14552e749<1=:m?<14552e7:9<1=:m?214552e7c9<1=:m?k14552e7a9<1=:m?i14552e7g9<1=:m?o14552e629<1=:m>:14552e609<1=:m>814552e669<1=:m>>14552e649<1=:m><14552e6:9<1=:m>214552e6c9<1=:m>k14552e6a9<1=:m>i14552e6g9<1=:m>o14552e929<1=:m1:14552e909<1=:m1814552e969<1=:m1>14552e949<1=:m1<14552e9:9<1=:m1214552e9c9<1=:m1k14552e9a9<1=:m1i14552e9g9<1=:m1o14552e829<1=:m0:14552e809<1=:m0814552e869<1=:m0>14552e849<1=:m0<14552e8:9<1=:m0214552e8c9<1=:m0k14552e8a9<1=:m0i14552e8g9<1=:m0o14552e`29<1=:mh:14552e`09<1=:mh814552e`69<1=:mh>14552e`49<1=:mh<14552e`:9<1=:mh214552e`c9<1=:mhk14552e`a9<1=:mhi14552e`g9<1=:mho14552ec29<1=:mk:14552ec09<1=:mk814552ec69<1=:mk>14552ec49<1=:mk<14552ec:9<1=:mk214552ecc9<1=:mkk14552eca9<1=:mki14552ecg9<1=:mko14552eb29<1=:mj:14552eb09<1=:mj814;52eb69<1=:mj>14;52eb69<==:mj>14452eb49<1=:mj<14;52eb49<==:mj<14452eb:9<1=:mj214;52eb:9<==:mj214452ebc9<1=:mjk14;52ebc9<==:mjk14452eba9<1=:mji14;52eba9<==:mji14452ebg9<1=:mjo14;52ebg9<==:mjo14452ee29<1=:mm:14;52ee29<==:mm:14452ee09<1=:mm814;52ee09<==:mm814452ee69<1=:mm>14;52ee69<==:mm>14452ee49<1=:mm<14;52ee49<==:mm<14452ee:9<1=:mm214;52ee:9<==:mm214452eec9<1=:mmk14;52eec9<==:mmk14452eea9<1=:mmi14;52eea9<==:mmi14452eeg9<1=:mmo14;52eeg9<==:mmo14452ed29<1=:ml:14;52ed29<==:ml:14452ed09<1=:ml814;52ed09<==:ml814452ed69<1=:ml>14;52ed69<==:ml>14452ed49<1=:ml<14;52ed49<==:ml<14452ed:9<1=:ml214;52ed:9<==:ml214452edc9<1=:mlk14;52edc9<==:mlk14452eda9<1=:mli14;52eda9<==:mli14452edg9<1=:mlo14;52edg9<==:mlo14452eg29<1=:mo:14;52eg29<==:mo:14452eg09<1=:mo814;52eg09<==:mo814452eg69<1=:mo>14;52eg69<==:mo>14452eg49<1=:mo<14;52eg49<==:mo<14452eg:9<1=:mo214;52eg:9<==:mo214452egc9<1=:mok14;52egc9<==:mok14452ega9<1=:moi14;52ega9<==:moi14452egg9<1=:moo14;52egg9<==:moo14452f129<1=:n9:14;52f129<==:n9:14452f109<1=:n9814;52f109<==:n9814452f169<1=:n9>14;52f169<==:n9>14452f149<1=:n9<14;52f149<==:n9<14452f1:9<1=:n9214;52f1:9<==:n9214452f1c9<1=:n9k14;52f1c9<==:n9k14452f1a9<1=:n9i14;52f1a9<==:n9i14452f1g9<1=:n9o14;52f1g9<==:n9o14452f029<1=:n8:14;52f029<==:n8:14452f009<1=:n8814;52f009<==:n8814452f069<1=:n8>14;52f069<==:n8>14452f049<1=:n8<14;52f049<==:n8<14452f0:9<1=:n8214;52f0:9<==:n8214452f0c9<1=:n8k14;52f0c9<==:n8k14452f0a9<1=:n8i14;52f0a9<==:n8i14452f0g9<1=:n8o14;52f0g9<==:n8o14452f329<1=:n;:14;52f329<==:n;:14452f309<1=:n;814;52f309<==:n;814452f369<1=:n;>14;52f369<==:n;>14452f349<<=:n;214452f3c9<<=:n;i14452f3g9<<=:n::14452f209<<=:n:>14452f249<<=:n:214452f2c9<<=:n:i14452f2g9<<=:n=:14452f509<<=:n=>14452f549<<=:n=214452f5c9<<=:n=i14452f5g9<<=:n<:14452f409<<=:n<>14452f449<<=:n<214452f4c9<<=:n14452f749<<=:n?214452f7c9<<=:n?i14452f7g9<<=:n>:14452f609<<=:n>>14452f649<<=:n>214452f6c9<<=:n>i14452f6g9<<=:n1:14452f909<<=:n1>14452f949<==:n1214952f9:9<3=:n1214552f9:9<<=:n1k14952f9c9<3=:n1k14552f9c9<<=:n1i14952f9a9<3=:n1i14552f9a9<<=:n1o14952f9g9<3=:n1o14552f9g9<<=:n0:14952f829<3=:n0:14552f829<<=:n0814952f809<3=:n0814552f809<<=:n0>14952f869<3=:n0>14552f869<<=:n0<14952f849<3=:n0<14552f849<<=:n0214952f8:9<3=:n0214552f8:9<<=:n0k14952f8c9<3=:n0k14552f8c9<<=:n0i14952f8a9<3=:n0i14552f8a9<<=:n0o14952f8g9<3=:n0o14552f8g9<<=:nh:14952f`29<3=:nh:14552f`29<<=:nh814952f`09<3=:nh814552f`09<<=:nh>14952f`69<3=:nh>14552f`69<<=:nh<14952f`49<3=:nh<14552f`49<<=:nh214952f`:9<3=:nh214552f`:9<<=:nhk14952f`c9<3=:nhk14552f`c9<<=:nhi14952f`a9<3=:nhi14552f`a9<<=:nho14952f`g9<3=:nho14552f`g9<<=:nk:14952fc29<3=:nk:14552fc29<<=:nk814952fc09<3=:nk814552fc09<<=:nk>14952fc69<3=:nk>14552fc69<<=:nk<14952fc49<3=:nk<14552fc49<<=:nk214952fc:9<3=:nk214552fc:9<<=:nkk14952fcc9<3=:nkk14552fcc9<<=:nki14952fca9<3=:nki14552fca9<<=:nko14952fcg9<3=:nko14552fcg9<<=:nj:14952fb29<3=:nj:14552fb29<<=:nj814952fb09<3=:nj814552fb09<<=:nj>14952fb69<3=:nj>14552fb69<<=:nj<14952fb49<3=:nj<14552fb49<<=:nj214952fb:9<3=:nj214552fb:9<<=:njk14952fbc9<3=:njk14552fbc9<<=:nji14952fba9<3=:nji14552fba9<<=:njo14952fbg9<3=:njo14552fbg9<<=:nm:14952fe29<3=:nm:14552fe29<<=:nm814952fe09<3=:nm814552fe09<<=:nm>14952fe69<3=:nm>14552fe69<<=:nm<14952fe49<3=:nm<14552fe49<<=:nm214952fe:9<3=:nm214552fe:9<<=:nmk14952fec9<3=:nmk14552fec9<<=:nmi14952fea9<3=:nmi14552fea9<<=:nmo14952feg9<3=:nmo14552feg9<<=:nl:14952fd29<3=:nl:14552fd29<<=:nl814952fd09<3=:nl814552fd09<<=:nl>14952fd69<3=:nl>14552fd69<<=:nl<14952fd49<3=:nl<14552fd49<<=:nl214452fdc9<1=:nlk14;52fdc9<==:nlk14452fda9<1=:nli14;52fda9<==:nli14452fdg9<1=:nlo14;52fdg9<==:nlo14452fg29<1=:no:14;52fg29<==:no:14452fg09<1=:no814;52fg09<==:no814452fg69<1=:no>14;52fg69<==:no>14452fg49<1=:no<14;52fg49<==:no<14452fg:9<1=:no214;52fg:9<==:no214452fgc9<1=:nok14;52fgc9<==:nok14452fga9<1=:noi14;52fga9<==:noi14452fgg9<1=:noo14;52fgg9<==:noo144521123>=2<58:;<769;<33455889>556521>01<>?2;:5?8778;03463>0109<<=:99:?65:4=0230?>134;;<9478:?2452=0016==>9:9689467>32=70??078;<>;689<14452112;>=2<58:;4769;<334=556f21>01<>?a;:5?8778h03463>01c9<<=:99:h65:4=023g?>134;;j:9689467m32=70??0d8;<>;689o144521133>=2<58::<769;<33555889>557521>01<>>2;:5?8779;03463>0009<<=:99;?65:4=0220?>134;;=9478:?2442=0016==?9:9689466>32=70??178;<>;688<14452113;>=2<58::4769;<335=557f21>01<>>a;:5?8779h03463>00c9<<=:99;h65:4=022g?>134;;=n478:?244e=0016==?j:9689466m32=70??1d8;<>;688o144521103>=2<58:9<769;<33655889>554521>01<>=2;:5?877:;03463>0309<<=:998?65:4=0210?>134;;>9478:?2472=0016==<9:9689465>32=70??278;<>;68;<14452110;>=2<58:94769;<336=554f21>01<>=a;:5?877:h03463>03c9<<=:998h65:4=021g?>134;;>n478:?247e=0016==;68;o144521113>=2<58:8<769;<3375>5889>555521>01<><2;:5?877;;03463>0209<<=:999?65:4=0200?>134;;?9478:?2462=0016===9:9689464>32=70??378;<>;68:<14452111;>=2<58:84769;<337=65889>555f21>01<>02c9<<=:999h65:4=020g?>134;;?n478:?246e=0016===j:9689464m32=70??3d8;<>;68:o144521163>=2<58:?<769;<33055889>552521>01<>;2;:5?877<;03463>0509<<=:99>?65:4=0270?>134;;89478:?2412=0016==:9:9689463>32=70??478;<>;68=<14452116;>=2<58:?4769;<330=552f21>01<>;a;:5?87705c9<<=:99>h65:4=027g?>134;;8n478:?241e=0016==:j:9689463m32=70??4d8;<>;68=o144521173>=2<58:><769;<33155889>553521>01<>:2;:5?877=;03463>0409<<=:99??65:4=0260?>134;;99478:?2402=0016==;9:9689462>32=70??578;<>;68<<14452117;>=2<58:>4769;<331=553f21>01<>:a;:5?877=h03463>04c9<<=:99?h65:4=026g?>134;;9n478:?240e=0016==;j:9689462m32=70??5d8;<>;68=2<58:=<769;<33255889>550521>01<>92;:5?877>;03463>0709<<=:99134;;:9478:?2432=0016==89:9689461>32=70??678;<>;68?<14452114;>=2<58:=4769;<332=550f21>01<>9a;:5?877>h03463>07c9<<=:99134;;:n478:?243e=0016==8j:9689461m32=70??6d8;<>;68?o144521153>=2<58:<<769;<33355889>551521>01<>82;:5?877?;03463>0609<<=:99=?65:4=0240?>134;;;9478:?2422=0016==99:9689460>32=70??778;<>;68><14452115;>=2<58:<4769;<333=551f21>01<>8a;:5?877?h03463>06c9<<=:99=h65:4=024g?>134;;;n478:?242e=0016==9j:9689460m32=70??7d8;<>;68>o1445211:3>=2<58:3<769;<33<55889>55>521>01<>72;:5?8770;03463>0909<<=:992?65:4=02;0?>134;;49478:?24=2=0016==69:968946?>32=70??878;<>;681<1445211:;>=2<58:34769;<33<=55>f21>01<>7a;:5?8770h03463>09c9<<=:992h65:4=02;g?>134;;4n478:?24=e=0016==6j:968946?m32=70??8d8;<>;681o1445211;3>=2<58:2<769;<33=55889>55?521>01<>62;:5?8771;03463>0809<<=:993?65:4=02:0?>134;;59478:?24<2=0016==79:968946>>32=70??978;<>;680<1445211;;>=2<58:24769;<33==55?f21>01<>6a;:5?8771h03463>08c9<<=:993h65:4=02:g?>134;;5n478:?24m32=70??9d8;<>;680o1445211c3>=2<58:j<769;<33e55889>55g521>01<>n2;:5?877i;03463>0`09<<=:99k?65:4=02b0?>134;;m9478:?24d2=0016==o9:968946f>32=70??a78;<>;68h<1445211c;>=2<58:j4769;<33e=0`f89f502:ki70mk7;02`>{t9k=j6=4<{_304f=:k9=1?ll4=bc;>77a3ty:n:l50;1xZ457j27h<;4gd0=:8l0q~?m7b83>6}Y9::j70m?5;1bf>;di=09=k5rs0`4`?6=;rT:?=74=b27>6ge34ij97<>f:p5g1b2908wS?<099>g55=;hh01no<:33e?xu6j>l1<7=t^0133>;d8;08mo52c`3964`01n>?:2ca?8ef838:j6s|1c:1>5<4sW;8<>52bgd97dd<5j3n6??i;|q2f=5=839pR<=?2:?ab`<4ik16o4h520d8yv7e0=0;6>uQ1222?8dal39jn63l9e815c=z{8h397>53z\2756<5klh6>om;b9594?5|V88mi63mf`80eg=:k0k1>2ga89g`?2:ki70m69;02b>{t9k2j6=4<{_31bg=:jo=1?ll4=b;4>77a3ty:n5l50;1xZ44a127ij;4g<3=:8l0q~?m8b83>6}Y9;l370li5;1bf>;d1?09=k5rs0`;`?6=;rT:>k94=cd7>6ge34i287<>f:p5g>b2908wS?=f79>fc5=;hh01n7=:33e?xu6j1l1<7=t^00e1>;en;08mo52c81964`5<4sW;9j?52bdd97dd<5j3;6??i;|q2f<5=839pR<uQ13d3?8dbl39jn63l8b815c=z{8h297>53z\26`c<5koh6>om;e2;;m7p}>b8594?5|V88no63me`80eg=:k131>13:1?vP>2dc89gc?2:ki70m78;02b>{t9k3j6=4<{_31a<=:jl=1?ll4=b:5>77a3ty:n4l50;1xZ44b027ii;4g=1=:8l0q~?m9b83>6}Y9;o<70lj5;1bf>;d0<09=k5rs0`:`?6=;rT:>h84=cg7>6ge34i3?7<>f:p5g?b2908wS?=e49>f`5=;hh01n6;:33e?xu6j0l1<7=t^0125>;em;08mo52c90964`5<4sW;8uQ1226?8dcl39jn63l7d815c=z{8hj97>53z\26cg<5knh6>om;b`594?5|V88n863md`80eg=:k>h1>4c`89f512:ki70mk5;02b>{t9kkj6=4<{_37fd=:k:?1?ll4=bf5>77a3ty:nll50;1xZ42e127h?94ga2=:8l0q~?mab83>6}Y9=h370m<3;1bf>;dl;09=k5rs0`b`?6=;rT:8o94=b11>6ge34io?7<>f:p5ggb2908wS?;b79>g67=;hh01nj>:33e?xu6jhl1<7=t^06a1>;d;908mo52cbd964`5<4sW;?n<52c3f97dd<5jih6??i;|q2fg5=839pR<:m0:?`6f<4ik16onj520d8yv7ej=0;6>uQ15ce?8e5j39jn63lcc815c=z{8hi97>53z\20dc<5j8j6>om;bc594?5|V8>jo63l2980eg=:kj21>4`c89f412:ki70ml7;02b>{t9khj6=4<{_37e==:k;?1?ll4=ba6>77a3ty:nol50;1xZ42f?27h>94gf5=:8l0q~?mbb83>6}Y9=k=70m=3;1bf>;dk=09=k5rs0`a`?6=;rT:8l;4=b01>6ge34ih>7<>f:p5gdb2908wS?;a59>g77=;hh01nm?:33e?xu6jkl1<7=t^06b7>;d:908mo52cb3964`5<4sW;?m=52c0f97dd<5jhn6??i;|q2ff5=839pR<:6f:?`5f<4ik16oom520d8yv7ek=0;6>uQ15;g?8e6j39jn63lb`815c=z{8hh97>53z\20om;2;;m7p}>bb594?5|V8>2m63l1980eg=:kk=1>48:89f712:ki70mm6;02b>{t9kij6=4<{_37=2=:k8?1?ll4=b`7>77a3ty:nnl50;1xZ42>>27h=94gg3=:8l0q~?mcb83>6}Y9=3>70m>3;1bf>;dj:09=k5rs0```?6=;rT:84:4=b31>6ge34ii=7<>f:p5geb2908wS?;c19>g47=;hh01nl=:33e?xu6jjl1<7=t^06ab>;d9908mo52cc2964`j:2ca?8efn38:j6s|1cf1>5<4sW;?nn52c1f97dd<5jko6??i;|q2fa5=839pR<:m4:?`4f<4ik16oll520d8yv7el=0;6>uQ15c:?8e7j39jn63lab815c=z{8ho97>53z\20om;2;;m7p}>be594?5|V8>2>63l0980eg=:kh=1>7`g=;8801>km:22:?85bj39;46366>349no7=?8:?0af<48>16?hm5314896ca2:h370=i1;31f>;4nk09>>523gf97g><5:lm6<0=3=;k201968:00a?82?139i463;8b826g=:<1n1?o64=5;3>6d?34>jh75231891ee2;:870:k4;037>;3lm08>n;<6ga?57>27?hk4<0`9>0a`=;9<019k?:22b?82b839;:63;e0804d=:66134>n>7=?a:?7a7<481168h<5314891c42::j70:j3;13<>;3m:08<;524d6975g<5=o?6>>7;<6f0?57>27?i84<0`9>0`3=;92019k::225?82b>39;m63;e7804==:66f34>n;7=?8:?7a2<48?168h6531c891c?2::370:j8;132>;3m008<5=o26>>9;<6fe?57i27?il4<099>0`g=;9<019km:22b?82bj39;463;ec8043=:66?34>no7=?6:?7aa<48h168hj531:891cc2::=70:je;13e>;3ml08<5524dg9750<5=om6>>n;<6fb?57027?ik4<079>0c6=;9k019h?:22;?82a839;:63;f0804d=:66134>m>7=?a:?7b7<481168k<5314891`42::j70:i3;13<>;3n:08<;524g6975g<5=l?6>>7;<6e0?57>27h8?4=029>`64=0=16h><5879>`62=0116h>85859>`0e=0?16h8k5879>`36=0=16h;>5879>`34=0=16h;:5859>`30=0=16h;65859>`3g=0=16h;m5859>`3c=0=16h:>5859>`24=0=16h::5859>`20=0=16h:65859>`2g=0=16h:m5859>`2c=0=16h5>5859>`=4=0=16h5:5859>`=0=0=16h565859>`=g=0=16h5m5859>`=c=0=16h4>5859>`<4=0=16h4:5859>`<0=0=16h465859>``5859>`d4=0=16hl:5859>`d0=0=16hl65859>`dg=0=16hlm5859>`dc=0=16ho>5859>`g4=0=16ho:5859>`g0=0=16ho65859>`gg=0=16hom5859>`gc=0=16hn>5859>a34=0?16i;<5889>a32=0?16i;:5889>a30=0?16i;85889>a3>=0?16i;65889>a3g=0?16i;o5889>a3e=0?16i;m5889>a3c=0?16i;k5889>a26=0?16i:>5889>a24=0?16i:<5889>a22=0?16i::5889>a20=0?16i:85889>a2>=0?16i:65889>a2g=0?16i:o5889>a2e=0?16i:m5889>a2c=0?16i:k5889>a=6=0?16i5>5889>a=4=0?16i5<5889>a=2=0?16i5:5889>a=0=0?16i585889>a=>=0?16i565889>a=g=0?16i5o5889>a=e=0?16i5m5889>a=c=0?16i5k5889>a<6=0?16i4>5889>a<4=0?16i4<5889>a<2=0?16i4:5889>a<0=0?16i485889>a<>=0?16i465889>aaaad6=0?16il>5889>ad4=0?16il<5889>ad2=0?16il:5889>ad0=0?16il85889>ad>=0?16il65889>adg=0?16ilo5889>ade=0?16ilm5889>adc=0?16ilk5889>ag6=0?16io>5889>ag4=0?16io<5889>ag2=0?16io:5889>ag0=0?16io85889>ag>=0?16io65889>agg=0?16ioo5889>age=0?16iom5889>agc=0?16iok5889>af6=0?16in>5889>af4=0116in<5889>b70=0=16j?85879>b70=0116j?65859>b7>=0?16j?65899>b7g=0=16j?o5879>b7g=0116j?m5859>b7e=0?16j?m5899>b7c=0=16j?k5879>b7c=0116j>>5859>b66=0?16j>>5899>b64=0=16j><5879>b64=0116j>:5859>b62=0?16j>:5899>b60=0=16j>85879>b60=0116j>65859>b6>=0?16j>65899>b6g=0=16j>o5879>b6g=0116j>m5859>b6e=0?16j>m5899>b6c=0=16j>k5879>b6c=0116j9>5859>b16=0?16j9>5899>b14=0=16j9<5879>b14=0116j9:5859>b12=0?16j9:5899>b10=0=16j985879>b10=0116j965859>b1>=0?16j965899>b1g=0=16j9o5879>b1g=0116j9m5859>b1e=0?16j9m5899>b1c=0=16j9k5879>b1c=0116j8>5859>b06=0?16j8>5899>b04=0=16j8<5879>b04=0116j8:5859>b02=0?16j8:5899>b00=0=16j885879>b00=0116j865859>b0>=0?16j865899>b0g=0=16j8o5879>b0g=0116j8m5859>b0e=0?16j8m5899>b0c=0=16j8k5879>b0c=0116j;>5859>b36=0?16j;>5899>b34=0=16j;<5879>b34=0116j;:5859>b32=0?16j;:5899>b30=0=16j;85879>b30=0116j;65859>b3>=0?16j;65899>b3g=0=16j;o5879>b3g=0116j;m5859>b3e=0?16j;m5899>b3c=0=16j;k5879>b3c=0116j:>5859>b26=0?16j:>5899>b24=0=16j:<5879>b24=0116j::5859>b22=0?16j::5899>b20=0=16j:85879>b20=0116j:65859>b2>=0?16j:65899>b2g=0=16j:o5879>b2g=0116j:m5859>b2e=0?16j:m5899>b2c=0=16j:k5879>b2c=0116j5>5859>b=6=0?16j5>5899>b=4=0=16j5<5879>b=4=0116j5:5859>b=2=0?16j5:5899>b=0=0=16j585879>b=0=0016jh65859>b`>=0?16jh65899~w4dc13:1>vP>b3f891742:ki7p}>bec94?4|V8:o563;1680eg=z{8hon7>52z?0ag27?<<4<2e9~w4dck3:1>v3;38808>n5rs0`g`?6=:r78io4m3:?744<4:k1v63;00806d=z{8hoj7>52z?0agv3;38808>:5rs0`f5?6=:r78io4nf:?744<4:?1v52z?0agv3;38808>>5rs0`f1?6=:r78io4md:?744<4:;1v52z?0agv3;38808=k5rs0`f=?6=:r78io4m9:?744<49m1v52z?0agv3;38808=l5rs0`f`?6=9:q6?hl5101896ce28;:70=jb;324>;4mk0:k;<1ff?77k278io4>0c9>7`d=99301>km:02;?85bj3;;;63463349nn7??3:?0ag<68;16?hl5113896ce28:;70:?0;11e>{t9kon6=4={<1ff?`b34>;<7==8:p5gca2909w0=jb;dg?8278399;6s|1cd3>5<5s49nn7hl;<634?55>2wx=oh>:18185bj3li70:?0;111>{t9kl96=4={<1ff?`f34>;<7==4:p5g`42909w0=jb;d:?8278399?6s|1cd7>5<5s49nn7h7;<634?55:2wx=oh::18185bj3l<70:?0;115>{t9kl=6=4={<1ff?`134>;<7==0:p5g`02909w0=jb;d6?827839:j6s|1cd;>5<5s49nn7h<;<634?56l2wx=oh6:18185bj3l970:?0;12g>{t9klj6=4={<1ff?`634>;<7=>b:p5g`e2909w0=jb;d3?827839:m6s|1cd`>5<5s49nn7ki;<634?5612wx=ohk:18185bj3on70:?0;12<>{t9kln6=4={<1ff?cc34>;<7=>7:p5g`a2909w0=jb;g`?827839::6s|1b23>5<5s49nn7km;<634?56=2wx=n>>:18185bj3oj70:?0;120>{t9j:96=4={<1ff?76127?<=4<429~w4e7;3:1>v3:7p}>c1794?4|5:oi69:18185bj3;:963;01807c=z{8i;;7>52z?0ag<69=168=>532g8yv7d810;6?u23d`955g<5=:;6>=;;|q2g5?=838p1>km:gd891672:827p}>c1c94?4|5:oi6k:4=523>67b3ty:o=l50;0x96ce2l3019>?:230?xu6k9i1<764f34io97<>e:p5f6c2909w0=jb;11<>;dl?09=h5rs0a3a?6=:r78io4<269>ga2=:8o0q~?l0g83>7}:;lh1??84=bf1>77b3ty:o<>50;0x96ce2:8>70mk3;02a>{t9j;:6=4={<1ff?55<27hh<4=1d9~w4e6:3:1>v3c0694?4|5:oi6><>;52z?0ag<49o16onj520g8yv7d9>0;6?u23d`974b<5jii6??j;|q2g4>=838p1>km:23`?8ed138:i6s|1b3:>5<5s49nn7=>b:?`gd<59l1va;296~;4mk08=l52cb:964c7`d=;8301nm9:33f?xu6k8i1<767?34ih;7<>e:p5f7c2909w0=jb;123>;dk<09=h5rs0a2a?6=:r78io4<179>gf5=:8o0q~?l1g83>7}:;lh1?<;4=ba7>77b3ty:o?>50;0x96ce2:;?70ml2;02a>{t9j8:6=4={<1ff?53;27ho=4=1d9~w4e5:3:1>v3c3694?4|5:oi6>:?;52z?0ag<4;l16oom520g8yv7d:>0;6?u23d`9762<5jhj6??j;|q2g7>=838p1>km:20:?8eej38:i6s|1b0:>5<5s49nn7=>e:?`f<<59l1v52cc5964co4?:32x96ce289h70=jb;30e>;4mk0:?4523d`956><5:oi6<=8;<1ff?74>278io4>349>7`d=9:>01>km:066?85bj3;?863426349nn7?;0:?0ag<6;o16?hl512g896ce289o70=jb;307>;4mk02?63;4mk02<63;4mk03i63;4mk03o63;4mk02o63;4mk02m63;4mk02463;008076=z{8i9o7>52z?0ag<>?27?<<4<339~w4e5l3:1>v3;38808?<5rs0a1a?6=:r78io465:?744<4;91v52z?0agv3;38:08>i5rs0a06?6=:r78in4m4:?746<4:j1v52z?0af4<2`9~w4e4=3:1>v3;38:08>55rs0a02?6=:r78in4m0:?746<4:>1v52z?0af4<249~w4e413:1>v3;38:08>95rs0a0e?6=:r78in4me:?746<4::1v52z?0af4<209~w4e4l3:1>v3;38:08>=5rs0a0a?6=:r78in4ma:?746<49o1v52z?0af4<1b9~w4e393:1>v3;38:08=o5rs0a76?6=:r78in4nd:?746<49h1v476349no7?>0:?0af<68o16?hm511g896cd28:o70=jc;33g>;4mj0:7;<1fg?77?278in4>079>7`e=99?01>kl:027?85bk3;;?6346734>;>7==a:p5f232909w0=jc;df?827:39946s|1b66>5<5s49no7hk;<636?55?2wx=n:9:18185bk3lh70:?2;112>{t9j><6=4={<1fg?`e34>;>7==5:p5f2?2909w0=jc;db?827:39986s|1b6:>5<5s49no7h6;<636?55;2wx=n:n:18185bk3l370:?2;116>{t9j>i6=4={<1fg?`034>;>7==1:p5f2d2909w0=jc;d5?827:399<6s|1b6g>5<5s49no7h:;<636?56n2wx=n:j:18185bk3l870:?2;12`>{t9j>m6=4={<1fg?`534>;>7=>c:p5f372909w0=jc;d2?827:39:n6s|1b72>5<5s49no7h?;<636?56i2wx=n;=:18185bk3om70:?2;12=>{t9j?86=4={<1fg?cb34>;>7=>8:p5f332909w0=jc;gg?827:39:;6s|1b76>5<5s49no7kl;<636?56>2wx=n;9:18185bk3oi70:?2;121>{t9j?<6=4={<1fg?cf34>;>7=>4:p5f3?2909w0=jc;32=>;38;088>5rs0a6=?6=:r78in4>199>054=;=80q~?l5`83>7}:;li1=<94=521>6263ty:o8l50;0x96cd28;=70:?2;174>{t9j?h6=4={<1fg?76=27?v3k4}r3`1`<72;q6?hm511c891652:9?7p}>c4d94?4|5:oh6kh4=521>64>3ty:o;>50;0x96cd2o>019>=:23f?xu6k?;1<7`?<5=:96>?<;|q2g34=838p1>kl:20b?8ef038:i6s|1b40>5<5s49no7==8:?`e3<59l1v:52c`6964c7`e=;;<01no::33f?xu6k?<1<764234ij?7<>e:p5f002909w0=jc;110>;di809=h5rs0a5gd4=:8o0q~?l6883>7}:;li1??<4=bc3>77b3ty:o;o50;0x96cd2:8:70m6e;02a>{t9jv3c7g94?4|5:oh6>?l;52z?0af<49h16o46520g8yv7d?80;6?u23da974?<5j326??j;|q2g24=838p1>kl:23;?8e>?38:i6s|1b50>5<5s49no7=>7:?`=0<59l1v7`e=;8?01n7;:33f?xu6k><1<767334i2>7<>e:p5f102909w0=jc;177>;d1:09=h5rs0a4g<7=:8o0q~?l7883>7}:;li1?9?4=b:e>77b3ty:o:o50;0x96cd2:>;70m60;02a>{t9j=i6=4={<1fg?54n27h4h4=1d9~w4e0k3:1>v3c2;;n7p}>c6g94?4|5:oh6><6;52z?0af<49:16o5o520g8yv7d080;6?>t=2g`>45d349no7?;4mj0:?8523da9562<5:oh6<::;<1fg?73<278in4>429>7`e=9=801>kl:062?85bk3;?<63k4=2g`>45c349no7?<3:?0af<>;278in461:?0af<>8278in47f:?0afk278in46b:?0af<>i278in469:?0af<>027?<>4<329~w4e?:3:1>v3;38:08??5rs0a;7?6=:r78in466:?746<4;81v52z?0af<><27?<>4<2g9~w4e?>3:1>v3;38:08>h5rs0a;3?6=:r78ii4>309>7c6=;990q~?l8983>0}:;ln1?ll4=2gf>76434i>>7<>c:?g4f<59m16h=k520g8yv7d000;6?u23dg957d<5:l:6>><;|q2g=g=839p1>kj:220?85bn3;9n6352z?0ac<48:16?k=513`8yv7d0j0;6?u23g397g><5j>=6>><;|q2g=b=838p1>h=:012?85a;38986s|1b:f>5<3s49m>7=nb:?`2d<59m16o;l520f89f0d2;;o7p}>c9d94?4|5:l86>l7;77e3ty:o4?50;1x96`32:h370=i5;017>;d<008<>5rs0a:6?6=:r78j84<029>gab=9:;0q~?l9283>7}:;o<1=>?4=b60>6643ty:o4:50;4x96`12:ki70:?0;13<>;38808<552410975><5=:86>>7;56z?0b2<4ik168=>5315891662::<70:?2;133>;38:08<:52c5c964c7c>=9:;01n:m:220?xu6k021<78t=2d;>6ge34>;<7=?6:?744<48?168=<5314891642::=70m;b;02a>{t9j326=4={<1e=?75j278ji4<029~w4e>i3:18v377d34n997<>b:p5f?e2909w0=i9;1a<>;c:<08<>5rs0a:g?6=:r78j44<029>7cg=9;h0q~?l9e83>7}:;ok1>==4=e04>6643ty:o4k50;0x96`f2:h370mia;137>{t9j3m6=4<{<1ee?45<278jo4>2c9>05>=9:;0q~?la183>7}:;oh1?==4=524>4563ty:ol?50;0x96`d288i70=if;137>{t9jk96=4;{<1eg?47;27?<44g06=:8i01n;>:33`?xu6kh91<76d?34i><7=?3:p5fg32908w0=ic;137>;4nm0:>o523gg957d7cc=;99019>6:012?xu6kh<1<76d?34i?i7=?3:p5fg0290hw0:?0;`5?82783h?70:?0;`0?82783h970:?0;`2?82783h;70:?0;ce?82783kn70:?0;`e?82783hn70:?0;`g?8`5139jn6s|1bc;>5<5s4>;<7ll;{t9jkj6=4={<634?df34l9h7=nb:p5fge2909w0:?0;`:?8`5n39jn6s|1bc`>5<5s4>;<7l7;{t9jkn6=4={<634?gc34l8;7=nb:p5fga2909w0:?0;15b>;3m;08m=5rs0aa4?6=:r7?<=4<6e9>0`4=;0o0q~?lb083>7}:<9:1?;m4=5g1>6?c3ty:oo<50;0x91672:{t9jh86=4={<634?51i27?i?4<9c9~w4ee<3:1>v3;01802<=:537:891c52:327p}>cc494?4|5=:;6>88;<6f6?5>02wx=nl8:181827839=963;e380=3=z{8ii47>52z?745<4>=168h<53878yv7dj00;6?u24129735<5=o96>7;;|q2ggg=838p19>?:241?82b:392?6s|1b`a>5<5s4>;<7=91:?7a7<41;1v056=;63b34>n>7=7f:p5fda2909w0:?0;16`>;3m;084h5rs0a`4?6=:r7?<=4<5b9>0`4=;1n0q~?lc083>7}:<9:1?8o4=5g1>6>e3ty:on<50;0x91672:?270:j2;1;e>{t9ji86=4={<634?52027?i?4<889~w4ed<3:1>v3;018012=:5344891c52:2<7p}>cb494?4|5=:;6>;:;<6f6?5?>2wx=nm8:181827839>863;e380<0=z{8ih47>52z?745<4=:168h<53968yv7dk00;6?u24129704<5=o96>6<;|q2gfg=838p19>?:272?82b:393>6s|1baa>5<5s4>;<7=;f:?7a7<4091v056=;=n019k=:25f?xu6kjo1<762d34>n>7=8d:p5fea2909w0:?0;17f>;3m;08;n5rs0ag4?6=:r7?<=4<4`9>0`4=;>h0q~?ld083>7}:<9:1?974=5g1>61f3ty:oi<50;0x91672:>370:j2;14=>{t9jn86=4={<634?53?27?i?4<799~w4ec<3:1>v3;018003=:5367891c52:k=7p}>ce494?4|5=:;6>9;;<6f6?5f=2wx=nj8:18182783952z?745<4?;168h<53`18yv7dl00;6?u24129727<5=o96>o=;|q2gag=838p19>?:253?82b:39j=6s|1bfa>5<5s4>;<7=96:?7a7<41>1v056=;<:019k=:2:2?xu6kmo1<762234>n>7=86:p5fba290>w0:?0;;0?827933870:?2;;0?827;33870ln6;3g=>{t9jo;6=4:{<634??634>;=77>;<636??634>;?77>;<`b2?7c?2wx=nk>:186827833;70:?1;;3?827:33;70:?3;;3?8df>3;o:6s|1bg1>5<2s4>;<76i;<635?>a34>;>76i;<637?>a34hj:7?k5:p5fc4290>w0:?0;:f?827932n70:?2;:f?827;32n70ln6;3g0>{t9jo?6=4:{<634?>c34>;=76k;<636?>c34>;?76k;<`b2?7c;2wx=nk::186827832h70:?1;:`?827:32h70:?3;:`?8df>3;o>6s|1bg5>5<2s4>;<76m;<635?>e34>;>76m;<637?>e34hj:7?k1:p5fc0290>w0:?0;;`?827933h70:?2;;`?827;33h70ln6;035>{t9jo36=4:{<634??e34>;=77m;<636??e34>;?77m;<`b2?4782wx=nk6:186827833j70:?1;;b?827:33j70:?3;;b?8df>3;mj6s|1bgb>5<2s4>;<776;<635??>34>;>776;<637??>34hj:7?ie:p5fce290>w0:?0;;;?827933370:?2;;;?827;33370ln6;3e`>{t9joh6=4:{<634??034>;=778;<636??034>;?778;<`b2?7ak2wx=nkk:186827833=70:?1;;5?827:33=70:?3;;5?8df>3;m>6s|1bgf>5<2s4>;<77:;<635??234>;>77:;<637??234hj:7?j7:p5fca290>w0:?0;;7?827933?70:?2;;7?827;33?70ln6;3gg>{t9jl;6=4:{<634?>f34>;=76n;<636?>f34>;?76n;<`b2?7c82wx=nh>:18182793h870h<9;1bf>{t9jl96=4={<635?d534l897=nb:p5f`42909w0:?1;`2?8`4j39jn6s|1bd7>5<5s4>;=7l?;{t9jl=6=4={<635?gb34l?=7=nb:p5f`02909w0:?1;`e?8`3=39jn6s|1bd;>5<5s4>;=7lj;{t9jlj6=4={<635?dd34l?57=nb:p5f`e2909w0:?1;`a?8`3j39jn6s|1bd`>5<5s4>;=7ln;{t9jln6=4={<635?d?34l>?7=nb:p5f`a2909w0:?1;`4?8`2=39jn6s|1e23>5<5s4>;=7ok;>:181827939=j63;eb80e5=z{8n;>7>52z?744<4>m168hm538g8yv7c8:0;6?u2413973e<5=oh6>7k;|q2`52=838p19>>:24a?82bk392o6s|1e26>5<5s4>;=7=9a:?7af<41k1v057=;?2019kl:2;:?xu6l921<760034>no7=68:p5a6>2909w0:?1;151>;3mj085;5rs0f3e?6=:r7?<<4<659>0`e=;0?0q~?k0c83>7}:<9;1?;=4=5g`>6?33ty:h=m50;0x91662:<970:jc;1:7>{t9m:o6=4={<635?51927?in4<939~w4b7m3:1>v3;008025=:d0294?4|5=::6>;j;<6fg?5?n2wx=i?>:181827939>h63;eb80<`=z{8n:>7>52z?744<4=j168hm539f8yv7c9:0;6?u2413970g<5=oh6>6m;|q2`42=838p19>>:27:?82bk393m6s|1e36>5<5s4>;=7=:8:?7af<4001v6;296~;388089:524da97=>057=;<<019kl:2:4?xu6l821<763234>no7=76:p5a7>2909w0:?1;160>;3mj08485rs0f2e?6=:r7?<<4<529>0`e=;1>0q~?k1c83>7}:<9;1?8<4=5g`>6>43ty:h{t9m;o6=4={<635?53n27?in4<819~w4b6m3:1>v3;00800`=:d3294?4|5=::6>:l;<6fg?50l2wx=i<>:181827939?n63;eb803f=z{8n9>7>52z?744<49n;|q2`72=838p19>>:26;?82bk39<56s|1e06>5<5s4>;=7=;7:?7af<4?11v:4?:3y>057=;>?019kl:2c5?xu6l;21<761334>no7=n5:p5a4>2909w0:?1;147>;3mj08m95rs0f1e?6=:r7?<<4<739>0`e=;h90q~?k2c83>7}:<9;1?:?4=5g`>6g53ty:h?m50;0x91662:=;70:jc;1b5>{t9m8o6=4={<635?51>27?in4<969~w4b5m3:1>v3;00801g=:d2294?4|5=::6>::;<6fg?50>2wx=i=>:181827939:563j058274=z{8n8>7>52z?744<49116i=851238yv7c;:0;6?u24139741<5l:96<=>;|q2`62=838p19>>:235?8c703;8=6s|1e16>5<5s4>;=7=>5:?f4d<6;81v057=;=901h>j:012?xu6l:21<762534o:>7?<1:p5a5>2909w0:?1;175>;b9=0:?<5rs0f0e?6=:r7?<<4<419>a46=9:;0q~?k3c83>7}:<9;1?>h4=d35>4563ty:h>m50;0x91662:9n70k>8;305>{t9m9o6=4={<635?54<27n=l4>309~w4b4m3:1>v3;00806<=:m8i1=>?4}r3g7c<72;q68=?530g89`47289:7p}>d5294?4|5=::6>?<;:18`827:3h=70:?2;`7?827:3h870:?2;`1?827:3h:70:?2;`3?827:3km70:?2;cf?827:3hm70:?2;`f?827:3ho70h:7;1bf>{t9m>96=4={<636?dd34l>57=nb:p5a242909w0:?2;`a?8`2j39jn6s|1e67>5<5s4>;>7ln;{t9m>=6=4={<636?d?34l=?7=nb:p5a202909w0:?2;`4?8`2n39jn6s|1e6;>5<5s4>;>7ok;52z?747<4>m168h?538g8yv7c7k;|q2`1e=838p19>=:24a?82b9392o6s|1e6g>5<5s4>;>7=9a:?7a4<41k1v054=;?2019k>:2;:?xu6l<:1<760034>n=7=68:p5a362909w0:?2;151>;3m8085;5rs0f66?6=:r7?0`7=;0?0q~?k5283>7}:<981?;=4=5g2>6?33ty:h8:50;0x91652:<970:j1;1:7>{t9m?>6=4={<636?51927?i<4<939~w4b2>3:1>v3;038025=:d4:94?4|5=:96>;j;<6f5?5?n2wx=i;6:181827:39>h63;e080<`=z{8n>m7>52z?747<4=j168h?539f8yv7c=k0;6?u2410970g<5=o:6>6m;|q2`0e=838p19>=:27:?82b9393m6s|1e7g>5<5s4>;>7=:8:?7a4<4001v054=;<<019k>:2:4?xu6l?:1<763234>n=7=76:p5a062909w0:?2;160>;3m808485rs0f56?6=:r7?0`7=;1>0q~?k6283>7}:<981?8<4=5g2>6>43ty:h;:50;0x91652:?:70:j1;1;6>{t9m<>6=4={<636?53n27?i<4<819~w4b1>3:1>v3;03800`=:d7:94?4|5=:96>:l;<6f5?50l2wx=i86:181827:39?n63;e0803f=z{8n=m7>52z?747<4k0;6?u2410971?<5=o:6>9n;|q2`3e=838p19>=:26;?82b939<56s|1e4g>5<5s4>;>7=;7:?7a4<4?11v054=;>?019k>:2c5?xu6l>:1<761334>n=7=n5:p5a162909w0:?2;147>;3m808m95rs0f46?6=:r7?0`7=;h90q~?k7283>7}:<981?:?4=5g2>6g53ty:h::50;0x91652:=;70:j1;1b5>{t9m=>6=4={<636?51>27?i<4<969~w4b0>3:1>v3;03801g=:d6:94?4|5=:96>::;<6f5?50>2wx=i96:181827;3h870h97;1bf>{t9m=j6=4={<637?d534l=57=nb:p5a1e2909w0:?3;`2?8`1j39jn6s|1e5`>5<5s4>;?7l?;{t9m=n6=4={<637?gb34l=h7=nb:p5a1a2909w0:?3;`e?8`0;39jn6s|1e:3>5<5s4>;?7lj;:181827;3ho70h87;1bf>{t9m296=4={<637?dd34l<57=nb:p5a>42909w0:?3;`a?8`0l39jn6s|1e:7>5<5s4>;?7ln;{t9m2=6=4={<637?d?34l3?7=nb:p5a>02909w0:?3;`4?8`?=39jn6s|1e:;>5<5s4>;?7ok;52z?746<4>m168hl538g8yv7c0k0;6?u2411973e<5=oi6>7k;|q2`=e=838p19><:24a?82bj392o6s|1e:g>5<5s4>;?7=9a:?7ag<41k1v055=;?2019km:2;:?xu6l0:1<760034>nn7=68:p5a?62909w0:?3;151>;3mk085;5rs0f:6?6=:r7?<>4<659>0`d=;0?0q~?k9283>7}:<991?;=4=5ga>6?33ty:h4:50;0x91642:<970:jb;1:7>{t9m3>6=4={<637?51927?io4<939~w4b>>3:1>v3;028025=:d8:94?4|5=:86>;j;<6ff?5?n2wx=i76:181827;39>h63;ec80<`=z{8n2m7>52z?746<4=j168hl539f8yv7c1k0;6?u2411970g<5=oi6>6m;|q2`<:27:?82bj393m6s|1e;g>5<5s4>;?7=:8:?7ag<4001v055=;<<019km:2:4?xu6lh:1<763234>nn7=76:p5ag62909w0:?3;160>;3mk08485rs0fb6?6=:r7?<>4<529>0`d=;1>0q~?ka283>7}:<991?8<4=5ga>6>43ty:hl:50;0x91642:?:70:jb;1;6>{t9mk>6=4={<637?53n27?io4<819~w4bf>3:1>v3;02800`=:d`:94?4|5=:86>:l;<6ff?50l2wx=io6:181827;39?n63;ec803f=z{8njm7>52z?746<49n;|q2`de=838p19><:26;?82bj39<56s|1ecg>5<5s4>;?7=;7:?7ag<4?11v055=;>?019km:2c5?xu6lk:1<761334>nn7=n5:p5ad62909w0:?3;147>;3mk08m95rs0fa6?6=:r7?<>4<739>0`d=;h90q~?kb283>7}:<991?:?4=5ga>6g53ty:ho:50;0x91642:=;70:jb;1b5>{t9mh>6=4={<637?51>27?io4<969~w4be>3:1>v3;02801g=:dc:94?4|5=:86>::;<6ff?50>2wx=il6:181827;39:563j1d8274=z{8nim7>52z?746<49116i?:51238yv7cjk0;6?u24119741<5l8=6<=>;|q2`ge=838p19><:235?8c503;8=6s|1e`g>5<5s4>;?7=>5:?f6d<6;81v055=;=901h=?:012?xu6lj:1<762534o9o7?<1:p5ae62909w0:?3;175>;b;;0:?<5rs0f`6?6=:r7?<>4<419>a62=9:;0q~?kc283>7}:<991?>h4=d15>4563ty:hn:50;0x91642:9n70k<8;305>{t9mi>6=4={<637?54<27n?n4>309~w4bd>3:1>v3;02806<=:m:o1=>?4}r3gg2<72;q68==530g89`5f289:7p}>db:94?4|5=:86>?<;db`94?0|5=:=6<g1?=:8n01n:k:33g?8cd:3;8=6s|1ea`>5<5s4>;:7=m8:?g63<48:1v77d34ic:?`3g<59j16o:m520a89f1c2;;h70m8e;02g>;d?o09=n52c92964e<5j2:6??l;4=1b9>g=2=:8i01n6::33`?8e?>38:o63l86815f=:k121>77d34i3m7<>c:?`c2;;h70m7e;02g>;d0o09=n52c82964e<5j3:6??l;4=1b9>g<2=:8i01n7::33`?8e>>38:o63l96815f=:k021>77d34i2m7<>c:?`=g<59j16o4m520a89f?c2;;h70m6e;02g>;d1o09=n52c`2964e<5jk:6??l;4=1b9>gd2=:8i01no::33`?8ef>38:o63la6815f=:kh21>77d34ijm7<>c:?`eg<59j16olm520a89fgc2;;h70mne;02g>;dio09=n52cc2964e<5jh:6??l;4=1b9>gg2=:8i01nl::33`?8ee>38:o63lb6815f=:kk21>77d34iim7<>c:?`fg<59j16oom520a89fdc2;;h70mme;02g>;djo09=n52cb2964e<5ji:6??l;4=1b9>gf2=:8i01nm::33`?8ed>38:o63lc6815f=:kj21>77d34ihm7<>c:?`gg<59j16onm520a89fec2;;h70mle;02g>;dko09=n52ce2964e<5jn:6??l;4=1b9>ga2=:8i01nj::33`?8ec>38:o63lf`815f=:l;=1>;3jl08mo524ea956705g=;hh019ll:320?8b5l38:n63k338274=z{8no<7>53z?74g<6;8168=m512389f202::87p}>de394?4|5=:i6>om;53z?74a<4ik16o9;520d89f2?2;8;7p}>de694?4|5=:n6<=>;77b34n:=7<>e:?g25<6;81v046=;hh01n:::33f?8e3?38:i63l49815c=:k=n1>77c34n;h7<>c:?g4c<59m16hde:94?4|5=;:6<=>;{t9mnj6=4={<626?74927h894<029~w4bcj3:1>v3;1380eg=:<8k1m55rs0fgg?6=>=q68<=512389f372;;n70m:1;02`>;d?009=i52c6c964b<5j=i6??k;g2c=:8n01n9i:33g?8e?838:h63l80815a=:k181>77c34i387<>d:?`<0<59m16o58520f89f>02;;o70m78;02`>;d0009=i52c9c964b<5j2i6??k;g=c=:8n01n6i:33g?8e>838:h63l90815a=:k081>77c34i287<>d:?`=0<59m16o48520f89f?02;;o70m68;02`>;d1009=i52c8c964b<5j3i6??k;g77c34ij87<>d:?`e0<59m16ol8520f89fg02;;o70mn8;02`>;di009=i52c`c964b<5jki6??k;gdc=:8n01noi:33g?8ee838:h63lb0815a=:kk81>77c34ii87<>d:?`f0<59m16oo8520f89fd02;;o70mm8;02`>;dj009=i52ccc964b<5jhi6??k;ggc=:8n01nli:33g?8ed838:h63lc0815a=:kj81>77c34ih87<>d:?`g0<59m16on8520f89fe02;;o70ml8;02`>;dk009=i52cbc964b<5jii6??k;gfc=:8n01nmi:33g?8ec838:h63ld0815a=:km81>77c34io87<>d:?``0<59m16oi8520f89fb02;;h70mk8;02a>;a0>08mo5rs0fg`?6=;r7?=>4041=;hn01n:i:220?xu6lmo1<7:t=537>45634i<;7<>d:?g72<4ik16in:51238yv7clo0;6?u240697dd<5=k<6<=>;|q2``6=839p19?::012?826039jn63k378274=z{8nn=7>53z?750<4ik16o9:520g89f2d2;;o7p}>dd094?5|5=;=6<=>;v3;1780eg=:<8k1ml5rs0ff0?6=:r7?=:4>309>g07=;990q~?ke483>3}:<821=>?4=53:>6ge34i?97<>c:?`02<59o16o96520f89f2c2;;n7p}>dd494?4|5=;26<=>;;c8l09>=5rs0ff{t9mo26=4:{<62e?g734>hh7ddc94?5|5=;j6309~w4bbj3:1?v3;1`82b<=:l?<1=>?4=d41>4563ty:hhm50;1x917f28l370j92;305>;b>?0:?<5rs0ff`?6=;r7?=l4>f69>`3>=9:;01h87:012?xu6llo1<7=t=53b>4`134n=m7?<1:?f2d<6;81v;|q2`c6=839p19?n:0d7?8b1m3;8=63j718274=z{8nm=7>53z?75d<6n:16h:<512389`15289:7p}>dg094?5|5=;j6;309~w4ba;3:1?v3;1`82b5=:l>:1=>?4=d57>4563ty:hk:50;1x917f28om70j86;305>;b??0:?<5rs0fe1?6=;r7?=l4>ed9>`2>=9:;01h97:012?xu6lo<1<7=t=53b>4cc34n;|q2`c>=839p19?n:0ga?8b?83;8=63j818274=z{8nm57>53z?75d<6mh16h5<512389`1d289:7p}>dgc94?5|5=;j6309~w4baj3:1?v3;1`82a==:l1>1=>?4=d:7>4563ty:hkm50;1x917f28o=70j76;305>;b0?0:?<5rs0fe`?6=;r7?=l4>e49>`=>=9:;01h67:012?xu6loo1<7=t=53b>4c334n3m7?<1:?f52d9g9567<5l2n6<=>;|q2a56=839p19?n:0g1?8b>83;8=63j8`8274=z{8o;=7>53z?75d<6m816h5m512389`?7289:7p}>e1094?5|5=;j6309~w4c7;3:1?v3;1`82`c=:l0>1=>?4=d;7>4563ty:i=:50;1x917f28nn70j66;305>;b1?0:?<5rs0g31?6=;r7?=l4>de9>`<>=9:;01h7n:012?xu6m9<1<7=t=53b>4be34n2o7?<1:?f=f<6;81v;|q2a5>=839p19?n:0f:?8b>i3;8=63j9d8274=z{8o;57>53z?75d<6l>16hl>512389`g7289:7p}>e1c94?5|5=;j6309~w4c7j3:1?v3;1`82`0=:lh>1=>?4=dc7>4563ty:i=m50;1x917f28n?70jn6;305>;bi10:?<5rs0g3`?6=;r7?=l4>d29>`dg=9:;01hon:012?xu6m9o1<7=t=53b>4b534njo7?<1:?fe3<6;81v;|q2a46=839p19?n:322?8bfm3;8=63jad8274=z{8o:=7>53z?75d<58916ho>512389`d7289:7p}>e0094?5|5=;j6309~w4c6;3:1?v3;1`82b`=:lk>1=>?4=d`5>4563ty:i<:50;1x917f28lo70jm8;305>;bj10:?<5rs0g21?6=;r7?=l4>fb9>`gg=9:;01hl;:012?xu6m8<1<7=t=53b>4`534ni:7?<1:?ffd<6;81v7;297~;39h0:i:52dca9567<5lhh6<=>;|q2a4>=839p19?n:0f`?8bem3;8=63jbd8274=z{8o:57>53z?75d<6l916hn>512389`e7289:7p}>e0c94?4|5==o6<=>;<6;5?57;2wx=h?m:180820l39jn63;c08146=:l891>72;8?70:71;31f>{t9l;o6=4;{<64a?5fj27?;k4>2c9>076434>2:7?<1:?7f1<6;8168n8513`891e02:ki7p}>e0d94?4|5==m6>l7;54z?7<5<58:168o65211891d>2;:870:l8;1bf>{t9l896=4={<6;4?5e027h9:4<029~w4c5;3:19v3;838146=:k6ge34n947<>b:?g75<59k1v6>><;|q2a73=838p196<:220?8eb83;8=6s|1d05>5<5s4>387?=b:?7<2<48:1v5248597dd<5j??6??i;`5g=:8n01i>m:33g?xu6m;21<76d?34i>n7=?3:p5`4>2908w0:74;137>;30<0:>o52494957dl4?:3y>0=0=;9901978:012?xu6m;h1<76d?34i>47=?3:p5`4d2909w0:78;31f>;31908<>5rs0g1`?6=:r7?454g0?=;990q~?j2d83>6}:<121?==4=5::>44e34>3m7?=b:p5`4a2909w0:7a;137>;3110:?<5rs0g04?6=:r7?4o4>2c9>0=e=;990q~?j3083>=}:<1h1>==4=5;:>6ge34i>87<>e:?`1g<59m16o8j520g89f3b2;;n70j?a;02b>;c8k09=k5rs0g06?6=;r7?4o4<029>0=b=9;h0196j:00a?xu6m:91<76d?34n947=?3:p5`532909w0:7e;137>;3100:?<5rs0g01?6=:r7?4k4`66=;990q~?j3783>6}:<1l1?==4=5;3>44e34>2=7?=b:p5`502909w0:61;137>;31h0:?<5rs0g0309>0d2=;hh0q~?j3883>7}:<081?ll4=cc5>6e?3ty:i>o50;0x91?4289:70:n6;1bf>{t9l9i6=4<{<6:7?5fj27?jk4>309><3g=;j20q~?j3b83>7}:<0>1=>?4=b70>6643ty:i>j50;;x91?32:ki70:6b;305>;d=:09=n52c44964c<5j?<6??k;`41=:;:01i?7:33`?xu6m:o1<745634i>87=?3:p5`5a290;d=:09=i52c46964e<5j?=6??l;`40=:8n0q~?j4183>7}:<0<1?ll4=cc5>d606ge34>i;7w0:6d;305>;31o08mo52c71964b<5j<36??k;l39jn63l65815a=:k?31>;c9:09=h52d05964b0:33`?xu6m==1<7lt=5;e>45634>j;7=nb:?`25<59m16o;?520f89f052;;o70m93;02g>;d>=09=n52c77964b<5j<<6??k;v3;a18274=:l8=1?==4}r3f0<<72:q68l>53``89f022;;h70m96;02`>{t9l>j6=4={<6b5?74927h9n4<029~w4c3j3:14v3;a080eg=:k?81>77b34i=:7<>c:?`22<59j16o;6520a89f0>2;;h70j=b;02f>{t9l>h6=4={<6b6?74927o>44<029~w4c3l3:1>v3;a380eg=:==4}r3f0`<72;q68l=512389a722::87p}>e5d94?4|5=k?6<=>;=7>54z?7e0<4ik16o;>520g89f012;;n70j>7;02g>{t9l?96=4={<6b2?74927h9l4<029~w4c2;3:1>v3;a980eg=:?4}r3f11<721q68l753``89f302;;h70m:c;02`>;d=o09=n52d02964b<5m;>6??i;44e3ty:i8850;1x91gf2;8870:m0;1a<>;d=m08<>5rs0g63?6=:r7?ml4<029>g`5=9:;0q~?j5983>6}:44e34>jj7<=4:p5`3>2908w0:nb;017>;3il08n552d1`97550dd=;9901nk>:012?xu6m44e34>jj7?=b:?7f5<5:=1v>524`d97g><5m:j6>><;|q2a0b=838p19ol:220?8eb:3;8=6s|1d7f>5<5s4>jh7=m8:?`1`<48:1v52c4:964b<5j?26??l;77c34in>7=nb:?g4d<59j1v52c4:964c<5j?26??j;46ge3ty:i;=50;7x91d62;:870:i5;305>;?>h0j<63le580eg=:koh1>{t9l<>6=4={<6a6?57;27hi94>309~w4c1>3:1?v3;b580eg=:?4=94b>d40g3=9:;01n;i:220?xu6m?21<76ge34in87=nd:p5`0>2908w0:m6;31f>;3j109>9524cg95670g0=;99019li:012?xu6m?h1<744e34>i57=?3:p5`0d2909w0:m7;1a<>;d>808<>5rs0g5`?6=:r7?n:4<029>0g>=9;h0q~?j6d83>7}:6643ty:i;h50;0x91d>288i70:ma;137>{t9l=;6=4={<6a=?5e027h:?4<029~w4c093:1>v3;b`826g=:e6194?4|5=hj6>l7;52z?7fg<4j116o;=53118yv7b??0;6?u24ca957d<5=ho6>><;|q2a21=838p19ll:2`;?8e1<39;?6s|1d5;>5<5s4>ih70g`=;hh019m;:320?8b6:38:j6s|1d5a>5<4s4>h<7?=b:?7g4<5:=168n951238yv7b?j0;6?u24b29755<5=i36<=>;|q2a2b=838p19m>:00a?82d:39;?6s|1d5f>5<5s4>h=7=m8:?`23<48:1vo524b197550f4=;k201n8::220?xu6m1;1<744e34>h87=?3:p5`>5290?w0:l3;037>;c8008mo52d01964e<5m8m6??m;|q2a=5=838p19m<:2`;?8e1?39;?6s|1d:7>5<5s4>h87?=b:?7g0<48:1v0f3=9;h019m9:220?xu6m1=1<776434n9h7=?3:p5`>?2909w0:l5;1a<>;d>008<>5rs0g;=?6=`5>=;hh01i?=:33`?8b5m38:n6s|1d:b>5<5s4>h:7=m8:?g6g<48:1vo524b`9755<5=io6<52z?7g<<48:16oh951238yv7b0l0;6>u24bc957d<5=ih6<e8394?4|5=ii6>l7;289:7p}>e8694?5|5=im6<=3:1?v3;cg8166=:6643ty:i4850;0x91ea2::870mj8;305>{t9l3<6=4;{<6g4?47;27h894=1b9>g3b=:8i01nk7:2ca?xu6m021<7;t=5f2>76434i?87<>d:?`0f<59j16oh753``89f`d2;;h7p}>e8;94?>|5=n96?><;<72b?749273<<46e:?;02<>m27i?i46e:?a26<>m27h:k4=1b9>g`g=;hh0q~?j9`83>6}:74434i=j7=?3:p5`?e2909w0:k3;137>;dmh0:?<5rs0g:g?6=:r7?h94g26=;990q~?j9e83>6}:1?==4=5f6>44e34>o:7?=b:p5`?b2903w0:k5;037>;29j0:?<527eg9=`=:0;>15h52b3c9=`=:j<:15h52c7g964c<5joi6>om;|q2a<`=839p19j::2`;?82c>389?63l6d8046=z{8oj<7>52z?7`3<48:16ohl51238yv7bi80;6?u24e59567<5j=:6>><;|q2ad4=838p19j8:2ca?8b2m3;8=6s|1dc0>5<4s4>o47?=b:?7`<<6:k168io53``8yv7bi=0;68u24e:9655<5<8:6<=>;<:5e?g134inh7=nb:?`ba<59j1v><;|q2ad0=838p19j6:220?8ebl3;8=6s|1dc4>5<2s4>om7?<1:?`2`<59j16o;h520f89f172;;h70j91;1bf>{t9lk36=4;{<6gf?74927hil4g`d=;hn01i;i:2ca?xu6mh31<76ge34inh7=nd:p5`gf2908w0:kc;1bf>;2:90:?<5287c9e==z{8ojn7>5cz?7`a27?hi4m4:?7`a689~w4cfk3:1>v3;de8ag>;e;m0:::5rs0gb`?6=:r7?hi4mb:?a7a<6>?1v52z?7`a659~w4ce83:1>v3;de8a<>;e;m0::>5rs0ga5?6=:r7?hi4m7:?a7a<6>;1v5dz?7`a<6;j168ij512c891bc289270:kd;30<>;3lm0:?:524ef9560<5=no6<=:;<6g`?74<27?hi4>449>0ab=9=>019jk:060?8gcm3;>;63m2`822<=z{8oi87>53z?7`a<6<;16mik514789g4f28<<7p}>ec794?5|5=no6<:>;l4>679~w4ce>3:1?v3;de8205=:imo1=8=4=c0b>4023ty:io950;1x91bc289m70oke;366>;e:h0::95rs0ga3d9>eac=9<;01o45c34koi7?:0:?a6d<6>;1v52aeg951><5k8j6<;n;|q2agd=838p19jk:2c3?82b;39=j6s|1d``>5<5s4>oh7=6e:?7a6<4>m1v0ab=;0i019k<:24a?xu6mkl1<76?e34>n?7=9a:p5`e72909w0:kd;1:e>;3m:08:45rs0g`5?6=:r7?hi4<989>0`5=;?20q~?jc383>7}:6003ty:in=50;0x91bc2:3=70:j3;151>{t9li?6=4={<6g`?5>=27?i>4<659~w4cd=3:1>v3;de80=1=:eb594?4|5=no6>7=;<6f7?5192wx=hm7:18182cl392=63;e28025=z{8oh57>52z?7`a<419168h=534d8yv7bkh0;6?u24ef97=`<5=o86>;j;|q2afd=838p19jk:2:f?82b;39>h6s|1da`>5<5s4>oh7=7d:?7a6<4=j1v0ab=;1k019k<:27:?xu6mjl1<76>>34>n?7=:8:p5`b72909w0:kd;1;<>;3m:089:5rs0gg5?6=:r7?hi4<869>0`5=;<<0q~?jd383>7}:6323ty:ii=50;0x91bc2:2>70:j3;160>{t9ln?6=4={<6g`?5?<27?i>4<529~w4cc=3:1>v3;de80<6=:ee594?4|5=no6>6?;<6f7?53n2wx=hj7:18182cl3952z?7`a<4?l168h=535f8yv7blh0;6?u24ef972b<5=o86>:l;|q2aad=838p19jk:25`?82b;39?n6s|1df`>5<5s4>oh7=8b:?7a6<40ab=;>3019k<:26;?xu6mml1<761?34>n?7=;7:p5`c72909w0:kd;143>;3m:088;5rs0gf5?6=:r7?hi40`5=;>?0q~?je383>7}:6133ty:ih=50;0x91bc2:k?70:j3;147>{t9lo?6=4={<6g`?5f;27?i>4<739~w4cb=3:1>v3;de80e7=:ed594?4|5=no6>78;<6f7?51>2wx=hk7:18182cl393o63;e2801g=z{8on57>52z?7`a<408168h=53428yv7bmh0;6?u24ef9720<5=o86>::;|q2a`d=83?p19jk:81891bb209019ji:81891c72090158n:0f:?xu6mli1<7;t=5fg><7<5=nn64?4=5fe><7<5=o;64?4=94b>4b03ty:ihj50;7x91bc20:019jj:82891ba20:019k?:8289=0f28n=7p}>edg94?3|5=no65h4=5ff>=`<5=nm65h4=5g3>=`<51=b<5=nn65j4=5fe>=b<5=o;65j4=94b>4b43ty:ik?50;7x91bc21i019jj:9a891ba21i019k?:9a89=0f28n97p}>eg094?3|5=no65l4=5ff>=d<5=nm65l4=5g3>=d<51;|q2ac5=83?p19jk:8a891bb20i019ji:8a891c720i0158n:322?xu6mo>1<7;t=5fg>7673ty:ik;50;7x91bc20k019jj:8c891ba20k019k?:8c89=0f28lm7p}>eg494?3|5=no6474=5ff><1<5=nn6494=5fe><1<5=o;6494=94b>4`d3ty:ik750;7x91bc20<019jj:84891ba20<019k?:8489=0f28l97p}>egc94?3|5=no64;4=5ff><3<5=nm64;4=5g3><3<51019ji:86891c720>0158n:0f`?xu6moi1<7;t=5fg>=g<5=nn65o4=5fe>=g<5=o;65o4=94b>4b73ty:ikj50;ax91bb2k<019jj:c6891bb2k9019jj:c0891bb2k;019jj:c2891bb2hl019jj:`g891bb2kl019jj:cg891bb2kn01o8<:04:?xu6moo1<7ge<5k<86<88;|q2ac`=838p19jj:c`89g0428<=7p}>f1294?4|5=nn6oo4=c40>4023ty:j=?50;0x91bb2k301o8<:047?xu6n981<7g><5k<86<8<;|q2b55=838p19jj:c589g0428<97p}>f1694?4|5=nn6lj4=c40>43f3ty:j=;50;fx91bb289h70:ke;30e>;3ll0:?4524eg956><5=nn6<=8;<6ga?74>27?hh4>349>0ac=9:>019jj:066?82cm3;?863;dd8206=:j9>1=894=c73>40>3ty:j=850;1x91bb28>970l?4;361>;e=90:::5rs0d33?6=;r7?hh4>409>f52=9<>01o;?:045?xu6n921<7=t=5ff>42734h;87?:3:?a15<6><1v=63m518226=z{8l;n7>53z?7``<6;m16n=:514289g3728<97p}>f1a94?5|5=nn6<=<;<`30?73027i9=4>5`9~w4`7l3:1>v3;dd80e5=:f1d94?4|5=nn6>7k;<6f3?51k2wx=k??:18182cm392o63;e6802g=z{8l:=7>52z?7``<41k168h9537c8yv7a9;0;6?u24eg9786;|q2b45=838p19jj:2;:?82b?39=46s|1g37>5<5s4>oi7=68:?7a2<4>>1v5;296~;3ll085;524d597330ac=;0?019k8:247?xu6n8=1<76?334>n;7=93:p5c7?2909w0:ke;1:7>;3m>08:?5rs0d2=?6=:r7?hh4<939>0`1=;?;0q~?i1`83>7}:6073ty:j{t9o;h6=4={<6ga?5?n27?i:4<5d9~w4`6l3:1>v3;dd80<`=:f0d94?4|5=nn6>6m;<6f3?52i2wx=k52z?7``<400168h9534:8yv7a:;0;6?u24eg97=><5=o<6>;8;|q2b75=838p19jj:2:4?82b?39>:6s|1g07>5<5s4>oi7=76:?7a2<4=<1v;4?:3y>0ac=;1>019k8:270?xu6n;=1<76>434>n;7=:2:p5c4?2909w0:ke;1;6>;3m>089<5rs0d1=?6=:r7?hh4<819>0`1=;=l0q~?i2`83>7}:62b3ty:j?l50;0x91bb2:=n70:j7;17`>{t9o8h6=4={<6ga?50l27?i:4<4b9~w4`5l3:1>v3;dd803f=:j7p}>f3d94?4|5=nn6>9n;<6f3?5312wx=k=?:18182cm39<563;e6800==z{8l8=7>52z?7``<4?1168h953558yv7a;;0;6?u24eg9721<5=o<6>:9;|q2b65=838p19jj:2c5?82b?39<96s|1g17>5<5s4>oi7=n5:?7a2<4?=1v0ac=;h9019k8:251?xu6n:=1<76g534>n;7=81:p5c5?2909w0:ke;1b5>;3m>08;=5rs0d0=?6=:r7?hh4<969>0`1=;?<0q~?i3`83>7}:63e3ty:j>l50;0x91bb2:2:70:j7;164>{t9o9h6=4={<6ga?50>27?i:4<449~w4`4l3:1>v3;dg8a7>;?880::<5rs0d0a?6=:r7?hk4m2:?;44<6=o1v52z?7`c5e9~w4`393:1>v3;dg8bb>;?880:9n5rs0d76?6=:r7?hk4ne:?;44<6=k1v52z?7`c6`9~w4`3=3:1>v3;dg8a`>;?880::45rs0d72?6=:r7?hk4mc:?;44<6>>1v52z?7`c649~w4`313:1>v3;dg8a=>;?880::95rs0d7e?6=:r7?hk4m8:?;44<6>:1v52z?7`c5`9~w4`3l3:1?v3;dg827<=:?<81=9h4=6ff>4063ty:j9k50;1x91ba2893709:2;37`>;0ll0:9k5rs0d7b?6=;r7?hk4>369>304=9=i01:jj:07f?xu6n<:1<7=t=5fe>45134=>>7?;b:?4``<6=m1vnn6<;l;|q2b04=839p19ji:017?812:3;?5638dd821g=z{8l>?7>53z?7`c<6<<16;8<514;892bb28f4694?5|5=nm6<:;;<566?720276`9~w4`2=3:1?v3;dg8206=:?<81=894=6ff>40>3ty:j8850;1x91ba28>9709:2;361>;0ll0:::5rs0d63?6=;r7?hk4>409>304=9<>01:jj:045?xu6n<21<7=t=5fe>42734=>>7?:3:?4``<6><1vnn6<8;;|q2b0g=839p19ji:01f?812:3;>=638dd8226=z{8l>n7>53z?7`c<6;m16;8<5142892bb28<97p}>f4a94?5|5=nm6<=<;<566?730275`9~w4`2l3:1>v3;dg80e5=:f4d94?4|5=nm6>7k;<6f`?51k2wx=k8?:18182cn392o63;ee802g=z{8l==7>52z?7`c<41k168hj537c8yv7a>;0;6?u24ed9786;|q2b35=838p19ji:2;:?82bl39=46s|1g47>5<5s4>oj7=68:?7aa<4>>1v0a`=;0?019kk:247?xu6n?=1<76?334>nh7=93:p5c0?2909w0:kf;1:7>;3mm08:?5rs0d5=?6=:r7?hk4<939>0`b=;?;0q~?i6`83>7}:6073ty:j;l50;0x91ba2:3;70:jd;16b>{t9ov3;dg80<`=:f7d94?4|5=nm6>6m;<6f`?52i2wx=k9?:18182cn393m63;ee801<=z{8l<=7>52z?7`c<400168hj534:8yv7a?;0;6?u24ed97=><5=oo6>;8;|q2b25=838p19ji:2:4?82bl39>:6s|1g57>5<5s4>oj7=76:?7aa<4=<1v0a`=;1>019kk:270?xu6n>=1<76>434>nh7=:2:p5c1?2909w0:kf;1;6>;3mm089<5rs0d4=?6=:r7?hk4<819>0`b=;=l0q~?i7`83>7}:62b3ty:j:l50;0x91ba2:=n70:jd;17`>{t9o=h6=4={<6gb?50l27?ii4<4b9~w4`0l3:1>v3;dg803f=:j7p}>f6d94?4|5=nm6>9n;<6f`?5312wx=k6?:18182cn39<563;ee800==z{8l3=7>52z?7`c<4?1168hj53558yv7a0;0;6?u24ed9721<5=oo6>:9;|q2b=5=838p19ji:2c5?82bl39<96s|1g:7>5<5s4>oj7=n5:?7aa<4?=1v0a`=;h9019kk:251?xu6n1=1<76g534>nh7=81:p5c>?2909w0:kf;1b5>;3mm08;=5rs0d;=?6=:r7?hk4<969>0`b=;?<0q~?i8`83>7}:63e3ty:j5l50;0x91ba2:2:70:jd;164>{t9o2h6=4={<6gb?50>27?ii4<449~w4`?l3:1>v3;e18a7>;?<>0::<5rs0d;a?6=:r7?i=4m2:?;02<6=o1v52z?7a55e9~w4`>93:1>v3;e18bb>;?<>0:9n5rs0d:6?6=:r7?i=4ne:?;02<6=k1v52z?7a56`9~w4`>=3:1>v3;e18a`>;?<>0::45rs0d:2?6=:r7?i=4mc:?;02<6>>1v52z?7a5649~w4`>13:1>v3;e18a=>;?<>0::95rs0d:e?6=:r7?i=4m8:?;02<6>:1v52z?7a55`9~w4`>l3:1?v3;e1827<=:?021=9h4=907>4063ty:j4k50;1x91c7289370968;37`>;?:=0:9k5rs0d:b?6=;r7?i=4>369>3<>=9=i015<;:07f?xu6nh:1<7=t=5g3>45134=247?;b:?;61<6=m1v03;?563725821g=z{8lj?7>53z?7a5<6<<16;46514;89=4328f`694?5|5=o;6<:;;<5:94>6`9~w4`f=3:1?v3;e18206=:?021=894=907>40>3ty:jl850;1x91c728>970968;361>;?:=0:::5rs0db3?6=;r7?i=4>409>3<>=9<>015<;:045?xu6nh21<7=t=5g3>42734=247?:3:?;61<6><1v03;>=637258226=z{8ljn7>53z?7a5<6;m16;46514289=4328<97p}>f`a94?5|5=o;6<=<;<5:94>5`9~w4`fl3:1>v3;e180e5=:538g891`62:f`d94?4|5=o;6>7k;<6e5?51k2wx=kl?:18182b8392o63;f0802g=z{8li=7>52z?7a5<41k168k?537c8yv7aj;0;6?u24d29786;|q2bg5=838p19k?:2;:?82a939=46s|1g`7>5<5s4>n<7=68:?7b4<4>>1v0`6=;0?019h>:247?xu6nk=1<76?334>m=7=93:p5cd?2909w0:j0;1:7>;3n808:?5rs0da=?6=:r7?i=4<939>0c7=;?;0q~?ib`83>7}:6073ty:jol50;0x91c72:3;70:i1;16b>{t9ohh6=4={<6f4?5?n27?j<4<5d9~w4`el3:1>v3;e180<`=:539f891`62:?h7p}>fcd94?4|5=o;6>6m;<6e5?52i2wx=km?:18182b8393m63;f0801<=z{8lh=7>52z?7a5<400168k?534:8yv7ak;0;6?u24d297=><5=l:6>;8;|q2bf5=838p19k?:2:4?82a939>:6s|1ga7>5<5s4>n<7=76:?7b4<4=<1v0`6=;1>019h>:270?xu6nj=1<76>434>m=7=:2:p5ce?2909w0:j0;1;6>;3n8089<5rs0d`=?6=:r7?i=4<819>0c7=;=l0q~?ic`83>7}:62b3ty:jnl50;0x91c72:=n70:i1;17`>{t9oih6=4={<6f4?50l27?j<4<4b9~w4`dl3:1>v3;e1803f=:536`891`62:>j7p}>fbd94?4|5=o;6>9n;<6e5?5312wx=kj?:18182b839<563;f0800==z{8lo=7>52z?7a5<4?1168k?53558yv7al;0;6?u24d29721<5=l:6>:9;|q2ba5=838p19k?:2c5?82a939<96s|1gf7>5<5s4>n<7=n5:?7b4<4?=1v0`6=;h9019h>:251?xu6nm=1<76g534>m=7=81:p5cb?2909w0:j0;1b5>;3n808;=5rs0dg=?6=:r7?i=4<969>0c7=;?<0q~?id`83>7}:63e3ty:jil50;0x91c72:2:70:i1;164>{t9onh6=4={<6f4?50>27?j<4<449~w4`cl3:1ov3;e08a2>;3m80i863;e08a7>;3m80i>63;e08a5>;3m80i<63;e08bb>;3m80ji63;e08ab>;3m80ii63;e08a`>;>k80::45rs0dga?6=:r7?i<4mc:?:g4<6>>1v52z?7a4649~w4`b93:1>v3;e08a=>;>k80::95rs0df6?6=:r7?i<4m8:?:g4<6>:1v52z?7a45`9~w4`b=3:1>v3;e0802c=:4}r3ea3<72;q68h?537f891c12:3n7p}>fd594?4|5=o:6>8l;<6f2?5>l2wx=kk7:18182b939=n63;e780=f=z{8ln57>52z?7a4<4>h168h8538`8yv7amh0;6?u24d3973?<5=o=6>7n;|q2b`d=838p19k>:24;?82b>39256s|1gg`>5<5s4>n=7=97:?7a3<4111v0`7=;?>019k9:2;6?xu6nll1<760434>n:7=64:p5c`72909w0:j1;156>;3m?085>5rs0de5?6=:r7?i<4<609>0`0=;080q~?if383>7}:4=5g5>6?63ty:jk=50;0x91c62:?m70:j6;1:4>{t9ol?6=4={<6f5?52m27?i;4<8g9~w4`a=3:1>v3;e0801a=:fg594?4|5=o:6>;n;<6f2?5?j2wx=kh7:18182b939>563;e78052z?7a4<4=1168h8539;8yv7anh0;6?u24d39701<5=o=6>67;|q2bcd=838p19k>:275?82b>393;6s|1gd`>5<5s4>n=7=:5:?7a3<40?1v0`7=;<9019k9:2:7?xu6nol1<763534>n:7=73:p65672909w0:j1;165>;3m?084?5rs3235?6=:r7?i<4<4g9>0`0=;1:0q~7}:61a3ty9<==50;0x91c62:>o70:j6;14a>{t:9:?6=4={<6f5?53k27?i;4<7e9~w767=3:1>v3;e0800g=::6;<6f2?50i2wx>=>7:18182b939?463;e7803<=z{;:;57>52z?7a4<4<>168h8536:8yv478h0;6?u24d39710<5=o=6>98;|q145d=838p19k>:256?82b>39j:6s|212`>5<5s4>n=7=84:?7a3<4i<1v?>?d;296~;3m808;>524d497d20`7=;>8019k9:2c0?xu589l1<761634>n:7=n2:p65772909w0:j1;144>;3m?08m<5rs3225?6=:r7?i<4<679>0`0=;0=0q~7}:6>d3ty9<<=50;0x91c62:?;70:j6;1;5>{t:9;?6=4={<6f5?53=27?i;4<779~w766=3:1hv3;e0827f=:o4=5g2>45>34>n=7?<8:?7a4<6;>168h?5124891c6289>70:j1;300>;3m80:88524d39512<5=o:6<:<;<;ba?71127i4h4>309~w766>3:1?v3;e08207=:1ho1=;94=c:e>4563ty9<<950;1x91c628>:707ne;352>;e190:?<5rs322419>=dc=9??01o7>:012?xu58831<7=t=5g2>45a343ji7?94:?a=7<6;81v?>>a;297~;3m80:?h529`g9535<5k386<=>;|q144d=839p19k>:01g?8?fm3;=>63m958274=z{;::o7>53z?7a4<6;:165lk514c89g?2289:7p}=00f94?3|5=o:64=4=5g1><5<5=oi64=4=5g`><5<502<6:83891c520;019km:83891cd20;01468:0f4?xu588l1<7;t=5g2><6<5=o964>4=5ga><6<5=oh64>4=8:4>4b13ty950;7x91c621l019k=:9d891ce21l019kl:9d89<>028n>7p}=03394?3|5=o:65k4=5g1>=c<5=oi65k4=5g`>=c<502<6:9f891c521n019km:9f891cd21n01468:0f0?xu58;91<7;t=5g2>=e<5=o965m4=5ga>=e<5=oh65m4=8:4>4b53ty9028n:7p}=03794?3|5=o:64m4=5g1>>;|q1470=83?p19k>:8`891c520h019km:8`891cd20h01468:323?xu58;=1<7;t=5g2>4`a3ty9028ln7p}=03;94?3|5=o:6464=5g1><><5=oi6464=5g`><><502<6:85891c520=019km:85891cd20=01468:0d`?xu58;h1<7;t=5g2><0<5=o96484=5ga><0<5=oh6484=8:4>4`53ty9028o<7p}=03f94?3|5=o:64:4=5g1><2<5=oi64:4=5g`><2<502<6:9c891c521k019km:9c891cd21k01468:0f3?xu58;l1<7mt=5g1>g0<5=o96o:4=5g1>g5<5=o96o<4=5g1>g7<5=o96o>4=5g1>d`<5=o96lk4=5g1>g`<5=o96ok4=5g1>gb<50o<6<86;|q1466=838p19k=:ca894013ty9<><50;0x91c52kk014k8:046?xu58:91<7g?<50o<6<8;;|q1462=838p19k=:c:894053ty9<>850;0x91c52hn014k8:07b?xu58:=1<760a34>nm7=n0:p655?2909w0:j2;15`>;3mh085h5rs320=?6=:r7?i?4<6b9>0`g=;0n0q~7}:6?d3ty9<>l50;0x91c52:{t:99h6=4={<6f6?51127?il4<9`9~w764l3:1>v3;e3802==:8:;<6fe?5>>2wx>=:?:18182b:39=863;e`80=0=z{;:?=7>52z?7a7<4>:168ho53868yv47<;0;6?u24d09734<5=oj6>7<;|q1415=838p19k=:242?82bi392>6s|2167>5<5s4>n>7=90:?7ad<4181v?>;5;296~;3m;089k524dc97<60`4=;63c34>nm7=7e:p652?2909w0:j2;16g>;3mh084i5rs327=?6=:r7?i?4<5`9>0`g=;1h0q~7}:6>f3ty9<9l50;0x91c52:?370:ja;1;=>{t:9>h6=4={<6f6?52?27?il4<899~w763l3:1>v3;e38013=:;;;<6fe?5?=2wx>=;?:18182b:39>?63;e`80<1=z{;:>=7>52z?7a7<4=;168ho53918yv47=;0;6?u24d09707<5=oj6>6=;|q1405=838p19k=:26e?82bi393<6s|2177>5<5s4>n>7=;e:?7ad<4?o1v?>:5;296~;3m;088i524dc972c0`4=;=i019kn:25g?xu58<=1<762e34>nm7=8c:p653?2909w0:j2;17e>;3mh08;o5rs326=?6=:r7?i?4<489>0`g=;>k0q~7}:61>3ty9<8l50;0x91c52:><70:ja;14<>{t:9?h6=4={<6f6?53>27?il4<769~w762l3:1>v3;e38030=:7p}=04d94?4|5=o96>9<;<6fe?5f<2wx>=8?:18182b:39<>63;e`80e6=z{;:==7>52z?7a7<4?8168ho53`08yv47>;0;6?u24d09726<5=oj6>o>;|q1435=838p19k=:245?82bi392;6s|2147>5<5s4>n>7=:b:?7ad<40j1v?>95;296~;3m;089=524dc97=70`4=;=?019kn:255?xu58?=1<7jt=5g1>45d34>n>7?;3m;0:?8524d09562<5=o96<::;<6f6?73<27?i?4>429>=a2=9?301o99:012?xu58?21<7=t=5g1>425343o87?97:?a32<6;81v?>99;297~;3m;0:8<529e69530<5k=36<=>;|q143g=839p19k=:063?8?c<3;=963m788274=z{;:=n7>53z?7a7<6;o165i:517689g1f289:7p}=07a94?5|5=o96<=j;<;g0?71;27i;o4>309~w761l3:1?v3;e3827a=:1m>1=;<4=c5`>4563ty9<;k50;1x91c52898707k4;36e>;e?m0:?<5rs325b?6=kr7?i>4m6:?7a64m3:?7a64m1:?7a64nf:?7a64mf:?7a64md:?bb4<6>01v?>80;296~;3m:0io63nf08222=z{;:<=7>52z?7a6679~w760:3:1>v3;e28ae>;fn80::85rs3247?6=:r7?i>4m9:?bb4<6>=1v?>84;296~;3m:0i463nf08226=z{;:<97>52z?7a6639~w760>3:1>v3;e28b`>;fn80:9l5rs3243?6=lr7?i>4>3b9>0`5=9:k019k<:01:?82b;3;8463;e28272=:84=5g0>45234>n?7?<4:?7a6<6<<168h=5156891c428>870o62;363>;fll0::45rs3244>439>e<4=931<7=t=5g0>42634k2>7?:4:?b``<6>?1v?>8a;297~;3m:0:8=52a809505<5hnn6<8:;|q142d=839p19k<:01e?8g>:3;>>63ndd8221=z{;:53z?7a6<6;l16m4<514389dbb28<87p}=06f94?5|5=o86<=k;639~w760m3:1?v3;e28276=:i081=964=`ff>43f3ty9<:h50;0x91c42:k;70:j4;15b>{t:92;6=4={<6f7?5>m27?i94<6e9~w76?93:1>v3;e280=a=:1?;m4}r03<7<72;q68h=538a891c32:7m;<6f0?51i2wx>=6;:18182b;392m63;e5802<=z{;:397>52z?7a6<410168h:537:8yv470?0;6?u24d197<><5=o?6>88;|q14=1=838p19k<:2;5?82b<39=96s|21:;>5<5s4>n?7=65:?7a1<4>=1v?>79;296~;3m:0859524d697350`5=;09019k;:241?xu581h1<76?534>n87=91:p65>d2909w0:j3;1:5>;3m=08:=5rs32;`?6=:r7?i>4<919>0`2=;7}:63b3ty9<5h50;0x91c42:2n70:j4;16`>{t:93;6=4={<6f7?5?l27?i94<5b9~w76>93:1>v3;e2801?8o4}r03=7<72;q68h=539c891c32:?27p}=08194?4|5=o86>66;<6f0?5202wx>=7;:18182b;393463;e58012=z{;:297>52z?7a6<40>168h:53448yv471?0;6?u24d197=0<5=o?6>;:;|q14<1=838p19k<:2:6?82b<39>86s|21;;>5<5s4>n?7=74:?7a1<4=:1v?>69;296~;3m:084>524d697040`5=;18019k;:272?xu580h1<76>734>n87=;f:p65?d2909w0:j3;14b>;3m=088h5rs32:`?6=:r7?i>4<7d9>0`2=;=n0q~7}:62d3ty9<4h50;0x91c42:=h70:j4;17f>{t:9k;6=4={<6f7?50j27?i94<4`9~w76f93:1>v3;e2803d=:1?974}r03e7<72;q68h=536;891c32:>37p}=0`194?4|5=o86>97;<6f0?53?2wx>=o;:18182b;39<;63;e58003=z{;:j97>52z?7a6<4i?168h:53678yv47i?0;6?u24d197d3<5=o?6>9;;|q14d1=838p19k<:2c7?82b<395<5s4>n?7=n3:?7a1<4?;1v?>n9;296~;3m:08m?524d697270`5=;h;019k;:253?xu58hh1<76?034>n87=96:p65gd2909w0:j3;1;g>;3m=089o5rs32b`?6=:r7?i>4<809>0`2=;<:0q~7}:6223ty9:8189=g428n27p}=0c294?3|5=o864?4=5g4><7<5=oo64?4=5d2><7<51k86=`<5=o<65h4=5gg>=`<5=l:65h4=9c0>4b23ty9:9g89=g428n?7p}=0c694?3|5=o865j4=5g4>=b<5=oo65j4=5d2>=b<51k86=d<5=o<65l4=5gg>=d<5=l:65l4=9c0>4b63ty9:8a89=g42;::7p}=0c:94?3|5=o864l4=5g4>?;|q14g?=83?p19k<:8c891c020k019kk:8c891`620k015o<:0de?xu58kk1<7;t=5g0>4`b3ty9:8:89=g428lo7p}=0ca94?3|5=o86494=5g4><1<5=oo6494=5d2><1<51k86<3<5=o<64;4=5gg><3<5=l:64;4=9c0>4c03ty9019k8:86891cc20>019h>:8689=g428nh7p}=0b294?3|5=o865o4=5g4>=g<5=oo65o4=5d2>=g<51k86019k;:c1891c32k8019k;:c3891c32k:019k;:`d891c32ho019k;:cd891c32ko019k;:cf89dg228<27p}=0b094?4|5=o?6om4=`c6>4003ty91<7gg<5hk>6<8:;|q14f3=838p19k;:c;89dg2284043ty9db<5hk>6<;n;|q14f?=83np19k;:01`?82b<3;8m63;e5827<=:1=>64=5g7>45034>n87?<6:?7a1<6;<168h:5126891c328>>70:j4;370>;3m=0:8>52a549501<5h396<86;|q14fg=839p19k;:061?8g3>3;>963n938222=z{;:hn7>53z?7a1<6<816m98514689d?528<=7p}=0ba94?5|5=o?6<:?;649~w76dl3:1?v3;e5827c=:i=<1=8<4=`;1>4033ty9;f1;0::>5rs32`b?6=;r7?i94>3e9>e10=9<:01l7=:041?xu58m:1<7=t=5g7>45434k?:7?;8:?b=7<6=h1v?>k1;296~;3m=08m=524d7973`0`2=;0o019k::24g?xu58m91<76?c34>n97=9c:p65b32909w0:j4;1:g>;3m<08:o5rs32g1?6=:r7?i94<9c9>0`3=;?k0q~7}:1?4o4=5g6>60>3ty9{t:9n36=4={<6f0?5>027?i84<669~w76c13:1>v3;e580=3=:7;;<6f1?51;2wx>=jl:18182b<392?63;e48027=z{;:oh7>52z?7a1<41;168h;53738yv47ll0;6?u24d697<7<5=o>6>8?;|q14a`=838p19k;:2;3?82b=39>j6s|21g3>5<5s4>n87=7f:?7a0<4=l1v?>j1;296~;3m=084h524d7970b0`2=;1n019k::27`?xu58l91<76>e34>n97=:a:p65c32909w0:j4;1;e>;3m<08945rs32f1?6=:r7?i94<889>0`3=;<20q~7}:1?564=5g6>6303ty9{t:9o36=4={<6f0?5?>27?i84<549~w76b13:1>v3;e580<0=:6<;<6f1?52:2wx>=kl:18182b<393>63;e48014=z{;:nh7>52z?7a1<409168h;535d8yv47ml0;6?u24d6972`<5=o>6>:j;|q14``=838p19k;:25f?82b=39?h6s|21d3>5<5s4>n87=8d:?7a0<4i1;296~;3m=08;n524d7971d0`2=;>h019k::26b?xu58o91<761f34>n97=;9:p65`32909w0:j4;14=>;3m<08855rs32e1?6=:r7?i94<799>0`3=;==0q~7}:1?:94=5g6>6213ty9{t:9l36=4={<6f0?5f=27?i84<759~w76a13:1>v3;e580e1=:o=;<6f1?5092wx>=hl:18182b<39j=63;e48035=z{;:mh7>52z?7a1<41>168h;53748yv47nl0;6?u24d697=e<5=o>6>;m;|q14c`=838p19k;:2:2?82b=39><6s|2023>5<5s4>n87=86:?7a0<4<<1v???1;291~;3m=02?63;e98:7>;3ml02?63;f38:7>;?lj0:h45rs3336?6==r7?i9461:?7a=<>927?ih461:?7b7<>9273hn4>d69~w777;3:19v3;e58:4>;3m102<63;ed8:4>;3n;02<637db82`3=z{;;;87>55z?7a1;3ml03i63;f38;a>;?lj0:h95rs3332?6==r7?i947d:?7a=d29~w777?3:19v3;e58;g>;3m103o63;ed8;g>;3n;03o637db82`7=z{;;;47>55z?7a1;3ml02o63;f38:g>;?lj09<<5rs333e?6==r7?i946b:?7a=<>j27?ih46b:?7b7<>j273hn4=019~w777j3:19v3;e58:e>;3m102m63;ed8:e>;3n;02m637db82bc=z{;;;o7>55z?7a1<>127?i5469:?7a`<>127?j?469:?;`f<6nl1v???d;291~;3m=02463;e98:<>;3ml02463;f38:<>;?lj0:ji5rs333a?6==r7?i9467:?7a=<>?27?ih467:?7b7<>?273hn4>fb9~w777n3:19v3;e58:2>;3m102:63;ed8:2>;3n;02:637db82b7=z{;;:<7>55z?7a1<>=27?i5465:?7a`<>=27?j?465:?;`f<6m>1v??>1;291~;3m=02863;e98:0>;3ml02863;f38:0>;?lj0:hn5rs3326?6==r7?i947a:?7a=d19~w776;3:1ov3;e48a2>;3m<0i863;e48a7>;3m<0i>63;e48a5>;3m<0i<63;e48bb>;3m<0ji63;e48ab>;3m<0ii63;e48a`>;f=00::45rs3320?6=:r7?i84mc:?b1<<6>>1v??>5;296~;3m<0in63n588223=z{;;::7>52z?7a0649~w776?3:1>v3;e48a=>;f=00::95rs332:1v??>9;296~;3m<0i;63n588227=z{;;:m7>52z?7a05`9~w776j3:1hv3;e4827f=:o4=5g6>45>34>n97?<8:?7a0<6;>168h;5124891c2289>70:j5;300>;3m<0:88524d79512<5=o>6<:<;<;ee?72?27j8;4>689~w776k3:1?v3;e48207=:1ok1=8;4=`65>4003ty9=:707ia;360>;f419>=cg=9<901l:9:046?xu598l1<7=t=5g6>45a343mm7?:2:?b03<6>=1v??=0;297~;3m<0:?h529gc9507<5h>=6<8<;|q1577=839p19k::01g?8?ai3;><63n478227=z{;;9>7>53z?7a0<6;:165ko515:89d2128?j7p}=13194?4|5=o>6>o?;<6f2?51n2wx><<;:18182b=392i63;e7802a=z{;;997>52z?7a0<41m168h8537a8yv46:?0;6?u24d7978m;|q1571=838p19k::2;a?82b>39=m6s|200;>5<5s4>n97=6a:?7a3<4>01v??=9;296~;3m<0854524d4973>l4?:3y>0`3=;02019k9:244?xu59;h1<76?134>n:7=95:p644d2909w0:j5;1:1>;3m?08:95rs331`?6=:r7?i84<959>0`0=;?90q~<>2d83>7}:6053ty9=?h50;0x91c22:3970:j6;155>{t:89;6=4={<6f1?5>927?i;4<619~w77493:1>v3;e480=5=:6>6j;<6f2?52l2wx><=;:18182b=393h63;e7801f=z{;;897>52z?7a0<40k168h8534c8yv46;?0;6?u24d797=g<5=o=6>;6;|q1561=838p19k::2::?82b>39>46s|201;>5<5s4>n97=78:?7a3<4=>1v??<9;296~;3m<084:524d497000`3=;1<019k9:276?xu59:h1<76>234>n:7=:4:p645d2909w0:j5;1;0>;3m?089>5rs330`?6=:r7?i84<829>0`0=;<80q~<>3d83>7}:6363ty9=>h50;0x91c22:2;70:j6;17b>{t:8>;6=4={<6f1?50n27?i;4<4d9~w77393:1>v3;e4803`=:h7p}=15194?4|5=o>6>9l;<6f2?53j2wx><:;:18182b=3952z?7a0<4?h168h8535;8yv46:7;|q1511=838p19k::25;?82b>39?;6s|206;>5<5s4>n97=87:?7a3<40`3=;h?019k9:257?xu59=h1<76g334>n:7=83:p642d2909w0:j5;1b7>;3m?08;?5rs337`?6=:r7?i840`0=;>;0q~<>4d83>7}:6173ty9=9h50;0x91c22:3<70:j6;152>{t:8?;6=4={<6f1?5?k27?i;4<5c9~w77293:1>v3;e480<4=:4}r0217<72;q68h;5364891c12:>>7p}=14194?3|5=o>64=4=5g:><5<5=om64=4=5d0><5<50;>620;019ki:83891`420;014?::0f4?xu59<6<5=o264>4=5ge><6<5=l864>4=836>4b13ty9=8850;7x91c221l019k6:9d891ca21l019h<:9d89<7228n>7p}=14594?3|5=o>65k4=5g:>=c<5=om65k4=5d0>=c<50;>6=83?p19k::9f891c>21n019ki:9f891`421n014?::0f0?xu59<31<7;t=5g6>=e<5=o265m4=5ge>=e<5=l865m4=836>4b53ty9=8o50;7x91c221h019k6:9`891ca21h019h<:9`89<7228n:7p}=14`94?3|5=o>64m4=5g:>6?>>;|q150e=83?p19k::8`891c>20h019ki:8`891`420h014?::323?xu594`a3ty9=8k50;7x91c2203019k6:8;891ca203019h<:8;89<7228ln7p}=14d94?3|5=o>6464=5g:><><5=om6464=5d0><><50;>620=019ki:85891`420=014?::0d`?xu59?;1<7;t=5g6><0<5=o26484=5ge><0<5=l86484=836>4`53ty9=;<50;7x91c220?019k6:87891ca20?019h<:8789<7228o<7p}=17194?3|5=o>64:4=5g:><2<5=om64:4=5d0><2<50;>621k019ki:9c891`421k014?::0f3?xu59??1<7mt=5g5>g0<5=o=6o:4=5g5>g5<5=o=6o<4=5g5>g7<5=o=6o>4=5g5>d`<5=o=6lk4=5g5>g`<5=o=6ok4=5g5>gb<5h:o6<86;|q1530=838p19k9:ca89d6c28<<7p}=17594?4|5=o=6ol4=`2g>4013ty9=;650;0x91c12kk01l>k:046?xu59?31<7g?<5h:o6<8;;|q153g=838p19k9:c:89d6c28<87p}=17`94?4|5=o=6o94=`2g>4053ty9=;m50;0x91c12hn01l>k:07b?xu59?n1<7jt=5g5>45d34>n:7?;3m?0:?8524d49562<5=o=6<::;<6f2?73<27?i;4>429>=dc=9<=014hn:04:?xu59?o1<7=t=5g5>425343ji7?:5:?:bd<6>>1v??9f;297~;3m?0:8<529`g9502<50lj6<89;|q1526=839p19k9:063?8?fm3;>?636f`8220=z{;;<=7>53z?7a3<6;o165lk514089<`f28629~w770;3:1?v3;e7827a=:1ho1=8>4=8db>4053ty9=::50;1x91c12898707ne;37<>;>nh0:9l5rs3341?6==r7?i;463:?7ad<>;27?j=463:?7b1<>;2728h4>d89~w770>3:19v3;e78:5>;3mh02=63;f18:5>;3n=02=6364d82`2=z{;;<;7>55z?7a3<>827?il460:?7b5<>827?j9460:?:0`<6l?1v??88;291~;3m?03j63;e`8;b>;3n903j63;f58;b>;>d59~w770i3:19v3;e78;`>;3mh03h63;f18;`>;3n=03h6364d82`6=z{;;55z?7a3;3n903n63;f58;f>;>k27?j=46c:?7b1<>k2728h4=009~w770m3:19v3;e78:f>;3mh02n63;f18:f>;3n=02n6364d8145=z{;;55z?7a3<>i27?il46a:?7b5<>i27?j946a:?:0`<6no1v??70;291~;3m?02563;e`8:=>;3n902563;f58:=>;>027?j=468:?7b1<>02728h4>fe9~w77?:3:19v3;e78:3>;3mh02;63;f18:3>;3n=02;6364d82bf=z{;;3?7>55z?7a3<>>27?il466:?7b5<>>27?j9466:?:0`<6n;1v??74;291~;3m?02963;e`8:1>;3n902963;f58:1>;><27?j=464:?7b1<><2728h4>db9~w77?>3:19v3;e78;e>;3mh03m63;f18;e>;3n=03m6364d82`5=z{;;3;7>5cz?7a227?i:4m4:?7a2689~w77?03:1>v3;e68ag>;e9>0:::5rs33;=?6=:r7?i:4mb:?a52<6>?1v??7a;296~;3m>0im63m168220=z{;;3n7>52z?7a2659~w77?k3:1>v3;e68a<>;e9>0::>5rs33;`?6=:r7?i:4m7:?a52<6>;1v??7e;296~;3m>0jh63m16821d=z{;;3j7>5dz?7a2<6;j168h9512c891c0289270:j7;30<>;3m>0:?:524d59560<5=o<6<=:;<6f3?74<27?i:4>449>0`1=9=>019k8:060?8ge03;>;63m05822<=z{;;2<7>53z?7a2<6<;16mo6514789g6328<<7p}=18394?5|5=o<6<:>;679~w77>:3:1?v3;e68205=:ik21=8=4=c27>4023ty9=4=50;1x91c0289m70om8;366>;e8=0::95rs33:0?6=;r7?i:4>3d9>eg>=9<;01o>;:040?xu590?1<7=t=5g4>45c34ki47?:0:?a41<6>;1v??66;297~;3m>0:?>52ac:951><5k:?6<;n;|q15<1=838p19k8:2c3?82b039=j6s|20;;>5<5s4>n;7=6e:?7a=<4>m1v??69;296~;3m>085i524d:973e0`1=;0i019k7:24a?xu590h1<76?e34>n47=9a:p64?d2909w0:j7;1:e>;3m108:45rs33:`?6=:r7?i:4<989>0`>=;?20q~<>9d83>7}:6003ty9=4h50;0x91c02:3=70:j8;151>{t:8k;6=4={<6f3?5>=27?i54<659~w77f93:1>v3;e680=1=:7=;<6f52z?7a2<419168h6534d8yv46i?0;6?u24d597=`<5=o36>;j;|q15d1=838p19k8:2:f?82b039>h6s|20c;>5<5s4>n;7=7d:?7a=<4=j1v??n9;296~;3m>084o524d:970g0`1=;1k019k7:27:?xu59hh1<76>>34>n47=:8:p64gd2909w0:j7;1;<>;3m1089:5rs33b`?6=:r7?i:4<869>0`>=;<<0q~<>ad83>7}:6323ty9=lh50;0x91c02:2>70:j8;160>{t:8h;6=4={<6f3?5?<27?i54<529~w77e93:1>v3;e680<6=:6?;<6f52z?7a2<4?l168h6535f8yv46j?0;6?u24d5972b<5=o36>:l;|q15g1=838p19k8:25`?82b039?n6s|20`;>5<5s4>n;7=8b:?7a=<408;l524d:971?0`1=;>3019k7:26;?xu59kh1<761?34>n47=;7:p64dd2909w0:j7;143>;3m1088;5rs33a`?6=:r7?i:40`>=;>?0q~<>bd83>7}:6133ty9=oh50;0x91c02:k?70:j8;147>{t:8i;6=4={<6f3?5f;27?i54<739~w77d93:1>v3;e680e7=:78;<6f2wx>52z?7a2<408168h653428yv46k?0;6?u24d59720<5=o36>::;|q15f1=83ip19k7:c4891c?2k>019k7:c1891c?2k8019k7:c3891c?2k:019k7:`d891c?2ho019k7:cd891c?2ko019k7:cf89dee28<27p}=1b:94?4|5=o36om4=`aa>4003ty9=n750;0x91c?2kh01lmm:045?xu59jk1<7gg<5hii6<8:;|q15fd=838p19k7:c;89dee284043ty9=nj50;0x91c?2k=01lmm:041?xu59jo1<7db<5hii6<;n;|q15f`=83np19k7:01`?82b03;8m63;e9827<=:64=5g;>45034>n47?<6:?7a=<6;<168h65126891c?28>>70:j8;370>;3m10:8>52a7a9501<5hh36<86;|q15a6=839p19k7:061?8g1k3;>963nb98222=z{;;o=7>53z?7a=<6<816m;m514689dd?28<=7p}=1e094?5|5=o36<:?;649~w77c;3:1?v3;e9827c=:i?i1=8<4=``;>4033ty9=i:50;1x91c?289n70o9c;365>;fj10::>5rs33g1?6=;r7?i54>3e9>e3e=9<:01ll7:041?xu59m<1<7=t=5g;>45434k=o7?;8:?bf=<6=h1v??k7;296~;3m108m=524d;973`0`>=;0o019k6:24g?xu59m31<76?c34>n57=9c:p64bf2909w0:j8;1:g>;3m008:o5rs33gf?6=:r7?i54<9c9>0`?=;?k0q~<>db83>7}:60>3ty9=ij50;0x91c?2:3270:j9;15<>{t:8nn6=4={<6f027?i44<669~w77cn3:1>v3;e980=3=:2:7;;<6f=?51;2wx>52z?7a=<41;168h753738yv46m=0;6?u24d:97<7<5=o26>8?;|q15`3=838p19k7:2;3?82b139>j6s|20g5>5<5s4>n47=7f:?7a<<4=l1v??j7;296~;3m1084h524d;970b0`>=;1n019k6:27`?xu59l31<76>e34>n57=:a:p64cf2909w0:j8;1;e>;3m008945rs33ff?6=:r7?i54<889>0`?=;<20q~<>eb83>7}:6303ty9=hj50;0x91c?2:2<70:j9;162>{t:8on6=4={<6f27?i44<549~w77bn3:1>v3;e980<0=:2:?87p}=1g394?4|5=o36>6<;<6f=?52:2wx>63;e88014=z{;;m?7>52z?7a=<409168h7535d8yv46n=0;6?u24d:972`<5=o26>:j;|q15c3=838p19k7:25f?82b139?h6s|20d5>5<5s4>n47=8d:?7a<<40`>=;>h019k6:26b?xu59o31<761f34>n57=;9:p64`f2909w0:j8;14=>;3m008855rs33ef?6=:r7?i54<799>0`?=;==0q~<>fb83>7}:6213ty9=kj50;0x91c?2:k=70:j9;141>{t:8ln6=4={<6fv3;e980e1=:2:=97p}=21394?4|5=o36>o=;<6f=?5092wx>?>=:18182b039j=63;e88035=z{;8;?7>52z?7a=<41>168h753748yv458=0;6?u24d:97=e<5=o26>;m;|q1653=838p19k7:2:2?82b139><6s|2325>5<5s4>n47=86:?7a<<4<<1v?;3m00i?63;e88a6>;3m00i=63;e88a4>;3m00jj63;e88ba>;3m00ij63;e88aa>;3m00ih63n7g822<=z{;8;47>52z?7a<669~w74713:1>v3;e88af>;f?o0::;5rs303e?6=:r7?i44ma:?b3c<6><1v?52z?7a<629~w747l3:1>v3;e88a3>;f?o0::?5rs303a?6=:r7?i44nd:?b3c<6=h1v?369>0`?=9:<019k6:016?82b13;8863;e88200=:42434k9<7?:7:?b2f<6>01v?<>0;297~;3m00:8?52a329503<5h863n6b8223=z{;8:>7>53z?7a<<6<916m?>514189d0d28<>7p}=20194?5|5=o26<=i;659~w746<3:1?v3;e8827`=:i;:1=8?4=`4`>4043ty9><;50;1x91c>289o70o=0;364>;f>j0::?5rs3022?6=;r7?i44>329>e76=9=201l8l:07b?xu5:8=1<76g734>nm7=9f:p677?2909w0:j9;1:a>;3mh08:i5rs302=?6=:r7?i44<9e9>0`g=;?i0q~<=1`83>7}:60e3ty9>2:3i70:ja;15e>{t:;;h6=4={<6f=?5>i27?il4<689~w746l3:1>v3;e880=<=:79;<6fe?51=2wx>?52z?7a<<41=168ho53718yv45:;0;6?u24d;97<5<5=oj6>8=;|q1675=838p19k6:2;1?82bi39==6s|2307>5<5s4>n57=61:?7ad<4>91v?<=5;296~;3m0085=524dc970`;4?:3y>0`?=;1l019kn:27f?xu5:;=1<76>b34>nm7=:d:p674?2909w0:j9;1;`>;3mh089n5rs301=?6=:r7?i44<8c9>0`g=;7}:63>3ty9>?l50;0x91c>2:2270:ja;16<>{t:;8h6=4={<6f=?5?027?il4<569~w745l3:1>v3;e880<2=:7p}=23d94?4|5=o26>6:;<6fe?52<2wx>?=?:18182b1393863;e`8016=z{;88=7>52z?7a<<40:168ho53408yv45;;0;6?u24d;97=4<5=oj6>;>;|q1665=838p19k6:2:3?82bi39?j6s|2317>5<5s4>n57=8f:?7ad<40`?=;>n019kn:26`?xu5::=1<761d34>nm7=;b:p675?2909w0:j9;14f>;3mh088l5rs300=?6=:r7?i44<7`9>0`g=;=30q~<=3`83>7}:62?3ty9>>l50;0x91c>2:=370:ja;173>{t:;9h6=4={<6f=?50?27?il4<479~w744l3:1>v3;e880e3=:o;;<6fe?50;2wx>?:?:18182b139j?63;e`8037=z{;8?=7>52z?7a<<4i;168ho53638yv45<;0;6?u24d;97d7<5=oj6>9?;|q1615=838p19k6:2;4?82bi39=:6s|2367>5<5s4>n57=7c:?7ad<4=k1v?<;5;296~;3m0084<524dc97060`?=;><019kn:266?xu5:==1<7mt=5gb>g0<5=oj6o:4=5gb>g5<5=oj6o<4=5gb>g7<5=oj6o>4=5gb>d`<5=oj6lk4=5gb>g`<5=oj6ok4=5gb>gb<5h986<86;|q161>=838p19kn:ca89d5428<<7p}=25;94?4|5=oj6ol4=`10>4013ty9>9o50;0x91cf2kk01l=<:046?xu5:=h1<7g?<5h986<8;;|q161e=838p19kn:c:89d5428<87p}=25f94?4|5=oj6o94=`10>4053ty9>9k50;0x91cf2hn01l=<:07b?xu5:=l1<7jt=5gb>45d34>nm7?;3mh0:?8524dc9562<5=oj6<::;<6fe?73<27?il4>429>=a2=9<=01l425343o87?:5:?b65<6>>1v?<:1;297~;3mh0:8<529e69502<5h8;6<89;|q1604=839p19kn:063?8?c<3;>?63n218220=z{;8>?7>53z?7ad<6;o165i:514089d4728=4>629~w742=3:1?v3;e`827a=:1m>1=8>4=`03>4053ty9>8850;1x91cf2898707k4;37<>;f:90:9l5rs3063?6=:r7?io4m3:?600<6>81v?<:8;296~;3mk0i>63:44821c=z{;8>57>52z?7ag884>5d9~w742i3:1>v3;ec8a4>;2<<0:9i5rs306f?6=:r7?io4nf:?600<6=j1v?<:c;296~;3mk0ji63:44821g=z{;8>h7>52z?7ag884>6c9~w742m3:1>v3;ec8aa>;2<<0::l5rs306b?6=:r7?io4md:?600<6>01v?<90;296~;3mk0io63:448222=z{;8==7>52z?7ag884>679~w741:3:1>v3;ec8ae>;2<<0::85rs3057?6=:r7?io4m9:?600<6>=1v?<94;296~;3mk0i463:448226=z{;8=97>52z?7ag884>639~w741>3:1>v3;ec8b`>;2<<0:9l5rs3053?6=:r7?io4<6g9>0c6=;h:0q~<=6983>7}:6?b3ty9>;750;0x91ce2:{t:;v3;ec802d=:87;<6e4?5>12wx>?8j:18182bj39=;63;f180===z{;8=j7>52z?7ag<4><168k>53848yv45?90;6?u24d`9732<5=l;6>7:;|q1627=838p19km:240?82a839286s|2351>5<5s4>nn7=92:?7b5<41:1v?<83;296~;3mk08:<524g297<40`d=;?:019h?:2;2?xu5:>?1<763a34>m<7=60:p67112909w0:jb;16a>;3n9084k5rs3043?6=:r7?io4<5e9>0c6=;1o0q~<=7983>7}:6>c3ty9>:750;0x91ce2:?j70:i0;1;f>{t:;=j6=4={<6ff?52127?j=4<8`9~w740j3:1>v3;ec801==:;9;<6e4?5??2wx>?9j:18182bj39>963;f180<3=z{;852z?7ag<4==168k>53978yv45090;6?u24d`9705<5=l;6>6;;|q16=7=838p19km:271?82a8393?6s|23:1>5<5s4>nn7=:1:?7b5<40;1v?<73;296~;3mk088k524g297=60`d=;=o019h?:25e?xu5:1?1<762c34>m<7=8e:p67>12909w0:jb;17g>;3n908;i5rs30;3?6=:r7?io4<4c9>0c6=;>i0q~<=8983>7}:61e3ty9>5750;0x91ce2:>270:i0;14e>{t:;2j6=4={<6ff?53027?j=4<789~w74?j3:1>v3;ec8002=:9:;<6e4?5f>2wx>?6j:18182bj39<863;f180e0=z{;83j7>52z?7ag<4?:168k>53`68yv45190;6?u24d`9724<5=l;6>o<;|q16<7=838p19km:252?82a839j>6s|23;1>5<5s4>nn7=80:?7b5<4i81v?<63;296~;3mk08:;524g297<10`d=;63734>m<7=71:p67?12909w0:jb;171>;3n908;;5rs30:3?6=;r7?io4>389>174=9?;01o79:012?xu5:021<7=t=5ga>45?34?9>7?:f:?a=2<6;81v?<69;297~;3mk0:?:52530950c<5k336<=>;|q16h63m988274=z{;82n7>53z?7ag<6;<169?<514a89g?f289:7p}=28a94?5|5=oi6<=;;<716?72j27i5o4>309~w74>l3:1?v3;ec8200=:=;81=;l4=c;`>4563ty9>4k50;1x91ce28>?70;=2;35e>;e1m0:?<5rs30:b?6=;r7?io4>429>174=9?301o7j:012?xu5:h:1<7=t=5ga>42534?9>7?97:?a=c<6;81v?;|q16d4=839p19km:063?835:3;=963ma08274=z{;8j?7>53z?7ag<6;o169?<517689gg5289:7p}=2`694?5|5=oi6<=j;<716?71;27im>4>309~w74f=3:1?v3;ec827a=:=;81=;<4=cc7>4563ty9>l850;1x91ce289870;=2;36e>;ei<0:?<5rs30b3?6=:r7?in4m3:?681v?63:8c821c=z{;8j57>52z?7af4o4>5d9~w74fi3:1>v3;eb8a4>;20k0:9i5rs30bf?6=:r7?in4nf:?652z?7af4o4>6c9~w74fm3:1>v3;eb8aa>;20k0::l5rs30bb?6=:r7?in4md:?601v?52z?7af4o4>679~w74e:3:1>v3;eb8ae>;20k0::85rs30a7?6=:r7?in4m9:?6=1v?52z?7af4o4>639~w74e>3:1>v3;eb8b`>;20k0:9l5rs30a3?6=:r7?in4<6g9>0c2=;h:0q~<=b983>7}:6?b3ty9>o750;0x91cd2:{t:;hj6=4={<6fg?51j27?j94<9b9~w74ej3:1>v3;eb802d=:1?4l4}r01ff<72;q68hm537;891`32:3j7p}=2cf94?4|5=oh6>87;<6e0?5>12wx>?lj:18182bk39=;63;f580===z{;8ij7>52z?7af<4><168k:53848yv45k90;6?u24da9732<5=l?6>7:;|q16f7=838p19kl:240?82a<39286s|23a1>5<5s4>no7=92:?7b1<41:1v?0`e=;?:019h;:2;2?xu5:j?1<763a34>m87=60:p67e12909w0:jc;16a>;3n=084k5rs30`3?6=:r7?in4<5e9>0c2=;1o0q~<=c983>7}:6>c3ty9>n750;0x91cd2:?j70:i4;1;f>{t:;ij6=4={<6fg?52127?j94<8`9~w74dj3:1>v3;eb801==:1?574}r01gf<72;q68hm5345891`32:237p}=2bf94?4|5=oh6>;9;<6e0?5??2wx>?mj:18182bk39>963;f580<3=z{;8hj7>52z?7af<4==168k:53978yv45l90;6?u24da9705<5=l?6>6;;|q16a7=838p19kl:271?82a<393?6s|23f1>5<5s4>no7=:1:?7b1<40;1v?0`e=;=o019h;:25e?xu5:m?1<762c34>m87=8e:p67b12909w0:jc;17g>;3n=08;i5rs30g3?6=:r7?in4<4c9>0c2=;>i0q~<=d983>7}:61e3ty9>i750;0x91cd2:>270:i4;14e>{t:;nj6=4={<6fg?53027?j94<789~w74cj3:1>v3;eb8002=:1?:64}r01`f<72;q68hm5354891`32:=<7p}=2ef94?4|5=oh6>9:;<6e0?5f>2wx>?jj:18182bk39<863;f580e0=z{;8oj7>52z?7af<4?:168k:53`68yv45m90;6?u24da9724<5=l?6>o<;|q16`7=838p19kl:252?82a<39j>6s|23g1>5<5s4>no7=80:?7b1<4i81v?0`e=;63734>m87=71:p67c12909w0:jc;171>;3n=08;;5rs30f3?6=;r7?in4>389>13>=9?;01o9j:012?xu5:l21<7=t=5g`>45?34?=47?:f:?a3c<6;81v?;|q16`g=839p19kl:015?83103;>h63m808274=z{;8nn7>53z?7af<6;<169;6514a89g>5289:7p}=2da94?5|5=oh6<=;;<754>309~w74bl3:1?v3;eb8200=:=?21=;l4=c:7>4563ty9>hk50;1x91cd28>?70;98;35e>;e0<0:?<5rs30fb?6=;r7?in4>429>13>=9?301o69:012?xu5:o:1<7=t=5g`>42534?=47?97:?a<2<6;81v?;|q16c4=839p19kl:063?83103;=963m888274=z{;8m?7>53z?7af<6;o169;6517689g>f289:7p}=2g694?5|5=oh6<=j;<75309~w74a=3:1?v3;eb827a=:=?21=;<4=c:`>4563ty9>k850;1x91cd289870;98;36e>;e0m0:?<5rs30e3?6=:r7?ii4m3:?430<6>81v?63874821c=z{;8m57>52z?7aa5d9~w74ai3:1>v3;ee8a4>;0?<0:9i5rs30ef?6=:r7?ii4nf:?430<6=j1v?52z?7aa6c9~w74am3:1>v3;ee8aa>;0?<0::l5rs30eb?6=:r7?ii4md:?430<6>01v?=?0;296~;3mm0io638748222=z{;9;=7>52z?7aa679~w757:3:1>v3;ee8ae>;0?<0::85rs3137?6=:r7?ii4m9:?430<6>=1v?=?4;296~;3mm0i4638748226=z{;9;97>52z?7aa639~w757>3:1>v3;ee8b`>;0?<0:9l5rs3133?6=;r7?ii4>389>2f0=9=l01:;=:042?xu5;921<7=t=5gg>45?34?96<;j;|q175g=839p19kk:015?80d>3;?n63853821a=z{;9;n7>53z?7aa<6;<16:n8515c8923528?h7p}=31a94?5|5=oo6<=;;<4`2?73127<9?4>5c9~w757l3:1?v3;ee8200=:>j<1=874=671>40e3ty9?=k50;1x91cc28>?708l6;36<>;0=;0::l5rs313b?6=;r7?ii4>429>2f0=9<=01:;=:04:?xu5;8:1<7=t=5gg>42534>1v?=>1;297~;3mm0:8<526b49502<5>?96<89;|q1744=839p19kk:063?80d>3;>?638538220=z{;9:?7>53z?7aa<6;o16:n851408923528629~w756=3:1?v3;ee827a=:>j<1=8>4=671>4053ty9?<850;1x91cc2898708l6;37<>;0=;0:9l5rs3123?6=:r7?ii40`c=;?l0q~<<1983>7}:60c3ty9?<750;0x91cc2:3o70:je;15g>{t::;j6=4={<6f`?5>k27?ih4<6c9~w756j3:1>v3;ee80=g=:76;<6fa?5102wx>>?j:18182bl392463;ed8022=z{;9:j7>52z?7aa<41?168hk53778yv44:90;6?u24df97<3<5=on6>8;;|q1777=838p19kk:2;7?82bm39=?6s|2201>5<5s4>nh7=63:?7a`<4>;1v?==3;296~;3mm085?524dg973794?:3y>0`b=;0;019kj:243?xu5;;?1<76?734>ni7=:f:p66412909w0:jd;1;b>;3ml089h5rs3113?6=:r7?ii4<8d9>0`c=;7}:63d3ty9??750;0x91cc2:2i70:je;16e>{t::8j6=4={<6f`?5?i27?ih4<589~w755j3:1>v3;ee80<<=:68;<6fa?52>2wx>>52z?7aa<40<168hk53468yv44;90;6?u24df97=2<5=on6>;<;|q1767=838p19kk:2:0?82bm39>>6s|2211>5<5s4>nh7=72:?7a`<4=81v?=<3;296~;3mm084=524dg971`0`b=;>l019kj:26f?xu5;:?1<761b34>ni7=;d:p66512909w0:jd;14`>;3ml088n5rs3103?6=:r7?ii4<7b9>0`c=;=h0q~<<3983>7}:62f3ty9?>750;0x91cc2:=j70:je;17=>{t::9j6=4={<6f`?50127?ih4<499~w754j3:1>v3;ee803==:=7p}=32f94?4|5=oo6>o9;<6fa?50=2wx>>=j:18182bl39j963;ed8031=z{;98j7>52z?7aa<4i=168hk53618yv44<90;6?u24df97d5<5=on6>9=;|q1717=838p19kk:2c1?82bm39<=6s|2261>5<5s4>nh7=n1:?7a`<4?91v?=;3;296~;3mm085:524dg97300`b=;1i019kj:27a?xu5;=?1<76>634>ni7=:0:p66212909w0:jd;142>;3ml08885rs3173?6=:r7?ih4m3:?5a<<6>81v?=;8;296~;3ml0i>639e8821c=z{;9?57>52z?7a`5d9~w753i3:1>v3;ed8a4>;1m00:9i5rs317f?6=:r7?ih4nf:?5a<<6=j1v?=;c;296~;3ml0ji639e8821g=z{;9?h7>52z?7a`6c9~w753m3:1>v3;ed8aa>;1m00::l5rs317b?6=:r7?ih4md:?5a<<6>01v?=:0;296~;3ml0io639e88222=z{;9>=7>52z?7a`679~w752:3:1>v3;ed8ae>;1m00::85rs3167?6=:r7?ih4m9:?5a<<6>=1v?=:4;296~;3ml0i4639e88226=z{;9>97>52z?7a`639~w752>3:1>v3;ed8b`>;1m00:9l5rs3163?6=;r7?ih4>389>26g=9=l01;m9:042?xu5;<21<7=t=5gf>45?34<8m7?;d:?5g3<6=o1v?=:9;297~;3ml0:?:5262c951e<5?i=6<;j;|q170g=839p19kj:015?804i3;?n639c7821a=z{;9>n7>53z?7a`<6;<16:>o515c893e128?h7p}=34a94?5|5=on6<=;;<40e?73127=o;4>5c9~w752l3:1?v3;ed8200=:>:k1=874=7a5>40e3ty9?8k50;1x91cb28>?708;1k?0::l5rs316b?6=;r7?ih4>429>26g=9<=01;m9:04:?xu5;?:1<7=t=5gf>42534<8m7?:5:?5g3<6>>1v?=91;297~;3ml0:8<5262c9502<5?i=6<89;|q1734=839p19kj:063?804i3;>?639c78220=z{;9=?7>53z?7a`<6;o16:>o5140893e128629~w751=3:1?v3;ed827a=:>:k1=8>4=7a5>4053ty9?;850;1x91cb2898708;1k?0:9l5rs3153?6=:r7?ih40``=;?l0q~<<6983>7}:60c3ty9?;750;0x91cb2:3o70:jf;15g>{t::k27?ik4<6c9~w751j3:1>v3;ed80=g=:76;<6fb?5102wx>>8j:18182bm392463;eg8022=z{;9=j7>52z?7a`<41?168hh53778yv44?90;6?u24dg97<3<5=om6>8;;|q1727=838p19kj:2;7?82bn39=?6s|2251>5<5s4>ni7=63:?7ac<4>;1v?=83;296~;3ml085?524dd97370`c=;0;019ki:243?xu5;>?1<76?734>nj7=:f:p66112909w0:je;1;b>;3mo089h5rs3143?6=:r7?ih4<8d9>0``=;7}:63d3ty9?:750;0x91cb2:2i70:jf;16e>{t::=j6=4={<6fa?5?i27?ik4<589~w750j3:1>v3;ed80<<=:68;<6fb?52>2wx>>9j:18182bm393:63;eg8010=z{;952z?7a`<40<168hh53468yv44090;6?u24dg97=2<5=om6>;<;|q17=7=838p19kj:2:0?82bn39>>6s|22:1>5<5s4>ni7=72:?7ac<4=81v?=73;296~;3ml084=524dd971`0`c=;>l019ki:26f?xu5;1?1<761b34>nj7=;d:p66>12909w0:je;14`>;3mo088n5rs31;3?6=:r7?ih4<7b9>0``=;=h0q~<<8983>7}:62f3ty9?5750;0x91cb2:=j70:jf;17=>{t::2j6=4={<6fa?50127?ik4<499~w75?j3:1>v3;ed803==:=7p}=39f94?4|5=on6>o9;<6fb?50=2wx>>6j:18182bm39j963;eg8031=z{;93j7>52z?7a`<4i=168hh53618yv44190;6?u24dg97d5<5=om6>9=;|q17<7=838p19kj:2c1?82bn39<=6s|22;1>5<5s4>ni7=n1:?7ac<4?91v?=63;296~;3ml085:524dd97300`c=;1i019ki:27a?xu5;0?1<76>634>nj7=:0:p66?12909w0:je;142>;3mo08885rs31:3?6=:r7?ik4m3:?51a<6>81v?=68;296~;3mo0i>6395e821c=z{;9257>52z?7ac5d9~w75>i3:1>v3;eg8a4>;1=m0:9i5rs31:f?6=:r7?ik4nf:?51a<6=j1v?=6c;296~;3mo0ji6395e821g=z{;92h7>52z?7ac6c9~w75>m3:1>v3;eg8aa>;1=m0::l5rs31:b?6=:r7?ik4md:?51a<6>01v?=n0;296~;3mo0io6395e8222=z{;9j=7>52z?7ac679~w75f:3:1>v3;eg8ae>;1=m0::85rs31b7?6=:r7?ik4m9:?51a<6>=1v?=n4;296~;3mo0i46395e8226=z{;9j97>52z?7ac639~w75f>3:1>v3;eg8b`>;1=m0:9l5rs31b3?6=;r7?ik4>389>1dc=9=l01;=n:042?xu5;h21<7=t=5ge>45?34?ji7?;d:?57d<6=o1v?=n9;297~;3mo0:?:525`g951e<5?9j6<;j;|q17dg=839p19ki:015?83fm3;?n6393`821a=z{;9jn7>53z?7ac<6;<169lk515c8935f28?h7p}=3`a94?5|5=om6<=;;<7ba?73127=?l4>5c9~w75fl3:1?v3;eg8200=:=ho1=874=71b>40e3ty9?lk50;1x91ca28>?70;ne;36<>;1;h0::l5rs31bb?6=;r7?ik4>429>1dc=9<=01;=n:04:?xu5;k:1<7=t=5ge>42534?ji7?:5:?57d<6>>1v?=m1;297~;3mo0:8<525`g9502<5?9j6<89;|q17g4=839p19ki:063?83fm3;>?6393`8220=z{;9i?7>53z?7ac<6;o169lk51408935f28629~w75e=3:1?v3;eg827a=:=ho1=8>4=71b>4053ty9?o850;1x91ca289870;ne;37<>;1;h0:9l5rs31a3?6=:r7?ik40c6=;?l0q~<7}:60c3ty9?o750;0x91ca2:3o70:i0;15g>{t::hj6=4={<6fb?5>k27?j=4<6c9~w75ej3:1>v3;eg80=g=:76;<6e4?5102wx>>lj:18182bn392463;f18022=z{;9ij7>52z?7ac<41?168k>53778yv44k90;6?u24dd97<3<5=l;6>8;;|q17f7=838p19ki:2;7?82a839=?6s|22a1>5<5s4>nj7=63:?7b5<4>;1v?=l3;296~;3mo085?524g297370``=;0;019h?:243?xu5;j?1<76?734>m<7=:f:p66e12909w0:jf;1;b>;3n9089h5rs31`3?6=:r7?ik4<8d9>0c6=;7}:63d3ty9?n750;0x91ca2:2i70:i0;16e>{t::ij6=4={<6fb?5?i27?j=4<589~w75dj3:1>v3;eg80<<=:68;<6e4?52>2wx>>mj:18182bn393:63;f18010=z{;9hj7>52z?7ac<40<168k>53468yv44l90;6?u24dd97=2<5=l;6>;<;|q17a7=838p19ki:2:0?82a839>>6s|22f1>5<5s4>nj7=72:?7b5<4=81v?=k3;296~;3mo084=524g2971`0``=;>l019h?:26f?xu5;m?1<761b34>m<7=;d:p66b12909w0:jf;14`>;3n9088n5rs31g3?6=:r7?ik4<7b9>0c6=;=h0q~<7}:62f3ty9?i750;0x91ca2:=j70:i0;17=>{t::nj6=4={<6fb?50127?j=4<499~w75cj3:1>v3;eg803==:=7p}=3ef94?4|5=om6>o9;<6e4?50=2wx>>jj:18182bn39j963;f18031=z{;9oj7>52z?7ac<4i=168k>53618yv44m90;6?u24dd97d5<5=l;6>9=;|q17`7=838p19ki:2c1?82a839<=6s|22g1>5<5s4>nj7=n1:?7b5<4?91v?=j3;296~;3mo085:524g297300``=;1i019h?:27a?xu5;l?1<76>634>m<7=:0:p66c12909w0:jf;142>;3n908885rs31f3?6=:r7?j=4m3:?6`4<6>81v?=j8;296~;3n90i>63:d0821c=z{;9n57>52z?7b5h<4>5d9~w75bi3:1>v3;f18a4>;2l80:9i5rs31ff?6=:r7?j=4nf:?6`4<6=j1v?=jc;296~;3n90ji63:d0821g=z{;9nh7>52z?7b5h<4>6c9~w75bm3:1>v3;f18aa>;2l80::l5rs31fb?6=:r7?j=4md:?6`4<6>01v?=i0;296~;3n90io63:d08222=z{;9m=7>52z?7b5h<4>679~w75a:3:1>v3;f18ae>;2l80::85rs31e7?6=:r7?j=4m9:?6`4<6>=1v?=i4;296~;3n90i463:d08226=z{;9m97>52z?7b5h<4>639~w75a>3:1>v3;f18b`>;2l80:9l5rs31e3?6=;r7?j=4>389>174=9=l018oj:042?xu5;o21<7=t=5d3>45?34?9>7?;d:?6e`<6=o1v?=i9;297~;3n90:?:52530951e<553z?7b5<6;<169?<515c890gb28?h7p}=3ga94?5|5=l;6<=;;<716?73127>mh4>5c9~w75al3:1?v3;f18200=:=;81=874=4cf>40e3ty9?kk50;1x91`728>?70;=2;36<>;2il0::l5rs31eb?6=;r7?j=4>429>174=9<=018oj:04:?xu5<9:1<7=t=5d3>42534?9>7?:5:?6e`<6>>1v?:?1;297~;3n90:8<525309502<5?63:ad8220=z{;>;?7>53z?7b5<6;o169?<5140890gb28mh4>629~w727=3:1?v3;f1827a=:=;81=8>4=4cf>4053ty98=850;1x91`7289870;=2;37<>;2il0:9l5rs3633?6=:r7?j<4m3:?4fg<6>81v?:?8;296~;3n80i>638bc821c=z{;>;57>52z?7b45d9~w727i3:1>v3;f08a4>;0jk0:9i5rs363f?6=:r7?j<4nf:?4fg<6=j1v?:?c;296~;3n80ji638bc821g=z{;>;h7>52z?7b46c9~w727m3:1>v3;f08aa>;0jk0::l5rs363b?6=:r7?j<4md:?4fg<6>01v?:>0;296~;3n80io638bc8222=z{;>:=7>52z?7b4679~w726:3:1>v3;f08ae>;0jk0::85rs3627?6=:r7?j<4m9:?4fg<6>=1v?:>4;296~;3n80i4638bc8226=z{;>:97>52z?7b4639~w726>3:1>v3;f08b`>;0jk0:9l5rs3623?6=;r7?j<4>389>35e=9=l01:77:042?xu5<821<7=t=5d2>45?34=;o7?;d:?4==<6=o1v?:>9;297~;3n80:?:5271a951e<5>336<;j;|q104g=839p19h>:015?817k3;?n63899821a=z{;>:n7>53z?7b4<6;<16;=m515c892??28?h7p}=40a94?5|5=l:6<=;;<53g?73127<554>5c9~w726l3:1?v3;f08200=:?9i1=874=6;;>40e3ty98?709?c;36<>;0110::l5rs362b?6=;r7?j<4>429>35e=9<=01:77:04:?xu5<;:1<7=t=5d2>42534=;o7?:5:?4==<6>>1v?:=1;297~;3n80:8<5271a9502<5>336<89;|q1074=839p19h>:063?817k3;>?638998220=z{;>9?7>53z?7b4<6;o16;=m5140892??28629~w725=3:1?v3;f0827a=:?9i1=8>4=6;;>4053ty98?850;1x91`62898709?c;37<>;0110:9l5rs3613?6=:r7?j<40c4=;?l0q~<;2983>7}:60c3ty98?750;0x91`62:3o70:i2;15g>{t:=8j6=4={<6e5?5>k27?j?4<6c9~w725j3:1>v3;f080=g=:76;<6e6?5102wx>99j7>52z?7b4<41?168k<53778yv43;90;6?u24g397<3<5=l96>8;;|q1067=838p19h>:2;7?82a:39=?6s|2511>5<5s4>m=7=63:?7b7<4>;1v?:<3;296~;3n8085?524g097370c7=;0;019h=:243?xu5<:?1<76?734>m>7=:f:p61512909w0:i1;1;b>;3n;089h5rs3603?6=:r7?j<4<8d9>0c4=;7}:63d3ty98>750;0x91`62:2i70:i2;16e>{t:=9j6=4={<6e5?5?i27?j?4<589~w724j3:1>v3;f080<<=:68;<6e6?52>2wx>9=j:18182a9393:63;f38010=z{;>8j7>52z?7b4<40<168k<53468yv43<90;6?u24g397=2<5=l96>;<;|q1017=838p19h>:2:0?82a:39>>6s|2561>5<5s4>m=7=72:?7b7<4=81v?:;3;296~;3n8084=524g0971`0c7=;>l019h=:26f?xu5<=?1<761b34>m>7=;d:p61212909w0:i1;14`>;3n;088n5rs3673?6=:r7?j<4<7b9>0c4=;=h0q~<;4983>7}:62f3ty989750;0x91`62:=j70:i2;17=>{t:=>j6=4={<6e5?50127?j?4<499~w723j3:1>v3;f0803==:=7p}=45f94?4|5=l:6>o9;<6e6?50=2wx>9:j:18182a939j963;f38031=z{;>?j7>52z?7b4<4i=168k<53618yv43=90;6?u24g397d5<5=l96>9=;|q1007=838p19h>:2c1?82a:39<=6s|2571>5<5s4>m=7=n1:?7b7<4?91v?::3;296~;3n8085:524g097300c7=;1i019h=:27a?xu5<6>634>m>7=:0:p61312909w0:i1;142>;3n;08885rs3663?6=:r7?j?4m3:?46c<6>81v?::8;296~;3n;0i>6382g821c=z{;>>57>52z?7b7k4>5d9~w722i3:1>v3;f38a4>;0:o0:9i5rs366f?6=:r7?j?4nf:?46c<6=j1v?::c;296~;3n;0ji6382g821g=z{;>>h7>52z?7b7k4>6c9~w722m3:1>v3;f38aa>;0:o0::l5rs366b?6=:r7?j?4md:?46c<6>01v?:90;296~;3n;0io6382g8222=z{;>==7>52z?7b7k4>679~w721:3:1>v3;f38ae>;0:o0::85rs3657?6=:r7?j?4m9:?46c<6>=1v?:94;296~;3n;0i46382g8226=z{;>=97>52z?7b7k4>639~w721>3:1>v3;f38b`>;0:o0:9l5rs3653?6=;r7?j?4>389>2=6=9=l01:>l:042?xu545?34<3<7?;d:?44f<6=o1v?:99;297~;3n;0:?:52692951e<5>:h6<;j;|q103g=839p19h=:015?80?83;?n6380b821a=z{;>=n7>53z?7b7<6;<16:5>515c8926d28?h7p}=47a94?5|5=l96<=;;<4;4?73127<5c9~w721l3:1?v3;f38200=:>1:1=874=62`>40e3ty98;k50;1x91`528>?70870;36<>;08j0::l5rs365b?6=;r7?j?4>429>2=6=9<=01:>l:04:?xu5<>:1<7=t=5d1>42534<3<7?:5:?44f<6>>1v?:81;297~;3n;0:8<526929502<5>:h6<89;|q1024=839p19h=:063?80?83;>?6380b8220=z{;>53z?7b7<6;o16:5>51408926d28629~w720=3:1?v3;f3827a=:>1:1=8>4=62`>4053ty98:850;1x91`5289870870;37<>;08j0:9l5rs3643?6=:r7?j?40c5=;?l0q~<;7983>7}:60c3ty98:750;0x91`52:3o70:i3;15g>{t:==j6=4={<6e6?5>k27?j>4<6c9~w720j3:1>v3;f380=g=:76;<6e7?5102wx>99j:18182a:392463;f28022=z{;>52z?7b7<41?168k=53778yv43090;6?u24g097<3<5=l86>8;;|q10=7=838p19h=:2;7?82a;39=?6s|25:1>5<5s4>m>7=63:?7b6<4>;1v?:73;296~;3n;085?524g197370c4=;0;019h<:243?xu5<1?1<76?734>m?7=:f:p61>12909w0:i2;1;b>;3n:089h5rs36;3?6=:r7?j?4<8d9>0c5=;7}:63d3ty985750;0x91`52:2i70:i3;16e>{t:=2j6=4={<6e6?5?i27?j>4<589~w72?j3:1>v3;f380<<=:68;<6e7?52>2wx>96j:18182a:393:63;f28010=z{;>3j7>52z?7b7<40<168k=53468yv43190;6?u24g097=2<5=l86>;<;|q10<7=838p19h=:2:0?82a;39>>6s|25;1>5<5s4>m>7=72:?7b6<4=81v?:63;296~;3n;084=524g1971`0c4=;>l019h<:26f?xu5<0?1<761b34>m?7=;d:p61?12909w0:i2;14`>;3n:088n5rs36:3?6=:r7?j?4<7b9>0c5=;=h0q~<;9983>7}:62f3ty984750;0x91`52:=j70:i3;17=>{t:=3j6=4={<6e6?50127?j>4<499~w72>j3:1>v3;f3803==:=7p}=48f94?4|5=l96>o9;<6e7?50=2wx>97j:18182a:39j963;f28031=z{;>2j7>52z?7b7<4i=168k=53618yv43i90;6?u24g097d5<5=l86>9=;|q10d7=838p19h=:2c1?82a;39<=6s|25c1>5<5s4>m>7=n1:?7b6<4?91v?:n3;296~;3n;085:524g197300c4=;1i019h<:27a?xu56>634>m?7=:0:p61g12909w0:i2;142>;3n:08885rs36b3?6=:r7?j>4m3:?5e6<6>81v?:n8;296~;3n:0i>639a2821c=z{;>j57>52z?7b64>5d9~w72fi3:1>v3;f28a4>;1i:0:9i5rs36bf?6=:r7?j>4nf:?5e6<6=j1v?:nc;296~;3n:0ji639a2821g=z{;>jh7>52z?7b64>6c9~w72fm3:1>v3;f28aa>;1i:0::l5rs36bb?6=:r7?j>4md:?5e6<6>01v?:m0;296~;3n:0io639a28222=z{;>i=7>52z?7b64>679~w72e:3:1>v3;f28ae>;1i:0::85rs36a7?6=:r7?j>4m9:?5e6<6>=1v?:m4;296~;3n:0i4639a28226=z{;>i97>52z?7b64>639~w72e>3:1>v3;f28b`>;1i:0:9l5rs36a3?6=;r7?j>4>389>1c2=9=l01;6?:042?xu545?34?m87?;d:?5<5<6=o1v?:m9;297~;3n:0:?:525g6951e<5?2;6<;j;|q10gg=839p19h<:015?83a<3;?n63981821a=z{;>in7>53z?7b6<6;<169k:515c893>728?h7p}=4ca94?5|5=l86<=;;<7e0?73127=4=4>5c9~w72el3:1?v3;f28200=:=o>1=874=7:3>40e3ty98ok50;1x91`428>?70;i4;36<>;1090::l5rs36ab?6=;r7?j>4>429>1c2=9<=01;6?:04:?xu542534?m87?:5:?5<5<6>>1v?:l1;297~;3n:0:8<525g69502<5?2;6<89;|q10f4=839p19h<:063?83a<3;>?639818220=z{;>h?7>53z?7b6<6;o169k:5140893>728629~w72d=3:1?v3;f2827a=:=o>1=8>4=7:3>4053ty98n850;1x91`4289870;i4;37<>;1090:9l5rs36`3?6=:r7?j>40c2=;?l0q~<;c983>7}:60c3ty98n750;0x91`42:3o70:i4;15g>{t:=ij6=4={<6e7?5>k27?j94<6c9~w72dj3:1>v3;f280=g=:1?;o4}r07gf<72;q68k=538c891`32:<27p}=4bf94?4|5=l86>76;<6e0?5102wx>9mj:18182a;392463;f58022=z{;>hj7>52z?7b6<41?168k:53778yv43l90;6?u24g197<3<5=l?6>8;;|q10a7=838p19h<:2;7?82a<39=?6s|25f1>5<5s4>m?7=63:?7b1<4>;1v?:k3;296~;3n:085?524g697370c5=;0;019h;:243?xu56?734>m87=:f:p61b12909w0:i3;1;b>;3n=089h5rs36g3?6=:r7?j>4<8d9>0c2=;7}:63d3ty98i750;0x91`42:2i70:i4;16e>{t:=nj6=4={<6e7?5?i27?j94<589~w72cj3:1>v3;f280<<=:1?864}r07`f<72;q68k=539:891`32:?<7p}=4ef94?4|5=l86>68;<6e0?52>2wx>9jj:18182a;393:63;f58010=z{;>oj7>52z?7b6<40<168k:53468yv43m90;6?u24g197=2<5=l?6>;<;|q10`7=838p19h<:2:0?82a<39>>6s|25g1>5<5s4>m?7=72:?7b1<4=81v?:j3;296~;3n:084=524g6971`0c5=;>l019h;:26f?xu561b34>m87=;d:p61c12909w0:i3;14`>;3n=088n5rs36f3?6=:r7?j>4<7b9>0c2=;=h0q~<;e983>7}:62f3ty98h750;0x91`42:=j70:i4;17=>{t:=oj6=4={<6e7?50127?j94<499~w72bj3:1>v3;f2803==:1?994}r07af<72;q68k=5365891`32:>=7p}=4df94?4|5=l86>o9;<6e0?50=2wx>9kj:18182a;39j963;f58031=z{;>nj7>52z?7b6<4i=168k:53618yv43n90;6?u24g197d5<5=l?6>9=;|q10c7=838p19h<:2c1?82a<39<=6s|25d1>5<5s4>m?7=n1:?7b1<4?91v?:i3;296~;3n:085:524g697300c5=;1i019h;:27a?xu56>634>m87=:0:p61`12909w0:i3;142>;3n=08885rs36e3?6=:r7?j94m3:?552<6>81v?:i8;296~;3n=0i>63916821c=z{;>m57>52z?7b15d9~w72ai3:1>v3;f58a4>;19>0:9i5rs36ef?6=:r7?j94nf:?552<6=j1v?:ic;296~;3n=0ji63916821g=z{;>mh7>52z?7b16c9~w72am3:1>v3;f58aa>;19>0::l5rs36eb?6=:r7?j94md:?552<6>01v?;?0;296~;3n=0io639168222=z{;?;=7>52z?7b1679~w737:3:1>v3;f58ae>;19>0::85rs3737?6=:r7?j94m9:?552<6>=1v?;?4;296~;3n=0i4639168226=z{;?;97>52z?7b1639~w737>3:1>v3;f58b`>;19>0:9l5rs3733?6=;r7?j94>389>13>=9=l018h;:042?xu5=921<7=t=5d7>45?34?=47?;d:?6b1<6=o1v?;?9;297~;3n=0:?:5257:951e<553z?7b1<6;<169;6515c890`328?h7p}=51a94?5|5=l?6<=;;<75j94>5c9~w737l3:1?v3;f58200=:=?21=874=4d7>40e3ty99=k50;1x91`328>?70;98;36<>;2n=0::l5rs373b?6=;r7?j94>429>13>=9<=018h;:04:?xu5=8:1<7=t=5d7>42534?=47?:5:?6b1<6>>1v?;>1;297~;3n=0:8<5257:9502<5?63:f58220=z{;?:?7>53z?7b1<6;o169;65140890`328j94>629~w736=3:1?v3;f5827a=:=?21=8>4=4d7>4053ty99<850;1x91`3289870;98;37<>;2n=0:9l5rs3723?6=;r7?j840c1=9:;015o<:`28yv42910;6>u24g497dd<5=l36<=>;<:b7?g53ty99<750;1x91`02:ki70:i9;305>;?lj0j<6s|243b>5<4s4>m47=nb:?7bd<6;8164im5a39~w736j3:1?v3;f880eg=:?4=836>d6=n4?:2y>0cg=;hh019hl:012?8?6=3k97p}=50f94?5|5=li6>om;<6e`?7492728h4n0:p607b2908w0:ic;1bf>;3nl0:?<5295g9e7=z{;?:j7>52z?7ba<4ik165595a19~w73583:1>v3;fd80eg=:11=1m?5rs3715?6=;r7?jk4156=9:;015o<:2a;?xu5=;81<7=t=423>6ge34?;=7?<1:?;`f<4k11v?;=3;297~;28808mo525109567<50;>6>m7;|q1172=839p18>=:2ca?837;3;8=6364d80g==z{;?997>52z?646<4ik1655953b:8yv42:?0;64u25169567<5<:j6>om;<7g5?5d?27==:4e5b=;j=01l=<:2a4?8e0;38:h63k18815a=:l8k1>;>k808o:529d597f1<5j=96??k;?4=1e9>`75=:8n01i<;:33g?xu5=;21<78t=426>45634?;h7=nb:?6e`<4k>169k:53b589<`f2:i<70o=0;1`3>{t:<826=4:{<731?5fj27>>?413>=;j=014oj:2a4?8?c<39h;6s|240b>5<4s4?;:7?<1:?64g<4ik1659k5a99~w735j3:1>v3:0780eg=:11=1m55rs371g?6=;r7><:4>309>15e=;hh014:j:`48yv42:m0;6?u251597dd<502<6l84}r066`<72?q69=651238906b2:ki70;k1;;f?806?33n70o?d;;f?8g4;33n7p}=53d94?3|5<:36>om;<771??b34?3n77j;<;`5??b343n;77j;|q1166=836:012?837n39jn63:ad8:a>;2n=02i636f`8:a>;f:902i6s|2412>5<2s4?;57=nb:?667<>m27>:546e:?:e`<>m272h946e:p60552902w0;?a;305>;29808mo5264f97f1<5?k86>m8;g22=:8n01i?m:33g?8b6k38:h6s|2410>5<4s4?;n7?<1:?657<4ik165<;5a99~w734<3:1?v3:0b8274=:=891?ll4=836>d0?84?:7y>15b=9:;018??:2ca?804i39h;6398180g2=:i=<1?n94=`4`>6e03ty99>850;4x906b289:70;>4;1bf>;1=m02i639a28:a>;f=002i63n7g8:a>{t:<9<6=49{<73b?74927>=8426g=1l16:5>59d9>e10=1l16m;m59d9~w73403:1:v3:118274=:=8=1?ll4=7a5>6e034=;o7=l7:?b=7<4k>16mo653b58yv42;00;64u25039567<5<;=6>om;<4f=?5d?27<>k4ed3=;j=01lmm:2a4?8e0=38:h63k1e815a=:l8o1>45634?:57=nb:?;`f2wx>8=l:185836<3;8=63:1c80eg=:>l315h5273d9=`=:ih?15h52ab`9=`=z{;?8h7>56z?650<6;8169l:8g89d?520o01ll7:8g8yv42;l0;64u25049567<5<;o6>om;<541?5d?27ec7=;j=01o?8:2a4?8e0>38:h63k1g815a=:l;:1>;01108o:52aeg97f1<5k:?6>m8;|q1116=839p18?7:012?835839jn637a28b<>{t:<>:6=4<{<72=?74927>><4?964k4=6;;>8>4?:7y>14d=9:;018?i:2ca?810=33n709mb;;f?8ga933n70l>7;;f?xu5==>1<79t=43g>456342;=7=l7:?;02<4k>16n>j53b589g042:i<70j<5;1bf>;a0?0:?<5rs3771?6=?r7>=h4>309>3ac=;j=015<;:2a4?8d5i39h;63m5180g2=:mj?1?ll4=gg;>4563ty999850;1x902228>m70l66;1bf>;cm;0:?<5rs3773?6=;r7>884>4e9>f<1=;hh01ik;:012?xu5==21<7=t=466>42d34h247=nb:?ga3<6;81v?;;9;297~;2<<0:8o52b8;97dd<5mo36<=>;|q111g=839p18:::06b?8d>i39jn63keb8274=z{;??n7>53z?600<6<016n4l53``89acb289:7p}=55a94?5|5<>>6<;6;<`:g?5fj27oil4>309~w733l3:1?v3:44821==:j0n1?ll4=ed3>4563ty999k50;1x902228?<70l6e;1bf>;cn;0:?<5rs377b?6=;r7>884>549>f<`=;hh01ih;:012?xu5=<:1<7=t=466>43334hj<7=nb:?gb3<6;81v?;:1;297~;2<<0:9>52b`397dd<5mlj6<=>;|q1104=839p18:::071?8df:39jn63kfb8274=z{;?>?7>53z?600<6=816nl=53``89a`?289:7p}=54694?5|5<>>6<;?;<`b0?5fj27ojh4>309~w732=3:1?v3:44820==:jh?1?ll4=d23>4563ty998850;1x90>e28>m70l8e;1bf>;ck;0:?<5rs3763?6=;r7>4o4>4e9>f2`=;hh01im9:012?xu5=<21<7=t=4:a>42d34h3<7=nb:?gg=<6;81v?;:9;297~;20k0:8o52b9397dd<5mi?6<=>;|q110g=839p186m:06b?8d?:39jn63kc`8274=z{;?>n7>53z?6309~w732l3:1?v3:8c821==:j1?1?ll4=ef3>4563ty998k50;1x90>e28?<70l76;1bf>;cl=0:?<5rs376b?6=;r7>4o4>549>f=1=;hh01ij9:012?xu5=?:1<7=t=4:a>43334h347=nb:?g`7<6;81v?;91;297~;20k0:9>52b9;97dd<5mn36<=>;|q1134=839p186m:071?8d?i39jn63kd`8274=z{;?=?7>53z?6309~w731=3:1?v3:8c820==:j1n1?ll4=eg3>4563ty99;850;1x90b628>m70jj3;1bf>;bm?0:?<5rs3753?6=;r7>h<4>4e9>``3=;hh01hk7:012?xu5=?21<7=t=4f2>42d34nn;7=nb:?faf<6;81v?;99;297~;2l80:8o52dd;97dd<5lon6<=>;|q113g=839p18j>:06b?8bbl39jn63je`8274=z{;?=n7>53z?6`4<6<016hhh53``89``7289:7p}=57a94?5|5309~w731l3:1?v3:d0821==:lo;1?ll4=dd7>4563ty99;k50;1x90b628?<70ji3;1bf>;bn?0:?<5rs375b?6=;r7>h<4>549>`c3=;hh01hhn:012?xu5=>:1<7=t=4f2>43334nm;7=nb:?fbf<6;81v?;81;297~;2l80:9>52dg`97dd<5ll36<=>;|q1124=839p18j>:071?8bal39jn63jfd8274=z{;?53z?6`4<6=816hk753``89c67289:7p}=56694?5|5309~w730=3:1?v3:d0820==:m9;1?ll4=g27>4563ty99:850;1x937028>m70jl3;1bf>;bk10:?<5rs3743?6=;r7==:4>4e9>`f1=;hh01hm9:012?xu5=>21<7=t=734>42d34nh57=nb:?fgd<6;81v?;89;297~;19>0:8o52db797dd<5lih6<=>;|q112g=839p1;?8:06b?8bdj39jn63jcd8274=z{;?53z?552<6<016hnj53``89`b7289:7p}=56a94?5|5?;<6<;6;309~w730l3:1?v3916821==:lm;1?ll4=df5>4563ty99:k50;1x937028?<70jk5;1bf>;bl;0:?<5rs374b?6=;r7==:4>549>`a1=;hh01hj7:012?xu5=1:1<7=t=734>43334no?7=nb:?f`d<6;81v?;71;297~;19>0:9>52de;97dd<5lnh6<=>;|q11=4=839p1;?8:071?8bcj39jn63jdd8274=z{;?3?7>53z?552<6=816hij53``89`c5289:7p}=59694?5|5?;<6<;?;309~w73?=3:1?v3916820==:ll;1?ll4=dg3>4563ty995850;1x933c28>m70kj7;1bf>;aih0:?<5rs37;3?6=;r7=9i4>4e9>a`?=;hh01kol:012?xu5=121<7=t=77g>42d34onh7=nb:?ee=<6;81v?;79;297~;1=m0:8o52edd97dd<5okn6<=>;|q11=g=839p1;;k:06b?8cbj39jn63ib18274=z{;?3n7>53z?51a<6<016ik?53``89cd5289:7p}=59a94?5|5??o6<;6;309~w73?l3:1?v395e821==:mo?1?ll4=g`;>4563ty995k50;1x933c28?<70ki7;1bf>;ajh0:?<5rs37;b?6=;r7=9i4>549>acd=;hh01kl9:012?xu5=0:1<7=t=77g>43334omh7=nb:?eff<6;81v?;61;297~;1=m0:9>52eg;97dd<5ohn6<=>;|q11<4=839p1;;k:071?8can39jn63ic18274=z{;?2?7>53z?51a<6=816j=?53``89ce5289:7p}=58694?5|5??o6<;?;309~w73>=3:1?v395e820==:n9?1?ll4=ga5>4563ty994850;1x93g428>m70kl9;1bf>;a0h0:?<5rs37:3?6=;r7=m>4>4e9>af1=;hh01k6l:012?xu5=021<7=t=7c0>42d34ohn7=nb:?e<=<6;81v?;69;297~;1i:0:8o52ebf97dd<5o3;6<=>;|q1153z?5e6<6<016ii?53``89c>b289:7p}=58a94?5|5?k86<;6;309~w73>l3:1?v39a2821==:mm=1?ll4=g;5>4563ty994k50;1x93g428?<70kk3;1bf>;a110:?<5rs37:b?6=;r7=m>4>549>aa?=;hh01k7n:012?xu5=h:1<7=t=7c0>43334oon7=nb:?e=`<6;81v?;n1;297~;1i:0:9>52eef97dd<5ok;6<=>;|q11d4=839p1;o<:071?8ccn39jn63i9b8274=z{;?j?7>53z?5e6<6=816ih=53``89cg5289:7p}=5`694?5|5?k86<;?;309~w73f=3:1?v39a2820==:ml;1?ll4=gc5>4563ty99l850;1x93c>28>m70hnb;1bf>;689k1=>?4}r06e2<72:q6:h7515f89cgc2:ki70??0b8274=z{;?j47>53z?5a<<65<4s442f34li=7=nb:?2444=9:;0q~<:ac83>6}:>l31=974=g`0>6ge34;;=;4>309~w73fk3:1?v39e8821<=:nk?1?ll4=0228ok:18080b13;>463ib880eg=:99;?6<=>;|q11dc=839p1;k6:074?8`ej39jn63>00c9567mk4?:2y>2`?=9559>bgb=;hh01<>>e;305>{t:5547289:7p}=5c094?5|5?o26<;=;u26d;9507<5oi86>om;<3363<6;81v?;m4;297~;1m00:9=52fb797dd<58:9>7?<1:p60d22908w08j9;37<>;ak>08mo52110;>4563ty99o850;1x924a28>m70h7b;1bf>;amh0:?<5rs37a3?6=;r7<>k4>4e9>b=b=;hh01kkj:012?xu5=k21<7=t=60e>42d34l357=nb:?eb5<6;81v?;m9;297~;0:o0:8o52f8397dd<5ooh6<=>;|q11gg=839p1:;39jn63if38274=z{;?in7>53z?46c<6<016j5h53``89c`3289:7p}=5ca94?5|5>8m6<;6;309~w73el3:1?v382g821==:n0=1?ll4=gd;>4563ty99ok50;1x924a28?<70h69;1bf>;anj0:?<5rs37ab?6=;r7<>k4>549>b43334l2j7=nb:?ebd<6;81v?;l1;297~;0:o0:9>52f`397dd<58:;<7?<1:p60e52908w09=f;366>;a1m08mo521121>4563ty99n=50;1x924a28?:70hn3;1bf>;689>1=>?4}r06g1<72:q6;?h514289cg22:ki70??078274=z{;?h97>53z?46c<6<116jl953``8946703;8=6s|24a5>5<4s4=<97?;f:?245d=;hh01<>9c;305>{t:5<4s4=<97?;c:?245`=;hh01<>80;305>{t:5<4s4=<97?;a:?2445=;hh01<>9e;305>{t:5<4s4=<97?:9:?244?=;hh01<>86;305>{t:5<4s4=<97?:7:?244d=;hh01<>8a;305>{t:5<4s4=<97?:4:?244`=;hh01<>70;305>{t:5<4s4=<97?:2:?2473=;hh01<>72;305>{t:5<4s4=<97?:0:?2475=;hh01<>76;305>{t:6=4<{<541?73027:5<4s4=in7?;f:?eag<4ik16==:l:012?xu5=m=1<7=t=6`a>42c34lnj7=nb:?241g=9:;0q~<:d983>6}:?kh1=9m4=gd2>6ge34;;8h4>309~w73c13:1?v38bc820g=:nln1?ll4=0264?7492wx>8jn:18081ej3;?m63if280eg=:99?96<=>;|q11ad=839p1:lm:06:?8`a=39jn63>0469567hn4?:2y>3gd=9<301kh8:2ca?877=10:?<5rs37g`?6=;r7599>bc?=;hh01<>:a;305>{t:5531289:7p}=5ed94?5|5>hi6<;:;u27c`9502<5oli6>om;<331`<6;81v?;j1;297~;0jk0:9>521122>6ge34;;:=4>309~w73b:3:1?v38bc8217=:99:86>om;<3327<6;81v?;j3;297~;0jk0:9<521126>6ge34;;:;4>309~w73b<3:1?v38bc8215=:99:<6>om;<332=<6;81v?;j5;297~;0jk0:8552112:>6ge34;;:94>309~w73b>3:1>v38dd820c=:lh91?ll4}r06a2<72;q6;ik515f89ag22:ki7p}=5d:94?4|5>nn6<:l;8k6:18181cm3;?n63kac80eg=z{;?nm7>52z?4``<6om;|q11`e=838p1:jj:07:?8bfn39jn6s|24gg>5<5s4=oi7?:8:?gf4<4ik1v?;je;296~;0ll0:9:52dc197ddik4?:3y>3ac=943334ni57=nb:p60`62909w09ke;367>;cjk08mo5rs37e6?6=:r7539>`g1=;hh0q~<:f283>7}:?mo1=8?4=e`g>6ge3ty99k:50;0x92bb28?;70jmf;1bf>{t:6=4={<5ga?73027oo<43:1>v3700820c=:99om;|q11c1=838p15>>:06g?877>k08mo5rs37e4b9>55162:ki7p}=5g;94?4|51::6<:m;<3336<4ik1v?;ia;296~;?880:8l52114e>6ge3ty99kl50;0x9=6628>270??7480eg=z{;?mo7>52z?;44<6=016==98:2ca?xu5=on1<743?34;;;44v37008212=:99=i6>om;|q11c`=838p15>>:076?877?o08mo5rs3434?6=:r73<<4>559>55>62:ki7p}=61394?4|51::6<;<;<333a<4ik1v?8?2;296~;?880:9?5211:0>6ge3ty9:==50;0x9=6628?:70??8480eg=z{;<;87>52z?;44<6=916==68:2ca?xu5>9?1<742?34;;4443:1>v3725820c=:l>?1?ll4}r0542<72;q64?:515f89a162:ki7p}=61:94?4|518?6<:l;;>6:1818>5<3;?n63k7880eg=z{;<;m7>52z?;61<6om;|q125e=838p15<;:07:?8b?939jn6s|272g>5<5s42987?:8:?g<6<4ik1v?8?e;296~;?:=0:9:52d6d97dd<72=98:1<743334n3;7=nb:p63762909w06=4;367>;c0008mo5rs3426?6=:r73>94>539>`=d=;hh0q~<91283>7}:0;>1=8?4=e:e>6ge3ty9:<:50;0x9=4328?;70j61;1bf>{t:?;>6=4={<:10?73027o4i43:1>v3746820c=:99>o6>om;|q1241=838p15:8:06g?8774b9>552a2:ki7p}=60;94?4|51><6<:m;<3314<4ik1v?8>a;296~;?<>0:8l521170>6ge3ty9:270??5480eg=z{;<:o7>52z?;02<6=016==;6:2ca?xu5>8n1<743?34;;9o4v37468212=:99?<6>om;|q124`=838p15:8:076?877=m08mo5rs3414?6=:r738:4>559>553a2:ki7p}=63394?4|51><6<;<;<3324<4ik1v?8=2;296~;?<>0:9?521140>6ge3ty9:?=50;0x9=2028?:70??6680eg=z{;<987>52z?;02<6=916==86:2ca?xu5>;?1<742?34;;:843:1?v376`8230=:jh<1=:;4=e1a>6ge3ty9:?950;1x9=0f28=870ln6;347>;c;m08mo5rs341739>fd0=9>801i=6:2ca?xu5>;31<7=t=94b>41634hj:7?81:?g7c<4ik1v?8=a;297~;?>h0:;=52b`49526<5m>:6>om;|q127d=839p158n:04e?8df>3;=j63k4280eg=z{;<9o7>53z?;2d<6>l16nl8517g89a222:ki7p}=63f94?5|516ge3ty9:?h50;1x9=0f283h70ln6;3:g>;c<>08mo5rs3404?6=;r73:l4>9c9>fd0=90h01i:k:2ca?xu5>:;1<7=t=94b>4?f34hj:7?6a:?g0c<4ik1v?8<2;297~;?>h0:5452b`495om;|q1265=839p158n:0;;?8df>3;2463k5280eg=z{;<887>53z?;2d<60l16nl8519g89a302:ki7p}=62794?5|513:1?v376`823==:jh<1=:64=e76>6ge3ty9:>950;1x9=0f28;c=k08mo5rs340a69>?0q~<93883>7}:0?k1=l;4=9c0>4143ty9:>o50;0x9=0f28k?706n3;346>{t:?9i6=4={<:5e?7f;273m>4>709~w704k3:1>v376`82e7=:0h91=:>4}r057a<72;q64;o51`389=g428;=i:1818>1i3;2j637a2822a=z{;52z?;2d<6ko164l=518f8yv41<80;6?u287c95fc<51k86<7l;|q1214=838p158n:0ag?8>f;3;2n6s|2760>5<5s42=m7?lc:?;e6<61h1v?8;4;296~;?>h0:oo528`195<3g=9jk015o<:0;;?xu5>=<1<74e7342j?7?7e:p63202909w069a;3a1>;?i:0:4>5rs347a`9>20q~<94883>7}:0?k1=4k4=9c0>40d3ty9:9o50;0x9=g428k<706kc;341>{t:?>i6=4={<:b7?7f=273hn4>729~w703k3:1>v37a282e1=:0mi1=:<4}r050a<72;q64l=51`189=bd28=:7p}=65g94?4|51k86;:i:1818>f;3;j=637db822c=z{;<><7>52z?;e6<6i9164im517g8yv41=80;6?u28`195<`<51nh6<8k;|q1204=838p15o<:0ae?8>ck3;2h6s|2770>5<5s42j?7?le:?;`f<61j1v?8:4;296~;?i:0:oi528ea95<<1<74ee342oo7?69:p63302909w06n3;3`e>;?lj0:555rs3464>c19>7}:0h91=o;4=9f`>4>43ty9:8o50;0x9=g428kj706kc;34<>{t:??i6=4={<:b7?7>m273hn4>6b9~w702k3:1>v37db82e2=:18?1=:;4}r051a<72;q64im51`789<7228=87p}=64g94?4|51nh6;;i:1818>ck3;j?636148234=z{;<=<7>52z?;`f<6i;165<;51628yv41>80;6?u28ea95d7<50;>6<8i;|q1234=838p15jl:0c3?8?6=3;=i6s|2740>5<5s42oo7?6f:?:50<6>m1v?894;296~;?lj0:ok5290795?<1<74ec343:97?6b:p63002909w06kc;3`g>;>9<0:5l5rs345cc9>=43=9030q~<96883>7}:0mi1=no4=836>4??3ty9:;o50;0x9=bd28i;707>5;3;a>{t:?829~w701k3:1>v37db82ed=:18?1=:64}r052a<72;q64im518g89<72286;8i:1818?6=3;j96364d8236=z{;<<<7>52z?:50<6i=1659k51608yv41?80;6?u290795d5<50>n6<9>;|q1224=838p14?::0c1?8?3m3;<<6s|2750>5<5s43:97?n1:?:0`<6>o1v?884;296~;>9<0:m=5295g953c=43=90l014:j:04g?xu5>><1<74ea343?i7?6d:p63102909w07>5;3`a>;>ce9>=1c=90h0q~<97883>7}:18?1=nm4=86f>4?f3ty9::o50;0x9<7228ii707;e;3:=>{t:?=i6=4={<;21?7di2728h4>999~w700k3:1>v361482g5=:1=o1=5k4}r053a<72;q65<;51c789<2b28287p}=66g94?4|50;>6;9i:1818?6=3;2i6364d822f=z{;<3<7>52z?:0`<6i>1655951678yv41080;6?u295g95d3<502<6<9<;|q12=4=838p14:j:0c7?8???3;<>6s|27:0>5<5s43?i7?n3:?:<2<6?81v?874;296~;>=1c=9h;01468:04e?xu5>1<1<74g73433;7?9e:p63>02909w07;e;3:b>;>0>0::i5rs34;cg9>==1=90n0q~<98883>7}:1=o1=nk4=8:4>4?d3ty9:5o50;0x9<2b28io70777;3:f>{t:?2i6=4={<;7a?7dk2724:4>9`9~w70?k3:1>v364d82gg=:11=1=474}r05028337p}=69g94?4|50>n6;6i:1818?3m3;i96368682<6=z{;<2<7>52z?:0`<6ih16559516:8yv41180;6?u295g95:074?8d?m39jn63j538274=z{;<2?7>53z?:g4<6=<16n5h53``89`33289:7p}=68694?5|50i:6<;;;<`:4?5fj27n9;4>309~w70>=3:1?v36c08216=:j0;1?ll4=d7;>4563ty9:4850;1x9;b=h0:?<5rs34:3?6=;r72o<4>509>f<5=;hh01h;j:012?xu5>021<7=t=8a2>43734h287=nb:?f25<6;81v?869;297~;>k80:8552b8797dd<5l?h6<=>;|q1239jn63j438274=z{;<2n7>53z?:a2<6=<16n:953``89`23289:7p}=68a94?5|50o<6<;;;<`4309~w70>l3:1?v36e68216=:j>31?ll4=d6b>4563ty9:4k50;1x9;b509>f2d=;hh01h:7:012?xu5>h:1<7=t=8g4>43734hm>0:8552b6f97dd<5l?;6<=>;|q12d4=839p1l>k:074?8c2;39jn63i178274=z{;53z?b4a<6=<16i8;53``89c7?289:7p}=6`694?5|5h:o6<;;;309~w70f=3:1?v3n0e8216=:m<31?ll4=g3`>4563ty9:l850;1x9d6c28?970k:b;1bf>;a:90:?<5rs34b3?6=;r7j509>a0`=;hh01k<=:012?xu5>h21<7=t=`2g>43734o==7=nb:?e5`<6;81v?8n9;297~;f8m0:8552e4f97dd<5o8?6<=>;|q12dg=839p1l=<:074?8c3;39jn63i078274=z{;53z?b76<6=<16i9;53``89c6?289:7p}=6`a94?5|5h986<;;;309~w70fl3:1?v3n328216=:m=h1?ll4=g2f>4563ty9:lk50;1x9d5428?970k;d;1bf>;a8h0:?<5rs34bb?6=;r7j?>4>509>a1?=;hh01k??:012?xu5>k:1<7=t=`10>43734o?j7=nb:?e57<6;81v?8m1;297~;f;:0:8552e4397dd<5o;?6<=>;|q12g4=839p1l;6:074?8`6?39jn63id98274=z{;53z?b1<<6=<16j<753``89cbf289:7p}=6c694?5|5h?26<;;;309~w70e=3:1?v3n588216=:n8n1?ll4=gg3>4563ty9:o850;1x9d3>28?970h=1;1bf>;am;0:?<5rs34a3?6=;r7j944>509>b75=;hh01kjj:012?xu5>k21<7=t=`7:>43734l:j7=nb:?ea1<6;81v?8m9;297~;f=00:8552f3797dd<5oo=6<=>;|q12gg=839p1l9i:074?8`7?39jn63ic98274=z{;53z?b3c<6=<16j=753``89ced289:7p}=6ca94?5|5h=m6<;;;309~w70el3:1?v3n7g8216=:n9l1?ll4=gab>4563ty9:ok50;1x9d1a28?970h?b;1bf>;al90:?<5rs34ab?6=;r7j;k4>509>b47=;hh01kj=:012?xu5>j:1<7=t=`5e>43734l:?7=nb:?e`1<6;81v?8l1;297~;f?o0:8552f0797dd<5on=6<=>;|q12f4=839p1lo::074?8`c139jn63>02c95674?:2y>ed3=9559>bab=;hh01<>;0;305>{t:?i>6=4<{555d289:7p}=6b494?5|5hk>6<;=;0;6>u2a`79507<5onm6>om;<3301<6;81v?8l8;297~;fi<0:9=52fd797dd<58:?:7?<1:p63e>2908w0on5;37<>;am>08mo52116;>4563ty9:no50;1x9dee28?<70hl9;1bf>;68;i1=>?4}r05gg<72:q6mnl514789cec2:ki70??2d8274=z{;53z?bgg<6==16jnh53``89465i3;8=6s|27ag>5<4s4khn7?:3:?egg<4ik16===?:012?xu5>jo1<7=t=`aa>43534lo=7=nb:?2464=9:;0q~<9cg83>6}:ijh1=8?4=gf0>6ge34;;?94>309~w70c83:1?v3ncc8215=:nm?1?ll4=0202?7492wx>;j>:1808gdj3;?463id680eg=:99936<=>;|q12a4=839p1lh>:074?877;k08mo5211;`>4563ty9:i=50;1x9d`628?>70??3g80eg=:993n6<=>;|q12a2=839p1lh>:077?877<808mo5211;b>4563ty9:i;50;1x9d`628?870??3e80eg=:99k;6<=>;|q12a0=839p1lh>:071?877<:08mo5211c1>4563ty9:i950;1x9d`628?:70??4480eg=:99k?6<=>;|q12a>=839p1lh>:073?877<>08mo5211c5>4563ty9:i750;1x9d`628>370??4880eg=:99k36<=>;|q12ag=839p1o?8:074?877:m08mo5211:`>4563ty9:il50;1x9g7028?>70??2g80eg=:992j6<=>;|q12ae=839p1o?8:077?877:k08mo5211:f>4563ty9:ij50;1x9g7028?870??3080eg=:993;6<=>;|q12ac=839p1o?8:071?877;:08mo5211;1>4563ty9:ih50;1x9g7028?:70??3480eg=:993?6<=>;|q12`6=839p1o?8:073?877;>08mo5211;5>4563ty9:h?50;1x9g7028>370??3880eg=:99336<=>;|q12`4=838p1o;39jn6s|27g0>5<5s4h9m7?:5:?g=0<4ik1v?8j4;296~;e:h0:9952d8597ddf7g=9<901i76:2ca?xu5>l<1<743534n2h7=nb:p63c02909w0l=a;365>;c1o08mo5rs34fl4>519>`7}:j;k1=964=ec2>6ge3ty9:ho50;0x9g5c28?<70??9e80eg=z{;52z?a7a<6=<16==7i:2ca?xu5>li1<743334;;5o4v3m3e8216=:99k:6>om;|q12`c=838p1o=k:071?877i:08mo5rs34fb?6=:r7i?i4>509>55g22:ki7p}=6g294?4|5k9o6<;?;<33e2<4ik1v?8i1;296~;e;m0:855211c:>6ge3ty9:k<50;0x9g3728?<70j95;1bf>{t:?l86=4={<`64?72=27o::4v3m518211=:l?91?ll4}r05b0<72;q6n8>514189a0>2:ki7p}=6g494?4|5k?;6<;=;;h8:1818d283;>=63k6e80eg=z{;52z?a15<6=916h;h53``8yv41n00;6?u2b42951><5m=86>om;|q12cg=838p1o8<:074?8770m08mo5rs34ef?6=:r7i:>4>549>55>e2:ki7p}=6ga94?4|5k<86<;;;<33:0:9>5211;2>6ge3ty9:kk50;0x9g0428?970??9280eg=z{;52z?a26<6=816==7::2ca?xu5?9:1<743734;;5:4v3m62820==:99326>om;|q1354=83;:w0l86;314>;e?>0:>=52b6:9576<5k=26<219>f2e=9;:01o9k:003?8d0m3;9<63m7g8265=:j1:1=?>4=c:2>44734h3>7?=0:?a<6<6:916n5:513289g>2288;70j=4;137>{t:>:86=4=1z?a<3<6:916n59513289g>?288;70l79;314>;e0h0:>=52b9`9576<5k2h6<219>f=`=9;:01o7?:003?8d>93;9<63m938265=:j091=?>4=c;7>44734h297?=0:?a=3<6:916n49513289g??288;70l69;314>;e1h0:>=52b8`9576<5k3h6<219>f<`=9;:01oo?:003?8df93;9<63ma38265=:jh91=?>4=cc7>44734hj97?=0:?g66<48:1v?9?4;296~;ei?0j:63k3280eg=z{;=;97>53z?aga<5::16ni653c:89f1?2::87p}=71494?4|5kio6>><;:>8:1808ddm3;9n63md2826g=:jm>1>?:4}r044=<72:q6nnk523189gb42:h370mie;137>{t:>:26=4={<``a?57;27hih4>309~w717i3:1?v3mcg826g=:jm>1=?l4=cf6>7433ty9;=l50;1x9gea2;8870lk4;1a<>;dno08<>5rs353g?6=:r7iok4<029>g``=9:;0q~<80e83>6}:jm:1=?l4=cf6>44e34ho:7<=4:p626b2908w0lk0;017>;el<08n552d129755fa6=;9901nh?:012?xu5?8:1<7=t=cf2>44e34ho:7?=b:?a`2<5:=1v?9>1;297~;el809>>52be497g><5m::6>><;|q1344=838p1oj>:220?8ea93;8=6s|2630>5<4s4ho>7?=b:?a`2<6:k16ni652368yv409=0;6>u2be09675<5kn<6>l7;:?::1818dc:39;?63lf38274=z{;=::7>5dz?a`6<58:16o9h520g89f372;;o70m88;02`>;dl>09=h52ce:964b<5jn26??k;gae=:8n01nkj:2ca?8eam38:o63k2b815g=z{;=:;7>53z?a`1<58:16ohh53``89f`a2;;h7p}=70:94?5|5kn>6?><;77d3ty9;;c8;09=n5rs352f?6=;r7ih54=029>g2>=:8i01nh<:2ca?xu5?8i1<745634i<57=?3:p627c2909w0lka;305>;d?k08<>5rs352a?6=:r7iho4>309>g2g=;990q~<81g83>7}:jmi1=>?4=b5`>6643ty9;?>50;0x9gbc289:70m8e;137>{t:>8:6=4={<`ga?74927h;i4<029~w715:3:1>v3mdg8274=:k>l1?==4}r0466<72;q6nh>512389f>62::87p}=73694?4|5ko:6<=>;:<::1818db:3;8=63l838046=z{;=9:7>52z?aa6<6;816o5:53118yv40:>0;6?u2bd69567<5j286>><;|q137>=838p1ok::012?8e?=39;?6s|260:>5<5s4hn:7?<1:?`<2<48:1v?9=a;296~;em>0:?<52c949755o4?:3y>f`>=9:;01n67:220?xu5?;i1<745634i3m7=?3:p624c2909w0lja;305>;d0008<>5rs351a?6=:r7iio4>309>g=d=;990q~<82g83>7}:jli1=>?4=b:g>6643ty9;>>50;0x9gcc289:70m7c;137>{t:>9:6=4={<`fa?74927h4h4<029~w714:3:1>v3meg8274=:k0:1?==4}r0476<72;q6nk>512389f>a2::87p}=72694?4|5kl:6<=>;:=::1818da:3;8=63l928046=z{;=8:7>52z?ab6<6;816o4<53118yv40;>0;6?u2bg69567<5j3?6>><;|q136>=838p1oh::012?8e>>39;?6s|261:>5<5s4hm:7?<1:?`=0<48:1v?90:?<52c859755fc>=9:;01n76:220?xu5?:i1<745634i247=?3:p625c2909w0lia;305>;d1h08<>5rs350a?6=:r7ijo4>309>g7}:joi1=>?4=b;a>6643ty9;9>50;0x9g`c289:70m6d;137>{t:>>:6=4={<`ea?74927h5k4<029~w713:3:1>v3mfg8274=:k0o1?==4}r0406<72;q6o=>512389fg72::87p}=75694?4|5j::6<=>;::::1818e7:3;8=63la08046=z{;=?:7>52z?`46<6;816ol=53118yv40<>0;6?u2c169567<5jk>6>><;|q131>=838p1n>::012?8ef<39;?6s|266:>5<5s4i;:7?<1:?`e3<48:1v?9;a;296~;d8>0:?<52c`:9755g5>=9:;01no8:220?xu5?=i1<745634ij57=?3:p622c2909w0m?a;305>;dih08<>5rs357a?6=:r7h309>gde=;990q~<84g83>7}:k9i1=>?4=bca>6643ty9;8>50;0x9f6c289:70mnd;137>{t:>?:6=4={v3l0g8274=:kho1?==4}r0416<72;q6o<>512389fd72::87p}=74694?4|5j;:6<=>;:;::1818e6:3;8=63lb08046=z{;=>:7>52z?`56<6;816oo=53118yv40=>0;6?u2c069567<5jh>6>><;|q130>=838p1n?::012?8ee<39;?6s|267:>5<5s4i::7?<1:?`f3<48:1v?9:a;296~;d9>0:?<52cc:9755g4>=9:;01nl8:220?xu5?45634ii57=?3:p623c2909w0m>a;305>;djk08<>5rs356a?6=:r7h=o4>309>ggg=;990q~<85g83>7}:k8i1=>?4=b``>6643ty9;;>50;0x9f7c289:70mme;137>{t:><:6=4={v3l1g8274=:kkl1?==4}r0426<72;q6o?>512389fe62::87p}=77694?4|5j8:6<=>;:8::1818e5:3;8=63lc38046=z{;==:7>52z?`66<6;816on:53118yv40>>0;6?u2c369567<5ji86>><;|q133>=838p1n<::012?8ed=39;?6s|264:>5<5s4i9:7?<1:?`g2<48:1v?99a;296~;d:>0:?<52cb49755g7>=9:;01nm7:220?xu5??i1<745634ihm7=?3:p620c2909w0m=a;305>;dk008<>5rs355a?6=:r7h>o4>309>gfd=;990q~<86g83>7}:k;i1=>?4=bag>6643ty9;:>50;0x9f4c289:70mlc;137>{t:>=:6=4={v3l2g8274=:km:1?==4}r0436<72;q6o>>512389fea2::87p}=76694?4|5j9:6<=>;:9::1818e4:3;8=63ld28046=z{;=<:7>52z?`76<6;816oi<53118yv40?>0;6?u2c269567<5jn?6>><;|q132>=838p1n=::012?8ec>39;?6s|265:>5<5s4i8:7?<1:?``0<48:1v?98a;296~;d;>0:?<52ce59755g6>=9;h01n=k:00a?8e4m38986s|265`>5<4s4i847<=3:?`7a<4j116oim53118yv40?m0;6?u2c2:9755<5jl?6<=>;|q132c=839p1n=6:00a?8e4m3;9n63l3g8161=z{;=53z?`7<<5::16o>k53c:89fbe2::87p}=79294?4|5j926>><;:6>:1808e4i3;9n63l3g826g=:k=:1>?:4}r04<7<72:q6o>o523189f5a2:h370mka;137>{t:>286=4={309~w71?<3:1?v3l3c826g=:k=:1=?l4=b62>7433ty9;5;50;1x9f5e2;8870m;0;1a<>;dl008<>5rs35;2?6=:r7h?o4<029>gc1=9:;0q~<88683>6}:k:i1=?l4=b62>44e34i?>7=?3:p62>?2908w0m;d<808n552ce:9755g6e=;9901nh7:012?xu5?1k1<7:t=b1g>76434i?i7<>c:?``f<59j16ok:53``8yv400k0;69u2c2g9655<5j>n6??k;77d34im:7=nb:p62>c290?w0m;0;037>;dom;|q13=c=83>p1n:>:320?8e3m389<63ld9815f=:ko21?ll4}r0486??k;b`?=;hh0q~<89083>7}:k=91>6ge3ty9;4<50;7x9f212;;h70mi9;02g>;c8:08mo52d1a964e<5m:n6??i;|q13<5=839p1n:8:33g?8b7<39jn63k10815f=z{;=287>53z?`1c<59m16oh;53``89a772;;n7p}=78794?5|5j>3:1?v3l70815a=:kli1?ll4=e37>77b3ty9;4950;028e0:39;?63kc38265=:lj91=?>4=ea7>44734nh97?=0:?gg3<6:916hn9513289ae?288;70jl9;314>;ckh0:>=52db`9576<5mih6<219>`f`=9;:01ij?:003?8bc93;9<63kd38265=:lm91=?>4=ef7>44734no97?=0:?g`3<6:916hi9513289ab?288;70jk9;314>;clh0:>=52de`9576<5mnh6<219>`a`=9;:01ik?:003?8bb93;9<6s|26;;>5<59r7h;>4<029>af0=9;:01hm8:003?8cd03;9<63jc88265=:mjk1=?>4=daa>44734oho7?=0:?fga<6:916ink513289`ea288;70kk0;314>;bl80:>=52ee09576<5ln86<219>aa0=9;:01hj8:003?8cc03;9<63jd88265=:mmk1=?>4=dfa>44734ooo7?=0:?f`a<6:916iik513289`ba288;70kj0;314>;bm80:>=52ed09576<5lo86<219~w71>13:1>219>b=d=9;:01k6l:003?8`?l3;9<63i8d8265=:n1l1=?>4=g;3>44734l2=7?=0:?e=7<6:916j4=513289c?3288;70h65;314>;a1?0:>=52f859576<5o336<219>bl3;9<63i9d8265=:n0l1=?>4=gc3>44734lj=7?=0:?ee7<6:916jl=513289cg3288;70hn5;314>;ai?0:>=52f`59576;amk0:>=52fda9576<5ooo6<219>bc6=9;:01kh>:003?8`a:3;9<63if28265=:no>1=?>4=gd6>44734lm:7?=0:?eb2<6:916jk6513289c`>288;70hia;314>;ank0:>=52fga9576<5olo6<219>5567288;70??008265=:99:96<;:003?8778<0:>=521125>44734;;<:4>219>556?288;70??088265=z{;=2n7>520y>g20=;9901<>;a;314>;68=h1=?>4=027g?75827:<9j513289463m3;9<63>05d9576<58:><7?=0:?2407=9;:01<>:2;314>;68<91=?>4=0260?75827:<8;513289462>3;9<63>0459576<58:>47?=0:?240?=9;:01<>:a;314>;684=026g?75827:<8j513289462m3;9<63>04d9576<58:=<7?=0:?2437=9;:01<>92;314>;68?91=?>4=0250?75827:<;;513289461>3;9<63>0759576<58:=47?=0:?243?=9;:0q~<89b83>37|5j=<6>><;4>219>a32=9;:01h8::003?8c1>3;9<63j668265=:m?21=?>4=d4:>44734o=m7?=0:?f2g<6:916i;m513289`0c288;70k9e;314>;b>o0:>=52e629576<5l=:6<4>219>a22=9;:01h9::003?8c0>3;9<63j768265=:m>21=?>4=d5:>44734o;b?o0:>=52e929576<5l2:6<4>219>a=2=9;:01h6::003?8c?>3;9<63j868265=:m121=?>4=d::>44734o3m7?=0:?fc288;70k7e;314>;b0o0:>=52e829576<5l3:6<4>219>a<2=9;:01h7::003?8c>>3;9<63j968265=:m021=?>4=d;:>44734o2m7?=0:?f=g<6:916i4m513289`?c288;70k6e;314>;b1o0:>=52e`29576<5lk:6<4>219>ad2=9;:01ho::003?8cf>3;9<63ja68265=:mh21=?>4=dc:>44734ojm7?=0:?feg<6:916ilm513289`gc288;70kne;314>;bio0:>=52ec29576<5lh:6<4>219>ag2=9;:01hl::003?8ce>3;9<63jb68265=:mk21=?>4=d`:>44734oim7?=0:?ffg<6:916iom513289`dc288;70kme;314>;bjo0:>=52eb29576<5li:6<5<5s4ie:?f7c<4ik1v?96f;296~;d?k09=h52e2`97ddg2e=:8o01h=k:2ca?xu5?h;1<777b34o8;7=nb:p62g52909w0m8e;02a>;b;008mo5rs35b7?6=:r7h;k4=1d9>a63=;hh0q~<8a583>7}:k1:1>6ge3ty9;l;50;0x9f>62;;n70k<3;1bf>{t:>k=6=4={v3l82815`=:m;h1?ll4}r04e=<72;q6o5:520g89`4a2:ki7p}=7`;94?4|5j2>6??j;:on:1818e?>38:i63j2480eg=z{;=jn7>52z?`<2<59l16i?953``8yv40ij0;6?u2c9:964c<5l;m6>om;|q13db=838p1no8:33f?8c5;39jn6s|26cf>5<5s4ij57<>e:?f64<4ik1v?9nf;296~;dih09=h52e0f97ddgdd=:8o01h?6:2ca?xu5?k;1<777b34o:n7=nb:p62d52909w0mnd;02a>;b9>08mo5rs35a7?6=:r7hmh4=1d9>a43=;hh0q~<8b583>7}:khl1>6ge3ty9;o;50;0x9fd72;;n70k>3;1bf>{t:>h=6=4={v3lb3815`=:m9l1?ll4}r04f=<72;q6oo=520g89`6e2:ki7p}=7c;94?4|5jh?6??j;:ln:1818ee=38:i63j0880eg=z{;=in7>52z?`f3<59l16i=953``8yv40jj0;6?u2cc:964c<5l:>6>om;|q13gb=838p1njj:012?8ecn39;?6s|26`f>5<5s4in97?<1:?g55<48:1v?9mf;296~;dmj0:?<52d069755`55=9:;01i>l:220?xu5?j;1<745634n:=7=?3:p62e52909w0j?5;305>;c8m08<>5rs35`7?6=;r7o<84`5b=:8n01i>i:33f?xu5?j>1<745634n;i7=?3:p62e22909w0j?7;305>;c8o08<>5rs35`2?6=:r7o<54>309>`44=;990q~<8c683>7}:l931=>?4=e30>6643ty9;n650;028b6139;?63je78265=:ml=1=?>4=dg;>44734on57?=0:?fad<6:916ihl513289`cd288;70kjd;314>;bml0:>=52edd9576<5ll;6<219>ac5=9;:01hh;:003?8ca=3;9<63jf78265=:mo=1=?>4=dd;>44734om57?=0:?fbd<6:916ikl513289``d288;70kid;314>;bnl0:>=52egd9576<5o:;6<219>b55=9;:01k>;:003?8`7=3;9<6s|26a:>5<59r7o=l4<029>b50=9;:01k>8:003?8`703;9<63i088265=:n9k1=?>4=g2a>44734l;o7?=0:?e4a<6:916j=k513289c6a288;70h>0;314>;a980:>=52f009576<5o;86<219>b40=9;:01k?8:003?8`603;9<63i188265=:n8k1=?>4=g3a>44734l:o7?=0:?e5a<6:916j;a:80:>=52f309576<5o886<84>219~w71di3:1>219>bdd=9;:01kol:003?8`fl3;9<63iad8265=:nhl1=?>4=g`3>44734li=7?=0:?ef7<6:916jo=513289cd3288;70hm5;314>;aj?0:>=52fc59576<5oh36<219>bgd=9;:01kll:003?8`el3;9<63ibd8265=:nkl1=?>4=ga3>44734lh=7?=0:?eg7<6:916jn=513289ce3288;70hl5;314>;ak?0:>=52fb59576;ak00:>=52fbc9576<5oii6<219>bfc=9;:01kmi:003?8`c83;9<63id08265=:nm81=?>4=gf0>44734lo87?=0:?e`0<6:916ji8513289cb0288;70hk8;314>;al00:>=52fec9576<5oni6<219>bac=9;:01kji:003?8`b83;9<63ie08265=:nl81=?>4=gg0>44734ln87?=0:?ea0<6:916jh8513289cc0288;7p}=7ba94?46s4n:h7=?3:?245g=9;:01<>?b;314>;689i1=?>4=023`?75827:<=k513289467n3;9<63>0029576<58::=7?=0:?2444=9;:01<>>3;314>;688>1=?>4=0221?75827:<<8513289466?3;9<63>00:9576<58::57?=0:?244g=9;:01<>>b;314>;688i1=?>4=022`?75827:<0329576<58:9=7?=0:?2474=9;:01<>=3;314>;68;>1=?>4=0211?75827:03:9576<58:957?=0:p62ec2909=v3k1d8046=:998j6<=52110f>44734;;>k4>219>5557288;70??308265=:99996<=521115>44734;;?:4>219>555?288;70??388265=:999j6<=52111f>44734;;?k4>219>5527288;70??408265=:99>96<=521165>44734;;8:4>219>552?288;70??488265=z{;=hi7>520y>`4`=;9901<>9a;314>;68?h1=?>4=025g?75827:<;j513289461m3;9<63>07d9576<58:<<7?=0:?2427=9;:01<>82;314>;68>91=?>4=0240?75827:<:;513289460>3;9<63>0659576<58:<47?=0:?242?=9;:01<>8a;314>;68>h1=?>4=024g?75827:<:j513289460m3;9<63>06d9576<58:3<7?=0:?24=7=9;:01<>72;314>;68191=?>4=02;0?75827:<5;51328946?>3;9<63>0959576<58:347?=0:?24=?=9;:0q~<8cg83>77|5m8;6>><;<33=5211:g>44734;;4h4>219>55>a288;70??918265=:993:6<=5211;6>44734;;5;4>219>55?0288;70??998265=:99326<=5211;g>44734;;5h4>219>55?a288;70??a18265=:99k:6<=5211c6>44734;;m;4>219>55g0288;70??a98265=:99k26<;cm;0:>=52dd19576<5mo?6<219>``1=9;:01ik7:003?8bb13;9<63ke`8265=:llh1=?>4=eg`>44734nnh7?=0:?ga`<6:916hhh513289a`7288;70ji1;314>;cn;0:>=52dg19576<5ml?6<219>`c1=9;:01ih7:003?8ba13;9<63kf`8265=:loh1=?>4=ed`>44734nmh7?=0:?gb`<6:916hkh513289`67288;70k?1;314>{t:>n:6=4=1z?g67<48:16i9<513289`24288;70k;4;314>;b<<0:>=52e549576<5l><6<219>a1g=9;:01h:m:003?8c3k3;9<63j4e8265=:m=o1=?>4=d6e>44734o><7?=0:?f14<6:916i8<513289`34288;70k:4;314>;b=<0:>=52e449576<5l?<6<219>a0g=9;:01h;m:003?8c2k3;9<63j5e8265=:m4=d7e>44734o=<7?=0:?f24<6:91v?9k2;296~;c;;08mo52d2195674?:3y>`62=;hh01i=::012?xu5?m>1<76ge34n8;7?<1:p62b22909w0j<8;1bf>;c;00:?<5rs35g2?6=:r7o?l4`6d=9:;0q~<8d683>7}:l:i1?ll4=e1g>4563ty9;i650;0x9a5b2:ki70j{t:>n26=4={309~w71ci3:1>v3k4380eg=:l=91=>?4}r04`g<72;q6h9:53``89a22289:7p}=7ea94?4|5m>=6>om;:jk:1818b3039jn63k488274=z{;=oi7>52z?g0d<4ik16h9l51238yv40lo0;6?u2d5a97dd<5m>o6<=>;|q13`6=838p1i:j:2ca?8b3n3;8=6s|26g2>5<5s4n><7=nb:?g14<6;81v?9j2;296~;c=;08mo52d4195674?:3y>`02=;hh01i;::012?xu5?l>1<76ge34n>;7?<1:p62c22909w0j:8;1bf>;c=00:?<5rs35f2?6=:r7o9l4`0d=9:;0q~<8e683>7}:l4563ty9;h650;0x9a3b2:ki70j:f;305>{t:>o26=4={309~w71bi3:1>v3k6380eg=:l?91=>?4}r04ag<72;q6h;:53``89a02289:7p}=7da94?4|5m<=6>om;:kk:1818b1039jn63k688274=z{;=ni7>52z?g2d<4ik16h;l51238yv40mo0;6?u2d7a97dd<5m;|q13c6=838p1i8j:2ca?8b1n3;8=6s|26d2>5<5s4n<<7=nb:?g34<6;81v?9i2;296~;c?;08mo52d6195674?:3y>`22=;hh01i9::012?xu5?o>1<76ge34n<;7?<1:p62`22909w0j88;1bf>;c?00:?<5rs35e2?6=:r7o;l4`2d=9:;0q~<8f683>7}:l>i1?ll4=e5g>4563ty9;k650;0x9a1b2:ki70j8f;305>{t:>l26=4={309~w71ai3:1>v3k8380eg=:l191=>?4}r04bg<72;q6h5:53``89a>2289:7p}=7ga94?4|5m2=6>om;:hk:1818b?039jn63k888274=z{;=mi7>52z?g;|q1<56=838p1i6j:2ca?8b?n3;8=6s|2922>5<5s4n2<7=nb:?g=4<6;81v?6?2;296~;c1;08mo52d8195674?:3y>`<2=;hh01i7::012?xu509>1<76ge34n2;7?<1:p6=622909w0j68;1bf>;c100:?<5rs3:32?6=:r7o5l4`7}:l0i1?ll4=e;g>4563ty94=650;0x9a?b2:ki70j6f;305>{t:1:26=4={309~w7>7i3:1>v3ka380eg=:lh91=>?4}r0;4g<72;q6hl:53``89ag2289:7p}=81a94?4|5mk=6>om;5>k:1818bf039jn63ka88274=z{;2;i7>52z?ged<4ik16hll51238yv4?8o0;6?u2d`a97dd<5mko6<=>;|q1<46=838p1ioj:2ca?8bfn3;8=6s|2932>5<5s4ni<7=nb:?gf4<6;81v?6>2;296~;cj;08mo52dc195674?:3y>`g2=;hh01il::012?xu508>1<76ge34ni;7?<1:p6=722909w0jm8;1bf>;cj00:?<5rs3:22?6=:r7onl4`gd=9:;0q~<71683>7}:lki1?ll4=e`g>4563ty94<650;0x9adb2:ki70jmf;305>{t:1;26=4={309~w7>6i3:1>v3kc380eg=:lj91=>?4}r0;5g<72;q6hn:53``89ae2289:7p}=80a94?4|5mi=6>om;5?k:1818bd039jn63kc88274=z{;2:i7>52z?ggd<4ik16hnl51238yv4?9o0;6?u2dba97dd<5mio6<=>;|q1<76=838p1imj:2ca?8bdn3;8=6s|2902>5<5s4no<7=nb:?g`4<6;81v?6=2;296~;cl;08mo52de19567>4?:3y>`a2=;hh01ij::012?xu50;>1<76ge34no;7?<1:p6=422909w0jk8;1bf>;cl00:?<5rs3:12?6=:r7ohl4`ad=9:;0q~<72683>7}:lmi1?ll4=efg>4563ty94?650;0x9abb2:ki70jkf;305>{t:1826=4={309~w7>5i3:1>v3ke380eg=:ll91=>?4}r0;6g<72;q6hh:53``89ac2289:7p}=83a94?4|5mo=6>om;552z?gad<4ik16hhl51238yv4?:o0;6?u2dda97dd<5moo6<=>;|q1<66=838p1ikj:2ca?8bbn3;8=6s|2912>5<5s4nm<7=nb:?gb4<6;81v?6<2;296~;cn;08mo52dg195674?:3y>`c2=;hh01ih::012?xu50:>1<76ge34nm;7?<1:p6=522909w0ji8;1bf>;cn00:?<5rs3:02?6=:r7ojl4`cd=9:;0q~<73683>7}:loi1?ll4=edg>4563ty94>650;0x9a`b2:ki70jif;305>{t:1926=4={309~w7>4i3:1>v3j0380eg=:m991=>?4}r0;7g<72;q6i=:53``89`62289:7p}=82a94?4|5l:=6>om;5=k:1818c7039jn63j088274=z{;28i7>52z?f4d<4ik16i=l51238yv4?;o0;6?u2e1a97dd<5l:o6<=>;|q1<16=838p1h>j:2ca?8c7n3;8=6s|2962>5<5s4o:<7=nb:?f54<6;81v?6;2;296~;b9;08mo52e0195674?:3y>a42=;hh01h?::012?xu50=>1<76ge34o:;7?<1:p6=222909w0k>8;1bf>;b900:?<5rs3:72?6=:r7n=l4a4d=9:;0q~<74683>7}:m8i1?ll4=d3g>4563ty949650;0x9`7b2:ki70k>f;305>{t:1>26=4={<4>309~w7>3i3:1>v3j2380eg=:m;91=>?4}r0;0g<72;q6i?:53``89`42289:7p}=85a94?4|5l8=6>om;5:k:1818c5039jn63j288274=z{;2?i7>52z?f6d<4ik16i?l51238yv4?;|q1<06=838p1h5<5s4o8<7=nb:?f74<6;81v?6:2;296~;b;;08mo52e2195674?:3y>a62=;hh01h=::012?xu50<>1<76ge34o8;7?<1:p6=322909w0k<8;1bf>;b;00:?<5rs3:62?6=:r7n?l4a6d=9:;0q~<75683>7}:m:i1?ll4=d1g>4563ty948650;0x9`5b2:ki70k{t:1?26=4={309~w7>2i3:1>v3j4380eg=:m=91=>?4}r0;1g<72;q6i9:53``89`22289:7p}=84a94?4|5l>=6>om;5;k:1818c3039jn63j488274=z{;2>i7>52z?f0d<4ik16i9l51238yv4?=o0;6?u2e5a97dd<5l>o6<=>;|q1<36=838p1h:j:2ca?8c3n3;8=6s|2942>5<5s4o><7=nb:?f14<6;81v?692;296~;b=;08mo52e4195674?:3y>a02=;hh01h;::012?xu50?>1<76ge34o>;7?<1:p6=022909w0k:8;1bf>;b=00:?<5rs3:52?6=:r7n9l4a0d=9:;0q~<76683>7}:m4563ty94;650;0x9`3b2:ki70k:f;305>{t:1<26=4={309~w7>1i3:1>v3j6380eg=:m?91=>?4}r0;2g<72;q6i;=53``89c4f289:7p}=87a94?4|5lom;58k:1818c1=39jn63i298274=z{;2=i7>52z?f23<4ik16i;951238yv4?>o0;6?u2e7597dd<5o8=6<=>;|q1<26=838p1h87:2ca?8c113;8=6s|2952>5<5s4o=57=nb:?e6f<6;81v?682;296~;b>h08mo52e7`95674?:3y>a3d=;hh01k>1<76ge34o=h7?<1:p6=122909w0k9d;1bf>;a;90:?<5rs3:42?6=:r7n:h4a3`=9:;0q~<77683>7}:m?l1?ll4=g1;>4563ty94:650;0x9`172:ki70k81;305>{t:1=26=4={309~w7>0i3:1>v3j7380eg=:m>91=>?4}r0;3g<72;q6i:=53``89c51289:7p}=86a94?4|5l=?6>om;59k:1818c0=39jn63i358274=z{;252z?f33<4ik16i:951238yv4??o0;6?u2e6597dd<5o9j6<=>;|q1<=6=838p1h97:2ca?8c013;8=6s|29:2>5<5s4o<57=nb:?e7f<6;81v?672;296~;b?h08mo52e6`95674?:3y>a2d=;hh01k=j:012?xu501>1<76ge34o22909w0k8d;1bf>;aa2`=9:;0q~<78683>7}:m>l1?ll4=g63>4563ty945650;0x9`>72:ki70k71;305>{t:1226=4={309~w7>?i3:1>v3j8380eg=:m191=>?4}r0;om;56k:1818c?=39jn63i498274=z{;23i7>52z?f<3<4ik16i5951238yv4?0o0;6?u2e9597dd<5o>j6<=>;|q1<<6=838p1h67:2ca?8c?13;8=6s|29;2>5<5s4o357=nb:?e0f<6;81v?662;296~;b0h08mo52e9`95674?:3y>a=d=;hh01k;;:012?xu500>1<76ge34o3h7?<1:p6=?22909w0k7d;1bf>;aa=`=9:;0q~<79683>7}:m1l1?ll4=g71>4563ty944650;0x9`?72:ki70k61;305>{t:1326=4={309~w7>>i3:1>v3j9380eg=:m091=>?4}r0;=g<72;q6i4=53``89c31289:7p}=88a94?4|5l3?6>om;57k:1818c>=39jn63i598274=z{;22i7>52z?f=3<4ik16i4951238yv4?1o0;6?u2e8597dd<5o?j6<=>;|q113;8=6s|29c2>5<5s4o257=nb:?e27<6;81v?6n2;296~;b1h08mo52e8`95674?:3y>a1<76ge34o2h7?<1:p6=g22909w0k6d;1bf>;a>90:?<5rs3:b2?6=:r7n5h4a<`=9:;0q~<7a683>7}:m0l1?ll4=g7f>4563ty94l650;0x9`g72:ki70kn1;305>{t:1k26=4={309~w7>fi3:1>v3ja380eg=:mh91=>?4}r0;eg<72;q6il=53``89c01289:7p}=8`a94?4|5lk?6>om;5ok:1818cf=39jn63i698274=z{;2ji7>52z?fe3<4ik16il951238yv4?io0;6?u2e`597dd<5o=;6<=>;|q15<5s4oj57=nb:?e2d<6;81v?6m2;296~;bih08mo52e``95674?:3y>add=;hh01k8j:012?xu50k>1<76ge34ojh7?<1:p6=d22909w0knd;1bf>;a>j0:?<5rs3:a2?6=:r7nmh4ad`=9:;0q~<7b683>7}:mhl1?ll4=g51>4563ty94o650;0x9`d72:ki70km1;305>{t:1h26=4={309~w7>ei3:1>v3jb380eg=:mk91=>?4}r0;fg<72;q6io=53``89c11289:7p}=8ca94?4|5lh?6>om;5lk:1818ce=39jn63i7d8274=z{;2ii7>52z?ff3<4ik16io951238yv4?jo0;6?u2ec597dd<5o=36<=>;|q15<5s4oi57=nb:?e3f<6;81v?6l2;296~;bjh08mo52ec`95674?:3y>agd=;hh01k9n:012?xu50j>1<76ge34oih7?<1:p6=e22909w0kmd;1bf>;a0;0:?<5rs3:`2?6=:r7nnh4ag`=9:;0q~<7c683>7}:mkl1?ll4=g:7>4563ty94n650;0x9`e72:ki70kl1;305>{t:1i26=4={309~w7>di3:1>v3jc380eg=:mj91=>?4}r0;gg<72;q6in:53``89`e2289:7p}=8ba94?4|5li=6>om;5mk:1818cd039jn63jc88274=z{;2hi7>52z?fgd<4ik16inl51238yv4?ko0;6?u2eba97dd<5lio6<=>;|q15<5s4oo<7=nb:?f`4<6;81v?6k2;296~;bl;08mo52ee195674?:3y>aa2=;hh01hj::012?xu50m>1<76ge34oo;7?<1:p6=b22909w0kk8;1bf>;bl00:?<5rs3:g2?6=:r7nhl4aad=9:;0q~<7d683>7}:mmi1?ll4=dfg>4563ty94i650;0x9`bb2:ki70kkf;305>{t:1n26=4={309~w7>ci3:1>v3je380eg=:ml91=>?4}r0;`g<72;q6ih:53``89`c2289:7p}=8ea94?4|5lo=6>om;5jk:1818cb039jn63je88274=z{;2oi7>52z?fad<4ik16ihl51238yv4?lo0;6?u2eda97dd<5loo6<=>;|q1<`6=838p1hkj:2ca?8cbn3;8=6s|29g2>5<5s4om<7=nb:?fb4<6;81v?6j2;296~;bn;08mo52eg195674?:3y>ac2=;hh01hh::012?xu50l>1<76ge34om;7?<1:p6=c22909w0ki8;1bf>;bn00:?<5rs3:f2?6=:r7njl4acd=9:;0q~<7e683>7}:moi1?ll4=ddg>4563ty94h650;0x9``b2:ki70kif;305>{t:1o26=4={309~w7>bi3:1>v3i0380eg=:n991=>?4}r0;ag<72;q6j=:53``89c62289:7p}=8da94?4|5o:=6>om;5kk:1818`7039jn63i088274=z{;2ni7>52z?e4d<4ik16j=l51238yv4?mo0;6?u2f1a97dd<5o:o6<=>;|q1j:2ca?8`7n3;8=6s|29d2>5<5s4l:<7=nb:?e54<6;81v?6i2;296~;a9;08mo52f0195674?:3y>b42=;hh01k?::012?xu50o>1<76ge34l:;7?<1:p6=`22909w0h>8;1bf>;a900:?<5rs3:e2?6=:r7m=l4b4d=9:;0q~<7f683>7}:n8i1?ll4=g3g>4563ty94k650;0x9c7b2:ki70h>f;305>{t:1l26=4={<4>309~w7>ai3:1>v3i2380eg=:n;91=>?4}r0;bg<72;q6j?:53``89c42289:7p}=8ga94?4|5o8=6>om;5hk:1818`5039jn63i288274=z{;2mi7>52z?e6d<4ik16j?l51238yv4?no0;6?u2f3a97dd<5o8o6<=>;|q1=56=838p1k5<5s4l8<7=nb:?e74<6;81v?7?2;296~;a;;08mo52f2195674?:3y>b62=;hh01k=::012?xu519>1<76ge34l8;7?<1:p6<622909w0h<8;1bf>;a;00:?<5rs3;32?6=:r7m?l4b6d=9:;0q~<60683>7}:n:i1?ll4=g1g>4563ty95=650;0x9c5b2:ki70h{t:0:26=4={309~w7?7i3:1>v3i4380eg=:n=91=>?4}r0:4g<72;q6j9:53``89c22289:7p}=91a94?4|5o>=6>om;4>k:1818`3039jn63i488274=z{;3;i7>52z?e0d<4ik16j9l51238yv4>8o0;6?u2f5a97dd<5o>o6<=>;|q1=46=838p1k:j:2ca?8`3n3;8=6s|2832>5<5s4l><7=nb:?e14<6;81v?7>2;296~;a=;08mo52f4195674?:3y>b02=;hh01k;::012?xu518>1<76ge34l>;7?<1:p6<722909w0h:8;1bf>;a=00:?<5rs3;22?6=:r7m9l4b0d=9:;0q~<61683>7}:n4563ty95<650;0x9c3b2:ki70h:f;305>{t:0;26=4={309~w7?6i3:1>v3i6380eg=:n?91=>?4}r0:5g<72;q6j;:53``89c02289:7p}=90a94?4|5o<=6>om;4?k:1818`1039jn63i688274=z{;3:i7>52z?e2d<4ik16j;l51238yv4>9o0;6?u2f7a97dd<5o;|q1=76=838p1k8j:2ca?8`1n3;8=6s|2802>5<5s4l<<7=nb:?e34<6;81v?7=2;296~;a?;08mo52f619567>4?:3y>b22=;hh01k9::012?xu51;>1<76ge34l<;7?<1:p6<422909w0h88;1bf>;a?00:?<5rs3;12?6=:r7m;l4b2d=9:;0q~<62683>7}:n>i1?ll4=g5g>4563ty95?650;0x9c1b2:ki70h8f;305>{t:0826=4={309~w7?5i3:1>v3i8380eg=:n191=>?4}r0:6g<72;q6j5:53``89c>2289:7p}=93a94?4|5o2=6>om;452z?e:o0;6?u2f9a97dd<5o2o6<=>;|q1=66=838p1k6j:2ca?8`?n3;8=6s|2812>5<5s4l2<7=nb:?e=4<6;81v?7<2;296~;a1;08mo52f8195674?:3y>b<2=;hh01k7::012?xu51:>1<76ge34l2;7?<1:p6<522909w0h68;1bf>;a100:?<5rs3;02?6=:r7m5l4b7}:n0i1?ll4=g;g>4563ty95>650;0x9c?b2:ki70h6f;305>{t:0926=4={309~w7?4i3:1>v3ia380eg=:nh91=>?4}r0:7g<72;q6jl:53``89cg2289:7p}=92a94?4|5ok=6>om;4=k:1818`f039jn63ia88274=z{;38i7>52z?eed<4ik16jll51238yv4>;o0;6?u2f`a97dd<5oko6<=>;|q1=16=838p1koj:2ca?8`fn3;8=6s|2862>5<5s4li<7=nb:?ef4<6;81v?7;2;296~;aj;08mo52fc195674?:3y>bg2=;hh01kl::012?xu51=>1<76ge34li;7?<1:p6<222909w0hm8;1bf>;aj00:?<5rs3;72?6=:r7mnl4bgd=9:;0q~<64683>7}:nki1?ll4=g`g>4563ty959650;0x9cdb2:ki70hmf;305>{t:0>26=4={309~w7?3i3:1>v3ic380eg=:nj91=>?4}r0:0g<72;q6jn:53``89ce2289:7p}=95a94?4|5oi=6>om;4:k:1818`d039jn63ic88274=z{;3?i7>52z?egd<4ik16jnl51238yv4>;|q1=06=838p1kmj:2ca?8`dn3;8=6s|2872>5<5s4lo<7=nb:?e`4<6;81v?7:2;296~;al;08mo52fe195674?:3y>ba2=;hh01kj::012?xu51<>1<76ge34lo;7?<1:p6<322909w0hk8;1bf>;al00:?<5rs3;62?6=:r7mhl4bad=9:;0q~<65683>7}:nmi1?ll4=gfg>4563ty958650;0x9cbb2:ki70hkf;305>{t:0?26=4={309~w7?2i3:1>v3ie380eg=:nl91=>?4}r0:1g<72;q6jh:53``89cc2289:7p}=94a94?4|5oo=6>om;4;k:1818`b039jn63ie88274=z{;3>i7>52z?ead<4ik16jhl51238yv4>=o0;6?u2fda97dd<5ooo6<=>;|q1=36=838p1kkj:2ca?8`bn3;8=6s|2842>5<5s4lm<7=nb:?eb4<6;81v?792;296~;an;08mo52fg195674?:3y>bc2=;hh01kh::012?xu51?>1<76ge34lm;7?<1:p6<022909w0hi8;1bf>;an00:?<5rs3;52?6=:r7mjl4bcd=9:;0q~<66683>7}:noi1?ll4=gdg>4563ty95;650;0x9c`b2:ki70hif;305>{t:0<26=4={<3345<4ik16==>>:012?xu51?k1<7>k0;6?u21127>6ge34;;<84>309~w7?1k3:1>v3>01497dd<58:;;7?<1:p6<0c2909w0??0980eg=:99:26<=>;|q1=3c=838p1<>?a;1bf>;689h1=>?4}r0:2c<72;q6==>l:2ca?8778m0:?<5rs3;44?6=:r7:<=k53``89467n3;8=6s|2852>5<5s4;;==45576289:7p}=96094?4|58::>7=nb:?2445=9:;0q~<67283>7}:99;?6>om;<3350<6;81v?784;296~;688<1?ll4=0223?7492wx>49::1818779108mo52113:>4563ty95:850;0x9466i39jn63>00`9567557d2:ki70??1e8274=z{;3<47>52z?244c=;hh01<>>f;305>{t:0=26=4={<3365<4ik16==<>:012?xu51>k1<7?k0;6?u21107>6ge34;;>84>309~w7?0k3:1>v3>03497dd<58:9;7?<1:p6<1c2909w0??2980eg=:99826<=>;|q1=2c=838p1<>=a;1bf>;68;h1=>?4}r0:3c<72;q6==5<5s4;;?=45556289:7p}=99094?4|58:8>7=nb:?2465=9:;0q~<68283>7}:999?6>om;<3370<6;81v?774;296~;68:<1?ll4=0203?7492wx>46::181877;108mo52111:>4563ty955850;0x9464i39jn63>02`9567555d2:ki70??3e8274=z{;3347>52z?246c=;hh01<>{t:0226=4={<3305<4ik16==:>:012?xu511k1<70k0;6?u21167>6ge34;;884>309~w7??k3:1>v3>05497dd<58:?;7?<1:p6<>c2909w0??4980eg=:99>26<=>;|q1==c=838p1<>;a;1bf>;68=h1=>?4}r0:5<5s4;;9=45536289:7p}=98094?4|58:>>7=nb:?2405=9:;0q~<69283>7}:99??6>om;<3310<6;81v?764;296~;68<<1?ll4=0263?7492wx>47::181877=108mo52117:>4563ty954850;0x9462i39jn63>04`9567553d2:ki70??5e8274=z{;3247>52z?240c=;hh01<>:f;305>{t:0326=4={<3325<4ik16==8>:012?xu510k1<71k0;6?u21147>6ge34;;:84>309~w7?>k3:1>v3>07497dd<58:=;7?<1:p6;|q1=9a;1bf>;68?h1=>?4}r0:=c<72;q6==8l:2ca?877>m0:?<5rs3;b4?6=:r7:<;k53``89461n3;8=6s|28c2>5<5s4;;;=45516289:7p}=9`094?4|58:<>7=nb:?2425=9:;0q~<6a283>7}:99=?6>om;<3330<6;81v?7n4;296~;68><1?ll4=0243?7492wx>4o::181877?108mo52115:>4563ty95l850;0x9460i39jn63>06`9567551d2:ki70??7e8274=z{;3j47>52z?242c=;hh01<>8f;305>{t:0k26=4={<33<5<4ik16==6>:012?xu51hk1<7ik0;6?u211:7>6ge34;;484>309~w7?fk3:1>v3>09497dd<58:3;7?<1:p6;|q1=dc=838p1<>7a;1bf>;681h1=>?4}r0:ec<72;q6==6l:2ca?8770m0:?<5rs3;a4?6=:r7:<5k53``8946?n3;8=6s|28`2>5<5s4;;5=455?6289:7p}=9c094?4|58:2>7=nb:?24<5=9:;0q~<6b283>7}:993?6>om;<33=0<6;81v?7m4;296~;680<1?ll4=02:3?7492wx>4l::1818771108mo5211;:>4563ty95o850;0x946>i39jn63>08`956755?d2:ki70??9e8274=z{;3i47>52z?246f;305>{t:0h26=4={<33e5<4ik16==o>:012?xu51kk1<7jk0;6?u211c7>6ge34;;m84>309~w7?ek3:1>v3>0`497dd<58:j;7?<1:p6;|p5g4a2909wS?m2g9>7`>=9k8m7)=l2;04e>{t99km6=4={_33ec=:;l21==oi;%1`6?4>j2wx==on:181[77ih16?h6511cb?!5d:382h6s|1c0b>5<5sW;i>l523d:95g4f3-9h>7<6e:p55b02909wS??d69>7`>=99n<7)=l2;0f1>{t99n36=4={_33`==:;l21==j7;%1`6?4am2wx==mm:181[77j016?h6511`:?!5d:38mj6s|11a:>5<5sW;;n5523d:955d?3-9h>7<:7:p55e?2909wS??b69>7`>=99h<7)=l2;06<>{t99i<6=4={_33f3=:;l21==l9;%1`6?4212wx==m9:181[77j<16?h6511`6?!5d:38>m6s|11a6>5<5sW;;n9523d:955d33-9h>7<:b:p55e32909wS??b29>7`>=99h87)=l2;06g>{t99i86=4={_33f7=:;l21==l=;%1`6?42l2wx==j;:181[77k816?h6511a2?!5d:38>i6s|11f0>5<5sW;;o=523d:955e73-9h>7<94:p55b52909wS??bg9>7`>=99hm7)=l2;05b>{t99n:6=4={_33f`=:;l21==lj;%1`6?40j2wx==j?:181[77jm16?h6511`g?!5d:383:6s|11ae>5<5sW;;nn523d:955dd3-9h>7<61:p55eb2909wS??bc9>7`>=99hi7)=l2;0:1>{t99io6=4={_33fd=:;l21==ln;%1`6?4>>2wx==ml:181[77j816?h6511`2?!5d:382;6s|11a1>5<5sW;;n=523d:955d73-9h>7<68:p5g4b2909wS?m2d9>7`>=9k8n7)=l2;0:=>{t9k8o6=4={_3a6a=:;l21=oi2wx==j6:181[77l016?h6511f:?!5d:382o6s|10ab>5<5sW;:<>523d:954643-9h>7<:f:p54e?2909wS?>039>7`>=98:97)=l2;054>{t98i<6=4={_3244=:;l21=<>>;%1`6?4192wx=6s|10a7>5<5sW;;jh523d:955`b3-9h>7<93:p54e42909wS??fe9>7`>=99lo7)=l2;051>{t98i96=4={_33bf=:;l21==hl;%1`6?41>2wx=:181[77nk16?h6511da?!5d:38=;6s|10a3>5<5sW;;jl523d:955`f3-9h>7<98:p54da2909wS??f89>7`>=99l27)=l2;05=>{t98hn6=4={_33b==:;l21==h7;%1`6?41i2wx=16?h6511d4?!5d:38=n6s|10``>5<5sW;;j;523d:955`13-9h>7<9c:p54de2909wS??f49>7`>=99l>7)=l2;05`>{t98n96=4={_324d=:;l21=<>n;%1`6?41m2wx=:181[768016?h65102:?!5d:38<<6s|10f3>5<5sW;:<5523d:9546?3-9h>7<81:p54ea2909wS?>069>7`>=98:<7)=l2;046>{t98in6=4={_3243=:;l21=<>9;%1`6?40;2wx=5<5sW;:<9523d:954633-9h>7<85:p54ee2909wS??fg9>7`>=99lm7)=l2;042>{t98i>6=4={_33b1=:;l21==h;;%1`6?40?2wx=5<5sW;:i>523d:954c43-9h>7<89:p54`>2909wS?>e39>7`>=98o97)=l2;04g>{t98l36=4={_32a4=:;l21=;%1`6?40l2wx=5<5sW;:hh523d:954bb3-9h>7<8f:p54`32909wS?>de9>7`>=98no7)=l2;0;4>{t98l86=4={_32`f=:;l21=6s|10d2>5<5sW;:hl523d:954bf3-9h>7<73:p54`72909wS?>d89>7`>=98n27)=l2;0;0>{t98om6=4={_32`==:;l21=16?h6510f4?!5d:383;6s|10gg>5<5sW;:h;523d:954b13-9h>7<78:p54cd2909wS?>d49>7`>=98n>7)=l2;0;=>{t9;:86=4={_32ad=:;l21==:181[76m016?h6510g:?!5d:383n6s|1322>5<5sW;:i5523d:954c?3-9h>7<7c:p57672909wS?>e69>7`>=98o<7)=l2;0;`>{t98lm6=4={_32a3=:;l21=5<5sW;:i9523d:954c33-9h>7<60:p54`d2909wS?>dg9>7`>=98nm7)=l2;0:6>{t98l=6=4={_32`1=:;l21=;2wx=5<5sW;87<6f:p51>>2909wS?<0c9>7`>=9::i7)=l2;0b4>{t9=236=4={_304d=:;l21=>>n;%1`6?4f92wx=968:181[748016?h65122:?!5d:38j>6s|15:5>5<5sW;8<5523d:9566?3-9h>722909wS?<069>7`>=9::<7)=l2;0b0>{t9=2?6=4={_3043=:;l21=>>9;%1`6?4f=2wx=96=:181[748=16?h651227?!5d:38j:6s|15:2>5<5sW;8<>523d:956643-9h>772909wS?<039>7`>=9::97)=l2;0b<>{t9==m6=4={_3044=:;l21=>>>;%1`6?4f12wx=99j:181[748916?h651223?!5d:38jm6s|155g>5<5sW;9jk523d:957`a3-9h>77`>=9;ln7)=l2;0bg>{t9==i6=4={_31ba=:;l21=?hk;%1`6?4fl2wx=99n:181[75nj16?h6513d`?!5d:38ji6s|155:>5<5sW;9jo523d:957`e3-9h>77`>=9;l27)=l2;0a4>{t9===6=4={_31b==:;l21=?h7;%1`6?4e92wx=99::181[75n>16?h6513d4?!5d:38i>6s|1557>5<5sW;9j;523d:957`13-9h>77`>=9;l>7)=l2;0a0>{t9==96=4={_31b1=:;l21=?h;;%1`6?4e=2wx=99>:181[75n:16?h6513d0?!5d:38i:6s|1553>5<5sW;9j?523d:957`53-9h>77`>=9;l:7)=l2;0a<>{t9=5<5sW;9ii523d:957cc3-9h>77`>=9;oh7)=l2;0ag>{t9=<26=4={_31ag=:;l21=?km;%1`6?4el2wx=987:181[75mh16?h6513gb?!5d:38ii6s|1544>5<5sW;9i4523d:957c>3-9h>77`>=9;o37)=l2;0`4>{t9=<>6=4={_31a2=:;l21=?k8;%1`6?4d92wx=98;:181[75m?16?h6513g5?!5d:38h>6s|1540>5<5sW;9i8523d:957c23-9h>77`>=9:;:7)=l2;0`0>{t9=3;6=4={_3055=:;l21=>??;%1`6?4d=2wx=96i:181[748o16?h65122e?!5d:38h:6s|15:f>5<5sW;87c2909wS?<0e9>7`>=9::o7)=l2;0`<>{t9=2h6=4={_3040=:;l21=>>:;%1`6?4d12wx=96<:181[75nh16?h6513db?!5d:38hm6s|155;>5<5sW;9ik523d:957ca3-9h>77`>=9;o?7)=l2;0`g>{t9=<96=4={_31a6=:;l21=?k<;%1`6?4dl2wx=9kn:181[73jk16?h6515`a?!5d:38hi6s|15g;>5<5sW;?nl523d:951df3-9h>77`>=9=h27)=l2;0g4>{t9=o=6=4={_37f==:;l21=9l7;%1`6?4c92wx=9k::181[73j>16?h6515`4?!5d:38o>6s|15g7>5<5sW;?n;523d:951d13-9h>77`>=9=h>7)=l2;0g0>{t9=o:6=4={_37f6=:;l21=9l<;%1`6?4c=2wx=9k?:181[73j;16?h6515`1?!5d:38o:6s|15fe>5<5sW;?n<523d:951d63-9h>77`>=9=h;7)=l2;0g<>{t9=no6=4={_37ec=:;l21=9oi;%1`6?4c12wx=9jl:181[73il16?h6515cf?!5d:38om6s|15fa>5<5sW;?mi523d:951gc3-9h>77`>=9=kh7)=l2;0gg>{t9=n26=4={_37eg=:;l21=9om;%1`6?4cl2wx=9j7:181[73ih16?h6515cb?!5d:38oi6s|15f5>5<5sW;?m5523d:951g?3-9h>77`>=9=k<7)=l2;0f4>{t9=n?6=4={_37e3=:;l21=9o9;%1`6?4b92wx=9j<:181[73i<16?h6515c6?!5d:38n>6s|15f1>5<5sW;?m9523d:951g33-9h>77`>=9=k87)=l2;0f0>{t9=n;6=4={_37e7=:;l21=9o=;%1`6?4b>2wx=9mi:181[73i816?h6515c2?!5d:38n;6s|15af>5<5sW;?m=523d:951g73-9h>77`>=9=3m7)=l2;0f=>{t9=ii6=4={_37=a=:;l21=97k;%1`6?4bi2wx=9mn:181[731j16?h6515;`?!5d:38nn6s|15a:>5<5sW;?5o523d:951?e3-9h>77`>=9=3j7)=l2;0f`>{t9=i<6=4={_37=<=:;l21=976;%1`6?4bm2wx=9m9:181[731116?h6515;;?!5d:38nj6s|15a6>5<5sW;?5:523d:951?03-9h>77`>=9=3=7)=l2;0e5>{t9=i86=4={_37=0=:;l21=97:;%1`6?4a:2wx=9m=:181[731=16?h6515;7?!5d:38m?6s|15d3>5<5sW;?o=523d:951e73-9h>77`>=9=hm7)=l2;0e1>{t9=on6=4={_37f`=:;l21=9lj;%1`6?4a>2wx=9kk:181[73jm16?h6515`g?!5d:38m;6s|15g`>5<5sW;?nn523d:951dd3-9h>77`>=9=h?7)=l2;0e=>{t9=o96=4={_37e<=:;l21=9o6;%1`6?4ai2wx=9j8:181[731l16?h6515;f?!5d:38mn6s|15a`>5<5sW;?5>523d:951?43-9h>77`>=9=397)=l2;0e`>{zfhijm7>52zJ0g6=zfhijn7>53`yK7f550;0xL6e43tdjoo?50;0xL6e43tdjoo<50;0xL6e43tdjoo=50;0xL6e43tdjoo:50;0xL6e43tdjoo;50;0xL6e43tdjoo850;0xL6e43tdjoo950;0xL6e43tdjoo650;0xL6e43tdjoo750;0xL6e43tdjooo50;0xL6e43tdjool50;0xL6e43tdjoom50;0xL6e43tdjooj50;0xL6e43tdjook50;0xL6e43tdjooh50;0xL6e43tdjon>50;0xL6e43tdjon?50;0xL6e43tdjon<50;0xL6e43tdjon=50;0xL6e43tdjon:50;0xL6e43tdjon;50;1xL6e43tdjon850;1xL6e43tdjon950;1xL6e43tdjon650;1xL6e43tdjon750;1xL6e43tdjono50;1xL6e43tdjonl50;1xL6e43tdjonm50;1xL6e43tdjonj50;1xL6e43tdjonk50;1xL6e43tdjonh50;1xL6e43tdjoi>50;1xL6e43tdjoi?50;1xL6e43tdjoi<50;1xL6e43tdjoi=50;1xL6e43tdjoi:50;1xL6e43tdjoi;50;1xL6e43tdjoi850;1xL6e43tdjoi950;1xL6e43tdjoi650;1xL6e43tdjoi750;1xL6e43tdjoio50;1xL6e43tdjoil50;1xL6e43tdjoim50;1xL6e43tdjoij50;1xL6e43tdjoik50;1xL6e43tdjoih50;1xL6e43tdjoh>50;1xL6e43tdjoh?50;1xL6e43tdjoh<50;1xL6e43tdjoh=50;1xL6e43tdjoh:50;1xL6e43tdjoh;50;1xL6e43tdjoh850;1xL6e43tdjoh950;1xL6e43tdjoh650;1xL6e43tdjoh750;1xL6e43tdjoho50;1xL6e43tdjohl50;1xL6e43tdjohm50;1xL6e43tdjohj50;1xL6e43tdjohk50;1xL6e43tdjohh50;1xL6e43tdjok>50;1xL6e43tdjok?50;1xL6e43tdjok<50;1xL6e43tdjok=50;1xL6e43tdjok:50;1xL6e43tdjok;50;0xL6e43tdjok850;0xL6e43tdjok950;0xL6e43tdjok650;0xL6e43tdjok750;0xL6e43tdjoko50;0xL6e43tdjokl50;0xL6e43tdjokm50;0xL6e43tdjokj50;0xL6e43tdjokk50;0xL6e43tdjokh50;0xL6e43tdjh=>50;0xL6e43tdjh=?50;0xL6e43tdjh=<50;0xL6e43tdjh==50;0xL6e43tdjh=:50;0xL6e43tdjh=;50;0xL6e43tdjh=850;0xL6e43tdjh=950;0xL6e43tdjh=650;0xL6e43tdjh=750;0xL6e43tdjh=o50;0xL6e43tdjh=l50;0xL6e43tdjh=m50;0xL6e43tdjh=j50;0xL6e43tdjh=k50;0xL6e43tdjh=h50;0xL6e43tdjh<>50;0xL6e43tdjh50;0xL6e43tdjh??50;0xL6e43tdjh?<50;0xL6e43tdjh?=50;0xL6e43tdjh?:50;0xL6e43tdjh?;50;0xL6e43tdjh?850;0xL6e43tdjh?950;0xL6e43tdjh?650;0xL6e43tdjh?750;0xL6e43tdjh?o50;0xL6e43tdjh?l50;0xL6e43tdjh?m50;0xL6e43tdjh?j50;0xL6e43tdjh?k50;0xL6e43tdjh?h50;0xL6e43tdjh>>50;0xL6e43tdjh>?50;0xL6e43tdjh><50;0xL6e43tdjh>=50;0xL6e43tdjh>:50;0xL6e43tdjh>;50;0xL6e43tdjh>850;0xL6e43tdjh>950;0xL6e43tdjh>650;0xL6e43tdjh>750;0xL6e43tdjh>o50;0xL6e43tdjh>l50;0xL6e43tdjh>m50;0xL6e43tdjh>j50;0xL6e43tdjh>k50;0xL6e43tdjh>h50;0xL6e43tdjh9>50;0xL6e43tdjh9?50;0xL6e43tdjh9<50;0xL6e43tdjh9=50;0xL6e43tdjh9:50;0xL6e43tdjh9;50;0xL6e43tdjh9850;0xL6e43tdjh9950;0xL6e43tdjh9650;0xL6e43tdjh9750;0xL6e43tdjh9o50;0xL6e43tdjh9l50;0xL6e43tdjh9m50;0xL6e43tdjh9j50;0xL6e43tdjh9k50;0xL6e43tdjh9h50;0xL6e43tdjh8>50;0xL6e43tdjh8?50;0xL6e43tdjh8<50;0xL6e43td2i;:50;3xL6e43td2i;;50;3xL6e43td2in950;3xL6e43td2in650;3xL6e43td2in750;3xL6e43td2ino50;3xL6e43td2inl50;3xL6e43td2inm50;3xL6e43td2inj50;3xL6e43td2ink50;3xL6e43td2inh50;3xL6e43td2ii>50;3xL6e43td2ii?50;3xL6e43td2ii<50;3xL6e43td2ii=50;3xL6e43td2ii:50;3xL6e43td2ii;50;3xL6e43td2ii850;3xL6e43td2ii950;3xL6e43td2ii650;3xL6e43td2ii750;3xL6e43td2iio50;3xL6e43td2iil50;3xL6e43td2iim50;3xL6e43td2iij50;3xL6e43td2iik50;3xL6e43td2iih50;3xL6e43td2ih>50;3xL6e43td2ih?50;3xL6e43td2ih<50;3xL6e43td2ih=50;3xL6e43td2ih:50;3xL6e43td2ih;50;3xL6e43td2ih850;3xL6e43td2ih950;3xL6e43td2ih650;3xL6e43td2ih750;3xL6e43td2iho50;3xL6e43td2ihl50;3xL6e43td2ihm50;3xL6e43td2ihj50;3xL6e43td2ihk50;3xL6e43td2ihh50;3xL6e43td2ik>50;3xL6e43td2ik?50;3xL6e43td2ik<50;3xL6e43td2ik=50;3xL6e43td2ik:50;3xL6e43td2ik;50;3xL6e43td2ik850;3xL6e43td2ik950;3xL6e43td2ik650;3xL6e43td2ik750;3xL6e43td2iko50;3xL6e43td2ikl50;3xL6e43td2ikm50;3xL6e43td2ikj50;3xL6e43td2ikk50;3xL6e43td2ikh50;3xL6e43td2j=>50;3xL6e43td2j=?50;3xL6e43td2j=<50;3xL6e43td2j==50;3xL6e43td2j=:50;3xL6e43td2j=;50;3xL6e43td2j=850;3xL6e43td2j=950;3xL6e43td2j=650;3xL6e43td2j=750;3xL6e43td2j=o50;3xL6e43td2j=l50;3xL6e43td2j=m50;3xL6e43td2j=j50;3xL6e43td2j=k50;3xL6e43td2j=h50;3xL6e43td2j<>50;3xL6e43td2j50;3xL6e43td2j??50;3xL6e43td2j?<50;3xL6e43td2j?=50;3xL6e43td2j?:50;3xL6e43td2j?;50;3xL6e43td2j?850;3xL6e43td2j?950;3xL6e43td2j?650;3xL6e43td2j?750;3xL6e43td2j?o50;3xL6e43td2j?l50;3xL6e43td2j?m50;3xL6e43td2j?j50;3xL6e43td2j?k50;3xL6e43td2j?h50;3xL6e43td2j>>50;3xL6e43td2j>?50;3xL6e43td2j><50;3xL6e43td2j>=50;3xL6e43td2j>:50;3xL6e43td2j>;50;3xL6e43td2j>850;3xL6e43td2j>950;3xL6e43td2j>650;3xL6e43td2j>750;3xL6e43td2j>o50;3xL6e43td2j>l50;3xL6e43td2j>m50;3xL6e43td2j>j50;3xL6e43td2j>k50;3xL6e43td2j>h50;3xL6e43td2j9>50;3xL6e43td2j9?50;3xL6e43td2j9<50;3xL6e43td2j9=50;3xL6e43td2j9:50;3xL6e43td2j9;50;3xL6e43td2j9850;3xL6e43td2j9950;3xL6e43td2j9650;3xL6e43td2j9750;3xL6e43td2j9o50;3xL6e43td2j9l50;3xL6e43td2j9m50;3xL6e43td2j9j50;3xL6e43td2j9k50;3xL6e43td2j9h50;3xL6e43td2j8>50;3xL6e43td2j8?50;3xL6e43td2j8<50;3xL6e43td2j8=50;3xL6e43td2j8:50;3xL6e43td2j8;50;3xL6e43td2j8850;3xL6e43td2j8950;3xL6e43td2j8650;3xL6e43td2j8750;3xL6e43td2j8o50;3xL6e43td2j8l50;3xL6e43td2j8m50;3xL6e43td2j8j50;3xL6e43td2j8k50;3xL6e43td2j8h50;3xL6e43td2j;>50;3xL6e43td2j;?50;3xL6e43td2j;<50;3xL6e43td2j;=50;3xL6e43td2j;:50;3xL6e43td2j;;50;3xL6e43td2j;850;3xL6e43td2j;950;3xL6e43td2j;650;3xL6e43td2j;750;3xL6e43td2j;o50;3xL6e43td2j;l50;3xL6e43td2j;m50;3xL6e43td2j;j50;3xL6e43td2j;k50;3xL6e43td2j;h50;3xL6e43td2j:>50;3xL6e43td2j:?50;3xL6e43td2j:<50;3xL6e43td2j:=50;3xL6e43td2j::50;3xL6e43td2j:;50;3xL6e43td2j:850;3xL6e43td2j:950;3xL6e43td2j:650;3xL6e43td2j:750;3xL6e43td2j:o50;3xL6e43td2j:l50;3xL6e43td2j:m50;3xL6e43td2j:j50;3xL6e43td2j:k50;3xL6e43td2j:h50;3xL6e43td2j5>50;3xL6e43td2j5?50;3xL6e43td2j5<50;3xL6e43td2j5=50;3xL6e43td2j5:50;3xL6e43td2j5;50;3xL6e43td2j5850;3xL6e43td2j5950;3xL6e43td2j5650;3xL6e43td2j5750;3xL6e43td2j5o50;3xL6e43td2j5l50;3xL6e43td2j5m50;3xL6e43td2j5j50;3xL6e43td2j5k50;3xL6e43td2j5h50;3xL6e43td2j4>50;3xL6e43td2j4?50;3xL6e43td2j4<50;3xL6e43td2j4=50;3xL6e43td2j4:50;3xL6e43td2j4;50;3xL6e43td2j4850;3xL6e43td2j4950;3xL6e43td2j4650;3xL6e43td2j4750;3xL6e43td2j4o50;3xL6e43td2j4l50;3xL6e43td2j4m50;3xL6e43td2j4j50;3xL6e43td2j4k50;3xL6e43td2j4h50;3xL6e43td2jl>50;3xL6e43td2jl?50;3xL6e43td2jl<50;3xL6e43td2jl=50;3xL6e43td2jl:50;3xL6e43td2jl;50;3xL6e43td2jl850;3xL6e43td2jl950;3xL6e43td2jl650;3xL6e43td2jl750;3xL6e43td2jlo50;3xL6e43td2jll50;3xL6e43td2jlm50;3xL6e43td2jlj50;3xL6e43td2jlk50;3xL6e43td2jlh50;3xL6e43td2jo>50;3xL6e43td2jo?50;3xL6e43td2jo<50;3xL6e43td2jo=50;3xL6e43td2jo:50;3xL6e43td2jo;50;3xL6e43td2jo850;3xL6e43td2jo950;3xL6e43td2jo650;3xL6e43td2jo750;3xL6e43td2joo50;3xL6e43td2jol50;3xL6e43td2jom50;3xL6e43td2joj50;3xL6e43td2jok50;3xL6e43td2joh50;3xL6e43td2jn>50;3xL6e43td2jn?50;3xL6e43td2jn<50;3xL6e43td2jn=50;3xL6e43td2jn:50;3xL6e43td2jn;50;3xL6e43td2jn850;3xL6e43td2jn950;3xL6e43td2jn650;3xL6e43td2jn750;3xL6e43td2jno50;3xL6e43td2jnl50;0xL6e43td2jnm50;0xL6e43td2jnj50;0xL6e43td2jnk50;0xL6e43td2jnh50;0xL6e43td2ji>50;0xL6e43td2ji?50;0xL6e43td2ji<50;0xL6e43td2ji=50;0xL6e43td2ji:50;0xL6e43td2ji;50;0xL6e43td2ji850;0xL6e43td2ji950;0xL6e43td2ji650;0xL6e43td2ji750;0xL6e43td2jio50;0xL6e43td2jil50;0xL6e43td2jim50;0xL6e43td2jij50;3xL6e43td2jik50;3xL6e43td2jih50;3xL6e43td2jh>50;3xL6e43td2jh?50;3xL6e43td2jh<50;3xL6e43td2jh=50;3xL6e43td2jh:50;3xL6e43td2jh;50;3xL6e43td2jh850;3xL6e43td2jh950;3xL6e43td2jh650;3xL6e43td2jh750;3xL6e43td2jho50;3xL6e43td2jhl50;3xL6e43td2jhm50;3xL6e43td2jhj50;3xL6e43td2jhk50;3xL6e43td2jhh50;3xL6e43td2jk>50;3xL6e43td2jk?50;3xL6e43td2jk<50;3xL6e43td2jk=50;3xL6e43td2jk:50;3xL6e43td2jk;50;3xL6e43td2jk850;3xL6e43td2jk950;3xL6e43td2jk650;3xL6e43td2jk750;3xL6e43td2jko50;3xL6e43td2jkl50;3xL6e43td2jkm50;3xL6e43td2jkj50;3xL6e43td2jkk50;3xL6e43td2jkh50;3xL6e43tdj<=>50;3xL6e43tdj<=?50;3xL6e43tdj<=<50;3xL6e43tdj<==50;3xL6e43tdj<=:50;3xL6e43tdj<=;50;3xL6e43tdj<=850;3xL6e43tdj<=950;3xL6e43tdj<=650;3xL6e43tdj<=750;3xL6e43tdj<=o50;3xL6e43tdj<=l50;3xL6e43tdj<=m50;3xL6e43tdj<=j50;3xL6e43tdj<=k50;3xL6e43tdj<=h50;3xL6e43tdj<<>50;3xL6e43tdj<50;3xL6e43tdj>50;3xL6e43tdj<>?50;3xL6e43tdj<><50;3xL6e43tdj<>=50;3xL6e43tdj<>:50;3xL6e43tdj<>;50;3xL6e43tdj<>850;3xL6e43tdj<>950;3xL6e43tdj<>650;3xL6e43tdj<>750;3xL6e43tdj<>o50;3xL6e43tdj<>l50;3xL6e43tdj<>m50;3xL6e43tdj<>j50;3xL6e43tdj<>k50;3xL6e43tdj<>h50;3xL6e43tdj<9>50;3xL6e43tdj<9?50;3xL6e43tdj<9<50;3xL6e43tdj<9=50;3xL6e43tdj<9:50;3xL6e43tdj<9;50;3xL6e43tdj<9850;3xL6e43tdj<9950;3xL6e43tdj<9650;3xL6e43tdj<9750;3xL6e43tdj<9o50;3xL6e43tdj<9l50;3xL6e43tdj<9m50;3xL6e43tdj<9j50;3xL6e43tdj<9k50;3xL6e43tdj<9h50;3xL6e43tdj<8>50;3xL6e43tdj<8?50;3xL6e43tdj<8<50;3xL6e43tdj<8=50;3xL6e43tdj<8:50;3xL6e43tdj<8;50;3xL6e43tdj<8850;3xL6e43tdj<8950;3xL6e43tdj<8650;3xL6e43tdj<8750;3xL6e43tdj<8o50;3xL6e43tdj<8l50;3xL6e43tdj<8m50;3xL6e43tdj<8j50;3xL6e43tdj<8k50;3xL6e43tdj<8h50;3xL6e43tdj<;>50;3xL6e43tdj<;?50;3xL6e43tdj<;<50;3xL6e43tdj<;=50;3xL6e43tdj<;:50;3xL6e43tdj<;;50;3xL6e43tdj<;850;3xL6e43tdj<;950;3xL6e43tdj<;650;3xL6e43tdj<;750;3xL6e43tdj<;o50;3xL6e43tdj<;l50;3xL6e43tdj<;m50;3xL6e43tdj<;j50;3xL6e43tdj<;k50;3xL6e43tdj<;h50;3xL6e43tdj<:>50;3xL6e43tdj<:?50;3xL6e43tdj<:<50;3xL6e43tdj<:=50;3xL6e43tdj<::50;3xL6e43tdj<:;50;3xL6e43tdj<:850;3xL6e43tdj<:950;3xL6e43tdj<:650;3xL6e43tdj<:750;3xL6e43tdj<:o50;3xL6e43tdj<:l50;3xL6e43tdj<:m50;3xL6e43tdj<:j50;3xL6e43tdj<:k50;3xL6e43tdj<:h50;3xL6e43tdj<5>50;3xL6e43tdj<5?50;3xL6e43tdj<5<50;3xL6e43tdj<5=50;3xL6e43tdj<5:50;3xL6e43tdj<5;50;3xL6e43tdj<5850;3xL6e43tdj<5950;3xL6e43tdj<5650;3xL6e43tdj<5750;3xL6e43tdj<5o50;3xL6e43tdj<5l50;3xL6e43tdj<5m50;3xL6e43tdj<5j50;3xL6e43tdj<5k50;3xL6e43tdj<5h50;3xL6e43tdj<4>50;3xL6e43tdj<4?50;3xL6e43tdj<4<50;3xL6e43tdj<4=50;3xL6e43tdj<4:50;3xL6e43tdj<4;50;3xL6e43tdj<4850;3xL6e43tdj<4950;3xL6e43tdj<4650;3xL6e43tdj<4750;3xL6e43tdj<4o50;3xL6e43tdj<4l50;3xL6e43tdj<4m50;3xL6e43tdj<4j50;3xL6e43tdj<4k50;3xL6e43tdj<4h50;3xL6e43tdj50;3xL6e43tdj50;3xL6e43tdj50;3xL6e43tdj50;3xL6e43tdj50;0xL6e43tdj50;0xL6e43tdj50;0xL6e43tdj==?50;0xL6e43tdj==<50;0xL6e43tdj===50;0xL6e43tdj==:50;0xL6e43tdj==;50;0xL6e43tdj==850;3xL6e43tdj==950;3xL6e43tdj==650;3xL6e43tdj==750;3xL6e43tdj==o50;3xL6e43tdj==l50;3xL6e43tdj==m50;3xL6e43tdj==j50;3xL6e43tdj==k50;3xL6e43tdj==h50;3xL6e43tdj=<>50;3xL6e43tdj=50;3xL6e43tdj=??50;3xL6e43tdj=?<50;3xL6e43tdj=?=50;3xL6e43tdj=?:50;3xL6653A9h?6saa006>5<5sA9h?6saa005>5<6sA9;>6FvFvF3:1=vF3:1=vFvF<039K7f552zJ0g6=zfh;247>52zJ0g6=zfh;257>52zJ0g6=zfh;2m7>52zJ0g6=zfh;2n7>52zJ0g6=zfh;2o7>52zJ0g6=zfh;2h7>52zJ0g6=zfh;2i7>52zJ0g6=zfh;2j7>53zJ0g6=zfh;j<7>53zJ0g6=zfh;j=7>53zJ0g6=zfh;j>7>53zJ0g6=zfh;j?7>53zJ0g6=zfh;j87>53zJ0g6=zfh;j97>53zJ0g6=zfh;j:7>53zJ0g6=zfh;j;7>51zJ0g6=zfh;j47>51zJ0g6=zfh;j57>51zJ0g6=zfh;jm7>51zJ0g6=zfh;jn7>51zJ0g6=zfh;jo7>51zJ0g6=zfh;jh7>51zJ0g6=zfh;ji7>51zJ0g6=zfh;jj7>51zJ0g6=zfh;i<7>51zJ0g6=zfh;i=7>51zJ0g6=zfh;i>7>51zJ0g6=zfh;i?7>51zJ0g6=zfh;i87>51zJ0g6=zfh;i97>51zJ0g6=zfh;i:7>51zJ0g6=zfh;i;7>51zJ0g6=zfh;i47>51zJ0g6=zfh;i57>51zJ0g6=zfh;im7>51zJ0g6=zfh;in7>51zJ0g6=zfh;io7>51zJ0g6=zfh;ih7>51zJ0g6=zfh;ii7>51zJ0g6=zfh;ij7>51zJ0g6=zfh;h<7>51zJ0g6=zfh;h=7>51zJ0g6=zfh;h>7>51zJ0g6=zfh;h?7>51zJ0g6=zfh;h87>51zJ0g6=zfh;h97>51zJ0g6=zfh;h:7>51zJ0g6=zfh;h;7>51zJ0g6=zfh;h47>51zJ0g6=zfh;h57>51zJ0g6=zfh;hm7>51zJ0g6=zfh;hn7>51zJ0g6=zfh;ho7>51zJ0g6=zfh;hh7>51zJ0g6=zfh;hi7>51zJ0g6=zfh;hj7>51zJ0g6=zfh;o<7>51zJ0g6=zfh;o=7>51zJ0g6=zfh;o>7>51zJ0g6=zfh;o?7>51zJ0g6=zfh;o87>51zJ0g6=zfh;o97>51zJ0g6=zfh;o:7>51zJ0g6=zfh;o;7>52zJ0g6=zfh;o47>52zJ0g6=zfh;o57>52zJ0g6=zfh;om7>52zJ0g6=zfh;on7>52zJ0g6=zfh;oo7>52zJ0g6=zfh;oh7>52zJ0g6=zfh;oi7>52zJ0g6=zfh;oj7>52zJ0g6=zfh;n<7>52zJ0g6=zfh;n=7>52zJ0g6=zfh;n>7>52zJ0g6=zfh;n?7>52zJ0g6=zfh;n87>52zJ0g6=zfh;n97>52zJ0g6=zfh;n:7>52zJ0g6=zfh;n;7>52zJ0g6=zfh;n47>52zJ0g6=zfh;n57>52zJ0g6=zfh;nm7>52zJ0g6=zfh;nn7>52zJ0g6=zfh;no7>52zJ0g6=zfh;nh7>52zJ0g6=zfh;ni7>52zJ0g6=zfh;nj7>52zJ0g6=zfh;m<7>52zJ0g6=zfh;m=7>53zJ0g6=zfh;m>7>53zJ0g6=zfh;m?7>53zJ0g6=zfh;m87>53zJ0g6=zfh;m97>53zJ0g6=zfh;m:7>53zJ0g6=zfh;m;7>53zJ0g6=zfh;m47>53zJ0g6=zfh;m57>51zJ0g6=zfh;mm7>51zJ0g6=zfh;mn7>51zJ0g6=zfh;mo7>51zJ0g6=zfh;mh7>51zJ0g6=zfh;mi7>51zJ0g6=zfh;mj7>51zJ0g6=zfh8;<7>51zJ0g6=zfh8;=7>51zJ0g6=zfh8;>7>51zJ0g6=zfh8;?7>51zJ0g6=zfh8;87>51zJ0g6=zfh8;97>51zJ0g6=zfh8;:7>51zJ0g6=zfh8;;7>51zJ0g6=zfh8;47>51zJ0g6=zfh8;57>51zJ0g6=zfh8;m7>51zJ0g6=zfh8;n7>51zJ0g6=zfh8;o7>51zJ0g6=zfh8;h7>51zJ0g6=zfh8;i7>51zJ0g6=zfh8;j7>51zJ0g6=zfh8:<7>51zJ0g6=zfh8:=7>51zJ0g6=zfh8:>7>51zJ0g6=zfh8:?7>51zJ0g6=zfh8:87>51zJ0g6=zfh8:97>51zJ0g6=zfh8::7>51zJ0g6=zfh8:;7>51zJ0g6=zfh8:47>51zJ0g6=zfh8:57>51zJ0g6=zfh8:m7>51zJ0g6=zfh8:n7>51zJ0g6=zfh8:o7>51zJ0g6=zfh8:h7>51zJ0g6=zfh8:i7>51zJ0g6=zfh8:j7>51zJ0g6=zfh89<7>51zJ0g6=zfh89=7>51zJ0g6=zfh89>7>51zJ0g6=zfh89?7>51zJ0g6=zfh8987>51zJ0g6=zfh8997>51zJ0g6=zfh89:7>51zJ0g6=zfh89;7>51zJ0g6=zfh8947>51zJ0g6=zfh8957>52zJ0g6=zfh89m7>52zJ0g6=zfh89n7>52zJ0g6=zfh89o7>52zJ0g6=zfh89h7>52zJ0g6=zfh89i7>52zJ0g6=zfh89j7>52zJ0g6=zfh88<7>52zJ0g6=zfh88=7>52zJ0g6=zfh88>7>52zJ0g6=zfh88?7>52zJ0g6=zfh8887>52zJ0g6=zfh8897>52zJ0g6=zfh88:7>52zJ0g6=zfh88;7>52zJ0g6=zfh8847>52zJ0g6=zfh8857>53zJ0g6=zfh88m7>53zJ0g6=zfh88n7>53zJ0g6=zfh88o7>53zJ0g6=zfh88h7>53zJ0g6=zfh88i7>53zJ0g6=zfh88j7>53zJ0g6=zfh8?<7>53zJ0g6=zfh8?=7>53zJ0g6=zfh8?>7>53zJ0g6=zfh8??7>53zJ0g6=zfh8?87>53zJ0g6=zfh8?97>53zJ0g6=zfh8?:7>53zJ0g6=zfh8?;7>53zJ0g6=zfh8?47>53zJ0g6=zfh8?57>51zJ0g6=zfh8?m7>51zJ0g6=zfh8?n7>51zJ0g6=zfh8?o7>51zJ0g6=zfh8?h7>51zJ0g6=zfh8?i7>51zJ0g6=zfh8?j7>51zJ0g6=zfh8><7>51zJ0g6=zfh8>=7>51zJ0g6=zfh8>>7>51zJ0g6=zfh8>?7>51zJ0g6=zfh8>87>51zJ0g6=zfh8>97>51zJ0g6=zfh8>:7>51zJ0g6=zfh8>;7>51zJ0g6=zfh8>47>51zJ0g6=zfh8>57>51zJ0g6=zfh8>m7>51zJ0g6=zfh8>n7>51zJ0g6=zfh8>o7>51zJ0g6=zfh8>h7>51zJ0g6=zfh8>i7>51zJ0g6=zfh8>j7>51zJ0g6=zfh8=<7>51zJ0g6=zfh8==7>51zJ0g6=zfh8=>7>51zJ0g6=zfh8=?7>51zJ0g6=zfh8=87>51zJ0g6=zfh8=97>51zJ0g6=zfh8=:7>51zJ0g6=zfh8=;7>51zJ0g6=zfh8=47>51zJ0g6=zfh8=57>51zJ0g6=zfh8=m7>51zJ0g6=zfh8=n7>51zJ0g6=zfh8=o7>51zJ0g6=zfh8=h7>51zJ0g6=zfh8=i7>51zJ0g6=zfh8=j7>51zJ0g6=zfh8<<7>51zJ0g6=zfh8<=7>51zJ0g6=zfh8<>7>51zJ0g6=zfh851zJ0g6=zfh8<87>51zJ0g6=zfh8<97>51zJ0g6=zfh8<:7>51zJ0g6=zfh8<;7>51zJ0g6=zfh8<47>51zJ0g6=zfh8<57>52zJ0g6=zfh852zJ0g6=zfh852zJ0g6=zfh852zJ0g6=zfh852zJ0g6=zfh852zJ0g6=zfh852zJ0g6=zfh83<7>52zJ0g6=zfh83=7>52zJ0g6=zfh83>7>52zJ0g6=zfh83?7>52zJ0g6=zfh8387>52zJ0g6=zfh8397>52zJ0g6=zfh83:7>52zJ0g6=zfh83;7>52zJ0g6=zfh8347>52zJ0g6=zfh8357>53zJ0g6=zfh83m7>53zJ0g6=zfh83n7>53zJ0g6=zfh83o7>53zJ0g6=zfh83h7>53zJ0g6=zfh83i7>53zJ0g6=zfh83j7>53zJ0g6=zfh82<7>53zJ0g6=zfh82=7>53zJ0g6=zfh82>7>53zJ0g6=zfh82?7>53zJ0g6=zfh8287>53zJ0g6=zfh8297>53zJ0g6=zfh82:7>53zJ0g6=zfh82;7>53zJ0g6=zfh8247>53zJ0g6=zfh8257>51zJ0g6=zfh82m7>51zJ0g6=zfh82n7>51zJ0g6=zfh82o7>51zJ0g6=zfh82h7>51zJ0g6=zfh82i7>51zJ0g6=zfh82j7>51zJ0g6=zfh8j<7>51zJ0g6=zfh8j=7>51zJ0g6=zfh8j>7>51zJ0g6=zfh8j?7>51zJ0g6=zfh8j87>51zJ0g6=zfh8j97>51zJ0g6=zfh8j:7>51zJ0g6=zfh8j;7>51zJ0g6=zfh8j47>51zJ0g6=zfh8j57>51zJ0g6=zfh8jm7>51zJ0g6=zfh8jn7>51zJ0g6=zfh8jo7>51zJ0g6=zfh8jh7>51zJ0g6=zfh8ji7>51zJ0g6=zfh8jj7>51zJ0g6=zfh8i<7>51zJ0g6=zfh8i=7>51zJ0g6=zfh8i>7>51zJ0g6=zfh8i?7>51zJ0g6=zfh8i87>51zJ0g6=zfh8i97>51zJ0g6=zfh8i:7>51zJ0g6=zfh8i;7>51zJ0g6=zfh8i47>51zJ0g6=zfh8i57>51zJ0g6=zfh8im7>51zJ0g6=zfh8in7>51zJ0g6=zfh8io7>51zJ0g6=zfh8ih7>51zJ0g6=zfh8ii7>51zJ0g6=zfh8ij7>51zJ0g6=zfh8h<7>51zJ0g6=zfh8h=7>51zJ0g6=zfh8h>7>51zJ0g6=zfh8h?7>51zJ0g6=zfh8h87>51zJ0g6=zfh8h97>51zJ0g6=zfh8h:7>51zJ0g6=zfh8h;7>51zJ0g6=zfh8h47>51zJ0g6=zfh8h57>52zJ0g6=zfh8hm7>52zJ0g6=zfh8hn7>52zJ0g6=zfh8ho7>52zJ0g6=zfh8hh7>52zJ0g6=zfh8hi7>52zJ0g6=zfh8hj7>52zJ0g6=zfh8o<7>52zJ0g6=zfh8o=7>51zJ0g6=zfh8o>7>51zJ0g6=zfh8o?7>51zJ0g6=zfh8o87>51zJ0g6=zfh8o97>51zJ0g6=zfh8o:7>51zJ0g6=zfh8o;7>51zJ0g6=zfh8o47>51zJ0g6=zfh8o57>51zJ0g6=zfh8om7>51zJ0g6=zfh8on7>51zJ0g6=zfh8oo7>51zJ0g6=zfh8oh7>51zJ0g6=zfh8oi7>51zJ0g6=zfh8oj7>51zJ0g6=zfh8n<7>51zJ0g6=zfh8n=7>51zJ0g6=zfh8n>7>51zJ0g6=zfh8n?7>51zJ0g6=zfh8n87>51zJ0g6=zfh8n97>51zJ0g6=zfh8n:7>51zJ0g6=zfh8n;7>51zJ0g6=zfh8n47>51zJ0g6=zfh8n57>51zJ0g6=zfh8nm7>51zJ0g6=zfh8nn7>51zJ0g6=zfh8no7>51zJ0g6=zfh8nh7>51zJ0g6=zfh8ni7>51zJ0g6=zfh8nj7>51zJ0g6=zfh8m<7>51zJ0g6=zfh8m=7>51zJ0g6=zfh8m>7>51zJ0g6=zfh8m?7>51zJ0g6=zfh8m87>51zJ0g6=zfh8m97>51zJ0g6=zfh8m:7>51zJ0g6=zfh8m;7>51zJ0g6=zfh8m47>51zJ0g6=zfh8m57>51zJ0g6=zfh8mm7>51zJ0g6=zfh8mn7>51zJ0g6=zfh8mo7>51zJ0g6=zfh8mh7>51zJ0g6=zfh8mi7>51zJ0g6=zfh8mj7>51zJ0g6=zfh9;<7>51zJ0g6=zfh9;=7>52zJ0g6=zfh9;>7>52zJ0g6=zfh9;?7>52zJ0g6=zfh9;87>52zJ0g6=zfh9;97>52zJ0g6=zfh9;:7>52zJ0g6=zfh9;;7>52zJ0g6=zfh9;47>52zJ0g6=zfh9;57>52zJ0g6=zfh9;m7>52zJ0g6=zfh9;n7>52zJ0g6=zfh9;o7>52zJ0g6=zfh9;h7>52zJ0g6=zfh9;i7>52zJ0g6=zfh9;j7>52zJ0g6=zfh9:<7>52zJ0g6=zfh9:=7>52zJ0g6=zfh9:>7>52zJ0g6=zfh9:?7>52zJ0g6=zfh9:87>52zJ0g6=zfh9:97>52zJ0g6=zfh9::7>52zJ0g6=zfh9:;7>52zJ0g6=zfh9:47>52zJ0g6=zfh9:57>52zJ0g6=zfh9:m7>52zJ0g6=zfh9:n7>52zJ0g6=zfh9:o7>52zJ0g6=zfh9:h7>52zJ0g6=zfh9:i7>52zJ0g6=zfh9:j7>52zJ0g6=zfh99<7>52zJ0g6=zfh99=7>52zJ0g6=zfh99>7>52zJ0g6=zfh99?7>51zJ0g6=zfh9987>51zJ0g6=zfh9997>51zJ0g6=zfh99:7>51zJ0g6=zfh99;7>51zJ0g6=zfh9947>51zJ0g6=zfh9957>51zJ0g6=zfh99m7>51zJ0g6=zfh99n7>51zJ0g6=zfh99o7>51zJ0g6=zfh99h7>51zJ0g6=zfh99i7>51zJ0g6=zfh99j7>51zJ0g6=zfh98<7>51zJ0g6=zfh98=7>51zJ0g6=zfh98>7>51zJ0g6=zfh98?7>51zJ0g6=zfh9887>51zJ0g6=zfh9897>51zJ0g6=zfh98:7>51zJ0g6=zfh98;7>51zJ0g6=zfh9847>51zJ0g6=zfh9857>51zJ0g6=zfh98m7>51zJ0g6=zfh98n7>51zJ0g6=zfh98o7>51zJ0g6=zfh98h7>51zJ0g6=zfh98i7>51zJ0g6=zfh98j7>51zJ0g6=zfh9?<7>51zJ0g6=zfh9?=7>51zJ0g6=zfh9?>7>51zJ0g6=zfh9??7>51zJ0g6=zfh9?87>51zJ0g6=zfh9?97>51zJ0g6=zfh9?:7>51zJ0g6=zfh9?;7>51zJ0g6=zfh9?47>51zJ0g6=zfh9?57>51zJ0g6=zfh9?m7>51zJ0g6=zfh9?n7>51zJ0g6=zfh9?o7>51zJ0g6=zfh9?h7>51zJ0g6=zfh9?i7>51zJ0g6=zfh9?j7>51zJ0g6=zfh9><7>51zJ0g6=zfh9>=7>51zJ0g6=zfh9>>7>51zJ0g6=zfh9>?7>52zJ0g6=zfh9>87>52zJ0g6=zfh9>97>52zJ0g6=zfh9>:7>52zJ0g6=zfh9>;7>52zJ0g6=zfh9>47>52zJ0g6=zfh9>57>52zJ0g6=zfh9>m7>52zJ0g6=zfh9>n7>52zJ0g6=zfh9>o7>52zJ0g6=zfh9>h7>52zJ0g6=zfh9>i7>52zJ0g6=zfh9>j7>52zJ0g6=zfh9=<7>52zJ0g6=zfh9==7>52zJ0g6=zfh9=>7>52zJ0g6=zfh9=?7>53zJ0g6=zfh9=87>53zJ0g6=zfh9=97>53zJ0g6=zfh9=:7>53zJ0g6=zfh9=;7>53zJ0g6=zfh9=47>53zJ0g6=zfh9=57>53zJ0g6=zfh9=m7>53zJ0g6=zfh9=n7>51zJ0g6=zfh9=o7>51zJ0g6=zfh9=h7>51zJ0g6=zfh9=i7>51zJ0g6=zfh9=j7>51zJ0g6=zfh9<<7>51zJ0g6=zfh9<=7>51zJ0g6=zfh9<>7>51zJ0g6=zfh951zJ0g6=zfh9<87>51zJ0g6=zfh9<97>51zJ0g6=zfh9<:7>51zJ0g6=zfh9<;7>51zJ0g6=zfh9<47>51zJ0g6=zfh9<57>51zJ0g6=zfh951zJ0g6=zfh951zJ0g6=zfh951zJ0g6=zfh951zJ0g6=zfh951zJ0g6=zfh951zJ0g6=zfh93<7>51zJ0g6=zfh93=7>51zJ0g6=zfh93>7>51zJ0g6=zfh93?7>51zJ0g6=zfh9387>51zJ0g6=zfh9397>51zJ0g6=zfh93:7>51zJ0g6=zfh93;7>51zJ0g6=zfh9347>51zJ0g6=zfh9357>51zJ0g6=zfh93m7>51zJ0g6=zfh93n7>51zJ0g6=zfh93o7>51zJ0g6=zfh93h7>51zJ0g6=zfh93i7>51zJ0g6=zfh93j7>51zJ0g6=zfh92<7>51zJ0g6=zfh92=7>51zJ0g6=zfh92>7>51zJ0g6=zfh92?7>51zJ0g6=zfh9287>51zJ0g6=zfh9297>51zJ0g6=zfh92:7>51zJ0g6=zfh92;7>51zJ0g6=zfh9247>51zJ0g6=zfh9257>51zJ0g6=zfh92m7>51zJ0g6=zfh92n7>52zJ0g6=zfh92o7>52zJ0g6=zfh92h7>52zJ0g6=zfh92i7>52zJ0g6=zfh92j7>52zJ0g6=zfh9j<7>52zJ0g6=zfh9j=7>52zJ0g6=zfh9j>7>52zJ0g6=zfh9j?7>52zJ0g6=zfh9j87>52zJ0g6=zfh9j97>52zJ0g6=zfh9j:7>52zJ0g6=zfh9j;7>52zJ0g6=zfh9j47>52zJ0g6=zfh9j57>52zJ0g6=zfh9jm7>52zJ0g6=zfh9jn7>52zJ0g6=zfh9jo7>52zJ0g6=zfh9jh7>52zJ0g6=zfh9ji7>52zJ0g6=zfh9jj7>52zJ0g6=zfh9i<7>52zJ0g6=zfh9i=7>52zJ0g6=zfh9i>7>52zJ0g6=zfh9i?7>52zJ0g6=zfh9i87>52zJ0g6=zfh9i97>53zJ0g6=zfh9i:7>53zJ0g6=zfh9i;7>53zJ0g6=zfh9i47>53zJ0g6=zfh9i57>53zJ0g6=zfh9im7>53zJ0g6=zfh9in7>53zJ0g6=zfh9io7>53zJ0g6=zfh9ih7>51zJ0g6=zfh9ii7>51zJ0g6=zfh9ij7>51zJ0g6=zfh9h<7>51zJ0g6=zfh9h=7>51zJ0g6=zfh9h>7>51zJ0g6=zfh9h?7>51zJ0g6=zfh9h87>51zJ0g6=zfh9h97>51zJ0g6=zfh9h:7>51zJ0g6=zfh9h;7>51zJ0g6=zfh9h47>51zJ0g6=zfh9h57>51zJ0g6=zfh9hm7>51zJ0g6=zfh9hn7>51zJ0g6=zfh9ho7>51zJ0g6=zfh9hh7>51zJ0g6=zfh9hi7>51zJ0g6=zfh9hj7>51zJ0g6=zfh9o<7>51zJ0g6=zfh9o=7>51zJ0g6=zfh9o>7>51zJ0g6=zfh9o?7>51zJ0g6=zfh9o87>51zJ0g6=zfh9o97>51zJ0g6=zfh9o:7>51zJ0g6=zfh9o;7>51zJ0g6=zfh9o47>51zJ0g6=zfh9o57>51zJ0g6=zfh9om7>51zJ0g6=zfh9on7>51zJ0g6=zfh9oo7>51zJ0g6=zfh9oh7>51zJ0g6=zfh9oi7>51zJ0g6=zfh9oj7>51zJ0g6=zfh9n<7>51zJ0g6=zfh9n=7>51zJ0g6=zfh9n>7>51zJ0g6=zfh9n?7>51zJ0g6=zfh9n87>51zJ0g6=zfh9n97>51zJ0g6=zfh9n:7>51zJ0g6=zfh9n;7>51zJ0g6=zfh9n47>51zJ0g6=zfh9n57>51zJ0g6=zfh9nm7>51zJ0g6=zfh9nn7>51zJ0g6=zfh9no7>51zJ0g6=zfh9nh7>52zJ0g6=zfh9ni7>52zJ0g6=zfh9nj7>52zJ0g6=zfh9m<7>52zJ0g6=zfh9m=7>52zJ0g6=zfh9m>7>52zJ0g6=zfh9m?7>52zJ0g6=zfh9m87>52zJ0g6=zfh9m97>52zJ0g6=zfh9m:7>52zJ0g6=zfh9m;7>52zJ0g6=zfh9m47>52zJ0g6=zfh9m57>52zJ0g6=zfh9mm7>52zJ0g6=zfh9mn7>52zJ0g6=zfh9mo7>52zJ0g6=zfh9mh7>52zJ0g6=zfh9mi7>52zJ0g6=zfh9mj7>52zJ0g6=zfh>;<7>52zJ0g6=zfh>;=7>52zJ0g6=zfh>;>7>52zJ0g6=zfh>;?7>52zJ0g6=zfh>;87>52zJ0g6=zfh>;97>52zJ0g6=zfh>;:7>52zJ0g6=zfh>;;7>53zJ0g6=zfh>;47>53zJ0g6=zfh>;57>53zJ0g6=zfh>;m7>53zJ0g6=zfh>;n7>53zJ0g6=zfh>;o7>53zJ0g6=zfh>;h7>53zJ0g6=zfh>;i7>53zJ0g6=zfh>;j7>51zJ0g6=zfh>:<7>51zJ0g6=zfh>:=7>51zJ0g6=zfh>:>7>51zJ0g6=zfh>:?7>51zJ0g6=zfh>:87>51zJ0g6=zfh>:97>51zJ0g6=zfh>::7>51zJ0g6=zfh>:;7>51zJ0g6=zfh>:47>51zJ0g6=zfh>:57>51zJ0g6=zfh>:m7>51zJ0g6=zfh>:n7>51zJ0g6=zfh>:o7>51zJ0g6=zfh>:h7>51zJ0g6=zfh>:i7>51zJ0g6=zfh>:j7>51zJ0g6=zfh>9<7>51zJ0g6=zfh>9=7>51zJ0g6=zfh>9>7>51zJ0g6=zfh>9?7>51zJ0g6=zfh>987>51zJ0g6=zfh>997>51zJ0g6=zfh>9:7>51zJ0g6=zfh>9;7>51zJ0g6=zfh>947>51zJ0g6=zfh>957>51zJ0g6=zfh>9m7>51zJ0g6=zfh>9n7>51zJ0g6=zfh>9o7>51zJ0g6=zfh>9h7>51zJ0g6=zfh>9i7>51zJ0g6=zfh>9j7>51zJ0g6=zfh>8<7>51zJ0g6=zfh>8=7>51zJ0g6=zfh>8>7>51zJ0g6=zfh>8?7>51zJ0g6=zfh>887>51zJ0g6=zfh>897>51zJ0g6=zfh>8:7>51zJ0g6=zfh>8;7>51zJ0g6=zfh>847>51zJ0g6=zfh>857>51zJ0g6=zfh>8m7>51zJ0g6=zfh>8n7>51zJ0g6=zfh>8o7>51zJ0g6=zfh>8h7>51zJ0g6=zfh>8i7>51zJ0g6=zfh>8j7>52zJ0g6=zfh>?<7>52zJ0g6=zfh>?=7>52zJ0g6=zfh>?>7>52zJ0g6=zfh>??7>52zJ0g6=zfh>?87>52zJ0g6=zfh>?97>52zJ0g6=zfh>?:7>52zJ0g6=zfh>?;7>52zJ0g6=zfh>?47>52zJ0g6=zfh>?57>52zJ0g6=zfh>?m7>52zJ0g6=zfh>?n7>52zJ0g6=zfh>?o7>52zJ0g6=zfh>?h7>52zJ0g6=zfh>?i7>52zJ0g6=zfh>?j7>52zJ0g6=zfh>><7>52zJ0g6=zfh>>=7>52zJ0g6=zfh>>>7>52zJ0g6=zfh>>?7>52zJ0g6=zfh>>87>52zJ0g6=zfh>>97>52zJ0g6=zfh>>:7>52zJ0g6=zfh>>;7>52zJ0g6=zfh>>47>52zJ0g6=zfh>>57>53zJ0g6=zfh>>m7>53zJ0g6=zfh>>n7>53zJ0g6=zfh>>o7>53zJ0g6=zfh>>h7>53zJ0g6=zfh>>i7>53zJ0g6=zfh>>j7>53zJ0g6=zfh>=<7>53zJ0g6=zfh>==7>52zJ0g6=zfh>=>7>52zJ0g6=zfh>=?7>52zJ0g6=zfh>=87>52zJ0g6=zfh>=97>52zJ0g6=zfh>=:7>52zJ0g6=zfh>=;7>52zJ0g6=zfh>=47>52zJ0g6=zfh>=57>52zJ0g6=zfh>=m7>52zJ0g6=zfh>=n7>52zJ0g6=zfh>=o7>52zJ0g6=zfh>=h7>52zJ0g6=zfh>=i7>52zJ0g6=zfh>=j7>52zJ0g6=zfh><<7>52zJ0g6=zfh><=7>52zJ0g6=zfh><>7>52zJ0g6=zfh>52zJ0g6=zfh><87>52zJ0g6=zfh><97>52zJ0g6=zfh><:7>52zJ0g6=zfh><;7>52zJ0g6=zfh><47>52zJ0g6=zfh><57>52zJ0g6=zfh>52zJ0g6=zfh>53zJ0g6=zfh>53zJ0g6=zfh>53zJ0g6=zfh>53zJ0g6=zfh>53zJ0g6=zfh>3<7>53zJ0g6=zfh>3=7>53zJ0g6=zfh>3>7>53zJ0g6=zfh>3?7>51zJ0g6=zfh>387>51zJ0g6=zfh>397>51zJ0g6=zfh>3:7>51zJ0g6=zfh>3;7>51zJ0g6=zfh>347>51zJ0g6=zfh>357>51zJ0g6=zfh>3m7>51zJ0g6=zfh>3n7>51zJ0g6=zfh>3o7>51zJ0g6=zfh>3h7>51zJ0g6=zfh>3i7>51zJ0g6=zfh>3j7>51zJ0g6=zfh>2<7>51zJ0g6=zfh>2=7>51zJ0g6=zfh>2>7>51zJ0g6=zfh>2?7>51zJ0g6=zfh>287>51zJ0g6=zfh>297>51zJ0g6=zfh>2:7>51zJ0g6=zfh>2;7>51zJ0g6=zfh>247>51zJ0g6=zfh>257>51zJ0g6=zfh>2m7>51zJ0g6=zfh>2n7>51zJ0g6=zfh>2o7>51zJ0g6=zfh>2h7>51zJ0g6=zfh>2i7>51zJ0g6=zfh>2j7>51zJ0g6=zfh>j<7>51zJ0g6=zfh>j=7>51zJ0g6=zfh>j>7>51zJ0g6=zfh>j?7>51zJ0g6=zfh>j87>51zJ0g6=zfh>j97>51zJ0g6=zfh>j:7>51zJ0g6=zfh>j;7>51zJ0g6=zfh>j47>51zJ0g6=zfh>j57>51zJ0g6=zfh>jm7>51zJ0g6=zfh>jn7>51zJ0g6=zfh>jo7>51zJ0g6=zfh>jh7>51zJ0g6=zfh>ji7>51zJ0g6=zfh>jj7>51zJ0g6=zfh>i<7>51zJ0g6=zfh>i=7>51zJ0g6=zfh>i>7>51zJ0g6=zfh>i?7>52zJ0g6=zfh>i87>52zJ0g6=zfh>i97>52zJ0g6=zfh>i:7>52zJ0g6=zfh>i;7>52zJ0g6=zfh>i47>52zJ0g6=zfh>i57>52zJ0g6=zfh>im7>52zJ0g6=zfh>in7>53zJ0g6=zfh>io7>53zJ0g6=zfh>ih7>53zJ0g6=zfh>ii7>53zJ0g6=zfh>ij7>53zJ0g6=zfh>h<7>53zJ0g6=zfh>h=7>53zJ0g6=zfh>h>7>53zJ0g6=zfh>h?7>51zJ0g6=zfh>h87>51zJ0g6=zfh>h97>51zJ0g6=zfh>h:7>51zJ0g6=zfh>h;7>51zJ0g6=zfh>h47>51zJ0g6=zfh>h57>51zJ0g6=zfh>hm7>51zJ0g6=zfh>hn7>51zJ0g6=zfh>ho7>51zJ0g6=zfh>hh7>51zJ0g6=zfh>hi7>51zJ0g6=zfh>hj7>51zJ0g6=zfh>o<7>51zJ0g6=zfh>o=7>51zJ0g6=zfh>o>7>51zJ0g6=zfh>o?7>51zJ0g6=zfh>o87>51zJ0g6=zfh>o97>51zJ0g6=zfh>o:7>51zJ0g6=zfh>o;7>51zJ0g6=zfh>o47>51zJ0g6=zfh>o57>51zJ0g6=zfh>om7>51zJ0g6=zfh>on7>51zJ0g6=zfh>oo7>51zJ0g6=zfh>oh7>51zJ0g6=zfh>oi7>51zJ0g6=zfh>oj7>51zJ0g6=zfh>n<7>51zJ0g6=zfh>n=7>51zJ0g6=zfh>n>7>51zJ0g6=zfh>n?7>51zJ0g6=zfh>n87>51zJ0g6=zfh>n97>51zJ0g6=zfh>n:7>51zJ0g6=zfh>n;7>51zJ0g6=zfh>n47>51zJ0g6=zfh>n57>51zJ0g6=zfh>nm7>51zJ0g6=zfh>nn7>51zJ0g6=zfh>no7>51zJ0g6=zfh>nh7>51zJ0g6=zfh>ni7>51zJ0g6=zfh>nj7>51zJ0g6=zfh>m<7>51zJ0g6=zfh>m=7>51zJ0g6=zfh>m>7>51zJ0g6=zfh>m?7>52zJ0g6=zfh>m87>52zJ0g6=zfh>m97>52zJ0g6=zfh>m:7>52zJ0g6=zfh>m;7>52zJ0g6=zfh>m47>52zJ0g6=zfh>m57>52zJ0g6=zfh>mm7>52zJ0g6=zfh>mn7>53zJ0g6=zfh>mo7>53zJ0g6=zfh>mh7>53zJ0g6=zfh>mi7>53zJ0g6=zfh>mj7>53zJ0g6=zfh?;<7>53zJ0g6=zfh?;=7>53zJ0g6=zfh?;>7>53zJ0g6=zfh?;?7>51zJ0g6=zfh?;87>51zJ0g6=zfh?;97>51zJ0g6=zfh?;:7>51zJ0g6=zfh?;;7>51zJ0g6=zfh?;47>51zJ0g6=zfh?;57>51zJ0g6=zfh?;m7>51zJ0g6=zfh?;n7>51zJ0g6=zfh?;o7>51zJ0g6=zfh?;h7>51zJ0g6=zfh?;i7>51zJ0g6=zfh?;j7>51zJ0g6=zfh?:<7>51zJ0g6=zfh?:=7>51zJ0g6=zfh?:>7>51zJ0g6=zfh?:?7>51zJ0g6=zfh?:87>51zJ0g6=zfh?:97>51zJ0g6=zfh?::7>51zJ0g6=zfh?:;7>51zJ0g6=zfh?:47>51zJ0g6=zfh?:57>51zJ0g6=zfh?:m7>51zJ0g6=zfh?:n7>51zJ0g6=zfh?:o7>51zJ0g6=zfh?:h7>51zJ0g6=zfh?:i7>51zJ0g6=zfh?:j7>51zJ0g6=zfh?9<7>51zJ0g6=zfh?9=7>51zJ0g6=zfh?9>7>51zJ0g6=zfh?9?7>51zJ0g6=zfh?987>51zJ0g6=zfh?997>51zJ0g6=zfh?9:7>51zJ0g6=zfh?9;7>51zJ0g6=zfh?947>51zJ0g6=zfh?957>51zJ0g6=zfh?9m7>51zJ0g6=zfh?9n7>51zJ0g6=zfh?9o7>51zJ0g6=zfh?9h7>51zJ0g6=zfh?9i7>51zJ0g6=zfh?9j7>51zJ0g6=zfh?8<7>51zJ0g6=zfh?8=7>51zJ0g6=zfh?8>7>51zJ0g6=zfh?8?7>52zJ0g6=zfh?887>52zJ0g6=zfh?897>52zJ0g6=zfh?8:7>52zJ0g6=zfh?8;7>52zJ0g6=zfh?847>52zJ0g6=zfh?857>52zJ0g6=zfh?8m7>52zJ0g6=zfh?8n7>53zJ0g6=zfh?8o7>53zJ0g6=zfh?8h7>53zJ0g6=zfh?8i7>53zJ0g6=zfh?8j7>53zJ0g6=zfh??<7>53zJ0g6=zfh??=7>53zJ0g6=zfh??>7>53zJ0g6=zfh???7>52zJ0g6=zfh??87>52zJ0g6=zfh??97>52zJ0g6=zfh??:7>52zJ0g6=zfh??;7>52zJ0g6=zfh??47>52zJ0g6=zfh??57>52zJ0g6=zfh??m7>52zJ0g6=zfh??n7>52zJ0g6=zfh??o7>52zJ0g6=zfh??h7>52zJ0g6=zfh??i7>52zJ0g6=zfh??j7>52zJ0g6=zfh?><7>52zJ0g6=zfh?>=7>52zJ0g6=zfh?>>7>52zJ0g6=zfh?>?7>51zJ0g6=zfh?>87>51zJ0g6=zfh?>97>51zJ0g6=zfh?>:7>51zJ0g6=zfh?>;7>51zJ0g6=zfh?>47>51zJ0g6=zfh?>57>51zJ0g6=zfh?>m7>51zJ0g6=zfh?>n7>51zJ0g6=zfh?>o7>51zJ0g6=zfh?>h7>51zJ0g6=zfh?>i7>51zJ0g6=zfh?>j7>51zJ0g6=zfh?=<7>51zJ0g6=zfh?==7>51zJ0g6=zfh?=>7>51zJ0g6=zfh?=?7>51zJ0g6=zfh?=87>51zJ0g6=zfh?=97>51zJ0g6=zfh?=:7>51zJ0g6=zfh?=;7>51zJ0g6=zfh?=47>51zJ0g6=zfh?=57>51zJ0g6=zfh?=m7>51zJ0g6=zfh?=n7>51zJ0g6=zfh?=o7>51zJ0g6=zfh?=h7>51zJ0g6=zfh?=i7>51zJ0g6=zfh?=j7>51zJ0g6=zfh?<<7>51zJ0g6=zfh?<=7>51zJ0g6=zfh?<>7>51zJ0g6=zfh?51zJ0g6=zfh?<87>51zJ0g6=zfh?<97>51zJ0g6=zfh?<:7>51zJ0g6=zfh?<;7>51zJ0g6=zfh?<47>51zJ0g6=zfh?<57>51zJ0g6=zfh?51zJ0g6=zfh?51zJ0g6=zfh?51zJ0g6=zfh?51zJ0g6=zfh?51zJ0g6=zfh?51zJ0g6=zfh?3<7>51zJ0g6=zfh?3=7>51zJ0g6=zfh?3>7>51zJ0g6=zfh?3?7>52zJ0g6=zfh?387>52zJ0g6=zfh?397>52zJ0g6=zfh?3:7>52zJ0g6=zfh?3;7>52zJ0g6=zfh?347>52zJ0g6=zfh?357>52zJ0g6=zfh?3m7>52zJ0g6=zfh?3n7>52zJ0g6=zfh?3o7>52zJ0g6=zfh?3h7>52zJ0g6=zfh?3i7>52zJ0g6=zfh?3j7>52zJ0g6=zfh?2<7>52zJ0g6=zfh?2=7>52zJ0g6=zfh?2>7>52zJ0g6=zfh?2?7>52zJ0g6=zfh?287>52zJ0g6=zfh?297>52zJ0g6=zfh?2:7>52zJ0g6=zfh?2;7>52zJ0g6=zfh?247>52zJ0g6=zfh?257>52zJ0g6=zfh?2m7>52zJ0g6=zfh?2n7>52zJ0g6=zfh?2o7>52zJ0g6=zfh?2h7>52zJ0g6=zfh?2i7>52zJ0g6=zfh?2j7>52zJ0g6=zfh?j<7>52zJ0g6=zfh?j=7>52zJ0g6=zfh?j>7>52zJ0g6=zfh?j?7>51zJ0g6=zfh?j87>51zJ0g6=zfh?j97>51zJ0g6=zfh?j:7>51zJ0g6=zfh?j;7>51zJ0g6=zfh?j47>51zJ0g6=zfh?j57>51zJ0g6=zfh?jm7>51zJ0g6=zfh?jn7>51zJ0g6=zfh?jo7>51zJ0g6=zfh?jh7>51zJ0g6=zfh?ji7>51zJ0g6=zfh?jj7>51zJ0g6=zfh?i<7>51zJ0g6=zfh?i=7>51zJ0g6=zfh?i>7>51zJ0g6=zfh?i?7>51zJ0g6=zfh?i87>51zJ0g6=zfh?i97>51zJ0g6=zfh?i:7>51zJ0g6=zfh?i;7>51zJ0g6=zfh?i47>51zJ0g6=zfh?i57>51zJ0g6=zfh?im7>51zJ0g6=zfh?in7>51zJ0g6=zfh?io7>51zJ0g6=zfh?ih7>51zJ0g6=zfh?ii7>51zJ0g6=zfh?ij7>51zJ0g6=zfh?h<7>51zJ0g6=zfh?h=7>51zJ0g6=zfh?h>7>51zJ0g6=zfh?h?7>51zJ0g6=zfh?h87>51zJ0g6=zfh?h97>51zJ0g6=zfh?h:7>51zJ0g6=zfh?h;7>51zJ0g6=zfh?h47>51zJ0g6=zfh?h57>51zJ0g6=zfh?hm7>51zJ0g6=zfh?hn7>51zJ0g6=zfh?ho7>51zJ0g6=zfh?hh7>51zJ0g6=zfh?hi7>51zJ0g6=zfh?hj7>51zJ0g6=zfh?o<7>51zJ0g6=zfh?o=7>51zJ0g6=zfh?o>7>51zJ0g6=zfh?o?7>52zJ0g6=zfh?o87>52zJ0g6=zfh?o97>52zJ0g6=zfh?o:7>52zJ0g6=zfh?o;7>52zJ0g6=zfh?o47>52zJ0g6=zfh?o57>52zJ0g6=zfh?om7>52zJ0g6=zfh?on7>52zJ0g6=zfh?oo7>52zJ0g6=zfh?oh7>52zJ0g6=zfh?oi7>52zJ0g6=zfh?oj7>52zJ0g6=zfh?n<7>52zJ0g6=zfh?n=7>52zJ0g6=zfh?n>7>52zJ0g6=zfh?n?7>52zJ0g6=zfh?n87>52zJ0g6=zfh?n97>52zJ0g6=zfh?n:7>52zJ0g6=zfh?n;7>52zJ0g6=zfh?n47>52zJ0g6=zfh?n57>52zJ0g6=zfh?nm7>52zJ0g6=zfh?nn7>52zJ0g6=zfh?no7>52zJ0g6=zfh?nh7>52zJ0g6=zfh?ni7>52zJ0g6=zfh?nj7>52zJ0g6=zfh?m<7>52zJ0g6=zfh?m=7>52zJ0g6=zfh?m>7>52zJ0g6=zfh?m?7>53zJ0g6=zfh?m87>53zJ0g6=zfh?m97>53zJ0g6=zfh?m:7>53zJ0g6=zfh?m;7>53zJ0g6=zfh?m47>53zJ0g6=zfh?m57>53zJ0g6=zfh?mm7>53zJ0g6=zfh?mn7>53zJ0g6=zfh?mo7>53zJ0g6=zfh?mh7>53zJ0g6=zfh?mi7>53zJ0g6=zfh?mj7>53zJ0g6=zfh<;<7>53zJ0g6=zfh<;=7>53zJ0g6=zfh<;>7>53zJ0g6=zfh<;?7>51zJ0g6=zfh<;87>51zJ0g6=zfh<;97>51zJ0g6=zfh<;:7>51zJ0g6=zfh<;;7>51zJ0g6=zfh<;47>51zJ0g6=zfh<;57>51zJ0g6=zfh<;m7>51zJ0g6=zfh<;n7>51zJ0g6=zfh<;o7>51zJ0g6=zfh<;h7>51zJ0g6=zfh<;i7>51zJ0g6=zfh<;j7>51zJ0g6=zfh<:<7>51zJ0g6=zfh<:=7>51zJ0g6=zfh<:>7>51zJ0g6=zfh<:?7>51zJ0g6=zfh<:87>51zJ0g6=zfh<:97>51zJ0g6=zfh<::7>51zJ0g6=zfh<:;7>51zJ0g6=zfh<:47>51zJ0g6=zfh<:57>51zJ0g6=zfh<:m7>51zJ0g6=zfh<:n7>51zJ0g6=zfh<:o7>51zJ0g6=zfh<:h7>51zJ0g6=zfh<:i7>51zJ0g6=zfh<:j7>51zJ0g6=zfh<9<7>51zJ0g6=zfh<9=7>51zJ0g6=zfh<9>7>51zJ0g6=zfh<9?7>51zJ0g6=zfh<987>51zJ0g6=zfh<997>51zJ0g6=zfh<9:7>51zJ0g6=zfh<9;7>51zJ0g6=zfh<947>51zJ0g6=zfh<957>51zJ0g6=zfh<9m7>51zJ0g6=zfh<9n7>51zJ0g6=zfh<9o7>51zJ0g6=zfh<9h7>51zJ0g6=zfh<9i7>51zJ0g6=zfh<9j7>51zJ0g6=zfh<8<7>51zJ0g6=zfh<8=7>51zJ0g6=zfh<8>7>51zJ0g6=zfh<8?7>52zJ0g6=zfh<887>52zJ0g6=zfh<897>52zJ0g6=zfh<8:7>52zJ0g6=zfh<8;7>52zJ0g6=zfh<847>52zJ0g6=zfh<857>52zJ0g6=zfh<8m7>52zJ0g6=zfh<8n7>52zJ0g6=zfh<8o7>52zJ0g6=zfh<8h7>52zJ0g6=zfh<8i7>52zJ0g6=zfh<8j7>52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh7>52zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh<><7>53zJ0g6=zfh<>=7>53zJ0g6=zfh<>>7>53zJ0g6=zfh<>?7>51zJ0g6=zfh<>87>51zJ0g6=zfh<>97>51zJ0g6=zfh<>:7>51zJ0g6=zfh<>;7>51zJ0g6=zfh<>47>51zJ0g6=zfh<>57>51zJ0g6=zfh<>m7>51zJ0g6=zfh<>n7>51zJ0g6=zfh<>o7>51zJ0g6=zfh<>h7>51zJ0g6=zfh<>i7>51zJ0g6=zfh<>j7>51zJ0g6=zfh<=<7>51zJ0g6=zfh<==7>51zJ0g6=zfh<=>7>51zJ0g6=zfh<=?7>51zJ0g6=zfh<=87>51zJ0g6=zfh<=97>51zJ0g6=zfh<=:7>51zJ0g6=zfh<=;7>51zJ0g6=zfh<=47>51zJ0g6=zfh<=57>51zJ0g6=zfh<=m7>51zJ0g6=zfh<=n7>51zJ0g6=zfh<=o7>51zJ0g6=zfh<=h7>51zJ0g6=zfh<=i7>51zJ0g6=zfh<=j7>51zJ0g6=zfh<<<7>51zJ0g6=zfh<<=7>51zJ0g6=zfh<<>7>51zJ0g6=zfh<51zJ0g6=zfh<<87>51zJ0g6=zfh<<97>51zJ0g6=zfh<<:7>51zJ0g6=zfh<<;7>51zJ0g6=zfh<<47>51zJ0g6=zfh<<57>51zJ0g6=zfh<51zJ0g6=zfh<51zJ0g6=zfh<51zJ0g6=zfh<51zJ0g6=zfh<51zJ0g6=zfh<51zJ0g6=zfh<3<7>51zJ0g6=zfh<3=7>51zJ0g6=zfh<3>7>51zJ0g6=zfh<3?7>52zJ0g6=zfh<387>52zJ0g6=zfh<397>52zJ0g6=zfh<3:7>52zJ0g6=zfh<3;7>52zJ0g6=zfh<347>52zJ0g6=zfh<357>52zJ0g6=zfh<3m7>52zJ0g6=zfh<3n7>52zJ0g6=zfh<3o7>52zJ0g6=zfh<3h7>52zJ0g6=zfh<3i7>52zJ0g6=zfh<3j7>52zJ0g6=zfh<2<7>52zJ0g6=zfh<2=7>52zJ0g6=zfh<2>7>52zJ0g6=zfh<2?7>53zJ0g6=zfh<287>53zJ0g6=zfh<297>53zJ0g6=zfh<2:7>53zJ0g6=zfh<2;7>53zJ0g6=zfh<247>53zJ0g6=zfh<257>53zJ0g6=zfh<2m7>53zJ0g6=zfh<2n7>53zJ0g6=zfh<2o7>53zJ0g6=zfh<2h7>53zJ0g6=zfh<2i7>53zJ0g6=zfh<2j7>53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh7>53zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh7>51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh7>51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh7>51zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh7>52zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh7>53zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh=;<7>52zJ0g6=zfh=;=7>52zJ0g6=zfh=;>7>52zJ0g6=zfh=;?7>53zJ0g6=zfh=;87>53zJ0g6=zfh=;97>53zJ0g6=zfh=;:7>53zJ0g6=zfh=;;7>53zJ0g6=zfh=;47>53zJ0g6=zfh=;57>53zJ0g6=zfh=;m7>53zJ0g6=zfh=;n7>53zJ0g6=zfh=;o7>53zJ0g6=zfh=;h7>53zJ0g6=zfh=;i7>53zJ0g6=zfh=;j7>53zJ0g6=zfh=:<7>53zJ0g6=zfh=:=7>53zJ0g6=zfh=:>7>53zJ0g6=zfh=:?7>51zJ0g6=zfh=:87>51zJ0g6=zfh=:97>51zJ0g6=zfh=::7>51zJ0g6=zfh=:;7>51zJ0g6=zfh=:47>51zJ0g6=zfh=:57>51zJ0g6=zfh=:m7>51zJ0g6=zfh=:n7>51zJ0g6=zfh=:o7>51zJ0g6=zfh=:h7>51zJ0g6=zfh=:i7>51zJ0g6=zfh=:j7>51zJ0g6=zfh=9<7>51zJ0g6=zfh=9=7>51zJ0g6=zfh=9>7>51zJ0g6=zfh=9?7>51zJ0g6=zfh=987>51zJ0g6=zfh=997>51zJ0g6=zfh=9:7>51zJ0g6=zfh=9;7>51zJ0g6=zfh=947>51zJ0g6=zfh=957>51zJ0g6=zfh=9m7>51zJ0g6=zfh=9n7>51zJ0g6=zfh=9o7>51zJ0g6=zfh=9h7>51zJ0g6=zfh=9i7>51zJ0g6=zfh=9j7>51zJ0g6=zfh=8<7>51zJ0g6=zfh=8=7>51zJ0g6=zfh=8>7>51zJ0g6=zfh=8?7>51zJ0g6=zfh=887>51zJ0g6=zfh=897>51zJ0g6=zfh=8:7>51zJ0g6=zfh=8;7>51zJ0g6=zfh=847>51zJ0g6=zfh=857>51zJ0g6=zfh=8m7>51zJ0g6=zfh=8n7>51zJ0g6=zfh=8o7>51zJ0g6=zfh=8h7>51zJ0g6=zfh=8i7>51zJ0g6=zfh=8j7>51zJ0g6=zfh=?<7>51zJ0g6=zfh=?=7>51zJ0g6=zfh=?>7>51zJ0g6=zfh=??7>52zJ0g6=zfh=?87>52zJ0g6=zfh=?97>52zJ0g6=zfh=?:7>52zJ0g6=zfh=?;7>52zJ0g6=zfh=?47>52zJ0g6=zfh=?57>52zJ0g6=zfh=?m7>52zJ0g6=zfh=?n7>52zJ0g6=zfh=?o7>52zJ0g6=zfh=?h7>52zJ0g6=zfh=?i7>52zJ0g6=zfh=?j7>52zJ0g6=zfh=><7>52zJ0g6=zfh=>=7>52zJ0g6=zfh=>>7>52zJ0g6=zfh=>?7>53zJ0g6=zfh=>87>53zJ0g6=zfh=>97>53zJ0g6=zfh=>:7>53zJ0g6=zfh=>;7>53zJ0g6=zfh=>47>53zJ0g6=zfh=>57>53zJ0g6=zfh=>m7>53zJ0g6=zfh=>n7>53zJ0g6=zfh=>o7>53zJ0g6=zfh=>h7>53zJ0g6=zfh=>i7>53zJ0g6=zfh=>j7>53zJ0g6=zfh==<7>53zJ0g6=zfh===7>53zJ0g6=zfh==>7>53zJ0g6=zfh==?7>51zJ0g6=zfh==87>51zJ0g6=zfh==97>51zJ0g6=zfh==:7>51zJ0g6=zfh==;7>51zJ0g6=zfh==47>51zJ0g6=zfh==57>51zJ0g6=zfh==m7>51zJ0g6=zfh==n7>51zJ0g6=zfh==o7>51zJ0g6=zfh==h7>51zJ0g6=zfh==i7>51zJ0g6=zfh==j7>51zJ0g6=zfh=<<7>51zJ0g6=zfh=<=7>51zJ0g6=zfh=<>7>51zJ0g6=zfh=51zJ0g6=zfh=<87>51zJ0g6=zfh=<97>51zJ0g6=zfh=<:7>51zJ0g6=zfh=<;7>51zJ0g6=zfh=<47>51zJ0g6=zfh=<57>51zJ0g6=zfh=51zJ0g6=zfh=51zJ0g6=zfh=51zJ0g6=zfh=51zJ0g6=zfh=51zJ0g6=zfh=51zJ0g6=zfh=3<7>51zJ0g6=zfh=3=7>51zJ0g6=zfh=3>7>51zJ0g6=zfh=3?7>51zJ0g6=zfh=387>51zJ0g6=zfh=397>51zJ0g6=zfh=3:7>51zJ0g6=zfh=3;7>51zJ0g6=zfh=347>51zJ0g6=zfh=357>51zJ0g6=zfh=3m7>51zJ0g6=zfh=3n7>51zJ0g6=zfh=3o7>51zJ0g6=zfh=3h7>51zJ0g6=zfh=3i7>51zJ0g6=zfh=3j7>51zJ0g6=zfh=2<7>51zJ0g6=zfh=2=7>51zJ0g6=zfh=2>7>51zJ0g6=zfh=2?7>52zJ0g6=zfh=287>52zJ0g6=zfh=297>52zJ0g6=zfh=2:7>52zJ0g6=zfh=2;7>52zJ0g6=zfh=247>52zJ0g6=zfh=257>52zJ0g6=zfh=2m7>52zJ0g6=zfh=2n7>52zJ0g6=zfh=2o7>52zJ0g6=zfh=2h7>52zJ0g6=zfh=2i7>52zJ0g6=zfh=2j7>52zJ0g6=zfh=j<7>52zJ0g6=zfh=j=7>52zJ0g6=zfh=j>7>52zJ0g6=zfh=j?7>53zJ0g6=zfh=j87>53zJ0g6=zfh=j97>53zJ0g6=zfh=j:7>53zJ0g6=zfh=j;7>53zJ0g6=zfh=j47>53zJ0g6=zfh=j57>53zJ0g6=zfh=jm7>53zJ0g6=zfh=jn7>53zJ0g6=zfh=jo7>53zJ0g6=zfh=jh7>53zJ0g6=zfh=ji7>53zJ0g6=zfh=jj7>53zJ0g6=zfh=i<7>53zJ0g6=zfh=i=7>53zJ0g6=zfh=i>7>53zJ0g6=zfh=i?7>51zJ0g6=zfh=i87>51zJ0g6=zfh=i97>51zJ0g6=zfh=i:7>51zJ0g6=zfh=i;7>51zJ0g6=zfh=i47>51zJ0g6=zfh=i57>51zJ0g6=zfh=im7>51zJ0g6=zfh=in7>51zJ0g6=zfh=io7>51zJ0g6=zfh=ih7>51zJ0g6=zfh=ii7>51zJ0g6=zfh=ij7>51zJ0g6=zfh=h<7>51zJ0g6=zfh=h=7>51zJ0g6=zfh=h>7>51zJ0g6=zfh=h?7>51zJ0g6=zfh=h87>51zJ0g6=zfh=h97>51zJ0g6=zfh=h:7>51zJ0g6=zfh=h;7>51zJ0g6=zfh=h47>51zJ0g6=zfh=h57>51zJ0g6=zfh=hm7>51zJ0g6=zfh=hn7>51zJ0g6=zfh=ho7>51zJ0g6=zfh=hh7>51zJ0g6=zfh=hi7>51zJ0g6=zfh=hj7>51zJ0g6=zfh=o<7>51zJ0g6=zfh=o=7>51zJ0g6=zfh=o>7>51zJ0g6=zfh=o?7>51zJ0g6=zfh=o87>51zJ0g6=zfh=o97>51zJ0g6=zfh=o:7>51zJ0g6=zfh=o;7>51zJ0g6=zfh=o47>51zJ0g6=zfh=o57>51zJ0g6=zfh=om7>51zJ0g6=zfh=on7>51zJ0g6=zfh=oo7>51zJ0g6=zfh=oh7>51zJ0g6=zfh=oi7>51zJ0g6=zfh=oj7>51zJ0g6=zfh=n<7>51zJ0g6=zfh=n=7>51zJ0g6=zfh=n>7>51zJ0g6=zfh=n?7>52zJ0g6=zfh=n87>52zJ0g6=zfh=n97>52zJ0g6=zfh=n:7>52zJ0g6=zfh=n;7>52zJ0g6=zfh=n47>52zJ0g6=zfh=n57>52zJ0g6=zfh=nm7>52zJ0g6=zfh=nn7>52zJ0g6=zfh=no7>52zJ0g6=zfh=nh7>52zJ0g6=zfh=ni7>52zJ0g6=zfh=nj7>52zJ0g6=zfh=m<7>52zJ0g6=zfh=m=7>52zJ0g6=zfh=m>7>52zJ0g6=zfh=m?7>53zJ0g6=zfh=m87>53zJ0g6=zfh=m97>53zJ0g6=zfh=m:7>53zJ0g6=zfh=m;7>53zJ0g6=zfh=m47>53zJ0g6=zfh=m57>53zJ0g6=zfh=mm7>53zJ0g6=zfh=mn7>53zJ0g6=zfh=mo7>53zJ0g6=zfh=mh7>53zJ0g6=zfh=mi7>53zJ0g6=zfh=mj7>53zJ0g6=zfh2;<7>53zJ0g6=zfh2;=7>53zJ0g6=zfh2;>7>53zJ0g6=zfh2;?7>52zJ0g6=zfh2;87>52zJ0g6=zfh2;97>52zJ0g6=zfh2;:7>52zJ0g6=zfh2;;7>52zJ0g6=zfh2;47>52zJ0g6=zfh2;57>52zJ0g6=zfh2;m7>52zJ0g6=zfh2;n7>52zJ0g6=zfh2;o7>52zJ0g6=zfh2;h7>52zJ0g6=zfh2;i7>52zJ0g6=zfh2;j7>52zJ0g6=zfh2:<7>52zJ0g6=zfh2:=7>52zJ0g6=zfh2:>7>55zJ0g6=zfh2:?7>55zJ0g6=zfh2:87>55zJ0g6=zfh2:97>55zJ0g6=zfh2::7>52zJ0g6=zfh2:;7>52zJ0g6=zfh2:47>52zJ0g6=zfh2:57>52zJ0g6=zfh2:m7>55zJ0g6=zfh2:n7>55zJ0g6=zfh2:o7>55zJ0g6=zfh2:h7>55zJ0g6=zfh2:i7>55zJ0g6=zfh2:j7>52zJ0g6=zfh29<7>52zJ0g6=zfh29=7>55zJ0g6=zfh29>7>55zJ0g6=zfh29?7>55zJ0g6=zfh2987>55zJ0g6=zfh2997>55zJ0g6=zfh29:7>52zJ0g6=zfh29;7>52zJ0g6=zfh2947>55zJ0g6=zfh2957>55zJ0g6=zfh29m7>55zJ0g6=zfh29n7>55zJ0g6=zfh29o7>52zJ0g6=zfh29h7>52zJ0g6=zfh29i7>55zJ0g6=zfh29j7>55zJ0g6=zfh28<7>55zJ0g6=zfh28=7>55zJ0g6=zfh28>7>52zJ0g6=zfh28?7>52zJ0g6=zfh2887>52zJ0g6=zfh2897>52zJ0g6=zfh28:7>52zJ0g6=zfh28;7>52zJ0g6=zfh2847>52zJ0g6=zfh2857>52zJ0g6=zfh28m7>52zJ0g6=zfh28n7>52zJ0g6=zfh28o7>52zJ0g6=zfh28h7>52zJ0g6=zfh28i7>52zJ0g6=zfh28j7>52zJ0g6=zfh2?<7>52zJ0g6=zfh2?=7>52zJ0g6=zfh2?>7>52zJ0g6=zfh2??7>52zJ0g6=zfh2?87>52zJ0g6=zfh2?97>52zJ0g6=zfh2?:7>52zJ0g6=zfh2?;7>52zJ0g6=zfh2?47>52zJ0g6=zfh2?57>52zJ0g6=zfh2?m7>52zJ0g6=zfh2?n7>52zJ0g6=zfh2?o7>52zJ0g6=zfh2?h7>52zJ0g6=zfh2?i7>52zJ0g6=zfh2?j7>52zJ0g6=zfh2><7>52zJ0g6=zfh2>=7>52zJ0g6=zfh2>>7>52zJ0g6=zfh2>?7>52zJ0g6=zfh2>87>52zJ0g6=zfh2>97>52zJ0g6=zfh2>:7>52zJ0g6=zfh2>;7>52zJ0g6=zfh2>47>52zJ0g6=zfh2>57>52zJ0g6=zfh2>m7>52zJ0g6=zfh2>n7>52zJ0g6=zfh2>o7>52zJ0g6=zfh2>h7>52zJ0g6=zfh2>i7>52zJ0g6=zfh2>j7>52zJ0g6=zfh2=<7>52zJ0g6=zfh2==7>52zJ0g6=zfh2=>7>52zJ0g6=zfh2=?7>52zJ0g6=zfh2=87>52zJ0g6=zfh2=97>52zJ0g6=zfh2=:7>52zJ0g6=zfh2=;7>52zJ0g6=zfh2=47>52zJ0g6=zfh2=57>52zJ0g6=zfh2=m7>52zJ0g6=zfh2=n7>52zJ0g6=zfh2=o7>52zJ0g6=zfh2=h7>52zJ0g6=zfh2=i7>52zJ0g6=zfh2=j7>52zJ0g6=zfh2<<7>52zJ0g6=zfh2<=7>52zJ0g6=zfh2<>7>52zJ0g6=zfh252zJ0g6=zfh2<87>52zJ0g6=zfh2<97>52zJ0g6=zfh2<:7>52zJ0g6=zfh2<;7>52zJ0g6=zfh2<47>52zJ0g6=zfh2<57>52zJ0g6=zfh252zJ0g6=zfh252zJ0g6=zfh252zJ0g6=zfh252zJ0g6=zfh252zJ0g6=zfh252zJ0g6=zfh23<7>52zJ0g6=zfh23=7>52zJ0g6=zfh23>7>52zJ0g6=zfh23?7>52zJ0g6=zfh2387>52zJ0g6=zfh2397>52zJ0g6=zfh23:7>52zJ0g6=zfh23;7>52zJ0g6=zfh2347>52zJ0g6=zfh2357>52zJ0g6=zfh23m7>52zJ0g6=zfh23n7>52zJ0g6=zfh23o7>52zJ0g6=zfh23h7>52zJ0g6=zfh23i7>52zJ0g6=zfh23j7>52zJ0g6=zfh22<7>52zJ0g6=zfh22=7>52zJ0g6=zfh22>7>52zJ0g6=zfh22?7>52zJ0g6=zfh2287>52zJ0g6=zfh2297>52zJ0g6=zfh22:7>52zJ0g6=zfh22;7>52zJ0g6=zfh2247>52zJ0g6=zfh2257>52zJ0g6=zfh22m7>52zJ0g6=zfh22n7>52zJ0g6=zfh22o7>52zJ0g6=zfh22h7>52zJ0g6=zfh22i7>52zJ0g6=zfh22j7>52zJ0g6=zfh2j<7>52zJ0g6=zfh2j=7>52zJ0g6=zfh2j>7>52zJ0g6=zfh2j?7>52zJ0g6=zfh2j87>52zJ0g6=zfh2j97>52zJ0g6=zfh2j:7>52zJ0g6=zfh2j;7>52zJ0g6=zfh2j47>52zJ0g6=zfh2j57>52zJ0g6=zfh2jm7>52zJ0g6=zfh2jn7>52zJ0g6=zfh2jo7>52zJ0g6=zfh2jh7>52zJ0g6=zfh2ji7>52zJ0g6=zfh2jj7>52zJ0g6=zfh2i<7>52zJ0g6=zfh2i=7>52zJ0g6=zfh2i>7>52zJ0g6=zfh2i?7>52zJ0g6=zfh2i87>52zJ0g6=zfh2i97>52zJ0g6=zfh2i:7>52zJ0g6=zfh2i;7>52zJ0g6=zfh2i47>52zJ0g6=zfh2i57>52zJ0g6=zfh2im7>52zJ0g6=zfh2in7>52zJ0g6=zfh2io7>52zJ0g6=zfh2ih7>52zJ0g6=zfh2ii7>52zJ0g6=zfh2ij7>52zJ0g6=zfh2h<7>52zJ0g6=zfh2h=7>52zJ0g6=zfh2h>7>52zJ0g6=zfh2h?7>52zJ0g6=zfh2h87>52zJ0g6=zfh2h97>52zJ0g6=zfh2h:7>52zJ0g6=zfh2h;7>52zJ0g6=zfh2h47>52zJ0g6=zfh2h57>52zJ0g6=zfh2hm7>52zJ0g6=zfh2hn7>52zJ0g6=zfh2ho7>52zJ0g6=zfh2hh7>52zJ0g6=zfh2hi7>52zJ0g6=zfh2hj7>52zJ0g6=zfh2o<7>52zJ0g6=zfh2o=7>52zJ0g6=zfh2o>7>52zJ0g6=zfh2o?7>52zJ0g6=zfh2o87>52zJ0g6=zfh2o97>52zJ0g6=zfh2o:7>52zJ0g6=zfh2o;7>52zJ0g6=zfh2o47>52zJ0g6=zfh2o57>52zJ0g6=zfh2om7>52zJ0g6=zfh2on7>52zJ0g6=zfh2oo7>52zJ0g6=zfh2oh7>52zJ0g6=zfh2oi7>52zJ0g6=zfh2oj7>52zJ0g6=zfh2n<7>52zJ0g6=zfh2n=7>52zJ0g6=zfh2n>7>52zJ0g6=zfh2n?7>52zJ0g6=zfh2n87>52zJ0g6=zfh2n97>52zJ0g6=zfh2n:7>52zJ0g6=zfh2n;7>52zJ0g6=zfh2n47>52zJ0g6=zfh2n57>52zJ0g6=zfh2nm7>52zJ0g6=zfh2nn7>52zJ0g6=zfh2no7>52zJ0g6=zfh2nh7>52zJ0g6=zfh2ni7>52zJ0g6=zfh2nj7>52zJ0g6=zfh2m<7>52zJ0g6=zfh2m=7>52zJ0g6=zfh2m>7>52zJ0g6=zfh2m?7>52zJ0g6=zfh2m87>52zJ0g6=zfh2m97>52zJ0g6=zfh2m:7>52zJ0g6=zfh2m;7>52zJ0g6=zfh2m47>52zJ0g6=zfh2m57>52zJ0g6=zfh2mm7>52zJ0g6=zfh2mn7>52zJ0g6=zfh2mo7>52zJ0g6=zfh2mh7>52zJ0g6=zfh2mi7>52zJ0g6=zfh2mj7>52zJ0g6=zfh3;<7>52zJ0g6=zfh3;=7>52zJ0g6=zfh3;>7>52zJ0g6=zfh3;?7>52zJ0g6=zfh3;87>52zJ0g6=zfh3;97>52zJ0g6=zfh3;:7>52zJ0g6=zfh3;;7>52zJ0g6=zfh3;47>52zJ0g6=zfh3;57>52zJ0g6=zfh3;m7>52zJ0g6=zfh3;n7>52zJ0g6=zfh3;o7>52zJ0g6=zfh3;h7>52zJ0g6=zfh3;i7>52zJ0g6=zfh3;j7>52zJ0g6=zfh3:<7>52zJ0g6=zfh3:=7>52zJ0g6=zfh3:>7>53zJ0g6=zfh3:?7>53zJ0g6=zfh3:87>53zJ0g6=zfh3:97>53zJ0g6=zfh3::7>53zJ0g6=zfh3:;7>53zJ0g6=zfh3:47>53zJ0g6=zfh3:57>53zJ0g6=zfh3:m7>53zJ0g6=zfh3:n7>53zJ0g6=zfh3:o7>53zJ0g6=zfh3:h7>53zJ0g6=zfh3:i7>53zJ0g6=zfh3:j7>53zJ0g6=zfh39<7>53zJ0g6=zfh39=7>53zJ0g6=zfh39>7>53zJ0g6=zfh39?7>53zJ0g6=zfh3987>53zJ0g6=zfh3997>53zJ0g6=zfh39:7>53zJ0g6=zfh39;7>53zJ0g6=zfh3947>53zJ0g6=zfh3957>53zJ0g6=zfh39m7>53zJ0g6=zfh39n7>53zJ0g6=zfh39o7>53zJ0g6=zfh39h7>53zJ0g6=zfh39i7>53zJ0g6=zfh39j7>53zJ0g6=zfh38<7>53zJ0g6=zfh38=7>53zJ0g6=zfh38>7>53zJ0g6=zfh38?7>53zJ0g6=zfh3887>53zJ0g6=zfh3897>53zJ0g6=zfh38:7>53zJ0g6=zfh38;7>53zJ0g6=zfh3847>53zJ0g6=zfh3857>53zJ0g6=zfh38m7>53zJ0g6=zfh38n7>53zJ0g6=zfh38o7>53zJ0g6=zfh38h7>53zJ0g6=zfh38i7>53zJ0g6=zfh38j7>53zJ0g6=zfh3?<7>53zJ0g6=zfh3?=7>53zJ0g6=zfh3?>7>53zJ0g6=zfh3??7>53zJ0g6=zfh3?87>53zJ0g6=zfh3?97>53zJ0g6=zfh3?:7>53zJ0g6=zfh3?;7>53zJ0g6=zfh3?47>53zJ0g6=zfh3?57>53zJ0g6=zfh3?m7>53zJ0g6=zfh3?n7>53zJ0g6=zfh3?o7>53zJ0g6=zfh3?h7>53zJ0g6=zfh3?i7>53zJ0g6=zfh3?j7>53zJ0g6=zfh3><7>53zJ0g6=zfh3>=7>53zJ0g6=zfh3>>7>53zJ0g6=zfh3>?7>53zJ0g6=zfh3>87>53zJ0g6=zfh3>97>53zJ0g6=zfh3>:7>53zJ0g6=zfh3>;7>53zJ0g6=zfh3>47>53zJ0g6=zfh3>57>53zJ0g6=zfh3>m7>53zJ0g6=zfh3>n7>53zJ0g6=zfh3>o7>53zJ0g6=zfh3>h7>53zJ0g6=zfh3>i7>53zJ0g6=zfh3>j7>53zJ0g6=zfh3=<7>53zJ0g6=zfh3==7>53zJ0g6=zfh3=>7>53zJ0g6=zfh3=?7>53zJ0g6=zfh3=87>53zJ0g6=zfh3=97>53zJ0g6=zfh3=:7>53zJ0g6=zfh3=;7>53zJ0g6=zfh3=47>53zJ0g6=zfh3=57>53zJ0g6=zfh3=m7>53zJ0g6=zfh3=n7>53zJ0g6=zfh3=o7>53zJ0g6=zfh3=h7>53zJ0g6=zfh3=i7>53zJ0g6=zfh3=j7>53zJ0g6=zfh3<<7>53zJ0g6=zfh3<=7>53zJ0g6=zfh3<>7>53zJ0g6=zfh353zJ0g6=zfh3<87>53zJ0g6=zfh3<97>53zJ0g6=zfh3<:7>53zJ0g6=zfh3<;7>53zJ0g6=zfh3<47>53zJ0g6=zfh3<57>53zJ0g6=zfh353zJ0g6=zfh353zJ0g6=zfh353zJ0g6=zfh353zJ0g6=zfh352zJ0g6=zfh352zJ0g6=zfh33<7>52zJ0g6=zfh33=7>52zJ0g6=zfh33>7>52zJ0g6=zfh33?7>52zJ0g6=zfh3387>52zJ0g6=zfh3397>52zJ0g6=zfh33:7>52zJ0g6=zfh33;7>52zJ0g6=zfh3347>52zJ0g6=zfh3357>52zJ0g6=zfh33m7>52zJ0g6=zfh33n7>52zJ0g6=zfh33o7>52zJ0g6=zfh33h7>52zJ0g6=zfh33i7>52zJ0g6=zfh33j7>52zJ0g6=zfh32<7>52zJ0g6=zfh32=7>52zJ0g6=zfh32>7>52zJ0g6=zfh32?7>52zJ0g6=zfh3287>52zJ0g6=zfh3297>52zJ0g6=zfh32:7>52zJ0g6=zfh32;7>52zJ0g6=zfh3247>52zJ0g6=zfh3257>52zJ0g6=zfh32m7>52zJ0g6=zfh32n7>52zJ0g6=zfh32o7>52zJ0g6=zfh32h7>52zJ0g6=zfh32i7>52zJ0g6=zfh32j7>52zJ0g6=zfh3j<7>52zJ0g6=zfh3j=7>52zJ0g6=zfh3j>7>52zJ0g6=zfh3j?7>52zJ0g6=zfh3j87>52zJ0g6=zfh3j97>52zJ0g6=zfh3j:7>52zJ0g6=zfh3j;7>52zJ0g6=zfh3j47>52zJ0g6=zfh3j57>52zJ0g6=zfh3jm7>52zJ0g6=zfh3jn7>52zJ0g6=zfh3jo7>52zJ0g6=zfh3jh7>52zJ0g6=zfh3ji7>52zJ0g6=zfh3jj7>52zJ0g6=zfh3i<7>52zJ0g6=zfh3i=7>52zJ0g6=zfh3i>7>52zJ0g6=zfh3i?7>52zJ0g6=zfh3i87>52zJ0g6=zfh3i97>52zJ0g6=zfh3i:7>52zJ0g6=zfh3i;7>52zJ0g6=zfh3i47>52zJ0g6=zfh3i57>52zJ0g6=zfh3im7>52zJ0g6=zfh3in7>52zJ0g6=zfh3io7>52zJ0g6=zfh3ih7>52zJ0g6=zfh3ii7>52zJ0g6=zfh3ij7>52zJ0g6=zfh3h<7>52zJ0g6=zfh3h=7>52zJ0g6=zfh3h>7>52zJ0g6=zfh3h?7>52zJ0g6=zfh3h87>52zJ0g6=zfh3h97>52zJ0g6=zfh3h:7>52zJ0g6=zfh3h;7>52zJ0g6=zfh3h47>52zJ0g6=zfh3h57>52zJ0g6=zfh3hm7>52zJ0g6=zfh3hn7>52zJ0g6=zfh3ho7>52zJ0g6=zfh3hh7>52zJ0g6=zfh3hi7>52zJ0g6=zfh3hj7>52zJ0g6=zfh3o<7>52zJ0g6=zfh3o=7>52zJ0g6=zfh3o>7>52zJ0g6=zfh3o?7>52zJ0g6=zfh3o87>52zJ0g6=zfh3o97>52zJ0g6=zfh3o:7>52zJ0g6=zfh3o;7>52zJ0g6=zfh3o47>52zJ0g6=zfh3o57>52zJ0g6=zfh3om7>52zJ0g6=zfh3on7>52zJ0g6=zfh3oo7>52zJ0g6=zfh3oh7>52zJ0g6=zfh3oi7>52zJ0g6=zfh3oj7>52zJ0g6=zfh3n<7>52zJ0g6=zfh3n=7>52zJ0g6=zfh3n>7>52zJ0g6=zfh3n?7>52zJ0g6=zfh3n87>52zJ0g6=zfh3n97>52zJ0g6=zfh3n:7>52zJ0g6=zfh3n;7>52zJ0g6=zfh3n47>52zJ0g6=zfh3n57>52zJ0g6=zfh3nm7>52zJ0g6=zfh3nn7>52zJ0g6=zfh3no7>52zJ0g6=zfh3nh7>52zJ0g6=zfh3ni7>51zJ0g6=zfh3nj7>51zJ0g6=zfh3m<7>52zJ0g6=zfh3m=7>51zJ0g6=zfh3m>7>51zJ0g6=zfh3m?7>51zJ0g6=zfh3m87>51zJ0g6=zfh3m97>51zJ0g6=zfh3m:7>51zJ0g6=zfh3m;7>51zJ0g6=zfh3m47>51zJ0g6=zfh3m57>51zJ0g6=zfh3mm7>51zJ0g6=zfh3mn7>51zJ0g6=zfh3mo7>51zJ0g6=zfh3mh7>51zJ0g6=zfh3mi7>51zJ0g6=zfh3mj7>51zJ0g6=zfhk;<7>51zJ0g6=zfhk;=7>51zJ0g6=zfhk;>7>51zJ0g6=zfhk;?7>51zJ0g6=zfhk;87>51zJ0g6=zfhk;97>51zJ0g6=zfhk;:7>51zJ0g6=zfhk;;7>51zJ0g6=zfhk;47>51zJ0g6=zfhk;57>51zJ0g6=zfhk;m7>51zJ0g6=zfhk;n7>51zJ0g6=zfhk;o7>51zJ0g6=zfhk;h7>51zJ0g6=zfhk;i7>51zJ0g6=zfhk;j7>51zJ0g6=zfhk:<7>51zJ0g6=zfhk:=7>51zJ0g6=zfhk:>7>51zJ0g6=zfhk:?7>51zJ0g6=zfhk:87>51zJ0g6=zfhk:97>51zJ0g6=zfhk::7>51zJ0g6=zfhk:;7>51zJ0g6=zfhk:47>51zJ0g6=zfhk:57>51zJ0g6=zfhk:m7>51zJ0g6=zfhk:n7>51zJ0g6=zfhk:o7>51zJ0g6=zfhk:h7>51zJ0g6=zfhk:i7>51zJ0g6=zfhk:j7>51zJ0g6=zfhk9<7>51zJ0g6=zfhk9=7>51zJ0g6=zfhk9>7>51zJ0g6=zfhk9?7>51zJ0g6=zfhk987>51zJ0g6=zfhk997>51zJ0g6=zfhk9:7>51zJ0g6=zfhk9;7>51zJ0g6=zfhk947>51zJ0g6=zfhk957>51zJ0g6=zfhk9m7>51zJ0g6=zfhk9n7>51zJ0g6=zfhk9o7>51zJ0g6=zfhk9h7>51zJ0g6=zfhk9i7>51zJ0g6=zfhk9j7>51zJ0g6=zfhk8<7>51zJ0g6=zfhk8=7>51zJ0g6=zfhk8>7>51zJ0g6=zfhk8?7>51zJ0g6=zfhk887>51zJ0g6=zfhk897>51zJ0g6=zfhk8:7>51zJ0g6=zfhk8;7>51zJ0g6=zfhk847>51zJ0g6=zfhk857>51zJ0g6=zfhk8m7>51zJ0g6=zfhk8n7>51zJ0g6=zfhk8o7>51zJ0g6=zfhk8h7>51zJ0g6=zfhk8i7>51zJ0g6=zfhk8j7>51zJ0g6=zfhk?<7>51zJ0g6=zfhk?=7>51zJ0g6=zfhk?>7>51zJ0g6=zfhk??7>51zJ0g6=zfhk?87>51zJ0g6=zfhk?97>51zJ0g6=zfhk?:7>51zJ0g6=zfhk?;7>51zJ0g6=zfhk?47>51zJ0g6=zfhk?57>51zJ0g6=zfhk?m7>51zJ0g6=zfhk?n7>51zJ0g6=zfhk?o7>51zJ0g6=zfhk?h7>51zJ0g6=zfhk?i7>51zJ0g6=zfhk?j7>51zJ0g6=zfhk><7>51zJ0g6=zfhk>=7>51zJ0g6=zfhk>>7>51zJ0g6=zfhk>?7>51zJ0g6=zfhk>87>51zJ0g6=zfhk>97>51zJ0g6=zfhk>:7>51zJ0g6=zfhk>;7>51zJ0g6=zfhk>47>51zJ0g6=zfhk>57>51zJ0g6=zfhk>m7>51zJ0g6=zfhk>n7>51zJ0g6=zfhk>o7>51zJ0g6=zfhk>h7>51zJ0g6=zfhk>i7>51zJ0g6=zfhk>j7>51zJ0g6=zfhk=<7>51zJ0g6=zfhk==7>51zJ0g6=zfhk=>7>51zJ0g6=zfhk=?7>51zJ0g6=zfhk=87>51zJ0g6=zfhk=97>51zJ0g6=zfhk=:7>51zJ0g6=zfhk=;7>51zJ0g6=zfhk=47>51zJ0g6=zfhk=57>51zJ0g6=zfhk=m7>51zJ0g6=zfhk=n7>51zJ0g6=zfhk=o7>51zJ0g6=zfhk=h7>51zJ0g6=zfhk=i7>51zJ0g6=zfhk=j7>51zJ0g6=zfhk<<7>51zJ0g6=zfhk<=7>51zJ0g6=zfhk<>7>51zJ0g6=zfhk51zJ0g6=zfhk<87>51zJ0g6=zfhk<97>51zJ0g6=zfhk<:7>51zJ0g6=zfhk<;7>51zJ0g6=zfhk<47>51zJ0g6=zfhk<57>51zJ0g6=zfhk51zJ0g6=zfhk51zJ0g6=zfhk51zJ0g6=zfhk51zJ0g6=zfhk51zJ0g6=zfhk51zJ0g6=zfhk3<7>51zJ0g6=zfhk3=7>51zJ0g6=zfhk3>7>51zJ0g6=zfhk3?7>51zJ0g6=zfhk387>51zJ0g6=zfhk397>51zJ0g6=zfhk3:7>51zJ0g6=zfhk3;7>51zJ0g6=zfhk347>51zJ0g6=zfhk357>51zJ0g6=zfhk3m7>51zJ0g6=zfhk3n7>51zJ0g6=zfhk3o7>51zJ0g6=zfhk3h7>51zJ0g6=zfhk3i7>51zJ0g6=zfhk3j7>51zJ0g6=zfhk2<7>51zJ0g6=zfhk2=7>51zJ0g6=zfhk2>7>51zJ0g6=zfhk2?7>51zJ0g6=zfhk287>51zJ0g6=zfhk297>51zJ0g6=zfhk2:7>51zJ0g6=zfhk2;7>51zJ0g6=zfhk247>51zJ0g6=zfhk257>51zJ0g6=zfhk2m7>51zJ0g6=zfhk2n7>51zJ0g6=zfhk2o7>51zJ0g6=zfhk2h7>51zJ0g6=zfhk2i7>51zJ0g6=zfhk2j7>51zJ0g6=zfhkj<7>51zJ0g6=zfhkj=7>51zJ0g6=zfhkj>7>51zJ0g6=zfhkj?7>51zJ0g6=zfhkj87>51zJ0g6=zfhkj97>51zJ0g6=zfhkj:7>51zJ0g6=zfhkj;7>51zJ0g6=zfhkj47>51zJ0g6=zfhkj57>51zJ0g6=zfhkjm7>51zJ0g6=zfhkjn7>51zJ0g6=zfhkjo7>51zJ0g6=zfhkjh7>51zJ0g6=zfhkji7>51zJ0g6=zfhkjj7>51zJ0g6=zfhki<7>51zJ0g6=zfhki=7>51zJ0g6=zfhki>7>51zJ0g6=zfhki?7>51zJ0g6=zfhki87>51zJ0g6=zfhki97>51zJ0g6=zfhki:7>51zJ0g6=zfhki;7>51zJ0g6=zfhki47>51zJ0g6=zfhki57>51zJ0g6=zfhkim7>51zJ0g6=zfhkin7>51zJ0g6=zfhkio7>51zJ0g6=zfhkih7>51zJ0g6=zfhkii7>51zJ0g6=zfhkij7>51zJ0g6=zfhkh<7>51zJ0g6=zfhkh=7>51zJ0g6=zfhkh>7>51zJ0g6=zfhkh?7>51zJ0g6=zfhkh87>51zJ0g6=zfhkh97>51zJ0g6=zfhkh:7>51zJ0g6=zfhkh;7>51zJ0g6=zfhkh47>51zJ0g6=zfhkh57>51zJ0g6=zfhkhm7>51zJ0g6=zfhkhn7>51zJ0g6=zfhkho7>51zJ0g6=zfhkhh7>51zJ0g6=zfhkhi7>51zJ0g6=zfhkhj7>51zJ0g6=zfhko<7>51zJ0g6=zfhko=7>51zJ0g6=zfhko>7>51zJ0g6=zfhko?7>51zJ0g6=zfhko87>51zJ0g6=zfhko97>51zJ0g6=zfhko:7>51zJ0g6=zfhko;7>51zJ0g6=zfhko47>51zJ0g6=zfhko57>51zJ0g6=zfhkom7>51zJ0g6=zfhkon7>51zJ0g6=zfhkoo7>51zJ0g6=zfhkoh7>51zJ0g6=zfhkoi7>51zJ0g6=zfhkoj7>51zJ0g6=zfhkn<7>51zJ0g6=zfhkn=7>51zJ0g6=zfhkn>7>51zJ0g6=zfhkn?7>51zJ0g6=zfhkn87>51zJ0g6=zfhkn97>51zJ0g6=zfhkn:7>51zJ0g6=zfhkn;7>51zJ0g6=zfhkn47>51zJ0g6=zfhkn57>51zJ0g6=zfhknm7>51zJ0g6=zfhknn7>51zJ0g6=zfhkno7>51zJ0g6=zfhknh7>51zJ0g6=zfhkni7>51zJ0g6=zfhknj7>51zJ0g6=zfhkm<7>51zJ0g6=zfhkm=7>51zJ0g6=zfhkm>7>51zJ0g6=zfhkm?7>51zJ0g6=zfhkm87>51zJ0g6=zfhkm97>51zJ0g6=zfhkm:7>51zJ0g6=zfhkm;7>51zJ0g6=zfhkm47>51zJ0g6=zfhkm57>51zJ0g6=zfhkmm7>51zJ0g6=zfhkmn7>51zJ0g6=zfhkmo7>51zJ0g6=zfhkmh7>51zJ0g6=zfhkmi7>51zJ0g6=zfhkmj7>51zJ0g6=zfhh;<7>51zJ0g6=zfhh;=7>51zJ0g6=zfhh;>7>51zJ0g6=zfhh;?7>51zJ0g6=zfhh;87>51zJ0g6=zfhh;97>51zJ0g6=zfhh;:7>51zJ0g6=zfhh;;7>51zJ0g6=zfhh;47>51zJ0g6=zfhh;57>51zJ0g6=zfhh;m7>51zJ0g6=zfhh;n7>51zJ0g6=zfhh;o7>51zJ0g6=zfhh;h7>51zJ0g6=zfhh;i7>51zJ0g6=zfhh;j7>51zJ0g6=zfhh:<7>51zJ0g6=zfhh:=7>51zJ0g6=zfhh:>7>51zJ0g6=zfhh:?7>51zJ0g6=zfhh:87>51zJ0g6=zfhh:97>51zJ0g6=zfhh::7>51zJ0g6=zfhh:;7>51zJ0g6=zfhh:47>51zJ0g6=zfhh:57>51zJ0g6=zfhh:m7>51zJ0g6=zfhh:n7>51zJ0g6=zfhh:o7>51zJ0g6=zfhh:h7>51zJ0g6=zfhh:i7>51zJ0g6=zfhh:j7>51zJ0g6=zfhh9<7>51zJ0g6=zfhh9=7>51zJ0g6=zfhh9>7>51zJ0g6=zfhh9?7>51zJ0g6=zfhh987>51zJ0g6=zfhh997>51zJ0g6=zfhh9:7>51zJ0g6=zfhh9;7>51zJ0g6=zfhh947>51zJ0g6=zfhh957>51zJ0g6=zfhh9m7>51zJ0g6=zfhh9n7>51zJ0g6=zfhh9o7>51zJ0g6=zfhh9h7>51zJ0g6=zfhh9i7>51zJ0g6=zfhh9j7>51zJ0g6=zfhh8<7>51zJ0g6=zfhh8=7>51zJ0g6=zfhh8>7>51zJ0g6=zfhh8?7>51zJ0g6=zfhh887>51zJ0g6=zfhh897>51zJ0g6=zfhh8:7>51zJ0g6=zfhh8;7>51zJ0g6=zfhh847>51zJ0g6=zfhh857>51zJ0g6=zfhh8m7>51zJ0g6=zfhh8n7>51zJ0g6=zfhh8o7>51zJ0g6=zfhh8h7>51zJ0g6=zfhh8i7>51zJ0g6=zfhh8j7>51zJ0g6=zfhh?<7>51zJ0g6=zfhh?=7>51zJ0g6=zfhh?>7>51zJ0g6=zfhh??7>51zJ0g6=zfhh?87>51zJ0g6=zfhh?97>51zJ0g6=zfhh?:7>51zJ0g6=zfhh?;7>51zJ0g6=zfhh?47>51zJ0g6=zfhh?57>51zJ0g6=zfhh?m7>51zJ0g6=zfhh?n7>51zJ0g6=zfhh?o7>51zJ0g6=zfhh?h7>51zJ0g6=zfhh?i7>51zJ0g6=zfhh?j7>51zJ0g6=zfhh><7>51zJ0g6=zfhh>=7>51zJ0g6=zfhh>>7>51zJ0g6=zfhh>?7>51zJ0g6=zfhh>87>51zJ0g6=zfhh>97>51zJ0g6=zfhh>:7>51zJ0g6=zfhh>;7>51zJ0g6=zfhh>47>51zJ0g6=zfhh>57>51zJ0g6=zfhh>m7>51zJ0g6=zfhh>n7>51zJ0g6=zfhh>o7>51zJ0g6=zfhh>h7>51zJ0g6=zfhh>i7>51zJ0g6=zfhh>j7>51zJ0g6=zfhh=<7>51zJ0g6=zfhh==7>51zJ0g6=zfhh=>7>51zJ0g6=zfhh=?7>51zJ0g6=zfhh=87>51zJ0g6=zfhh=97>51zJ0g6=zfhh=:7>51zJ0g6=zfhh=;7>51zJ0g6=zfhh=47>51zJ0g6=zfhh=57>51zJ0g6=zfhh=m7>51zJ0g6=zfhh=n7>51zJ0g6=zfhh=o7>51zJ0g6=zfhh=h7>51zJ0g6=zfhh=i7>51zJ0g6=zfhh=j7>51zJ0g6=zfhh<<7>51zJ0g6=zfhh<=7>51zJ0g6=zfhh<>7>51zJ0g6=zfhh51zJ0g6=zfhh<87>51zJ0g6=zfhh<97>51zJ0g6=zfhh<:7>51zJ0g6=zfhh<;7>51zJ0g6=zfhh<47>51zJ0g6=zfhh<57>51zJ0g6=zfhh51zJ0g6=zfhh51zJ0g6=zfhh51zJ0g6=zfhh51zJ0g6=zfhh51zJ0g6=zfhh51zJ0g6=zfhh3<7>51zJ0g6=zfhh3=7>51zJ0g6=zfhh3>7>51zJ0g6=zfhh3?7>51zJ0g6=zfhh387>51zJ0g6=zfhh397>51zJ0g6=zfhh3:7>51zJ0g6=zfhh3;7>51zJ0g6=zfhh347>51zJ0g6=zfhh357>51zJ0g6=zfhh3m7>51zJ0g6=zfhh3n7>51zJ0g6=zfhh3o7>51zJ0g6=zfhh3h7>51zJ0g6=zfhh3i7>51zJ0g6=zfhh3j7>51zJ0g6=zfhh2<7>51zJ0g6=zfhh2=7>51zJ0g6=zfhh2>7>51zJ0g6=zfhh2?7>51zJ0g6=zfhh287>51zJ0g6=zfhh297>51zJ0g6=zfhh2:7>51zJ0g6=zfhh2;7>51zJ0g6=zfhh247>51zJ0g6=zfhh257>51zJ0g6=zfhh2m7>51zJ0g6=zfhh2n7>51zJ0g6=zfhh2o7>51zJ0g6=zfhh2h7>51zJ0g6=zfhh2i7>51zJ0g6=zfhh2j7>51zJ0g6=zfhhj<7>51zJ0g6=zfhhj=7>51zJ0g6=zfhhj>7>51zJ0g6=zfhhj?7>51zJ0g6=zfhhj87>51zJ0g6=zfhhj97>51zJ0g6=zfhhj:7>51zJ0g6=zfhhj;7>51zJ0g6=zfhhj47>51zJ0g6=zfhhj57>51zJ0g6=zfhhjm7>51zJ0g6=zfhhjn7>51zJ0g6=zfhhjo7>51zJ0g6=zfhhjh7>51zJ0g6=zfhhji7>51zJ0g6=zfhhjj7>51zJ0g6=zfhhi<7>51zJ0g6=zfhhi=7>51zJ0g6=zfhhi>7>51zJ0g6=zfhhi?7>51zJ0g6=zfhhi87>51zJ0g6=zfhhi97>51zJ0g6=zfhhi:7>51zJ0g6=zfhhi;7>51zJ0g6=zfhhi47>51zJ0g6=zfhhi57>51zJ0g6=zfhhim7>51zJ0g6=zfhhin7>51zJ0g6=zfhhio7>51zJ0g6=zfhhih7>51zJ0g6=zfhhii7>51zJ0g6=zfhhij7>51zJ0g6=zfhhh<7>51zJ0g6=zfhhh=7>51zJ0g6=zfhhh>7>51zJ0g6=zfhhh?7>51zJ0g6=zfhhh87>51zJ0g6=zfhhh97>51zJ0g6=zfhhh:7>51zJ0g6=zfhhh;7>51zJ0g6=zfhhh47>51zJ0g6=zfhhh57>51zJ0g6=zfhhhm7>51zJ0g6=zfhhhn7>51zJ0g6=zfhhho7>51zJ0g6=zfhhhh7>51zJ0g6=zfhhhi7>51zJ0g6=zfhhhj7>51zJ0g6=zfhho<7>51zJ0g6=zfhho=7>51zJ0g6=zfhho>7>51zJ0g6=zfhho?7>51zJ0g6=zfhho87>51zJ0g6=zfhho97>51zJ0g6=zfhho:7>51zJ0g6=zfhho;7>51zJ0g6=zfhho47>51zJ0g6=zfhho57>51zJ0g6=zfhhom7>51zJ0g6=zfhhon7>51zJ0g6=zfhhoo7>51zJ0g6=zfhhoh7>51zJ0g6=zfhhoi7>51zJ0g6=zfhhoj7>51zJ0g6=zfhhn<7>51zJ0g6=zfhhn=7>51zJ0g6=zfhhn>7>51zJ0g6=zfhhn?7>51zJ0g6=zfhhn87>51zJ0g6=zfhhn97>51zJ0g6=zfhhn:7>51zJ0g6=zfhhn;7>51zJ0g6=zfhhn47>51zJ0g6=zfhhn57>51zJ0g6=zfhhnm7>51zJ0g6=zfhhnn7>51zJ0g6=zfhhno7>51zJ0g6=zfhhnh7>51zJ0g6=zfhhni7>51zJ0g6=zfhhnj7>51zJ0g6=zfhhm<7>51zJ0g6=zfhhm=7>51zJ0g6=zfhhm>7>51zJ0g6=zfhhm?7>51zJ0g6=zfhhm87>51zJ0g6=zfhhm97>51zJ0g6=zfhhm:7>51zJ0g6=zfhhm;7>51zJ0g6=zfhhm47>51zJ0g6=zfhhm57>51zJ0g6=zfhhmm7>51zJ0g6=zfhhmn7>51zJ0g6=zfhhmo7>51zJ0g6=zfhhmh7>51zJ0g6=zfhhmi7>51zJ0g6=zfhhmj7>51zJ0g6=zfhi;<7>51zJ0g6=zfhi;=7>51zJ0g6=zfhi;>7>51zJ0g6=zfhi;?7>51zJ0g6=zfhi;87>51zJ0g6=zfhi;97>51zJ0g6=zfhi;:7>51zJ0g6=zfhi;;7>51zJ0g6=zfhi;47>51zJ0g6=zfhi;57>51zJ0g6=zfhi;m7>51zJ0g6=zfhi;n7>51zJ0g6=zfhi;o7>51zJ0g6=zfhi;h7>51zJ0g6=zfhi;i7>51zJ0g6=zfhi;j7>51zJ0g6=zfhi:<7>51zJ0g6=zfhi:=7>51zJ0g6=zfhi:>7>51zJ0g6=zfhi:?7>51zJ0g6=zfhi:87>51zJ0g6=zfhi:97>51zJ0g6=zfhi::7>51zJ0g6=zfhi:;7>51zJ0g6=zfhi:47>51zJ0g6=zfhi:57>51zJ0g6=zfhi:m7>51zJ0g6=zfhi:n7>51zJ0g6=zfhi:o7>51zJ0g6=zfhi:h7>51zJ0g6=zfhi:i7>51zJ0g6=zfhi:j7>51zJ0g6=zfhi9<7>51zJ0g6=zfhi9=7>51zJ0g6=zfhi9>7>51zJ0g6=zfhi9?7>51zJ0g6=zfhi987>51zJ0g6=zfhi997>51zJ0g6=zfhi9:7>51zJ0g6=zfhi9;7>51zJ0g6=zfhi947>51zJ0g6=zfhi957>51zJ0g6=zfhi9m7>51zJ0g6=zfhi9n7>51zJ0g6=zfhi9o7>51zJ0g6=zfhi9h7>51zJ0g6=zfhi9i7>51zJ0g6=zfhi9j7>51zJ0g6=zfhi8<7>51zJ0g6=zfhi8=7>51zJ0g6=zfhi8>7>51zJ0g6=zfhi8?7>51zJ0g6=zfhi887>51zJ0g6=zfhi897>51zJ0g6=zfhi8:7>51zJ0g6=zfhi8;7>51zJ0g6=zfhi847>51zJ0g6=zfhi857>51zJ0g6=zfhi8m7>51zJ0g6=zfhi8n7>51zJ0g6=zfhi8o7>51zJ0g6=zfhi8h7>51zJ0g6=zfhi8i7>51zJ0g6=zfhi8j7>51zJ0g6=zfhi?<7>51zJ0g6=zfhi?=7>51zJ0g6=zfhi?>7>51zJ0g6=zfhi??7>51zJ0g6=zfhi?87>51zJ0g6=zfhi?97>51zJ0g6=zfhi?:7>51zJ0g6=zfhi?;7>51zJ0g6=zfhi?47>51zJ0g6=zfhi?57>51zJ0g6=zfhi?m7>51zJ0g6=zfhi?n7>51zJ0g6=zfhi?o7>51zJ0g6=zfhi?h7>51zJ0g6=zfhi?i7>51zJ0g6=zfhi?j7>51zJ0g6=zfhi><7>51zJ0g6=zfhi>=7>51zJ0g6=zfhi>>7>51zJ0g6=zfhi>?7>51zJ0g6=zfhi>87>51zJ0g6=zfhi>97>51zJ0g6=zfhi>:7>51zJ0g6=zfhi>;7>51zJ0g6=zfhi>47>51zJ0g6=zfhi>57>51zJ0g6=zfhi>m7>51zJ0g6=zfhi>n7>51zJ0g6=zfhi>o7>51zJ0g6=zfhi>h7>51zJ0g6=zfhi>i7>51zJ0g6=zfhi>j7>51zJ0g6=zfhi=<7>51zJ0g6=zfhi==7>51zJ0g6=zfhi=>7>51zJ0g6=zfhi=?7>51zJ0g6=zfhi=87>51zJ0g6=zfhi=97>51zJ0g6=zfhi=:7>51zJ0g6=zfhi=;7>51zJ0g6=zfhi=47>51zJ0g6=zfhi=57>51zJ0g6=zfhi=m7>51zJ0g6=zfhi=n7>51zJ0g6=zfhi=o7>51zJ0g6=zfhi=h7>51zJ0g6=zfhi=i7>51zJ0g6=zfhi=j7>51zJ0g6=zfhi<<7>51zJ0g6=zfhi<=7>51zJ0g6=zfhi<>7>51zJ0g6=zfhi51zJ0g6=zfhi<87>51zJ0g6=zfhi<97>51zJ0g6=zfhi<:7>51zJ0g6=zfhi<;7>51zJ0g6=zfhi<47>51zJ0g6=zfhi<57>51zJ0g6=zfhi51zJ0g6=zfhi51zJ0g6=zfhi51zJ0g6=zfhi51zJ0g6=zfhi51zJ0g6=zfhi51zJ0g6=zfhi3<7>51zJ0g6=zfhi3=7>51zJ0g6=zfhi3>7>51zJ0g6=zfhi3?7>51zJ0g6=zfhi387>51zJ0g6=zfhi397>51zJ0g6=zfhi3:7>51zJ0g6=zfhi3;7>51zJ0g6=zfhi347>51zJ0g6=zfhi357>51zJ0g6=zfhi3m7>51zJ0g6=zfhi3n7>51zJ0g6=zfhi3o7>51zJ0g6=zfhi3h7>51zJ0g6=zfhi3i7>51zJ0g6=zfhi3j7>51zJ0g6=zfhi2<7>51zJ0g6=zfhi2=7>51zJ0g6=zfhi2>7>51zJ0g6=zfhi2?7>51zJ0g6=zfhi287>51zJ0g6=zfhi297>51zJ0g6=zfhi2:7>51zJ0g6=zfhi2;7>51zJ0g6=zfhi247>51zJ0g6=zfhi257>51zJ0g6=zfhi2m7>51zJ0g6=zfhi2n7>51zJ0g6=zfhi2o7>51zJ0g6=zfhi2h7>51zJ0g6=zfhi2i7>51zJ0g6=zfhi2j7>51zJ0g6=zfhij<7>51zJ0g6=zfhij=7>51zJ0g6=zfhij>7>51zJ0g6=zfhij?7>51zJ0g6=zfhij87>51zJ0g6=zfhij97>51zJ0g6=zfhij:7>51zJ0g6=zfhij;7>51zJ0g6=zfhij47>51zJ0g6=zfhij57>51zJ0g6=zf8=nm7>51zJ0g6=zf8=nn7>51zJ0g6=zf8=no7>51zJ0g6=zf8=nh7>51zJ0g6=zf8=ni7>51zJ0g6=zf8=nj7>51zJ0g6=zf8=m<7>51zJ0g6=zf8=m=7>51zJ0g6=zf8=m>7>51zJ0g6=zf8=m?7>51zJ0g6=zf8=m87>51zJ0g6=zf8=m97>51zJ0g6=zf8=m:7>51zJ0g6=zf8=m;7>51zJ0g6=zf8=m47>51zJ0g6=zf8=m57>51zJ0g6=zf8=mm7>51zJ0g6=zf8=mn7>51zJ0g6=zf8=mo7>51zJ0g6=zf8=mh7>51zJ0g6=zf8=mi7>51zJ0g6=zf8=mj7>51zJ0g6=zf82;<7>51zJ0g6=zf82;=7>51zJ0g6=zf82;>7>51zJ0g6=zf82;?7>51zJ0g6=zf82;87>51zJ0g6=zf82;97>51zJ0g6=zf82;:7>51zJ0g6=zf82;;7>51zJ0g6=zf82;47>51zJ0g6=zf82;57>51zJ0g6=zf82;m7>51zJ0g6=zf82;n7>51zJ0g6=zf82;o7>51zJ0g6=zf82;h7>51zJ0g6=zf82;i7>51zJ0g6=zf82;j7>51zJ0g6=zf82:<7>51zJ0g6=zf82:=7>51zJ0g6=zf82:>7>51zJ0g6=zf82:?7>51zJ0g6=zf82:87>51zJ0g6=zf82:97>51zJ0g6=zf82::7>51zJ0g6=zf82:;7>51zJ0g6=zf82:47>51zJ0g6=zf82:57>51zJ0g6=zf82:m7>51zJ0g6=zf82:n7>51zJ0g6=zf:ini7>51zJ0g6=zf:inj7>51zJ0g6=zf:im<7>51zJ0g6=zf:im=7>51zJ0g6=zf:im>7>51zJ0g6=zf:im?7>51zJ0g6=zf:im87>51zJ0g6=zf:im97>51zJ0g6=zf:im:7>51zJ0g6=zf:im;7>51zJ0g6=zf:im47>51zJ0g6=zf:im57>51zJ0g6=zf:imm7>51zJ0g6=zf:imn7>51zJ0g6=zf:imo7>51zJ0g6=zf:imh7>51zJ0g6=zf:imi7>51zJ0g6=zf:imj7>51zJ0g6=zf:o?<7>51zJ0g6=zf:o?=7>51zJ0g6=zf:o?>7>51zJ0g6=zf:o??7>51zJ0g6=zf:o?87>51zJ0g6=zf:o?97>51zJ0g6=zf:o?:7>51zJ0g6=zf:o?;7>51zJ0g6=zf:o?47>51zJ0g6=zf:o?57>51zJ0g6=zf:o?m7>51zJ0g6=zf:o?n7>51zJ0g6=zf:o?o7>51zJ0g6=zf:o?h7>51zJ0g6=zf:o?i7>51zJ0g6=zf:o?j7>51zJ0g6=zf:o><7>51zJ0g6=zf:o>=7>51zJ0g6=zf:l2>7>51zJ0g6=zf:l2?7>51zJ0g6=zf:l287>51zJ0g6=zf:l297>51zJ0g6=zf:l2:7>51zJ0g6=zf:l2;7>51zJ0g6=zf:l247>51zJ0g6=zf:l257>51zJ0g6=zf:l2m7>51zJ0g6=zf:l2n7>51zJ0g6=zf:l2o7>51zJ0g6=zf:l2h7>51zJ0g6=zf:l2i7>51zJ0g6=zf:l2j7>51zJ0g6=zf:lj<7>51zJ0g6=zf:lj=7>51zJ0g6=zf:lj>7>51zJ0g6=zf:lj?7>51zJ0g6=zf=:n87>51zJ0g6=zf=:n97>51zJ0g6=zf=:n:7>51zJ0g6=zf=:n;7>51zJ0g6=zf=:n47>51zJ0g6=zf=:n57>51zJ0g6=zf=:nm7>51zJ0g6=zf=:nn7>51zJ0g6=zf=:no7>51zJ0g6=zf=:nh7>51zJ0g6=zf=:ni7>51zJ0g6=zf=:nj7>51zJ0g6=zf=:m<7>51zJ0g6=zf=:m=7>51zJ0g6=zf=:m>7>51zJ0g6=zf=:m?7>51zJ0g6=zf=:m87>51zJ0g6=zf=:m97>51zJ0g6=zf=88:7>51zJ0g6=zf=88;7>51zJ0g6=zf=8847>51zJ0g6=zf=8857>51zJ0g6=zf=88m7>51zJ0g6=zf=88n7>51zJ0g6=zf=88o7>51zJ0g6=zf=88h7>51zJ0g6=zf=88i7>51zJ0g6=zf=88j7>51zJ0g6=zf=8?<7>51zJ0g6=zf=8?=7>51zJ0g6=zf=8?>7>51zJ0g6=zf=8??7>51zJ0g6=zf=8?87>51zJ0g6=zf=8?97>51zJ0g6=zf=8?:7>51zJ0g6=zf=8?;7>51zJ0g6=zf=9347>51zJ0g6=zf=9357>51zJ0g6=zf=93m7>51zJ0g6=zf=93n7>51zJ0g6=zf=93o7>51zJ0g6=zf=93h7>51zJ0g6=zf=93i7>51zJ0g6=zf=93j7>51zJ0g6=zf=92<7>51zJ0g6=zf=92=7>51zJ0g6=zf=92>7>51zJ0g6=zf=92?7>51zJ0g6=zf=9287>51zJ0g6=zf=9297>51zJ0g6=zf=92:7>51zJ0g6=zf=92;7>51zJ0g6=zf=9247>51zJ0g6=zf=9257>51zJ0g6=zf=>om7>51zJ0g6=zf=>on7>51zJ0g6=zf=>oo7>51zJ0g6=zf=>oh7>51zJ0g6=zf=>oi7>51zJ0g6=zf=>oj7>51zJ0g6=zf=>n<7>51zJ0g6=zf=>n=7>51zJ0g6=zf=>n>7>51zJ0g6=zf=>n?7>51zJ0g6=zf=>n87>51zJ0g6=zf=>n97>51zJ0g6=zf=>n:7>51zJ0g6=zf=>n;7>51zJ0g6=zf=>n47>51zJ0g6=zf=>n57>51zJ0g6=zf=>nm7>51zJ0g6=zf=>nn7>51zJ0g6=zf=<9o7>51zJ0g6=zf=<9h7>51zJ0g6=zf=<9i7>51zJ0g6=zf=<9j7>51zJ0g6=zf=<8<7>51zJ0g6=zf=<8=7>51zJ0g6=zf=<8>7>51zJ0g6=zf=<8?7>51zJ0g6=zf=<887>51zJ0g6=zf=<897>51zJ0g6=zf=<8:7>51zJ0g6=zf=<8;7>51zJ0g6=zf=<847>51zJ0g6=zf=<857>51zJ0g6=zf=<8m7>51zJ0g6=zf=<8n7>51zJ0g6=zf=<8o7>51zJ0g6=zf=<8h7>51zJ0g6=zf==51zJ0g6=zf==51zJ0g6=zf==3<7>51zJ0g6=zf==3=7>51zJ0g6=zf==3>7>51zJ0g6=zf==3?7>51zJ0g6=zf==387>51zJ0g6=zf==397>51zJ0g6=zf==3:7>51zJ0g6=zf==3;7>51zJ0g6=zf==347>51zJ0g6=zf==357>51zJ0g6=zf==3m7>51zJ0g6=zf==3n7>51zJ0g6=zf==3o7>51zJ0g6=zf==3h7>51zJ0g6=zf==3i7>51zJ0g6=zf==3j7>51zJ0g6=zf=2o<7>51zJ0g6=zf=2o=7>51zJ0g6=zf=2o>7>51zJ0g6=zf=2o?7>51zJ0g6=zf=2o87>51zJ0g6=zf=2o97>51zJ0g6=zf=2o:7>51zJ0g6=zf=2o;7>51zJ0g6=zf=2o47>51zJ0g6=zf=2o57>51zJ0g6=zf=2om7>51zJ0g6=zf=2on7>51zJ0g6=zf=2oo7>51zJ0g6=zf=2oh7>51zJ0g6=zf=2oi7>51zJ0g6=zf=2oj7>51zJ0g6=zf=2n<7>51zJ0g6=zf=2n=7>51zJ0g6=zf=k9>7>51zJ0g6=zf=k9?7>51zJ0g6=zf=k987>51zJ0g6=zf=k997>51zJ0g6=zf=k9:7>51zJ0g6=zf=k9;7>51zJ0g6=zf=k947>51zJ0g6=zf=k957>51zJ0g6=zf=k9m7>51zJ0g6=zf=k9n7>51zJ0g6=zf=k9o7>51zJ0g6=zf=k9h7>51zJ0g6=zf=k9i7>51zJ0g6=zf=k9j7>51zJ0g6=zf=k8<7>51zJ0g6=zf=k8=7>51zJ0g6=zf=k8>7>51zJ0g6=zf=k8?7>51zJ0g6=zf=h<87>51zJ0g6=zf=h<97>51zJ0g6=zf=h<:7>51zJ0g6=zf=h<;7>51zJ0g6=zf=h<47>51zJ0g6=zf=h<57>51zJ0g6=zf=h51zJ0g6=zf=h51zJ0g6=zf=h51zJ0g6=zf=h51zJ0g6=zf=h51zJ0g6=zf=h51zJ0g6=zf=h3<7>51zJ0g6=zf=h3=7>51zJ0g6=zf=h3>7>51zJ0g6=zf=h3?7>51zJ0g6=zf=h387>51zJ0g6=zf=h397>51zJ0g6=zf=ih:7>51zJ0g6=zf=ih;7>51zJ0g6=zf=ih47>51zJ0g6=zf=ih57>51zJ0g6=zf=ihm7>51zJ0g6=zf=ihn7>51zJ0g6=zf=iho7>51zJ0g6=zf=ihh7>51zJ0g6=zf=ihi7>51zJ0g6=zf=ihj7>51zJ0g6=zf=io<7>51zJ0g6=zf=io=7>51zJ0g6=zf=io>7>51zJ0g6=zf=io?7>51zJ0g6=zf=io87>51zJ0g6=zf=io97>51zJ0g6=zf=io:7>51zJ0g6=zf=io;7>51zJ0g6=zf=o:47>51zJ0g6=zf=o:57>51zJ0g6=zf=o:m7>51zJ0g6=zf=o:n7>51zJ0g6=zf=o:o7>51zJ0g6=zf=o:h7>51zJ0g6=zf=o:i7>51zJ0g6=zf=o:j7>51zJ0g6=zf=o9<7>51zJ0g6=zf=o9=7>51zJ0g6=zf=o9>7>51zJ0g6=zf=o9?7>51zJ0g6=zf=o987>51zJ0g6=zf=o997>51zJ0g6=zf=o9:7>51zJ0g6=zf=o9;7>51zJ0g6=zf=o947>51zJ0g6=zf=o957>51zJ0g6=zf=l=m7>51zJ0g6=zf=l=n7>51zJ0g6=zf=l=o7>51zJ0g6=zf=l=h7>51zJ0g6=zf=l=i7>51zJ0g6=zf=l=j7>51zJ0g6=zf=l<<7>51zJ0g6=zf=l<=7>51zJ0g6=zf=l<>7>51zJ0g6=zf=l51zJ0g6=zf=l<87>51zJ0g6=zf=l<97>51zJ0g6=zf=l<:7>51zJ0g6=zf=l<;7>51zJ0g6=zf=l<47>51zJ0g6=zf=l<57>51zJ0g6=zf=l51zJ0g6=zf=l51zJ0g6=zf<:io7>51zJ0g6=zf<:ih7>51zJ0g6=zf<:ii7>51zJ0g6=zf<:ij7>51zJ0g6=zf<:h<7>51zJ0g6=zf<:h=7>51zJ0g6=zf<:h>7>51zJ0g6=zf<:h?7>51zJ0g6=zf<:h87>51zJ0g6=zf<:h97>51zJ0g6=zf<:h:7>51zJ0g6=zf<:h;7>51zJ0g6=zf<:h47>51zJ0g6=zf<:h57>51zJ0g6=zf<:hm7>51zJ0g6=zf<:hn7>51zJ0g6=zf<:ho7>51zJ0g6=zf<:hh7>51zJ0g6=zf<8;i7>51zJ0g6=zf<8;j7>51zJ0g6=zf<8:<7>51zJ0g6=zf<8:=7>51zJ0g6=zf<8:>7>51zJ0g6=zf<8:?7>51zJ0g6=zf<8:87>51zJ0g6=zf<8:97>51zJ0g6=zf<8::7>51zJ0g6=zf<8:;7>51zJ0g6=zf<8:47>51zJ0g6=zf<8:57>51zJ0g6=zf<8:m7>51zJ0g6=zf<8:n7>51zJ0g6=zf<8:o7>51zJ0g6=zf<8:h7>51zJ0g6=zf<8:i7>51zJ0g6=zf<8:j7>51zJ0g6=zf<9=<7>51zJ0g6=zf<9==7>51zJ0g6=zf<9=>7>51zJ0g6=zf<9=?7>51zJ0g6=zf<9=87>51zJ0g6=zf<9=97>51zJ0g6=zf<9=:7>51zJ0g6=zf<9=;7>51zJ0g6=zf<9=47>51zJ0g6=zf<9=57>51zJ0g6=zf<9=m7>51zJ0g6=zf<9=n7>51zJ0g6=zf<9=o7>51zJ0g6=zf<9=h7>51zJ0g6=zf<9=i7>51zJ0g6=zf<9=j7>51zJ0g6=zf<9<<7>51zJ0g6=zf<9<=7>51zJ0g6=zf<>i>7>51zJ0g6=zf<>i?7>51zJ0g6=zf<>i87>51zJ0g6=zf<>i97>51zJ0g6=zf<>i:7>51zJ0g6=zf<>i;7>51zJ0g6=zf<>i47>51zJ0g6=zf<>i57>51zJ0g6=zf<>im7>51zJ0g6=zf<>in7>51zJ0g6=zf<>io7>51zJ0g6=zf<>ih7>51zJ0g6=zf<>ii7>51zJ0g6=zf<>ij7>51zJ0g6=zf<>h<7>51zJ0g6=zf<>h=7>51zJ0g6=zf<>h>7>51zJ0g6=zf<>h?7>51zJ0g6=zf<<;87>51zJ0g6=zf<<;97>51zJ0g6=zf<<;:7>51zJ0g6=zf<<;;7>51zJ0g6=zf<<;47>51zJ0g6=zf<<;57>51zJ0g6=zf<<;m7>51zJ0g6=zf<<;n7>51zJ0g6=zf<<;o7>51zJ0g6=zf<<;h7>51zJ0g6=zf<<;i7>51zJ0g6=zf<<;j7>51zJ0g6=zf<<:<7>51zJ0g6=zf<<:=7>51zJ0g6=zf<<:>7>51zJ0g6=zf<<:?7>51zJ0g6=zf<<:87>51zJ0g6=zf<<:97>51zJ0g6=zf<=>:7>51zJ0g6=zf<=>;7>51zJ0g6=zf<=>47>51zJ0g6=zf<=>57>51zJ0g6=zf<=>m7>51zJ0g6=zf<=>n7>51zJ0g6=zf<=>o7>51zJ0g6=zf<=>h7>51zJ0g6=zf<=>i7>51zJ0g6=zf<=>j7>51zJ0g6=zf<==<7>51zJ0g6=zf<===7>51zJ0g6=zf<==>7>51zJ0g6=zf<==?7>51zJ0g6=zf<==87>51zJ0g6=zf<==97>51zJ0g6=zf<==:7>51zJ0g6=zf<==;7>51zJ0g6=zf<2j47>51zJ0g6=zf<2j57>51zJ0g6=zf<2jm7>51zJ0g6=zf<2jn7>51zJ0g6=zf<2jo7>51zJ0g6=zf<2jh7>51zJ0g6=zf<2ji7>51zJ0g6=zf<2jj7>51zJ0g6=zf<2i<7>51zJ0g6=zf<2i=7>51zJ0g6=zf<2i>7>51zJ0g6=zf<2i?7>51zJ0g6=zf<2i87>51zJ0g6=zf<2i97>51zJ0g6=zf<2i:7>51zJ0g6=zf<2i;7>51zJ0g6=zf<2i47>51zJ0g6=zf<2i57>51zJ0g6=zf<3mm7>51zJ0g6=zf<3mn7>51zJ0g6=zf<3mo7>51zJ0g6=zf<3mh7>51zJ0g6=zf<3mi7>51zJ0g6=zf<3mj7>51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf7>51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf<7>51zJ0g6=zf=7>51zJ0g6=zf>7>51zJ0g6=zf?7>51zJ0g6=zf87>51zJ0g6=zf97>51zJ0g6=zf:7>51zJ0g6=zf;7>51zJ0g6=zf47>51zJ0g6=zf57>51zJ0g6=zfm7>51zJ0g6=zfn7>51zJ0g6=zfo7>51zJ0g6=zfh7>51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf7>51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf7>51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf7>51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf7>51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf?:;<7>51zJ0g6=zf?:;=7>51zJ0g6=zf?:;>7>51zJ0g6=zf?:;?7>51zJ0g6=zf?:;87>51zJ0g6=zf?:;97>51zJ0g6=zf?:;:7>51zJ0g6=zf?:;;7>51zJ0g6=zf?:;47>51zJ0g6=zf?:;57>51zJ0g6=zf?:;m7>51zJ0g6=zf?:;n7>51zJ0g6=zf?:;o7>51zJ0g6=zf?:;h7>51zJ0g6=zf?8?<7>51zJ0g6=zf?8?=7>51zJ0g6=zf?8?>7>51zJ0g6=zf?8??7>51zJ0g6=zf?8?87>51zJ0g6=zf?8?97>51zJ0g6=zf?8?:7>51zJ0g6=zf?8?;7>51zJ0g6=zf?8?47>51zJ0g6=zf?8?57>51zJ0g6=zf?8?m7>51zJ0g6=zf?8?n7>51zJ0g6=zf?8?o7>51zJ0g6=zf?8?h7>51zJ0g6=zf?8?i7>51zJ0g6=zf?8?j7>51zJ0g6=zf?8><7>51zJ0g6=zf?8>=7>51zJ0g6=zf?8>>7>51zJ0g6=zf?8>?7>51zJ0g6=zf?8>87>51zJ0g6=zf?8>97>51zJ0g6=zf?8>:7>51zJ0g6=zf?8>;7>51zJ0g6=zf?8>47>51zJ0g6=zf?8>57>51zJ0g6=zf?8>m7>51zJ0g6=zf?8>n7>51zJ0g6=zf?8>o7>51zJ0g6=zf?8>h7>51zJ0g6=zf?8>i7>51zJ0g6=zf?8>j7>51zJ0g6=zf?8=<7>51zJ0g6=zf?8==7>51zJ0g6=zf?8=>7>51zJ0g6=zf?8=?7>51zJ0g6=zf?8=87>51zJ0g6=zf?8=97>51zJ0g6=zf?>247>51zJ0g6=zf?>257>51zJ0g6=zf?>2m7>51zJ0g6=zf?>2n7>51zJ0g6=zf?>2o7>51zJ0g6=zf?>2h7>51zJ0g6=zf?>2i7>51zJ0g6=zf?>2j7>51zJ0g6=zf?>j<7>51zJ0g6=zf?>j=7>51zJ0g6=zf?>j>7>51zJ0g6=zf?>j?7>51zJ0g6=zf?>j87>51zJ0g6=zf?>j97>51zJ0g6=zf?>j:7>51zJ0g6=zf?>j;7>51zJ0g6=zf?>j47>51zJ0g6=zf?>j57>51zJ0g6=zf?>jm7>51zJ0g6=zf?>jn7>51zJ0g6=zf?>jo7>51zJ0g6=zf?>jh7>51zJ0g6=zf?>ji7>51zJ0g6=zf?>jj7>51zJ0g6=zf?>i<7>51zJ0g6=zf?>i=7>51zJ0g6=zf?>i>7>51zJ0g6=zf?>i?7>51zJ0g6=zf?>i87>51zJ0g6=zf?>i97>51zJ0g6=zf?>i:7>51zJ0g6=zf?>i;7>51zJ0g6=zf?>i47>51zJ0g6=zf?>i57>51zJ0g6=zf?>im7>51zJ0g6=zf?>in7>51zJ0g6=zf?>io7>51zJ0g6=zf?>ih7>51zJ0g6=zf?51zJ0g6=zf?51zJ0g6=zf?7>51zJ0g6=zf?51zJ0g6=zf?51zJ0g6=zf?51zJ0g6=zf?51zJ0g6=zf?51zJ0g6=zf?51zJ0g6=zf?51zJ0g6=zf?51zJ0g6=zf?51zJ0g6=zf?51zJ0g6=zf?51zJ0g6=zf?51zJ0g6=zf?51zJ0g6=zf?=;<7>51zJ0g6=zf?=;=7>51zJ0g6=zf?=;>7>51zJ0g6=zf?=;?7>51zJ0g6=zf?=;87>51zJ0g6=zf?=;97>51zJ0g6=zf?=;:7>51zJ0g6=zf?=;;7>51zJ0g6=zf?=;47>51zJ0g6=zf?=;57>51zJ0g6=zf?=;m7>51zJ0g6=zf?=;n7>51zJ0g6=zf?=;o7>51zJ0g6=zf?=;h7>51zJ0g6=zf?=;i7>51zJ0g6=zf?=;j7>51zJ0g6=zf?=:<7>51zJ0g6=zf?=:=7>51zJ0g6=zf?=:>7>51zJ0g6=zf?=:?7>51zJ0g6=zf?=:87>51zJ0g6=zf?=:97>51zJ0g6=zf?2oo7>51zJ0g6=zf?2oh7>51zJ0g6=zf?2oi7>51zJ0g6=zf?2oj7>51zJ0g6=zf?2n<7>51zJ0g6=zf?2n=7>51zJ0g6=zf?2n>7>51zJ0g6=zf?2n?7>51zJ0g6=zf?2n87>51zJ0g6=zf?2n97>51zJ0g6=zf?2n:7>51zJ0g6=zf?2n;7>51zJ0g6=zf?2n47>51zJ0g6=zf?2n57>51zJ0g6=zf?2nm7>51zJ0g6=zf?2nn7>51zJ0g6=zf?2no7>51zJ0g6=zf?2nh7>51zJ0g6=zf?2ni7>51zJ0g6=zf?2nj7>51zJ0g6=zf?3247>51zJ0g6=zf?3257>51zJ0g6=zf?32m7>51zJ0g6=zf?32n7>51zJ0g6=zf?32o7>51zJ0g6=zf?32h7>51zJ0g6=zf?32i7>51zJ0g6=zf?32j7>51zJ0g6=zf?3j<7>51zJ0g6=zf?3j=7>51zJ0g6=zf?k?m7>51zJ0g6=zf?k?n7>51zJ0g6=zf?k?o7>51zJ0g6=zf?k?h7>51zJ0g6=zf?k?i7>51zJ0g6=zf?k?j7>51zJ0g6=zf?k><7>51zJ0g6=zf?k>=7>51zJ0g6=zf?k>>7>51zJ0g6=zf?k>?7>51zJ0g6=zf?kmo7>51zJ0g6=zf?kmh7>51zJ0g6=zf?kmi7>51zJ0g6=zf?kmj7>51zJ0g6=zf?h;<7>51zJ0g6=zf?h;=7>51zJ0g6=zf?h;>7>51zJ0g6=zf?h;?7>51zJ0g6=zf?h;87>51zJ0g6=zf?h;97>51zJ0g6=zf?hji7>51zJ0g6=zf?hjj7>51zJ0g6=zf?hi<7>51zJ0g6=zf?hi=7>51zJ0g6=zf?hi>7>51zJ0g6=zf?hi?7>51zJ0g6=zf?hi87>51zJ0g6=zf?hi97>51zJ0g6=zf?hi:7>51zJ0g6=zf?hi;7>51zJ0g6=zf?i=<7>51zJ0g6=zf?i==7>51zJ0g6=zf?i=>7>51zJ0g6=zf?i=?7>51zJ0g6=zf?i=87>51zJ0g6=zf?i=97>51zJ0g6=zf?i=:7>51zJ0g6=zf?i=;7>51zJ0g6=zf?i=47>51zJ0g6=zf?i=57>51zJ0g6=zf?n:>7>51zJ0g6=zf?n:?7>51zJ0g6=zf?n:87>51zJ0g6=zf?n:97>51zJ0g6=zf?n::7>51zJ0g6=zf?n:;7>51zJ0g6=zf?n:47>51zJ0g6=zf?n:57>51zJ0g6=zf?n:m7>51zJ0g6=zf?n:n7>51zJ0g6=zf?nh87>51zJ0g6=zf?nh97>51zJ0g6=zf?nh:7>51zJ0g6=zf?nh;7>51zJ0g6=zf?nh47>51zJ0g6=zf?nh57>51zJ0g6=zf?nhm7>51zJ0g6=zf?nhn7>51zJ0g6=zf?nho7>51zJ0g6=zf?nhh7>51zJ0g6=zf?o<:7>51zJ0g6=zf?o<;7>51zJ0g6=zf?o<47>51zJ0g6=zf?o<57>51zJ0g6=zf?o51zJ0g6=zf?o51zJ0g6=zf?o51zJ0g6=zf?o51zJ0g6=zf?o51zJ0g6=zf?o51zJ0g6=zf?l947>51zJ0g6=zf?l957>51zJ0g6=zf?l9m7>51zJ0g6=zf?l9n7>51zJ0g6=zf?l9o7>51zJ0g6=zf?l9h7>51zJ0g6=zf?l9i7>51zJ0g6=zf?l9j7>51zJ0g6=zf?l8<7>51zJ0g6=zf?l8=7>51zJ0g6=zf?lom7>51zJ0g6=zf?lon7>51zJ0g6=zf?loo7>51zJ0g6=zf?loh7>51zJ0g6=zf?loi7>51zJ0g6=zf?loj7>51zJ0g6=zf?ln<7>51zJ0g6=zf?ln=7>51zJ0g6=zf?ln>7>51zJ0g6=zf?ln?7>51zJ0g6=zf>:3o7>51zJ0g6=zf>:3h7>51zJ0g6=zf>:3i7>51zJ0g6=zf>:3j7>51zJ0g6=zf>:2<7>51zJ0g6=zf>:2=7>51zJ0g6=zf>:2>7>51zJ0g6=zf>:2?7>51zJ0g6=zf>:287>51zJ0g6=zf>:297>51zJ0g6=zf>;8i7>51zJ0g6=zf>;8j7>51zJ0g6=zf>;?<7>51zJ0g6=zf>;?=7>51zJ0g6=zf>;?>7>51zJ0g6=zf>;??7>51zJ0g6=zf>;?87>51zJ0g6=zf>;?97>51zJ0g6=zf>;?:7>51zJ0g6=zf>;?;7>51zJ0g6=zf>;m<7>51zJ0g6=zf>;m=7>51zJ0g6=zf>;m>7>51zJ0g6=zf>;m?7>51zJ0g6=zf>;m87>51zJ0g6=zf>;m97>51zJ0g6=zf>;m:7>51zJ0g6=zf>;m;7>51zJ0g6=zf>;m47>51zJ0g6=zf>;m57>51zJ0g6=zf>8j>7>51zJ0g6=zf>8j?7>51zJ0g6=zf>8j87>51zJ0g6=zf>8j97>51zJ0g6=zf>8j:7>51zJ0g6=zf>8j;7>51zJ0g6=zf>8j47>51zJ0g6=zf>8j57>51zJ0g6=zf>8jm7>51zJ0g6=zf>8jn7>51zJ0g6=zf>9>87>51zJ0g6=zf>9>97>51zJ0g6=zf>9>:7>51zJ0g6=zf>9>;7>51zJ0g6=zf>9>47>51zJ0g6=zf>9>57>51zJ0g6=zf>9>m7>51zJ0g6=zf>9>n7>51zJ0g6=zf>9>o7>51zJ0g6=zf>9>h7>51zJ0g6=zf>>;:7>51zJ0g6=zf>>;;7>51zJ0g6=zf>>;47>51zJ0g6=zf>>;57>51zJ0g6=zf>>;m7>51zJ0g6=zf>>;n7>51zJ0g6=zf>>;o7>51zJ0g6=zf>>;h7>51zJ0g6=zf>>;i7>51zJ0g6=zf>>;j7>51zJ0g6=zf>>i47>51zJ0g6=zf>>i57>51zJ0g6=zf>>im7>51zJ0g6=zf>>in7>51zJ0g6=zf>>io7>51zJ0g6=zf>>ih7>51zJ0g6=zf>>ii7>51zJ0g6=zf>>ij7>51zJ0g6=zf>>h<7>51zJ0g6=zf>>h=7>51zJ0g6=zf>?=m7>51zJ0g6=zf>?=n7>51zJ0g6=zf>?=o7>51zJ0g6=zf>?=h7>51zJ0g6=zf>?=i7>51zJ0g6=zf>?=j7>51zJ0g6=zf>?<<7>51zJ0g6=zf>?<=7>51zJ0g6=zf>?<>7>51zJ0g6=zf>?51zJ0g6=zf><:o7>51zJ0g6=zf><:h7>51zJ0g6=zf><:i7>51zJ0g6=zf><:j7>51zJ0g6=zf><9<7>51zJ0g6=zf><9=7>51zJ0g6=zf><9>7>51zJ0g6=zf><9?7>51zJ0g6=zf><987>51zJ0g6=zf><997>51zJ0g6=zf>51zJ0g6=zf>51zJ0g6=zf>51zJ0g6=zf>51zJ0g6=zf>7>51zJ0g6=zf>51zJ0g6=zf>51zJ0g6=zf>51zJ0g6=zf>51zJ0g6=zf>51zJ0g6=zf>=3<7>51zJ0g6=zf>=3=7>51zJ0g6=zf>=3>7>51zJ0g6=zf>=3?7>51zJ0g6=zf>=387>51zJ0g6=zf>=397>51zJ0g6=zf>=3:7>51zJ0g6=zf>=3;7>51zJ0g6=zf>=347>51zJ0g6=zf>=357>51zJ0g6=zf>28>7>51zJ0g6=zf>28?7>51zJ0g6=zf>2887>51zJ0g6=zf>2897>51zJ0g6=zf>28:7>51zJ0g6=zf>28;7>51zJ0g6=zf>2847>51zJ0g6=zf>2857>51zJ0g6=zf>28m7>51zJ0g6=zf>28n7>51zJ0g6=zf>2n87>51zJ0g6=zf>2n97>51zJ0g6=zf>2n:7>51zJ0g6=zf>2n;7>51zJ0g6=zf>2n47>51zJ0g6=zf>2n57>51zJ0g6=zf>2nm7>51zJ0g6=zf>2nn7>51zJ0g6=zf>2no7>51zJ0g6=zf>2nh7>51zJ0g6=zf>32:7>51zJ0g6=zf>32;7>51zJ0g6=zf>3247>51zJ0g6=zf>3257>51zJ0g6=zf>32m7>51zJ0g6=zf>32n7>51zJ0g6=zf>32o7>51zJ0g6=zf>32h7>51zJ0g6=zf>32i7>51zJ0g6=zf>32j7>51zJ0g6=zf>i3>7>51zJ0g6=zf>i3?7>51zJ0g6=zf>i387>51zJ0g6=zf>i397>51zJ0g6=zf>i3:7>51zJ0g6=zf>i3;7>51zJ0g6=zf>i347>51zJ0g6=zf>i357>51zJ0g6=zf>i3m7>51zJ0g6=zf>i3n7>51zJ0g6=zf>i3o7>51zJ0g6=zf>i3h7>51zJ0g6=zf>i3i7>51zJ0g6=zf>i3j7>51zJ0g6=zf>i2<7>51zJ0g6=zf>i2=7>51zJ0g6=zf>i2>7>51zJ0g6=zf>i2?7>51zJ0g6=zf>i287>51zJ0g6=zf>i297>51zJ0g6=zutwKLNumf8;9b5c?ihhjqMNM{1CDU}zHI \ No newline at end of file diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.v b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.v new file mode 100644 index 000000000..67742af64 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.v @@ -0,0 +1,45924 @@ +//////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. +//////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor: Xilinx +// \ \ \/ Version: P.20131013 +// \ \ Application: netgen +// / / Filename: hbdec1.v +// /___/ /\ Timestamp: Tue Jun 9 16:48:04 2015 +// \ \ / \ +// \___\/\___\ +// +// Command : -intstyle ise -w -sim -ofmt verilog ./tmp/_cg/hbdec1.ngc ./tmp/_cg/hbdec1.v +// Device : 6slx75fgg484-3 +// Input file : ./tmp/_cg/hbdec1.ngc +// Output file : ./tmp/_cg/hbdec1.v +// # of Modules : 1 +// Design Name : hbdec1 +// Xilinx : /opt/Xilinx/14.7/ISE_DS/ISE/ +// +// Purpose: +// This verilog netlist is a verification model and uses simulation +// primitives which may not represent the true implementation of the +// device, however the netlist is functionally correct and should not +// be modified. This file cannot be synthesized and should only be used +// with supported simulation tools. +// +// Reference: +// Command Line Tools User Guide, Chapter 23 and Synthesis and Simulation Design Guide, Chapter 6 +// +//////////////////////////////////////////////////////////////////////////////// + +`timescale 1 ns/1 ps + +module hbdec1 ( + sclr, ce, rfd, rdy, data_valid, coef_we, nd, clk, coef_ld, dout_1, dout_2, din_1, din_2, coef_din +)/* synthesis syn_black_box syn_noprune=1 */; + input sclr; + input ce; + output rfd; + output rdy; + output data_valid; + input coef_we; + input nd; + input clk; + input coef_ld; + output [46 : 0] dout_1; + output [46 : 0] dout_2; + input [23 : 0] din_1; + input [23 : 0] din_2; + input [17 : 0] coef_din; + + // synthesis translate_off + + wire NlwRenamedSig_OI_rfd; + wire \blk00000003/sig00000e8e ; + wire \blk00000003/sig00000e8d ; + wire \blk00000003/sig00000e8c ; + wire \blk00000003/sig00000e8b ; + wire \blk00000003/sig00000e8a ; + wire \blk00000003/sig00000e89 ; + wire \blk00000003/sig00000e88 ; + wire \blk00000003/sig00000e87 ; + wire \blk00000003/sig00000e86 ; + wire \blk00000003/sig00000e85 ; + wire \blk00000003/sig00000e84 ; + wire \blk00000003/sig00000e83 ; + wire \blk00000003/sig00000e82 ; + wire \blk00000003/sig00000e81 ; + wire \blk00000003/sig00000e80 ; + wire \blk00000003/sig00000e7f ; + wire \blk00000003/sig00000e7e ; + wire \blk00000003/sig00000e7d ; + wire \blk00000003/sig00000e7c ; + wire \blk00000003/sig00000e7b ; + wire \blk00000003/sig00000e7a ; + wire \blk00000003/sig00000e79 ; + wire \blk00000003/sig00000e78 ; + wire \blk00000003/sig00000e77 ; + wire \blk00000003/sig00000e76 ; + wire \blk00000003/sig00000e75 ; + wire \blk00000003/sig00000e74 ; + wire \blk00000003/sig00000e73 ; + wire \blk00000003/sig00000e72 ; + wire \blk00000003/sig00000e71 ; + wire \blk00000003/sig00000e70 ; + wire \blk00000003/sig00000e6f ; + wire \blk00000003/sig00000e6e ; + wire \blk00000003/sig00000e6d ; + wire \blk00000003/sig00000e6c ; + wire \blk00000003/sig00000e6b ; + wire \blk00000003/sig00000e6a ; + wire \blk00000003/sig00000e69 ; + wire \blk00000003/sig00000e68 ; + wire \blk00000003/sig00000e67 ; + wire \blk00000003/sig00000e66 ; + wire \blk00000003/sig00000e65 ; + wire \blk00000003/sig00000e64 ; + wire \blk00000003/sig00000e63 ; + wire \blk00000003/sig00000e62 ; + wire \blk00000003/sig00000e61 ; + wire \blk00000003/sig00000e60 ; + wire \blk00000003/sig00000e5f ; + wire \blk00000003/sig00000e5e ; + wire \blk00000003/sig00000e5d ; + wire \blk00000003/sig00000e5c ; + wire \blk00000003/sig00000e5b ; + wire \blk00000003/sig00000e5a ; + wire \blk00000003/sig00000e59 ; + wire \blk00000003/sig00000e58 ; + wire \blk00000003/sig00000e57 ; + wire \blk00000003/sig00000e56 ; + wire \blk00000003/sig00000e55 ; + wire \blk00000003/sig00000e54 ; + wire \blk00000003/sig00000e53 ; + wire \blk00000003/sig00000e52 ; + wire \blk00000003/sig00000e51 ; + wire \blk00000003/sig00000e50 ; + wire \blk00000003/sig00000e4f ; + wire \blk00000003/sig00000e4e ; + wire \blk00000003/sig00000e4d ; + wire \blk00000003/sig00000e4c ; + wire \blk00000003/sig00000e4b ; + wire \blk00000003/sig00000e4a ; + wire \blk00000003/sig00000e49 ; + wire \blk00000003/sig00000e48 ; + wire \blk00000003/sig00000e47 ; + wire \blk00000003/sig00000e46 ; + wire \blk00000003/sig00000e45 ; + wire \blk00000003/sig00000e44 ; + wire \blk00000003/sig00000e43 ; + wire \blk00000003/sig00000e42 ; + wire \blk00000003/sig00000e41 ; + wire \blk00000003/sig00000e40 ; + wire \blk00000003/sig00000e3f ; + wire \blk00000003/sig00000e3e ; + wire \blk00000003/sig00000e3d ; + wire \blk00000003/sig00000e3c ; + wire \blk00000003/sig00000e3b ; + wire \blk00000003/sig00000e3a ; + wire \blk00000003/sig00000e39 ; + wire \blk00000003/sig00000e38 ; + wire \blk00000003/sig00000e37 ; + wire \blk00000003/sig00000e36 ; + wire \blk00000003/sig00000e35 ; + wire \blk00000003/sig00000e34 ; + wire \blk00000003/sig00000e33 ; + wire \blk00000003/sig00000e32 ; + wire \blk00000003/sig00000e31 ; + wire \blk00000003/sig00000e30 ; + wire \blk00000003/sig00000e2f ; + wire \blk00000003/sig00000e2e ; + wire \blk00000003/sig00000e2d ; + wire \blk00000003/sig00000e2c ; + wire \blk00000003/sig00000e2b ; + wire \blk00000003/sig00000e2a ; + wire \blk00000003/sig00000e29 ; + wire \blk00000003/sig00000e28 ; + wire \blk00000003/sig00000e27 ; + wire \blk00000003/sig00000e26 ; + wire \blk00000003/sig00000e25 ; + wire \blk00000003/sig00000e24 ; + wire \blk00000003/sig00000e23 ; + wire \blk00000003/sig00000e22 ; + wire \blk00000003/sig00000e21 ; + wire \blk00000003/sig00000e20 ; + wire \blk00000003/sig00000e1f ; + wire \blk00000003/sig00000e1e ; + wire \blk00000003/sig00000e1d ; + wire \blk00000003/sig00000e1c ; + wire \blk00000003/sig00000e1b ; + wire \blk00000003/sig00000e1a ; + wire \blk00000003/sig00000e19 ; + wire \blk00000003/sig00000e18 ; + wire \blk00000003/sig00000e17 ; + wire \blk00000003/sig00000e16 ; + wire \blk00000003/sig00000e15 ; + wire \blk00000003/sig00000e14 ; + wire \blk00000003/sig00000e13 ; + wire \blk00000003/sig00000e12 ; + wire \blk00000003/sig00000e11 ; + wire \blk00000003/sig00000e10 ; + wire \blk00000003/sig00000e0f ; + wire \blk00000003/sig00000e0e ; + wire \blk00000003/sig00000e0d ; + wire \blk00000003/sig00000e0c ; + wire \blk00000003/sig00000e0b ; + wire \blk00000003/sig00000e0a ; + wire \blk00000003/sig00000e09 ; + wire \blk00000003/sig00000e08 ; + wire \blk00000003/sig00000e07 ; + wire \blk00000003/sig00000e06 ; + wire \blk00000003/sig00000e05 ; + wire \blk00000003/sig00000e04 ; + wire \blk00000003/sig00000e03 ; + wire \blk00000003/sig00000e02 ; + wire \blk00000003/sig00000e01 ; + wire \blk00000003/sig00000e00 ; + wire \blk00000003/sig00000dff ; + wire \blk00000003/sig00000dfe ; + wire \blk00000003/sig00000dfd ; + wire \blk00000003/sig00000dfc ; + wire \blk00000003/sig00000dfb ; + wire \blk00000003/sig00000dfa ; + wire \blk00000003/sig00000df9 ; + wire \blk00000003/sig00000df8 ; + wire \blk00000003/sig00000df7 ; + wire \blk00000003/sig00000df6 ; + wire \blk00000003/sig00000df5 ; + wire \blk00000003/sig00000df4 ; + wire \blk00000003/sig00000df3 ; + wire \blk00000003/sig00000df2 ; + wire \blk00000003/sig00000df1 ; + wire \blk00000003/sig00000df0 ; + wire \blk00000003/sig00000def ; + wire \blk00000003/sig00000dee ; + wire \blk00000003/sig00000ded ; + wire \blk00000003/sig00000dec ; + wire \blk00000003/sig00000deb ; + wire \blk00000003/sig00000dea ; + wire \blk00000003/sig00000de9 ; + wire \blk00000003/sig00000de8 ; + wire \blk00000003/sig00000de7 ; + wire \blk00000003/sig00000de6 ; + wire \blk00000003/sig00000de5 ; + wire \blk00000003/sig00000de4 ; + wire \blk00000003/sig00000de3 ; + wire \blk00000003/sig00000de2 ; + wire \blk00000003/sig00000de1 ; + wire \blk00000003/sig00000de0 ; + wire \blk00000003/sig00000ddf ; + wire \blk00000003/sig00000dde ; + wire \blk00000003/sig00000ddd ; + wire \blk00000003/sig00000ddc ; + wire \blk00000003/sig00000ddb ; + wire \blk00000003/sig00000dda ; + wire \blk00000003/sig00000dd9 ; + wire \blk00000003/sig00000dd8 ; + wire \blk00000003/sig00000dd7 ; + wire \blk00000003/sig00000dd6 ; + wire \blk00000003/sig00000dd5 ; + wire \blk00000003/sig00000dd4 ; + wire \blk00000003/sig00000dd3 ; + wire \blk00000003/sig00000dd2 ; + wire \blk00000003/sig00000dd1 ; + wire \blk00000003/sig00000dd0 ; + wire \blk00000003/sig00000dcf ; + wire \blk00000003/sig00000dce ; + wire \blk00000003/sig00000dcd ; + wire \blk00000003/sig00000dcc ; + wire \blk00000003/sig00000dcb ; + wire \blk00000003/sig00000dca ; + wire \blk00000003/sig00000dc9 ; + wire \blk00000003/sig00000dc8 ; + wire \blk00000003/sig00000dc7 ; + wire \blk00000003/sig00000dc6 ; + wire \blk00000003/sig00000dc5 ; + wire \blk00000003/sig00000dc4 ; + wire \blk00000003/sig00000dc3 ; + wire \blk00000003/sig00000dc2 ; + wire \blk00000003/sig00000dc1 ; + wire \blk00000003/sig00000dc0 ; + wire \blk00000003/sig00000dbf ; + wire \blk00000003/sig00000dbe ; + wire \blk00000003/sig00000dbd ; + wire \blk00000003/sig00000dbc ; + wire \blk00000003/sig00000dbb ; + wire \blk00000003/sig00000dba ; + wire \blk00000003/sig00000db9 ; + wire \blk00000003/sig00000db8 ; + wire \blk00000003/sig00000db7 ; + wire \blk00000003/sig00000db6 ; + wire \blk00000003/sig00000db5 ; + wire \blk00000003/sig00000db4 ; + wire \blk00000003/sig00000db3 ; + wire \blk00000003/sig00000db2 ; + wire \blk00000003/sig00000db1 ; + wire \blk00000003/sig00000db0 ; + wire \blk00000003/sig00000daf ; + wire \blk00000003/sig00000dae ; + wire \blk00000003/sig00000dad ; + wire \blk00000003/sig00000dac ; + wire \blk00000003/sig00000dab ; + wire \blk00000003/sig00000daa ; + wire \blk00000003/sig00000da9 ; + wire \blk00000003/sig00000da8 ; + wire \blk00000003/sig00000da7 ; + wire \blk00000003/sig00000da6 ; + wire \blk00000003/sig00000da5 ; + wire \blk00000003/sig00000da4 ; + wire \blk00000003/sig00000da3 ; + wire \blk00000003/sig00000da2 ; + wire \blk00000003/sig00000da1 ; + wire \blk00000003/sig00000da0 ; + wire \blk00000003/sig00000d9f ; + wire \blk00000003/sig00000d9e ; + wire \blk00000003/sig00000d9d ; + wire \blk00000003/sig00000d9c ; + wire \blk00000003/sig00000d9b ; + wire \blk00000003/sig00000d9a ; + wire \blk00000003/sig00000d99 ; + wire \blk00000003/sig00000d98 ; + wire \blk00000003/sig00000d97 ; + wire \blk00000003/sig00000d96 ; + wire \blk00000003/sig00000d95 ; + wire \blk00000003/sig00000d94 ; + wire \blk00000003/sig00000d93 ; + wire \blk00000003/sig00000d92 ; + wire \blk00000003/sig00000d91 ; + wire \blk00000003/sig00000d90 ; + wire \blk00000003/sig00000d8f ; + wire \blk00000003/sig00000d8e ; + wire \blk00000003/sig00000d8d ; + wire \blk00000003/sig00000d8c ; + wire \blk00000003/sig00000d8b ; + wire \blk00000003/sig00000d8a ; + wire \blk00000003/sig00000d89 ; + wire \blk00000003/sig00000d88 ; + wire \blk00000003/sig00000d87 ; + wire \blk00000003/sig00000d86 ; + wire \blk00000003/sig00000d85 ; + wire \blk00000003/sig00000d84 ; + wire \blk00000003/sig00000d83 ; + wire \blk00000003/sig00000d82 ; + wire \blk00000003/sig00000d81 ; + wire \blk00000003/sig00000d80 ; + wire \blk00000003/sig00000d7f ; + wire \blk00000003/sig00000d7e ; + wire \blk00000003/sig00000d7d ; + wire \blk00000003/sig00000d7c ; + wire \blk00000003/sig00000d7b ; + wire \blk00000003/sig00000d7a ; + wire \blk00000003/sig00000d79 ; + wire \blk00000003/sig00000d78 ; + wire \blk00000003/sig00000d77 ; + wire \blk00000003/sig00000d76 ; + wire \blk00000003/sig00000d75 ; + wire \blk00000003/sig00000d74 ; + wire \blk00000003/sig00000d73 ; + wire \blk00000003/sig00000d72 ; + wire \blk00000003/sig00000d71 ; + wire \blk00000003/sig00000d70 ; + wire \blk00000003/sig00000d6f ; + wire \blk00000003/sig00000d6e ; + wire \blk00000003/sig00000d6d ; + wire \blk00000003/sig00000d6c ; + wire \blk00000003/sig00000d6b ; + wire \blk00000003/sig00000d6a ; + wire \blk00000003/sig00000d69 ; + wire \blk00000003/sig00000d68 ; + wire \blk00000003/sig00000d67 ; + wire \blk00000003/sig00000d66 ; + wire \blk00000003/sig00000d65 ; + wire \blk00000003/sig00000d64 ; + wire \blk00000003/sig00000d63 ; + wire \blk00000003/sig00000d62 ; + wire \blk00000003/sig00000d61 ; + wire \blk00000003/sig00000d60 ; + wire \blk00000003/sig00000d5f ; + wire \blk00000003/sig00000d5e ; + wire \blk00000003/sig00000d5d ; + wire \blk00000003/sig00000d5c ; + wire \blk00000003/sig00000d5b ; + wire \blk00000003/sig00000d5a ; + wire \blk00000003/sig00000d59 ; + wire \blk00000003/sig00000d58 ; + wire \blk00000003/sig00000d57 ; + wire \blk00000003/sig00000d56 ; + wire \blk00000003/sig00000d55 ; + wire \blk00000003/sig00000d54 ; + wire \blk00000003/sig00000d53 ; + wire \blk00000003/sig00000d52 ; + wire \blk00000003/sig00000d51 ; + wire \blk00000003/sig00000d50 ; + wire \blk00000003/sig00000d4f ; + wire \blk00000003/sig00000d4e ; + wire \blk00000003/sig00000d4d ; + wire \blk00000003/sig00000d4c ; + wire \blk00000003/sig00000d4b ; + wire \blk00000003/sig00000d4a ; + wire \blk00000003/sig00000d49 ; + wire \blk00000003/sig00000d48 ; + wire \blk00000003/sig00000d47 ; + wire \blk00000003/sig00000d46 ; + wire \blk00000003/sig00000d45 ; + wire \blk00000003/sig00000d44 ; + wire \blk00000003/sig00000d43 ; + wire \blk00000003/sig00000d42 ; + wire \blk00000003/sig00000d41 ; + wire \blk00000003/sig00000d40 ; + wire \blk00000003/sig00000d3f ; + wire \blk00000003/sig00000d3e ; + wire \blk00000003/sig00000d3d ; + wire \blk00000003/sig00000d3c ; + wire \blk00000003/sig00000d3b ; + wire \blk00000003/sig00000d3a ; + wire \blk00000003/sig00000d39 ; + wire \blk00000003/sig00000d38 ; + wire \blk00000003/sig00000d37 ; + wire \blk00000003/sig00000d36 ; + wire \blk00000003/sig00000d35 ; + wire \blk00000003/sig00000d34 ; + wire \blk00000003/sig00000d33 ; + wire \blk00000003/sig00000d32 ; + wire \blk00000003/sig00000d31 ; + wire \blk00000003/sig00000d30 ; + wire \blk00000003/sig00000d2f ; + wire \blk00000003/sig00000d2e ; + wire \blk00000003/sig00000d2d ; + wire \blk00000003/sig00000d2c ; + wire \blk00000003/sig00000d2b ; + wire \blk00000003/sig00000d2a ; + wire \blk00000003/sig00000d29 ; + wire \blk00000003/sig00000d28 ; + wire \blk00000003/sig00000d27 ; + wire \blk00000003/sig00000d26 ; + wire \blk00000003/sig00000d25 ; + wire \blk00000003/sig00000d24 ; + wire \blk00000003/sig00000d23 ; + wire \blk00000003/sig00000d22 ; + wire \blk00000003/sig00000d21 ; + wire \blk00000003/sig00000d20 ; + wire \blk00000003/sig00000d1f ; + wire \blk00000003/sig00000d1e ; + wire \blk00000003/sig00000d1d ; + wire \blk00000003/sig00000d1c ; + wire \blk00000003/sig00000d1b ; + wire \blk00000003/sig00000d1a ; + wire \blk00000003/sig00000d19 ; + wire \blk00000003/sig00000d18 ; + wire \blk00000003/sig00000d17 ; + wire \blk00000003/sig00000d16 ; + wire \blk00000003/sig00000d15 ; + wire \blk00000003/sig00000d14 ; + wire \blk00000003/sig00000d13 ; + wire \blk00000003/sig00000d12 ; + wire \blk00000003/sig00000d11 ; + wire \blk00000003/sig00000d10 ; + wire \blk00000003/sig00000d0f ; + wire \blk00000003/sig00000d0e ; + wire \blk00000003/sig00000d0d ; + wire \blk00000003/sig00000d0c ; + wire \blk00000003/sig00000d0b ; + wire \blk00000003/sig00000d0a ; + wire \blk00000003/sig00000d09 ; + wire \blk00000003/sig00000d08 ; + wire \blk00000003/sig00000d07 ; + wire \blk00000003/sig00000d06 ; + wire \blk00000003/sig00000d05 ; + wire \blk00000003/sig00000d04 ; + wire \blk00000003/sig00000d03 ; + wire \blk00000003/sig00000d02 ; + wire \blk00000003/sig00000d01 ; + wire \blk00000003/sig00000d00 ; + wire \blk00000003/sig00000cff ; + wire \blk00000003/sig00000cfe ; + wire \blk00000003/sig00000cfd ; + wire \blk00000003/sig00000cfc ; + wire \blk00000003/sig00000cfb ; + wire \blk00000003/sig00000cfa ; + wire \blk00000003/sig00000cf9 ; + wire \blk00000003/sig00000cf8 ; + wire \blk00000003/sig00000cf7 ; + wire \blk00000003/sig00000cf6 ; + wire \blk00000003/sig00000cf5 ; + wire \blk00000003/sig00000cf4 ; + wire \blk00000003/sig00000cf3 ; + wire \blk00000003/sig00000cf2 ; + wire \blk00000003/sig00000cf1 ; + wire \blk00000003/sig00000cf0 ; + wire \blk00000003/sig00000cef ; + wire \blk00000003/sig00000cee ; + wire \blk00000003/sig00000ced ; + wire \blk00000003/sig00000cec ; + wire \blk00000003/sig00000ceb ; + wire \blk00000003/sig00000cea ; + wire \blk00000003/sig00000ce9 ; + wire \blk00000003/sig00000ce8 ; + wire \blk00000003/sig00000ce7 ; + wire \blk00000003/sig00000ce6 ; + wire \blk00000003/sig00000ce5 ; + wire \blk00000003/sig00000ce4 ; + wire \blk00000003/sig00000ce3 ; + wire \blk00000003/sig00000ce2 ; + wire \blk00000003/sig00000ce1 ; + wire \blk00000003/sig00000ce0 ; + wire \blk00000003/sig00000cdf ; + wire \blk00000003/sig00000cde ; + wire \blk00000003/sig00000cdd ; + wire \blk00000003/sig00000cdc ; + wire \blk00000003/sig00000cdb ; + wire \blk00000003/sig00000cda ; + wire \blk00000003/sig00000cd9 ; + wire \blk00000003/sig00000cd8 ; + wire \blk00000003/sig00000cd7 ; + wire \blk00000003/sig00000cd6 ; + wire \blk00000003/sig00000cd5 ; + wire \blk00000003/sig00000cd4 ; + wire \blk00000003/sig00000cd3 ; + wire \blk00000003/sig00000cd2 ; + wire \blk00000003/sig00000cd1 ; + wire \blk00000003/sig00000cd0 ; + wire \blk00000003/sig00000ccf ; + wire \blk00000003/sig00000cce ; + wire \blk00000003/sig00000ccd ; + wire \blk00000003/sig00000ccc ; + wire \blk00000003/sig00000ccb ; + wire \blk00000003/sig00000cca ; + wire \blk00000003/sig00000cc9 ; + wire \blk00000003/sig00000cc8 ; + wire \blk00000003/sig00000cc7 ; + wire \blk00000003/sig00000cc6 ; + wire \blk00000003/sig00000cc5 ; + wire \blk00000003/sig00000cc4 ; + wire \blk00000003/sig00000cc3 ; + wire \blk00000003/sig00000cc2 ; + wire \blk00000003/sig00000cc1 ; + wire \blk00000003/sig00000cc0 ; + wire \blk00000003/sig00000cbf ; + wire \blk00000003/sig00000cbe ; + wire \blk00000003/sig00000cbd ; + wire \blk00000003/sig00000cbc ; + wire \blk00000003/sig00000cbb ; + wire \blk00000003/sig00000cba ; + wire \blk00000003/sig00000cb9 ; + wire \blk00000003/sig00000cb8 ; + wire \blk00000003/sig00000cb7 ; + wire \blk00000003/sig00000cb6 ; + wire \blk00000003/sig00000cb5 ; + wire \blk00000003/sig00000cb4 ; + wire \blk00000003/sig00000cb3 ; + wire \blk00000003/sig00000cb2 ; + wire \blk00000003/sig00000cb1 ; + wire \blk00000003/sig00000cb0 ; + wire \blk00000003/sig00000caf ; + wire \blk00000003/sig00000cae ; + wire \blk00000003/sig00000cad ; + wire \blk00000003/sig00000cac ; + wire \blk00000003/sig00000cab ; + wire \blk00000003/sig00000caa ; + wire \blk00000003/sig00000ca9 ; + wire \blk00000003/sig00000ca8 ; + wire \blk00000003/sig00000ca7 ; + wire \blk00000003/sig00000ca6 ; + wire \blk00000003/sig00000ca5 ; + wire \blk00000003/sig00000ca4 ; + wire \blk00000003/sig00000ca3 ; + wire \blk00000003/sig00000ca2 ; + wire \blk00000003/sig00000ca1 ; + wire \blk00000003/sig00000ca0 ; + wire \blk00000003/sig00000c9f ; + wire \blk00000003/sig00000c9e ; + wire \blk00000003/sig00000c9d ; + wire \blk00000003/sig00000c9c ; + wire \blk00000003/sig00000c9b ; + wire \blk00000003/sig00000c9a ; + wire \blk00000003/sig00000c99 ; + wire \blk00000003/sig00000c98 ; + wire \blk00000003/sig00000c97 ; + wire \blk00000003/sig00000c96 ; + wire \blk00000003/sig00000c95 ; + wire \blk00000003/sig00000c94 ; + wire \blk00000003/sig00000c93 ; + wire \blk00000003/sig00000c92 ; + wire \blk00000003/sig00000c91 ; + wire \blk00000003/sig00000c90 ; + wire \blk00000003/sig00000c8f ; + wire \blk00000003/sig00000c8e ; + wire \blk00000003/sig00000c8d ; + wire \blk00000003/sig00000c8c ; + wire \blk00000003/sig00000c8b ; + wire \blk00000003/sig00000c8a ; + wire \blk00000003/sig00000c89 ; + wire \blk00000003/sig00000c88 ; + wire \blk00000003/sig00000c87 ; + wire \blk00000003/sig00000c86 ; + wire \blk00000003/sig00000c85 ; + wire \blk00000003/sig00000c84 ; + wire \blk00000003/sig00000c83 ; + wire \blk00000003/sig00000c82 ; + wire \blk00000003/sig00000c81 ; + wire \blk00000003/sig00000c80 ; + wire \blk00000003/sig00000c7f ; + wire \blk00000003/sig00000c7e ; + wire \blk00000003/sig00000c7d ; + wire \blk00000003/sig00000c7c ; + wire \blk00000003/sig00000c7b ; + wire \blk00000003/sig00000c7a ; + wire \blk00000003/sig00000c79 ; + wire \blk00000003/sig00000c78 ; + wire \blk00000003/sig00000c77 ; + wire \blk00000003/sig00000c76 ; + wire \blk00000003/sig00000c75 ; + wire \blk00000003/sig00000c74 ; + wire \blk00000003/sig00000c73 ; + wire \blk00000003/sig00000c72 ; + wire \blk00000003/sig00000c71 ; + wire \blk00000003/sig00000c70 ; + wire \blk00000003/sig00000c6f ; + wire \blk00000003/sig00000c6e ; + wire \blk00000003/sig00000c6d ; + wire \blk00000003/sig00000c6c ; + wire \blk00000003/sig00000c6b ; + wire \blk00000003/sig00000c6a ; + wire \blk00000003/sig00000c69 ; + wire \blk00000003/sig00000c68 ; + wire \blk00000003/sig00000c67 ; + wire \blk00000003/sig00000c66 ; + wire \blk00000003/sig00000c65 ; + wire \blk00000003/sig00000c64 ; + wire \blk00000003/sig00000c63 ; + wire \blk00000003/sig00000c62 ; + wire \blk00000003/sig00000c61 ; + wire \blk00000003/sig00000c60 ; + wire \blk00000003/sig00000c5f ; + wire \blk00000003/sig00000c5e ; + wire \blk00000003/sig00000c5d ; + wire \blk00000003/sig00000c5c ; + wire \blk00000003/sig00000c5b ; + wire \blk00000003/sig00000c5a ; + wire \blk00000003/sig00000c59 ; + wire \blk00000003/sig00000c58 ; + wire \blk00000003/sig00000c57 ; + wire \blk00000003/sig00000c56 ; + wire \blk00000003/sig00000c55 ; + wire \blk00000003/sig00000c54 ; + wire \blk00000003/sig00000c53 ; + wire \blk00000003/sig00000c52 ; + wire \blk00000003/sig00000c51 ; + wire \blk00000003/sig00000c50 ; + wire \blk00000003/sig00000c4f ; + wire \blk00000003/sig00000c4e ; + wire \blk00000003/sig00000c4d ; + wire \blk00000003/sig00000c4c ; + wire \blk00000003/sig00000c4b ; + wire \blk00000003/sig00000c4a ; + wire \blk00000003/sig00000c49 ; + wire \blk00000003/sig00000c48 ; + wire \blk00000003/sig00000c47 ; + wire \blk00000003/sig00000c46 ; + wire \blk00000003/sig00000c45 ; + wire \blk00000003/sig00000c44 ; + wire \blk00000003/sig00000c43 ; + wire \blk00000003/sig00000c42 ; + wire \blk00000003/sig00000c41 ; + wire \blk00000003/sig00000c40 ; + wire \blk00000003/sig00000c3f ; + wire \blk00000003/sig00000c3e ; + wire \blk00000003/sig00000c3d ; + wire \blk00000003/sig00000c3c ; + wire \blk00000003/sig00000c3b ; + wire \blk00000003/sig00000c3a ; + wire \blk00000003/sig00000c39 ; + wire \blk00000003/sig00000c38 ; + wire \blk00000003/sig00000c37 ; + wire \blk00000003/sig00000c36 ; + wire \blk00000003/sig00000c35 ; + wire \blk00000003/sig00000c34 ; + wire \blk00000003/sig00000c33 ; + wire \blk00000003/sig00000c32 ; + wire \blk00000003/sig00000c31 ; + wire \blk00000003/sig00000c30 ; + wire \blk00000003/sig00000c2f ; + wire \blk00000003/sig00000c2e ; + wire \blk00000003/sig00000c2d ; + wire \blk00000003/sig00000c2c ; + wire \blk00000003/sig00000c2b ; + wire \blk00000003/sig00000c2a ; + wire \blk00000003/sig00000c29 ; + wire \blk00000003/sig00000c28 ; + wire \blk00000003/sig00000c27 ; + wire \blk00000003/sig00000c26 ; + wire \blk00000003/sig00000c25 ; + wire \blk00000003/sig00000c24 ; + wire \blk00000003/sig00000c23 ; + wire \blk00000003/sig00000c22 ; + wire \blk00000003/sig00000c21 ; + wire \blk00000003/sig00000c20 ; + wire \blk00000003/sig00000c1f ; + wire \blk00000003/sig00000c1e ; + wire \blk00000003/sig00000c1d ; + wire \blk00000003/sig00000c1c ; + wire \blk00000003/sig00000c1b ; + wire \blk00000003/sig00000c1a ; + wire \blk00000003/sig00000c19 ; + wire \blk00000003/sig00000c18 ; + wire \blk00000003/sig00000c17 ; + wire \blk00000003/sig00000c16 ; + wire \blk00000003/sig00000c15 ; + wire \blk00000003/sig00000c14 ; + wire \blk00000003/sig00000c13 ; + wire \blk00000003/sig00000c12 ; + wire \blk00000003/sig00000c11 ; + wire \blk00000003/sig00000c10 ; + wire \blk00000003/sig00000c0f ; + wire \blk00000003/sig00000c0e ; + wire \blk00000003/sig00000c0d ; + wire \blk00000003/sig00000c0c ; + wire \blk00000003/sig00000c0b ; + wire \blk00000003/sig00000c0a ; + wire \blk00000003/sig00000c09 ; + wire \blk00000003/sig00000c08 ; + wire \blk00000003/sig00000c07 ; + wire \blk00000003/sig00000c06 ; + wire \blk00000003/sig00000c05 ; + wire \blk00000003/sig00000c04 ; + wire \blk00000003/sig00000c03 ; + wire \blk00000003/sig00000c02 ; + wire \blk00000003/sig00000c01 ; + wire \blk00000003/sig00000c00 ; + wire \blk00000003/sig00000bff ; + wire \blk00000003/sig00000bfe ; + wire \blk00000003/sig00000bfd ; + wire \blk00000003/sig00000bfc ; + wire \blk00000003/sig00000bfb ; + wire \blk00000003/sig00000bfa ; + wire \blk00000003/sig00000bf9 ; + wire \blk00000003/sig00000bf8 ; + wire \blk00000003/sig00000bf7 ; + wire \blk00000003/sig00000bf6 ; + wire \blk00000003/sig00000bf5 ; + wire \blk00000003/sig00000bf4 ; + wire \blk00000003/sig00000bf3 ; + wire \blk00000003/sig00000bf2 ; + wire \blk00000003/sig00000bf1 ; + wire \blk00000003/sig00000bf0 ; + wire \blk00000003/sig00000bef ; + wire \blk00000003/sig00000bee ; + wire \blk00000003/sig00000bed ; + wire \blk00000003/sig00000bec ; + wire \blk00000003/sig00000beb ; + wire \blk00000003/sig00000bea ; + wire \blk00000003/sig00000be9 ; + wire \blk00000003/sig00000be8 ; + wire \blk00000003/sig00000be7 ; + wire \blk00000003/sig00000be6 ; + wire \blk00000003/sig00000be5 ; + wire \blk00000003/sig00000be4 ; + wire \blk00000003/sig00000be3 ; + wire \blk00000003/sig00000be2 ; + wire \blk00000003/sig00000be1 ; + wire \blk00000003/sig00000be0 ; + wire \blk00000003/sig00000bdf ; + wire \blk00000003/sig00000bde ; + wire \blk00000003/sig00000bdd ; + wire \blk00000003/sig00000bdc ; + wire \blk00000003/sig00000bdb ; + wire \blk00000003/sig00000bda ; + wire \blk00000003/sig00000bd9 ; + wire \blk00000003/sig00000bd8 ; + wire \blk00000003/sig00000bd7 ; + wire \blk00000003/sig00000bd6 ; + wire \blk00000003/sig00000bd5 ; + wire \blk00000003/sig00000bd4 ; + wire \blk00000003/sig00000bd3 ; + wire \blk00000003/sig00000bd2 ; + wire \blk00000003/sig00000bd1 ; + wire \blk00000003/sig00000bd0 ; + wire \blk00000003/sig00000bcf ; + wire \blk00000003/sig00000bce ; + wire \blk00000003/sig00000bcd ; + wire \blk00000003/sig00000bcc ; + wire \blk00000003/sig00000bcb ; + wire \blk00000003/sig00000bca ; + wire \blk00000003/sig00000bc9 ; + wire \blk00000003/sig00000bc8 ; + wire \blk00000003/sig00000bc7 ; + wire \blk00000003/sig00000bc6 ; + wire \blk00000003/sig00000bc5 ; + wire \blk00000003/sig00000bc4 ; + wire \blk00000003/sig00000bc3 ; + wire \blk00000003/sig00000bc2 ; + wire \blk00000003/sig00000bc1 ; + wire \blk00000003/sig00000bc0 ; + wire \blk00000003/sig00000bbf ; + wire \blk00000003/sig00000bbe ; + wire \blk00000003/sig00000bbd ; + wire \blk00000003/sig00000bbc ; + wire \blk00000003/sig00000bbb ; + wire \blk00000003/sig00000bba ; + wire \blk00000003/sig00000bb9 ; + wire \blk00000003/sig00000bb8 ; + wire \blk00000003/sig00000bb7 ; + wire \blk00000003/sig00000bb6 ; + wire \blk00000003/sig00000bb5 ; + wire \blk00000003/sig00000bb4 ; + wire \blk00000003/sig00000bb3 ; + wire \blk00000003/sig00000bb2 ; + wire \blk00000003/sig00000bb1 ; + wire \blk00000003/sig00000bb0 ; + wire \blk00000003/sig00000baf ; + wire \blk00000003/sig00000bae ; + wire \blk00000003/sig00000bad ; + wire \blk00000003/sig00000bac ; + wire \blk00000003/sig00000bab ; + wire \blk00000003/sig00000baa ; + wire \blk00000003/sig00000ba9 ; + wire \blk00000003/sig00000ba8 ; + wire \blk00000003/sig00000ba7 ; + wire \blk00000003/sig00000ba6 ; + wire \blk00000003/sig00000ba5 ; + wire \blk00000003/sig00000ba4 ; + wire \blk00000003/sig00000ba3 ; + wire \blk00000003/sig00000ba2 ; + wire \blk00000003/sig00000ba1 ; + wire \blk00000003/sig00000ba0 ; + wire \blk00000003/sig00000b9f ; + wire \blk00000003/sig00000b9e ; + wire \blk00000003/sig00000b9d ; + wire \blk00000003/sig00000b9c ; + wire \blk00000003/sig00000b9b ; + wire \blk00000003/sig00000b9a ; + wire \blk00000003/sig00000b99 ; + wire \blk00000003/sig00000b98 ; + wire \blk00000003/sig00000b97 ; + wire \blk00000003/sig00000b96 ; + wire \blk00000003/sig00000b95 ; + wire \blk00000003/sig00000b94 ; + wire \blk00000003/sig00000b93 ; + wire \blk00000003/sig00000b92 ; + wire \blk00000003/sig00000b91 ; + wire \blk00000003/sig00000b90 ; + wire \blk00000003/sig00000b8f ; + wire \blk00000003/sig00000b8e ; + wire \blk00000003/sig00000b8d ; + wire \blk00000003/sig00000b8c ; + wire \blk00000003/sig00000b8b ; + wire \blk00000003/sig00000b8a ; + wire \blk00000003/sig00000b89 ; + wire \blk00000003/sig00000b88 ; + wire \blk00000003/sig00000b87 ; + wire \blk00000003/sig00000b86 ; + wire \blk00000003/sig00000b85 ; + wire \blk00000003/sig00000b84 ; + wire \blk00000003/sig00000b83 ; + wire \blk00000003/sig00000b82 ; + wire \blk00000003/sig00000b81 ; + wire \blk00000003/sig00000b80 ; + wire \blk00000003/sig00000b7f ; + wire \blk00000003/sig00000b7e ; + wire \blk00000003/sig00000b7d ; + wire \blk00000003/sig00000b7c ; + wire \blk00000003/sig00000b7b ; + wire \blk00000003/sig00000b7a ; + wire \blk00000003/sig00000b79 ; + wire \blk00000003/sig00000b78 ; + wire \blk00000003/sig00000b77 ; + wire \blk00000003/sig00000b76 ; + wire \blk00000003/sig00000b75 ; + wire \blk00000003/sig00000b74 ; + wire \blk00000003/sig00000b73 ; + wire \blk00000003/sig00000b72 ; + wire \blk00000003/sig00000b71 ; + wire \blk00000003/sig00000b70 ; + wire \blk00000003/sig00000b6f ; + wire \blk00000003/sig00000b6e ; + wire \blk00000003/sig00000b6d ; + wire \blk00000003/sig00000b6c ; + wire \blk00000003/sig00000b6b ; + wire \blk00000003/sig00000b6a ; + wire \blk00000003/sig00000b69 ; + wire \blk00000003/sig00000b68 ; + wire \blk00000003/sig00000b67 ; + wire \blk00000003/sig00000b66 ; + wire \blk00000003/sig00000b65 ; + wire \blk00000003/sig00000b64 ; + wire \blk00000003/sig00000b63 ; + wire \blk00000003/sig00000b62 ; + wire \blk00000003/sig00000b61 ; + wire \blk00000003/sig00000b60 ; + wire \blk00000003/sig00000b5f ; + wire \blk00000003/sig00000b5e ; + wire \blk00000003/sig00000b5d ; + wire \blk00000003/sig00000b5c ; + wire \blk00000003/sig00000b5b ; + wire \blk00000003/sig00000b5a ; + wire \blk00000003/sig00000b59 ; + wire \blk00000003/sig00000b58 ; + wire \blk00000003/sig00000b57 ; + wire \blk00000003/sig00000b56 ; + wire \blk00000003/sig00000b55 ; + wire \blk00000003/sig00000b54 ; + wire \blk00000003/sig00000b53 ; + wire \blk00000003/sig00000b52 ; + wire \blk00000003/sig00000b51 ; + wire \blk00000003/sig00000b50 ; + wire \blk00000003/sig00000b4f ; + wire \blk00000003/sig00000b4e ; + wire \blk00000003/sig00000b4d ; + wire \blk00000003/sig00000b4c ; + wire \blk00000003/sig00000b4b ; + wire \blk00000003/sig00000b4a ; + wire \blk00000003/sig00000b49 ; + wire \blk00000003/sig00000b48 ; + wire \blk00000003/sig00000b47 ; + wire \blk00000003/sig00000b46 ; + wire \blk00000003/sig00000b45 ; + wire \blk00000003/sig00000b44 ; + wire \blk00000003/sig00000b43 ; + wire \blk00000003/sig00000b42 ; + wire \blk00000003/sig00000b41 ; + wire \blk00000003/sig00000b40 ; + wire \blk00000003/sig00000b3f ; + wire \blk00000003/sig00000b3e ; + wire \blk00000003/sig00000b3d ; + wire \blk00000003/sig00000b3c ; + wire \blk00000003/sig00000b3b ; + wire \blk00000003/sig00000b3a ; + wire \blk00000003/sig00000b39 ; + wire \blk00000003/sig00000b38 ; + wire \blk00000003/sig00000b37 ; + wire \blk00000003/sig00000b36 ; + wire \blk00000003/sig00000b35 ; + wire \blk00000003/sig00000b34 ; + wire \blk00000003/sig00000b33 ; + wire \blk00000003/sig00000b32 ; + wire \blk00000003/sig00000b31 ; + wire \blk00000003/sig00000b30 ; + wire \blk00000003/sig00000b2f ; + wire \blk00000003/sig00000b2e ; + wire \blk00000003/sig00000b2d ; + wire \blk00000003/sig00000b2c ; + wire \blk00000003/sig00000b2b ; + wire \blk00000003/sig00000b2a ; + wire \blk00000003/sig00000b29 ; + wire \blk00000003/sig00000b28 ; + wire \blk00000003/sig00000b27 ; + wire \blk00000003/sig00000b26 ; + wire \blk00000003/sig00000b25 ; + wire \blk00000003/sig00000b24 ; + wire \blk00000003/sig00000b23 ; + wire \blk00000003/sig00000b22 ; + wire \blk00000003/sig00000b21 ; + wire \blk00000003/sig00000b20 ; + wire \blk00000003/sig00000b1f ; + wire \blk00000003/sig00000b1e ; + wire \blk00000003/sig00000b1d ; + wire \blk00000003/sig00000b1c ; + wire \blk00000003/sig00000b1b ; + wire \blk00000003/sig00000b1a ; + wire \blk00000003/sig00000b19 ; + wire \blk00000003/sig00000b18 ; + wire \blk00000003/sig00000b17 ; + wire \blk00000003/sig00000b16 ; + wire \blk00000003/sig00000b15 ; + wire \blk00000003/sig00000b14 ; + wire \blk00000003/sig00000b13 ; + wire \blk00000003/sig00000b12 ; + wire \blk00000003/sig00000b11 ; + wire \blk00000003/sig00000b10 ; + wire \blk00000003/sig00000b0f ; + wire \blk00000003/sig00000b0e ; + wire \blk00000003/sig00000b0d ; + wire \blk00000003/sig00000b0c ; + wire \blk00000003/sig00000b0b ; + wire \blk00000003/sig00000b0a ; + wire \blk00000003/sig00000b09 ; + wire \blk00000003/sig00000b08 ; + wire \blk00000003/sig00000b07 ; + wire \blk00000003/sig00000b06 ; + wire \blk00000003/sig00000b05 ; + wire \blk00000003/sig00000b04 ; + wire \blk00000003/sig00000b03 ; + wire \blk00000003/sig00000b02 ; + wire \blk00000003/sig00000b01 ; + wire \blk00000003/sig00000b00 ; + wire \blk00000003/sig00000aff ; + wire \blk00000003/sig00000afe ; + wire \blk00000003/sig00000afd ; + wire \blk00000003/sig00000afc ; + wire \blk00000003/sig00000afb ; + wire \blk00000003/sig00000afa ; + wire \blk00000003/sig00000af9 ; + wire \blk00000003/sig00000af8 ; + wire \blk00000003/sig00000af7 ; + wire \blk00000003/sig00000af6 ; + wire \blk00000003/sig00000af5 ; + wire \blk00000003/sig00000af4 ; + wire \blk00000003/sig00000af3 ; + wire \blk00000003/sig00000af2 ; + wire \blk00000003/sig00000af1 ; + wire \blk00000003/sig00000af0 ; + wire \blk00000003/sig00000aef ; + wire \blk00000003/sig00000aee ; + wire \blk00000003/sig00000aed ; + wire \blk00000003/sig00000aec ; + wire \blk00000003/sig00000aeb ; + wire \blk00000003/sig00000aea ; + wire \blk00000003/sig00000ae9 ; + wire \blk00000003/sig00000ae8 ; + wire \blk00000003/sig00000ae7 ; + wire \blk00000003/sig00000ae6 ; + wire \blk00000003/sig00000ae5 ; + wire \blk00000003/sig00000ae4 ; + wire \blk00000003/sig00000ae3 ; + wire \blk00000003/sig00000ae2 ; + wire \blk00000003/sig00000ae1 ; + wire \blk00000003/sig00000ae0 ; + wire \blk00000003/sig00000adf ; + wire \blk00000003/sig00000ade ; + wire \blk00000003/sig00000add ; + wire \blk00000003/sig00000adc ; + wire \blk00000003/sig00000adb ; + wire \blk00000003/sig00000ada ; + wire \blk00000003/sig00000ad9 ; + wire \blk00000003/sig00000ad8 ; + wire \blk00000003/sig00000ad7 ; + wire \blk00000003/sig00000ad6 ; + wire \blk00000003/sig00000ad5 ; + wire \blk00000003/sig00000ad4 ; + wire \blk00000003/sig00000ad3 ; + wire \blk00000003/sig00000ad2 ; + wire \blk00000003/sig00000ad1 ; + wire \blk00000003/sig00000ad0 ; + wire \blk00000003/sig00000acf ; + wire \blk00000003/sig00000ace ; + wire \blk00000003/sig00000acd ; + wire \blk00000003/sig00000acc ; + wire \blk00000003/sig00000acb ; + wire \blk00000003/sig00000aca ; + wire \blk00000003/sig00000ac9 ; + wire \blk00000003/sig00000ac8 ; + wire \blk00000003/sig00000ac7 ; + wire \blk00000003/sig00000ac6 ; + wire \blk00000003/sig00000ac5 ; + wire \blk00000003/sig00000ac4 ; + wire \blk00000003/sig00000ac3 ; + wire \blk00000003/sig00000ac2 ; + wire \blk00000003/sig00000ac1 ; + wire \blk00000003/sig00000ac0 ; + wire \blk00000003/sig00000abf ; + wire \blk00000003/sig00000abe ; + wire \blk00000003/sig00000abd ; + wire \blk00000003/sig00000abc ; + wire \blk00000003/sig00000abb ; + wire \blk00000003/sig00000aba ; + wire \blk00000003/sig00000ab9 ; + wire \blk00000003/sig00000ab8 ; + wire \blk00000003/sig00000ab7 ; + wire \blk00000003/sig00000ab6 ; + wire \blk00000003/sig00000ab5 ; + wire \blk00000003/sig00000ab4 ; + wire \blk00000003/sig00000ab3 ; + wire \blk00000003/sig00000ab2 ; + wire \blk00000003/sig00000ab1 ; + wire \blk00000003/sig00000ab0 ; + wire \blk00000003/sig00000aaf ; + wire \blk00000003/sig00000aae ; + wire \blk00000003/sig00000aad ; + wire \blk00000003/sig00000aac ; + wire \blk00000003/sig00000aab ; + wire \blk00000003/sig00000aaa ; + wire \blk00000003/sig00000aa9 ; + wire \blk00000003/sig00000aa8 ; + wire \blk00000003/sig00000aa7 ; + wire \blk00000003/sig00000aa6 ; + wire \blk00000003/sig00000aa5 ; + wire \blk00000003/sig00000aa4 ; + wire \blk00000003/sig00000aa3 ; + wire \blk00000003/sig00000aa2 ; + wire \blk00000003/sig00000aa1 ; + wire \blk00000003/sig00000aa0 ; + wire \blk00000003/sig00000a9f ; + wire \blk00000003/sig00000a9e ; + wire \blk00000003/sig00000a9d ; + wire \blk00000003/sig00000a9c ; + wire \blk00000003/sig00000a9b ; + wire \blk00000003/sig00000a9a ; + wire \blk00000003/sig00000a99 ; + wire \blk00000003/sig00000a98 ; + wire \blk00000003/sig00000a97 ; + wire \blk00000003/sig00000a96 ; + wire \blk00000003/sig00000a95 ; + wire \blk00000003/sig00000a94 ; + wire \blk00000003/sig00000a93 ; + wire \blk00000003/sig00000a92 ; + wire \blk00000003/sig00000a91 ; + wire \blk00000003/sig00000a90 ; + wire \blk00000003/sig00000a8f ; + wire \blk00000003/sig00000a8e ; + wire \blk00000003/sig00000a8d ; + wire \blk00000003/sig00000a8c ; + wire \blk00000003/sig00000a8b ; + wire \blk00000003/sig00000a8a ; + wire \blk00000003/sig00000a89 ; + wire \blk00000003/sig00000a88 ; + wire \blk00000003/sig00000a87 ; + wire \blk00000003/sig00000a86 ; + wire \blk00000003/sig00000a85 ; + wire \blk00000003/sig00000a84 ; + wire \blk00000003/sig00000a83 ; + wire \blk00000003/sig00000a82 ; + wire \blk00000003/sig00000a81 ; + wire \blk00000003/sig00000a80 ; + wire \blk00000003/sig00000a7f ; + wire \blk00000003/sig00000a7e ; + wire \blk00000003/sig00000a7d ; + wire \blk00000003/sig00000a7c ; + wire \blk00000003/sig00000a7b ; + wire \blk00000003/sig00000a7a ; + wire \blk00000003/sig00000a79 ; + wire \blk00000003/sig00000a78 ; + wire \blk00000003/sig00000a77 ; + wire \blk00000003/sig00000a76 ; + wire \blk00000003/sig00000a75 ; + wire \blk00000003/sig00000a74 ; + wire \blk00000003/sig00000a73 ; + wire \blk00000003/sig00000a72 ; + wire \blk00000003/sig00000a71 ; + wire \blk00000003/sig00000a70 ; + wire \blk00000003/sig00000a6f ; + wire \blk00000003/sig00000a6e ; + wire \blk00000003/sig00000a6d ; + wire \blk00000003/sig00000a6c ; + wire \blk00000003/sig00000a6b ; + wire \blk00000003/sig00000a6a ; + wire \blk00000003/sig00000a69 ; + wire \blk00000003/sig00000a68 ; + wire \blk00000003/sig00000a67 ; + wire \blk00000003/sig00000a66 ; + wire \blk00000003/sig00000a65 ; + wire \blk00000003/sig00000a64 ; + wire \blk00000003/sig00000a63 ; + wire \blk00000003/sig00000a62 ; + wire \blk00000003/sig00000a61 ; + wire \blk00000003/sig00000a60 ; + wire \blk00000003/sig00000a5f ; + wire \blk00000003/sig00000a5e ; + wire \blk00000003/sig00000a5d ; + wire \blk00000003/sig00000a5c ; + wire \blk00000003/sig00000a5b ; + wire \blk00000003/sig00000a5a ; + wire \blk00000003/sig00000a59 ; + wire \blk00000003/sig00000a58 ; + wire \blk00000003/sig00000a57 ; + wire \blk00000003/sig00000a56 ; + wire \blk00000003/sig00000a55 ; + wire \blk00000003/sig00000a54 ; + wire \blk00000003/sig00000a53 ; + wire \blk00000003/sig00000a52 ; + wire \blk00000003/sig00000a51 ; + wire \blk00000003/sig00000a50 ; + wire \blk00000003/sig00000a4f ; + wire \blk00000003/sig00000a4e ; + wire \blk00000003/sig00000a4d ; + wire \blk00000003/sig00000a4c ; + wire \blk00000003/sig00000a4b ; + wire \blk00000003/sig00000a4a ; + wire \blk00000003/sig00000a49 ; + wire \blk00000003/sig00000a48 ; + wire \blk00000003/sig00000a47 ; + wire \blk00000003/sig00000a46 ; + wire \blk00000003/sig00000a45 ; + wire \blk00000003/sig00000a44 ; + wire \blk00000003/sig00000a43 ; + wire \blk00000003/sig00000a42 ; + wire \blk00000003/sig00000a41 ; + wire \blk00000003/sig00000a40 ; + wire \blk00000003/sig00000a3f ; + wire \blk00000003/sig00000a3e ; + wire \blk00000003/sig00000a3d ; + wire \blk00000003/sig00000a3c ; + wire \blk00000003/sig00000a3b ; + wire \blk00000003/sig00000a3a ; + wire \blk00000003/sig00000a39 ; + wire \blk00000003/sig00000a38 ; + wire \blk00000003/sig00000a37 ; + wire \blk00000003/sig00000a36 ; + wire \blk00000003/sig00000a35 ; + wire \blk00000003/sig00000a34 ; + wire \blk00000003/sig00000a33 ; + wire \blk00000003/sig00000a32 ; + wire \blk00000003/sig00000a31 ; + wire \blk00000003/sig00000a30 ; + wire \blk00000003/sig00000a2f ; + wire \blk00000003/sig00000a2e ; + wire \blk00000003/sig00000a2d ; + wire \blk00000003/sig00000a2c ; + wire \blk00000003/sig00000a2b ; + wire \blk00000003/sig00000a2a ; + wire \blk00000003/sig00000a29 ; + wire \blk00000003/sig00000a28 ; + wire \blk00000003/sig00000a27 ; + wire \blk00000003/sig00000a26 ; + wire \blk00000003/sig00000a25 ; + wire \blk00000003/sig00000a24 ; + wire \blk00000003/sig00000a23 ; + wire \blk00000003/sig00000a22 ; + wire \blk00000003/sig00000a21 ; + wire \blk00000003/sig00000a20 ; + wire \blk00000003/sig00000a1f ; + wire \blk00000003/sig00000a1e ; + wire \blk00000003/sig00000a1d ; + wire \blk00000003/sig00000a1c ; + wire \blk00000003/sig00000a1b ; + wire \blk00000003/sig00000a1a ; + wire \blk00000003/sig00000a19 ; + wire \blk00000003/sig00000a18 ; + wire \blk00000003/sig00000a17 ; + wire \blk00000003/sig00000a16 ; + wire \blk00000003/sig00000a15 ; + wire \blk00000003/sig00000a14 ; + wire \blk00000003/sig00000a13 ; + wire \blk00000003/sig00000a12 ; + wire \blk00000003/sig00000a11 ; + wire \blk00000003/sig00000a10 ; + wire \blk00000003/sig00000a0f ; + wire \blk00000003/sig00000a0e ; + wire \blk00000003/sig00000a0d ; + wire \blk00000003/sig00000a0c ; + wire \blk00000003/sig00000a0b ; + wire \blk00000003/sig00000a0a ; + wire \blk00000003/sig00000a09 ; + wire \blk00000003/sig00000a08 ; + wire \blk00000003/sig00000a07 ; + wire \blk00000003/sig00000a06 ; + wire \blk00000003/sig00000a05 ; + wire \blk00000003/sig00000a04 ; + wire \blk00000003/sig00000a03 ; + wire \blk00000003/sig00000a02 ; + wire \blk00000003/sig00000a01 ; + wire \blk00000003/sig00000a00 ; + wire \blk00000003/sig000009ff ; + wire \blk00000003/sig000009fe ; + wire \blk00000003/sig000009fd ; + wire \blk00000003/sig000009fc ; + wire \blk00000003/sig000009fb ; + wire \blk00000003/sig000009fa ; + wire \blk00000003/sig000009f9 ; + wire \blk00000003/sig000009f8 ; + wire \blk00000003/sig000009f7 ; + wire \blk00000003/sig000009f6 ; + wire \blk00000003/sig000009f5 ; + wire \blk00000003/sig000009f4 ; + wire \blk00000003/sig000009f3 ; + wire \blk00000003/sig000009f2 ; + wire \blk00000003/sig000009f1 ; + wire \blk00000003/sig000009f0 ; + wire \blk00000003/sig000009ef ; + wire \blk00000003/sig000009ee ; + wire \blk00000003/sig000009ed ; + wire \blk00000003/sig000009ec ; + wire \blk00000003/sig000009eb ; + wire \blk00000003/sig000009ea ; + wire \blk00000003/sig000009e9 ; + wire \blk00000003/sig000009e8 ; + wire \blk00000003/sig000009e7 ; + wire \blk00000003/sig000009e6 ; + wire \blk00000003/sig000009e5 ; + wire \blk00000003/sig000009e4 ; + wire \blk00000003/sig000009e3 ; + wire \blk00000003/sig000009e2 ; + wire \blk00000003/sig000009e1 ; + wire \blk00000003/sig000009e0 ; + wire \blk00000003/sig000009df ; + wire \blk00000003/sig000009de ; + wire \blk00000003/sig000009dd ; + wire \blk00000003/sig000009dc ; + wire \blk00000003/sig000009db ; + wire \blk00000003/sig000009da ; + wire \blk00000003/sig000009d9 ; + wire \blk00000003/sig000009d8 ; + wire \blk00000003/sig000009d7 ; + wire \blk00000003/sig000009d6 ; + wire \blk00000003/sig000009d5 ; + wire \blk00000003/sig000009d4 ; + wire \blk00000003/sig000009d3 ; + wire \blk00000003/sig000009d2 ; + wire \blk00000003/sig000009d1 ; + wire \blk00000003/sig000009d0 ; + wire \blk00000003/sig000009cf ; + wire \blk00000003/sig000009ce ; + wire \blk00000003/sig000009cd ; + wire \blk00000003/sig000009cc ; + wire \blk00000003/sig000009cb ; + wire \blk00000003/sig000009ca ; + wire \blk00000003/sig000009c9 ; + wire \blk00000003/sig000009c8 ; + wire \blk00000003/sig000009c7 ; + wire \blk00000003/sig000009c6 ; + wire \blk00000003/sig000009c5 ; + wire \blk00000003/sig000009c4 ; + wire \blk00000003/sig000009c3 ; + wire \blk00000003/sig000009c2 ; + wire \blk00000003/sig000009c1 ; + wire \blk00000003/sig000009c0 ; + wire \blk00000003/sig000009bf ; + wire \blk00000003/sig000009be ; + wire \blk00000003/sig000009bd ; + wire \blk00000003/sig000009bc ; + wire \blk00000003/sig000009bb ; + wire \blk00000003/sig000009ba ; + wire \blk00000003/sig000009b9 ; + wire \blk00000003/sig000009b8 ; + wire \blk00000003/sig000009b7 ; + wire \blk00000003/sig000009b6 ; + wire \blk00000003/sig000009b5 ; + wire \blk00000003/sig000009b4 ; + wire \blk00000003/sig000009b3 ; + wire \blk00000003/sig000009b2 ; + wire \blk00000003/sig000009b1 ; + wire \blk00000003/sig000009b0 ; + wire \blk00000003/sig000009af ; + wire \blk00000003/sig000009ae ; + wire \blk00000003/sig000009ad ; + wire \blk00000003/sig000009ac ; + wire \blk00000003/sig000009ab ; + wire \blk00000003/sig000009aa ; + wire \blk00000003/sig000009a9 ; + wire \blk00000003/sig000009a8 ; + wire \blk00000003/sig000009a7 ; + wire \blk00000003/sig000009a6 ; + wire \blk00000003/sig000009a5 ; + wire \blk00000003/sig000009a4 ; + wire \blk00000003/sig000009a3 ; + wire \blk00000003/sig000009a2 ; + wire \blk00000003/sig000009a1 ; + wire \blk00000003/sig000009a0 ; + wire \blk00000003/sig0000099f ; + wire \blk00000003/sig0000099e ; + wire \blk00000003/sig0000099d ; + wire \blk00000003/sig0000099c ; + wire \blk00000003/sig0000099b ; + wire \blk00000003/sig0000099a ; + wire \blk00000003/sig00000999 ; + wire \blk00000003/sig00000998 ; + wire \blk00000003/sig00000997 ; + wire \blk00000003/sig00000996 ; + wire \blk00000003/sig00000995 ; + wire \blk00000003/sig00000994 ; + wire \blk00000003/sig00000993 ; + wire \blk00000003/sig00000992 ; + wire \blk00000003/sig00000991 ; + wire \blk00000003/sig00000990 ; + wire \blk00000003/sig0000098f ; + wire \blk00000003/sig0000098e ; + wire \blk00000003/sig0000098d ; + wire \blk00000003/sig0000098c ; + wire \blk00000003/sig0000098b ; + wire \blk00000003/sig0000098a ; + wire \blk00000003/sig00000989 ; + wire \blk00000003/sig00000988 ; + wire \blk00000003/sig00000987 ; + wire \blk00000003/sig00000986 ; + wire \blk00000003/sig00000985 ; + wire \blk00000003/sig00000984 ; + wire \blk00000003/sig00000983 ; + wire \blk00000003/sig00000982 ; + wire \blk00000003/sig00000981 ; + wire \blk00000003/sig00000980 ; + wire \blk00000003/sig0000097f ; + wire \blk00000003/sig0000097e ; + wire \blk00000003/sig0000097d ; + wire \blk00000003/sig0000097c ; + wire \blk00000003/sig0000097b ; + wire \blk00000003/sig0000097a ; + wire \blk00000003/sig00000979 ; + wire \blk00000003/sig00000978 ; + wire \blk00000003/sig00000977 ; + wire \blk00000003/sig00000976 ; + wire \blk00000003/sig00000975 ; + wire \blk00000003/sig00000974 ; + wire \blk00000003/sig00000973 ; + wire \blk00000003/sig00000972 ; + wire \blk00000003/sig00000971 ; + wire \blk00000003/sig00000970 ; + wire \blk00000003/sig0000096f ; + wire \blk00000003/sig0000096e ; + wire \blk00000003/sig0000096d ; + wire \blk00000003/sig0000096c ; + wire \blk00000003/sig0000096b ; + wire \blk00000003/sig0000096a ; + wire \blk00000003/sig00000969 ; + wire \blk00000003/sig00000968 ; + wire \blk00000003/sig00000967 ; + wire \blk00000003/sig00000966 ; + wire \blk00000003/sig00000965 ; + wire \blk00000003/sig00000964 ; + wire \blk00000003/sig00000963 ; + wire \blk00000003/sig00000962 ; + wire \blk00000003/sig00000961 ; + wire \blk00000003/sig00000960 ; + wire \blk00000003/sig0000095f ; + wire \blk00000003/sig0000095e ; + wire \blk00000003/sig0000095d ; + wire \blk00000003/sig0000095c ; + wire \blk00000003/sig0000095b ; + wire \blk00000003/sig0000095a ; + wire \blk00000003/sig00000959 ; + wire \blk00000003/sig00000958 ; + wire \blk00000003/sig00000957 ; + wire \blk00000003/sig00000956 ; + wire \blk00000003/sig00000955 ; + wire \blk00000003/sig00000954 ; + wire \blk00000003/sig00000953 ; + wire \blk00000003/sig00000952 ; + wire \blk00000003/sig00000951 ; + wire \blk00000003/sig00000950 ; + wire \blk00000003/sig0000094f ; + wire \blk00000003/sig0000094e ; + wire \blk00000003/sig0000094d ; + wire \blk00000003/sig0000094c ; + wire \blk00000003/sig0000094b ; + wire \blk00000003/sig0000094a ; + wire \blk00000003/sig00000949 ; + wire \blk00000003/sig00000948 ; + wire \blk00000003/sig00000947 ; + wire \blk00000003/sig00000946 ; + wire \blk00000003/sig00000945 ; + wire \blk00000003/sig00000944 ; + wire \blk00000003/sig00000943 ; + wire \blk00000003/sig00000942 ; + wire \blk00000003/sig00000941 ; + wire \blk00000003/sig00000940 ; + wire \blk00000003/sig0000093f ; + wire \blk00000003/sig0000093e ; + wire \blk00000003/sig0000093d ; + wire \blk00000003/sig0000093c ; + wire \blk00000003/sig0000093b ; + wire \blk00000003/sig0000093a ; + wire \blk00000003/sig00000939 ; + wire \blk00000003/sig00000938 ; + wire \blk00000003/sig00000937 ; + wire \blk00000003/sig00000936 ; + wire \blk00000003/sig00000935 ; + wire \blk00000003/sig00000934 ; + wire \blk00000003/sig00000933 ; + wire \blk00000003/sig00000932 ; + wire \blk00000003/sig00000931 ; + wire \blk00000003/sig00000930 ; + wire \blk00000003/sig0000092f ; + wire \blk00000003/sig0000092e ; + wire \blk00000003/sig0000092d ; + wire \blk00000003/sig0000092c ; + wire \blk00000003/sig0000092b ; + wire \blk00000003/sig0000092a ; + wire \blk00000003/sig00000929 ; + wire \blk00000003/sig00000928 ; + wire \blk00000003/sig00000927 ; + wire \blk00000003/sig00000926 ; + wire \blk00000003/sig00000925 ; + wire \blk00000003/sig00000924 ; + wire \blk00000003/sig00000923 ; + wire \blk00000003/sig00000922 ; + wire \blk00000003/sig00000921 ; + wire \blk00000003/sig00000920 ; + wire \blk00000003/sig0000091f ; + wire \blk00000003/sig0000091e ; + wire \blk00000003/sig0000091d ; + wire \blk00000003/sig0000091c ; + wire \blk00000003/sig0000091b ; + wire \blk00000003/sig0000091a ; + wire \blk00000003/sig00000919 ; + wire \blk00000003/sig00000918 ; + wire \blk00000003/sig00000917 ; + wire \blk00000003/sig00000916 ; + wire \blk00000003/sig00000915 ; + wire \blk00000003/sig00000914 ; + wire \blk00000003/sig00000913 ; + wire \blk00000003/sig00000912 ; + wire \blk00000003/sig00000911 ; + wire \blk00000003/sig00000910 ; + wire \blk00000003/sig0000090f ; + wire \blk00000003/sig0000090e ; + wire \blk00000003/sig0000090d ; + wire \blk00000003/sig0000090c ; + wire \blk00000003/sig0000090b ; + wire \blk00000003/sig0000090a ; + wire \blk00000003/sig00000909 ; + wire \blk00000003/sig00000908 ; + wire \blk00000003/sig00000907 ; + wire \blk00000003/sig00000906 ; + wire \blk00000003/sig00000905 ; + wire \blk00000003/sig00000904 ; + wire \blk00000003/sig00000903 ; + wire \blk00000003/sig00000902 ; + wire \blk00000003/sig00000901 ; + wire \blk00000003/sig00000900 ; + wire \blk00000003/sig000008ff ; + wire \blk00000003/sig000008fe ; + wire \blk00000003/sig000008fd ; + wire \blk00000003/sig000008fc ; + wire \blk00000003/sig000008fb ; + wire \blk00000003/sig000008fa ; + wire \blk00000003/sig000008f9 ; + wire \blk00000003/sig000008f8 ; + wire \blk00000003/sig000008f7 ; + wire \blk00000003/sig000008f6 ; + wire \blk00000003/sig000008f5 ; + wire \blk00000003/sig000008f4 ; + wire \blk00000003/sig000008f3 ; + wire \blk00000003/sig000008f2 ; + wire \blk00000003/sig000008f1 ; + wire \blk00000003/sig000008f0 ; + wire \blk00000003/sig000008ef ; + wire \blk00000003/sig000008ee ; + wire \blk00000003/sig000008ed ; + wire \blk00000003/sig000008ec ; + wire \blk00000003/sig000008eb ; + wire \blk00000003/sig000008ea ; + wire \blk00000003/sig000008e9 ; + wire \blk00000003/sig000008e8 ; + wire \blk00000003/sig000008e7 ; + wire \blk00000003/sig000008e6 ; + wire \blk00000003/sig000008e5 ; + wire \blk00000003/sig000008e4 ; + wire \blk00000003/sig000008e3 ; + wire \blk00000003/sig000008e2 ; + wire \blk00000003/sig000008e1 ; + wire \blk00000003/sig000008e0 ; + wire \blk00000003/sig000008df ; + wire \blk00000003/sig000008de ; + wire \blk00000003/sig000008dd ; + wire \blk00000003/sig000008dc ; + wire \blk00000003/sig000008db ; + wire \blk00000003/sig000008da ; + wire \blk00000003/sig000008d9 ; + wire \blk00000003/sig000008d8 ; + wire \blk00000003/sig000008d7 ; + wire \blk00000003/sig000008d6 ; + wire \blk00000003/sig000008d5 ; + wire \blk00000003/sig000008d4 ; + wire \blk00000003/sig000008d3 ; + wire \blk00000003/sig000008d2 ; + wire \blk00000003/sig000008d1 ; + wire \blk00000003/sig000008d0 ; + wire \blk00000003/sig000008cf ; + wire \blk00000003/sig000008ce ; + wire \blk00000003/sig000008cd ; + wire \blk00000003/sig000008cc ; + wire \blk00000003/sig000008cb ; + wire \blk00000003/sig000008ca ; + wire \blk00000003/sig000008c9 ; + wire \blk00000003/sig000008c8 ; + wire \blk00000003/sig000008c7 ; + wire \blk00000003/sig000008c6 ; + wire \blk00000003/sig000008c5 ; + wire \blk00000003/sig000008c4 ; + wire \blk00000003/sig000008c3 ; + wire \blk00000003/sig000008c2 ; + wire \blk00000003/sig000008c1 ; + wire \blk00000003/sig000008c0 ; + wire \blk00000003/sig000008bf ; + wire \blk00000003/sig000008be ; + wire \blk00000003/sig000008bd ; + wire \blk00000003/sig000008bc ; + wire \blk00000003/sig000008bb ; + wire \blk00000003/sig000008ba ; + wire \blk00000003/sig000008b9 ; + wire \blk00000003/sig000008b8 ; + wire \blk00000003/sig000008b7 ; + wire \blk00000003/sig000008b6 ; + wire \blk00000003/sig000008b5 ; + wire \blk00000003/sig000008b4 ; + wire \blk00000003/sig000008b3 ; + wire \blk00000003/sig000008b2 ; + wire \blk00000003/sig000008b1 ; + wire \blk00000003/sig000008b0 ; + wire \blk00000003/sig000008af ; + wire \blk00000003/sig000008ae ; + wire \blk00000003/sig000008ad ; + wire \blk00000003/sig000008ac ; + wire \blk00000003/sig000008ab ; + wire \blk00000003/sig000008aa ; + wire \blk00000003/sig000008a9 ; + wire \blk00000003/sig000008a8 ; + wire \blk00000003/sig000008a7 ; + wire \blk00000003/sig000008a6 ; + wire \blk00000003/sig000008a5 ; + wire \blk00000003/sig000008a4 ; + wire \blk00000003/sig000008a3 ; + wire \blk00000003/sig000008a2 ; + wire \blk00000003/sig000008a1 ; + wire \blk00000003/sig000008a0 ; + wire \blk00000003/sig0000089f ; + wire \blk00000003/sig0000089e ; + wire \blk00000003/sig0000089d ; + wire \blk00000003/sig0000089c ; + wire \blk00000003/sig0000089b ; + wire \blk00000003/sig0000089a ; + wire \blk00000003/sig00000899 ; + wire \blk00000003/sig00000898 ; + wire \blk00000003/sig00000897 ; + wire \blk00000003/sig00000896 ; + wire \blk00000003/sig00000895 ; + wire \blk00000003/sig00000894 ; + wire \blk00000003/sig00000893 ; + wire \blk00000003/sig00000892 ; + wire \blk00000003/sig00000891 ; + wire \blk00000003/sig00000890 ; + wire \blk00000003/sig0000088f ; + wire \blk00000003/sig0000088e ; + wire \blk00000003/sig0000088d ; + wire \blk00000003/sig0000088c ; + wire \blk00000003/sig0000088b ; + wire \blk00000003/sig0000088a ; + wire \blk00000003/sig00000889 ; + wire \blk00000003/sig00000888 ; + wire \blk00000003/sig00000887 ; + wire \blk00000003/sig00000886 ; + wire \blk00000003/sig00000885 ; + wire \blk00000003/sig00000884 ; + wire \blk00000003/sig00000883 ; + wire \blk00000003/sig00000882 ; + wire \blk00000003/sig00000881 ; + wire \blk00000003/sig00000880 ; + wire \blk00000003/sig0000087f ; + wire \blk00000003/sig0000087e ; + wire \blk00000003/sig0000087d ; + wire \blk00000003/sig0000087c ; + wire \blk00000003/sig0000087b ; + wire \blk00000003/sig0000087a ; + wire \blk00000003/sig00000879 ; + wire \blk00000003/sig00000878 ; + wire \blk00000003/sig00000877 ; + wire \blk00000003/sig00000876 ; + wire \blk00000003/sig00000875 ; + wire \blk00000003/sig00000874 ; + wire \blk00000003/sig00000873 ; + wire \blk00000003/sig00000872 ; + wire \blk00000003/sig00000871 ; + wire \blk00000003/sig00000870 ; + wire \blk00000003/sig0000086f ; + wire \blk00000003/sig0000086e ; + wire \blk00000003/sig0000086d ; + wire \blk00000003/sig0000086c ; + wire \blk00000003/sig0000086b ; + wire \blk00000003/sig0000086a ; + wire \blk00000003/sig00000869 ; + wire \blk00000003/sig00000868 ; + wire \blk00000003/sig00000867 ; + wire \blk00000003/sig00000866 ; + wire \blk00000003/sig00000865 ; + wire \blk00000003/sig00000864 ; + wire \blk00000003/sig00000863 ; + wire \blk00000003/sig00000862 ; + wire \blk00000003/sig00000861 ; + wire \blk00000003/sig00000860 ; + wire \blk00000003/sig0000085f ; + wire \blk00000003/sig0000085e ; + wire \blk00000003/sig0000085d ; + wire \blk00000003/sig0000085c ; + wire \blk00000003/sig0000085b ; + wire \blk00000003/sig0000085a ; + wire \blk00000003/sig00000859 ; + wire \blk00000003/sig00000858 ; + wire \blk00000003/sig00000857 ; + wire \blk00000003/sig00000856 ; + wire \blk00000003/sig00000855 ; + wire \blk00000003/sig00000854 ; + wire \blk00000003/sig00000853 ; + wire \blk00000003/sig00000852 ; + wire \blk00000003/sig00000851 ; + wire \blk00000003/sig00000850 ; + wire \blk00000003/sig0000084f ; + wire \blk00000003/sig0000084e ; + wire \blk00000003/sig0000084d ; + wire \blk00000003/sig0000084c ; + wire \blk00000003/sig0000084b ; + wire \blk00000003/sig0000084a ; + wire \blk00000003/sig00000849 ; + wire \blk00000003/sig00000848 ; + wire \blk00000003/sig00000847 ; + wire \blk00000003/sig00000846 ; + wire \blk00000003/sig00000845 ; + wire \blk00000003/sig00000844 ; + wire \blk00000003/sig00000843 ; + wire \blk00000003/sig00000842 ; + wire \blk00000003/sig00000841 ; + wire \blk00000003/sig00000840 ; + wire \blk00000003/sig0000083f ; + wire \blk00000003/sig0000083e ; + wire \blk00000003/sig0000083d ; + wire \blk00000003/sig0000083c ; + wire \blk00000003/sig0000083b ; + wire \blk00000003/sig0000083a ; + wire \blk00000003/sig00000839 ; + wire \blk00000003/sig00000838 ; + wire \blk00000003/sig00000837 ; + wire \blk00000003/sig00000836 ; + wire \blk00000003/sig00000835 ; + wire \blk00000003/sig00000834 ; + wire \blk00000003/sig00000833 ; + wire \blk00000003/sig00000832 ; + wire \blk00000003/sig00000831 ; + wire \blk00000003/sig00000830 ; + wire \blk00000003/sig0000082f ; + wire \blk00000003/sig0000082e ; + wire \blk00000003/sig0000082d ; + wire \blk00000003/sig0000082c ; + wire \blk00000003/sig0000082b ; + wire \blk00000003/sig0000082a ; + wire \blk00000003/sig00000829 ; + wire \blk00000003/sig00000828 ; + wire \blk00000003/sig00000827 ; + wire \blk00000003/sig00000826 ; + wire \blk00000003/sig00000825 ; + wire \blk00000003/sig00000824 ; + wire \blk00000003/sig00000823 ; + wire \blk00000003/sig00000822 ; + wire \blk00000003/sig00000821 ; + wire \blk00000003/sig00000820 ; + wire \blk00000003/sig0000081f ; + wire \blk00000003/sig0000081e ; + wire \blk00000003/sig0000081d ; + wire \blk00000003/sig0000081c ; + wire \blk00000003/sig0000081b ; + wire \blk00000003/sig0000081a ; + wire \blk00000003/sig00000819 ; + wire \blk00000003/sig00000818 ; + wire \blk00000003/sig00000817 ; + wire \blk00000003/sig00000816 ; + wire \blk00000003/sig00000815 ; + wire \blk00000003/sig00000814 ; + wire \blk00000003/sig00000813 ; + wire \blk00000003/sig00000812 ; + wire \blk00000003/sig00000811 ; + wire \blk00000003/sig00000810 ; + wire \blk00000003/sig0000080f ; + wire \blk00000003/sig0000080e ; + wire \blk00000003/sig0000080d ; + wire \blk00000003/sig0000080c ; + wire \blk00000003/sig0000080b ; + wire \blk00000003/sig0000080a ; + wire \blk00000003/sig00000809 ; + wire \blk00000003/sig00000808 ; + wire \blk00000003/sig00000807 ; + wire \blk00000003/sig00000806 ; + wire \blk00000003/sig00000805 ; + wire \blk00000003/sig00000804 ; + wire \blk00000003/sig00000803 ; + wire \blk00000003/sig00000802 ; + wire \blk00000003/sig00000801 ; + wire \blk00000003/sig00000800 ; + wire \blk00000003/sig000007ff ; + wire \blk00000003/sig000007fe ; + wire \blk00000003/sig000007fd ; + wire \blk00000003/sig000007fc ; + wire \blk00000003/sig000007fb ; + wire \blk00000003/sig000007fa ; + wire \blk00000003/sig000007f9 ; + wire \blk00000003/sig000007f8 ; + wire \blk00000003/sig000007f7 ; + wire \blk00000003/sig000007f6 ; + wire \blk00000003/sig000007f5 ; + wire \blk00000003/sig000007f4 ; + wire \blk00000003/sig000007f3 ; + wire \blk00000003/sig000007f2 ; + wire \blk00000003/sig000007f1 ; + wire \blk00000003/sig000007f0 ; + wire \blk00000003/sig000007ef ; + wire \blk00000003/sig000007ee ; + wire \blk00000003/sig000007ed ; + wire \blk00000003/sig000007ec ; + wire \blk00000003/sig000007eb ; + wire \blk00000003/sig000007ea ; + wire \blk00000003/sig000007e9 ; + wire \blk00000003/sig000007e8 ; + wire \blk00000003/sig000007e7 ; + wire \blk00000003/sig000007e6 ; + wire \blk00000003/sig000007e5 ; + wire \blk00000003/sig000007e4 ; + wire \blk00000003/sig000007e3 ; + wire \blk00000003/sig000007e2 ; + wire \blk00000003/sig000007e1 ; + wire \blk00000003/sig000007e0 ; + wire \blk00000003/sig000007df ; + wire \blk00000003/sig000007de ; + wire \blk00000003/sig000007dd ; + wire \blk00000003/sig000007dc ; + wire \blk00000003/sig000007db ; + wire \blk00000003/sig000007da ; + wire \blk00000003/sig000007d9 ; + wire \blk00000003/sig000007d8 ; + wire \blk00000003/sig000007d7 ; + wire \blk00000003/sig000007d6 ; + wire \blk00000003/sig000007d5 ; + wire \blk00000003/sig000007d4 ; + wire \blk00000003/sig000007d3 ; + wire \blk00000003/sig000007d2 ; + wire \blk00000003/sig000007d1 ; + wire \blk00000003/sig000007d0 ; + wire \blk00000003/sig000007cf ; + wire \blk00000003/sig000007ce ; + wire \blk00000003/sig000007cd ; + wire \blk00000003/sig000007cc ; + wire \blk00000003/sig000007cb ; + wire \blk00000003/sig000007ca ; + wire \blk00000003/sig000007c9 ; + wire \blk00000003/sig000007c8 ; + wire \blk00000003/sig000007c7 ; + wire \blk00000003/sig000007c6 ; + wire \blk00000003/sig000007c5 ; + wire \blk00000003/sig000007c4 ; + wire \blk00000003/sig000007c3 ; + wire \blk00000003/sig000007c2 ; + wire \blk00000003/sig000007c1 ; + wire \blk00000003/sig000007c0 ; + wire \blk00000003/sig000007bf ; + wire \blk00000003/sig000007be ; + wire \blk00000003/sig000007bd ; + wire \blk00000003/sig000007bc ; + wire \blk00000003/sig000007bb ; + wire \blk00000003/sig000007ba ; + wire \blk00000003/sig000007b9 ; + wire \blk00000003/sig000007b8 ; + wire \blk00000003/sig000007b7 ; + wire \blk00000003/sig000007b6 ; + wire \blk00000003/sig000007b5 ; + wire \blk00000003/sig000007b4 ; + wire \blk00000003/sig000007b3 ; + wire \blk00000003/sig000007b2 ; + wire \blk00000003/sig000007b1 ; + wire \blk00000003/sig000007b0 ; + wire \blk00000003/sig000007af ; + wire \blk00000003/sig000007ae ; + wire \blk00000003/sig000007ad ; + wire \blk00000003/sig000007ac ; + wire \blk00000003/sig000007ab ; + wire \blk00000003/sig000007aa ; + wire \blk00000003/sig000007a9 ; + wire \blk00000003/sig000007a8 ; + wire \blk00000003/sig000007a7 ; + wire \blk00000003/sig000007a6 ; + wire \blk00000003/sig000007a5 ; + wire \blk00000003/sig000007a4 ; + wire \blk00000003/sig000007a3 ; + wire \blk00000003/sig000007a2 ; + wire \blk00000003/sig000007a1 ; + wire \blk00000003/sig000007a0 ; + wire \blk00000003/sig0000079f ; + wire \blk00000003/sig0000079e ; + wire \blk00000003/sig0000079d ; + wire \blk00000003/sig0000079c ; + wire \blk00000003/sig0000079b ; + wire \blk00000003/sig0000079a ; + wire \blk00000003/sig00000799 ; + wire \blk00000003/sig00000798 ; + wire \blk00000003/sig00000797 ; + wire \blk00000003/sig00000796 ; + wire \blk00000003/sig00000795 ; + wire \blk00000003/sig00000794 ; + wire \blk00000003/sig00000793 ; + wire \blk00000003/sig00000792 ; + wire \blk00000003/sig00000791 ; + wire \blk00000003/sig00000790 ; + wire \blk00000003/sig0000078f ; + wire \blk00000003/sig0000078e ; + wire \blk00000003/sig0000078d ; + wire \blk00000003/sig0000078c ; + wire \blk00000003/sig0000078b ; + wire \blk00000003/sig0000078a ; + wire \blk00000003/sig00000789 ; + wire \blk00000003/sig00000788 ; + wire \blk00000003/sig00000787 ; + wire \blk00000003/sig00000786 ; + wire \blk00000003/sig00000785 ; + wire \blk00000003/sig00000784 ; + wire \blk00000003/sig00000783 ; + wire \blk00000003/sig00000782 ; + wire \blk00000003/sig00000781 ; + wire \blk00000003/sig00000780 ; + wire \blk00000003/sig0000077f ; + wire \blk00000003/sig0000077e ; + wire \blk00000003/sig0000077d ; + wire \blk00000003/sig0000077c ; + wire \blk00000003/sig0000077b ; + wire \blk00000003/sig0000077a ; + wire \blk00000003/sig00000779 ; + wire \blk00000003/sig00000778 ; + wire \blk00000003/sig00000777 ; + wire \blk00000003/sig00000776 ; + wire \blk00000003/sig00000775 ; + wire \blk00000003/sig00000774 ; + wire \blk00000003/sig00000773 ; + wire \blk00000003/sig00000772 ; + wire \blk00000003/sig00000771 ; + wire \blk00000003/sig00000770 ; + wire \blk00000003/sig0000076f ; + wire \blk00000003/sig0000076e ; + wire \blk00000003/sig0000076d ; + wire \blk00000003/sig0000076c ; + wire \blk00000003/sig0000076b ; + wire \blk00000003/sig0000076a ; + wire \blk00000003/sig00000769 ; + wire \blk00000003/sig00000768 ; + wire \blk00000003/sig00000767 ; + wire \blk00000003/sig00000766 ; + wire \blk00000003/sig00000765 ; + wire \blk00000003/sig00000764 ; + wire \blk00000003/sig00000763 ; + wire \blk00000003/sig00000762 ; + wire \blk00000003/sig00000761 ; + wire \blk00000003/sig00000760 ; + wire \blk00000003/sig0000075f ; + wire \blk00000003/sig0000075e ; + wire \blk00000003/sig0000075d ; + wire \blk00000003/sig0000075c ; + wire \blk00000003/sig0000075b ; + wire \blk00000003/sig0000075a ; + wire \blk00000003/sig00000759 ; + wire \blk00000003/sig00000758 ; + wire \blk00000003/sig00000757 ; + wire \blk00000003/sig00000756 ; + wire \blk00000003/sig00000755 ; + wire \blk00000003/sig00000754 ; + wire \blk00000003/sig00000753 ; + wire \blk00000003/sig00000752 ; + wire \blk00000003/sig00000751 ; + wire \blk00000003/sig00000750 ; + wire \blk00000003/sig0000074f ; + wire \blk00000003/sig0000074e ; + wire \blk00000003/sig0000074d ; + wire \blk00000003/sig0000074c ; + wire \blk00000003/sig0000074b ; + wire \blk00000003/sig0000074a ; + wire \blk00000003/sig00000749 ; + wire \blk00000003/sig00000748 ; + wire \blk00000003/sig00000747 ; + wire \blk00000003/sig00000746 ; + wire \blk00000003/sig00000745 ; + wire \blk00000003/sig00000744 ; + wire \blk00000003/sig00000743 ; + wire \blk00000003/sig00000742 ; + wire \blk00000003/sig00000741 ; + wire \blk00000003/sig00000740 ; + wire \blk00000003/sig0000073f ; + wire \blk00000003/sig0000073e ; + wire \blk00000003/sig0000073d ; + wire \blk00000003/sig0000073c ; + wire \blk00000003/sig0000073b ; + wire \blk00000003/sig0000073a ; + wire \blk00000003/sig00000739 ; + wire \blk00000003/sig00000738 ; + wire \blk00000003/sig00000737 ; + wire \blk00000003/sig00000736 ; + wire \blk00000003/sig00000735 ; + wire \blk00000003/sig00000734 ; + wire \blk00000003/sig00000733 ; + wire \blk00000003/sig00000732 ; + wire \blk00000003/sig00000731 ; + wire \blk00000003/sig00000730 ; + wire \blk00000003/sig0000072f ; + wire \blk00000003/sig0000072e ; + wire \blk00000003/sig0000072d ; + wire \blk00000003/sig0000072c ; + wire \blk00000003/sig0000072b ; + wire \blk00000003/sig0000072a ; + wire \blk00000003/sig00000729 ; + wire \blk00000003/sig00000728 ; + wire \blk00000003/sig00000727 ; + wire \blk00000003/sig00000726 ; + wire \blk00000003/sig00000725 ; + wire \blk00000003/sig00000724 ; + wire \blk00000003/sig00000723 ; + wire \blk00000003/sig00000722 ; + wire \blk00000003/sig00000721 ; + wire \blk00000003/sig00000720 ; + wire \blk00000003/sig0000071f ; + wire \blk00000003/sig0000071e ; + wire \blk00000003/sig0000071d ; + wire \blk00000003/sig0000071c ; + wire \blk00000003/sig0000071b ; + wire \blk00000003/sig0000071a ; + wire \blk00000003/sig00000719 ; + wire \blk00000003/sig00000718 ; + wire \blk00000003/sig00000717 ; + wire \blk00000003/sig00000716 ; + wire \blk00000003/sig00000715 ; + wire \blk00000003/sig00000714 ; + wire \blk00000003/sig00000713 ; + wire \blk00000003/sig00000712 ; + wire \blk00000003/sig00000711 ; + wire \blk00000003/sig00000710 ; + wire \blk00000003/sig0000070f ; + wire \blk00000003/sig0000070e ; + wire \blk00000003/sig0000070d ; + wire \blk00000003/sig0000070c ; + wire \blk00000003/sig0000070b ; + wire \blk00000003/sig0000070a ; + wire \blk00000003/sig00000709 ; + wire \blk00000003/sig00000708 ; + wire \blk00000003/sig00000707 ; + wire \blk00000003/sig00000706 ; + wire \blk00000003/sig00000705 ; + wire \blk00000003/sig00000704 ; + wire \blk00000003/sig00000703 ; + wire \blk00000003/sig00000702 ; + wire \blk00000003/sig00000701 ; + wire \blk00000003/sig00000700 ; + wire \blk00000003/sig000006ff ; + wire \blk00000003/sig000006fe ; + wire \blk00000003/sig000006fd ; + wire \blk00000003/sig000006fc ; + wire \blk00000003/sig000006fb ; + wire \blk00000003/sig000006fa ; + wire \blk00000003/sig000006f9 ; + wire \blk00000003/sig000006f8 ; + wire \blk00000003/sig000006f7 ; + wire \blk00000003/sig000006f6 ; + wire \blk00000003/sig000006f5 ; + wire \blk00000003/sig000006f4 ; + wire \blk00000003/sig000006f3 ; + wire \blk00000003/sig000006f2 ; + wire \blk00000003/sig000006f1 ; + wire \blk00000003/sig000006f0 ; + wire \blk00000003/sig000006ef ; + wire \blk00000003/sig000006ee ; + wire \blk00000003/sig000006ed ; + wire \blk00000003/sig000006ec ; + wire \blk00000003/sig000006eb ; + wire \blk00000003/sig000006ea ; + wire \blk00000003/sig000006e9 ; + wire \blk00000003/sig000006e8 ; + wire \blk00000003/sig000006e7 ; + wire \blk00000003/sig000006e6 ; + wire \blk00000003/sig000006e5 ; + wire \blk00000003/sig000006e4 ; + wire \blk00000003/sig000006e3 ; + wire \blk00000003/sig000006e2 ; + wire \blk00000003/sig000006e1 ; + wire \blk00000003/sig000006e0 ; + wire \blk00000003/sig000006df ; + wire \blk00000003/sig000006de ; + wire \blk00000003/sig000006dd ; + wire \blk00000003/sig000006dc ; + wire \blk00000003/sig000006db ; + wire \blk00000003/sig000006da ; + wire \blk00000003/sig000006d9 ; + wire \blk00000003/sig000006d8 ; + wire \blk00000003/sig000006d7 ; + wire \blk00000003/sig000006d6 ; + wire \blk00000003/sig000006d5 ; + wire \blk00000003/sig000006d4 ; + wire \blk00000003/sig000006d3 ; + wire \blk00000003/sig000006d2 ; + wire \blk00000003/sig000006d1 ; + wire \blk00000003/sig000006d0 ; + wire \blk00000003/sig000006cf ; + wire \blk00000003/sig000006ce ; + wire \blk00000003/sig000006cd ; + wire \blk00000003/sig000006cc ; + wire \blk00000003/sig000006cb ; + wire \blk00000003/sig000006ca ; + wire \blk00000003/sig000006c9 ; + wire \blk00000003/sig000006c8 ; + wire \blk00000003/sig000006c7 ; + wire \blk00000003/sig000006c6 ; + wire \blk00000003/sig000006c5 ; + wire \blk00000003/sig000006c4 ; + wire \blk00000003/sig000006c3 ; + wire \blk00000003/sig000006c2 ; + wire \blk00000003/sig000006c1 ; + wire \blk00000003/sig000006c0 ; + wire \blk00000003/sig000006bf ; + wire \blk00000003/sig000006be ; + wire \blk00000003/sig000006bd ; + wire \blk00000003/sig000006bc ; + wire \blk00000003/sig000006bb ; + wire \blk00000003/sig000006ba ; + wire \blk00000003/sig000006b9 ; + wire \blk00000003/sig000006b8 ; + wire \blk00000003/sig000006b7 ; + wire \blk00000003/sig000006b6 ; + wire \blk00000003/sig000006b5 ; + wire \blk00000003/sig000006b4 ; + wire \blk00000003/sig000006b3 ; + wire \blk00000003/sig000006b2 ; + wire \blk00000003/sig000006b1 ; + wire \blk00000003/sig000006b0 ; + wire \blk00000003/sig000006af ; + wire \blk00000003/sig000006ae ; + wire \blk00000003/sig000006ad ; + wire \blk00000003/sig000006ac ; + wire \blk00000003/sig000006ab ; + wire \blk00000003/sig000006aa ; + wire \blk00000003/sig000006a9 ; + wire \blk00000003/sig000006a8 ; + wire \blk00000003/sig000006a7 ; + wire \blk00000003/sig000006a6 ; + wire \blk00000003/sig000006a5 ; + wire \blk00000003/sig000006a4 ; + wire \blk00000003/sig000006a3 ; + wire \blk00000003/sig000006a2 ; + wire \blk00000003/sig000006a1 ; + wire \blk00000003/sig000006a0 ; + wire \blk00000003/sig0000069f ; + wire \blk00000003/sig0000069e ; + wire \blk00000003/sig0000069d ; + wire \blk00000003/sig0000069c ; + wire \blk00000003/sig0000069b ; + wire \blk00000003/sig0000069a ; + wire \blk00000003/sig00000699 ; + wire \blk00000003/sig00000698 ; + wire \blk00000003/sig00000697 ; + wire \blk00000003/sig00000696 ; + wire \blk00000003/sig00000695 ; + wire \blk00000003/sig00000694 ; + wire \blk00000003/sig00000693 ; + wire \blk00000003/sig00000692 ; + wire \blk00000003/sig00000691 ; + wire \blk00000003/sig00000690 ; + wire \blk00000003/sig0000068f ; + wire \blk00000003/sig0000068e ; + wire \blk00000003/sig0000068d ; + wire \blk00000003/sig0000068c ; + wire \blk00000003/sig0000068b ; + wire \blk00000003/sig0000068a ; + wire \blk00000003/sig00000689 ; + wire \blk00000003/sig00000688 ; + wire \blk00000003/sig00000687 ; + wire \blk00000003/sig00000686 ; + wire \blk00000003/sig00000685 ; + wire \blk00000003/sig00000684 ; + wire \blk00000003/sig00000683 ; + wire \blk00000003/sig00000682 ; + wire \blk00000003/sig00000681 ; + wire \blk00000003/sig00000680 ; + wire \blk00000003/sig0000067f ; + wire \blk00000003/sig0000067e ; + wire \blk00000003/sig0000067d ; + wire \blk00000003/sig0000067c ; + wire \blk00000003/sig0000067b ; + wire \blk00000003/sig0000067a ; + wire \blk00000003/sig00000679 ; + wire \blk00000003/sig00000678 ; + wire \blk00000003/sig00000677 ; + wire \blk00000003/sig00000676 ; + wire \blk00000003/sig00000675 ; + wire \blk00000003/sig00000674 ; + wire \blk00000003/sig00000673 ; + wire \blk00000003/sig00000672 ; + wire \blk00000003/sig00000671 ; + wire \blk00000003/sig00000670 ; + wire \blk00000003/sig0000066f ; + wire \blk00000003/sig0000066e ; + wire \blk00000003/sig0000066d ; + wire \blk00000003/sig0000066c ; + wire \blk00000003/sig0000066b ; + wire \blk00000003/sig0000066a ; + wire \blk00000003/sig00000669 ; + wire \blk00000003/sig00000668 ; + wire \blk00000003/sig00000667 ; + wire \blk00000003/sig00000666 ; + wire \blk00000003/sig00000665 ; + wire \blk00000003/sig00000664 ; + wire \blk00000003/sig00000663 ; + wire \blk00000003/sig00000662 ; + wire \blk00000003/sig00000661 ; + wire \blk00000003/sig00000660 ; + wire \blk00000003/sig0000065f ; + wire \blk00000003/sig0000065e ; + wire \blk00000003/sig0000065d ; + wire \blk00000003/sig0000065c ; + wire \blk00000003/sig0000065b ; + wire \blk00000003/sig0000065a ; + wire \blk00000003/sig00000659 ; + wire \blk00000003/sig00000658 ; + wire \blk00000003/sig00000657 ; + wire \blk00000003/sig00000656 ; + wire \blk00000003/sig00000655 ; + wire \blk00000003/sig00000654 ; + wire \blk00000003/sig00000653 ; + wire \blk00000003/sig00000652 ; + wire \blk00000003/sig00000651 ; + wire \blk00000003/sig00000650 ; + wire \blk00000003/sig0000064f ; + wire \blk00000003/sig0000064e ; + wire \blk00000003/sig0000064d ; + wire \blk00000003/sig0000064c ; + wire \blk00000003/sig0000064b ; + wire \blk00000003/sig0000064a ; + wire \blk00000003/sig00000649 ; + wire \blk00000003/sig00000648 ; + wire \blk00000003/sig00000647 ; + wire \blk00000003/sig00000646 ; + wire \blk00000003/sig00000645 ; + wire \blk00000003/sig00000644 ; + wire \blk00000003/sig00000643 ; + wire \blk00000003/sig00000642 ; + wire \blk00000003/sig00000641 ; + wire \blk00000003/sig00000640 ; + wire \blk00000003/sig0000063f ; + wire \blk00000003/sig0000063e ; + wire \blk00000003/sig0000063d ; + wire \blk00000003/sig0000063c ; + wire \blk00000003/sig0000063b ; + wire \blk00000003/sig0000063a ; + wire \blk00000003/sig00000639 ; + wire \blk00000003/sig00000638 ; + wire \blk00000003/sig00000637 ; + wire \blk00000003/sig00000636 ; + wire \blk00000003/sig00000635 ; + wire \blk00000003/sig00000634 ; + wire \blk00000003/sig00000633 ; + wire \blk00000003/sig00000632 ; + wire \blk00000003/sig00000631 ; + wire \blk00000003/sig00000630 ; + wire \blk00000003/sig0000062f ; + wire \blk00000003/sig0000062e ; + wire \blk00000003/sig0000062d ; + wire \blk00000003/sig0000062c ; + wire \blk00000003/sig0000062b ; + wire \blk00000003/sig0000062a ; + wire \blk00000003/sig00000629 ; + wire \blk00000003/sig00000628 ; + wire \blk00000003/sig00000627 ; + wire \blk00000003/sig00000626 ; + wire \blk00000003/sig00000625 ; + wire \blk00000003/sig00000624 ; + wire \blk00000003/sig00000623 ; + wire \blk00000003/sig00000622 ; + wire \blk00000003/sig00000621 ; + wire \blk00000003/sig00000620 ; + wire \blk00000003/sig0000061f ; + wire \blk00000003/sig0000061e ; + wire \blk00000003/sig0000061d ; + wire \blk00000003/sig0000061c ; + wire \blk00000003/sig0000061b ; + wire \blk00000003/sig0000061a ; + wire \blk00000003/sig00000619 ; + wire \blk00000003/sig00000618 ; + wire \blk00000003/sig00000617 ; + wire \blk00000003/sig00000616 ; + wire \blk00000003/sig00000615 ; + wire \blk00000003/sig00000614 ; + wire \blk00000003/sig00000613 ; + wire \blk00000003/sig00000612 ; + wire \blk00000003/sig00000611 ; + wire \blk00000003/sig00000610 ; + wire \blk00000003/sig0000060f ; + wire \blk00000003/sig0000060e ; + wire \blk00000003/sig0000060d ; + wire \blk00000003/sig0000060c ; + wire \blk00000003/sig0000060b ; + wire \blk00000003/sig0000060a ; + wire \blk00000003/sig00000609 ; + wire \blk00000003/sig00000608 ; + wire \blk00000003/sig00000607 ; + wire \blk00000003/sig00000606 ; + wire \blk00000003/sig00000605 ; + wire \blk00000003/sig00000604 ; + wire \blk00000003/sig00000603 ; + wire \blk00000003/sig00000602 ; + wire \blk00000003/sig00000601 ; + wire \blk00000003/sig00000600 ; + wire \blk00000003/sig000005ff ; + wire \blk00000003/sig000005fe ; + wire \blk00000003/sig000005fd ; + wire \blk00000003/sig000005fc ; + wire \blk00000003/sig000005fb ; + wire \blk00000003/sig000005fa ; + wire \blk00000003/sig000005f9 ; + wire \blk00000003/sig000005f8 ; + wire \blk00000003/sig000005f7 ; + wire \blk00000003/sig000005f6 ; + wire \blk00000003/sig000005f5 ; + wire \blk00000003/sig000005f4 ; + wire \blk00000003/sig000005f3 ; + wire \blk00000003/sig000005f2 ; + wire \blk00000003/sig000005f1 ; + wire \blk00000003/sig000005f0 ; + wire \blk00000003/sig000005ef ; + wire \blk00000003/sig000005ee ; + wire \blk00000003/sig000005ed ; + wire \blk00000003/sig000005ec ; + wire \blk00000003/sig000005eb ; + wire \blk00000003/sig000005ea ; + wire \blk00000003/sig000005e9 ; + wire \blk00000003/sig000005e8 ; + wire \blk00000003/sig000005e7 ; + wire \blk00000003/sig000005e6 ; + wire \blk00000003/sig000005e5 ; + wire \blk00000003/sig000005e4 ; + wire \blk00000003/sig000005e3 ; + wire \blk00000003/sig000005e2 ; + wire \blk00000003/sig000005e1 ; + wire \blk00000003/sig000005e0 ; + wire \blk00000003/sig000005df ; + wire \blk00000003/sig000005de ; + wire \blk00000003/sig000005dd ; + wire \blk00000003/sig000005dc ; + wire \blk00000003/sig000005db ; + wire \blk00000003/sig000005da ; + wire \blk00000003/sig000005d9 ; + wire \blk00000003/sig000005d8 ; + wire \blk00000003/sig000005d7 ; + wire \blk00000003/sig000005d6 ; + wire \blk00000003/sig000005d5 ; + wire \blk00000003/sig000005d4 ; + wire \blk00000003/sig000005d3 ; + wire \blk00000003/sig000005d2 ; + wire \blk00000003/sig000005d1 ; + wire \blk00000003/sig000005d0 ; + wire \blk00000003/sig000005cf ; + wire \blk00000003/sig000005ce ; + wire \blk00000003/sig000005cd ; + wire \blk00000003/sig000005cc ; + wire \blk00000003/sig000005cb ; + wire \blk00000003/sig000005ca ; + wire \blk00000003/sig000005c9 ; + wire \blk00000003/sig000005c8 ; + wire \blk00000003/sig000005c7 ; + wire \blk00000003/sig000005c6 ; + wire \blk00000003/sig000005c5 ; + wire \blk00000003/sig000005c4 ; + wire \blk00000003/sig000005c3 ; + wire \blk00000003/sig000005c2 ; + wire \blk00000003/sig000005c1 ; + wire \blk00000003/sig000005c0 ; + wire \blk00000003/sig000005bf ; + wire \blk00000003/sig000005be ; + wire \blk00000003/sig000005bd ; + wire \blk00000003/sig000005bc ; + wire \blk00000003/sig000005bb ; + wire \blk00000003/sig000005ba ; + wire \blk00000003/sig000005b9 ; + wire \blk00000003/sig000005b8 ; + wire \blk00000003/sig000005b7 ; + wire \blk00000003/sig000005b6 ; + wire \blk00000003/sig000005b5 ; + wire \blk00000003/sig000005b4 ; + wire \blk00000003/sig000005b3 ; + wire \blk00000003/sig000005b2 ; + wire \blk00000003/sig000005b1 ; + wire \blk00000003/sig000005b0 ; + wire \blk00000003/sig000005af ; + wire \blk00000003/sig000005ae ; + wire \blk00000003/sig000005ad ; + wire \blk00000003/sig000005ac ; + wire \blk00000003/sig000005ab ; + wire \blk00000003/sig000005aa ; + wire \blk00000003/sig000005a9 ; + wire \blk00000003/sig000005a8 ; + wire \blk00000003/sig000005a7 ; + wire \blk00000003/sig000005a6 ; + wire \blk00000003/sig000005a5 ; + wire \blk00000003/sig000005a4 ; + wire \blk00000003/sig000005a3 ; + wire \blk00000003/sig000005a2 ; + wire \blk00000003/sig000005a1 ; + wire \blk00000003/sig000005a0 ; + wire \blk00000003/sig0000059f ; + wire \blk00000003/sig0000059e ; + wire \blk00000003/sig0000059d ; + wire \blk00000003/sig0000059c ; + wire \blk00000003/sig0000059b ; + wire \blk00000003/sig0000059a ; + wire \blk00000003/sig00000599 ; + wire \blk00000003/sig00000598 ; + wire \blk00000003/sig00000597 ; + wire \blk00000003/sig00000596 ; + wire \blk00000003/sig00000595 ; + wire \blk00000003/sig00000594 ; + wire \blk00000003/sig00000593 ; + wire \blk00000003/sig00000592 ; + wire \blk00000003/sig00000591 ; + wire \blk00000003/sig00000590 ; + wire \blk00000003/sig0000058f ; + wire \blk00000003/sig0000058e ; + wire \blk00000003/sig0000058d ; + wire \blk00000003/sig0000058c ; + wire \blk00000003/sig0000058b ; + wire \blk00000003/sig0000058a ; + wire \blk00000003/sig00000589 ; + wire \blk00000003/sig00000588 ; + wire \blk00000003/sig00000587 ; + wire \blk00000003/sig00000586 ; + wire \blk00000003/sig00000585 ; + wire \blk00000003/sig00000584 ; + wire \blk00000003/sig00000583 ; + wire \blk00000003/sig00000582 ; + wire \blk00000003/sig00000581 ; + wire \blk00000003/sig00000580 ; + wire \blk00000003/sig0000057f ; + wire \blk00000003/sig0000057e ; + wire \blk00000003/sig0000057d ; + wire \blk00000003/sig0000057c ; + wire \blk00000003/sig0000057b ; + wire \blk00000003/sig0000057a ; + wire \blk00000003/sig00000579 ; + wire \blk00000003/sig00000578 ; + wire \blk00000003/sig00000577 ; + wire \blk00000003/sig00000576 ; + wire \blk00000003/sig00000575 ; + wire \blk00000003/sig00000574 ; + wire \blk00000003/sig00000573 ; + wire \blk00000003/sig00000572 ; + wire \blk00000003/sig00000571 ; + wire \blk00000003/sig00000570 ; + wire \blk00000003/sig0000056f ; + wire \blk00000003/sig0000056e ; + wire \blk00000003/sig0000056d ; + wire \blk00000003/sig0000056c ; + wire \blk00000003/sig0000056b ; + wire \blk00000003/sig0000056a ; + wire \blk00000003/sig00000569 ; + wire \blk00000003/sig00000568 ; + wire \blk00000003/sig00000567 ; + wire \blk00000003/sig00000566 ; + wire \blk00000003/sig00000565 ; + wire \blk00000003/sig00000564 ; + wire \blk00000003/sig00000563 ; + wire \blk00000003/sig00000562 ; + wire \blk00000003/sig00000561 ; + wire \blk00000003/sig00000560 ; + wire \blk00000003/sig0000055f ; + wire \blk00000003/sig0000055e ; + wire \blk00000003/sig0000055d ; + wire \blk00000003/sig0000055c ; + wire \blk00000003/sig0000055b ; + wire \blk00000003/sig0000055a ; + wire \blk00000003/sig00000559 ; + wire \blk00000003/sig00000558 ; + wire \blk00000003/sig00000557 ; + wire \blk00000003/sig00000556 ; + wire \blk00000003/sig00000555 ; + wire \blk00000003/sig00000554 ; + wire \blk00000003/sig00000553 ; + wire \blk00000003/sig00000552 ; + wire \blk00000003/sig00000551 ; + wire \blk00000003/sig00000550 ; + wire \blk00000003/sig0000054f ; + wire \blk00000003/sig0000054e ; + wire \blk00000003/sig0000054d ; + wire \blk00000003/sig0000054c ; + wire \blk00000003/sig0000054b ; + wire \blk00000003/sig0000054a ; + wire \blk00000003/sig00000549 ; + wire \blk00000003/sig00000548 ; + wire \blk00000003/sig00000547 ; + wire \blk00000003/sig00000546 ; + wire \blk00000003/sig00000545 ; + wire \blk00000003/sig00000544 ; + wire \blk00000003/sig00000543 ; + wire \blk00000003/sig00000542 ; + wire \blk00000003/sig00000541 ; + wire \blk00000003/sig00000540 ; + wire \blk00000003/sig0000053f ; + wire \blk00000003/sig0000053e ; + wire \blk00000003/sig0000053d ; + wire \blk00000003/sig0000053c ; + wire \blk00000003/sig0000053b ; + wire \blk00000003/sig0000053a ; + wire \blk00000003/sig00000539 ; + wire \blk00000003/sig00000538 ; + wire \blk00000003/sig00000537 ; + wire \blk00000003/sig00000536 ; + wire \blk00000003/sig00000535 ; + wire \blk00000003/sig00000534 ; + wire \blk00000003/sig00000533 ; + wire \blk00000003/sig00000532 ; + wire \blk00000003/sig00000531 ; + wire \blk00000003/sig00000530 ; + wire \blk00000003/sig0000052f ; + wire \blk00000003/sig0000052e ; + wire \blk00000003/sig0000052d ; + wire \blk00000003/sig0000052c ; + wire \blk00000003/sig0000052b ; + wire \blk00000003/sig0000052a ; + wire \blk00000003/sig00000529 ; + wire \blk00000003/sig00000528 ; + wire \blk00000003/sig00000527 ; + wire \blk00000003/sig00000526 ; + wire \blk00000003/sig00000525 ; + wire \blk00000003/sig00000524 ; + wire \blk00000003/sig00000523 ; + wire \blk00000003/sig00000522 ; + wire \blk00000003/sig00000521 ; + wire \blk00000003/sig00000520 ; + wire \blk00000003/sig0000051f ; + wire \blk00000003/sig0000051e ; + wire \blk00000003/sig0000051d ; + wire \blk00000003/sig0000051c ; + wire \blk00000003/sig0000051b ; + wire \blk00000003/sig0000051a ; + wire \blk00000003/sig00000519 ; + wire \blk00000003/sig00000518 ; + wire \blk00000003/sig00000517 ; + wire \blk00000003/sig00000516 ; + wire \blk00000003/sig00000515 ; + wire \blk00000003/sig00000514 ; + wire \blk00000003/sig00000513 ; + wire \blk00000003/sig00000512 ; + wire \blk00000003/sig00000511 ; + wire \blk00000003/sig00000510 ; + wire \blk00000003/sig0000050f ; + wire \blk00000003/sig0000050e ; + wire \blk00000003/sig0000050d ; + wire \blk00000003/sig0000050c ; + wire \blk00000003/sig0000050b ; + wire \blk00000003/sig0000050a ; + wire \blk00000003/sig00000509 ; + wire \blk00000003/sig00000508 ; + wire \blk00000003/sig00000507 ; + wire \blk00000003/sig00000506 ; + wire \blk00000003/sig00000505 ; + wire \blk00000003/sig00000504 ; + wire \blk00000003/sig00000503 ; + wire \blk00000003/sig00000502 ; + wire \blk00000003/sig00000501 ; + wire \blk00000003/sig00000500 ; + wire \blk00000003/sig000004ff ; + wire \blk00000003/sig000004fe ; + wire \blk00000003/sig000004fd ; + wire \blk00000003/sig000004fc ; + wire \blk00000003/sig000004fb ; + wire \blk00000003/sig000004fa ; + wire \blk00000003/sig000004f9 ; + wire \blk00000003/sig000004f8 ; + wire \blk00000003/sig000004f7 ; + wire \blk00000003/sig000004f6 ; + wire \blk00000003/sig000004f5 ; + wire \blk00000003/sig000004f4 ; + wire \blk00000003/sig000004f3 ; + wire \blk00000003/sig000004f2 ; + wire \blk00000003/sig000004f1 ; + wire \blk00000003/sig000004f0 ; + wire \blk00000003/sig000004ef ; + wire \blk00000003/sig000004ee ; + wire \blk00000003/sig000004ed ; + wire \blk00000003/sig000004ec ; + wire \blk00000003/sig000004eb ; + wire \blk00000003/sig000004ea ; + wire \blk00000003/sig000004e9 ; + wire \blk00000003/sig000004e8 ; + wire \blk00000003/sig000004e7 ; + wire \blk00000003/sig000004e6 ; + wire \blk00000003/sig000004e5 ; + wire \blk00000003/sig000004e4 ; + wire \blk00000003/sig000004e3 ; + wire \blk00000003/sig000004e2 ; + wire \blk00000003/sig000004e1 ; + wire \blk00000003/sig000004e0 ; + wire \blk00000003/sig000004df ; + wire \blk00000003/sig000004de ; + wire \blk00000003/sig000004dd ; + wire \blk00000003/sig000004dc ; + wire \blk00000003/sig000004db ; + wire \blk00000003/sig000004da ; + wire \blk00000003/sig000004d9 ; + wire \blk00000003/sig000004d8 ; + wire \blk00000003/sig000004d7 ; + wire \blk00000003/sig000004d6 ; + wire \blk00000003/sig000004d5 ; + wire \blk00000003/sig000004d4 ; + wire \blk00000003/sig000004d3 ; + wire \blk00000003/sig000004d2 ; + wire \blk00000003/sig000004d1 ; + wire \blk00000003/sig000004d0 ; + wire \blk00000003/sig000004cf ; + wire \blk00000003/sig000004ce ; + wire \blk00000003/sig000004cd ; + wire \blk00000003/sig000004cc ; + wire \blk00000003/sig000004cb ; + wire \blk00000003/sig000004ca ; + wire \blk00000003/sig000004c9 ; + wire \blk00000003/sig000004c8 ; + wire \blk00000003/sig000004c7 ; + wire \blk00000003/sig000004c6 ; + wire \blk00000003/sig000004c5 ; + wire \blk00000003/sig000004c4 ; + wire \blk00000003/sig000004c3 ; + wire \blk00000003/sig000004c2 ; + wire \blk00000003/sig000004c1 ; + wire \blk00000003/sig000004c0 ; + wire \blk00000003/sig000004bf ; + wire \blk00000003/sig000004be ; + wire \blk00000003/sig000004bd ; + wire \blk00000003/sig000004bc ; + wire \blk00000003/sig000004bb ; + wire \blk00000003/sig000004ba ; + wire \blk00000003/sig000004b9 ; + wire \blk00000003/sig000004b8 ; + wire \blk00000003/sig000004b7 ; + wire \blk00000003/sig000004b6 ; + wire \blk00000003/sig000004b5 ; + wire \blk00000003/sig000004b4 ; + wire \blk00000003/sig000004b3 ; + wire \blk00000003/sig000004b2 ; + wire \blk00000003/sig000004b1 ; + wire \blk00000003/sig000004b0 ; + wire \blk00000003/sig000004af ; + wire \blk00000003/sig000004ae ; + wire \blk00000003/sig000004ad ; + wire \blk00000003/sig000004ac ; + wire \blk00000003/sig000004ab ; + wire \blk00000003/sig000004aa ; + wire \blk00000003/sig000004a9 ; + wire \blk00000003/sig000004a8 ; + wire \blk00000003/sig000004a7 ; + wire \blk00000003/sig000004a6 ; + wire \blk00000003/sig000004a5 ; + wire \blk00000003/sig000004a4 ; + wire \blk00000003/sig000004a3 ; + wire \blk00000003/sig000004a2 ; + wire \blk00000003/sig000004a1 ; + wire \blk00000003/sig000004a0 ; + wire \blk00000003/sig0000049f ; + wire \blk00000003/sig0000049e ; + wire \blk00000003/sig0000049d ; + wire \blk00000003/sig0000049c ; + wire \blk00000003/sig0000049b ; + wire \blk00000003/sig0000049a ; + wire \blk00000003/sig00000499 ; + wire \blk00000003/sig00000498 ; + wire \blk00000003/sig00000497 ; + wire \blk00000003/sig00000496 ; + wire \blk00000003/sig00000495 ; + wire \blk00000003/sig00000494 ; + wire \blk00000003/sig00000493 ; + wire \blk00000003/sig00000492 ; + wire \blk00000003/sig00000491 ; + wire \blk00000003/sig00000490 ; + wire \blk00000003/sig0000048f ; + wire \blk00000003/sig0000048e ; + wire \blk00000003/sig0000048d ; + wire \blk00000003/sig0000048c ; + wire \blk00000003/sig0000048b ; + wire \blk00000003/sig0000048a ; + wire \blk00000003/sig00000489 ; + wire \blk00000003/sig00000488 ; + wire \blk00000003/sig00000487 ; + wire \blk00000003/sig00000486 ; + wire \blk00000003/sig00000485 ; + wire \blk00000003/sig00000484 ; + wire \blk00000003/sig00000483 ; + wire \blk00000003/sig00000482 ; + wire \blk00000003/sig00000481 ; + wire \blk00000003/sig00000480 ; + wire \blk00000003/sig0000047f ; + wire \blk00000003/sig0000047e ; + wire \blk00000003/sig0000047d ; + wire \blk00000003/sig0000047c ; + wire \blk00000003/sig0000047b ; + wire \blk00000003/sig0000047a ; + wire \blk00000003/sig00000479 ; + wire \blk00000003/sig00000478 ; + wire \blk00000003/sig00000477 ; + wire \blk00000003/sig00000476 ; + wire \blk00000003/sig00000475 ; + wire \blk00000003/sig00000474 ; + wire \blk00000003/sig00000473 ; + wire \blk00000003/sig00000472 ; + wire \blk00000003/sig00000471 ; + wire \blk00000003/sig00000470 ; + wire \blk00000003/sig0000046f ; + wire \blk00000003/sig0000046e ; + wire \blk00000003/sig0000046d ; + wire \blk00000003/sig0000046c ; + wire \blk00000003/sig0000046b ; + wire \blk00000003/sig0000046a ; + wire \blk00000003/sig00000469 ; + wire \blk00000003/sig00000468 ; + wire \blk00000003/sig00000467 ; + wire \blk00000003/sig00000466 ; + wire \blk00000003/sig00000465 ; + wire \blk00000003/sig00000464 ; + wire \blk00000003/sig00000463 ; + wire \blk00000003/sig00000462 ; + wire \blk00000003/sig00000461 ; + wire \blk00000003/sig00000460 ; + wire \blk00000003/sig0000045f ; + wire \blk00000003/sig0000045e ; + wire \blk00000003/sig0000045d ; + wire \blk00000003/sig0000045c ; + wire \blk00000003/sig0000045b ; + wire \blk00000003/sig0000045a ; + wire \blk00000003/sig00000459 ; + wire \blk00000003/sig00000458 ; + wire \blk00000003/sig00000457 ; + wire \blk00000003/sig00000456 ; + wire \blk00000003/sig00000455 ; + wire \blk00000003/sig00000454 ; + wire \blk00000003/sig00000453 ; + wire \blk00000003/sig00000452 ; + wire \blk00000003/sig00000451 ; + wire \blk00000003/sig00000450 ; + wire \blk00000003/sig0000044f ; + wire \blk00000003/sig0000044e ; + wire \blk00000003/sig0000044d ; + wire \blk00000003/sig0000044c ; + wire \blk00000003/sig0000044b ; + wire \blk00000003/sig0000044a ; + wire \blk00000003/sig00000449 ; + wire \blk00000003/sig00000448 ; + wire \blk00000003/sig00000447 ; + wire \blk00000003/sig00000446 ; + wire \blk00000003/sig00000445 ; + wire \blk00000003/sig00000444 ; + wire \blk00000003/sig00000443 ; + wire \blk00000003/sig00000442 ; + wire \blk00000003/sig00000441 ; + wire \blk00000003/sig00000440 ; + wire \blk00000003/sig0000043f ; + wire \blk00000003/sig0000043e ; + wire \blk00000003/sig0000043d ; + wire \blk00000003/sig0000043c ; + wire \blk00000003/sig0000043b ; + wire \blk00000003/sig0000043a ; + wire \blk00000003/sig00000439 ; + wire \blk00000003/sig00000438 ; + wire \blk00000003/sig00000437 ; + wire \blk00000003/sig00000436 ; + wire \blk00000003/sig00000435 ; + wire \blk00000003/sig00000434 ; + wire \blk00000003/sig00000433 ; + wire \blk00000003/sig00000432 ; + wire \blk00000003/sig00000431 ; + wire \blk00000003/sig00000430 ; + wire \blk00000003/sig0000042f ; + wire \blk00000003/sig0000042e ; + wire \blk00000003/sig0000042d ; + wire \blk00000003/sig0000042c ; + wire \blk00000003/sig0000042b ; + wire \blk00000003/sig0000042a ; + wire \blk00000003/sig00000429 ; + wire \blk00000003/sig00000428 ; + wire \blk00000003/sig00000427 ; + wire \blk00000003/sig00000426 ; + wire \blk00000003/sig00000425 ; + wire \blk00000003/sig00000424 ; + wire \blk00000003/sig00000423 ; + wire \blk00000003/sig00000422 ; + wire \blk00000003/sig00000421 ; + wire \blk00000003/sig00000420 ; + wire \blk00000003/sig0000041f ; + wire \blk00000003/sig0000041e ; + wire \blk00000003/sig0000041d ; + wire \blk00000003/sig0000041c ; + wire \blk00000003/sig0000041b ; + wire \blk00000003/sig0000041a ; + wire \blk00000003/sig00000419 ; + wire \blk00000003/sig00000418 ; + wire \blk00000003/sig00000417 ; + wire \blk00000003/sig00000416 ; + wire \blk00000003/sig00000415 ; + wire \blk00000003/sig00000414 ; + wire \blk00000003/sig00000413 ; + wire \blk00000003/sig00000412 ; + wire \blk00000003/sig00000411 ; + wire \blk00000003/sig00000410 ; + wire \blk00000003/sig0000040f ; + wire \blk00000003/sig0000040e ; + wire \blk00000003/sig0000040d ; + wire \blk00000003/sig0000040c ; + wire \blk00000003/sig0000040b ; + wire \blk00000003/sig0000040a ; + wire \blk00000003/sig00000409 ; + wire \blk00000003/sig00000408 ; + wire \blk00000003/sig00000407 ; + wire \blk00000003/sig00000406 ; + wire \blk00000003/sig00000405 ; + wire \blk00000003/sig00000404 ; + wire \blk00000003/sig00000403 ; + wire \blk00000003/sig00000402 ; + wire \blk00000003/sig00000401 ; + wire \blk00000003/sig00000400 ; + wire \blk00000003/sig000003ff ; + wire \blk00000003/sig000003fe ; + wire \blk00000003/sig000003fd ; + wire \blk00000003/sig000003fc ; + wire \blk00000003/sig000003fb ; + wire \blk00000003/sig000003fa ; + wire \blk00000003/sig000003f9 ; + wire \blk00000003/sig000003f8 ; + wire \blk00000003/sig000003f7 ; + wire \blk00000003/sig000003f6 ; + wire \blk00000003/sig000003f5 ; + wire \blk00000003/sig000003f4 ; + wire \blk00000003/sig000003f3 ; + wire \blk00000003/sig000003f2 ; + wire \blk00000003/sig000003f1 ; + wire \blk00000003/sig000003f0 ; + wire \blk00000003/sig000003ef ; + wire \blk00000003/sig000003ee ; + wire \blk00000003/sig000003ed ; + wire \blk00000003/sig000003ec ; + wire \blk00000003/sig000003eb ; + wire \blk00000003/sig000003ea ; + wire \blk00000003/sig000003e9 ; + wire \blk00000003/sig000003e8 ; + wire \blk00000003/sig000003e7 ; + wire \blk00000003/sig000003e6 ; + wire \blk00000003/sig000003e5 ; + wire \blk00000003/sig000003e4 ; + wire \blk00000003/sig000003e3 ; + wire \blk00000003/sig000003e2 ; + wire \blk00000003/sig000003e1 ; + wire \blk00000003/sig000003e0 ; + wire \blk00000003/sig000003df ; + wire \blk00000003/sig000003de ; + wire \blk00000003/sig000003dd ; + wire \blk00000003/sig000003dc ; + wire \blk00000003/sig000003db ; + wire \blk00000003/sig000003da ; + wire \blk00000003/sig000003d9 ; + wire \blk00000003/sig000003d8 ; + wire \blk00000003/sig000003d7 ; + wire \blk00000003/sig000003d6 ; + wire \blk00000003/sig000003d5 ; + wire \blk00000003/sig000003d4 ; + wire \blk00000003/sig000003d3 ; + wire \blk00000003/sig000003d2 ; + wire \blk00000003/sig000003d1 ; + wire \blk00000003/sig000003d0 ; + wire \blk00000003/sig000003cf ; + wire \blk00000003/sig000003ce ; + wire \blk00000003/sig000003cd ; + wire \blk00000003/sig000003cc ; + wire \blk00000003/sig000003cb ; + wire \blk00000003/sig000003ca ; + wire \blk00000003/sig000003c9 ; + wire \blk00000003/sig000003c8 ; + wire \blk00000003/sig000003c7 ; + wire \blk00000003/sig000003c6 ; + wire \blk00000003/sig000003c5 ; + wire \blk00000003/sig000003c4 ; + wire \blk00000003/sig000003c3 ; + wire \blk00000003/sig000003c2 ; + wire \blk00000003/sig000003c1 ; + wire \blk00000003/sig000003c0 ; + wire \blk00000003/sig000003bf ; + wire \blk00000003/sig000003be ; + wire \blk00000003/sig000003bd ; + wire \blk00000003/sig000003bc ; + wire \blk00000003/sig000003bb ; + wire \blk00000003/sig000003ba ; + wire \blk00000003/sig000003b9 ; + wire \blk00000003/sig000003b8 ; + wire \blk00000003/sig000003b7 ; + wire \blk00000003/sig000003b6 ; + wire \blk00000003/sig000003b5 ; + wire \blk00000003/sig000003b4 ; + wire \blk00000003/sig000003b3 ; + wire \blk00000003/sig000003b2 ; + wire \blk00000003/sig000003b1 ; + wire \blk00000003/sig000003b0 ; + wire \blk00000003/sig000003af ; + wire \blk00000003/sig000003ae ; + wire \blk00000003/sig000003ad ; + wire \blk00000003/sig000003ac ; + wire \blk00000003/sig000003ab ; + wire \blk00000003/sig000003aa ; + wire \blk00000003/sig000003a9 ; + wire \blk00000003/sig000003a8 ; + wire \blk00000003/sig000003a7 ; + wire \blk00000003/sig000003a6 ; + wire \blk00000003/sig000003a5 ; + wire \blk00000003/sig000003a4 ; + wire \blk00000003/sig000003a3 ; + wire \blk00000003/sig000003a2 ; + wire \blk00000003/sig000003a1 ; + wire \blk00000003/sig000003a0 ; + wire \blk00000003/sig0000039f ; + wire \blk00000003/sig0000039e ; + wire \blk00000003/sig0000039d ; + wire \blk00000003/sig0000039c ; + wire \blk00000003/sig0000039b ; + wire \blk00000003/sig0000039a ; + wire \blk00000003/sig00000399 ; + wire \blk00000003/sig00000398 ; + wire \blk00000003/sig00000397 ; + wire \blk00000003/sig00000396 ; + wire \blk00000003/sig00000395 ; + wire \blk00000003/sig00000394 ; + wire \blk00000003/sig00000393 ; + wire \blk00000003/sig00000392 ; + wire \blk00000003/sig00000391 ; + wire \blk00000003/sig00000390 ; + wire \blk00000003/sig0000038f ; + wire \blk00000003/sig0000038e ; + wire \blk00000003/sig0000038d ; + wire \blk00000003/sig0000038c ; + wire \blk00000003/sig0000038b ; + wire \blk00000003/sig0000038a ; + wire \blk00000003/sig00000389 ; + wire \blk00000003/sig00000388 ; + wire \blk00000003/sig00000387 ; + wire \blk00000003/sig00000386 ; + wire \blk00000003/sig00000385 ; + wire \blk00000003/sig00000384 ; + wire \blk00000003/sig00000383 ; + wire \blk00000003/sig00000382 ; + wire \blk00000003/sig00000381 ; + wire \blk00000003/sig00000380 ; + wire \blk00000003/sig0000037f ; + wire \blk00000003/sig0000037e ; + wire \blk00000003/sig0000037d ; + wire \blk00000003/sig0000037c ; + wire \blk00000003/sig0000037b ; + wire \blk00000003/sig0000037a ; + wire \blk00000003/sig00000379 ; + wire \blk00000003/sig00000378 ; + wire \blk00000003/sig00000377 ; + wire \blk00000003/sig00000376 ; + wire \blk00000003/sig00000375 ; + wire \blk00000003/sig00000374 ; + wire \blk00000003/sig00000373 ; + wire \blk00000003/sig00000372 ; + wire \blk00000003/sig00000371 ; + wire \blk00000003/sig00000370 ; + wire \blk00000003/sig0000036f ; + wire \blk00000003/sig0000036e ; + wire \blk00000003/sig0000036d ; + wire \blk00000003/sig0000036c ; + wire \blk00000003/sig0000036b ; + wire \blk00000003/sig0000036a ; + wire \blk00000003/sig00000369 ; + wire \blk00000003/sig00000368 ; + wire \blk00000003/sig00000367 ; + wire \blk00000003/sig00000366 ; + wire \blk00000003/sig00000365 ; + wire \blk00000003/sig00000364 ; + wire \blk00000003/sig00000363 ; + wire \blk00000003/sig00000362 ; + wire \blk00000003/sig00000361 ; + wire \blk00000003/sig00000360 ; + wire \blk00000003/sig0000035f ; + wire \blk00000003/sig0000035e ; + wire \blk00000003/sig0000035d ; + wire \blk00000003/sig0000035c ; + wire \blk00000003/sig0000035b ; + wire \blk00000003/sig0000035a ; + wire \blk00000003/sig00000359 ; + wire \blk00000003/sig00000358 ; + wire \blk00000003/sig00000357 ; + wire \blk00000003/sig00000356 ; + wire \blk00000003/sig00000355 ; + wire \blk00000003/sig00000354 ; + wire \blk00000003/sig00000353 ; + wire \blk00000003/sig00000352 ; + wire \blk00000003/sig00000351 ; + wire \blk00000003/sig00000350 ; + wire \blk00000003/sig0000034f ; + wire \blk00000003/sig0000034e ; + wire \blk00000003/sig0000034d ; + wire \blk00000003/sig0000034c ; + wire \blk00000003/sig0000034b ; + wire \blk00000003/sig0000034a ; + wire \blk00000003/sig00000349 ; + wire \blk00000003/sig00000348 ; + wire \blk00000003/sig00000347 ; + wire \blk00000003/sig00000346 ; + wire \blk00000003/sig00000345 ; + wire \blk00000003/sig00000344 ; + wire \blk00000003/sig00000343 ; + wire \blk00000003/sig00000342 ; + wire \blk00000003/sig00000341 ; + wire \blk00000003/sig00000340 ; + wire \blk00000003/sig0000033f ; + wire \blk00000003/sig0000033e ; + wire \blk00000003/sig0000033d ; + wire \blk00000003/sig0000033c ; + wire \blk00000003/sig0000033b ; + wire \blk00000003/sig0000033a ; + wire \blk00000003/sig00000339 ; + wire \blk00000003/sig00000338 ; + wire \blk00000003/sig00000337 ; + wire \blk00000003/sig00000336 ; + wire \blk00000003/sig00000335 ; + wire \blk00000003/sig00000334 ; + wire \blk00000003/sig00000333 ; + wire \blk00000003/sig00000332 ; + wire \blk00000003/sig00000331 ; + wire \blk00000003/sig00000330 ; + wire \blk00000003/sig0000032f ; + wire \blk00000003/sig0000032e ; + wire \blk00000003/sig0000032d ; + wire \blk00000003/sig0000032c ; + wire \blk00000003/sig0000032b ; + wire \blk00000003/sig0000032a ; + wire \blk00000003/sig00000329 ; + wire \blk00000003/sig00000328 ; + wire \blk00000003/sig00000327 ; + wire \blk00000003/sig00000326 ; + wire \blk00000003/sig00000325 ; + wire \blk00000003/sig00000324 ; + wire \blk00000003/sig00000323 ; + wire \blk00000003/sig00000322 ; + wire \blk00000003/sig00000321 ; + wire \blk00000003/sig00000320 ; + wire \blk00000003/sig0000031f ; + wire \blk00000003/sig0000031e ; + wire \blk00000003/sig0000031d ; + wire \blk00000003/sig0000031c ; + wire \blk00000003/sig0000031b ; + wire \blk00000003/sig0000031a ; + wire \blk00000003/sig00000319 ; + wire \blk00000003/sig00000318 ; + wire \blk00000003/sig00000317 ; + wire \blk00000003/sig00000316 ; + wire \blk00000003/sig00000315 ; + wire \blk00000003/sig00000314 ; + wire \blk00000003/sig00000313 ; + wire \blk00000003/sig00000312 ; + wire \blk00000003/sig00000311 ; + wire \blk00000003/sig00000310 ; + wire \blk00000003/sig0000030f ; + wire \blk00000003/sig0000030e ; + wire \blk00000003/sig0000030d ; + wire \blk00000003/sig0000030c ; + wire \blk00000003/sig0000030b ; + wire \blk00000003/sig0000030a ; + wire \blk00000003/sig00000309 ; + wire \blk00000003/sig00000308 ; + wire \blk00000003/sig00000307 ; + wire \blk00000003/sig00000306 ; + wire \blk00000003/sig00000305 ; + wire \blk00000003/sig00000304 ; + wire \blk00000003/sig00000303 ; + wire \blk00000003/sig00000302 ; + wire \blk00000003/sig00000301 ; + wire \blk00000003/sig00000300 ; + wire \blk00000003/sig000002ff ; + wire \blk00000003/sig000002fe ; + wire \blk00000003/sig000002fd ; + wire \blk00000003/sig000002fc ; + wire \blk00000003/sig000002fb ; + wire \blk00000003/sig000002fa ; + wire \blk00000003/sig000002f9 ; + wire \blk00000003/sig000002f8 ; + wire \blk00000003/sig000002f7 ; + wire \blk00000003/sig000002f6 ; + wire \blk00000003/sig000002f5 ; + wire \blk00000003/sig000002f4 ; + wire \blk00000003/sig000002f3 ; + wire \blk00000003/sig000002f2 ; + wire \blk00000003/sig000002f1 ; + wire \blk00000003/sig000002f0 ; + wire \blk00000003/sig000002ef ; + wire \blk00000003/sig000002ee ; + wire \blk00000003/sig000002ed ; + wire \blk00000003/sig000002ec ; + wire \blk00000003/sig000002eb ; + wire \blk00000003/sig000002ea ; + wire \blk00000003/sig000002e9 ; + wire \blk00000003/sig000002e8 ; + wire \blk00000003/sig000002e7 ; + wire \blk00000003/sig000002e6 ; + wire \blk00000003/sig000002e5 ; + wire \blk00000003/sig000002e4 ; + wire \blk00000003/sig000002e3 ; + wire \blk00000003/sig000002e2 ; + wire \blk00000003/sig000002e1 ; + wire \blk00000003/sig000002e0 ; + wire \blk00000003/sig000002df ; + wire \blk00000003/sig000002de ; + wire \blk00000003/sig000002dd ; + wire \blk00000003/sig000002dc ; + wire \blk00000003/sig000002db ; + wire \blk00000003/sig000002da ; + wire \blk00000003/sig000002d9 ; + wire \blk00000003/sig000002d8 ; + wire \blk00000003/sig000002d7 ; + wire \blk00000003/sig000002d6 ; + wire \blk00000003/sig000002d5 ; + wire \blk00000003/sig000002d4 ; + wire \blk00000003/sig000002d3 ; + wire \blk00000003/sig000002d2 ; + wire \blk00000003/sig000002d1 ; + wire \blk00000003/sig000002d0 ; + wire \blk00000003/sig000002cf ; + wire \blk00000003/sig000002ce ; + wire \blk00000003/sig000002cd ; + wire \blk00000003/sig000002cc ; + wire \blk00000003/sig000002cb ; + wire \blk00000003/sig000002ca ; + wire \blk00000003/sig000002c9 ; + wire \blk00000003/sig000002c8 ; + wire \blk00000003/sig000002c7 ; + wire \blk00000003/sig000002c6 ; + wire \blk00000003/sig000002c5 ; + wire \blk00000003/sig000002c4 ; + wire \blk00000003/sig000002c3 ; + wire \blk00000003/sig000002c2 ; + wire \blk00000003/sig000002c1 ; + wire \blk00000003/sig000002c0 ; + wire \blk00000003/sig000002bf ; + wire \blk00000003/sig000002be ; + wire \blk00000003/sig000002bd ; + wire \blk00000003/sig000002bc ; + wire \blk00000003/sig000002bb ; + wire \blk00000003/sig000002ba ; + wire \blk00000003/sig000002b9 ; + wire \blk00000003/sig000002b8 ; + wire \blk00000003/sig000002b7 ; + wire \blk00000003/sig000002b6 ; + wire \blk00000003/sig000002b5 ; + wire \blk00000003/sig000002b4 ; + wire \blk00000003/sig000002b3 ; + wire \blk00000003/sig000002b2 ; + wire \blk00000003/sig000002b1 ; + wire \blk00000003/sig000002b0 ; + wire \blk00000003/sig000002af ; + wire \blk00000003/sig000002ae ; + wire \blk00000003/sig000002ad ; + wire \blk00000003/sig000002ac ; + wire \blk00000003/sig000002ab ; + wire \blk00000003/sig000002aa ; + wire \blk00000003/sig000002a9 ; + wire \blk00000003/sig000002a8 ; + wire \blk00000003/sig000002a7 ; + wire \blk00000003/sig000002a6 ; + wire \blk00000003/sig000002a5 ; + wire \blk00000003/sig000002a4 ; + wire \blk00000003/sig000002a3 ; + wire \blk00000003/sig000002a2 ; + wire \blk00000003/sig000002a1 ; + wire \blk00000003/sig000002a0 ; + wire \blk00000003/sig0000029f ; + wire \blk00000003/sig0000029e ; + wire \blk00000003/sig0000029d ; + wire \blk00000003/sig0000029c ; + wire \blk00000003/sig0000029b ; + wire \blk00000003/sig0000029a ; + wire \blk00000003/sig00000299 ; + wire \blk00000003/sig00000298 ; + wire \blk00000003/sig00000297 ; + wire \blk00000003/sig00000296 ; + wire \blk00000003/sig00000295 ; + wire \blk00000003/sig00000294 ; + wire \blk00000003/sig00000293 ; + wire \blk00000003/sig00000292 ; + wire \blk00000003/sig00000291 ; + wire \blk00000003/sig00000290 ; + wire \blk00000003/sig0000028f ; + wire \blk00000003/sig0000028e ; + wire \blk00000003/sig0000028d ; + wire \blk00000003/sig0000028c ; + wire \blk00000003/sig0000028b ; + wire \blk00000003/sig0000028a ; + wire \blk00000003/sig00000289 ; + wire \blk00000003/sig00000288 ; + wire \blk00000003/sig00000287 ; + wire \blk00000003/sig00000286 ; + wire \blk00000003/sig00000285 ; + wire \blk00000003/sig00000284 ; + wire \blk00000003/sig00000283 ; + wire \blk00000003/sig00000282 ; + wire \blk00000003/sig00000281 ; + wire \blk00000003/sig00000280 ; + wire \blk00000003/sig0000027f ; + wire \blk00000003/sig0000027e ; + wire \blk00000003/sig0000027d ; + wire \blk00000003/sig0000027c ; + wire \blk00000003/sig0000027b ; + wire \blk00000003/sig0000027a ; + wire \blk00000003/sig00000279 ; + wire \blk00000003/sig00000278 ; + wire \blk00000003/sig00000277 ; + wire \blk00000003/sig00000276 ; + wire \blk00000003/sig00000275 ; + wire \blk00000003/sig00000274 ; + wire \blk00000003/sig00000273 ; + wire \blk00000003/sig00000272 ; + wire \blk00000003/sig00000271 ; + wire \blk00000003/sig00000270 ; + wire \blk00000003/sig0000026f ; + wire \blk00000003/sig0000026e ; + wire \blk00000003/sig0000026d ; + wire \blk00000003/sig0000026c ; + wire \blk00000003/sig0000026b ; + wire \blk00000003/sig0000026a ; + wire \blk00000003/sig00000269 ; + wire \blk00000003/sig00000268 ; + wire \blk00000003/sig00000267 ; + wire \blk00000003/sig00000266 ; + wire \blk00000003/sig00000265 ; + wire \blk00000003/sig00000264 ; + wire \blk00000003/sig00000263 ; + wire \blk00000003/sig00000262 ; + wire \blk00000003/sig00000261 ; + wire \blk00000003/sig00000260 ; + wire \blk00000003/sig0000025f ; + wire \blk00000003/sig0000025e ; + wire \blk00000003/sig0000025d ; + wire \blk00000003/sig0000025c ; + wire \blk00000003/sig0000025b ; + wire \blk00000003/sig0000025a ; + wire \blk00000003/sig00000259 ; + wire \blk00000003/sig00000258 ; + wire \blk00000003/sig00000257 ; + wire \blk00000003/sig00000256 ; + wire \blk00000003/sig00000255 ; + wire \blk00000003/sig00000254 ; + wire \blk00000003/sig00000253 ; + wire \blk00000003/sig00000252 ; + wire \blk00000003/sig00000251 ; + wire \blk00000003/sig00000250 ; + wire \blk00000003/sig0000024f ; + wire \blk00000003/sig0000024e ; + wire \blk00000003/sig0000024d ; + wire \blk00000003/sig0000024c ; + wire \blk00000003/sig0000024b ; + wire \blk00000003/sig0000024a ; + wire \blk00000003/sig00000249 ; + wire \blk00000003/sig00000248 ; + wire \blk00000003/sig00000247 ; + wire \blk00000003/sig00000246 ; + wire \blk00000003/sig00000245 ; + wire \blk00000003/sig00000244 ; + wire \blk00000003/sig00000243 ; + wire \blk00000003/sig00000242 ; + wire \blk00000003/sig00000241 ; + wire \blk00000003/sig00000240 ; + wire \blk00000003/sig0000023f ; + wire \blk00000003/sig0000023e ; + wire \blk00000003/sig0000023d ; + wire \blk00000003/sig0000023c ; + wire \blk00000003/sig0000023b ; + wire \blk00000003/sig0000023a ; + wire \blk00000003/sig00000239 ; + wire \blk00000003/sig00000238 ; + wire \blk00000003/sig00000237 ; + wire \blk00000003/sig00000236 ; + wire \blk00000003/sig00000235 ; + wire \blk00000003/sig00000234 ; + wire \blk00000003/sig00000233 ; + wire \blk00000003/sig00000232 ; + wire \blk00000003/sig00000231 ; + wire \blk00000003/sig00000230 ; + wire \blk00000003/sig0000022f ; + wire \blk00000003/sig0000022e ; + wire \blk00000003/sig0000022d ; + wire \blk00000003/sig0000022c ; + wire \blk00000003/sig0000022b ; + wire \blk00000003/sig0000022a ; + wire \blk00000003/sig00000229 ; + wire \blk00000003/sig00000228 ; + wire \blk00000003/sig00000227 ; + wire \blk00000003/sig00000226 ; + wire \blk00000003/sig00000225 ; + wire \blk00000003/sig00000224 ; + wire \blk00000003/sig00000223 ; + wire \blk00000003/sig00000222 ; + wire \blk00000003/sig00000221 ; + wire \blk00000003/sig00000220 ; + wire \blk00000003/sig0000021f ; + wire \blk00000003/sig0000021e ; + wire \blk00000003/sig0000021d ; + wire \blk00000003/sig0000021c ; + wire \blk00000003/sig0000021b ; + wire \blk00000003/sig0000021a ; + wire \blk00000003/sig00000219 ; + wire \blk00000003/sig00000218 ; + wire \blk00000003/sig00000217 ; + wire \blk00000003/sig00000216 ; + wire \blk00000003/sig00000215 ; + wire \blk00000003/sig00000214 ; + wire \blk00000003/sig00000213 ; + wire \blk00000003/sig00000212 ; + wire \blk00000003/sig00000211 ; + wire \blk00000003/sig00000210 ; + wire \blk00000003/sig0000020f ; + wire \blk00000003/sig0000020e ; + wire \blk00000003/sig0000020d ; + wire \blk00000003/sig0000020c ; + wire \blk00000003/sig0000020b ; + wire \blk00000003/sig0000020a ; + wire \blk00000003/sig00000209 ; + wire \blk00000003/sig00000208 ; + wire \blk00000003/sig00000207 ; + wire \blk00000003/sig00000206 ; + wire \blk00000003/sig00000205 ; + wire \blk00000003/sig00000204 ; + wire \blk00000003/sig00000203 ; + wire \blk00000003/sig00000202 ; + wire \blk00000003/sig00000201 ; + wire \blk00000003/sig00000200 ; + wire \blk00000003/sig000001ff ; + wire \blk00000003/sig000001fe ; + wire \blk00000003/sig000001fd ; + wire \blk00000003/sig000001fc ; + wire \blk00000003/sig000001fb ; + wire \blk00000003/sig000001fa ; + wire \blk00000003/sig000001f9 ; + wire \blk00000003/sig000001f8 ; + wire \blk00000003/sig000001f7 ; + wire \blk00000003/sig000001f6 ; + wire \blk00000003/sig000001f5 ; + wire \blk00000003/sig000001f4 ; + wire \blk00000003/sig000001f3 ; + wire \blk00000003/sig000001f2 ; + wire \blk00000003/sig000001f1 ; + wire \blk00000003/sig000001f0 ; + wire \blk00000003/sig000001ef ; + wire \blk00000003/sig000001ee ; + wire \blk00000003/sig000001ed ; + wire \blk00000003/sig000001ec ; + wire \blk00000003/sig000001eb ; + wire \blk00000003/sig000001ea ; + wire \blk00000003/sig000001e9 ; + wire \blk00000003/sig000001e8 ; + wire \blk00000003/sig000001e7 ; + wire \blk00000003/sig000001e6 ; + wire \blk00000003/sig000001e5 ; + wire \blk00000003/sig000001e4 ; + wire \blk00000003/sig000001e3 ; + wire \blk00000003/sig000001e2 ; + wire \blk00000003/sig000001e1 ; + wire \blk00000003/sig000001e0 ; + wire \blk00000003/sig000001df ; + wire \blk00000003/sig000001de ; + wire \blk00000003/sig000001dd ; + wire \blk00000003/sig000001dc ; + wire \blk00000003/sig000001db ; + wire \blk00000003/sig000001da ; + wire \blk00000003/sig000001d9 ; + wire \blk00000003/sig000001d8 ; + wire \blk00000003/sig000001d7 ; + wire \blk00000003/sig000001d6 ; + wire \blk00000003/sig000001d5 ; + wire \blk00000003/sig000001d4 ; + wire \blk00000003/sig000001d3 ; + wire \blk00000003/sig000001d2 ; + wire \blk00000003/sig000001d1 ; + wire \blk00000003/sig000001d0 ; + wire \blk00000003/sig000001cf ; + wire \blk00000003/sig000001ce ; + wire \blk00000003/sig000001cd ; + wire \blk00000003/sig000001cc ; + wire \blk00000003/sig000001cb ; + wire \blk00000003/sig000001ca ; + wire \blk00000003/sig000001c9 ; + wire \blk00000003/sig000001c8 ; + wire \blk00000003/sig000001c7 ; + wire \blk00000003/sig000001c6 ; + wire \blk00000003/sig000001c5 ; + wire \blk00000003/sig000001c4 ; + wire \blk00000003/sig000001c3 ; + wire \blk00000003/sig000001c2 ; + wire \blk00000003/sig000001c1 ; + wire \blk00000003/sig000001c0 ; + wire \blk00000003/sig000001bf ; + wire \blk00000003/sig000001be ; + wire \blk00000003/sig000001bd ; + wire \blk00000003/sig000001bc ; + wire \blk00000003/sig000001bb ; + wire \blk00000003/sig000001ba ; + wire \blk00000003/sig000001b9 ; + wire \blk00000003/sig000001b8 ; + wire \blk00000003/sig000001b7 ; + wire \blk00000003/sig000001b6 ; + wire \blk00000003/sig000001b5 ; + wire \blk00000003/sig000001b4 ; + wire \blk00000003/sig000001b3 ; + wire \blk00000003/sig000001b2 ; + wire \blk00000003/sig000001b1 ; + wire \blk00000003/sig000001b0 ; + wire \blk00000003/sig000001af ; + wire \blk00000003/sig000001ae ; + wire \blk00000003/sig000001ad ; + wire \blk00000003/sig000001ac ; + wire \blk00000003/sig000001ab ; + wire \blk00000003/sig000001aa ; + wire \blk00000003/sig000001a9 ; + wire \blk00000003/sig000001a8 ; + wire \blk00000003/sig000001a7 ; + wire \blk00000003/sig000001a6 ; + wire \blk00000003/sig000001a5 ; + wire \blk00000003/sig000001a4 ; + wire \blk00000003/sig000001a3 ; + wire \blk00000003/sig000001a2 ; + wire \blk00000003/sig000001a1 ; + wire \blk00000003/sig000001a0 ; + wire \blk00000003/sig0000019f ; + wire \blk00000003/sig0000019e ; + wire \blk00000003/sig0000019d ; + wire \blk00000003/sig0000019c ; + wire \blk00000003/sig0000019b ; + wire \blk00000003/sig0000019a ; + wire \blk00000003/sig00000199 ; + wire \blk00000003/sig00000198 ; + wire \blk00000003/sig00000197 ; + wire \blk00000003/sig00000196 ; + wire \blk00000003/sig00000195 ; + wire \blk00000003/sig00000194 ; + wire \blk00000003/sig00000193 ; + wire \blk00000003/sig00000192 ; + wire \blk00000003/sig00000191 ; + wire \blk00000003/sig00000190 ; + wire \blk00000003/sig0000018f ; + wire \blk00000003/sig0000018e ; + wire \blk00000003/sig0000018d ; + wire \blk00000003/sig0000018c ; + wire \blk00000003/sig0000018b ; + wire \blk00000003/sig0000018a ; + wire \blk00000003/sig00000189 ; + wire \blk00000003/sig00000188 ; + wire \blk00000003/sig00000187 ; + wire \blk00000003/sig00000186 ; + wire \blk00000003/sig00000185 ; + wire \blk00000003/sig00000184 ; + wire \blk00000003/sig00000183 ; + wire \blk00000003/sig00000182 ; + wire \blk00000003/sig00000181 ; + wire \blk00000003/sig00000180 ; + wire \blk00000003/sig0000017f ; + wire \blk00000003/sig0000017e ; + wire \blk00000003/sig0000017d ; + wire \blk00000003/sig0000017c ; + wire \blk00000003/sig0000017b ; + wire \blk00000003/sig0000017a ; + wire \blk00000003/sig00000179 ; + wire \blk00000003/sig00000178 ; + wire \blk00000003/sig00000177 ; + wire \blk00000003/sig00000176 ; + wire \blk00000003/sig00000175 ; + wire \blk00000003/sig00000174 ; + wire \blk00000003/sig00000173 ; + wire \blk00000003/sig00000172 ; + wire \blk00000003/sig00000171 ; + wire \blk00000003/sig00000170 ; + wire \blk00000003/sig0000016f ; + wire \blk00000003/sig0000016e ; + wire \blk00000003/sig0000016d ; + wire \blk00000003/sig0000016c ; + wire \blk00000003/sig0000016b ; + wire \blk00000003/sig0000016a ; + wire \blk00000003/sig00000169 ; + wire \blk00000003/sig00000168 ; + wire \blk00000003/sig00000167 ; + wire \blk00000003/sig00000166 ; + wire \blk00000003/sig00000165 ; + wire \blk00000003/sig00000164 ; + wire \blk00000003/sig00000163 ; + wire \blk00000003/sig00000162 ; + wire \blk00000003/sig00000161 ; + wire \blk00000003/sig00000160 ; + wire \blk00000003/sig0000015f ; + wire \blk00000003/sig0000015e ; + wire \blk00000003/sig0000015d ; + wire \blk00000003/sig0000015c ; + wire \blk00000003/sig0000015b ; + wire \blk00000003/sig0000015a ; + wire \blk00000003/sig00000159 ; + wire \blk00000003/sig00000158 ; + wire \blk00000003/sig00000157 ; + wire \blk00000003/sig00000156 ; + wire \blk00000003/sig00000155 ; + wire \blk00000003/sig00000154 ; + wire \blk00000003/sig00000153 ; + wire \blk00000003/sig00000152 ; + wire \blk00000003/sig00000151 ; + wire \blk00000003/sig00000150 ; + wire \blk00000003/sig0000014f ; + wire \blk00000003/sig0000014e ; + wire \blk00000003/sig0000014d ; + wire \blk00000003/sig0000014c ; + wire \blk00000003/sig0000014b ; + wire \blk00000003/sig0000014a ; + wire \blk00000003/sig00000149 ; + wire \blk00000003/sig00000148 ; + wire \blk00000003/sig00000147 ; + wire \blk00000003/sig00000146 ; + wire \blk00000003/sig00000145 ; + wire \blk00000003/sig00000144 ; + wire \blk00000003/sig00000143 ; + wire \blk00000003/sig00000142 ; + wire \blk00000003/sig00000141 ; + wire \blk00000003/sig00000140 ; + wire \blk00000003/sig0000013f ; + wire \blk00000003/sig0000013e ; + wire \blk00000003/sig0000013d ; + wire \blk00000003/sig0000013c ; + wire \blk00000003/sig0000013b ; + wire \blk00000003/sig0000013a ; + wire \blk00000003/sig00000139 ; + wire \blk00000003/sig00000138 ; + wire \blk00000003/sig00000137 ; + wire \blk00000003/sig00000136 ; + wire \blk00000003/sig00000135 ; + wire \blk00000003/sig00000134 ; + wire \blk00000003/sig00000133 ; + wire \blk00000003/sig00000132 ; + wire \blk00000003/sig00000131 ; + wire \blk00000003/sig00000130 ; + wire \blk00000003/sig0000012f ; + wire \blk00000003/sig0000012e ; + wire \blk00000003/sig0000012d ; + wire \blk00000003/sig0000012c ; + wire \blk00000003/sig0000012b ; + wire \blk00000003/sig0000012a ; + wire \blk00000003/sig00000129 ; + wire \blk00000003/sig00000128 ; + wire \blk00000003/sig00000127 ; + wire \blk00000003/sig00000126 ; + wire \blk00000003/sig00000125 ; + wire \blk00000003/sig00000124 ; + wire \blk00000003/sig00000123 ; + wire \blk00000003/sig00000122 ; + wire \blk00000003/sig00000121 ; + wire \blk00000003/sig00000120 ; + wire \blk00000003/sig0000011f ; + wire \blk00000003/sig0000011e ; + wire \blk00000003/sig0000011d ; + wire \blk00000003/sig0000011c ; + wire \blk00000003/sig0000011b ; + wire \blk00000003/sig0000011a ; + wire \blk00000003/sig00000119 ; + wire \blk00000003/sig00000118 ; + wire \blk00000003/sig00000117 ; + wire \blk00000003/sig00000116 ; + wire \blk00000003/sig00000115 ; + wire \blk00000003/sig00000114 ; + wire \blk00000003/sig00000113 ; + wire \blk00000003/sig00000112 ; + wire \blk00000003/sig00000111 ; + wire \blk00000003/sig00000110 ; + wire \blk00000003/sig0000010f ; + wire \blk00000003/sig0000010e ; + wire \blk00000003/sig0000010d ; + wire \blk00000003/sig0000010c ; + wire \blk00000003/sig0000010b ; + wire \blk00000003/sig0000010a ; + wire \blk00000003/sig00000109 ; + wire \blk00000003/sig00000108 ; + wire \blk00000003/sig00000107 ; + wire \blk00000003/sig00000106 ; + wire \blk00000003/sig00000105 ; + wire \blk00000003/sig00000104 ; + wire \blk00000003/sig00000103 ; + wire \blk00000003/sig00000102 ; + wire \blk00000003/sig00000101 ; + wire \blk00000003/sig00000100 ; + wire \blk00000003/sig000000ff ; + wire \blk00000003/sig000000fe ; + wire \blk00000003/sig000000fd ; + wire \blk00000003/sig000000fc ; + wire \blk00000003/sig000000fb ; + wire \blk00000003/sig000000fa ; + wire \blk00000003/sig000000f9 ; + wire \blk00000003/sig000000f8 ; + wire \blk00000003/sig000000f7 ; + wire \blk00000003/sig000000f6 ; + wire \blk00000003/sig000000f5 ; + wire \blk00000003/sig000000f4 ; + wire \blk00000003/sig000000f3 ; + wire \blk00000003/sig000000f2 ; + wire \blk00000003/sig000000f1 ; + wire \blk00000003/sig000000f0 ; + wire \blk00000003/sig000000ef ; + wire \blk00000003/sig000000ee ; + wire \blk00000003/sig000000ed ; + wire \blk00000003/sig000000ec ; + wire \blk00000003/sig000000eb ; + wire \blk00000003/sig000000ea ; + wire \blk00000003/sig000000e9 ; + wire \blk00000003/sig000000e8 ; + wire \blk00000003/sig000000e7 ; + wire \blk00000003/sig000000e6 ; + wire \blk00000003/sig000000e5 ; + wire \blk00000003/sig000000e4 ; + wire \blk00000003/sig000000e3 ; + wire \blk00000003/sig000000e2 ; + wire \blk00000003/sig000000e1 ; + wire \blk00000003/sig000000e0 ; + wire \blk00000003/sig000000df ; + wire \blk00000003/sig000000de ; + wire \blk00000003/sig000000dd ; + wire \blk00000003/sig000000dc ; + wire \blk00000003/sig000000db ; + wire \blk00000003/sig000000da ; + wire \blk00000003/sig000000d9 ; + wire \blk00000003/sig000000d8 ; + wire \blk00000003/sig000000d7 ; + wire \blk00000003/sig000000d6 ; + wire \blk00000003/sig000000d5 ; + wire \blk00000003/sig000000d4 ; + wire \blk00000003/sig000000d3 ; + wire \blk00000003/sig000000d2 ; + wire \blk00000003/sig000000d1 ; + wire \blk00000003/sig000000d0 ; + wire \blk00000003/sig000000cf ; + wire \blk00000003/sig000000ce ; + wire \blk00000003/sig000000cd ; + wire \blk00000003/sig000000cc ; + wire \blk00000003/sig000000cb ; + wire \blk00000003/sig000000ca ; + wire \blk00000003/sig000000c9 ; + wire \blk00000003/sig000000c8 ; + wire \blk00000003/sig000000c7 ; + wire \blk00000003/sig000000c6 ; + wire \blk00000003/sig000000c5 ; + wire \blk00000003/sig000000c4 ; + wire \blk00000003/sig000000c3 ; + wire \blk00000003/sig000000c2 ; + wire \blk00000003/sig000000c1 ; + wire \blk00000003/sig000000c0 ; + wire \blk00000003/sig000000bf ; + wire \blk00000003/sig000000be ; + wire \blk00000003/sig000000bd ; + wire \blk00000003/sig000000bc ; + wire \blk00000003/sig000000bb ; + wire \blk00000003/sig000000ba ; + wire \blk00000003/sig000000b9 ; + wire \blk00000003/sig000000b8 ; + wire \blk00000003/sig000000b7 ; + wire \blk00000003/sig000000b6 ; + wire \blk00000003/sig000000b5 ; + wire \blk00000003/sig000000b4 ; + wire \blk00000003/sig000000b3 ; + wire \blk00000003/sig000000b2 ; + wire \blk00000003/sig000000b1 ; + wire \blk00000003/sig000000b0 ; + wire \blk00000003/sig000000af ; + wire \blk00000003/sig000000ae ; + wire \blk00000003/sig000000ad ; + wire \blk00000003/sig000000ac ; + wire \blk00000003/sig0000004a ; + wire \blk00000003/sig00000049 ; + wire \blk00000003/blk00000035/sig00000f29 ; + wire \blk00000003/blk00000035/sig00000f28 ; + wire \blk00000003/blk00000035/sig00000f27 ; + wire \blk00000003/blk00000035/sig00000f26 ; + wire \blk00000003/blk00000035/sig00000f25 ; + wire \blk00000003/blk00000035/sig00000f24 ; + wire \blk00000003/blk00000035/sig00000f23 ; + wire \blk00000003/blk00000035/sig00000f22 ; + wire \blk00000003/blk00000035/sig00000f21 ; + wire \blk00000003/blk00000035/sig00000f20 ; + wire \blk00000003/blk00000035/sig00000f1f ; + wire \blk00000003/blk00000035/sig00000f1e ; + wire \blk00000003/blk00000035/sig00000f1d ; + wire \blk00000003/blk00000035/sig00000f1c ; + wire \blk00000003/blk00000035/sig00000f1b ; + wire \blk00000003/blk00000035/sig00000f1a ; + wire \blk00000003/blk00000035/sig00000f19 ; + wire \blk00000003/blk00000035/sig00000f18 ; + wire \blk00000003/blk00000035/sig00000f17 ; + wire \blk00000003/blk00000035/sig00000f16 ; + wire \blk00000003/blk00000035/sig00000f15 ; + wire \blk00000003/blk00000035/sig00000f14 ; + wire \blk00000003/blk00000035/sig00000f13 ; + wire \blk00000003/blk00000035/sig00000f12 ; + wire \blk00000003/blk00000035/sig00000f11 ; + wire \blk00000003/blk00000035/sig00000f10 ; + wire \blk00000003/blk00000035/sig00000f0f ; + wire \blk00000003/blk00000035/sig00000f0e ; + wire \blk00000003/blk00000035/sig00000f0d ; + wire \blk00000003/blk00000035/sig00000f0c ; + wire \blk00000003/blk00000035/sig00000f0b ; + wire \blk00000003/blk00000035/sig00000f0a ; + wire \blk00000003/blk00000035/sig00000f09 ; + wire \blk00000003/blk00000035/sig00000f08 ; + wire \blk00000003/blk00000035/sig00000f07 ; + wire \blk00000003/blk00000035/sig00000f06 ; + wire \blk00000003/blk00000035/sig00000f05 ; + wire \blk00000003/blk00000035/sig00000f04 ; + wire \blk00000003/blk00000035/sig00000f03 ; + wire \blk00000003/blk00000035/sig00000f02 ; + wire \blk00000003/blk00000035/sig00000f01 ; + wire \blk00000003/blk00000035/sig00000f00 ; + wire \blk00000003/blk00000035/sig00000eff ; + wire \blk00000003/blk00000035/sig00000efe ; + wire \blk00000003/blk00000035/sig00000efd ; + wire \blk00000003/blk00000035/sig00000efc ; + wire \blk00000003/blk00000035/sig00000efb ; + wire \blk00000003/blk00000035/sig00000efa ; + wire \blk00000003/blk00000035/sig00000ef9 ; + wire \blk00000003/blk00000035/sig00000ef8 ; + wire \blk00000003/blk0000013d/sig00000f5f ; + wire \blk00000003/blk0000013d/sig00000f5e ; + wire \blk00000003/blk0000013d/sig00000f5d ; + wire \blk00000003/blk0000013d/sig00000f5c ; + wire \blk00000003/blk0000013d/sig00000f5b ; + wire \blk00000003/blk0000013d/sig00000f5a ; + wire \blk00000003/blk0000013d/sig00000f59 ; + wire \blk00000003/blk0000013d/sig00000f58 ; + wire \blk00000003/blk0000013d/sig00000f57 ; + wire \blk00000003/blk0000013d/sig00000f56 ; + wire \blk00000003/blk0000013d/sig00000f55 ; + wire \blk00000003/blk0000013d/sig00000f54 ; + wire \blk00000003/blk0000013d/sig00000f53 ; + wire \blk00000003/blk0000013d/sig00000f52 ; + wire \blk00000003/blk0000013d/sig00000f51 ; + wire \blk00000003/blk0000013d/sig00000f50 ; + wire \blk00000003/blk0000013d/sig00000f4f ; + wire \blk00000003/blk0000013d/sig00000f4e ; + wire \blk00000003/blk00000160/sig00000f95 ; + wire \blk00000003/blk00000160/sig00000f94 ; + wire \blk00000003/blk00000160/sig00000f93 ; + wire \blk00000003/blk00000160/sig00000f92 ; + wire \blk00000003/blk00000160/sig00000f91 ; + wire \blk00000003/blk00000160/sig00000f90 ; + wire \blk00000003/blk00000160/sig00000f8f ; + wire \blk00000003/blk00000160/sig00000f8e ; + wire \blk00000003/blk00000160/sig00000f8d ; + wire \blk00000003/blk00000160/sig00000f8c ; + wire \blk00000003/blk00000160/sig00000f8b ; + wire \blk00000003/blk00000160/sig00000f8a ; + wire \blk00000003/blk00000160/sig00000f89 ; + wire \blk00000003/blk00000160/sig00000f88 ; + wire \blk00000003/blk00000160/sig00000f87 ; + wire \blk00000003/blk00000160/sig00000f86 ; + wire \blk00000003/blk00000160/sig00000f85 ; + wire \blk00000003/blk00000160/sig00000f84 ; + wire \blk00000003/blk00000183/sig00000fcb ; + wire \blk00000003/blk00000183/sig00000fca ; + wire \blk00000003/blk00000183/sig00000fc9 ; + wire \blk00000003/blk00000183/sig00000fc8 ; + wire \blk00000003/blk00000183/sig00000fc7 ; + wire \blk00000003/blk00000183/sig00000fc6 ; + wire \blk00000003/blk00000183/sig00000fc5 ; + wire \blk00000003/blk00000183/sig00000fc4 ; + wire \blk00000003/blk00000183/sig00000fc3 ; + wire \blk00000003/blk00000183/sig00000fc2 ; + wire \blk00000003/blk00000183/sig00000fc1 ; + wire \blk00000003/blk00000183/sig00000fc0 ; + wire \blk00000003/blk00000183/sig00000fbf ; + wire \blk00000003/blk00000183/sig00000fbe ; + wire \blk00000003/blk00000183/sig00000fbd ; + wire \blk00000003/blk00000183/sig00000fbc ; + wire \blk00000003/blk00000183/sig00000fbb ; + wire \blk00000003/blk00000183/sig00000fba ; + wire \blk00000003/blk000001a6/sig00001001 ; + wire \blk00000003/blk000001a6/sig00001000 ; + wire \blk00000003/blk000001a6/sig00000fff ; + wire \blk00000003/blk000001a6/sig00000ffe ; + wire \blk00000003/blk000001a6/sig00000ffd ; + wire \blk00000003/blk000001a6/sig00000ffc ; + wire \blk00000003/blk000001a6/sig00000ffb ; + wire \blk00000003/blk000001a6/sig00000ffa ; + wire \blk00000003/blk000001a6/sig00000ff9 ; + wire \blk00000003/blk000001a6/sig00000ff8 ; + wire \blk00000003/blk000001a6/sig00000ff7 ; + wire \blk00000003/blk000001a6/sig00000ff6 ; + wire \blk00000003/blk000001a6/sig00000ff5 ; + wire \blk00000003/blk000001a6/sig00000ff4 ; + wire \blk00000003/blk000001a6/sig00000ff3 ; + wire \blk00000003/blk000001a6/sig00000ff2 ; + wire \blk00000003/blk000001a6/sig00000ff1 ; + wire \blk00000003/blk000001a6/sig00000ff0 ; + wire \blk00000003/blk000001c9/sig00001037 ; + wire \blk00000003/blk000001c9/sig00001036 ; + wire \blk00000003/blk000001c9/sig00001035 ; + wire \blk00000003/blk000001c9/sig00001034 ; + wire \blk00000003/blk000001c9/sig00001033 ; + wire \blk00000003/blk000001c9/sig00001032 ; + wire \blk00000003/blk000001c9/sig00001031 ; + wire \blk00000003/blk000001c9/sig00001030 ; + wire \blk00000003/blk000001c9/sig0000102f ; + wire \blk00000003/blk000001c9/sig0000102e ; + wire \blk00000003/blk000001c9/sig0000102d ; + wire \blk00000003/blk000001c9/sig0000102c ; + wire \blk00000003/blk000001c9/sig0000102b ; + wire \blk00000003/blk000001c9/sig0000102a ; + wire \blk00000003/blk000001c9/sig00001029 ; + wire \blk00000003/blk000001c9/sig00001028 ; + wire \blk00000003/blk000001c9/sig00001027 ; + wire \blk00000003/blk000001c9/sig00001026 ; + wire \blk00000003/blk000001ec/sig0000106d ; + wire \blk00000003/blk000001ec/sig0000106c ; + wire \blk00000003/blk000001ec/sig0000106b ; + wire \blk00000003/blk000001ec/sig0000106a ; + wire \blk00000003/blk000001ec/sig00001069 ; + wire \blk00000003/blk000001ec/sig00001068 ; + wire \blk00000003/blk000001ec/sig00001067 ; + wire \blk00000003/blk000001ec/sig00001066 ; + wire \blk00000003/blk000001ec/sig00001065 ; + wire \blk00000003/blk000001ec/sig00001064 ; + wire \blk00000003/blk000001ec/sig00001063 ; + wire \blk00000003/blk000001ec/sig00001062 ; + wire \blk00000003/blk000001ec/sig00001061 ; + wire \blk00000003/blk000001ec/sig00001060 ; + wire \blk00000003/blk000001ec/sig0000105f ; + wire \blk00000003/blk000001ec/sig0000105e ; + wire \blk00000003/blk000001ec/sig0000105d ; + wire \blk00000003/blk000001ec/sig0000105c ; + wire \blk00000003/blk0000020f/sig000010a3 ; + wire \blk00000003/blk0000020f/sig000010a2 ; + wire \blk00000003/blk0000020f/sig000010a1 ; + wire \blk00000003/blk0000020f/sig000010a0 ; + wire \blk00000003/blk0000020f/sig0000109f ; + wire \blk00000003/blk0000020f/sig0000109e ; + wire \blk00000003/blk0000020f/sig0000109d ; + wire \blk00000003/blk0000020f/sig0000109c ; + wire \blk00000003/blk0000020f/sig0000109b ; + wire \blk00000003/blk0000020f/sig0000109a ; + wire \blk00000003/blk0000020f/sig00001099 ; + wire \blk00000003/blk0000020f/sig00001098 ; + wire \blk00000003/blk0000020f/sig00001097 ; + wire \blk00000003/blk0000020f/sig00001096 ; + wire \blk00000003/blk0000020f/sig00001095 ; + wire \blk00000003/blk0000020f/sig00001094 ; + wire \blk00000003/blk0000020f/sig00001093 ; + wire \blk00000003/blk0000020f/sig00001092 ; + wire \blk00000003/blk00000232/sig000010d9 ; + wire \blk00000003/blk00000232/sig000010d8 ; + wire \blk00000003/blk00000232/sig000010d7 ; + wire \blk00000003/blk00000232/sig000010d6 ; + wire \blk00000003/blk00000232/sig000010d5 ; + wire \blk00000003/blk00000232/sig000010d4 ; + wire \blk00000003/blk00000232/sig000010d3 ; + wire \blk00000003/blk00000232/sig000010d2 ; + wire \blk00000003/blk00000232/sig000010d1 ; + wire \blk00000003/blk00000232/sig000010d0 ; + wire \blk00000003/blk00000232/sig000010cf ; + wire \blk00000003/blk00000232/sig000010ce ; + wire \blk00000003/blk00000232/sig000010cd ; + wire \blk00000003/blk00000232/sig000010cc ; + wire \blk00000003/blk00000232/sig000010cb ; + wire \blk00000003/blk00000232/sig000010ca ; + wire \blk00000003/blk00000232/sig000010c9 ; + wire \blk00000003/blk00000232/sig000010c8 ; + wire \blk00000003/blk00000255/sig0000110f ; + wire \blk00000003/blk00000255/sig0000110e ; + wire \blk00000003/blk00000255/sig0000110d ; + wire \blk00000003/blk00000255/sig0000110c ; + wire \blk00000003/blk00000255/sig0000110b ; + wire \blk00000003/blk00000255/sig0000110a ; + wire \blk00000003/blk00000255/sig00001109 ; + wire \blk00000003/blk00000255/sig00001108 ; + wire \blk00000003/blk00000255/sig00001107 ; + wire \blk00000003/blk00000255/sig00001106 ; + wire \blk00000003/blk00000255/sig00001105 ; + wire \blk00000003/blk00000255/sig00001104 ; + wire \blk00000003/blk00000255/sig00001103 ; + wire \blk00000003/blk00000255/sig00001102 ; + wire \blk00000003/blk00000255/sig00001101 ; + wire \blk00000003/blk00000255/sig00001100 ; + wire \blk00000003/blk00000255/sig000010ff ; + wire \blk00000003/blk00000255/sig000010fe ; + wire \blk00000003/blk00000278/sig00001145 ; + wire \blk00000003/blk00000278/sig00001144 ; + wire \blk00000003/blk00000278/sig00001143 ; + wire \blk00000003/blk00000278/sig00001142 ; + wire \blk00000003/blk00000278/sig00001141 ; + wire \blk00000003/blk00000278/sig00001140 ; + wire \blk00000003/blk00000278/sig0000113f ; + wire \blk00000003/blk00000278/sig0000113e ; + wire \blk00000003/blk00000278/sig0000113d ; + wire \blk00000003/blk00000278/sig0000113c ; + wire \blk00000003/blk00000278/sig0000113b ; + wire \blk00000003/blk00000278/sig0000113a ; + wire \blk00000003/blk00000278/sig00001139 ; + wire \blk00000003/blk00000278/sig00001138 ; + wire \blk00000003/blk00000278/sig00001137 ; + wire \blk00000003/blk00000278/sig00001136 ; + wire \blk00000003/blk00000278/sig00001135 ; + wire \blk00000003/blk00000278/sig00001134 ; + wire \blk00000003/blk0000029b/sig0000117b ; + wire \blk00000003/blk0000029b/sig0000117a ; + wire \blk00000003/blk0000029b/sig00001179 ; + wire \blk00000003/blk0000029b/sig00001178 ; + wire \blk00000003/blk0000029b/sig00001177 ; + wire \blk00000003/blk0000029b/sig00001176 ; + wire \blk00000003/blk0000029b/sig00001175 ; + wire \blk00000003/blk0000029b/sig00001174 ; + wire \blk00000003/blk0000029b/sig00001173 ; + wire \blk00000003/blk0000029b/sig00001172 ; + wire \blk00000003/blk0000029b/sig00001171 ; + wire \blk00000003/blk0000029b/sig00001170 ; + wire \blk00000003/blk0000029b/sig0000116f ; + wire \blk00000003/blk0000029b/sig0000116e ; + wire \blk00000003/blk0000029b/sig0000116d ; + wire \blk00000003/blk0000029b/sig0000116c ; + wire \blk00000003/blk0000029b/sig0000116b ; + wire \blk00000003/blk0000029b/sig0000116a ; + wire \blk00000003/blk000002be/sig000011b1 ; + wire \blk00000003/blk000002be/sig000011b0 ; + wire \blk00000003/blk000002be/sig000011af ; + wire \blk00000003/blk000002be/sig000011ae ; + wire \blk00000003/blk000002be/sig000011ad ; + wire \blk00000003/blk000002be/sig000011ac ; + wire \blk00000003/blk000002be/sig000011ab ; + wire \blk00000003/blk000002be/sig000011aa ; + wire \blk00000003/blk000002be/sig000011a9 ; + wire \blk00000003/blk000002be/sig000011a8 ; + wire \blk00000003/blk000002be/sig000011a7 ; + wire \blk00000003/blk000002be/sig000011a6 ; + wire \blk00000003/blk000002be/sig000011a5 ; + wire \blk00000003/blk000002be/sig000011a4 ; + wire \blk00000003/blk000002be/sig000011a3 ; + wire \blk00000003/blk000002be/sig000011a2 ; + wire \blk00000003/blk000002be/sig000011a1 ; + wire \blk00000003/blk000002be/sig000011a0 ; + wire \blk00000003/blk000002e1/sig000011e7 ; + wire \blk00000003/blk000002e1/sig000011e6 ; + wire \blk00000003/blk000002e1/sig000011e5 ; + wire \blk00000003/blk000002e1/sig000011e4 ; + wire \blk00000003/blk000002e1/sig000011e3 ; + wire \blk00000003/blk000002e1/sig000011e2 ; + wire \blk00000003/blk000002e1/sig000011e1 ; + wire \blk00000003/blk000002e1/sig000011e0 ; + wire \blk00000003/blk000002e1/sig000011df ; + wire \blk00000003/blk000002e1/sig000011de ; + wire \blk00000003/blk000002e1/sig000011dd ; + wire \blk00000003/blk000002e1/sig000011dc ; + wire \blk00000003/blk000002e1/sig000011db ; + wire \blk00000003/blk000002e1/sig000011da ; + wire \blk00000003/blk000002e1/sig000011d9 ; + wire \blk00000003/blk000002e1/sig000011d8 ; + wire \blk00000003/blk000002e1/sig000011d7 ; + wire \blk00000003/blk000002e1/sig000011d6 ; + wire \blk00000003/blk00000304/sig0000121d ; + wire \blk00000003/blk00000304/sig0000121c ; + wire \blk00000003/blk00000304/sig0000121b ; + wire \blk00000003/blk00000304/sig0000121a ; + wire \blk00000003/blk00000304/sig00001219 ; + wire \blk00000003/blk00000304/sig00001218 ; + wire \blk00000003/blk00000304/sig00001217 ; + wire \blk00000003/blk00000304/sig00001216 ; + wire \blk00000003/blk00000304/sig00001215 ; + wire \blk00000003/blk00000304/sig00001214 ; + wire \blk00000003/blk00000304/sig00001213 ; + wire \blk00000003/blk00000304/sig00001212 ; + wire \blk00000003/blk00000304/sig00001211 ; + wire \blk00000003/blk00000304/sig00001210 ; + wire \blk00000003/blk00000304/sig0000120f ; + wire \blk00000003/blk00000304/sig0000120e ; + wire \blk00000003/blk00000304/sig0000120d ; + wire \blk00000003/blk00000304/sig0000120c ; + wire \blk00000003/blk00000327/sig00001253 ; + wire \blk00000003/blk00000327/sig00001252 ; + wire \blk00000003/blk00000327/sig00001251 ; + wire \blk00000003/blk00000327/sig00001250 ; + wire \blk00000003/blk00000327/sig0000124f ; + wire \blk00000003/blk00000327/sig0000124e ; + wire \blk00000003/blk00000327/sig0000124d ; + wire \blk00000003/blk00000327/sig0000124c ; + wire \blk00000003/blk00000327/sig0000124b ; + wire \blk00000003/blk00000327/sig0000124a ; + wire \blk00000003/blk00000327/sig00001249 ; + wire \blk00000003/blk00000327/sig00001248 ; + wire \blk00000003/blk00000327/sig00001247 ; + wire \blk00000003/blk00000327/sig00001246 ; + wire \blk00000003/blk00000327/sig00001245 ; + wire \blk00000003/blk00000327/sig00001244 ; + wire \blk00000003/blk00000327/sig00001243 ; + wire \blk00000003/blk00000327/sig00001242 ; + wire \blk00000003/blk0000034a/sig00001289 ; + wire \blk00000003/blk0000034a/sig00001288 ; + wire \blk00000003/blk0000034a/sig00001287 ; + wire \blk00000003/blk0000034a/sig00001286 ; + wire \blk00000003/blk0000034a/sig00001285 ; + wire \blk00000003/blk0000034a/sig00001284 ; + wire \blk00000003/blk0000034a/sig00001283 ; + wire \blk00000003/blk0000034a/sig00001282 ; + wire \blk00000003/blk0000034a/sig00001281 ; + wire \blk00000003/blk0000034a/sig00001280 ; + wire \blk00000003/blk0000034a/sig0000127f ; + wire \blk00000003/blk0000034a/sig0000127e ; + wire \blk00000003/blk0000034a/sig0000127d ; + wire \blk00000003/blk0000034a/sig0000127c ; + wire \blk00000003/blk0000034a/sig0000127b ; + wire \blk00000003/blk0000034a/sig0000127a ; + wire \blk00000003/blk0000034a/sig00001279 ; + wire \blk00000003/blk0000034a/sig00001278 ; + wire \blk00000003/blk0000036d/sig000012bf ; + wire \blk00000003/blk0000036d/sig000012be ; + wire \blk00000003/blk0000036d/sig000012bd ; + wire \blk00000003/blk0000036d/sig000012bc ; + wire \blk00000003/blk0000036d/sig000012bb ; + wire \blk00000003/blk0000036d/sig000012ba ; + wire \blk00000003/blk0000036d/sig000012b9 ; + wire \blk00000003/blk0000036d/sig000012b8 ; + wire \blk00000003/blk0000036d/sig000012b7 ; + wire \blk00000003/blk0000036d/sig000012b6 ; + wire \blk00000003/blk0000036d/sig000012b5 ; + wire \blk00000003/blk0000036d/sig000012b4 ; + wire \blk00000003/blk0000036d/sig000012b3 ; + wire \blk00000003/blk0000036d/sig000012b2 ; + wire \blk00000003/blk0000036d/sig000012b1 ; + wire \blk00000003/blk0000036d/sig000012b0 ; + wire \blk00000003/blk0000036d/sig000012af ; + wire \blk00000003/blk0000036d/sig000012ae ; + wire \blk00000003/blk00000390/sig000012f5 ; + wire \blk00000003/blk00000390/sig000012f4 ; + wire \blk00000003/blk00000390/sig000012f3 ; + wire \blk00000003/blk00000390/sig000012f2 ; + wire \blk00000003/blk00000390/sig000012f1 ; + wire \blk00000003/blk00000390/sig000012f0 ; + wire \blk00000003/blk00000390/sig000012ef ; + wire \blk00000003/blk00000390/sig000012ee ; + wire \blk00000003/blk00000390/sig000012ed ; + wire \blk00000003/blk00000390/sig000012ec ; + wire \blk00000003/blk00000390/sig000012eb ; + wire \blk00000003/blk00000390/sig000012ea ; + wire \blk00000003/blk00000390/sig000012e9 ; + wire \blk00000003/blk00000390/sig000012e8 ; + wire \blk00000003/blk00000390/sig000012e7 ; + wire \blk00000003/blk00000390/sig000012e6 ; + wire \blk00000003/blk00000390/sig000012e5 ; + wire \blk00000003/blk00000390/sig000012e4 ; + wire \blk00000003/blk000003b3/sig0000132b ; + wire \blk00000003/blk000003b3/sig0000132a ; + wire \blk00000003/blk000003b3/sig00001329 ; + wire \blk00000003/blk000003b3/sig00001328 ; + wire \blk00000003/blk000003b3/sig00001327 ; + wire \blk00000003/blk000003b3/sig00001326 ; + wire \blk00000003/blk000003b3/sig00001325 ; + wire \blk00000003/blk000003b3/sig00001324 ; + wire \blk00000003/blk000003b3/sig00001323 ; + wire \blk00000003/blk000003b3/sig00001322 ; + wire \blk00000003/blk000003b3/sig00001321 ; + wire \blk00000003/blk000003b3/sig00001320 ; + wire \blk00000003/blk000003b3/sig0000131f ; + wire \blk00000003/blk000003b3/sig0000131e ; + wire \blk00000003/blk000003b3/sig0000131d ; + wire \blk00000003/blk000003b3/sig0000131c ; + wire \blk00000003/blk000003b3/sig0000131b ; + wire \blk00000003/blk000003b3/sig0000131a ; + wire \blk00000003/blk000003d6/sig00001361 ; + wire \blk00000003/blk000003d6/sig00001360 ; + wire \blk00000003/blk000003d6/sig0000135f ; + wire \blk00000003/blk000003d6/sig0000135e ; + wire \blk00000003/blk000003d6/sig0000135d ; + wire \blk00000003/blk000003d6/sig0000135c ; + wire \blk00000003/blk000003d6/sig0000135b ; + wire \blk00000003/blk000003d6/sig0000135a ; + wire \blk00000003/blk000003d6/sig00001359 ; + wire \blk00000003/blk000003d6/sig00001358 ; + wire \blk00000003/blk000003d6/sig00001357 ; + wire \blk00000003/blk000003d6/sig00001356 ; + wire \blk00000003/blk000003d6/sig00001355 ; + wire \blk00000003/blk000003d6/sig00001354 ; + wire \blk00000003/blk000003d6/sig00001353 ; + wire \blk00000003/blk000003d6/sig00001352 ; + wire \blk00000003/blk000003d6/sig00001351 ; + wire \blk00000003/blk000003d6/sig00001350 ; + wire \blk00000003/blk000003f9/sig00001397 ; + wire \blk00000003/blk000003f9/sig00001396 ; + wire \blk00000003/blk000003f9/sig00001395 ; + wire \blk00000003/blk000003f9/sig00001394 ; + wire \blk00000003/blk000003f9/sig00001393 ; + wire \blk00000003/blk000003f9/sig00001392 ; + wire \blk00000003/blk000003f9/sig00001391 ; + wire \blk00000003/blk000003f9/sig00001390 ; + wire \blk00000003/blk000003f9/sig0000138f ; + wire \blk00000003/blk000003f9/sig0000138e ; + wire \blk00000003/blk000003f9/sig0000138d ; + wire \blk00000003/blk000003f9/sig0000138c ; + wire \blk00000003/blk000003f9/sig0000138b ; + wire \blk00000003/blk000003f9/sig0000138a ; + wire \blk00000003/blk000003f9/sig00001389 ; + wire \blk00000003/blk000003f9/sig00001388 ; + wire \blk00000003/blk000003f9/sig00001387 ; + wire \blk00000003/blk000003f9/sig00001386 ; + wire \blk00000003/blk0000041c/sig000013cd ; + wire \blk00000003/blk0000041c/sig000013cc ; + wire \blk00000003/blk0000041c/sig000013cb ; + wire \blk00000003/blk0000041c/sig000013ca ; + wire \blk00000003/blk0000041c/sig000013c9 ; + wire \blk00000003/blk0000041c/sig000013c8 ; + wire \blk00000003/blk0000041c/sig000013c7 ; + wire \blk00000003/blk0000041c/sig000013c6 ; + wire \blk00000003/blk0000041c/sig000013c5 ; + wire \blk00000003/blk0000041c/sig000013c4 ; + wire \blk00000003/blk0000041c/sig000013c3 ; + wire \blk00000003/blk0000041c/sig000013c2 ; + wire \blk00000003/blk0000041c/sig000013c1 ; + wire \blk00000003/blk0000041c/sig000013c0 ; + wire \blk00000003/blk0000041c/sig000013bf ; + wire \blk00000003/blk0000041c/sig000013be ; + wire \blk00000003/blk0000041c/sig000013bd ; + wire \blk00000003/blk0000041c/sig000013bc ; + wire \blk00000003/blk0000043f/sig00001403 ; + wire \blk00000003/blk0000043f/sig00001402 ; + wire \blk00000003/blk0000043f/sig00001401 ; + wire \blk00000003/blk0000043f/sig00001400 ; + wire \blk00000003/blk0000043f/sig000013ff ; + wire \blk00000003/blk0000043f/sig000013fe ; + wire \blk00000003/blk0000043f/sig000013fd ; + wire \blk00000003/blk0000043f/sig000013fc ; + wire \blk00000003/blk0000043f/sig000013fb ; + wire \blk00000003/blk0000043f/sig000013fa ; + wire \blk00000003/blk0000043f/sig000013f9 ; + wire \blk00000003/blk0000043f/sig000013f8 ; + wire \blk00000003/blk0000043f/sig000013f7 ; + wire \blk00000003/blk0000043f/sig000013f6 ; + wire \blk00000003/blk0000043f/sig000013f5 ; + wire \blk00000003/blk0000043f/sig000013f4 ; + wire \blk00000003/blk0000043f/sig000013f3 ; + wire \blk00000003/blk0000043f/sig000013f2 ; + wire \blk00000003/blk00000462/sig00001439 ; + wire \blk00000003/blk00000462/sig00001438 ; + wire \blk00000003/blk00000462/sig00001437 ; + wire \blk00000003/blk00000462/sig00001436 ; + wire \blk00000003/blk00000462/sig00001435 ; + wire \blk00000003/blk00000462/sig00001434 ; + wire \blk00000003/blk00000462/sig00001433 ; + wire \blk00000003/blk00000462/sig00001432 ; + wire \blk00000003/blk00000462/sig00001431 ; + wire \blk00000003/blk00000462/sig00001430 ; + wire \blk00000003/blk00000462/sig0000142f ; + wire \blk00000003/blk00000462/sig0000142e ; + wire \blk00000003/blk00000462/sig0000142d ; + wire \blk00000003/blk00000462/sig0000142c ; + wire \blk00000003/blk00000462/sig0000142b ; + wire \blk00000003/blk00000462/sig0000142a ; + wire \blk00000003/blk00000462/sig00001429 ; + wire \blk00000003/blk00000462/sig00001428 ; + wire \blk00000003/blk00000485/sig0000149c ; + wire \blk00000003/blk00000485/sig0000149b ; + wire \blk00000003/blk00000485/sig0000149a ; + wire \blk00000003/blk00000485/sig00001499 ; + wire \blk00000003/blk00000485/sig00001498 ; + wire \blk00000003/blk00000485/sig00001497 ; + wire \blk00000003/blk00000485/sig00001496 ; + wire \blk00000003/blk00000485/sig00001495 ; + wire \blk00000003/blk00000485/sig00001494 ; + wire \blk00000003/blk00000485/sig00001493 ; + wire \blk00000003/blk00000485/sig00001492 ; + wire \blk00000003/blk00000485/sig00001491 ; + wire \blk00000003/blk00000485/sig00001490 ; + wire \blk00000003/blk00000485/sig0000148f ; + wire \blk00000003/blk00000485/sig0000148e ; + wire \blk00000003/blk00000485/sig0000148d ; + wire \blk00000003/blk00000485/sig0000148c ; + wire \blk00000003/blk00000485/sig0000148b ; + wire \blk00000003/blk00000485/sig0000148a ; + wire \blk00000003/blk00000485/sig00001489 ; + wire \blk00000003/blk00000485/sig00001488 ; + wire \blk00000003/blk00000485/sig00001487 ; + wire \blk00000003/blk00000485/sig00001486 ; + wire \blk00000003/blk00000485/sig00001485 ; + wire \blk00000003/blk00000485/sig00001484 ; + wire \blk00000003/blk00000485/sig00001483 ; + wire \blk00000003/blk00000485/sig00001482 ; + wire \blk00000003/blk00000485/sig00001481 ; + wire \blk00000003/blk00000485/sig00001480 ; + wire \blk00000003/blk00000485/sig0000147f ; + wire \blk00000003/blk00000485/sig0000147e ; + wire \blk00000003/blk00000485/sig0000147d ; + wire \blk00000003/blk00000485/sig0000147c ; + wire \blk00000003/blk00000485/sig0000147b ; + wire \blk00000003/blk00000485/sig0000147a ; + wire \blk00000003/blk00000485/sig00001479 ; + wire \blk00000003/blk00000485/sig00001478 ; + wire \blk00000003/blk00000485/sig00001477 ; + wire \blk00000003/blk000004be/sig000014ff ; + wire \blk00000003/blk000004be/sig000014fe ; + wire \blk00000003/blk000004be/sig000014fd ; + wire \blk00000003/blk000004be/sig000014fc ; + wire \blk00000003/blk000004be/sig000014fb ; + wire \blk00000003/blk000004be/sig000014fa ; + wire \blk00000003/blk000004be/sig000014f9 ; + wire \blk00000003/blk000004be/sig000014f8 ; + wire \blk00000003/blk000004be/sig000014f7 ; + wire \blk00000003/blk000004be/sig000014f6 ; + wire \blk00000003/blk000004be/sig000014f5 ; + wire \blk00000003/blk000004be/sig000014f4 ; + wire \blk00000003/blk000004be/sig000014f3 ; + wire \blk00000003/blk000004be/sig000014f2 ; + wire \blk00000003/blk000004be/sig000014f1 ; + wire \blk00000003/blk000004be/sig000014f0 ; + wire \blk00000003/blk000004be/sig000014ef ; + wire \blk00000003/blk000004be/sig000014ee ; + wire \blk00000003/blk000004be/sig000014ed ; + wire \blk00000003/blk000004be/sig000014ec ; + wire \blk00000003/blk000004be/sig000014eb ; + wire \blk00000003/blk000004be/sig000014ea ; + wire \blk00000003/blk000004be/sig000014e9 ; + wire \blk00000003/blk000004be/sig000014e8 ; + wire \blk00000003/blk000004be/sig000014e7 ; + wire \blk00000003/blk000004be/sig000014e6 ; + wire \blk00000003/blk000004be/sig000014e5 ; + wire \blk00000003/blk000004be/sig000014e4 ; + wire \blk00000003/blk000004be/sig000014e3 ; + wire \blk00000003/blk000004be/sig000014e2 ; + wire \blk00000003/blk000004be/sig000014e1 ; + wire \blk00000003/blk000004be/sig000014e0 ; + wire \blk00000003/blk000004be/sig000014df ; + wire \blk00000003/blk000004be/sig000014de ; + wire \blk00000003/blk000004be/sig000014dd ; + wire \blk00000003/blk000004be/sig000014dc ; + wire \blk00000003/blk000004be/sig000014db ; + wire \blk00000003/blk000004be/sig000014da ; + wire \blk00000003/blk000004f7/sig00001562 ; + wire \blk00000003/blk000004f7/sig00001561 ; + wire \blk00000003/blk000004f7/sig00001560 ; + wire \blk00000003/blk000004f7/sig0000155f ; + wire \blk00000003/blk000004f7/sig0000155e ; + wire \blk00000003/blk000004f7/sig0000155d ; + wire \blk00000003/blk000004f7/sig0000155c ; + wire \blk00000003/blk000004f7/sig0000155b ; + wire \blk00000003/blk000004f7/sig0000155a ; + wire \blk00000003/blk000004f7/sig00001559 ; + wire \blk00000003/blk000004f7/sig00001558 ; + wire \blk00000003/blk000004f7/sig00001557 ; + wire \blk00000003/blk000004f7/sig00001556 ; + wire \blk00000003/blk000004f7/sig00001555 ; + wire \blk00000003/blk000004f7/sig00001554 ; + wire \blk00000003/blk000004f7/sig00001553 ; + wire \blk00000003/blk000004f7/sig00001552 ; + wire \blk00000003/blk000004f7/sig00001551 ; + wire \blk00000003/blk000004f7/sig00001550 ; + wire \blk00000003/blk000004f7/sig0000154f ; + wire \blk00000003/blk000004f7/sig0000154e ; + wire \blk00000003/blk000004f7/sig0000154d ; + wire \blk00000003/blk000004f7/sig0000154c ; + wire \blk00000003/blk000004f7/sig0000154b ; + wire \blk00000003/blk000004f7/sig0000154a ; + wire \blk00000003/blk000004f7/sig00001549 ; + wire \blk00000003/blk000004f7/sig00001548 ; + wire \blk00000003/blk000004f7/sig00001547 ; + wire \blk00000003/blk000004f7/sig00001546 ; + wire \blk00000003/blk000004f7/sig00001545 ; + wire \blk00000003/blk000004f7/sig00001544 ; + wire \blk00000003/blk000004f7/sig00001543 ; + wire \blk00000003/blk000004f7/sig00001542 ; + wire \blk00000003/blk000004f7/sig00001541 ; + wire \blk00000003/blk000004f7/sig00001540 ; + wire \blk00000003/blk000004f7/sig0000153f ; + wire \blk00000003/blk000004f7/sig0000153e ; + wire \blk00000003/blk000004f7/sig0000153d ; + wire \blk00000003/blk00000530/sig000015c5 ; + wire \blk00000003/blk00000530/sig000015c4 ; + wire \blk00000003/blk00000530/sig000015c3 ; + wire \blk00000003/blk00000530/sig000015c2 ; + wire \blk00000003/blk00000530/sig000015c1 ; + wire \blk00000003/blk00000530/sig000015c0 ; + wire \blk00000003/blk00000530/sig000015bf ; + wire \blk00000003/blk00000530/sig000015be ; + wire \blk00000003/blk00000530/sig000015bd ; + wire \blk00000003/blk00000530/sig000015bc ; + wire \blk00000003/blk00000530/sig000015bb ; + wire \blk00000003/blk00000530/sig000015ba ; + wire \blk00000003/blk00000530/sig000015b9 ; + wire \blk00000003/blk00000530/sig000015b8 ; + wire \blk00000003/blk00000530/sig000015b7 ; + wire \blk00000003/blk00000530/sig000015b6 ; + wire \blk00000003/blk00000530/sig000015b5 ; + wire \blk00000003/blk00000530/sig000015b4 ; + wire \blk00000003/blk00000530/sig000015b3 ; + wire \blk00000003/blk00000530/sig000015b2 ; + wire \blk00000003/blk00000530/sig000015b1 ; + wire \blk00000003/blk00000530/sig000015b0 ; + wire \blk00000003/blk00000530/sig000015af ; + wire \blk00000003/blk00000530/sig000015ae ; + wire \blk00000003/blk00000530/sig000015ad ; + wire \blk00000003/blk00000530/sig000015ac ; + wire \blk00000003/blk00000530/sig000015ab ; + wire \blk00000003/blk00000530/sig000015aa ; + wire \blk00000003/blk00000530/sig000015a9 ; + wire \blk00000003/blk00000530/sig000015a8 ; + wire \blk00000003/blk00000530/sig000015a7 ; + wire \blk00000003/blk00000530/sig000015a6 ; + wire \blk00000003/blk00000530/sig000015a5 ; + wire \blk00000003/blk00000530/sig000015a4 ; + wire \blk00000003/blk00000530/sig000015a3 ; + wire \blk00000003/blk00000530/sig000015a2 ; + wire \blk00000003/blk00000530/sig000015a1 ; + wire \blk00000003/blk00000530/sig000015a0 ; + wire \blk00000003/blk00000569/sig00001628 ; + wire \blk00000003/blk00000569/sig00001627 ; + wire \blk00000003/blk00000569/sig00001626 ; + wire \blk00000003/blk00000569/sig00001625 ; + wire \blk00000003/blk00000569/sig00001624 ; + wire \blk00000003/blk00000569/sig00001623 ; + wire \blk00000003/blk00000569/sig00001622 ; + wire \blk00000003/blk00000569/sig00001621 ; + wire \blk00000003/blk00000569/sig00001620 ; + wire \blk00000003/blk00000569/sig0000161f ; + wire \blk00000003/blk00000569/sig0000161e ; + wire \blk00000003/blk00000569/sig0000161d ; + wire \blk00000003/blk00000569/sig0000161c ; + wire \blk00000003/blk00000569/sig0000161b ; + wire \blk00000003/blk00000569/sig0000161a ; + wire \blk00000003/blk00000569/sig00001619 ; + wire \blk00000003/blk00000569/sig00001618 ; + wire \blk00000003/blk00000569/sig00001617 ; + wire \blk00000003/blk00000569/sig00001616 ; + wire \blk00000003/blk00000569/sig00001615 ; + wire \blk00000003/blk00000569/sig00001614 ; + wire \blk00000003/blk00000569/sig00001613 ; + wire \blk00000003/blk00000569/sig00001612 ; + wire \blk00000003/blk00000569/sig00001611 ; + wire \blk00000003/blk00000569/sig00001610 ; + wire \blk00000003/blk00000569/sig0000160f ; + wire \blk00000003/blk00000569/sig0000160e ; + wire \blk00000003/blk00000569/sig0000160d ; + wire \blk00000003/blk00000569/sig0000160c ; + wire \blk00000003/blk00000569/sig0000160b ; + wire \blk00000003/blk00000569/sig0000160a ; + wire \blk00000003/blk00000569/sig00001609 ; + wire \blk00000003/blk00000569/sig00001608 ; + wire \blk00000003/blk00000569/sig00001607 ; + wire \blk00000003/blk00000569/sig00001606 ; + wire \blk00000003/blk00000569/sig00001605 ; + wire \blk00000003/blk00000569/sig00001604 ; + wire \blk00000003/blk00000569/sig00001603 ; + wire \blk00000003/blk000005a2/sig00001667 ; + wire \blk00000003/blk000005a2/sig00001666 ; + wire \blk00000003/blk000005a2/sig00001665 ; + wire \blk00000003/blk000005a2/sig00001664 ; + wire \blk00000003/blk000005a2/sig00001663 ; + wire \blk00000003/blk000005a2/sig00001662 ; + wire \blk00000003/blk000005a2/sig00001661 ; + wire \blk00000003/blk000005a2/sig00001660 ; + wire \blk00000003/blk000005a2/sig0000165f ; + wire \blk00000003/blk000005a2/sig0000165e ; + wire \blk00000003/blk000005a2/sig0000165d ; + wire \blk00000003/blk000005a2/sig0000165c ; + wire \blk00000003/blk000005a2/sig0000165b ; + wire \blk00000003/blk000005a2/sig0000165a ; + wire \blk00000003/blk000005a2/sig00001659 ; + wire \blk00000003/blk000005a2/sig00001658 ; + wire \blk00000003/blk000005a2/sig00001657 ; + wire \blk00000003/blk000005a2/sig00001656 ; + wire \blk00000003/blk000005a2/sig00001655 ; + wire \blk00000003/blk000005a2/sig00001654 ; + wire \blk00000003/blk000005c9/sig00001685 ; + wire \blk00000003/blk000005c9/sig00001684 ; + wire \blk00000003/blk000005c9/sig00001683 ; + wire \blk00000003/blk000005c9/sig00001682 ; + wire \blk00000003/blk000005c9/sig00001681 ; + wire \blk00000003/blk000005c9/sig00001680 ; + wire \blk00000003/blk000005c9/sig0000167f ; + wire \blk00000003/blk000005c9/sig0000167e ; + wire \blk00000003/blk000005c9/sig0000167d ; + wire \blk00000003/blk000005c9/sig0000167c ; + wire \blk00000003/blk000005dc/sig000016a3 ; + wire \blk00000003/blk000005dc/sig000016a2 ; + wire \blk00000003/blk000005dc/sig000016a1 ; + wire \blk00000003/blk000005dc/sig000016a0 ; + wire \blk00000003/blk000005dc/sig0000169f ; + wire \blk00000003/blk000005dc/sig0000169e ; + wire \blk00000003/blk000005dc/sig0000169d ; + wire \blk00000003/blk000005dc/sig0000169c ; + wire \blk00000003/blk000005dc/sig0000169b ; + wire \blk00000003/blk000005dc/sig0000169a ; + wire \blk00000003/blk000005ef/sig000016c1 ; + wire \blk00000003/blk000005ef/sig000016c0 ; + wire \blk00000003/blk000005ef/sig000016bf ; + wire \blk00000003/blk000005ef/sig000016be ; + wire \blk00000003/blk000005ef/sig000016bd ; + wire \blk00000003/blk000005ef/sig000016bc ; + wire \blk00000003/blk000005ef/sig000016bb ; + wire \blk00000003/blk000005ef/sig000016ba ; + wire \blk00000003/blk000005ef/sig000016b9 ; + wire \blk00000003/blk000005ef/sig000016b8 ; + wire \blk00000003/blk00000602/sig000016df ; + wire \blk00000003/blk00000602/sig000016de ; + wire \blk00000003/blk00000602/sig000016dd ; + wire \blk00000003/blk00000602/sig000016dc ; + wire \blk00000003/blk00000602/sig000016db ; + wire \blk00000003/blk00000602/sig000016da ; + wire \blk00000003/blk00000602/sig000016d9 ; + wire \blk00000003/blk00000602/sig000016d8 ; + wire \blk00000003/blk00000602/sig000016d7 ; + wire \blk00000003/blk00000602/sig000016d6 ; + wire \blk00000003/blk00000615/sig000016fd ; + wire \blk00000003/blk00000615/sig000016fc ; + wire \blk00000003/blk00000615/sig000016fb ; + wire \blk00000003/blk00000615/sig000016fa ; + wire \blk00000003/blk00000615/sig000016f9 ; + wire \blk00000003/blk00000615/sig000016f8 ; + wire \blk00000003/blk00000615/sig000016f7 ; + wire \blk00000003/blk00000615/sig000016f6 ; + wire \blk00000003/blk00000615/sig000016f5 ; + wire \blk00000003/blk00000615/sig000016f4 ; + wire \blk00000003/blk00000628/sig0000171b ; + wire \blk00000003/blk00000628/sig0000171a ; + wire \blk00000003/blk00000628/sig00001719 ; + wire \blk00000003/blk00000628/sig00001718 ; + wire \blk00000003/blk00000628/sig00001717 ; + wire \blk00000003/blk00000628/sig00001716 ; + wire \blk00000003/blk00000628/sig00001715 ; + wire \blk00000003/blk00000628/sig00001714 ; + wire \blk00000003/blk00000628/sig00001713 ; + wire \blk00000003/blk00000628/sig00001712 ; + wire \blk00000003/blk0000063b/sig00001739 ; + wire \blk00000003/blk0000063b/sig00001738 ; + wire \blk00000003/blk0000063b/sig00001737 ; + wire \blk00000003/blk0000063b/sig00001736 ; + wire \blk00000003/blk0000063b/sig00001735 ; + wire \blk00000003/blk0000063b/sig00001734 ; + wire \blk00000003/blk0000063b/sig00001733 ; + wire \blk00000003/blk0000063b/sig00001732 ; + wire \blk00000003/blk0000063b/sig00001731 ; + wire \blk00000003/blk0000063b/sig00001730 ; + wire \blk00000003/blk0000064e/sig00001757 ; + wire \blk00000003/blk0000064e/sig00001756 ; + wire \blk00000003/blk0000064e/sig00001755 ; + wire \blk00000003/blk0000064e/sig00001754 ; + wire \blk00000003/blk0000064e/sig00001753 ; + wire \blk00000003/blk0000064e/sig00001752 ; + wire \blk00000003/blk0000064e/sig00001751 ; + wire \blk00000003/blk0000064e/sig00001750 ; + wire \blk00000003/blk0000064e/sig0000174f ; + wire \blk00000003/blk0000064e/sig0000174e ; + wire \blk00000003/blk00000661/sig00001775 ; + wire \blk00000003/blk00000661/sig00001774 ; + wire \blk00000003/blk00000661/sig00001773 ; + wire \blk00000003/blk00000661/sig00001772 ; + wire \blk00000003/blk00000661/sig00001771 ; + wire \blk00000003/blk00000661/sig00001770 ; + wire \blk00000003/blk00000661/sig0000176f ; + wire \blk00000003/blk00000661/sig0000176e ; + wire \blk00000003/blk00000661/sig0000176d ; + wire \blk00000003/blk00000661/sig0000176c ; + wire \blk00000003/blk00000674/sig00001793 ; + wire \blk00000003/blk00000674/sig00001792 ; + wire \blk00000003/blk00000674/sig00001791 ; + wire \blk00000003/blk00000674/sig00001790 ; + wire \blk00000003/blk00000674/sig0000178f ; + wire \blk00000003/blk00000674/sig0000178e ; + wire \blk00000003/blk00000674/sig0000178d ; + wire \blk00000003/blk00000674/sig0000178c ; + wire \blk00000003/blk00000674/sig0000178b ; + wire \blk00000003/blk00000674/sig0000178a ; + wire \blk00000003/blk00000687/sig000017b1 ; + wire \blk00000003/blk00000687/sig000017b0 ; + wire \blk00000003/blk00000687/sig000017af ; + wire \blk00000003/blk00000687/sig000017ae ; + wire \blk00000003/blk00000687/sig000017ad ; + wire \blk00000003/blk00000687/sig000017ac ; + wire \blk00000003/blk00000687/sig000017ab ; + wire \blk00000003/blk00000687/sig000017aa ; + wire \blk00000003/blk00000687/sig000017a9 ; + wire \blk00000003/blk00000687/sig000017a8 ; + wire \blk00000003/blk0000069a/sig000017cf ; + wire \blk00000003/blk0000069a/sig000017ce ; + wire \blk00000003/blk0000069a/sig000017cd ; + wire \blk00000003/blk0000069a/sig000017cc ; + wire \blk00000003/blk0000069a/sig000017cb ; + wire \blk00000003/blk0000069a/sig000017ca ; + wire \blk00000003/blk0000069a/sig000017c9 ; + wire \blk00000003/blk0000069a/sig000017c8 ; + wire \blk00000003/blk0000069a/sig000017c7 ; + wire \blk00000003/blk0000069a/sig000017c6 ; + wire \blk00000003/blk000006ad/sig000017ed ; + wire \blk00000003/blk000006ad/sig000017ec ; + wire \blk00000003/blk000006ad/sig000017eb ; + wire \blk00000003/blk000006ad/sig000017ea ; + wire \blk00000003/blk000006ad/sig000017e9 ; + wire \blk00000003/blk000006ad/sig000017e8 ; + wire \blk00000003/blk000006ad/sig000017e7 ; + wire \blk00000003/blk000006ad/sig000017e6 ; + wire \blk00000003/blk000006ad/sig000017e5 ; + wire \blk00000003/blk000006ad/sig000017e4 ; + wire \blk00000003/blk000006c0/sig0000180b ; + wire \blk00000003/blk000006c0/sig0000180a ; + wire \blk00000003/blk000006c0/sig00001809 ; + wire \blk00000003/blk000006c0/sig00001808 ; + wire \blk00000003/blk000006c0/sig00001807 ; + wire \blk00000003/blk000006c0/sig00001806 ; + wire \blk00000003/blk000006c0/sig00001805 ; + wire \blk00000003/blk000006c0/sig00001804 ; + wire \blk00000003/blk000006c0/sig00001803 ; + wire \blk00000003/blk000006c0/sig00001802 ; + wire \blk00000003/blk000006d3/sig00001829 ; + wire \blk00000003/blk000006d3/sig00001828 ; + wire \blk00000003/blk000006d3/sig00001827 ; + wire \blk00000003/blk000006d3/sig00001826 ; + wire \blk00000003/blk000006d3/sig00001825 ; + wire \blk00000003/blk000006d3/sig00001824 ; + wire \blk00000003/blk000006d3/sig00001823 ; + wire \blk00000003/blk000006d3/sig00001822 ; + wire \blk00000003/blk000006d3/sig00001821 ; + wire \blk00000003/blk000006d3/sig00001820 ; + wire \blk00000003/blk000006e6/sig00001847 ; + wire \blk00000003/blk000006e6/sig00001846 ; + wire \blk00000003/blk000006e6/sig00001845 ; + wire \blk00000003/blk000006e6/sig00001844 ; + wire \blk00000003/blk000006e6/sig00001843 ; + wire \blk00000003/blk000006e6/sig00001842 ; + wire \blk00000003/blk000006e6/sig00001841 ; + wire \blk00000003/blk000006e6/sig00001840 ; + wire \blk00000003/blk000006e6/sig0000183f ; + wire \blk00000003/blk000006e6/sig0000183e ; + wire \blk00000003/blk000006f9/sig00001865 ; + wire \blk00000003/blk000006f9/sig00001864 ; + wire \blk00000003/blk000006f9/sig00001863 ; + wire \blk00000003/blk000006f9/sig00001862 ; + wire \blk00000003/blk000006f9/sig00001861 ; + wire \blk00000003/blk000006f9/sig00001860 ; + wire \blk00000003/blk000006f9/sig0000185f ; + wire \blk00000003/blk000006f9/sig0000185e ; + wire \blk00000003/blk000006f9/sig0000185d ; + wire \blk00000003/blk000006f9/sig0000185c ; + wire \blk00000003/blk0000070c/sig00001883 ; + wire \blk00000003/blk0000070c/sig00001882 ; + wire \blk00000003/blk0000070c/sig00001881 ; + wire \blk00000003/blk0000070c/sig00001880 ; + wire \blk00000003/blk0000070c/sig0000187f ; + wire \blk00000003/blk0000070c/sig0000187e ; + wire \blk00000003/blk0000070c/sig0000187d ; + wire \blk00000003/blk0000070c/sig0000187c ; + wire \blk00000003/blk0000070c/sig0000187b ; + wire \blk00000003/blk0000070c/sig0000187a ; + wire \blk00000003/blk0000071f/sig000018a1 ; + wire \blk00000003/blk0000071f/sig000018a0 ; + wire \blk00000003/blk0000071f/sig0000189f ; + wire \blk00000003/blk0000071f/sig0000189e ; + wire \blk00000003/blk0000071f/sig0000189d ; + wire \blk00000003/blk0000071f/sig0000189c ; + wire \blk00000003/blk0000071f/sig0000189b ; + wire \blk00000003/blk0000071f/sig0000189a ; + wire \blk00000003/blk0000071f/sig00001899 ; + wire \blk00000003/blk0000071f/sig00001898 ; + wire \blk00000003/blk00000732/sig000018bf ; + wire \blk00000003/blk00000732/sig000018be ; + wire \blk00000003/blk00000732/sig000018bd ; + wire \blk00000003/blk00000732/sig000018bc ; + wire \blk00000003/blk00000732/sig000018bb ; + wire \blk00000003/blk00000732/sig000018ba ; + wire \blk00000003/blk00000732/sig000018b9 ; + wire \blk00000003/blk00000732/sig000018b8 ; + wire \blk00000003/blk00000732/sig000018b7 ; + wire \blk00000003/blk00000732/sig000018b6 ; + wire \blk00000003/blk00000745/sig000018dd ; + wire \blk00000003/blk00000745/sig000018dc ; + wire \blk00000003/blk00000745/sig000018db ; + wire \blk00000003/blk00000745/sig000018da ; + wire \blk00000003/blk00000745/sig000018d9 ; + wire \blk00000003/blk00000745/sig000018d8 ; + wire \blk00000003/blk00000745/sig000018d7 ; + wire \blk00000003/blk00000745/sig000018d6 ; + wire \blk00000003/blk00000745/sig000018d5 ; + wire \blk00000003/blk00000745/sig000018d4 ; + wire \blk00000003/blk00000758/sig000018fb ; + wire \blk00000003/blk00000758/sig000018fa ; + wire \blk00000003/blk00000758/sig000018f9 ; + wire \blk00000003/blk00000758/sig000018f8 ; + wire \blk00000003/blk00000758/sig000018f7 ; + wire \blk00000003/blk00000758/sig000018f6 ; + wire \blk00000003/blk00000758/sig000018f5 ; + wire \blk00000003/blk00000758/sig000018f4 ; + wire \blk00000003/blk00000758/sig000018f3 ; + wire \blk00000003/blk00000758/sig000018f2 ; + wire \blk00000003/blk0000076b/sig00001919 ; + wire \blk00000003/blk0000076b/sig00001918 ; + wire \blk00000003/blk0000076b/sig00001917 ; + wire \blk00000003/blk0000076b/sig00001916 ; + wire \blk00000003/blk0000076b/sig00001915 ; + wire \blk00000003/blk0000076b/sig00001914 ; + wire \blk00000003/blk0000076b/sig00001913 ; + wire \blk00000003/blk0000076b/sig00001912 ; + wire \blk00000003/blk0000076b/sig00001911 ; + wire \blk00000003/blk0000076b/sig00001910 ; + wire \blk00000003/blk0000077e/sig00001937 ; + wire \blk00000003/blk0000077e/sig00001936 ; + wire \blk00000003/blk0000077e/sig00001935 ; + wire \blk00000003/blk0000077e/sig00001934 ; + wire \blk00000003/blk0000077e/sig00001933 ; + wire \blk00000003/blk0000077e/sig00001932 ; + wire \blk00000003/blk0000077e/sig00001931 ; + wire \blk00000003/blk0000077e/sig00001930 ; + wire \blk00000003/blk0000077e/sig0000192f ; + wire \blk00000003/blk0000077e/sig0000192e ; + wire \blk00000003/blk000007c1/sig00001974 ; + wire \blk00000003/blk000007c1/sig00001973 ; + wire \blk00000003/blk000007c1/sig00001972 ; + wire \blk00000003/blk000007c1/sig00001971 ; + wire \blk00000003/blk000007c1/sig00001970 ; + wire \blk00000003/blk000007c1/sig0000196f ; + wire \blk00000003/blk000007c1/sig0000196e ; + wire \blk00000003/blk000007c1/sig0000196d ; + wire \blk00000003/blk000007c1/sig0000196c ; + wire \blk00000003/blk000007c1/sig0000196b ; + wire \blk00000003/blk000007c1/sig0000196a ; + wire \blk00000003/blk000007c1/sig00001969 ; + wire \blk00000003/blk000007c1/sig00001968 ; + wire \blk00000003/blk000007c1/sig00001967 ; + wire \blk00000003/blk000007c1/sig00001966 ; + wire \blk00000003/blk000007c1/sig00001965 ; + wire \blk00000003/blk000007c1/sig00001964 ; + wire \blk00000003/blk000007c1/sig00001963 ; + wire \blk00000003/blk000007c1/sig00001962 ; + wire \blk00000003/blk000007c1/sig00001961 ; + wire NLW_blk00000001_P_UNCONNECTED; + wire NLW_blk00000002_G_UNCONNECTED; + wire \NLW_blk00000003/blk00000cc3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cc1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cbf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cbd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cbb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cb9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cb7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cb5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cb3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cb1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000caf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ca9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ca7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ca5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ca3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ca1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c9f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c9d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c9b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c99_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c97_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c95_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c93_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c91_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c8f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c8d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c8b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c89_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c87_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c85_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c83_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c81_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c7f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c7d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c7b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c79_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c77_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c75_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c73_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c71_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c6f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c6d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c6b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c69_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c67_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c65_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c63_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c61_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c5f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c5d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c5b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c59_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c57_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c55_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c53_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c51_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c4f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c4d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c4b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c49_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c47_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c45_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c43_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c41_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c3f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c3d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c3b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c39_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c37_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c35_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c33_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c31_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c2f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c2d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c2b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c29_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c27_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c25_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c23_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c21_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c1f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c1d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c1b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c19_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c17_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c15_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c13_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c11_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c0f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c0d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c0b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c09_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c07_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c05_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c03_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c01_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bfd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bfb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bf9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bf7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bf5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bf3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bf1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bef_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bed_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000beb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000be9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000be7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000be5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000be3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000be1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bdf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bdd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bdb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bd9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bd7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bd5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bd3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bd1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bcf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bcd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bcb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bc9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bc7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bc5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bc3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bc1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bbf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bbd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bbb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bb9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bb7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bb5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bb3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bb1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000baf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ba9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ba7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ba5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ba3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ba1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b9f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b9d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b9b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b99_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b97_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b95_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b93_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b91_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b8f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b8d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b8b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b89_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b87_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b85_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b83_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b81_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b7f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b7d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b7b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b79_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b77_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b75_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b73_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b71_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b6f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b6d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b6b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b69_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b67_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b65_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b63_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b61_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b5f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b5d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b5b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b59_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b57_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b55_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b53_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b51_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b4f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b4d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b4b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b49_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b47_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b45_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b43_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b41_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b3f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b3d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b3b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b39_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b37_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b35_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b33_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b31_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b2f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b2d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b2b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b29_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b27_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b25_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b23_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b21_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b1f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b1d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b1b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b19_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b17_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b15_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b13_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b11_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b0f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b0d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b0b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b09_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b07_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b05_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b03_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b01_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000afd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000afb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000af9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000af7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000af5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000af3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000af1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aef_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aed_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aeb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ae9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ae7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ae5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ae3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ae1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000adf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000add_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000adb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ad9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ad7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ad5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ad3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ad1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000acf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000acd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000acb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ac9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ac7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ac5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ac3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ac1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000abf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000abd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000abb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ab9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ab7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ab5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ab3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ab1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aaf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aa9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aa7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aa5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aa3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aa1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a9f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a9d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a9b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a99_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a97_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a95_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a93_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a91_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a8f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a8d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a8b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a89_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a87_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a85_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a83_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a81_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a7f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a7d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a7b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a79_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a77_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a75_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a73_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a71_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a6f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a6d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a6b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a69_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a67_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a65_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a63_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a61_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a5f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a5d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a5b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a59_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a57_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a55_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a53_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a51_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a4f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a4d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a4b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a49_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a47_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a45_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a43_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a41_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a3f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a3d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a3b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a39_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a37_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a35_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a33_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a31_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a2f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a2d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a2b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a29_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a27_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a25_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a23_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a21_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a1f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a1d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a1b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a19_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a17_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a15_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a13_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a11_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a0f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a0d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a0b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a09_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a07_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a05_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a03_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a01_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009ff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009fd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009fb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009f9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009f7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009f5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009f3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009f1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009ef_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009ed_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009eb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009e9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009e7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009e5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009e3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009cd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009cb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009c9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009c7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009c5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009c3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009c1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009bf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009bd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009bb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009b9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009b7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009b5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009b3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009b1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000099f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000099d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000099b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000999_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000997_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000995_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000993_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000991_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000098f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000098d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000098b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000989_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000987_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000985_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000983_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000981_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000097f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000097d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000097b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000979_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000977_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000975_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000973_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000971_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000096f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000096d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000096b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000969_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000967_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000965_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000963_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000961_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000095f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000095d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000095b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000959_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000957_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000955_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000953_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000951_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000094f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000094d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000858_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000858_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007ee_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000007ee_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f3_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f3_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e1_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e0_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000df_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000de_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000dd_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000dc_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d8_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d7_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d6_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d5_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d4_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d3_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d2_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cc_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cc_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c9_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c9_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b5_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b3_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk000000aa_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a8_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a7_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a6_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a4_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a3_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a2_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a0_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009f_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009d_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009d_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009b_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009a_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000027_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk00000023_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk00000021_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000020_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001a_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000c_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000009_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000096_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000095_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000094_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000093_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000092_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000091_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000090_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000008f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000008e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000008d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000008c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000008b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000008a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000089_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000088_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000087_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000086_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000085_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000084_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000083_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000082_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000081_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000080_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000007f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000007e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000007d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000007c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000007b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000007a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000079_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000078_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000077_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000076_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000075_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000074_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000073_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000072_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000071_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000070_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000006f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000006e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000006d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000006c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000006b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000006a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000069_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000068_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000067_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk0000015e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk0000015d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk0000015c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk0000015b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk0000015a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000159_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000158_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000157_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000156_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000155_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000154_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000153_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000152_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000151_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000150_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk0000014f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000181_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000180_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk0000017f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk0000017e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk0000017d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk0000017c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk0000017b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk0000017a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000179_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000178_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000177_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000176_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000175_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000174_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000173_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000172_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk000001a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk000001a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk000001a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk000001a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk000001a0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk0000019f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk0000019e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk0000019d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk0000019c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk0000019b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk0000019a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk00000199_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk00000198_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk00000197_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk00000196_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk00000195_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001c7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001c6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001c5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001c4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001c3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001c2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001c1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001c0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001bf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001be_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001bd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001bc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001bb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001ba_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001b9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001b8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001ea_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000020d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000020c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000020b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000020a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000209_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000208_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000207_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000206_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000205_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000204_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000203_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000202_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000201_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000200_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk000001ff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk000001fe_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000230_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk0000022f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk0000022e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk0000022d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk0000022c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk0000022b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk0000022a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000229_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000228_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000227_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000226_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000225_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000224_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000223_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000222_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000221_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000253_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000252_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000251_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000250_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk0000024f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk0000024e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk0000024d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk0000024c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk0000024b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk0000024a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000249_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000248_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000247_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000246_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000245_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000244_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000276_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000275_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000274_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000273_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000272_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000271_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000270_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk0000026f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk0000026e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk0000026d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk0000026c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk0000026b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk0000026a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000269_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000268_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000267_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000299_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000298_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000297_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000296_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000295_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000294_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000293_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000292_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000291_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000290_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk0000028f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk0000028e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk0000028d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk0000028c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk0000028b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk0000028a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002bc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002bb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002ba_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk00000302_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk00000301_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk00000300_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002ff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002fe_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002fd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002fc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002fb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002fa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002f9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002f8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002f7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002f6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002f5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002f4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002f3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000325_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000324_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000323_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000322_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000321_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000320_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk0000031f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk0000031e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk0000031d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk0000031c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk0000031b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk0000031a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000319_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000318_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000317_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000316_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000348_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000347_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000346_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000345_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000344_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000343_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000342_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000341_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000340_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk0000033f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk0000033e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk0000033d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk0000033c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk0000033b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk0000033a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000339_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk0000036b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk0000036a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000369_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000368_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000367_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000366_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000365_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000364_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000363_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000362_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000361_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000360_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk0000035f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk0000035e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk0000035d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk0000035c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk0000038e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk0000038d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk0000038c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk0000038b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk0000038a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000389_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000388_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000387_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000386_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000385_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000384_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000383_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000382_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000381_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000380_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk0000037f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003b1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003b0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003ce_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003cd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003cc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003cb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003ca_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003c9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003c8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003c7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003c6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003c5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003f7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003f6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003f5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003f4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003f3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003f2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003f1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003f0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003ef_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003ee_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003ed_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003ec_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003eb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003ea_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003e9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003e8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk0000041a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000419_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000418_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000417_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000416_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000415_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000414_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000413_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000412_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000411_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000410_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk0000040f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk0000040e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk0000040d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk0000040c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk0000040b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk0000043d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk0000043c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk0000043b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk0000043a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000439_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000438_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000437_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000436_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000435_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000434_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000433_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000432_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000431_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000430_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk0000042f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk0000042e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000460_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk0000045f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk0000045e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk0000045d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk0000045c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk0000045b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk0000045a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000459_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000458_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000457_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000456_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000455_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000454_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000453_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000452_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000451_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000483_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000482_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000481_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000480_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk0000047f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk0000047e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk0000047d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk0000047c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk0000047b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk0000047a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000479_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000478_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000477_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000476_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000475_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000474_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005c7_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005c6_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005c5_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005c4_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005c3_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005c2_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005c1_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005c0_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005bf_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005be_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005bd_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005bc_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005bb_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005ba_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005b9_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005b8_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005b7_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005b6_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c9/blk000005da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c9/blk000005d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c9/blk000005d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c9/blk000005d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c9/blk000005d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c9/blk000005d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c9/blk000005d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c9/blk000005d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005dc/blk000005ed_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005dc/blk000005ec_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005dc/blk000005eb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005dc/blk000005ea_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005dc/blk000005e9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005dc/blk000005e8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005dc/blk000005e7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005dc/blk000005e6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ef/blk00000600_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ef/blk000005ff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ef/blk000005fe_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ef/blk000005fd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ef/blk000005fc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ef/blk000005fb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ef/blk000005fa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ef/blk000005f9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000602/blk00000613_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000602/blk00000612_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000602/blk00000611_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000602/blk00000610_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000602/blk0000060f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000602/blk0000060e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000602/blk0000060d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000602/blk0000060c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000615/blk00000626_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000615/blk00000625_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000615/blk00000624_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000615/blk00000623_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000615/blk00000622_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000615/blk00000621_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000615/blk00000620_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000615/blk0000061f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000628/blk00000639_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000628/blk00000638_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000628/blk00000637_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000628/blk00000636_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000628/blk00000635_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000628/blk00000634_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000628/blk00000633_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000628/blk00000632_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063b/blk0000064c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063b/blk0000064b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063b/blk0000064a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063b/blk00000649_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063b/blk00000648_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063b/blk00000647_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063b/blk00000646_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063b/blk00000645_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064e/blk0000065f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064e/blk0000065e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064e/blk0000065d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064e/blk0000065c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064e/blk0000065b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064e/blk0000065a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064e/blk00000659_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064e/blk00000658_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000661/blk00000672_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000661/blk00000671_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000661/blk00000670_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000661/blk0000066f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000661/blk0000066e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000661/blk0000066d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000661/blk0000066c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000661/blk0000066b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000674/blk00000685_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000674/blk00000684_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000674/blk00000683_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000674/blk00000682_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000674/blk00000681_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000674/blk00000680_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000674/blk0000067f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000674/blk0000067e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000687/blk00000698_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000687/blk00000697_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000687/blk00000696_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000687/blk00000695_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000687/blk00000694_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000687/blk00000693_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000687/blk00000692_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000687/blk00000691_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069a/blk000006ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069a/blk000006aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069a/blk000006a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069a/blk000006a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069a/blk000006a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069a/blk000006a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069a/blk000006a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069a/blk000006a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ad/blk000006be_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ad/blk000006bd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ad/blk000006bc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ad/blk000006bb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ad/blk000006ba_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ad/blk000006b9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ad/blk000006b8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ad/blk000006b7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c0/blk000006d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c0/blk000006d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c0/blk000006cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c0/blk000006ce_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c0/blk000006cd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c0/blk000006cc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c0/blk000006cb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c0/blk000006ca_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d3/blk000006e4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d3/blk000006e3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d3/blk000006e2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d3/blk000006e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d3/blk000006e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d3/blk000006df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d3/blk000006de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d3/blk000006dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e6/blk000006f7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e6/blk000006f6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e6/blk000006f5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e6/blk000006f4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e6/blk000006f3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e6/blk000006f2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e6/blk000006f1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e6/blk000006f0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f9/blk0000070a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f9/blk00000709_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f9/blk00000708_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f9/blk00000707_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f9/blk00000706_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f9/blk00000705_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f9/blk00000704_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f9/blk00000703_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070c/blk0000071d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070c/blk0000071c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070c/blk0000071b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070c/blk0000071a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070c/blk00000719_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070c/blk00000718_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070c/blk00000717_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070c/blk00000716_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071f/blk00000730_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071f/blk0000072f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071f/blk0000072e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071f/blk0000072d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071f/blk0000072c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071f/blk0000072b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071f/blk0000072a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071f/blk00000729_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000732/blk00000743_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000732/blk00000742_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000732/blk00000741_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000732/blk00000740_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000732/blk0000073f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000732/blk0000073e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000732/blk0000073d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000732/blk0000073c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000745/blk00000756_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000745/blk00000755_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000745/blk00000754_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000745/blk00000753_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000745/blk00000752_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000745/blk00000751_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000745/blk00000750_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000745/blk0000074f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000758/blk00000769_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000758/blk00000768_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000758/blk00000767_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000758/blk00000766_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000758/blk00000765_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000758/blk00000764_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000758/blk00000763_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000758/blk00000762_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076b/blk0000077c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076b/blk0000077b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076b/blk0000077a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076b/blk00000779_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076b/blk00000778_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076b/blk00000777_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076b/blk00000776_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076b/blk00000775_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077e/blk0000078f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077e/blk0000078e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077e/blk0000078d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077e/blk0000078c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077e/blk0000078b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077e/blk0000078a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077e/blk00000789_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077e/blk00000788_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007e6_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007e5_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007e4_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007e3_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007e2_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007e1_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007e0_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007df_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007de_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007dd_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007dc_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007db_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007da_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007d9_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007d8_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007d7_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007d6_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007d5_SPO_UNCONNECTED ; + wire [17 : 0] coef_din_0; + wire [23 : 0] din_1_1; + wire [23 : 0] din_2_2; + wire [46 : 0] NlwRenamedSig_OI_dout_1; + wire [46 : 0] NlwRenamedSig_OI_dout_2; + assign + rfd = NlwRenamedSig_OI_rfd, + dout_1[46] = NlwRenamedSig_OI_dout_1[46], + dout_1[45] = NlwRenamedSig_OI_dout_1[45], + dout_1[44] = NlwRenamedSig_OI_dout_1[44], + dout_1[43] = NlwRenamedSig_OI_dout_1[43], + dout_1[42] = NlwRenamedSig_OI_dout_1[42], + dout_1[41] = NlwRenamedSig_OI_dout_1[41], + dout_1[40] = NlwRenamedSig_OI_dout_1[40], + dout_1[39] = NlwRenamedSig_OI_dout_1[39], + dout_1[38] = NlwRenamedSig_OI_dout_1[38], + dout_1[37] = NlwRenamedSig_OI_dout_1[37], + dout_1[36] = NlwRenamedSig_OI_dout_1[36], + dout_1[35] = NlwRenamedSig_OI_dout_1[35], + dout_1[34] = NlwRenamedSig_OI_dout_1[34], + dout_1[33] = NlwRenamedSig_OI_dout_1[33], + dout_1[32] = NlwRenamedSig_OI_dout_1[32], + dout_1[31] = NlwRenamedSig_OI_dout_1[31], + dout_1[30] = NlwRenamedSig_OI_dout_1[30], + dout_1[29] = NlwRenamedSig_OI_dout_1[29], + dout_1[28] = NlwRenamedSig_OI_dout_1[28], + dout_1[27] = NlwRenamedSig_OI_dout_1[27], + dout_1[26] = NlwRenamedSig_OI_dout_1[26], + dout_1[25] = NlwRenamedSig_OI_dout_1[25], + dout_1[24] = NlwRenamedSig_OI_dout_1[24], + dout_1[23] = NlwRenamedSig_OI_dout_1[23], + dout_1[22] = NlwRenamedSig_OI_dout_1[22], + dout_1[21] = NlwRenamedSig_OI_dout_1[21], + dout_1[20] = NlwRenamedSig_OI_dout_1[20], + dout_1[19] = NlwRenamedSig_OI_dout_1[19], + dout_1[18] = NlwRenamedSig_OI_dout_1[18], + dout_1[17] = NlwRenamedSig_OI_dout_1[17], + dout_1[16] = NlwRenamedSig_OI_dout_1[16], + dout_1[15] = NlwRenamedSig_OI_dout_1[15], + dout_1[14] = NlwRenamedSig_OI_dout_1[14], + dout_1[13] = NlwRenamedSig_OI_dout_1[13], + dout_1[12] = NlwRenamedSig_OI_dout_1[12], + dout_1[11] = NlwRenamedSig_OI_dout_1[11], + dout_1[10] = NlwRenamedSig_OI_dout_1[10], + dout_1[9] = NlwRenamedSig_OI_dout_1[9], + dout_1[8] = NlwRenamedSig_OI_dout_1[8], + dout_1[7] = NlwRenamedSig_OI_dout_1[7], + dout_1[6] = NlwRenamedSig_OI_dout_1[6], + dout_1[5] = NlwRenamedSig_OI_dout_1[5], + dout_1[4] = NlwRenamedSig_OI_dout_1[4], + dout_1[3] = NlwRenamedSig_OI_dout_1[3], + dout_1[2] = NlwRenamedSig_OI_dout_1[2], + dout_1[1] = NlwRenamedSig_OI_dout_1[1], + dout_1[0] = NlwRenamedSig_OI_dout_1[0], + dout_2[46] = NlwRenamedSig_OI_dout_2[46], + dout_2[45] = NlwRenamedSig_OI_dout_2[45], + dout_2[44] = NlwRenamedSig_OI_dout_2[44], + dout_2[43] = NlwRenamedSig_OI_dout_2[43], + dout_2[42] = NlwRenamedSig_OI_dout_2[42], + dout_2[41] = NlwRenamedSig_OI_dout_2[41], + dout_2[40] = NlwRenamedSig_OI_dout_2[40], + dout_2[39] = NlwRenamedSig_OI_dout_2[39], + dout_2[38] = NlwRenamedSig_OI_dout_2[38], + dout_2[37] = NlwRenamedSig_OI_dout_2[37], + dout_2[36] = NlwRenamedSig_OI_dout_2[36], + dout_2[35] = NlwRenamedSig_OI_dout_2[35], + dout_2[34] = NlwRenamedSig_OI_dout_2[34], + dout_2[33] = NlwRenamedSig_OI_dout_2[33], + dout_2[32] = NlwRenamedSig_OI_dout_2[32], + dout_2[31] = NlwRenamedSig_OI_dout_2[31], + dout_2[30] = NlwRenamedSig_OI_dout_2[30], + dout_2[29] = NlwRenamedSig_OI_dout_2[29], + dout_2[28] = NlwRenamedSig_OI_dout_2[28], + dout_2[27] = NlwRenamedSig_OI_dout_2[27], + dout_2[26] = NlwRenamedSig_OI_dout_2[26], + dout_2[25] = NlwRenamedSig_OI_dout_2[25], + dout_2[24] = NlwRenamedSig_OI_dout_2[24], + dout_2[23] = NlwRenamedSig_OI_dout_2[23], + dout_2[22] = NlwRenamedSig_OI_dout_2[22], + dout_2[21] = NlwRenamedSig_OI_dout_2[21], + dout_2[20] = NlwRenamedSig_OI_dout_2[20], + dout_2[19] = NlwRenamedSig_OI_dout_2[19], + dout_2[18] = NlwRenamedSig_OI_dout_2[18], + dout_2[17] = NlwRenamedSig_OI_dout_2[17], + dout_2[16] = NlwRenamedSig_OI_dout_2[16], + dout_2[15] = NlwRenamedSig_OI_dout_2[15], + dout_2[14] = NlwRenamedSig_OI_dout_2[14], + dout_2[13] = NlwRenamedSig_OI_dout_2[13], + dout_2[12] = NlwRenamedSig_OI_dout_2[12], + dout_2[11] = NlwRenamedSig_OI_dout_2[11], + dout_2[10] = NlwRenamedSig_OI_dout_2[10], + dout_2[9] = NlwRenamedSig_OI_dout_2[9], + dout_2[8] = NlwRenamedSig_OI_dout_2[8], + dout_2[7] = NlwRenamedSig_OI_dout_2[7], + dout_2[6] = NlwRenamedSig_OI_dout_2[6], + dout_2[5] = NlwRenamedSig_OI_dout_2[5], + dout_2[4] = NlwRenamedSig_OI_dout_2[4], + dout_2[3] = NlwRenamedSig_OI_dout_2[3], + dout_2[2] = NlwRenamedSig_OI_dout_2[2], + dout_2[1] = NlwRenamedSig_OI_dout_2[1], + dout_2[0] = NlwRenamedSig_OI_dout_2[0], + din_1_1[23] = din_1[23], + din_1_1[22] = din_1[22], + din_1_1[21] = din_1[21], + din_1_1[20] = din_1[20], + din_1_1[19] = din_1[19], + din_1_1[18] = din_1[18], + din_1_1[17] = din_1[17], + din_1_1[16] = din_1[16], + din_1_1[15] = din_1[15], + din_1_1[14] = din_1[14], + din_1_1[13] = din_1[13], + din_1_1[12] = din_1[12], + din_1_1[11] = din_1[11], + din_1_1[10] = din_1[10], + din_1_1[9] = din_1[9], + din_1_1[8] = din_1[8], + din_1_1[7] = din_1[7], + din_1_1[6] = din_1[6], + din_1_1[5] = din_1[5], + din_1_1[4] = din_1[4], + din_1_1[3] = din_1[3], + din_1_1[2] = din_1[2], + din_1_1[1] = din_1[1], + din_1_1[0] = din_1[0], + din_2_2[23] = din_2[23], + din_2_2[22] = din_2[22], + din_2_2[21] = din_2[21], + din_2_2[20] = din_2[20], + din_2_2[19] = din_2[19], + din_2_2[18] = din_2[18], + din_2_2[17] = din_2[17], + din_2_2[16] = din_2[16], + din_2_2[15] = din_2[15], + din_2_2[14] = din_2[14], + din_2_2[13] = din_2[13], + din_2_2[12] = din_2[12], + din_2_2[11] = din_2[11], + din_2_2[10] = din_2[10], + din_2_2[9] = din_2[9], + din_2_2[8] = din_2[8], + din_2_2[7] = din_2[7], + din_2_2[6] = din_2[6], + din_2_2[5] = din_2[5], + din_2_2[4] = din_2[4], + din_2_2[3] = din_2[3], + din_2_2[2] = din_2[2], + din_2_2[1] = din_2[1], + din_2_2[0] = din_2[0], + coef_din_0[17] = coef_din[17], + coef_din_0[16] = coef_din[16], + coef_din_0[15] = coef_din[15], + coef_din_0[14] = coef_din[14], + coef_din_0[13] = coef_din[13], + coef_din_0[12] = coef_din[12], + coef_din_0[11] = coef_din[11], + coef_din_0[10] = coef_din[10], + coef_din_0[9] = coef_din[9], + coef_din_0[8] = coef_din[8], + coef_din_0[7] = coef_din[7], + coef_din_0[6] = coef_din[6], + coef_din_0[5] = coef_din[5], + coef_din_0[4] = coef_din[4], + coef_din_0[3] = coef_din[3], + coef_din_0[2] = coef_din[2], + coef_din_0[1] = coef_din[1], + coef_din_0[0] = coef_din[0]; + VCC blk00000001 ( + .P(NLW_blk00000001_P_UNCONNECTED) + ); + GND blk00000002 ( + .G(NLW_blk00000002_G_UNCONNECTED) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cc4 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e8e ), + .Q(\blk00000003/sig00000bc2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cc3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000baa ), + .Q(\blk00000003/sig00000e8e ), + .Q15(\NLW_blk00000003/blk00000cc3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cc2 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e8d ), + .Q(\blk00000003/sig00000bc1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cc1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000ba9 ), + .Q(\blk00000003/sig00000e8d ), + .Q15(\NLW_blk00000003/blk00000cc1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cc0 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e8c ), + .Q(\blk00000003/sig00000bc0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cbf ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000ba8 ), + .Q(\blk00000003/sig00000e8c ), + .Q15(\NLW_blk00000003/blk00000cbf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cbe ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e8b ), + .Q(\blk00000003/sig00000bbf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cbd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000ba7 ), + .Q(\blk00000003/sig00000e8b ), + .Q15(\NLW_blk00000003/blk00000cbd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cbc ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e8a ), + .Q(\blk00000003/sig00000bbe ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cbb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000ba6 ), + .Q(\blk00000003/sig00000e8a ), + .Q15(\NLW_blk00000003/blk00000cbb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cba ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e89 ), + .Q(\blk00000003/sig00000bbc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cb9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000ba4 ), + .Q(\blk00000003/sig00000e89 ), + .Q15(\NLW_blk00000003/blk00000cb9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cb8 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e88 ), + .Q(\blk00000003/sig00000bbb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cb7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000ba3 ), + .Q(\blk00000003/sig00000e88 ), + .Q15(\NLW_blk00000003/blk00000cb7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cb6 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e87 ), + .Q(\blk00000003/sig00000bbd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cb5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000ba5 ), + .Q(\blk00000003/sig00000e87 ), + .Q15(\NLW_blk00000003/blk00000cb5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cb4 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e86 ), + .Q(\blk00000003/sig00000bd2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cb3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000bb2 ), + .Q(\blk00000003/sig00000e86 ), + .Q15(\NLW_blk00000003/blk00000cb3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cb2 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e85 ), + .Q(\blk00000003/sig00000bd1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cb1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000bb1 ), + .Q(\blk00000003/sig00000e85 ), + .Q15(\NLW_blk00000003/blk00000cb1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cb0 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e84 ), + .Q(\blk00000003/sig00000bd0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000caf ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000bb0 ), + .Q(\blk00000003/sig00000e84 ), + .Q15(\NLW_blk00000003/blk00000caf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cae ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e83 ), + .Q(\blk00000003/sig00000bcf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cad ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000baf ), + .Q(\blk00000003/sig00000e83 ), + .Q15(\NLW_blk00000003/blk00000cad_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cac ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e82 ), + .Q(\blk00000003/sig00000bce ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cab ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000bae ), + .Q(\blk00000003/sig00000e82 ), + .Q15(\NLW_blk00000003/blk00000cab_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000caa ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e81 ), + .Q(\blk00000003/sig00000bcd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ca9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000bad ), + .Q(\blk00000003/sig00000e81 ), + .Q15(\NLW_blk00000003/blk00000ca9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ca8 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e80 ), + .Q(\blk00000003/sig00000bcb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ca7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000bab ), + .Q(\blk00000003/sig00000e80 ), + .Q15(\NLW_blk00000003/blk00000ca7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ca6 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e7f ), + .Q(\blk00000003/sig00000bcc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ca5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000bac ), + .Q(\blk00000003/sig00000e7f ), + .Q15(\NLW_blk00000003/blk00000ca5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ca4 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e7e ), + .Q(\blk00000003/sig00000ad6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ca3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000aa6 ), + .Q(\blk00000003/sig00000e7e ), + .Q15(\NLW_blk00000003/blk00000ca3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ca2 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e7d ), + .Q(\blk00000003/sig00000ad5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ca1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000aa5 ), + .Q(\blk00000003/sig00000e7d ), + .Q15(\NLW_blk00000003/blk00000ca1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ca0 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e7c ), + .Q(\blk00000003/sig00000ad4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c9f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000aa4 ), + .Q(\blk00000003/sig00000e7c ), + .Q15(\NLW_blk00000003/blk00000c9f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c9e ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e7b ), + .Q(\blk00000003/sig00000ad3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c9d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000aa3 ), + .Q(\blk00000003/sig00000e7b ), + .Q15(\NLW_blk00000003/blk00000c9d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c9c ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e7a ), + .Q(\blk00000003/sig00000ad1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c9b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000aa1 ), + .Q(\blk00000003/sig00000e7a ), + .Q15(\NLW_blk00000003/blk00000c9b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c9a ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e79 ), + .Q(\blk00000003/sig00000ad0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c99 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000aa0 ), + .Q(\blk00000003/sig00000e79 ), + .Q15(\NLW_blk00000003/blk00000c99_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c98 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e78 ), + .Q(\blk00000003/sig00000ad2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c97 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000aa2 ), + .Q(\blk00000003/sig00000e78 ), + .Q15(\NLW_blk00000003/blk00000c97_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c96 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e77 ), + .Q(\blk00000003/sig00000acf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c95 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000a9f ), + .Q(\blk00000003/sig00000e77 ), + .Q15(\NLW_blk00000003/blk00000c95_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c94 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e76 ), + .Q(\blk00000003/sig00000ace ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c93 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000a9e ), + .Q(\blk00000003/sig00000e76 ), + .Q15(\NLW_blk00000003/blk00000c93_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c92 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e75 ), + .Q(\blk00000003/sig00000acd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c91 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000a9d ), + .Q(\blk00000003/sig00000e75 ), + .Q15(\NLW_blk00000003/blk00000c91_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c90 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e74 ), + .Q(\blk00000003/sig00000acc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c8f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000a9c ), + .Q(\blk00000003/sig00000e74 ), + .Q15(\NLW_blk00000003/blk00000c8f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c8e ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e73 ), + .Q(\blk00000003/sig00000aca ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c8d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000a9a ), + .Q(\blk00000003/sig00000e73 ), + .Q15(\NLW_blk00000003/blk00000c8d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c8c ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e72 ), + .Q(\blk00000003/sig00000ac9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c8b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000a99 ), + .Q(\blk00000003/sig00000e72 ), + .Q15(\NLW_blk00000003/blk00000c8b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c8a ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e71 ), + .Q(\blk00000003/sig00000acb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c89 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000a9b ), + .Q(\blk00000003/sig00000e71 ), + .Q15(\NLW_blk00000003/blk00000c89_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c88 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e70 ), + .Q(\blk00000003/sig00000ac7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c87 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000a97 ), + .Q(\blk00000003/sig00000e70 ), + .Q15(\NLW_blk00000003/blk00000c87_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c86 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e6f ), + .Q(\blk00000003/sig00000ac8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c85 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000a98 ), + .Q(\blk00000003/sig00000e6f ), + .Q15(\NLW_blk00000003/blk00000c85_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c84 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e6e ), + .Q(\blk00000003/sig00000af5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c83 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000ab5 ), + .Q(\blk00000003/sig00000e6e ), + .Q15(\NLW_blk00000003/blk00000c83_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c82 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e6d ), + .Q(\blk00000003/sig00000af4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c81 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000ab4 ), + .Q(\blk00000003/sig00000e6d ), + .Q15(\NLW_blk00000003/blk00000c81_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c80 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e6c ), + .Q(\blk00000003/sig00000af6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c7f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000ab6 ), + .Q(\blk00000003/sig00000e6c ), + .Q15(\NLW_blk00000003/blk00000c7f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c7e ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e6b ), + .Q(\blk00000003/sig00000af3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c7d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000ab3 ), + .Q(\blk00000003/sig00000e6b ), + .Q15(\NLW_blk00000003/blk00000c7d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c7c ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e6a ), + .Q(\blk00000003/sig00000af2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c7b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000ab2 ), + .Q(\blk00000003/sig00000e6a ), + .Q15(\NLW_blk00000003/blk00000c7b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c7a ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e69 ), + .Q(\blk00000003/sig00000af1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c79 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000ab1 ), + .Q(\blk00000003/sig00000e69 ), + .Q15(\NLW_blk00000003/blk00000c79_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c78 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e68 ), + .Q(\blk00000003/sig00000af0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c77 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000ab0 ), + .Q(\blk00000003/sig00000e68 ), + .Q15(\NLW_blk00000003/blk00000c77_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c76 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e67 ), + .Q(\blk00000003/sig00000aee ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c75 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000aae ), + .Q(\blk00000003/sig00000e67 ), + .Q15(\NLW_blk00000003/blk00000c75_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c74 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e66 ), + .Q(\blk00000003/sig00000aed ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c73 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000aad ), + .Q(\blk00000003/sig00000e66 ), + .Q15(\NLW_blk00000003/blk00000c73_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c72 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e65 ), + .Q(\blk00000003/sig00000aef ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c71 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000aaf ), + .Q(\blk00000003/sig00000e65 ), + .Q15(\NLW_blk00000003/blk00000c71_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c70 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e64 ), + .Q(\blk00000003/sig00000aec ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c6f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000aac ), + .Q(\blk00000003/sig00000e64 ), + .Q15(\NLW_blk00000003/blk00000c6f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c6e ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e63 ), + .Q(\blk00000003/sig00000aeb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c6d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000aab ), + .Q(\blk00000003/sig00000e63 ), + .Q15(\NLW_blk00000003/blk00000c6d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c6c ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e62 ), + .Q(\blk00000003/sig00000aea ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c6b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000aaa ), + .Q(\blk00000003/sig00000e62 ), + .Q15(\NLW_blk00000003/blk00000c6b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c6a ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e61 ), + .Q(\blk00000003/sig00000ae9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c69 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000aa9 ), + .Q(\blk00000003/sig00000e61 ), + .Q15(\NLW_blk00000003/blk00000c69_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c68 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e60 ), + .Q(\blk00000003/sig00000ae7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c67 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000aa7 ), + .Q(\blk00000003/sig00000e60 ), + .Q15(\NLW_blk00000003/blk00000c67_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c66 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e5f ), + .Q(\blk00000003/sig00000ae8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c65 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000aa8 ), + .Q(\blk00000003/sig00000e5f ), + .Q15(\NLW_blk00000003/blk00000c65_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c64 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e5e ), + .Q(\blk00000003/sig00000baa ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c63 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b9a ), + .Q(\blk00000003/sig00000e5e ), + .Q15(\NLW_blk00000003/blk00000c63_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c62 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e5d ), + .Q(\blk00000003/sig00000ba9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c61 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b99 ), + .Q(\blk00000003/sig00000e5d ), + .Q15(\NLW_blk00000003/blk00000c61_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c60 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e5c ), + .Q(\blk00000003/sig00000ba8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c5f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b98 ), + .Q(\blk00000003/sig00000e5c ), + .Q15(\NLW_blk00000003/blk00000c5f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c5e ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e5b ), + .Q(\blk00000003/sig00000ba7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c5d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b97 ), + .Q(\blk00000003/sig00000e5b ), + .Q15(\NLW_blk00000003/blk00000c5d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c5c ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e5a ), + .Q(\blk00000003/sig00000ba5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c5b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b95 ), + .Q(\blk00000003/sig00000e5a ), + .Q15(\NLW_blk00000003/blk00000c5b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c5a ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e59 ), + .Q(\blk00000003/sig00000ba4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c59 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b94 ), + .Q(\blk00000003/sig00000e59 ), + .Q15(\NLW_blk00000003/blk00000c59_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c58 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e58 ), + .Q(\blk00000003/sig00000ba6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c57 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b96 ), + .Q(\blk00000003/sig00000e58 ), + .Q15(\NLW_blk00000003/blk00000c57_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c56 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e57 ), + .Q(\blk00000003/sig00000ba3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c55 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b93 ), + .Q(\blk00000003/sig00000e57 ), + .Q15(\NLW_blk00000003/blk00000c55_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c54 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e56 ), + .Q(\blk00000003/sig00000bb2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c53 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000ba2 ), + .Q(\blk00000003/sig00000e56 ), + .Q15(\NLW_blk00000003/blk00000c53_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c52 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e55 ), + .Q(\blk00000003/sig00000bb1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c51 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000ba1 ), + .Q(\blk00000003/sig00000e55 ), + .Q15(\NLW_blk00000003/blk00000c51_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c50 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e54 ), + .Q(\blk00000003/sig00000bb0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c4f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000ba0 ), + .Q(\blk00000003/sig00000e54 ), + .Q15(\NLW_blk00000003/blk00000c4f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c4e ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e53 ), + .Q(\blk00000003/sig00000baf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c4d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b9f ), + .Q(\blk00000003/sig00000e53 ), + .Q15(\NLW_blk00000003/blk00000c4d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c4c ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e52 ), + .Q(\blk00000003/sig00000bae ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c4b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b9e ), + .Q(\blk00000003/sig00000e52 ), + .Q15(\NLW_blk00000003/blk00000c4b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c4a ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e51 ), + .Q(\blk00000003/sig00000bac ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c49 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b9c ), + .Q(\blk00000003/sig00000e51 ), + .Q15(\NLW_blk00000003/blk00000c49_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c48 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e50 ), + .Q(\blk00000003/sig00000bab ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c47 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b9b ), + .Q(\blk00000003/sig00000e50 ), + .Q15(\NLW_blk00000003/blk00000c47_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c46 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e4f ), + .Q(\blk00000003/sig00000bad ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c45 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b9d ), + .Q(\blk00000003/sig00000e4f ), + .Q15(\NLW_blk00000003/blk00000c45_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c44 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e4e ), + .Q(\blk00000003/sig00000aa6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c43 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a86 ), + .Q(\blk00000003/sig00000e4e ), + .Q15(\NLW_blk00000003/blk00000c43_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c42 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e4d ), + .Q(\blk00000003/sig00000aa4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c41 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a84 ), + .Q(\blk00000003/sig00000e4d ), + .Q15(\NLW_blk00000003/blk00000c41_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c40 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e4c ), + .Q(\blk00000003/sig00000aa3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c3f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a83 ), + .Q(\blk00000003/sig00000e4c ), + .Q15(\NLW_blk00000003/blk00000c3f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c3e ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e4b ), + .Q(\blk00000003/sig00000aa5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c3d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a85 ), + .Q(\blk00000003/sig00000e4b ), + .Q15(\NLW_blk00000003/blk00000c3d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c3c ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e4a ), + .Q(\blk00000003/sig00000aa2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c3b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a82 ), + .Q(\blk00000003/sig00000e4a ), + .Q15(\NLW_blk00000003/blk00000c3b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c3a ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e49 ), + .Q(\blk00000003/sig00000aa1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c39 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a81 ), + .Q(\blk00000003/sig00000e49 ), + .Q15(\NLW_blk00000003/blk00000c39_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c38 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e48 ), + .Q(\blk00000003/sig00000aa0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c37 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a80 ), + .Q(\blk00000003/sig00000e48 ), + .Q15(\NLW_blk00000003/blk00000c37_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c36 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e47 ), + .Q(\blk00000003/sig00000a9f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c35 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a7f ), + .Q(\blk00000003/sig00000e47 ), + .Q15(\NLW_blk00000003/blk00000c35_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c34 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e46 ), + .Q(\blk00000003/sig00000a9d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c33 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a7d ), + .Q(\blk00000003/sig00000e46 ), + .Q15(\NLW_blk00000003/blk00000c33_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c32 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e45 ), + .Q(\blk00000003/sig00000a9c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c31 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a7c ), + .Q(\blk00000003/sig00000e45 ), + .Q15(\NLW_blk00000003/blk00000c31_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c30 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e44 ), + .Q(\blk00000003/sig00000a9e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c2f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a7e ), + .Q(\blk00000003/sig00000e44 ), + .Q15(\NLW_blk00000003/blk00000c2f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c2e ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e43 ), + .Q(\blk00000003/sig00000a9b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c2d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a7b ), + .Q(\blk00000003/sig00000e43 ), + .Q15(\NLW_blk00000003/blk00000c2d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c2c ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e42 ), + .Q(\blk00000003/sig00000a9a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c2b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a7a ), + .Q(\blk00000003/sig00000e42 ), + .Q15(\NLW_blk00000003/blk00000c2b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c2a ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e41 ), + .Q(\blk00000003/sig00000a99 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c29 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a79 ), + .Q(\blk00000003/sig00000e41 ), + .Q15(\NLW_blk00000003/blk00000c29_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c28 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e40 ), + .Q(\blk00000003/sig00000a98 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c27 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a78 ), + .Q(\blk00000003/sig00000e40 ), + .Q15(\NLW_blk00000003/blk00000c27_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c26 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e3f ), + .Q(\blk00000003/sig00000a97 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c25 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a77 ), + .Q(\blk00000003/sig00000e3f ), + .Q15(\NLW_blk00000003/blk00000c25_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c24 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e3e ), + .Q(\blk00000003/sig00000ab6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c23 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a96 ), + .Q(\blk00000003/sig00000e3e ), + .Q15(\NLW_blk00000003/blk00000c23_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c22 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e3d ), + .Q(\blk00000003/sig00000ab5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c21 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a95 ), + .Q(\blk00000003/sig00000e3d ), + .Q15(\NLW_blk00000003/blk00000c21_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c20 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e3c ), + .Q(\blk00000003/sig00000ab4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c1f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a94 ), + .Q(\blk00000003/sig00000e3c ), + .Q15(\NLW_blk00000003/blk00000c1f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c1e ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e3b ), + .Q(\blk00000003/sig00000ab3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c1d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a93 ), + .Q(\blk00000003/sig00000e3b ), + .Q15(\NLW_blk00000003/blk00000c1d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c1c ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e3a ), + .Q(\blk00000003/sig00000ab2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c1b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a92 ), + .Q(\blk00000003/sig00000e3a ), + .Q15(\NLW_blk00000003/blk00000c1b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c1a ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e39 ), + .Q(\blk00000003/sig00000ab0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c19 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a90 ), + .Q(\blk00000003/sig00000e39 ), + .Q15(\NLW_blk00000003/blk00000c19_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c18 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e38 ), + .Q(\blk00000003/sig00000aaf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c17 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a8f ), + .Q(\blk00000003/sig00000e38 ), + .Q15(\NLW_blk00000003/blk00000c17_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c16 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e37 ), + .Q(\blk00000003/sig00000ab1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c15 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a91 ), + .Q(\blk00000003/sig00000e37 ), + .Q15(\NLW_blk00000003/blk00000c15_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c14 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e36 ), + .Q(\blk00000003/sig00000aae ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c13 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a8e ), + .Q(\blk00000003/sig00000e36 ), + .Q15(\NLW_blk00000003/blk00000c13_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c12 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e35 ), + .Q(\blk00000003/sig00000aad ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c11 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a8d ), + .Q(\blk00000003/sig00000e35 ), + .Q15(\NLW_blk00000003/blk00000c11_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c10 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e34 ), + .Q(\blk00000003/sig00000aac ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c0f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a8c ), + .Q(\blk00000003/sig00000e34 ), + .Q15(\NLW_blk00000003/blk00000c0f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c0e ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e33 ), + .Q(\blk00000003/sig00000aab ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c0d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a8b ), + .Q(\blk00000003/sig00000e33 ), + .Q15(\NLW_blk00000003/blk00000c0d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c0c ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e32 ), + .Q(\blk00000003/sig00000aa9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c0b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a89 ), + .Q(\blk00000003/sig00000e32 ), + .Q15(\NLW_blk00000003/blk00000c0b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c0a ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e31 ), + .Q(\blk00000003/sig00000aa8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c09 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a88 ), + .Q(\blk00000003/sig00000e31 ), + .Q15(\NLW_blk00000003/blk00000c09_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c08 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e30 ), + .Q(\blk00000003/sig00000aaa ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c07 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a8a ), + .Q(\blk00000003/sig00000e30 ), + .Q15(\NLW_blk00000003/blk00000c07_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c06 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e2f ), + .Q(\blk00000003/sig00000aa7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c05 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a87 ), + .Q(\blk00000003/sig00000e2f ), + .Q15(\NLW_blk00000003/blk00000c05_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c04 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000e2e ), + .Q(\blk00000003/sig00000c61 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c03 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a16 ), + .Q(\blk00000003/sig00000e2e ), + .Q15(\NLW_blk00000003/blk00000c03_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c02 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e2d ), + .Q(\blk00000003/sig00000b9a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c01 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b8a ), + .Q(\blk00000003/sig00000e2d ), + .Q15(\NLW_blk00000003/blk00000c01_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c00 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e2c ), + .Q(\blk00000003/sig00000b99 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bff ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b89 ), + .Q(\blk00000003/sig00000e2c ), + .Q15(\NLW_blk00000003/blk00000bff_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bfe ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e2b ), + .Q(\blk00000003/sig00000b97 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bfd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b87 ), + .Q(\blk00000003/sig00000e2b ), + .Q15(\NLW_blk00000003/blk00000bfd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bfc ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e2a ), + .Q(\blk00000003/sig00000b96 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bfb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b86 ), + .Q(\blk00000003/sig00000e2a ), + .Q15(\NLW_blk00000003/blk00000bfb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bfa ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e29 ), + .Q(\blk00000003/sig00000b98 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bf9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b88 ), + .Q(\blk00000003/sig00000e29 ), + .Q15(\NLW_blk00000003/blk00000bf9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bf8 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e28 ), + .Q(\blk00000003/sig00000b95 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bf7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b85 ), + .Q(\blk00000003/sig00000e28 ), + .Q15(\NLW_blk00000003/blk00000bf7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bf6 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e27 ), + .Q(\blk00000003/sig00000b94 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bf5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b84 ), + .Q(\blk00000003/sig00000e27 ), + .Q15(\NLW_blk00000003/blk00000bf5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bf4 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e26 ), + .Q(\blk00000003/sig00000b93 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bf3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b83 ), + .Q(\blk00000003/sig00000e26 ), + .Q15(\NLW_blk00000003/blk00000bf3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bf2 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e25 ), + .Q(\blk00000003/sig00000ba2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bf1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b92 ), + .Q(\blk00000003/sig00000e25 ), + .Q15(\NLW_blk00000003/blk00000bf1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bf0 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e24 ), + .Q(\blk00000003/sig00000ba1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bef ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b91 ), + .Q(\blk00000003/sig00000e24 ), + .Q15(\NLW_blk00000003/blk00000bef_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bee ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e23 ), + .Q(\blk00000003/sig00000ba0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bed ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b90 ), + .Q(\blk00000003/sig00000e23 ), + .Q15(\NLW_blk00000003/blk00000bed_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bec ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e22 ), + .Q(\blk00000003/sig00000b9f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000beb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b8f ), + .Q(\blk00000003/sig00000e22 ), + .Q15(\NLW_blk00000003/blk00000beb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bea ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e21 ), + .Q(\blk00000003/sig00000b9d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000be9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b8d ), + .Q(\blk00000003/sig00000e21 ), + .Q15(\NLW_blk00000003/blk00000be9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000be8 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e20 ), + .Q(\blk00000003/sig00000b9c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000be7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b8c ), + .Q(\blk00000003/sig00000e20 ), + .Q15(\NLW_blk00000003/blk00000be7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000be6 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e1f ), + .Q(\blk00000003/sig00000b9e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000be5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b8e ), + .Q(\blk00000003/sig00000e1f ), + .Q15(\NLW_blk00000003/blk00000be5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000be4 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e1e ), + .Q(\blk00000003/sig00000b9b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000be3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b8b ), + .Q(\blk00000003/sig00000e1e ), + .Q15(\NLW_blk00000003/blk00000be3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000be2 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e1d ), + .Q(\blk00000003/sig00000a86 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000be1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a66 ), + .Q(\blk00000003/sig00000e1d ), + .Q15(\NLW_blk00000003/blk00000be1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000be0 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e1c ), + .Q(\blk00000003/sig00000a85 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bdf ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a65 ), + .Q(\blk00000003/sig00000e1c ), + .Q15(\NLW_blk00000003/blk00000bdf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bde ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e1b ), + .Q(\blk00000003/sig00000a84 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bdd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a64 ), + .Q(\blk00000003/sig00000e1b ), + .Q15(\NLW_blk00000003/blk00000bdd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bdc ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e1a ), + .Q(\blk00000003/sig00000a83 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bdb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a63 ), + .Q(\blk00000003/sig00000e1a ), + .Q15(\NLW_blk00000003/blk00000bdb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bda ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e19 ), + .Q(\blk00000003/sig00000a82 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bd9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a62 ), + .Q(\blk00000003/sig00000e19 ), + .Q15(\NLW_blk00000003/blk00000bd9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bd8 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e18 ), + .Q(\blk00000003/sig00000a81 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bd7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a61 ), + .Q(\blk00000003/sig00000e18 ), + .Q15(\NLW_blk00000003/blk00000bd7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bd6 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e17 ), + .Q(\blk00000003/sig00000a7f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bd5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a5f ), + .Q(\blk00000003/sig00000e17 ), + .Q15(\NLW_blk00000003/blk00000bd5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bd4 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e16 ), + .Q(\blk00000003/sig00000a7e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bd3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a5e ), + .Q(\blk00000003/sig00000e16 ), + .Q15(\NLW_blk00000003/blk00000bd3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bd2 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e15 ), + .Q(\blk00000003/sig00000a80 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bd1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a60 ), + .Q(\blk00000003/sig00000e15 ), + .Q15(\NLW_blk00000003/blk00000bd1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bd0 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e14 ), + .Q(\blk00000003/sig00000a7d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bcf ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a5d ), + .Q(\blk00000003/sig00000e14 ), + .Q15(\NLW_blk00000003/blk00000bcf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bce ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e13 ), + .Q(\blk00000003/sig00000a7c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bcd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a5c ), + .Q(\blk00000003/sig00000e13 ), + .Q15(\NLW_blk00000003/blk00000bcd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bcc ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e12 ), + .Q(\blk00000003/sig00000a7b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bcb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a5b ), + .Q(\blk00000003/sig00000e12 ), + .Q15(\NLW_blk00000003/blk00000bcb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bca ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e11 ), + .Q(\blk00000003/sig00000a7a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bc9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a5a ), + .Q(\blk00000003/sig00000e11 ), + .Q15(\NLW_blk00000003/blk00000bc9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bc8 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e10 ), + .Q(\blk00000003/sig00000a78 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bc7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a58 ), + .Q(\blk00000003/sig00000e10 ), + .Q15(\NLW_blk00000003/blk00000bc7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bc6 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e0f ), + .Q(\blk00000003/sig00000a77 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bc5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a57 ), + .Q(\blk00000003/sig00000e0f ), + .Q15(\NLW_blk00000003/blk00000bc5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bc4 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e0e ), + .Q(\blk00000003/sig00000a79 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bc3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a59 ), + .Q(\blk00000003/sig00000e0e ), + .Q15(\NLW_blk00000003/blk00000bc3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bc2 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e0d ), + .Q(\blk00000003/sig00000a96 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bc1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a76 ), + .Q(\blk00000003/sig00000e0d ), + .Q15(\NLW_blk00000003/blk00000bc1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bc0 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e0c ), + .Q(\blk00000003/sig00000a95 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bbf ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a75 ), + .Q(\blk00000003/sig00000e0c ), + .Q15(\NLW_blk00000003/blk00000bbf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bbe ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e0b ), + .Q(\blk00000003/sig00000a94 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bbd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a74 ), + .Q(\blk00000003/sig00000e0b ), + .Q15(\NLW_blk00000003/blk00000bbd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bbc ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e0a ), + .Q(\blk00000003/sig00000a92 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bbb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a72 ), + .Q(\blk00000003/sig00000e0a ), + .Q15(\NLW_blk00000003/blk00000bbb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bba ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e09 ), + .Q(\blk00000003/sig00000a91 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bb9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a71 ), + .Q(\blk00000003/sig00000e09 ), + .Q15(\NLW_blk00000003/blk00000bb9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bb8 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e08 ), + .Q(\blk00000003/sig00000a93 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bb7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a73 ), + .Q(\blk00000003/sig00000e08 ), + .Q15(\NLW_blk00000003/blk00000bb7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bb6 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e07 ), + .Q(\blk00000003/sig00000a90 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bb5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a70 ), + .Q(\blk00000003/sig00000e07 ), + .Q15(\NLW_blk00000003/blk00000bb5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bb4 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e06 ), + .Q(\blk00000003/sig00000a8f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bb3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a6f ), + .Q(\blk00000003/sig00000e06 ), + .Q15(\NLW_blk00000003/blk00000bb3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bb2 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e05 ), + .Q(\blk00000003/sig00000a8e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bb1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a6e ), + .Q(\blk00000003/sig00000e05 ), + .Q15(\NLW_blk00000003/blk00000bb1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bb0 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e04 ), + .Q(\blk00000003/sig00000a8d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000baf ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a6d ), + .Q(\blk00000003/sig00000e04 ), + .Q15(\NLW_blk00000003/blk00000baf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bae ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e03 ), + .Q(\blk00000003/sig00000a8b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bad ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a6b ), + .Q(\blk00000003/sig00000e03 ), + .Q15(\NLW_blk00000003/blk00000bad_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bac ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e02 ), + .Q(\blk00000003/sig00000a8a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bab ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a6a ), + .Q(\blk00000003/sig00000e02 ), + .Q15(\NLW_blk00000003/blk00000bab_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000baa ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e01 ), + .Q(\blk00000003/sig00000a8c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ba9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a6c ), + .Q(\blk00000003/sig00000e01 ), + .Q15(\NLW_blk00000003/blk00000ba9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ba8 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e00 ), + .Q(\blk00000003/sig00000a88 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ba7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a68 ), + .Q(\blk00000003/sig00000e00 ), + .Q15(\NLW_blk00000003/blk00000ba7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ba6 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000dff ), + .Q(\blk00000003/sig00000a87 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ba5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a67 ), + .Q(\blk00000003/sig00000dff ), + .Q15(\NLW_blk00000003/blk00000ba5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ba4 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000dfe ), + .Q(\blk00000003/sig00000a89 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ba3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a69 ), + .Q(\blk00000003/sig00000dfe ), + .Q15(\NLW_blk00000003/blk00000ba3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ba2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dfd ), + .Q(\blk00000003/sig000002ad ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ba1 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a15 ), + .Q(\blk00000003/sig00000dfd ), + .Q15(\NLW_blk00000003/blk00000ba1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ba0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dfc ), + .Q(\blk00000003/sig00000258 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b9f ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d98 ), + .Q(\blk00000003/sig00000dfc ), + .Q15(\NLW_blk00000003/blk00000b9f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b9e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dfb ), + .Q(\blk00000003/sig00000257 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b9d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d96 ), + .Q(\blk00000003/sig00000dfb ), + .Q15(\NLW_blk00000003/blk00000b9d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b9c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dfa ), + .Q(\blk00000003/sig00000259 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b9b ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d9a ), + .Q(\blk00000003/sig00000dfa ), + .Q15(\NLW_blk00000003/blk00000b9b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b9a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df9 ), + .Q(\blk00000003/sig00000255 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b99 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d8e ), + .Q(\blk00000003/sig00000df9 ), + .Q15(\NLW_blk00000003/blk00000b99_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b98 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df8 ), + .Q(\blk00000003/sig00000254 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b97 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d92 ), + .Q(\blk00000003/sig00000df8 ), + .Q15(\NLW_blk00000003/blk00000b97_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b96 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df7 ), + .Q(\blk00000003/sig00000256 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b95 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d94 ), + .Q(\blk00000003/sig00000df7 ), + .Q15(\NLW_blk00000003/blk00000b95_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b94 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df6 ), + .Q(\blk00000003/sig00000253 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b93 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d90 ), + .Q(\blk00000003/sig00000df6 ), + .Q15(\NLW_blk00000003/blk00000b93_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b92 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df5 ), + .Q(\blk00000003/sig00000252 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b91 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d8c ), + .Q(\blk00000003/sig00000df5 ), + .Q15(\NLW_blk00000003/blk00000b91_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b90 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df4 ), + .Q(\blk00000003/sig00000251 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b8f ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d8a ), + .Q(\blk00000003/sig00000df4 ), + .Q15(\NLW_blk00000003/blk00000b8f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b8e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df3 ), + .Q(\blk00000003/sig00000250 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b8d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d88 ), + .Q(\blk00000003/sig00000df3 ), + .Q15(\NLW_blk00000003/blk00000b8d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b8c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df2 ), + .Q(\blk00000003/sig0000024e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b8b ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d80 ), + .Q(\blk00000003/sig00000df2 ), + .Q15(\NLW_blk00000003/blk00000b8b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b8a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df1 ), + .Q(\blk00000003/sig0000024d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b89 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d84 ), + .Q(\blk00000003/sig00000df1 ), + .Q15(\NLW_blk00000003/blk00000b89_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b88 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df0 ), + .Q(\blk00000003/sig0000024f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b87 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d86 ), + .Q(\blk00000003/sig00000df0 ), + .Q15(\NLW_blk00000003/blk00000b87_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b86 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000def ), + .Q(\blk00000003/sig0000024c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b85 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d82 ), + .Q(\blk00000003/sig00000def ), + .Q15(\NLW_blk00000003/blk00000b85_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b84 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dee ), + .Q(\blk00000003/sig0000024b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b83 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d7e ), + .Q(\blk00000003/sig00000dee ), + .Q15(\NLW_blk00000003/blk00000b83_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b82 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ded ), + .Q(\blk00000003/sig0000024a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b81 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d7c ), + .Q(\blk00000003/sig00000ded ), + .Q15(\NLW_blk00000003/blk00000b81_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b80 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dec ), + .Q(\blk00000003/sig00000219 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b7f ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d7a ), + .Q(\blk00000003/sig00000dec ), + .Q15(\NLW_blk00000003/blk00000b7f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b7e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000deb ), + .Q(\blk00000003/sig00000217 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b7d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d72 ), + .Q(\blk00000003/sig00000deb ), + .Q15(\NLW_blk00000003/blk00000b7d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b7c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dea ), + .Q(\blk00000003/sig00000216 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b7b ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d76 ), + .Q(\blk00000003/sig00000dea ), + .Q15(\NLW_blk00000003/blk00000b7b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b7a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de9 ), + .Q(\blk00000003/sig00000218 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b79 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d78 ), + .Q(\blk00000003/sig00000de9 ), + .Q15(\NLW_blk00000003/blk00000b79_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b78 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de8 ), + .Q(\blk00000003/sig00000215 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b77 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d74 ), + .Q(\blk00000003/sig00000de8 ), + .Q15(\NLW_blk00000003/blk00000b77_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b76 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de7 ), + .Q(\blk00000003/sig00000214 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b75 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d70 ), + .Q(\blk00000003/sig00000de7 ), + .Q15(\NLW_blk00000003/blk00000b75_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b74 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de6 ), + .Q(\blk00000003/sig00000213 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b73 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d6e ), + .Q(\blk00000003/sig00000de6 ), + .Q15(\NLW_blk00000003/blk00000b73_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b72 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de5 ), + .Q(\blk00000003/sig00000212 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b71 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d6c ), + .Q(\blk00000003/sig00000de5 ), + .Q15(\NLW_blk00000003/blk00000b71_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b70 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de4 ), + .Q(\blk00000003/sig000001d0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b6f ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d64 ), + .Q(\blk00000003/sig00000de4 ), + .Q15(\NLW_blk00000003/blk00000b6f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b6e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de3 ), + .Q(\blk00000003/sig000001cf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b6d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d68 ), + .Q(\blk00000003/sig00000de3 ), + .Q15(\NLW_blk00000003/blk00000b6d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b6c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de2 ), + .Q(\blk00000003/sig000001d1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b6b ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d6a ), + .Q(\blk00000003/sig00000de2 ), + .Q15(\NLW_blk00000003/blk00000b6b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b6a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de1 ), + .Q(\blk00000003/sig000001ce ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b69 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d66 ), + .Q(\blk00000003/sig00000de1 ), + .Q15(\NLW_blk00000003/blk00000b69_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b68 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de0 ), + .Q(\blk00000003/sig000001cd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b67 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d62 ), + .Q(\blk00000003/sig00000de0 ), + .Q15(\NLW_blk00000003/blk00000b67_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b66 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ddf ), + .Q(\blk00000003/sig000001cc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b65 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d60 ), + .Q(\blk00000003/sig00000ddf ), + .Q15(\NLW_blk00000003/blk00000b65_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b64 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dde ), + .Q(\blk00000003/sig000001cb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b63 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d5e ), + .Q(\blk00000003/sig00000dde ), + .Q15(\NLW_blk00000003/blk00000b63_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b62 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ddd ), + .Q(\blk00000003/sig000001c9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b61 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d56 ), + .Q(\blk00000003/sig00000ddd ), + .Q15(\NLW_blk00000003/blk00000b61_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b60 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ddc ), + .Q(\blk00000003/sig000001c8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b5f ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d5a ), + .Q(\blk00000003/sig00000ddc ), + .Q15(\NLW_blk00000003/blk00000b5f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b5e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ddb ), + .Q(\blk00000003/sig000001ca ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b5d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d5c ), + .Q(\blk00000003/sig00000ddb ), + .Q15(\NLW_blk00000003/blk00000b5d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b5c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dda ), + .Q(\blk00000003/sig000001c7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b5b ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d58 ), + .Q(\blk00000003/sig00000dda ), + .Q15(\NLW_blk00000003/blk00000b5b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b5a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd9 ), + .Q(\blk00000003/sig000001c6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b59 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d54 ), + .Q(\blk00000003/sig00000dd9 ), + .Q15(\NLW_blk00000003/blk00000b59_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b58 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd8 ), + .Q(\blk00000003/sig000001c5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b57 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d52 ), + .Q(\blk00000003/sig00000dd8 ), + .Q15(\NLW_blk00000003/blk00000b57_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b56 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd7 ), + .Q(\blk00000003/sig000001c4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b55 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d50 ), + .Q(\blk00000003/sig00000dd7 ), + .Q15(\NLW_blk00000003/blk00000b55_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b54 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd6 ), + .Q(\blk00000003/sig000001c2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b53 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d48 ), + .Q(\blk00000003/sig00000dd6 ), + .Q15(\NLW_blk00000003/blk00000b53_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b52 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd5 ), + .Q(\blk00000003/sig0000017f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b51 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d4c ), + .Q(\blk00000003/sig00000dd5 ), + .Q15(\NLW_blk00000003/blk00000b51_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b50 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd4 ), + .Q(\blk00000003/sig000001c3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b4f ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d4e ), + .Q(\blk00000003/sig00000dd4 ), + .Q15(\NLW_blk00000003/blk00000b4f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b4e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd3 ), + .Q(\blk00000003/sig0000017e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b4d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d4a ), + .Q(\blk00000003/sig00000dd3 ), + .Q15(\NLW_blk00000003/blk00000b4d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b4c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd2 ), + .Q(\blk00000003/sig0000017d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b4b ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d46 ), + .Q(\blk00000003/sig00000dd2 ), + .Q15(\NLW_blk00000003/blk00000b4b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b4a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd1 ), + .Q(\blk00000003/sig0000017c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b49 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d44 ), + .Q(\blk00000003/sig00000dd1 ), + .Q15(\NLW_blk00000003/blk00000b49_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b48 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd0 ), + .Q(\blk00000003/sig0000017b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b47 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d42 ), + .Q(\blk00000003/sig00000dd0 ), + .Q15(\NLW_blk00000003/blk00000b47_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b46 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dcf ), + .Q(\blk00000003/sig00000179 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b45 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d3c ), + .Q(\blk00000003/sig00000dcf ), + .Q15(\NLW_blk00000003/blk00000b45_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b44 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dce ), + .Q(\blk00000003/sig00000178 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b43 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d3e ), + .Q(\blk00000003/sig00000dce ), + .Q15(\NLW_blk00000003/blk00000b43_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b42 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dcd ), + .Q(\blk00000003/sig0000017a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b41 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d40 ), + .Q(\blk00000003/sig00000dcd ), + .Q15(\NLW_blk00000003/blk00000b41_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b40 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dcc ), + .Q(\blk00000003/sig00000b8a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b3f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b7a ), + .Q(\blk00000003/sig00000dcc ), + .Q15(\NLW_blk00000003/blk00000b3f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b3e ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dcb ), + .Q(\blk00000003/sig00000b88 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b3d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b78 ), + .Q(\blk00000003/sig00000dcb ), + .Q15(\NLW_blk00000003/blk00000b3d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b3c ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dca ), + .Q(\blk00000003/sig00000b87 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b3b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b77 ), + .Q(\blk00000003/sig00000dca ), + .Q15(\NLW_blk00000003/blk00000b3b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b3a ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc9 ), + .Q(\blk00000003/sig00000b89 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b39 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b79 ), + .Q(\blk00000003/sig00000dc9 ), + .Q15(\NLW_blk00000003/blk00000b39_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b38 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc8 ), + .Q(\blk00000003/sig00000b86 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b37 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b76 ), + .Q(\blk00000003/sig00000dc8 ), + .Q15(\NLW_blk00000003/blk00000b37_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b36 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc7 ), + .Q(\blk00000003/sig00000b85 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b35 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b75 ), + .Q(\blk00000003/sig00000dc7 ), + .Q15(\NLW_blk00000003/blk00000b35_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b34 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc6 ), + .Q(\blk00000003/sig00000b84 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b33 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b74 ), + .Q(\blk00000003/sig00000dc6 ), + .Q15(\NLW_blk00000003/blk00000b33_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b32 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc5 ), + .Q(\blk00000003/sig00000b83 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b31 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b73 ), + .Q(\blk00000003/sig00000dc5 ), + .Q15(\NLW_blk00000003/blk00000b31_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b30 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc4 ), + .Q(\blk00000003/sig00000b92 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b2f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b82 ), + .Q(\blk00000003/sig00000dc4 ), + .Q15(\NLW_blk00000003/blk00000b2f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b2e ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc3 ), + .Q(\blk00000003/sig00000b91 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b2d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b81 ), + .Q(\blk00000003/sig00000dc3 ), + .Q15(\NLW_blk00000003/blk00000b2d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b2c ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc2 ), + .Q(\blk00000003/sig00000b90 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b2b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b80 ), + .Q(\blk00000003/sig00000dc2 ), + .Q15(\NLW_blk00000003/blk00000b2b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b2a ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc1 ), + .Q(\blk00000003/sig00000b8e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b29 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b7e ), + .Q(\blk00000003/sig00000dc1 ), + .Q15(\NLW_blk00000003/blk00000b29_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b28 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc0 ), + .Q(\blk00000003/sig00000b8d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b27 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b7d ), + .Q(\blk00000003/sig00000dc0 ), + .Q15(\NLW_blk00000003/blk00000b27_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b26 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dbf ), + .Q(\blk00000003/sig00000b8f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b25 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b7f ), + .Q(\blk00000003/sig00000dbf ), + .Q15(\NLW_blk00000003/blk00000b25_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b24 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dbe ), + .Q(\blk00000003/sig00000b8c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b23 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b7c ), + .Q(\blk00000003/sig00000dbe ), + .Q15(\NLW_blk00000003/blk00000b23_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b22 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dbd ), + .Q(\blk00000003/sig00000b8b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b21 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b7b ), + .Q(\blk00000003/sig00000dbd ), + .Q15(\NLW_blk00000003/blk00000b21_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b20 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000dbc ), + .Q(\blk00000003/sig00000a66 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b1f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a46 ), + .Q(\blk00000003/sig00000dbc ), + .Q15(\NLW_blk00000003/blk00000b1f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b1e ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000dbb ), + .Q(\blk00000003/sig00000a65 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b1d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a45 ), + .Q(\blk00000003/sig00000dbb ), + .Q15(\NLW_blk00000003/blk00000b1d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b1c ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000dba ), + .Q(\blk00000003/sig00000a64 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b1b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a44 ), + .Q(\blk00000003/sig00000dba ), + .Q15(\NLW_blk00000003/blk00000b1b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b1a ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db9 ), + .Q(\blk00000003/sig00000a63 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b19 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a43 ), + .Q(\blk00000003/sig00000db9 ), + .Q15(\NLW_blk00000003/blk00000b19_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b18 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db8 ), + .Q(\blk00000003/sig00000a61 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b17 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a41 ), + .Q(\blk00000003/sig00000db8 ), + .Q15(\NLW_blk00000003/blk00000b17_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b16 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db7 ), + .Q(\blk00000003/sig00000a60 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b15 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a40 ), + .Q(\blk00000003/sig00000db7 ), + .Q15(\NLW_blk00000003/blk00000b15_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b14 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db6 ), + .Q(\blk00000003/sig00000a62 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b13 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a42 ), + .Q(\blk00000003/sig00000db6 ), + .Q15(\NLW_blk00000003/blk00000b13_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b12 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db5 ), + .Q(\blk00000003/sig00000a5f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b11 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a3f ), + .Q(\blk00000003/sig00000db5 ), + .Q15(\NLW_blk00000003/blk00000b11_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b10 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db4 ), + .Q(\blk00000003/sig00000a5e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b0f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a3e ), + .Q(\blk00000003/sig00000db4 ), + .Q15(\NLW_blk00000003/blk00000b0f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b0e ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db3 ), + .Q(\blk00000003/sig00000a5d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b0d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a3d ), + .Q(\blk00000003/sig00000db3 ), + .Q15(\NLW_blk00000003/blk00000b0d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b0c ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db2 ), + .Q(\blk00000003/sig00000a5c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b0b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a3c ), + .Q(\blk00000003/sig00000db2 ), + .Q15(\NLW_blk00000003/blk00000b0b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b0a ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db1 ), + .Q(\blk00000003/sig00000a5a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b09 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a3a ), + .Q(\blk00000003/sig00000db1 ), + .Q15(\NLW_blk00000003/blk00000b09_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b08 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db0 ), + .Q(\blk00000003/sig00000a59 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b07 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a39 ), + .Q(\blk00000003/sig00000db0 ), + .Q15(\NLW_blk00000003/blk00000b07_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b06 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000daf ), + .Q(\blk00000003/sig00000a5b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b05 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a3b ), + .Q(\blk00000003/sig00000daf ), + .Q15(\NLW_blk00000003/blk00000b05_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b04 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000dae ), + .Q(\blk00000003/sig00000a58 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b03 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a38 ), + .Q(\blk00000003/sig00000dae ), + .Q15(\NLW_blk00000003/blk00000b03_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b02 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000dad ), + .Q(\blk00000003/sig00000a57 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b01 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a37 ), + .Q(\blk00000003/sig00000dad ), + .Q15(\NLW_blk00000003/blk00000b01_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b00 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000dac ), + .Q(\blk00000003/sig00000a75 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aff ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a55 ), + .Q(\blk00000003/sig00000dac ), + .Q15(\NLW_blk00000003/blk00000aff_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000afe ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000dab ), + .Q(\blk00000003/sig00000a74 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000afd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a54 ), + .Q(\blk00000003/sig00000dab ), + .Q15(\NLW_blk00000003/blk00000afd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000afc ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000daa ), + .Q(\blk00000003/sig00000a76 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000afb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a56 ), + .Q(\blk00000003/sig00000daa ), + .Q15(\NLW_blk00000003/blk00000afb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000afa ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da9 ), + .Q(\blk00000003/sig00000a73 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000af9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a53 ), + .Q(\blk00000003/sig00000da9 ), + .Q15(\NLW_blk00000003/blk00000af9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000af8 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da8 ), + .Q(\blk00000003/sig00000a72 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000af7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a52 ), + .Q(\blk00000003/sig00000da8 ), + .Q15(\NLW_blk00000003/blk00000af7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000af6 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da7 ), + .Q(\blk00000003/sig00000a71 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000af5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a51 ), + .Q(\blk00000003/sig00000da7 ), + .Q15(\NLW_blk00000003/blk00000af5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000af4 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da6 ), + .Q(\blk00000003/sig00000a70 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000af3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a50 ), + .Q(\blk00000003/sig00000da6 ), + .Q15(\NLW_blk00000003/blk00000af3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000af2 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da5 ), + .Q(\blk00000003/sig00000a6e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000af1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a4e ), + .Q(\blk00000003/sig00000da5 ), + .Q15(\NLW_blk00000003/blk00000af1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000af0 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da4 ), + .Q(\blk00000003/sig00000a6d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aef ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a4d ), + .Q(\blk00000003/sig00000da4 ), + .Q15(\NLW_blk00000003/blk00000aef_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aee ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da3 ), + .Q(\blk00000003/sig00000a6f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aed ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a4f ), + .Q(\blk00000003/sig00000da3 ), + .Q15(\NLW_blk00000003/blk00000aed_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aec ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da2 ), + .Q(\blk00000003/sig00000a6c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aeb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a4c ), + .Q(\blk00000003/sig00000da2 ), + .Q15(\NLW_blk00000003/blk00000aeb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aea ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da1 ), + .Q(\blk00000003/sig00000a6b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ae9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a4b ), + .Q(\blk00000003/sig00000da1 ), + .Q15(\NLW_blk00000003/blk00000ae9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ae8 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da0 ), + .Q(\blk00000003/sig00000a6a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ae7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a4a ), + .Q(\blk00000003/sig00000da0 ), + .Q15(\NLW_blk00000003/blk00000ae7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ae6 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000d9f ), + .Q(\blk00000003/sig00000a69 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ae5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a49 ), + .Q(\blk00000003/sig00000d9f ), + .Q15(\NLW_blk00000003/blk00000ae5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ae4 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000d9e ), + .Q(\blk00000003/sig00000a67 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ae3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a47 ), + .Q(\blk00000003/sig00000d9e ), + .Q15(\NLW_blk00000003/blk00000ae3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ae2 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000d9d ), + .Q(\blk00000003/sig00000a68 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ae1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a48 ), + .Q(\blk00000003/sig00000d9d ), + .Q15(\NLW_blk00000003/blk00000ae1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ae0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d9c ), + .Q(\blk00000003/sig00000a16 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000adf ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002af ), + .Q(\blk00000003/sig00000d9c ), + .Q15(\NLW_blk00000003/blk00000adf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ade ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d9b ), + .Q(\blk00000003/sig00000c62 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000add ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(NlwRenamedSig_OI_rfd), + .A3(NlwRenamedSig_OI_rfd), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000029c ), + .Q(\blk00000003/sig00000d9b ), + .Q15(\NLW_blk00000003/blk00000add_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000adc ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d99 ), + .Q(\blk00000003/sig00000d9a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000adb ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002ea ), + .Q(\blk00000003/sig00000d99 ), + .Q15(\NLW_blk00000003/blk00000adb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ada ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d97 ), + .Q(\blk00000003/sig00000d98 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ad9 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e9 ), + .Q(\blk00000003/sig00000d97 ), + .Q15(\NLW_blk00000003/blk00000ad9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ad8 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d95 ), + .Q(\blk00000003/sig00000d96 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ad7 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e8 ), + .Q(\blk00000003/sig00000d95 ), + .Q15(\NLW_blk00000003/blk00000ad7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ad6 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d93 ), + .Q(\blk00000003/sig00000d94 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ad5 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e7 ), + .Q(\blk00000003/sig00000d93 ), + .Q15(\NLW_blk00000003/blk00000ad5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ad4 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d91 ), + .Q(\blk00000003/sig00000d92 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ad3 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e5 ), + .Q(\blk00000003/sig00000d91 ), + .Q15(\NLW_blk00000003/blk00000ad3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ad2 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d8f ), + .Q(\blk00000003/sig00000d90 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ad1 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e4 ), + .Q(\blk00000003/sig00000d8f ), + .Q15(\NLW_blk00000003/blk00000ad1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ad0 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d8d ), + .Q(\blk00000003/sig00000d8e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000acf ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e6 ), + .Q(\blk00000003/sig00000d8d ), + .Q15(\NLW_blk00000003/blk00000acf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ace ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d8b ), + .Q(\blk00000003/sig00000d8c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000acd ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e3 ), + .Q(\blk00000003/sig00000d8b ), + .Q15(\NLW_blk00000003/blk00000acd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000acc ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d89 ), + .Q(\blk00000003/sig00000d8a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000acb ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e2 ), + .Q(\blk00000003/sig00000d89 ), + .Q15(\NLW_blk00000003/blk00000acb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aca ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d87 ), + .Q(\blk00000003/sig00000d88 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ac9 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e1 ), + .Q(\blk00000003/sig00000d87 ), + .Q15(\NLW_blk00000003/blk00000ac9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ac8 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d85 ), + .Q(\blk00000003/sig00000d86 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ac7 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e0 ), + .Q(\blk00000003/sig00000d85 ), + .Q15(\NLW_blk00000003/blk00000ac7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ac6 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d83 ), + .Q(\blk00000003/sig00000d84 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ac5 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002de ), + .Q(\blk00000003/sig00000d83 ), + .Q15(\NLW_blk00000003/blk00000ac5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ac4 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d81 ), + .Q(\blk00000003/sig00000d82 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ac3 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002dd ), + .Q(\blk00000003/sig00000d81 ), + .Q15(\NLW_blk00000003/blk00000ac3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ac2 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d7f ), + .Q(\blk00000003/sig00000d80 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ac1 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002df ), + .Q(\blk00000003/sig00000d7f ), + .Q15(\NLW_blk00000003/blk00000ac1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ac0 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d7d ), + .Q(\blk00000003/sig00000d7e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000abf ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002dc ), + .Q(\blk00000003/sig00000d7d ), + .Q15(\NLW_blk00000003/blk00000abf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000abe ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d7b ), + .Q(\blk00000003/sig00000d7c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000abd ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002db ), + .Q(\blk00000003/sig00000d7b ), + .Q15(\NLW_blk00000003/blk00000abd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000abc ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d79 ), + .Q(\blk00000003/sig00000d7a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000abb ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002da ), + .Q(\blk00000003/sig00000d79 ), + .Q15(\NLW_blk00000003/blk00000abb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aba ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d77 ), + .Q(\blk00000003/sig00000d78 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ab9 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d9 ), + .Q(\blk00000003/sig00000d77 ), + .Q15(\NLW_blk00000003/blk00000ab9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ab8 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d75 ), + .Q(\blk00000003/sig00000d76 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ab7 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d7 ), + .Q(\blk00000003/sig00000d75 ), + .Q15(\NLW_blk00000003/blk00000ab7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ab6 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d73 ), + .Q(\blk00000003/sig00000d74 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ab5 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d6 ), + .Q(\blk00000003/sig00000d73 ), + .Q15(\NLW_blk00000003/blk00000ab5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ab4 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d71 ), + .Q(\blk00000003/sig00000d72 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ab3 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d8 ), + .Q(\blk00000003/sig00000d71 ), + .Q15(\NLW_blk00000003/blk00000ab3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ab2 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d6f ), + .Q(\blk00000003/sig00000d70 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ab1 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d5 ), + .Q(\blk00000003/sig00000d6f ), + .Q15(\NLW_blk00000003/blk00000ab1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ab0 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d6d ), + .Q(\blk00000003/sig00000d6e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aaf ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d4 ), + .Q(\blk00000003/sig00000d6d ), + .Q15(\NLW_blk00000003/blk00000aaf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aae ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d6b ), + .Q(\blk00000003/sig00000d6c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aad ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d3 ), + .Q(\blk00000003/sig00000d6b ), + .Q15(\NLW_blk00000003/blk00000aad_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aac ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d69 ), + .Q(\blk00000003/sig00000d6a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aab ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d2 ), + .Q(\blk00000003/sig00000d69 ), + .Q15(\NLW_blk00000003/blk00000aab_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aaa ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d67 ), + .Q(\blk00000003/sig00000d68 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aa9 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d0 ), + .Q(\blk00000003/sig00000d67 ), + .Q15(\NLW_blk00000003/blk00000aa9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aa8 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d65 ), + .Q(\blk00000003/sig00000d66 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aa7 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002cf ), + .Q(\blk00000003/sig00000d65 ), + .Q15(\NLW_blk00000003/blk00000aa7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aa6 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d63 ), + .Q(\blk00000003/sig00000d64 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aa5 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d1 ), + .Q(\blk00000003/sig00000d63 ), + .Q15(\NLW_blk00000003/blk00000aa5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aa4 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d61 ), + .Q(\blk00000003/sig00000d62 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aa3 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002ce ), + .Q(\blk00000003/sig00000d61 ), + .Q15(\NLW_blk00000003/blk00000aa3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aa2 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d5f ), + .Q(\blk00000003/sig00000d60 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aa1 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002cd ), + .Q(\blk00000003/sig00000d5f ), + .Q15(\NLW_blk00000003/blk00000aa1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aa0 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d5d ), + .Q(\blk00000003/sig00000d5e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a9f ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002cc ), + .Q(\blk00000003/sig00000d5d ), + .Q15(\NLW_blk00000003/blk00000a9f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a9e ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d5b ), + .Q(\blk00000003/sig00000d5c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a9d ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002cb ), + .Q(\blk00000003/sig00000d5b ), + .Q15(\NLW_blk00000003/blk00000a9d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a9c ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d59 ), + .Q(\blk00000003/sig00000d5a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a9b ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c9 ), + .Q(\blk00000003/sig00000d59 ), + .Q15(\NLW_blk00000003/blk00000a9b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a9a ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d57 ), + .Q(\blk00000003/sig00000d58 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a99 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c8 ), + .Q(\blk00000003/sig00000d57 ), + .Q15(\NLW_blk00000003/blk00000a99_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a98 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d55 ), + .Q(\blk00000003/sig00000d56 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a97 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002ca ), + .Q(\blk00000003/sig00000d55 ), + .Q15(\NLW_blk00000003/blk00000a97_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a96 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d53 ), + .Q(\blk00000003/sig00000d54 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a95 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c7 ), + .Q(\blk00000003/sig00000d53 ), + .Q15(\NLW_blk00000003/blk00000a95_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a94 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d51 ), + .Q(\blk00000003/sig00000d52 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a93 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c6 ), + .Q(\blk00000003/sig00000d51 ), + .Q15(\NLW_blk00000003/blk00000a93_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a92 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d4f ), + .Q(\blk00000003/sig00000d50 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a91 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c5 ), + .Q(\blk00000003/sig00000d4f ), + .Q15(\NLW_blk00000003/blk00000a91_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a90 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d4d ), + .Q(\blk00000003/sig00000d4e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a8f ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c4 ), + .Q(\blk00000003/sig00000d4d ), + .Q15(\NLW_blk00000003/blk00000a8f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a8e ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d4b ), + .Q(\blk00000003/sig00000d4c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a8d ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c2 ), + .Q(\blk00000003/sig00000d4b ), + .Q15(\NLW_blk00000003/blk00000a8d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a8c ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d49 ), + .Q(\blk00000003/sig00000d4a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a8b ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c1 ), + .Q(\blk00000003/sig00000d49 ), + .Q15(\NLW_blk00000003/blk00000a8b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a8a ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d47 ), + .Q(\blk00000003/sig00000d48 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a89 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c3 ), + .Q(\blk00000003/sig00000d47 ), + .Q15(\NLW_blk00000003/blk00000a89_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a88 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d45 ), + .Q(\blk00000003/sig00000d46 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a87 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c0 ), + .Q(\blk00000003/sig00000d45 ), + .Q15(\NLW_blk00000003/blk00000a87_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a86 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d43 ), + .Q(\blk00000003/sig00000d44 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a85 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002bf ), + .Q(\blk00000003/sig00000d43 ), + .Q15(\NLW_blk00000003/blk00000a85_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a84 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d41 ), + .Q(\blk00000003/sig00000d42 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a83 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002be ), + .Q(\blk00000003/sig00000d41 ), + .Q15(\NLW_blk00000003/blk00000a83_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a82 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d3f ), + .Q(\blk00000003/sig00000d40 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a81 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002bd ), + .Q(\blk00000003/sig00000d3f ), + .Q15(\NLW_blk00000003/blk00000a81_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a80 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d3d ), + .Q(\blk00000003/sig00000d3e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a7f ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002bb ), + .Q(\blk00000003/sig00000d3d ), + .Q15(\NLW_blk00000003/blk00000a7f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a7e ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d3b ), + .Q(\blk00000003/sig00000d3c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a7d ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002bc ), + .Q(\blk00000003/sig00000d3b ), + .Q15(\NLW_blk00000003/blk00000a7d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a7c ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d3a ), + .Q(\blk00000003/sig00000b79 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a7b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b69 ), + .Q(\blk00000003/sig00000d3a ), + .Q15(\NLW_blk00000003/blk00000a7b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a7a ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d39 ), + .Q(\blk00000003/sig00000b78 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a79 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b68 ), + .Q(\blk00000003/sig00000d39 ), + .Q15(\NLW_blk00000003/blk00000a79_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a78 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d38 ), + .Q(\blk00000003/sig00000b7a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a77 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b6a ), + .Q(\blk00000003/sig00000d38 ), + .Q15(\NLW_blk00000003/blk00000a77_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a76 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d37 ), + .Q(\blk00000003/sig00000b77 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a75 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b67 ), + .Q(\blk00000003/sig00000d37 ), + .Q15(\NLW_blk00000003/blk00000a75_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a74 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d36 ), + .Q(\blk00000003/sig00000b76 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a73 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b66 ), + .Q(\blk00000003/sig00000d36 ), + .Q15(\NLW_blk00000003/blk00000a73_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a72 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d35 ), + .Q(\blk00000003/sig00000b75 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a71 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b65 ), + .Q(\blk00000003/sig00000d35 ), + .Q15(\NLW_blk00000003/blk00000a71_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a70 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d34 ), + .Q(\blk00000003/sig00000b74 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a6f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b64 ), + .Q(\blk00000003/sig00000d34 ), + .Q15(\NLW_blk00000003/blk00000a6f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a6e ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d33 ), + .Q(\blk00000003/sig00000b73 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a6d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b63 ), + .Q(\blk00000003/sig00000d33 ), + .Q15(\NLW_blk00000003/blk00000a6d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a6c ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d32 ), + .Q(\blk00000003/sig00000b82 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a6b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b72 ), + .Q(\blk00000003/sig00000d32 ), + .Q15(\NLW_blk00000003/blk00000a6b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a6a ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d31 ), + .Q(\blk00000003/sig00000b81 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a69 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b71 ), + .Q(\blk00000003/sig00000d31 ), + .Q15(\NLW_blk00000003/blk00000a69_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a68 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d30 ), + .Q(\blk00000003/sig00000b7f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a67 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b6f ), + .Q(\blk00000003/sig00000d30 ), + .Q15(\NLW_blk00000003/blk00000a67_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a66 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d2f ), + .Q(\blk00000003/sig00000b7e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a65 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b6e ), + .Q(\blk00000003/sig00000d2f ), + .Q15(\NLW_blk00000003/blk00000a65_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a64 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d2e ), + .Q(\blk00000003/sig00000b80 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a63 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b70 ), + .Q(\blk00000003/sig00000d2e ), + .Q15(\NLW_blk00000003/blk00000a63_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a62 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d2d ), + .Q(\blk00000003/sig00000b7d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a61 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b6d ), + .Q(\blk00000003/sig00000d2d ), + .Q15(\NLW_blk00000003/blk00000a61_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a60 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d2c ), + .Q(\blk00000003/sig00000b7c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a5f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b6c ), + .Q(\blk00000003/sig00000d2c ), + .Q15(\NLW_blk00000003/blk00000a5f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a5e ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d2b ), + .Q(\blk00000003/sig00000b7b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a5d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b6b ), + .Q(\blk00000003/sig00000d2b ), + .Q15(\NLW_blk00000003/blk00000a5d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a5c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d2a ), + .Q(\blk00000003/sig00000c6e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a5b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000299 ), + .Q(\blk00000003/sig00000d2a ), + .Q15(\NLW_blk00000003/blk00000a5b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a5a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d29 ), + .Q(\blk00000003/sig00000c6f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a59 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000297 ), + .Q(\blk00000003/sig00000d29 ), + .Q15(\NLW_blk00000003/blk00000a59_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a58 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d28 ), + .Q(\blk00000003/sig00000c71 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a57 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000296 ), + .Q(\blk00000003/sig00000d28 ), + .Q15(\NLW_blk00000003/blk00000a57_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a56 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d27 ), + .Q(\blk00000003/sig00000c70 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a55 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000298 ), + .Q(\blk00000003/sig00000d27 ), + .Q15(\NLW_blk00000003/blk00000a55_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a54 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d26 ), + .Q(\blk00000003/sig00000c73 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a53 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000295 ), + .Q(\blk00000003/sig00000d26 ), + .Q15(\NLW_blk00000003/blk00000a53_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a52 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d25 ), + .Q(\blk00000003/sig00000c72 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a51 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000294 ), + .Q(\blk00000003/sig00000d25 ), + .Q15(\NLW_blk00000003/blk00000a51_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a50 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d24 ), + .Q(\blk00000003/sig00000c74 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a4f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000293 ), + .Q(\blk00000003/sig00000d24 ), + .Q15(\NLW_blk00000003/blk00000a4f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a4e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d23 ), + .Q(\blk00000003/sig00000c76 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a4d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000292 ), + .Q(\blk00000003/sig00000d23 ), + .Q15(\NLW_blk00000003/blk00000a4d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a4c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d22 ), + .Q(\blk00000003/sig00000c77 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a4b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000290 ), + .Q(\blk00000003/sig00000d22 ), + .Q15(\NLW_blk00000003/blk00000a4b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a4a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d21 ), + .Q(\blk00000003/sig00000c79 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a49 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028f ), + .Q(\blk00000003/sig00000d21 ), + .Q15(\NLW_blk00000003/blk00000a49_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a48 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d20 ), + .Q(\blk00000003/sig00000c75 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a47 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000291 ), + .Q(\blk00000003/sig00000d20 ), + .Q15(\NLW_blk00000003/blk00000a47_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a46 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d1f ), + .Q(\blk00000003/sig00000c78 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a45 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028e ), + .Q(\blk00000003/sig00000d1f ), + .Q15(\NLW_blk00000003/blk00000a45_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a44 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d1e ), + .Q(\blk00000003/sig00000c7a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a43 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028d ), + .Q(\blk00000003/sig00000d1e ), + .Q15(\NLW_blk00000003/blk00000a43_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a42 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d1d ), + .Q(\blk00000003/sig00000c7c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a41 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028c ), + .Q(\blk00000003/sig00000d1d ), + .Q15(\NLW_blk00000003/blk00000a41_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a40 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d1c ), + .Q(\blk00000003/sig00000c7b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a3f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028b ), + .Q(\blk00000003/sig00000d1c ), + .Q15(\NLW_blk00000003/blk00000a3f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a3e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d1b ), + .Q(\blk00000003/sig00000c7e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a3d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000211 ), + .Q(\blk00000003/sig00000d1b ), + .Q15(\NLW_blk00000003/blk00000a3d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a3c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d1a ), + .Q(\blk00000003/sig00000c7f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a3b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000210 ), + .Q(\blk00000003/sig00000d1a ), + .Q15(\NLW_blk00000003/blk00000a3b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a3a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d19 ), + .Q(\blk00000003/sig00000c7d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a39 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028a ), + .Q(\blk00000003/sig00000d19 ), + .Q15(\NLW_blk00000003/blk00000a39_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a38 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d18 ), + .Q(\blk00000003/sig00000c80 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a37 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020f ), + .Q(\blk00000003/sig00000d18 ), + .Q15(\NLW_blk00000003/blk00000a37_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a36 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d17 ), + .Q(\blk00000003/sig00000c82 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a35 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020e ), + .Q(\blk00000003/sig00000d17 ), + .Q15(\NLW_blk00000003/blk00000a35_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a34 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d16 ), + .Q(\blk00000003/sig00000c81 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a33 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020d ), + .Q(\blk00000003/sig00000d16 ), + .Q15(\NLW_blk00000003/blk00000a33_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a32 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d15 ), + .Q(\blk00000003/sig00000c83 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a31 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020c ), + .Q(\blk00000003/sig00000d15 ), + .Q15(\NLW_blk00000003/blk00000a31_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a30 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d14 ), + .Q(\blk00000003/sig00000c84 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a2f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020a ), + .Q(\blk00000003/sig00000d14 ), + .Q15(\NLW_blk00000003/blk00000a2f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a2e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d13 ), + .Q(\blk00000003/sig00000c86 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a2d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000209 ), + .Q(\blk00000003/sig00000d13 ), + .Q15(\NLW_blk00000003/blk00000a2d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a2c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d12 ), + .Q(\blk00000003/sig00000c85 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a2b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020b ), + .Q(\blk00000003/sig00000d12 ), + .Q15(\NLW_blk00000003/blk00000a2b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a2a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d11 ), + .Q(\blk00000003/sig00000c88 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a29 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000208 ), + .Q(\blk00000003/sig00000d11 ), + .Q15(\NLW_blk00000003/blk00000a29_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a28 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d10 ), + .Q(\blk00000003/sig00000c87 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a27 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000207 ), + .Q(\blk00000003/sig00000d10 ), + .Q15(\NLW_blk00000003/blk00000a27_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a26 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d0f ), + .Q(\blk00000003/sig00000c89 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a25 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000206 ), + .Q(\blk00000003/sig00000d0f ), + .Q15(\NLW_blk00000003/blk00000a25_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a24 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d0e ), + .Q(\blk00000003/sig00000c8b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a23 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000205 ), + .Q(\blk00000003/sig00000d0e ), + .Q15(\NLW_blk00000003/blk00000a23_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a22 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d0d ), + .Q(\blk00000003/sig00000c8c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a21 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000203 ), + .Q(\blk00000003/sig00000d0d ), + .Q15(\NLW_blk00000003/blk00000a21_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a20 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d0c ), + .Q(\blk00000003/sig00000c8d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a1f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000202 ), + .Q(\blk00000003/sig00000d0c ), + .Q15(\NLW_blk00000003/blk00000a1f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a1e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d0b ), + .Q(\blk00000003/sig00000c8a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a1d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000204 ), + .Q(\blk00000003/sig00000d0b ), + .Q15(\NLW_blk00000003/blk00000a1d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a1c ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d0a ), + .Q(\blk00000003/sig00000a46 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a1b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a26 ), + .Q(\blk00000003/sig00000d0a ), + .Q15(\NLW_blk00000003/blk00000a1b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a1a ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d09 ), + .Q(\blk00000003/sig00000a45 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a19 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a25 ), + .Q(\blk00000003/sig00000d09 ), + .Q15(\NLW_blk00000003/blk00000a19_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a18 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d08 ), + .Q(\blk00000003/sig00000a43 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a17 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a23 ), + .Q(\blk00000003/sig00000d08 ), + .Q15(\NLW_blk00000003/blk00000a17_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a16 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d07 ), + .Q(\blk00000003/sig00000a42 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a15 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a22 ), + .Q(\blk00000003/sig00000d07 ), + .Q15(\NLW_blk00000003/blk00000a15_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a14 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d06 ), + .Q(\blk00000003/sig00000a44 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a13 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a24 ), + .Q(\blk00000003/sig00000d06 ), + .Q15(\NLW_blk00000003/blk00000a13_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a12 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d05 ), + .Q(\blk00000003/sig00000a41 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a11 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a21 ), + .Q(\blk00000003/sig00000d05 ), + .Q15(\NLW_blk00000003/blk00000a11_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a10 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d04 ), + .Q(\blk00000003/sig00000a40 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a0f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a20 ), + .Q(\blk00000003/sig00000d04 ), + .Q15(\NLW_blk00000003/blk00000a0f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a0e ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d03 ), + .Q(\blk00000003/sig00000a3f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a0d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a1f ), + .Q(\blk00000003/sig00000d03 ), + .Q15(\NLW_blk00000003/blk00000a0d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a0c ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d02 ), + .Q(\blk00000003/sig00000a3e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a0b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a1e ), + .Q(\blk00000003/sig00000d02 ), + .Q15(\NLW_blk00000003/blk00000a0b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a0a ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d01 ), + .Q(\blk00000003/sig00000a3c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a09 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a1c ), + .Q(\blk00000003/sig00000d01 ), + .Q15(\NLW_blk00000003/blk00000a09_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a08 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d00 ), + .Q(\blk00000003/sig00000a3b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a07 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a1b ), + .Q(\blk00000003/sig00000d00 ), + .Q15(\NLW_blk00000003/blk00000a07_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a06 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000cff ), + .Q(\blk00000003/sig00000a3d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a05 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a1d ), + .Q(\blk00000003/sig00000cff ), + .Q15(\NLW_blk00000003/blk00000a05_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a04 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000cfe ), + .Q(\blk00000003/sig00000a3a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a03 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a1a ), + .Q(\blk00000003/sig00000cfe ), + .Q15(\NLW_blk00000003/blk00000a03_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a02 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000cfd ), + .Q(\blk00000003/sig00000a39 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a01 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a19 ), + .Q(\blk00000003/sig00000cfd ), + .Q15(\NLW_blk00000003/blk00000a01_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a00 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000cfc ), + .Q(\blk00000003/sig00000a38 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ff ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a18 ), + .Q(\blk00000003/sig00000cfc ), + .Q15(\NLW_blk00000003/blk000009ff_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009fe ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000cfb ), + .Q(\blk00000003/sig00000a37 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009fd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a17 ), + .Q(\blk00000003/sig00000cfb ), + .Q15(\NLW_blk00000003/blk000009fd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009fc ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cfa ), + .Q(\blk00000003/sig00000a56 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009fb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a36 ), + .Q(\blk00000003/sig00000cfa ), + .Q15(\NLW_blk00000003/blk000009fb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009fa ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf9 ), + .Q(\blk00000003/sig00000a55 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009f9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a35 ), + .Q(\blk00000003/sig00000cf9 ), + .Q15(\NLW_blk00000003/blk000009f9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009f8 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf8 ), + .Q(\blk00000003/sig00000a54 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009f7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a34 ), + .Q(\blk00000003/sig00000cf8 ), + .Q15(\NLW_blk00000003/blk000009f7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009f6 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf7 ), + .Q(\blk00000003/sig00000a53 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009f5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a33 ), + .Q(\blk00000003/sig00000cf7 ), + .Q15(\NLW_blk00000003/blk000009f5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009f4 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf6 ), + .Q(\blk00000003/sig00000a52 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009f3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a32 ), + .Q(\blk00000003/sig00000cf6 ), + .Q15(\NLW_blk00000003/blk000009f3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009f2 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf5 ), + .Q(\blk00000003/sig00000a50 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009f1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a30 ), + .Q(\blk00000003/sig00000cf5 ), + .Q15(\NLW_blk00000003/blk000009f1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009f0 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf4 ), + .Q(\blk00000003/sig00000a4f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ef ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a2f ), + .Q(\blk00000003/sig00000cf4 ), + .Q15(\NLW_blk00000003/blk000009ef_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ee ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf3 ), + .Q(\blk00000003/sig00000a51 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ed ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a31 ), + .Q(\blk00000003/sig00000cf3 ), + .Q15(\NLW_blk00000003/blk000009ed_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ec ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf2 ), + .Q(\blk00000003/sig00000a4e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009eb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a2e ), + .Q(\blk00000003/sig00000cf2 ), + .Q15(\NLW_blk00000003/blk000009eb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ea ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf1 ), + .Q(\blk00000003/sig00000a4d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009e9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a2d ), + .Q(\blk00000003/sig00000cf1 ), + .Q15(\NLW_blk00000003/blk000009e9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009e8 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf0 ), + .Q(\blk00000003/sig00000a4c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009e7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a2c ), + .Q(\blk00000003/sig00000cf0 ), + .Q15(\NLW_blk00000003/blk000009e7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009e6 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cef ), + .Q(\blk00000003/sig00000a4b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009e5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a2b ), + .Q(\blk00000003/sig00000cef ), + .Q15(\NLW_blk00000003/blk000009e5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009e4 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cee ), + .Q(\blk00000003/sig00000a49 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009e3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a29 ), + .Q(\blk00000003/sig00000cee ), + .Q15(\NLW_blk00000003/blk000009e3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009e2 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000ced ), + .Q(\blk00000003/sig00000a48 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009e1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a28 ), + .Q(\blk00000003/sig00000ced ), + .Q15(\NLW_blk00000003/blk000009e1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009e0 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cec ), + .Q(\blk00000003/sig00000a4a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009df ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a2a ), + .Q(\blk00000003/sig00000cec ), + .Q15(\NLW_blk00000003/blk000009df_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009de ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000ceb ), + .Q(\blk00000003/sig00000a47 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009dd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a27 ), + .Q(\blk00000003/sig00000ceb ), + .Q15(\NLW_blk00000003/blk000009dd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cea ), + .Q(\blk00000003/sig00000ac6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009db ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002ea ), + .Q(\blk00000003/sig00000cea ), + .Q15(\NLW_blk00000003/blk000009db_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009da ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce9 ), + .Q(\blk00000003/sig00000ac5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009d9 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e9 ), + .Q(\blk00000003/sig00000ce9 ), + .Q15(\NLW_blk00000003/blk000009d9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce8 ), + .Q(\blk00000003/sig00000ac4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009d7 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e8 ), + .Q(\blk00000003/sig00000ce8 ), + .Q15(\NLW_blk00000003/blk000009d7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce7 ), + .Q(\blk00000003/sig00000ac2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009d5 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e6 ), + .Q(\blk00000003/sig00000ce7 ), + .Q15(\NLW_blk00000003/blk000009d5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce6 ), + .Q(\blk00000003/sig00000ac1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009d3 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e5 ), + .Q(\blk00000003/sig00000ce6 ), + .Q15(\NLW_blk00000003/blk000009d3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce5 ), + .Q(\blk00000003/sig00000ac3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009d1 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e7 ), + .Q(\blk00000003/sig00000ce5 ), + .Q15(\NLW_blk00000003/blk000009d1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce4 ), + .Q(\blk00000003/sig00000ac0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009cf ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e4 ), + .Q(\blk00000003/sig00000ce4 ), + .Q15(\NLW_blk00000003/blk000009cf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce3 ), + .Q(\blk00000003/sig00000abf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009cd ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e3 ), + .Q(\blk00000003/sig00000ce3 ), + .Q15(\NLW_blk00000003/blk000009cd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce2 ), + .Q(\blk00000003/sig00000abe ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009cb ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e2 ), + .Q(\blk00000003/sig00000ce2 ), + .Q15(\NLW_blk00000003/blk000009cb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce1 ), + .Q(\blk00000003/sig00000abd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009c9 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e1 ), + .Q(\blk00000003/sig00000ce1 ), + .Q15(\NLW_blk00000003/blk000009c9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce0 ), + .Q(\blk00000003/sig00000abb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009c7 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002df ), + .Q(\blk00000003/sig00000ce0 ), + .Q15(\NLW_blk00000003/blk000009c7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cdf ), + .Q(\blk00000003/sig00000aba ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009c5 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002de ), + .Q(\blk00000003/sig00000cdf ), + .Q15(\NLW_blk00000003/blk000009c5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cde ), + .Q(\blk00000003/sig00000abc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009c3 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e0 ), + .Q(\blk00000003/sig00000cde ), + .Q15(\NLW_blk00000003/blk000009c3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cdd ), + .Q(\blk00000003/sig00000ab9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009c1 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002dd ), + .Q(\blk00000003/sig00000cdd ), + .Q15(\NLW_blk00000003/blk000009c1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cdc ), + .Q(\blk00000003/sig00000ab8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009bf ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002dc ), + .Q(\blk00000003/sig00000cdc ), + .Q15(\NLW_blk00000003/blk000009bf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009be ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cdb ), + .Q(\blk00000003/sig00000ab7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009bd ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002db ), + .Q(\blk00000003/sig00000cdb ), + .Q15(\NLW_blk00000003/blk000009bd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cda ), + .Q(\blk00000003/sig00000bba ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009bb ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002da ), + .Q(\blk00000003/sig00000cda ), + .Q15(\NLW_blk00000003/blk000009bb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd9 ), + .Q(\blk00000003/sig00000bb8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009b9 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d8 ), + .Q(\blk00000003/sig00000cd9 ), + .Q15(\NLW_blk00000003/blk000009b9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd8 ), + .Q(\blk00000003/sig00000bb7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009b7 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d7 ), + .Q(\blk00000003/sig00000cd8 ), + .Q15(\NLW_blk00000003/blk000009b7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd7 ), + .Q(\blk00000003/sig00000bb9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009b5 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d9 ), + .Q(\blk00000003/sig00000cd7 ), + .Q15(\NLW_blk00000003/blk000009b5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd6 ), + .Q(\blk00000003/sig00000bb6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009b3 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d6 ), + .Q(\blk00000003/sig00000cd6 ), + .Q15(\NLW_blk00000003/blk000009b3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd5 ), + .Q(\blk00000003/sig00000bb5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009b1 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d5 ), + .Q(\blk00000003/sig00000cd5 ), + .Q15(\NLW_blk00000003/blk000009b1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd4 ), + .Q(\blk00000003/sig00000bb4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009af ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d4 ), + .Q(\blk00000003/sig00000cd4 ), + .Q15(\NLW_blk00000003/blk000009af_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd3 ), + .Q(\blk00000003/sig00000bb3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ad ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d3 ), + .Q(\blk00000003/sig00000cd3 ), + .Q15(\NLW_blk00000003/blk000009ad_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd2 ), + .Q(\blk00000003/sig00000ae5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ab ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d1 ), + .Q(\blk00000003/sig00000cd2 ), + .Q15(\NLW_blk00000003/blk000009ab_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd1 ), + .Q(\blk00000003/sig00000ae4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009a9 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d0 ), + .Q(\blk00000003/sig00000cd1 ), + .Q15(\NLW_blk00000003/blk000009a9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd0 ), + .Q(\blk00000003/sig00000ae6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009a7 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d2 ), + .Q(\blk00000003/sig00000cd0 ), + .Q15(\NLW_blk00000003/blk000009a7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ccf ), + .Q(\blk00000003/sig00000ae3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009a5 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002cf ), + .Q(\blk00000003/sig00000ccf ), + .Q15(\NLW_blk00000003/blk000009a5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cce ), + .Q(\blk00000003/sig00000ae2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009a3 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002ce ), + .Q(\blk00000003/sig00000cce ), + .Q15(\NLW_blk00000003/blk000009a3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ccd ), + .Q(\blk00000003/sig00000ae1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009a1 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002cd ), + .Q(\blk00000003/sig00000ccd ), + .Q15(\NLW_blk00000003/blk000009a1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ccc ), + .Q(\blk00000003/sig00000ae0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000099f ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002cc ), + .Q(\blk00000003/sig00000ccc ), + .Q15(\NLW_blk00000003/blk0000099f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000099e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ccb ), + .Q(\blk00000003/sig00000ade ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000099d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002ca ), + .Q(\blk00000003/sig00000ccb ), + .Q15(\NLW_blk00000003/blk0000099d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000099c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cca ), + .Q(\blk00000003/sig00000add ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000099b ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c9 ), + .Q(\blk00000003/sig00000cca ), + .Q15(\NLW_blk00000003/blk0000099b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000099a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc9 ), + .Q(\blk00000003/sig00000adf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000999 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002cb ), + .Q(\blk00000003/sig00000cc9 ), + .Q15(\NLW_blk00000003/blk00000999_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000998 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc8 ), + .Q(\blk00000003/sig00000adc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000997 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c8 ), + .Q(\blk00000003/sig00000cc8 ), + .Q15(\NLW_blk00000003/blk00000997_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000996 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc7 ), + .Q(\blk00000003/sig00000adb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000995 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c7 ), + .Q(\blk00000003/sig00000cc7 ), + .Q15(\NLW_blk00000003/blk00000995_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000994 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc6 ), + .Q(\blk00000003/sig00000ada ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000993 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c6 ), + .Q(\blk00000003/sig00000cc6 ), + .Q15(\NLW_blk00000003/blk00000993_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000992 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc5 ), + .Q(\blk00000003/sig00000ad9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000991 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c5 ), + .Q(\blk00000003/sig00000cc5 ), + .Q15(\NLW_blk00000003/blk00000991_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000990 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc4 ), + .Q(\blk00000003/sig00000ad7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000098f ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c3 ), + .Q(\blk00000003/sig00000cc4 ), + .Q15(\NLW_blk00000003/blk0000098f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000098e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc3 ), + .Q(\blk00000003/sig00000bca ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000098d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c2 ), + .Q(\blk00000003/sig00000cc3 ), + .Q15(\NLW_blk00000003/blk0000098d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000098c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc2 ), + .Q(\blk00000003/sig00000ad8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000098b ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c4 ), + .Q(\blk00000003/sig00000cc2 ), + .Q15(\NLW_blk00000003/blk0000098b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000098a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc1 ), + .Q(\blk00000003/sig00000bc9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000989 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c1 ), + .Q(\blk00000003/sig00000cc1 ), + .Q15(\NLW_blk00000003/blk00000989_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000988 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc0 ), + .Q(\blk00000003/sig00000bc8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000987 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c0 ), + .Q(\blk00000003/sig00000cc0 ), + .Q15(\NLW_blk00000003/blk00000987_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000986 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cbf ), + .Q(\blk00000003/sig00000bc7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000985 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002bf ), + .Q(\blk00000003/sig00000cbf ), + .Q15(\NLW_blk00000003/blk00000985_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000984 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cbe ), + .Q(\blk00000003/sig00000bc6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000983 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002be ), + .Q(\blk00000003/sig00000cbe ), + .Q15(\NLW_blk00000003/blk00000983_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000982 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cbd ), + .Q(\blk00000003/sig00000bc4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000981 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002bc ), + .Q(\blk00000003/sig00000cbd ), + .Q15(\NLW_blk00000003/blk00000981_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000980 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cbc ), + .Q(\blk00000003/sig00000bc3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000097f ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002bb ), + .Q(\blk00000003/sig00000cbc ), + .Q15(\NLW_blk00000003/blk0000097f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000097e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cbb ), + .Q(\blk00000003/sig00000bc5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000097d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002bd ), + .Q(\blk00000003/sig00000cbb ), + .Q15(\NLW_blk00000003/blk0000097d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000097c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cba ), + .Q(\blk00000003/sig00000378 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000097b ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002a6 ), + .Q(\blk00000003/sig00000cba ), + .Q15(\NLW_blk00000003/blk0000097b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000097a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb9 ), + .Q(\blk00000003/sig00000379 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000979 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000373 ), + .Q(\blk00000003/sig00000cb9 ), + .Q15(\NLW_blk00000003/blk00000979_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000978 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb8 ), + .Q(\blk00000003/sig00000c66 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000977 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002a2 ), + .Q(\blk00000003/sig00000cb8 ), + .Q15(\NLW_blk00000003/blk00000977_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000976 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb7 ), + .Q(\blk00000003/sig00000b08 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000975 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[0]), + .Q(\blk00000003/sig00000cb7 ), + .Q15(\NLW_blk00000003/blk00000975_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000974 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb6 ), + .Q(\blk00000003/sig00000b06 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000973 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[2]), + .Q(\blk00000003/sig00000cb6 ), + .Q15(\NLW_blk00000003/blk00000973_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000972 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb5 ), + .Q(\blk00000003/sig00000b05 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000971 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[3]), + .Q(\blk00000003/sig00000cb5 ), + .Q15(\NLW_blk00000003/blk00000971_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000970 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb4 ), + .Q(\blk00000003/sig00000b07 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000096f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[1]), + .Q(\blk00000003/sig00000cb4 ), + .Q15(\NLW_blk00000003/blk0000096f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000096e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb3 ), + .Q(\blk00000003/sig00000b04 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000096d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[4]), + .Q(\blk00000003/sig00000cb3 ), + .Q15(\NLW_blk00000003/blk0000096d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000096c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb2 ), + .Q(\blk00000003/sig00000b03 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000096b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[5]), + .Q(\blk00000003/sig00000cb2 ), + .Q15(\NLW_blk00000003/blk0000096b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000096a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb1 ), + .Q(\blk00000003/sig00000b02 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000969 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[6]), + .Q(\blk00000003/sig00000cb1 ), + .Q15(\NLW_blk00000003/blk00000969_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000968 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb0 ), + .Q(\blk00000003/sig00000b01 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000967 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[7]), + .Q(\blk00000003/sig00000cb0 ), + .Q15(\NLW_blk00000003/blk00000967_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000966 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000caf ), + .Q(\blk00000003/sig00000aff ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000965 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[9]), + .Q(\blk00000003/sig00000caf ), + .Q15(\NLW_blk00000003/blk00000965_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000964 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cae ), + .Q(\blk00000003/sig00000afe ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000963 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[10]), + .Q(\blk00000003/sig00000cae ), + .Q15(\NLW_blk00000003/blk00000963_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000962 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cad ), + .Q(\blk00000003/sig00000b00 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000961 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[8]), + .Q(\blk00000003/sig00000cad ), + .Q15(\NLW_blk00000003/blk00000961_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000960 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cac ), + .Q(\blk00000003/sig00000afd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000095f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[11]), + .Q(\blk00000003/sig00000cac ), + .Q15(\NLW_blk00000003/blk0000095f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000095e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cab ), + .Q(\blk00000003/sig00000afc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000095d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[12]), + .Q(\blk00000003/sig00000cab ), + .Q15(\NLW_blk00000003/blk0000095d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000095c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000caa ), + .Q(\blk00000003/sig00000afb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000095b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[13]), + .Q(\blk00000003/sig00000caa ), + .Q15(\NLW_blk00000003/blk0000095b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000095a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ca9 ), + .Q(\blk00000003/sig00000afa ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000959 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[14]), + .Q(\blk00000003/sig00000ca9 ), + .Q15(\NLW_blk00000003/blk00000959_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000958 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ca8 ), + .Q(\blk00000003/sig00000af8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000957 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[16]), + .Q(\blk00000003/sig00000ca8 ), + .Q15(\NLW_blk00000003/blk00000957_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000956 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ca7 ), + .Q(\blk00000003/sig00000af7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000955 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[17]), + .Q(\blk00000003/sig00000ca7 ), + .Q15(\NLW_blk00000003/blk00000955_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000954 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ca6 ), + .Q(\blk00000003/sig00000af9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000953 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[15]), + .Q(\blk00000003/sig00000ca6 ), + .Q15(\NLW_blk00000003/blk00000953_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000952 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ca5 ), + .Q(\blk00000003/sig000002af ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000951 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002b1 ), + .Q(\blk00000003/sig00000ca5 ), + .Q15(\NLW_blk00000003/blk00000951_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000950 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ca4 ), + .Q(\blk00000003/sig00000a15 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000094f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002a8 ), + .Q(\blk00000003/sig00000ca4 ), + .Q15(\NLW_blk00000003/blk0000094f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000094e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ca3 ), + .Q(\blk00000003/sig00000bd5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000094d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002a0 ), + .Q(\blk00000003/sig00000ca3 ), + .Q15(\NLW_blk00000003/blk0000094d_Q15_UNCONNECTED ) + ); + INV \blk00000003/blk0000094c ( + .I(\blk00000003/sig00000318 ), + .O(\blk00000003/sig0000034a ) + ); + INV \blk00000003/blk0000094b ( + .I(\blk00000003/sig000002f4 ), + .O(\blk00000003/sig00000306 ) + ); + INV \blk00000003/blk0000094a ( + .I(\blk00000003/sig00000353 ), + .O(\blk00000003/sig00000343 ) + ); + INV \blk00000003/blk00000949 ( + .I(\blk00000003/sig0000035a ), + .O(\blk00000003/sig00000349 ) + ); + INV \blk00000003/blk00000948 ( + .I(\blk00000003/sig000002a0 ), + .O(\blk00000003/sig00000358 ) + ); + INV \blk00000003/blk00000947 ( + .I(\blk00000003/sig00000be7 ), + .O(\blk00000003/sig00000c60 ) + ); + INV \blk00000003/blk00000946 ( + .I(\blk00000003/sig0000031c ), + .O(\blk00000003/sig0000035b ) + ); + INV \blk00000003/blk00000945 ( + .I(\blk00000003/sig00000318 ), + .O(\blk00000003/sig00000344 ) + ); + INV \blk00000003/blk00000944 ( + .I(\blk00000003/sig000002ee ), + .O(\blk00000003/sig0000031d ) + ); + INV \blk00000003/blk00000943 ( + .I(\blk00000003/sig000002f4 ), + .O(\blk00000003/sig00000304 ) + ); + INV \blk00000003/blk00000942 ( + .I(\blk00000003/sig0000029e ), + .O(\blk00000003/sig0000016e ) + ); + INV \blk00000003/blk00000941 ( + .I(\blk00000003/sig00000161 ), + .O(\blk00000003/sig0000029d ) + ); + INV \blk00000003/blk00000940 ( + .I(\blk00000003/sig0000016b ), + .O(\blk00000003/sig0000016c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000093f ( + .I0(ce), + .I1(\blk00000003/sig000009f8 ), + .O(\blk00000003/sig00000bd3 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000093e ( + .I0(ce), + .I1(\blk00000003/sig000009f8 ), + .O(\blk00000003/sig00000bd4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000093d ( + .I0(ce), + .I1(\blk00000003/sig000009f8 ), + .O(\blk00000003/sig00000ca2 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000093c ( + .I0(ce), + .I1(\blk00000003/sig000009f8 ), + .O(\blk00000003/sig00000ca1 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000093b ( + .I0(ce), + .I1(\blk00000003/sig00000a0f ), + .O(\blk00000003/sig00000ca0 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000093a ( + .I0(ce), + .I1(\blk00000003/sig00000a0f ), + .O(\blk00000003/sig00000c9f ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000939 ( + .I0(ce), + .I1(\blk00000003/sig00000a0a ), + .O(\blk00000003/sig00000c9e ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000938 ( + .I0(ce), + .I1(\blk00000003/sig00000a0a ), + .O(\blk00000003/sig00000c9d ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000937 ( + .I0(ce), + .I1(\blk00000003/sig00000a03 ), + .O(\blk00000003/sig00000c9c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000936 ( + .I0(ce), + .I1(\blk00000003/sig00000a03 ), + .O(\blk00000003/sig00000c9b ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000935 ( + .I0(ce), + .I1(\blk00000003/sig000009f7 ), + .O(\blk00000003/sig00000c9a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000934 ( + .I0(ce), + .I1(\blk00000003/sig000009f7 ), + .O(\blk00000003/sig00000c99 ) + ); + LUT3 #( + .INIT ( 8'h20 )) + \blk00000003/blk00000933 ( + .I0(\blk00000003/sig0000030e ), + .I1(\blk00000003/sig00000325 ), + .I2(coef_ld), + .O(\blk00000003/sig00000321 ) + ); + LUT5 #( + .INIT ( 32'h4F444444 )) + \blk00000003/blk00000932 ( + .I0(\blk00000003/sig00000322 ), + .I1(\blk00000003/sig00000316 ), + .I2(\blk00000003/sig00000325 ), + .I3(coef_ld), + .I4(\blk00000003/sig0000030e ), + .O(\blk00000003/sig00000319 ) + ); + LUT4 #( + .INIT ( 16'h1000 )) + \blk00000003/blk00000931 ( + .I0(coef_ld), + .I1(\blk00000003/sig00000310 ), + .I2(coef_we), + .I3(\blk00000003/sig0000030e ), + .O(\blk00000003/sig00000320 ) + ); + LUT5 #( + .INIT ( 32'h20AA2020 )) + \blk00000003/blk00000930 ( + .I0(\blk00000003/sig0000030e ), + .I1(\blk00000003/sig00000310 ), + .I2(coef_we), + .I3(\blk00000003/sig00000325 ), + .I4(coef_ld), + .O(\blk00000003/sig0000031f ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk0000092f ( + .I0(ce), + .I1(sclr), + .I2(\blk00000003/sig00000c67 ), + .O(\blk00000003/sig00000c90 ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk0000092e ( + .I0(\blk00000003/sig00000353 ), + .I1(ce), + .I2(\blk00000003/sig00000316 ), + .I3(\blk00000003/sig000002ec ), + .O(\blk00000003/sig00000c98 ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk0000092d ( + .I0(\blk00000003/sig0000035a ), + .I1(ce), + .I2(\blk00000003/sig00000314 ), + .I3(\blk00000003/sig0000034b ), + .O(\blk00000003/sig00000c97 ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk0000092c ( + .I0(\blk00000003/sig00000c64 ), + .I1(ce), + .I2(\blk00000003/sig000002a6 ), + .I3(\blk00000003/sig000002a8 ), + .O(\blk00000003/sig00000c92 ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk0000092b ( + .I0(ce), + .I1(\blk00000003/sig00000325 ), + .I2(\blk00000003/sig00000c65 ), + .O(\blk00000003/sig00000c8f ) + ); + LUT4 #( + .INIT ( 16'h6AAA )) + \blk00000003/blk0000092a ( + .I0(\blk00000003/sig000002b3 ), + .I1(\blk00000003/sig000002a8 ), + .I2(\blk00000003/sig00000c94 ), + .I3(ce), + .O(\blk00000003/sig00000c96 ) + ); + LUT5 #( + .INIT ( 32'h7FFF8000 )) + \blk00000003/blk00000929 ( + .I0(nd), + .I1(ce), + .I2(\blk00000003/sig00000159 ), + .I3(\blk00000003/sig00000c63 ), + .I4(\blk00000003/sig000002b8 ), + .O(\blk00000003/sig00000c95 ) + ); + LUT3 #( + .INIT ( 8'h6C )) + \blk00000003/blk00000928 ( + .I0(\blk00000003/sig000002a8 ), + .I1(\blk00000003/sig00000c94 ), + .I2(ce), + .O(\blk00000003/sig00000c93 ) + ); + LUT4 #( + .INIT ( 16'h6AAA )) + \blk00000003/blk00000927 ( + .I0(\blk00000003/sig00000c63 ), + .I1(\blk00000003/sig00000159 ), + .I2(ce), + .I3(nd), + .O(\blk00000003/sig00000c91 ) + ); + LUT4 #( + .INIT ( 16'hEAAA )) + \blk00000003/blk00000926 ( + .I0(\blk00000003/sig00000330 ), + .I1(\blk00000003/sig000002f8 ), + .I2(coef_we), + .I3(\blk00000003/sig00000302 ), + .O(\blk00000003/sig0000032a ) + ); + LUT4 #( + .INIT ( 16'hEAAA )) + \blk00000003/blk00000925 ( + .I0(\blk00000003/sig00000331 ), + .I1(\blk00000003/sig000002f8 ), + .I2(coef_we), + .I3(\blk00000003/sig00000302 ), + .O(\blk00000003/sig0000032d ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk00000924 ( + .C(clk), + .D(\blk00000003/sig00000c98 ), + .Q(\blk00000003/sig00000353 ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk00000923 ( + .C(clk), + .D(\blk00000003/sig00000c97 ), + .Q(\blk00000003/sig0000035a ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk00000922 ( + .C(clk), + .D(\blk00000003/sig00000c96 ), + .R(sclr), + .Q(\blk00000003/sig000002b3 ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk00000921 ( + .C(clk), + .D(\blk00000003/sig00000c95 ), + .R(sclr), + .Q(\blk00000003/sig000002b8 ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk00000920 ( + .C(clk), + .D(\blk00000003/sig00000c93 ), + .R(sclr), + .Q(\blk00000003/sig00000c94 ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk0000091f ( + .C(clk), + .D(\blk00000003/sig00000c92 ), + .R(sclr), + .Q(\blk00000003/sig00000c64 ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk0000091e ( + .C(clk), + .D(\blk00000003/sig00000c91 ), + .R(sclr), + .Q(\blk00000003/sig00000c63 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000091d ( + .I0(\blk00000003/sig00000beb ), + .O(\blk00000003/sig00000be5 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000091c ( + .I0(\blk00000003/sig00000bea ), + .O(\blk00000003/sig00000be2 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000091b ( + .I0(\blk00000003/sig00000be9 ), + .O(\blk00000003/sig00000bdf ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000091a ( + .I0(\blk00000003/sig00000be8 ), + .O(\blk00000003/sig00000bdc ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000919 ( + .I0(\blk00000003/sig00000be7 ), + .O(\blk00000003/sig00000bd9 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000918 ( + .I0(\blk00000003/sig00000375 ), + .O(\blk00000003/sig00000376 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000917 ( + .I0(\blk00000003/sig00000369 ), + .O(\blk00000003/sig00000363 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000916 ( + .I0(\blk00000003/sig00000334 ), + .O(\blk00000003/sig00000335 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000915 ( + .I0(\blk00000003/sig0000029e ), + .O(\blk00000003/sig0000016f ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000914 ( + .I0(\blk00000003/sig00000c63 ), + .O(\blk00000003/sig00000160 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000913 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c5a ), + .R(sclr), + .Q(\blk00000003/sig00000c5f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000912 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c57 ), + .R(sclr), + .Q(\blk00000003/sig00000c5e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000911 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c54 ), + .R(sclr), + .Q(\blk00000003/sig00000c5d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000910 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c51 ), + .R(sclr), + .Q(\blk00000003/sig00000c5c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000090f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c4e ), + .R(sclr), + .Q(\blk00000003/sig00000c5b ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk0000090e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bd7 ), + .S(sclr), + .Q(\blk00000003/sig00000bec ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000090d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000be6 ), + .R(sclr), + .Q(\blk00000003/sig00000beb ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk0000090c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000be3 ), + .S(sclr), + .Q(\blk00000003/sig00000bea ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk0000090b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000be0 ), + .S(sclr), + .Q(\blk00000003/sig00000be9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000090a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bdd ), + .R(sclr), + .Q(\blk00000003/sig00000be8 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000909 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bda ), + .S(sclr), + .Q(\blk00000003/sig00000be7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000908 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000377 ), + .R(\blk00000003/sig0000037a ), + .Q(\blk00000003/sig00000375 ) + ); + FDR \blk00000003/blk00000907 ( + .C(clk), + .D(\blk00000003/sig00000c90 ), + .R(ce), + .Q(\blk00000003/sig00000c67 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000906 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000371 ), + .R(\blk00000003/sig00000379 ), + .Q(\blk00000003/sig0000036f ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000905 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000036c ), + .S(\blk00000003/sig00000379 ), + .Q(\blk00000003/sig0000036a ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000904 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000364 ), + .S(sclr), + .Q(\blk00000003/sig00000369 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000903 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000367 ), + .R(sclr), + .Q(\blk00000003/sig00000368 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000902 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000035e ), + .R(sclr), + .Q(\blk00000003/sig000002ba ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000901 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000361 ), + .R(sclr), + .Q(\blk00000003/sig000002b9 ) + ); + FDR \blk00000003/blk00000900 ( + .C(clk), + .D(\blk00000003/sig00000c8f ), + .R(ce), + .Q(\blk00000003/sig00000c65 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000336 ), + .R(\blk00000003/sig00000339 ), + .Q(\blk00000003/sig00000334 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000328 ), + .R(coef_ld), + .Q(\blk00000003/sig00000332 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000032e ), + .R(coef_ld), + .Q(\blk00000003/sig00000331 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000032b ), + .R(coef_ld), + .Q(\blk00000003/sig00000330 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002f6 ), + .R(coef_ld), + .Q(\blk00000003/sig000002f4 ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk000008fa ( + .I0(\blk00000003/sig0000016b ), + .I1(sclr), + .O(\blk00000003/sig00000c8e ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk000008f9 ( + .C(clk), + .D(\blk00000003/sig00000c8e ), + .Q(\blk00000003/sig0000016b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000163 ), + .R(sclr), + .Q(\blk00000003/sig00000161 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000008f7 ( + .I0(\blk00000003/sig00000c5b ), + .I1(\blk00000003/sig00000be7 ), + .O(\blk00000003/sig00000c4d ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000008f6 ( + .I0(\blk00000003/sig00000c5c ), + .I1(\blk00000003/sig00000be7 ), + .O(\blk00000003/sig00000c50 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000008f5 ( + .I0(\blk00000003/sig00000c5d ), + .I1(\blk00000003/sig00000be7 ), + .O(\blk00000003/sig00000c53 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000008f4 ( + .I0(\blk00000003/sig00000c5e ), + .I1(\blk00000003/sig00000be7 ), + .O(\blk00000003/sig00000c56 ) + ); + LUT3 #( + .INIT ( 8'hDE )) + \blk00000003/blk000008f3 ( + .I0(\blk00000003/sig00000c5f ), + .I1(\blk00000003/sig00000be7 ), + .I2(\blk00000003/sig000002ad ), + .O(\blk00000003/sig00000c59 ) + ); + LUT3 #( + .INIT ( 8'h04 )) + \blk00000003/blk000008f2 ( + .I0(\blk00000003/sig000002ad ), + .I1(\blk00000003/sig0000004a ), + .I2(\blk00000003/sig00000be7 ), + .O(\blk00000003/sig00000c4b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008f1 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000de ), + .I3(NlwRenamedSig_OI_dout_2[45]), + .O(\blk00000003/sig00000c49 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008f0 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000dd ), + .I3(NlwRenamedSig_OI_dout_2[46]), + .O(\blk00000003/sig00000c4a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ef ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000df ), + .I3(NlwRenamedSig_OI_dout_2[44]), + .O(\blk00000003/sig00000c48 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ee ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e1 ), + .I3(NlwRenamedSig_OI_dout_2[42]), + .O(\blk00000003/sig00000c46 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ed ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e0 ), + .I3(NlwRenamedSig_OI_dout_2[43]), + .O(\blk00000003/sig00000c47 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ec ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e2 ), + .I3(NlwRenamedSig_OI_dout_2[41]), + .O(\blk00000003/sig00000c45 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008eb ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e4 ), + .I3(NlwRenamedSig_OI_dout_2[39]), + .O(\blk00000003/sig00000c43 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ea ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e3 ), + .I3(NlwRenamedSig_OI_dout_2[40]), + .O(\blk00000003/sig00000c44 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e9 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e5 ), + .I3(NlwRenamedSig_OI_dout_2[38]), + .O(\blk00000003/sig00000c42 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e8 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e7 ), + .I3(NlwRenamedSig_OI_dout_2[36]), + .O(\blk00000003/sig00000c40 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e7 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e6 ), + .I3(NlwRenamedSig_OI_dout_2[37]), + .O(\blk00000003/sig00000c41 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e6 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e8 ), + .I3(NlwRenamedSig_OI_dout_2[35]), + .O(\blk00000003/sig00000c3f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e5 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000ea ), + .I3(NlwRenamedSig_OI_dout_2[33]), + .O(\blk00000003/sig00000c3d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e4 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e9 ), + .I3(NlwRenamedSig_OI_dout_2[34]), + .O(\blk00000003/sig00000c3e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e3 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000eb ), + .I3(NlwRenamedSig_OI_dout_2[32]), + .O(\blk00000003/sig00000c3c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e2 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000ed ), + .I3(NlwRenamedSig_OI_dout_2[30]), + .O(\blk00000003/sig00000c3a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e1 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000ec ), + .I3(NlwRenamedSig_OI_dout_2[31]), + .O(\blk00000003/sig00000c3b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e0 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000ee ), + .I3(NlwRenamedSig_OI_dout_2[29]), + .O(\blk00000003/sig00000c39 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008df ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f0 ), + .I3(NlwRenamedSig_OI_dout_2[27]), + .O(\blk00000003/sig00000c37 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008de ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000ef ), + .I3(NlwRenamedSig_OI_dout_2[28]), + .O(\blk00000003/sig00000c38 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008dd ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f1 ), + .I3(NlwRenamedSig_OI_dout_2[26]), + .O(\blk00000003/sig00000c36 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008dc ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f3 ), + .I3(NlwRenamedSig_OI_dout_2[24]), + .O(\blk00000003/sig00000c34 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008db ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f2 ), + .I3(NlwRenamedSig_OI_dout_2[25]), + .O(\blk00000003/sig00000c35 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008da ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f4 ), + .I3(NlwRenamedSig_OI_dout_2[23]), + .O(\blk00000003/sig00000c33 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d9 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f6 ), + .I3(NlwRenamedSig_OI_dout_2[21]), + .O(\blk00000003/sig00000c31 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d8 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f5 ), + .I3(NlwRenamedSig_OI_dout_2[22]), + .O(\blk00000003/sig00000c32 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d7 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f7 ), + .I3(NlwRenamedSig_OI_dout_2[20]), + .O(\blk00000003/sig00000c30 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d6 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f9 ), + .I3(NlwRenamedSig_OI_dout_2[18]), + .O(\blk00000003/sig00000c2e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d5 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f8 ), + .I3(NlwRenamedSig_OI_dout_2[19]), + .O(\blk00000003/sig00000c2f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d4 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000fa ), + .I3(NlwRenamedSig_OI_dout_2[17]), + .O(\blk00000003/sig00000c2d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d3 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c8d ), + .I3(NlwRenamedSig_OI_dout_2[15]), + .O(\blk00000003/sig00000c2b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d2 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000fb ), + .I3(NlwRenamedSig_OI_dout_2[16]), + .O(\blk00000003/sig00000c2c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d1 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c8c ), + .I3(NlwRenamedSig_OI_dout_2[14]), + .O(\blk00000003/sig00000c2a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d0 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c8b ), + .I3(NlwRenamedSig_OI_dout_2[12]), + .O(\blk00000003/sig00000c28 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008cf ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c8a ), + .I3(NlwRenamedSig_OI_dout_2[13]), + .O(\blk00000003/sig00000c29 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ce ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c89 ), + .I3(NlwRenamedSig_OI_dout_2[11]), + .O(\blk00000003/sig00000c27 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008cd ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c88 ), + .I3(NlwRenamedSig_OI_dout_2[9]), + .O(\blk00000003/sig00000c25 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008cc ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c87 ), + .I3(NlwRenamedSig_OI_dout_2[10]), + .O(\blk00000003/sig00000c26 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008cb ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c86 ), + .I3(NlwRenamedSig_OI_dout_2[8]), + .O(\blk00000003/sig00000c24 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ca ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c85 ), + .I3(NlwRenamedSig_OI_dout_2[6]), + .O(\blk00000003/sig00000c22 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c9 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c84 ), + .I3(NlwRenamedSig_OI_dout_2[7]), + .O(\blk00000003/sig00000c23 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c8 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c83 ), + .I3(NlwRenamedSig_OI_dout_2[5]), + .O(\blk00000003/sig00000c21 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c7 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c82 ), + .I3(NlwRenamedSig_OI_dout_2[3]), + .O(\blk00000003/sig00000c1f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c6 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c81 ), + .I3(NlwRenamedSig_OI_dout_2[4]), + .O(\blk00000003/sig00000c20 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c5 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c80 ), + .I3(NlwRenamedSig_OI_dout_2[2]), + .O(\blk00000003/sig00000c1e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c4 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c7f ), + .I3(NlwRenamedSig_OI_dout_2[1]), + .O(\blk00000003/sig00000c1d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c3 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000133 ), + .I3(NlwRenamedSig_OI_dout_1[46]), + .O(\blk00000003/sig00000c1b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c2 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c7e ), + .I3(NlwRenamedSig_OI_dout_2[0]), + .O(\blk00000003/sig00000c1c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c1 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000134 ), + .I3(NlwRenamedSig_OI_dout_1[45]), + .O(\blk00000003/sig00000c1a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c0 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000136 ), + .I3(NlwRenamedSig_OI_dout_1[43]), + .O(\blk00000003/sig00000c18 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008bf ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000135 ), + .I3(NlwRenamedSig_OI_dout_1[44]), + .O(\blk00000003/sig00000c19 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008be ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000137 ), + .I3(NlwRenamedSig_OI_dout_1[42]), + .O(\blk00000003/sig00000c17 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008bd ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000139 ), + .I3(NlwRenamedSig_OI_dout_1[40]), + .O(\blk00000003/sig00000c15 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008bc ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000138 ), + .I3(NlwRenamedSig_OI_dout_1[41]), + .O(\blk00000003/sig00000c16 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008bb ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000013a ), + .I3(NlwRenamedSig_OI_dout_1[39]), + .O(\blk00000003/sig00000c14 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ba ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000013c ), + .I3(NlwRenamedSig_OI_dout_1[37]), + .O(\blk00000003/sig00000c12 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b9 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000013b ), + .I3(NlwRenamedSig_OI_dout_1[38]), + .O(\blk00000003/sig00000c13 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b8 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000013d ), + .I3(NlwRenamedSig_OI_dout_1[36]), + .O(\blk00000003/sig00000c11 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b7 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000013f ), + .I3(NlwRenamedSig_OI_dout_1[34]), + .O(\blk00000003/sig00000c0f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b6 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000013e ), + .I3(NlwRenamedSig_OI_dout_1[35]), + .O(\blk00000003/sig00000c10 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b5 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000140 ), + .I3(NlwRenamedSig_OI_dout_1[33]), + .O(\blk00000003/sig00000c0e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b4 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000142 ), + .I3(NlwRenamedSig_OI_dout_1[31]), + .O(\blk00000003/sig00000c0c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b3 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000141 ), + .I3(NlwRenamedSig_OI_dout_1[32]), + .O(\blk00000003/sig00000c0d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b2 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000143 ), + .I3(NlwRenamedSig_OI_dout_1[30]), + .O(\blk00000003/sig00000c0b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b1 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000145 ), + .I3(NlwRenamedSig_OI_dout_1[28]), + .O(\blk00000003/sig00000c09 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b0 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000144 ), + .I3(NlwRenamedSig_OI_dout_1[29]), + .O(\blk00000003/sig00000c0a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008af ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000146 ), + .I3(NlwRenamedSig_OI_dout_1[27]), + .O(\blk00000003/sig00000c08 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ae ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000148 ), + .I3(NlwRenamedSig_OI_dout_1[25]), + .O(\blk00000003/sig00000c06 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ad ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000147 ), + .I3(NlwRenamedSig_OI_dout_1[26]), + .O(\blk00000003/sig00000c07 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ac ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000149 ), + .I3(NlwRenamedSig_OI_dout_1[24]), + .O(\blk00000003/sig00000c05 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ab ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000014b ), + .I3(NlwRenamedSig_OI_dout_1[22]), + .O(\blk00000003/sig00000c03 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008aa ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000014a ), + .I3(NlwRenamedSig_OI_dout_1[23]), + .O(\blk00000003/sig00000c04 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a9 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000014c ), + .I3(NlwRenamedSig_OI_dout_1[21]), + .O(\blk00000003/sig00000c02 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a8 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000014e ), + .I3(NlwRenamedSig_OI_dout_1[19]), + .O(\blk00000003/sig00000c00 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a7 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000014d ), + .I3(NlwRenamedSig_OI_dout_1[20]), + .O(\blk00000003/sig00000c01 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a6 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000014f ), + .I3(NlwRenamedSig_OI_dout_1[18]), + .O(\blk00000003/sig00000bff ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a5 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000151 ), + .I3(NlwRenamedSig_OI_dout_1[16]), + .O(\blk00000003/sig00000bfd ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a4 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000150 ), + .I3(NlwRenamedSig_OI_dout_1[17]), + .O(\blk00000003/sig00000bfe ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a3 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c7d ), + .I3(NlwRenamedSig_OI_dout_1[15]), + .O(\blk00000003/sig00000bfc ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a2 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c7c ), + .I3(NlwRenamedSig_OI_dout_1[13]), + .O(\blk00000003/sig00000bfa ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a1 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c7b ), + .I3(NlwRenamedSig_OI_dout_1[14]), + .O(\blk00000003/sig00000bfb ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a0 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c7a ), + .I3(NlwRenamedSig_OI_dout_1[12]), + .O(\blk00000003/sig00000bf9 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000089f ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c79 ), + .I3(NlwRenamedSig_OI_dout_1[10]), + .O(\blk00000003/sig00000bf7 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000089e ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c78 ), + .I3(NlwRenamedSig_OI_dout_1[11]), + .O(\blk00000003/sig00000bf8 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000089d ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c77 ), + .I3(NlwRenamedSig_OI_dout_1[9]), + .O(\blk00000003/sig00000bf6 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000089c ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c76 ), + .I3(NlwRenamedSig_OI_dout_1[7]), + .O(\blk00000003/sig00000bf4 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000089b ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c75 ), + .I3(NlwRenamedSig_OI_dout_1[8]), + .O(\blk00000003/sig00000bf5 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000089a ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c74 ), + .I3(NlwRenamedSig_OI_dout_1[6]), + .O(\blk00000003/sig00000bf3 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000899 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c73 ), + .I3(NlwRenamedSig_OI_dout_1[4]), + .O(\blk00000003/sig00000bf1 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000898 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c72 ), + .I3(NlwRenamedSig_OI_dout_1[5]), + .O(\blk00000003/sig00000bf2 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000897 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c71 ), + .I3(NlwRenamedSig_OI_dout_1[3]), + .O(\blk00000003/sig00000bf0 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000896 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c70 ), + .I3(NlwRenamedSig_OI_dout_1[1]), + .O(\blk00000003/sig00000bee ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000895 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c6f ), + .I3(NlwRenamedSig_OI_dout_1[2]), + .O(\blk00000003/sig00000bef ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000894 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c6e ), + .I3(NlwRenamedSig_OI_dout_1[0]), + .O(\blk00000003/sig00000bed ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000893 ( + .I0(\blk00000003/sig00000bec ), + .I1(\blk00000003/sig00000be7 ), + .O(\blk00000003/sig00000bd6 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000892 ( + .I0(ce), + .I1(\blk00000003/sig000002af ), + .O(\blk00000003/sig00000c6d ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000891 ( + .I0(ce), + .I1(\blk00000003/sig00000a0f ), + .O(\blk00000003/sig00000c6c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000890 ( + .I0(ce), + .I1(\blk00000003/sig00000a0a ), + .O(\blk00000003/sig00000c6b ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000088f ( + .I0(ce), + .I1(\blk00000003/sig00000a03 ), + .O(\blk00000003/sig00000c6a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000088e ( + .I0(ce), + .I1(\blk00000003/sig000009f7 ), + .O(\blk00000003/sig00000c69 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000088d ( + .I0(ce), + .I1(\blk00000003/sig000009f8 ), + .O(\blk00000003/sig00000c68 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk0000088c ( + .I0(sclr), + .I1(\blk00000003/sig00000c67 ), + .O(\blk00000003/sig00000372 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000088b ( + .I0(\blk00000003/sig00000378 ), + .I1(\blk00000003/sig00000c66 ), + .O(\blk00000003/sig0000036d ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk0000088a ( + .I0(\blk00000003/sig0000036a ), + .I1(\blk00000003/sig00000378 ), + .O(\blk00000003/sig0000036b ) + ); + LUT3 #( + .INIT ( 8'hDA )) + \blk00000003/blk00000889 ( + .I0(\blk00000003/sig00000378 ), + .I1(\blk00000003/sig00000c66 ), + .I2(\blk00000003/sig0000036f ), + .O(\blk00000003/sig00000370 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000888 ( + .I0(\blk00000003/sig00000368 ), + .I1(\blk00000003/sig000002a8 ), + .O(\blk00000003/sig00000366 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000887 ( + .I0(nd), + .I1(\blk00000003/sig0000015f ), + .O(\blk00000003/sig00000362 ) + ); + LUT3 #( + .INIT ( 8'hF8 )) + \blk00000003/blk00000886 ( + .I0(nd), + .I1(\blk00000003/sig0000015f ), + .I2(\blk00000003/sig000002b9 ), + .O(\blk00000003/sig00000360 ) + ); + LUT3 #( + .INIT ( 8'hDA )) + \blk00000003/blk00000885 ( + .I0(nd), + .I1(\blk00000003/sig0000015f ), + .I2(\blk00000003/sig000002ba ), + .O(\blk00000003/sig0000035d ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000884 ( + .I0(\blk00000003/sig0000031c ), + .I1(\blk00000003/sig00000315 ), + .O(\blk00000003/sig00000359 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000883 ( + .I0(\blk00000003/sig0000031c ), + .I1(\blk00000003/sig00000314 ), + .O(\blk00000003/sig00000356 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000882 ( + .I0(\blk00000003/sig0000031c ), + .I1(\blk00000003/sig00000318 ), + .O(\blk00000003/sig00000354 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk00000881 ( + .I0(\blk00000003/sig0000031c ), + .I1(\blk00000003/sig0000031a ), + .I2(\blk00000003/sig00000322 ), + .O(\blk00000003/sig0000034f ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk00000880 ( + .I0(\blk00000003/sig0000031a ), + .I1(\blk00000003/sig00000318 ), + .I2(\blk00000003/sig0000031c ), + .O(\blk00000003/sig00000351 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000087f ( + .I0(\blk00000003/sig00000318 ), + .I1(\blk00000003/sig00000315 ), + .O(\blk00000003/sig00000348 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000087e ( + .I0(\blk00000003/sig00000318 ), + .I1(\blk00000003/sig00000314 ), + .O(\blk00000003/sig00000346 ) + ); + LUT3 #( + .INIT ( 8'hBF )) + \blk00000003/blk0000087d ( + .I0(\blk00000003/sig0000031c ), + .I1(\blk00000003/sig00000318 ), + .I2(\blk00000003/sig00000312 ), + .O(\blk00000003/sig00000341 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000087c ( + .I0(\blk00000003/sig00000317 ), + .I1(\blk00000003/sig00000318 ), + .O(\blk00000003/sig0000033d ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk0000087b ( + .I0(\blk00000003/sig00000316 ), + .I1(\blk00000003/sig00000318 ), + .I2(\blk00000003/sig00000322 ), + .O(\blk00000003/sig0000033f ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk0000087a ( + .I0(\blk00000003/sig00000325 ), + .I1(\blk00000003/sig00000c65 ), + .O(\blk00000003/sig00000338 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk00000879 ( + .I0(\blk00000003/sig000002f8 ), + .I1(coef_we), + .I2(\blk00000003/sig00000302 ), + .O(\blk00000003/sig0000032f ) + ); + LUT4 #( + .INIT ( 16'hDAAA )) + \blk00000003/blk00000878 ( + .I0(\blk00000003/sig00000332 ), + .I1(\blk00000003/sig000002f8 ), + .I2(\blk00000003/sig00000302 ), + .I3(coef_we), + .O(\blk00000003/sig00000327 ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000877 ( + .I0(coef_ld), + .I1(\blk00000003/sig00000325 ), + .O(\blk00000003/sig0000031b ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000876 ( + .I0(coef_we), + .I1(\blk00000003/sig00000302 ), + .O(\blk00000003/sig000002f9 ) + ); + LUT3 #( + .INIT ( 8'h20 )) + \blk00000003/blk00000875 ( + .I0(coef_we), + .I1(coef_ld), + .I2(\blk00000003/sig00000310 ), + .O(\blk00000003/sig00000323 ) + ); + LUT3 #( + .INIT ( 8'h20 )) + \blk00000003/blk00000874 ( + .I0(\blk00000003/sig00000330 ), + .I1(\blk00000003/sig00000331 ), + .I2(\blk00000003/sig00000332 ), + .O(\blk00000003/sig000002fe ) + ); + LUT3 #( + .INIT ( 8'h04 )) + \blk00000003/blk00000873 ( + .I0(\blk00000003/sig00000331 ), + .I1(\blk00000003/sig00000330 ), + .I2(\blk00000003/sig00000332 ), + .O(\blk00000003/sig000002fc ) + ); + LUT3 #( + .INIT ( 8'hBF )) + \blk00000003/blk00000872 ( + .I0(\blk00000003/sig00000325 ), + .I1(\blk00000003/sig0000030e ), + .I2(coef_ld), + .O(\blk00000003/sig000002f3 ) + ); + LUT3 #( + .INIT ( 8'hBF )) + \blk00000003/blk00000871 ( + .I0(\blk00000003/sig00000310 ), + .I1(coef_we), + .I2(\blk00000003/sig0000030e ), + .O(\blk00000003/sig000002f0 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000870 ( + .I0(\blk00000003/sig000002f4 ), + .I1(coef_we), + .O(\blk00000003/sig000002f5 ) + ); + LUT5 #( + .INIT ( 32'hFFFF2AAA )) + \blk00000003/blk0000086f ( + .I0(\blk00000003/sig00000310 ), + .I1(coef_we), + .I2(\blk00000003/sig00000302 ), + .I3(\blk00000003/sig000002f8 ), + .I4(coef_ld), + .O(\blk00000003/sig0000030f ) + ); + LUT4 #( + .INIT ( 16'hFF8A )) + \blk00000003/blk0000086e ( + .I0(\blk00000003/sig0000030e ), + .I1(\blk00000003/sig00000310 ), + .I2(coef_we), + .I3(coef_ld), + .O(\blk00000003/sig0000030d ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000086d ( + .I0(\blk00000003/sig00000159 ), + .I1(nd), + .O(\blk00000003/sig000002b7 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000086c ( + .I0(\blk00000003/sig00000173 ), + .I1(\blk00000003/sig000002ad ), + .O(\blk00000003/sig000002b5 ) + ); + LUT3 #( + .INIT ( 8'h10 )) + \blk00000003/blk0000086b ( + .I0(\blk00000003/sig00000173 ), + .I1(\blk00000003/sig00000be7 ), + .I2(\blk00000003/sig000002ad ), + .O(\blk00000003/sig00000174 ) + ); + LUT3 #( + .INIT ( 8'hEA )) + \blk00000003/blk0000086a ( + .I0(sclr), + .I1(ce), + .I2(\blk00000003/sig00000be7 ), + .O(\blk00000003/sig000002ae ) + ); + LUT5 #( + .INIT ( 32'h00002000 )) + \blk00000003/blk00000869 ( + .I0(\blk00000003/sig00000c5b ), + .I1(\blk00000003/sig00000c5c ), + .I2(\blk00000003/sig00000c5d ), + .I3(\blk00000003/sig00000c5e ), + .I4(\blk00000003/sig00000c5f ), + .O(\blk00000003/sig00000177 ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk00000868 ( + .I0(\blk00000003/sig000002a8 ), + .I1(\blk00000003/sig0000029c ), + .I2(\blk00000003/sig000002b6 ), + .O(\blk00000003/sig000002a7 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000867 ( + .I0(\blk00000003/sig00000369 ), + .I1(\blk00000003/sig000002b2 ), + .O(\blk00000003/sig000002a9 ) + ); + LUT3 #( + .INIT ( 8'hD8 )) + \blk00000003/blk00000866 ( + .I0(ce), + .I1(\blk00000003/sig00000c61 ), + .I2(\blk00000003/sig00000169 ), + .O(\blk00000003/sig00000168 ) + ); + LUT3 #( + .INIT ( 8'h72 )) + \blk00000003/blk00000865 ( + .I0(ce), + .I1(\blk00000003/sig00000c61 ), + .I2(\blk00000003/sig00000167 ), + .O(\blk00000003/sig00000166 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000864 ( + .I0(\blk00000003/sig00000161 ), + .I1(\blk00000003/sig0000029c ), + .O(\blk00000003/sig00000162 ) + ); + LUT5 #( + .INIT ( 32'hCEEE8AAA )) + \blk00000003/blk00000863 ( + .I0(\blk00000003/sig0000029c ), + .I1(\blk00000003/sig000002b6 ), + .I2(\blk00000003/sig000002a6 ), + .I3(\blk00000003/sig000002a8 ), + .I4(\blk00000003/sig000002a4 ), + .O(\blk00000003/sig000002a5 ) + ); + LUT4 #( + .INIT ( 16'h8808 )) + \blk00000003/blk00000862 ( + .I0(\blk00000003/sig000002a6 ), + .I1(\blk00000003/sig00000c64 ), + .I2(\blk00000003/sig000002a8 ), + .I3(\blk00000003/sig000002b6 ), + .O(\blk00000003/sig000002a1 ) + ); + LUT3 #( + .INIT ( 8'h09 )) + \blk00000003/blk00000861 ( + .I0(\blk00000003/sig00000c63 ), + .I1(\blk00000003/sig000002b9 ), + .I2(\blk00000003/sig000002ba ), + .O(\blk00000003/sig0000015d ) + ); + LUT4 #( + .INIT ( 16'h5540 )) + \blk00000003/blk00000860 ( + .I0(\blk00000003/sig000002b6 ), + .I1(\blk00000003/sig000002a6 ), + .I2(\blk00000003/sig000002a8 ), + .I3(\blk00000003/sig000002a4 ), + .O(\blk00000003/sig000002a3 ) + ); + LUT3 #( + .INIT ( 8'h9A )) + \blk00000003/blk0000085f ( + .I0(\blk00000003/sig00000368 ), + .I1(\blk00000003/sig00000369 ), + .I2(\blk00000003/sig000002b2 ), + .O(\blk00000003/sig000002ab ) + ); + LUT4 #( + .INIT ( 16'hFDA8 )) + \blk00000003/blk0000085e ( + .I0(ce), + .I1(\blk00000003/sig00000c61 ), + .I2(\blk00000003/sig00000c62 ), + .I3(\blk00000003/sig00000165 ), + .O(\blk00000003/sig00000164 ) + ); + MUXCY \blk00000003/blk0000085d ( + .CI(\blk00000003/sig00000049 ), + .DI(NlwRenamedSig_OI_rfd), + .S(\blk00000003/sig00000c60 ), + .O(\blk00000003/sig00000c58 ) + ); + MUXCY_L \blk00000003/blk0000085c ( + .CI(\blk00000003/sig00000c58 ), + .DI(\blk00000003/sig00000c5f ), + .S(\blk00000003/sig00000c59 ), + .LO(\blk00000003/sig00000c55 ) + ); + MUXCY_L \blk00000003/blk0000085b ( + .CI(\blk00000003/sig00000c55 ), + .DI(\blk00000003/sig00000c5e ), + .S(\blk00000003/sig00000c56 ), + .LO(\blk00000003/sig00000c52 ) + ); + MUXCY_L \blk00000003/blk0000085a ( + .CI(\blk00000003/sig00000c52 ), + .DI(\blk00000003/sig00000c5d ), + .S(\blk00000003/sig00000c53 ), + .LO(\blk00000003/sig00000c4f ) + ); + MUXCY_L \blk00000003/blk00000859 ( + .CI(\blk00000003/sig00000c4f ), + .DI(\blk00000003/sig00000c5c ), + .S(\blk00000003/sig00000c50 ), + .LO(\blk00000003/sig00000c4c ) + ); + MUXCY_D \blk00000003/blk00000858 ( + .CI(\blk00000003/sig00000c4c ), + .DI(\blk00000003/sig00000c5b ), + .S(\blk00000003/sig00000c4d ), + .O(\NLW_blk00000003/blk00000858_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk00000858_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000857 ( + .CI(\blk00000003/sig00000c58 ), + .LI(\blk00000003/sig00000c59 ), + .O(\blk00000003/sig00000c5a ) + ); + XORCY \blk00000003/blk00000856 ( + .CI(\blk00000003/sig00000c55 ), + .LI(\blk00000003/sig00000c56 ), + .O(\blk00000003/sig00000c57 ) + ); + XORCY \blk00000003/blk00000855 ( + .CI(\blk00000003/sig00000c52 ), + .LI(\blk00000003/sig00000c53 ), + .O(\blk00000003/sig00000c54 ) + ); + XORCY \blk00000003/blk00000854 ( + .CI(\blk00000003/sig00000c4f ), + .LI(\blk00000003/sig00000c50 ), + .O(\blk00000003/sig00000c51 ) + ); + XORCY \blk00000003/blk00000853 ( + .CI(\blk00000003/sig00000c4c ), + .LI(\blk00000003/sig00000c4d ), + .O(\blk00000003/sig00000c4e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000852 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c4b ), + .R(sclr), + .Q(\blk00000003/sig0000004a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000851 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c4a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[46]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000850 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c49 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[45]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000084f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c48 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[44]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000084e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c47 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[43]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000084d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c46 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[42]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000084c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c45 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[41]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000084b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c44 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[40]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000084a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c43 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[39]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000849 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c42 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[38]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000848 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c41 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[37]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000847 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c40 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[36]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000846 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c3f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[35]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000845 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c3e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[34]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000844 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c3d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[33]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000843 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c3c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[32]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000842 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c3b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[31]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000841 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c3a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[30]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000840 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c39 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[29]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000083f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c38 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[28]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000083e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c37 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[27]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000083d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c36 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[26]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000083c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c35 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[25]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000083b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c34 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[24]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000083a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c33 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[23]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000839 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c32 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[22]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000838 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c31 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[21]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000837 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c30 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[20]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000836 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c2f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[19]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000835 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c2e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[18]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000834 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c2d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[17]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000833 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c2c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[16]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000832 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c2b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[15]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000831 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c2a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[14]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000830 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c29 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[13]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000082f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c28 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[12]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000082e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c27 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[11]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000082d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c26 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[10]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000082c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c25 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[9]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000082b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c24 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[8]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000082a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c23 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[7]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000829 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c22 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[6]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000828 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c21 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[5]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000827 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c20 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[4]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000826 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c1f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[3]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000825 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c1e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[2]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000824 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c1d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[1]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000823 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c1c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[0]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000822 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c1b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[46]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000821 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c1a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[45]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000820 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c19 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[44]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000081f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c18 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[43]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000081e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c17 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[42]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000081d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c16 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[41]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000081c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c15 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[40]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000081b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c14 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[39]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000081a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c13 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[38]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000819 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c12 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[37]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000818 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c11 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[36]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000817 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c10 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[35]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000816 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c0f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[34]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000815 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c0e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[33]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000814 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c0d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[32]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000813 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c0c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[31]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000812 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c0b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[30]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000811 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c0a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[29]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000810 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c09 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[28]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000080f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c08 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[27]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000080e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c07 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[26]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000080d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c06 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[25]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000080c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c05 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[24]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000080b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c04 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[23]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000080a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c03 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[22]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000809 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c02 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[21]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000808 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c01 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[20]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000807 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c00 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[19]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000806 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bff ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[18]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000805 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bfe ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[17]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000804 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bfd ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[16]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000803 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bfc ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[15]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000802 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bfb ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[14]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000801 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bfa ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[13]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000800 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf9 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[12]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf8 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[11]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf7 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[10]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf6 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[9]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf5 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[8]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf4 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[7]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf3 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[6]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf2 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[5]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf1 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[4]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf0 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[3]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bef ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[2]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bee ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[1]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bed ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[0]) + ); + MUXCY_L \blk00000003/blk000007f3 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000bec ), + .S(\blk00000003/sig00000bd6 ), + .LO(\blk00000003/sig00000be4 ) + ); + MUXCY_L \blk00000003/blk000007f2 ( + .CI(\blk00000003/sig00000be4 ), + .DI(\blk00000003/sig00000beb ), + .S(\blk00000003/sig00000be5 ), + .LO(\blk00000003/sig00000be1 ) + ); + MUXCY_L \blk00000003/blk000007f1 ( + .CI(\blk00000003/sig00000be1 ), + .DI(\blk00000003/sig00000bea ), + .S(\blk00000003/sig00000be2 ), + .LO(\blk00000003/sig00000bde ) + ); + MUXCY_L \blk00000003/blk000007f0 ( + .CI(\blk00000003/sig00000bde ), + .DI(\blk00000003/sig00000be9 ), + .S(\blk00000003/sig00000bdf ), + .LO(\blk00000003/sig00000bdb ) + ); + MUXCY_L \blk00000003/blk000007ef ( + .CI(\blk00000003/sig00000bdb ), + .DI(\blk00000003/sig00000be8 ), + .S(\blk00000003/sig00000bdc ), + .LO(\blk00000003/sig00000bd8 ) + ); + MUXCY_D \blk00000003/blk000007ee ( + .CI(\blk00000003/sig00000bd8 ), + .DI(\blk00000003/sig00000be7 ), + .S(\blk00000003/sig00000bd9 ), + .O(\NLW_blk00000003/blk000007ee_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000007ee_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000007ed ( + .CI(\blk00000003/sig00000be4 ), + .LI(\blk00000003/sig00000be5 ), + .O(\blk00000003/sig00000be6 ) + ); + XORCY \blk00000003/blk000007ec ( + .CI(\blk00000003/sig00000be1 ), + .LI(\blk00000003/sig00000be2 ), + .O(\blk00000003/sig00000be3 ) + ); + XORCY \blk00000003/blk000007eb ( + .CI(\blk00000003/sig00000bde ), + .LI(\blk00000003/sig00000bdf ), + .O(\blk00000003/sig00000be0 ) + ); + XORCY \blk00000003/blk000007ea ( + .CI(\blk00000003/sig00000bdb ), + .LI(\blk00000003/sig00000bdc ), + .O(\blk00000003/sig00000bdd ) + ); + XORCY \blk00000003/blk000007e9 ( + .CI(\blk00000003/sig00000bd8 ), + .LI(\blk00000003/sig00000bd9 ), + .O(\blk00000003/sig00000bda ) + ); + XORCY \blk00000003/blk000007e8 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000bd6 ), + .O(\blk00000003/sig00000bd7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c0 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000777 ), + .R(sclr), + .Q(\blk00000003/sig00000a26 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007bf ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000776 ), + .R(sclr), + .Q(\blk00000003/sig00000a25 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007be ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000775 ), + .R(sclr), + .Q(\blk00000003/sig00000a24 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007bd ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000774 ), + .R(sclr), + .Q(\blk00000003/sig00000a23 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007bc ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000773 ), + .R(sclr), + .Q(\blk00000003/sig00000a22 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007bb ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000772 ), + .R(sclr), + .Q(\blk00000003/sig00000a21 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ba ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000771 ), + .R(sclr), + .Q(\blk00000003/sig00000a20 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b9 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000770 ), + .R(sclr), + .Q(\blk00000003/sig00000a1f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b8 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig0000076f ), + .R(sclr), + .Q(\blk00000003/sig00000a1e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b7 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig0000076e ), + .R(sclr), + .Q(\blk00000003/sig00000a1d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b6 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig0000076d ), + .R(sclr), + .Q(\blk00000003/sig00000a1c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b5 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig0000076c ), + .R(sclr), + .Q(\blk00000003/sig00000a1b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b4 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig0000076b ), + .R(sclr), + .Q(\blk00000003/sig00000a1a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b3 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig0000076a ), + .R(sclr), + .Q(\blk00000003/sig00000a19 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b2 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000769 ), + .R(sclr), + .Q(\blk00000003/sig00000a18 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b1 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000768 ), + .R(sclr), + .Q(\blk00000003/sig00000a17 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b0 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000004ed ), + .R(sclr), + .Q(\blk00000003/sig00000b6a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007af ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000004ec ), + .R(sclr), + .Q(\blk00000003/sig00000b69 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ae ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000004eb ), + .R(sclr), + .Q(\blk00000003/sig00000b68 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ad ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000004ea ), + .R(sclr), + .Q(\blk00000003/sig00000b67 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ac ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000004e9 ), + .R(sclr), + .Q(\blk00000003/sig00000b66 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ab ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000004e8 ), + .R(sclr), + .Q(\blk00000003/sig00000b65 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007aa ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000004e7 ), + .R(sclr), + .Q(\blk00000003/sig00000b64 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a9 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000004e6 ), + .R(sclr), + .Q(\blk00000003/sig00000b63 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a8 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000007c7 ), + .R(sclr), + .Q(\blk00000003/sig00000a36 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a7 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000007c6 ), + .R(sclr), + .Q(\blk00000003/sig00000a35 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a6 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000007c5 ), + .R(sclr), + .Q(\blk00000003/sig00000a34 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a5 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000007c4 ), + .R(sclr), + .Q(\blk00000003/sig00000a33 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a4 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000007c3 ), + .R(sclr), + .Q(\blk00000003/sig00000a32 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a3 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000007c2 ), + .R(sclr), + .Q(\blk00000003/sig00000a31 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a2 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000007c1 ), + .R(sclr), + .Q(\blk00000003/sig00000a30 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a1 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000007c0 ), + .R(sclr), + .Q(\blk00000003/sig00000a2f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a0 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig000007bf ), + .R(sclr), + .Q(\blk00000003/sig00000a2e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079f ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig000007be ), + .R(sclr), + .Q(\blk00000003/sig00000a2d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079e ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig000007bd ), + .R(sclr), + .Q(\blk00000003/sig00000a2c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079d ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig000007bc ), + .R(sclr), + .Q(\blk00000003/sig00000a2b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079c ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig000007bb ), + .R(sclr), + .Q(\blk00000003/sig00000a2a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079b ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig000007ba ), + .R(sclr), + .Q(\blk00000003/sig00000a29 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079a ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig000007b9 ), + .R(sclr), + .Q(\blk00000003/sig00000a28 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000799 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig000007b8 ), + .R(sclr), + .Q(\blk00000003/sig00000a27 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000798 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig0000053f ), + .R(sclr), + .Q(\blk00000003/sig00000b72 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000797 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig0000053e ), + .R(sclr), + .Q(\blk00000003/sig00000b71 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000796 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig0000053d ), + .R(sclr), + .Q(\blk00000003/sig00000b70 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000795 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig0000053c ), + .R(sclr), + .Q(\blk00000003/sig00000b6f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000794 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig0000053b ), + .R(sclr), + .Q(\blk00000003/sig00000b6e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000793 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig0000053a ), + .R(sclr), + .Q(\blk00000003/sig00000b6d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000792 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig00000539 ), + .R(sclr), + .Q(\blk00000003/sig00000b6c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000791 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig00000538 ), + .R(sclr), + .Q(\blk00000003/sig00000b6b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000375 ), + .R(sclr), + .Q(\blk00000003/sig00000a12 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000037b ), + .R(sclr), + .Q(\blk00000003/sig00000a11 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000036a ), + .R(sclr), + .Q(\blk00000003/sig00000a14 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000139 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a16 ), + .R(sclr), + .Q(\blk00000003/sig00000a10 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000138 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a15 ), + .R(sclr), + .Q(\blk00000003/sig00000a0f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000137 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000036f ), + .R(sclr), + .Q(\blk00000003/sig00000a13 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000136 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a14 ), + .R(sclr), + .Q(\blk00000003/sig00000a0d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000135 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a13 ), + .R(sclr), + .Q(\blk00000003/sig00000a0e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000134 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a12 ), + .R(sclr), + .Q(\blk00000003/sig00000a0c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000133 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a11 ), + .R(sclr), + .Q(\blk00000003/sig00000a0b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000132 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a10 ), + .R(sclr), + .Q(\blk00000003/sig00000a09 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000131 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a0f ), + .R(sclr), + .Q(\blk00000003/sig00000a0a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a0e ), + .R(sclr), + .Q(\blk00000003/sig00000a08 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a0d ), + .R(sclr), + .Q(\blk00000003/sig00000a07 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a0c ), + .R(sclr), + .Q(\blk00000003/sig00000a05 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a0b ), + .R(sclr), + .Q(\blk00000003/sig00000a04 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a0a ), + .R(sclr), + .Q(\blk00000003/sig00000a03 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a09 ), + .R(sclr), + .Q(\blk00000003/sig00000a06 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a08 ), + .R(sclr), + .Q(\blk00000003/sig00000a01 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000129 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a07 ), + .R(sclr), + .Q(\blk00000003/sig000009ff ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000128 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a06 ), + .R(sclr), + .Q(\blk00000003/sig000009f9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000127 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a05 ), + .R(sclr), + .Q(\blk00000003/sig000009fd ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000126 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a04 ), + .R(sclr), + .Q(\blk00000003/sig000009fb ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000125 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a03 ), + .R(sclr), + .Q(\blk00000003/sig000009f7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000124 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a01 ), + .R(sclr), + .Q(\blk00000003/sig00000a02 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000123 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ff ), + .R(sclr), + .Q(\blk00000003/sig00000a00 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000122 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009fd ), + .R(sclr), + .Q(\blk00000003/sig000009fe ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000121 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009fb ), + .R(sclr), + .Q(\blk00000003/sig000009fc ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f9 ), + .R(sclr), + .Q(\blk00000003/sig000009fa ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f7 ), + .R(sclr), + .Q(\blk00000003/sig000009f8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f5 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009f6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f4 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009f5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f3 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009f4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f2 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009f3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000030c ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009f2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ef ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009f1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000118 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ee ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009f0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ed ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009ef ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000116 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ec ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009ee ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000115 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009eb ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009ed ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000114 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ea ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009ec ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000113 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009e9 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009eb ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000112 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009e8 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009ea ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000111 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000337 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009e9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000110 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000334 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009e8 ) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010f ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010f_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010f_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000009c8 , \blk00000003/sig000009c9 , \blk00000003/sig000009ca , +\blk00000003/sig000009cb , \blk00000003/sig000009cc , \blk00000003/sig000009cd , \blk00000003/sig000009ce , \blk00000003/sig000009cf , +\blk00000003/sig000009d0 , \blk00000003/sig000009d1 , \blk00000003/sig000009d2 , \blk00000003/sig000009d3 , \blk00000003/sig000009d4 , +\blk00000003/sig000009d5 , \blk00000003/sig000009d6 , \blk00000003/sig000009d7 }), + .BCOUT({\NLW_blk00000003/blk0000010f_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000998 , \blk00000003/sig00000999 , \blk00000003/sig0000099a , \blk00000003/sig0000099b , \blk00000003/sig0000099c , +\blk00000003/sig0000099d , \blk00000003/sig0000099e , \blk00000003/sig0000099f , \blk00000003/sig000009a0 , \blk00000003/sig000009a1 , +\blk00000003/sig000009a2 , \blk00000003/sig000009a3 , \blk00000003/sig000009a4 , \blk00000003/sig000009a5 , \blk00000003/sig000009a6 , +\blk00000003/sig000009a7 , \blk00000003/sig000009a8 , \blk00000003/sig000009a9 , \blk00000003/sig000009aa , \blk00000003/sig000009ab , +\blk00000003/sig000009ac , \blk00000003/sig000009ad , \blk00000003/sig000009ae , \blk00000003/sig000009af , \blk00000003/sig000009b0 , +\blk00000003/sig000009b1 , \blk00000003/sig000009b2 , \blk00000003/sig000009b3 , \blk00000003/sig000009b4 , \blk00000003/sig000009b5 , +\blk00000003/sig000009b6 , \blk00000003/sig000009b7 , \blk00000003/sig000009b8 , \blk00000003/sig000009b9 , \blk00000003/sig000009ba , +\blk00000003/sig000009bb , \blk00000003/sig000009bc , \blk00000003/sig000009bd , \blk00000003/sig000009be , \blk00000003/sig000009bf , +\blk00000003/sig000009c0 , \blk00000003/sig000009c1 , \blk00000003/sig000009c2 , \blk00000003/sig000009c3 , \blk00000003/sig000009c4 , +\blk00000003/sig000009c5 , \blk00000003/sig000009c6 , \blk00000003/sig000009c7 }), + .C({\NLW_blk00000003/blk0000010f_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010f_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000009d8 , \blk00000003/sig000009d9 , \blk00000003/sig000009da , +\blk00000003/sig000009db , \blk00000003/sig000009dc , \blk00000003/sig000009dd , \blk00000003/sig000009de , \blk00000003/sig000009df , +\blk00000003/sig000009e0 , \blk00000003/sig000009e1 , \blk00000003/sig000009e2 , \blk00000003/sig000009e3 , \blk00000003/sig000009e4 , +\blk00000003/sig000009e5 , \blk00000003/sig000009e6 , \blk00000003/sig000009e7 }), + .PCOUT({\blk00000003/sig00000788 , \blk00000003/sig00000789 , \blk00000003/sig0000078a , \blk00000003/sig0000078b , \blk00000003/sig0000078c , +\blk00000003/sig0000078d , \blk00000003/sig0000078e , \blk00000003/sig0000078f , \blk00000003/sig00000790 , \blk00000003/sig00000791 , +\blk00000003/sig00000792 , \blk00000003/sig00000793 , \blk00000003/sig00000794 , \blk00000003/sig00000795 , \blk00000003/sig00000796 , +\blk00000003/sig00000797 , \blk00000003/sig00000798 , \blk00000003/sig00000799 , \blk00000003/sig0000079a , \blk00000003/sig0000079b , +\blk00000003/sig0000079c , \blk00000003/sig0000079d , \blk00000003/sig0000079e , \blk00000003/sig0000079f , \blk00000003/sig000007a0 , +\blk00000003/sig000007a1 , \blk00000003/sig000007a2 , \blk00000003/sig000007a3 , \blk00000003/sig000007a4 , \blk00000003/sig000007a5 , +\blk00000003/sig000007a6 , \blk00000003/sig000007a7 , \blk00000003/sig000007a8 , \blk00000003/sig000007a9 , \blk00000003/sig000007aa , +\blk00000003/sig000007ab , \blk00000003/sig000007ac , \blk00000003/sig000007ad , \blk00000003/sig000007ae , \blk00000003/sig000007af , +\blk00000003/sig000007b0 , \blk00000003/sig000007b1 , \blk00000003/sig000007b2 , \blk00000003/sig000007b3 , \blk00000003/sig000007b4 , +\blk00000003/sig000007b5 , \blk00000003/sig000007b6 , \blk00000003/sig000007b7 }), + .A({\blk00000003/sig00000646 , \blk00000003/sig00000647 , \blk00000003/sig00000648 , \blk00000003/sig00000649 , \blk00000003/sig0000064a , +\blk00000003/sig0000064b , \blk00000003/sig0000064c , \blk00000003/sig0000064d , \blk00000003/sig0000064e , \blk00000003/sig0000064f , +\blk00000003/sig00000650 , \blk00000003/sig00000651 , \blk00000003/sig00000652 , \blk00000003/sig00000653 , \blk00000003/sig00000654 , +\blk00000003/sig00000655 , \blk00000003/sig00000656 , \blk00000003/sig00000657 }), + .M({\NLW_blk00000003/blk0000010f_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010e ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010e_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010e_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000978 , \blk00000003/sig00000979 , \blk00000003/sig0000097a , +\blk00000003/sig0000097b , \blk00000003/sig0000097c , \blk00000003/sig0000097d , \blk00000003/sig0000097e , \blk00000003/sig0000097f , +\blk00000003/sig00000980 , \blk00000003/sig00000981 , \blk00000003/sig00000982 , \blk00000003/sig00000983 , \blk00000003/sig00000984 , +\blk00000003/sig00000985 , \blk00000003/sig00000986 , \blk00000003/sig00000987 }), + .BCOUT({\NLW_blk00000003/blk0000010e_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000948 , \blk00000003/sig00000949 , \blk00000003/sig0000094a , \blk00000003/sig0000094b , \blk00000003/sig0000094c , +\blk00000003/sig0000094d , \blk00000003/sig0000094e , \blk00000003/sig0000094f , \blk00000003/sig00000950 , \blk00000003/sig00000951 , +\blk00000003/sig00000952 , \blk00000003/sig00000953 , \blk00000003/sig00000954 , \blk00000003/sig00000955 , \blk00000003/sig00000956 , +\blk00000003/sig00000957 , \blk00000003/sig00000958 , \blk00000003/sig00000959 , \blk00000003/sig0000095a , \blk00000003/sig0000095b , +\blk00000003/sig0000095c , \blk00000003/sig0000095d , \blk00000003/sig0000095e , \blk00000003/sig0000095f , \blk00000003/sig00000960 , +\blk00000003/sig00000961 , \blk00000003/sig00000962 , \blk00000003/sig00000963 , \blk00000003/sig00000964 , \blk00000003/sig00000965 , +\blk00000003/sig00000966 , \blk00000003/sig00000967 , \blk00000003/sig00000968 , \blk00000003/sig00000969 , \blk00000003/sig0000096a , +\blk00000003/sig0000096b , \blk00000003/sig0000096c , \blk00000003/sig0000096d , \blk00000003/sig0000096e , \blk00000003/sig0000096f , +\blk00000003/sig00000970 , \blk00000003/sig00000971 , \blk00000003/sig00000972 , \blk00000003/sig00000973 , \blk00000003/sig00000974 , +\blk00000003/sig00000975 , \blk00000003/sig00000976 , \blk00000003/sig00000977 }), + .C({\NLW_blk00000003/blk0000010e_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010e_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000988 , \blk00000003/sig00000989 , \blk00000003/sig0000098a , +\blk00000003/sig0000098b , \blk00000003/sig0000098c , \blk00000003/sig0000098d , \blk00000003/sig0000098e , \blk00000003/sig0000098f , +\blk00000003/sig00000990 , \blk00000003/sig00000991 , \blk00000003/sig00000992 , \blk00000003/sig00000993 , \blk00000003/sig00000994 , +\blk00000003/sig00000995 , \blk00000003/sig00000996 , \blk00000003/sig00000997 }), + .PCOUT({\blk00000003/sig00000998 , \blk00000003/sig00000999 , \blk00000003/sig0000099a , \blk00000003/sig0000099b , \blk00000003/sig0000099c , +\blk00000003/sig0000099d , \blk00000003/sig0000099e , \blk00000003/sig0000099f , \blk00000003/sig000009a0 , \blk00000003/sig000009a1 , +\blk00000003/sig000009a2 , \blk00000003/sig000009a3 , \blk00000003/sig000009a4 , \blk00000003/sig000009a5 , \blk00000003/sig000009a6 , +\blk00000003/sig000009a7 , \blk00000003/sig000009a8 , \blk00000003/sig000009a9 , \blk00000003/sig000009aa , \blk00000003/sig000009ab , +\blk00000003/sig000009ac , \blk00000003/sig000009ad , \blk00000003/sig000009ae , \blk00000003/sig000009af , \blk00000003/sig000009b0 , +\blk00000003/sig000009b1 , \blk00000003/sig000009b2 , \blk00000003/sig000009b3 , \blk00000003/sig000009b4 , \blk00000003/sig000009b5 , +\blk00000003/sig000009b6 , \blk00000003/sig000009b7 , \blk00000003/sig000009b8 , \blk00000003/sig000009b9 , \blk00000003/sig000009ba , +\blk00000003/sig000009bb , \blk00000003/sig000009bc , \blk00000003/sig000009bd , \blk00000003/sig000009be , \blk00000003/sig000009bf , +\blk00000003/sig000009c0 , \blk00000003/sig000009c1 , \blk00000003/sig000009c2 , \blk00000003/sig000009c3 , \blk00000003/sig000009c4 , +\blk00000003/sig000009c5 , \blk00000003/sig000009c6 , \blk00000003/sig000009c7 }), + .A({\blk00000003/sig00000624 , \blk00000003/sig00000625 , \blk00000003/sig00000626 , \blk00000003/sig00000627 , \blk00000003/sig00000628 , +\blk00000003/sig00000629 , \blk00000003/sig0000062a , \blk00000003/sig0000062b , \blk00000003/sig0000062c , \blk00000003/sig0000062d , +\blk00000003/sig0000062e , \blk00000003/sig0000062f , \blk00000003/sig00000630 , \blk00000003/sig00000631 , \blk00000003/sig00000632 , +\blk00000003/sig00000633 , \blk00000003/sig00000634 , \blk00000003/sig00000635 }), + .M({\NLW_blk00000003/blk0000010e_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010d ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010d_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010d_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000928 , \blk00000003/sig00000929 , \blk00000003/sig0000092a , +\blk00000003/sig0000092b , \blk00000003/sig0000092c , \blk00000003/sig0000092d , \blk00000003/sig0000092e , \blk00000003/sig0000092f , +\blk00000003/sig00000930 , \blk00000003/sig00000931 , \blk00000003/sig00000932 , \blk00000003/sig00000933 , \blk00000003/sig00000934 , +\blk00000003/sig00000935 , \blk00000003/sig00000936 , \blk00000003/sig00000937 }), + .BCOUT({\NLW_blk00000003/blk0000010d_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000008f8 , \blk00000003/sig000008f9 , \blk00000003/sig000008fa , \blk00000003/sig000008fb , \blk00000003/sig000008fc , +\blk00000003/sig000008fd , \blk00000003/sig000008fe , \blk00000003/sig000008ff , \blk00000003/sig00000900 , \blk00000003/sig00000901 , +\blk00000003/sig00000902 , \blk00000003/sig00000903 , \blk00000003/sig00000904 , \blk00000003/sig00000905 , \blk00000003/sig00000906 , +\blk00000003/sig00000907 , \blk00000003/sig00000908 , \blk00000003/sig00000909 , \blk00000003/sig0000090a , \blk00000003/sig0000090b , +\blk00000003/sig0000090c , \blk00000003/sig0000090d , \blk00000003/sig0000090e , \blk00000003/sig0000090f , \blk00000003/sig00000910 , +\blk00000003/sig00000911 , \blk00000003/sig00000912 , \blk00000003/sig00000913 , \blk00000003/sig00000914 , \blk00000003/sig00000915 , +\blk00000003/sig00000916 , \blk00000003/sig00000917 , \blk00000003/sig00000918 , \blk00000003/sig00000919 , \blk00000003/sig0000091a , +\blk00000003/sig0000091b , \blk00000003/sig0000091c , \blk00000003/sig0000091d , \blk00000003/sig0000091e , \blk00000003/sig0000091f , +\blk00000003/sig00000920 , \blk00000003/sig00000921 , \blk00000003/sig00000922 , \blk00000003/sig00000923 , \blk00000003/sig00000924 , +\blk00000003/sig00000925 , \blk00000003/sig00000926 , \blk00000003/sig00000927 }), + .C({\NLW_blk00000003/blk0000010d_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010d_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000938 , \blk00000003/sig00000939 , \blk00000003/sig0000093a , +\blk00000003/sig0000093b , \blk00000003/sig0000093c , \blk00000003/sig0000093d , \blk00000003/sig0000093e , \blk00000003/sig0000093f , +\blk00000003/sig00000940 , \blk00000003/sig00000941 , \blk00000003/sig00000942 , \blk00000003/sig00000943 , \blk00000003/sig00000944 , +\blk00000003/sig00000945 , \blk00000003/sig00000946 , \blk00000003/sig00000947 }), + .PCOUT({\blk00000003/sig00000948 , \blk00000003/sig00000949 , \blk00000003/sig0000094a , \blk00000003/sig0000094b , \blk00000003/sig0000094c , +\blk00000003/sig0000094d , \blk00000003/sig0000094e , \blk00000003/sig0000094f , \blk00000003/sig00000950 , \blk00000003/sig00000951 , +\blk00000003/sig00000952 , \blk00000003/sig00000953 , \blk00000003/sig00000954 , \blk00000003/sig00000955 , \blk00000003/sig00000956 , +\blk00000003/sig00000957 , \blk00000003/sig00000958 , \blk00000003/sig00000959 , \blk00000003/sig0000095a , \blk00000003/sig0000095b , +\blk00000003/sig0000095c , \blk00000003/sig0000095d , \blk00000003/sig0000095e , \blk00000003/sig0000095f , \blk00000003/sig00000960 , +\blk00000003/sig00000961 , \blk00000003/sig00000962 , \blk00000003/sig00000963 , \blk00000003/sig00000964 , \blk00000003/sig00000965 , +\blk00000003/sig00000966 , \blk00000003/sig00000967 , \blk00000003/sig00000968 , \blk00000003/sig00000969 , \blk00000003/sig0000096a , +\blk00000003/sig0000096b , \blk00000003/sig0000096c , \blk00000003/sig0000096d , \blk00000003/sig0000096e , \blk00000003/sig0000096f , +\blk00000003/sig00000970 , \blk00000003/sig00000971 , \blk00000003/sig00000972 , \blk00000003/sig00000973 , \blk00000003/sig00000974 , +\blk00000003/sig00000975 , \blk00000003/sig00000976 , \blk00000003/sig00000977 }), + .A({\blk00000003/sig000005d2 , \blk00000003/sig000005d3 , \blk00000003/sig000005d4 , \blk00000003/sig000005d5 , \blk00000003/sig000005d6 , +\blk00000003/sig000005d7 , \blk00000003/sig000005d8 , \blk00000003/sig000005d9 , \blk00000003/sig000005da , \blk00000003/sig000005db , +\blk00000003/sig000005dc , \blk00000003/sig000005dd , \blk00000003/sig000005de , \blk00000003/sig000005df , \blk00000003/sig000005e0 , +\blk00000003/sig000005e1 , \blk00000003/sig000005e2 , \blk00000003/sig000005e3 }), + .M({\NLW_blk00000003/blk0000010d_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010c ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010c_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010c_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000008d8 , \blk00000003/sig000008d9 , \blk00000003/sig000008da , +\blk00000003/sig000008db , \blk00000003/sig000008dc , \blk00000003/sig000008dd , \blk00000003/sig000008de , \blk00000003/sig000008df , +\blk00000003/sig000008e0 , \blk00000003/sig000008e1 , \blk00000003/sig000008e2 , \blk00000003/sig000008e3 , \blk00000003/sig000008e4 , +\blk00000003/sig000008e5 , \blk00000003/sig000008e6 , \blk00000003/sig000008e7 }), + .BCOUT({\NLW_blk00000003/blk0000010c_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig0000047e , \blk00000003/sig0000047f , \blk00000003/sig00000480 , \blk00000003/sig00000481 , \blk00000003/sig00000482 , +\blk00000003/sig00000483 , \blk00000003/sig00000484 , \blk00000003/sig00000485 , \blk00000003/sig00000486 , \blk00000003/sig00000487 , +\blk00000003/sig00000488 , \blk00000003/sig00000489 , \blk00000003/sig0000048a , \blk00000003/sig0000048b , \blk00000003/sig0000048c , +\blk00000003/sig0000048d , \blk00000003/sig0000048e , \blk00000003/sig0000048f , \blk00000003/sig00000490 , \blk00000003/sig00000491 , +\blk00000003/sig00000492 , \blk00000003/sig00000493 , \blk00000003/sig00000494 , \blk00000003/sig00000495 , \blk00000003/sig00000496 , +\blk00000003/sig00000497 , \blk00000003/sig00000498 , \blk00000003/sig00000499 , \blk00000003/sig0000049a , \blk00000003/sig0000049b , +\blk00000003/sig0000049c , \blk00000003/sig0000049d , \blk00000003/sig0000049e , \blk00000003/sig0000049f , \blk00000003/sig000004a0 , +\blk00000003/sig000004a1 , \blk00000003/sig000004a2 , \blk00000003/sig000004a3 , \blk00000003/sig000004a4 , \blk00000003/sig000004a5 , +\blk00000003/sig000004a6 , \blk00000003/sig000004a7 , \blk00000003/sig000004a8 , \blk00000003/sig000004a9 , \blk00000003/sig000004aa , +\blk00000003/sig000004ab , \blk00000003/sig000004ac , \blk00000003/sig000004ad }), + .C({\NLW_blk00000003/blk0000010c_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010c_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000008e8 , \blk00000003/sig000008e9 , \blk00000003/sig000008ea , +\blk00000003/sig000008eb , \blk00000003/sig000008ec , \blk00000003/sig000008ed , \blk00000003/sig000008ee , \blk00000003/sig000008ef , +\blk00000003/sig000008f0 , \blk00000003/sig000008f1 , \blk00000003/sig000008f2 , \blk00000003/sig000008f3 , \blk00000003/sig000008f4 , +\blk00000003/sig000008f5 , \blk00000003/sig000008f6 , \blk00000003/sig000008f7 }), + .PCOUT({\blk00000003/sig000008f8 , \blk00000003/sig000008f9 , \blk00000003/sig000008fa , \blk00000003/sig000008fb , \blk00000003/sig000008fc , +\blk00000003/sig000008fd , \blk00000003/sig000008fe , \blk00000003/sig000008ff , \blk00000003/sig00000900 , \blk00000003/sig00000901 , +\blk00000003/sig00000902 , \blk00000003/sig00000903 , \blk00000003/sig00000904 , \blk00000003/sig00000905 , \blk00000003/sig00000906 , +\blk00000003/sig00000907 , \blk00000003/sig00000908 , \blk00000003/sig00000909 , \blk00000003/sig0000090a , \blk00000003/sig0000090b , +\blk00000003/sig0000090c , \blk00000003/sig0000090d , \blk00000003/sig0000090e , \blk00000003/sig0000090f , \blk00000003/sig00000910 , +\blk00000003/sig00000911 , \blk00000003/sig00000912 , \blk00000003/sig00000913 , \blk00000003/sig00000914 , \blk00000003/sig00000915 , +\blk00000003/sig00000916 , \blk00000003/sig00000917 , \blk00000003/sig00000918 , \blk00000003/sig00000919 , \blk00000003/sig0000091a , +\blk00000003/sig0000091b , \blk00000003/sig0000091c , \blk00000003/sig0000091d , \blk00000003/sig0000091e , \blk00000003/sig0000091f , +\blk00000003/sig00000920 , \blk00000003/sig00000921 , \blk00000003/sig00000922 , \blk00000003/sig00000923 , \blk00000003/sig00000924 , +\blk00000003/sig00000925 , \blk00000003/sig00000926 , \blk00000003/sig00000927 }), + .A({\blk00000003/sig00000580 , \blk00000003/sig00000581 , \blk00000003/sig00000582 , \blk00000003/sig00000583 , \blk00000003/sig00000584 , +\blk00000003/sig00000585 , \blk00000003/sig00000586 , \blk00000003/sig00000587 , \blk00000003/sig00000588 , \blk00000003/sig00000589 , +\blk00000003/sig0000058a , \blk00000003/sig0000058b , \blk00000003/sig0000058c , \blk00000003/sig0000058d , \blk00000003/sig0000058e , +\blk00000003/sig0000058f , \blk00000003/sig00000590 , \blk00000003/sig00000591 }), + .M({\NLW_blk00000003/blk0000010c_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010b ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010b_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010b_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000008b8 , \blk00000003/sig000008b9 , \blk00000003/sig000008ba , +\blk00000003/sig000008bb , \blk00000003/sig000008bc , \blk00000003/sig000008bd , \blk00000003/sig000008be , \blk00000003/sig000008bf , +\blk00000003/sig000008c0 , \blk00000003/sig000008c1 , \blk00000003/sig000008c2 , \blk00000003/sig000008c3 , \blk00000003/sig000008c4 , +\blk00000003/sig000008c5 , \blk00000003/sig000008c6 , \blk00000003/sig000008c7 }), + .BCOUT({\NLW_blk00000003/blk0000010b_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000888 , \blk00000003/sig00000889 , \blk00000003/sig0000088a , \blk00000003/sig0000088b , \blk00000003/sig0000088c , +\blk00000003/sig0000088d , \blk00000003/sig0000088e , \blk00000003/sig0000088f , \blk00000003/sig00000890 , \blk00000003/sig00000891 , +\blk00000003/sig00000892 , \blk00000003/sig00000893 , \blk00000003/sig00000894 , \blk00000003/sig00000895 , \blk00000003/sig00000896 , +\blk00000003/sig00000897 , \blk00000003/sig00000898 , \blk00000003/sig00000899 , \blk00000003/sig0000089a , \blk00000003/sig0000089b , +\blk00000003/sig0000089c , \blk00000003/sig0000089d , \blk00000003/sig0000089e , \blk00000003/sig0000089f , \blk00000003/sig000008a0 , +\blk00000003/sig000008a1 , \blk00000003/sig000008a2 , \blk00000003/sig000008a3 , \blk00000003/sig000008a4 , \blk00000003/sig000008a5 , +\blk00000003/sig000008a6 , \blk00000003/sig000008a7 , \blk00000003/sig000008a8 , \blk00000003/sig000008a9 , \blk00000003/sig000008aa , +\blk00000003/sig000008ab , \blk00000003/sig000008ac , \blk00000003/sig000008ad , \blk00000003/sig000008ae , \blk00000003/sig000008af , +\blk00000003/sig000008b0 , \blk00000003/sig000008b1 , \blk00000003/sig000008b2 , \blk00000003/sig000008b3 , \blk00000003/sig000008b4 , +\blk00000003/sig000008b5 , \blk00000003/sig000008b6 , \blk00000003/sig000008b7 }), + .C({\NLW_blk00000003/blk0000010b_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010b_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000008c8 , \blk00000003/sig000008c9 , \blk00000003/sig000008ca , +\blk00000003/sig000008cb , \blk00000003/sig000008cc , \blk00000003/sig000008cd , \blk00000003/sig000008ce , \blk00000003/sig000008cf , +\blk00000003/sig000008d0 , \blk00000003/sig000008d1 , \blk00000003/sig000008d2 , \blk00000003/sig000008d3 , \blk00000003/sig000008d4 , +\blk00000003/sig000008d5 , \blk00000003/sig000008d6 , \blk00000003/sig000008d7 }), + .PCOUT({\blk00000003/sig00000738 , \blk00000003/sig00000739 , \blk00000003/sig0000073a , \blk00000003/sig0000073b , \blk00000003/sig0000073c , +\blk00000003/sig0000073d , \blk00000003/sig0000073e , \blk00000003/sig0000073f , \blk00000003/sig00000740 , \blk00000003/sig00000741 , +\blk00000003/sig00000742 , \blk00000003/sig00000743 , \blk00000003/sig00000744 , \blk00000003/sig00000745 , \blk00000003/sig00000746 , +\blk00000003/sig00000747 , \blk00000003/sig00000748 , \blk00000003/sig00000749 , \blk00000003/sig0000074a , \blk00000003/sig0000074b , +\blk00000003/sig0000074c , \blk00000003/sig0000074d , \blk00000003/sig0000074e , \blk00000003/sig0000074f , \blk00000003/sig00000750 , +\blk00000003/sig00000751 , \blk00000003/sig00000752 , \blk00000003/sig00000753 , \blk00000003/sig00000754 , \blk00000003/sig00000755 , +\blk00000003/sig00000756 , \blk00000003/sig00000757 , \blk00000003/sig00000758 , \blk00000003/sig00000759 , \blk00000003/sig0000075a , +\blk00000003/sig0000075b , \blk00000003/sig0000075c , \blk00000003/sig0000075d , \blk00000003/sig0000075e , \blk00000003/sig0000075f , +\blk00000003/sig00000760 , \blk00000003/sig00000761 , \blk00000003/sig00000762 , \blk00000003/sig00000763 , \blk00000003/sig00000764 , +\blk00000003/sig00000765 , \blk00000003/sig00000766 , \blk00000003/sig00000767 }), + .A({\blk00000003/sig00000646 , \blk00000003/sig00000647 , \blk00000003/sig00000648 , \blk00000003/sig00000649 , \blk00000003/sig0000064a , +\blk00000003/sig0000064b , \blk00000003/sig0000064c , \blk00000003/sig0000064d , \blk00000003/sig0000064e , \blk00000003/sig0000064f , +\blk00000003/sig00000650 , \blk00000003/sig00000651 , \blk00000003/sig00000652 , \blk00000003/sig00000653 , \blk00000003/sig00000654 , +\blk00000003/sig00000655 , \blk00000003/sig00000656 , \blk00000003/sig00000657 }), + .M({\NLW_blk00000003/blk0000010b_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010a ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010a_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010a_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000868 , \blk00000003/sig00000869 , \blk00000003/sig0000086a , +\blk00000003/sig0000086b , \blk00000003/sig0000086c , \blk00000003/sig0000086d , \blk00000003/sig0000086e , \blk00000003/sig0000086f , +\blk00000003/sig00000870 , \blk00000003/sig00000871 , \blk00000003/sig00000872 , \blk00000003/sig00000873 , \blk00000003/sig00000874 , +\blk00000003/sig00000875 , \blk00000003/sig00000876 , \blk00000003/sig00000877 }), + .BCOUT({\NLW_blk00000003/blk0000010a_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000838 , \blk00000003/sig00000839 , \blk00000003/sig0000083a , \blk00000003/sig0000083b , \blk00000003/sig0000083c , +\blk00000003/sig0000083d , \blk00000003/sig0000083e , \blk00000003/sig0000083f , \blk00000003/sig00000840 , \blk00000003/sig00000841 , +\blk00000003/sig00000842 , \blk00000003/sig00000843 , \blk00000003/sig00000844 , \blk00000003/sig00000845 , \blk00000003/sig00000846 , +\blk00000003/sig00000847 , \blk00000003/sig00000848 , \blk00000003/sig00000849 , \blk00000003/sig0000084a , \blk00000003/sig0000084b , +\blk00000003/sig0000084c , \blk00000003/sig0000084d , \blk00000003/sig0000084e , \blk00000003/sig0000084f , \blk00000003/sig00000850 , +\blk00000003/sig00000851 , \blk00000003/sig00000852 , \blk00000003/sig00000853 , \blk00000003/sig00000854 , \blk00000003/sig00000855 , +\blk00000003/sig00000856 , \blk00000003/sig00000857 , \blk00000003/sig00000858 , \blk00000003/sig00000859 , \blk00000003/sig0000085a , +\blk00000003/sig0000085b , \blk00000003/sig0000085c , \blk00000003/sig0000085d , \blk00000003/sig0000085e , \blk00000003/sig0000085f , +\blk00000003/sig00000860 , \blk00000003/sig00000861 , \blk00000003/sig00000862 , \blk00000003/sig00000863 , \blk00000003/sig00000864 , +\blk00000003/sig00000865 , \blk00000003/sig00000866 , \blk00000003/sig00000867 }), + .C({\NLW_blk00000003/blk0000010a_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010a_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000878 , \blk00000003/sig00000879 , \blk00000003/sig0000087a , +\blk00000003/sig0000087b , \blk00000003/sig0000087c , \blk00000003/sig0000087d , \blk00000003/sig0000087e , \blk00000003/sig0000087f , +\blk00000003/sig00000880 , \blk00000003/sig00000881 , \blk00000003/sig00000882 , \blk00000003/sig00000883 , \blk00000003/sig00000884 , +\blk00000003/sig00000885 , \blk00000003/sig00000886 , \blk00000003/sig00000887 }), + .PCOUT({\blk00000003/sig00000888 , \blk00000003/sig00000889 , \blk00000003/sig0000088a , \blk00000003/sig0000088b , \blk00000003/sig0000088c , +\blk00000003/sig0000088d , \blk00000003/sig0000088e , \blk00000003/sig0000088f , \blk00000003/sig00000890 , \blk00000003/sig00000891 , +\blk00000003/sig00000892 , \blk00000003/sig00000893 , \blk00000003/sig00000894 , \blk00000003/sig00000895 , \blk00000003/sig00000896 , +\blk00000003/sig00000897 , \blk00000003/sig00000898 , \blk00000003/sig00000899 , \blk00000003/sig0000089a , \blk00000003/sig0000089b , +\blk00000003/sig0000089c , \blk00000003/sig0000089d , \blk00000003/sig0000089e , \blk00000003/sig0000089f , \blk00000003/sig000008a0 , +\blk00000003/sig000008a1 , \blk00000003/sig000008a2 , \blk00000003/sig000008a3 , \blk00000003/sig000008a4 , \blk00000003/sig000008a5 , +\blk00000003/sig000008a6 , \blk00000003/sig000008a7 , \blk00000003/sig000008a8 , \blk00000003/sig000008a9 , \blk00000003/sig000008aa , +\blk00000003/sig000008ab , \blk00000003/sig000008ac , \blk00000003/sig000008ad , \blk00000003/sig000008ae , \blk00000003/sig000008af , +\blk00000003/sig000008b0 , \blk00000003/sig000008b1 , \blk00000003/sig000008b2 , \blk00000003/sig000008b3 , \blk00000003/sig000008b4 , +\blk00000003/sig000008b5 , \blk00000003/sig000008b6 , \blk00000003/sig000008b7 }), + .A({\blk00000003/sig00000624 , \blk00000003/sig00000625 , \blk00000003/sig00000626 , \blk00000003/sig00000627 , \blk00000003/sig00000628 , +\blk00000003/sig00000629 , \blk00000003/sig0000062a , \blk00000003/sig0000062b , \blk00000003/sig0000062c , \blk00000003/sig0000062d , +\blk00000003/sig0000062e , \blk00000003/sig0000062f , \blk00000003/sig00000630 , \blk00000003/sig00000631 , \blk00000003/sig00000632 , +\blk00000003/sig00000633 , \blk00000003/sig00000634 , \blk00000003/sig00000635 }), + .M({\NLW_blk00000003/blk0000010a_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000109 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000109_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000109_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000818 , \blk00000003/sig00000819 , \blk00000003/sig0000081a , +\blk00000003/sig0000081b , \blk00000003/sig0000081c , \blk00000003/sig0000081d , \blk00000003/sig0000081e , \blk00000003/sig0000081f , +\blk00000003/sig00000820 , \blk00000003/sig00000821 , \blk00000003/sig00000822 , \blk00000003/sig00000823 , \blk00000003/sig00000824 , +\blk00000003/sig00000825 , \blk00000003/sig00000826 , \blk00000003/sig00000827 }), + .BCOUT({\NLW_blk00000003/blk00000109_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000109_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000109_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000109_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000109_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000109_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000109_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000109_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000109_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000109_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000109_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000109_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000109_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000109_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000109_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000109_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000109_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000109_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000007e8 , \blk00000003/sig000007e9 , \blk00000003/sig000007ea , \blk00000003/sig000007eb , \blk00000003/sig000007ec , +\blk00000003/sig000007ed , \blk00000003/sig000007ee , \blk00000003/sig000007ef , \blk00000003/sig000007f0 , \blk00000003/sig000007f1 , +\blk00000003/sig000007f2 , \blk00000003/sig000007f3 , \blk00000003/sig000007f4 , \blk00000003/sig000007f5 , \blk00000003/sig000007f6 , +\blk00000003/sig000007f7 , \blk00000003/sig000007f8 , \blk00000003/sig000007f9 , \blk00000003/sig000007fa , \blk00000003/sig000007fb , +\blk00000003/sig000007fc , \blk00000003/sig000007fd , \blk00000003/sig000007fe , \blk00000003/sig000007ff , \blk00000003/sig00000800 , +\blk00000003/sig00000801 , \blk00000003/sig00000802 , \blk00000003/sig00000803 , \blk00000003/sig00000804 , \blk00000003/sig00000805 , +\blk00000003/sig00000806 , \blk00000003/sig00000807 , \blk00000003/sig00000808 , \blk00000003/sig00000809 , \blk00000003/sig0000080a , +\blk00000003/sig0000080b , \blk00000003/sig0000080c , \blk00000003/sig0000080d , \blk00000003/sig0000080e , \blk00000003/sig0000080f , +\blk00000003/sig00000810 , \blk00000003/sig00000811 , \blk00000003/sig00000812 , \blk00000003/sig00000813 , \blk00000003/sig00000814 , +\blk00000003/sig00000815 , \blk00000003/sig00000816 , \blk00000003/sig00000817 }), + .C({\NLW_blk00000003/blk00000109_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000109_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000828 , \blk00000003/sig00000829 , \blk00000003/sig0000082a , +\blk00000003/sig0000082b , \blk00000003/sig0000082c , \blk00000003/sig0000082d , \blk00000003/sig0000082e , \blk00000003/sig0000082f , +\blk00000003/sig00000830 , \blk00000003/sig00000831 , \blk00000003/sig00000832 , \blk00000003/sig00000833 , \blk00000003/sig00000834 , +\blk00000003/sig00000835 , \blk00000003/sig00000836 , \blk00000003/sig00000837 }), + .PCOUT({\blk00000003/sig00000838 , \blk00000003/sig00000839 , \blk00000003/sig0000083a , \blk00000003/sig0000083b , \blk00000003/sig0000083c , +\blk00000003/sig0000083d , \blk00000003/sig0000083e , \blk00000003/sig0000083f , \blk00000003/sig00000840 , \blk00000003/sig00000841 , +\blk00000003/sig00000842 , \blk00000003/sig00000843 , \blk00000003/sig00000844 , \blk00000003/sig00000845 , \blk00000003/sig00000846 , +\blk00000003/sig00000847 , \blk00000003/sig00000848 , \blk00000003/sig00000849 , \blk00000003/sig0000084a , \blk00000003/sig0000084b , +\blk00000003/sig0000084c , \blk00000003/sig0000084d , \blk00000003/sig0000084e , \blk00000003/sig0000084f , \blk00000003/sig00000850 , +\blk00000003/sig00000851 , \blk00000003/sig00000852 , \blk00000003/sig00000853 , \blk00000003/sig00000854 , \blk00000003/sig00000855 , +\blk00000003/sig00000856 , \blk00000003/sig00000857 , \blk00000003/sig00000858 , \blk00000003/sig00000859 , \blk00000003/sig0000085a , +\blk00000003/sig0000085b , \blk00000003/sig0000085c , \blk00000003/sig0000085d , \blk00000003/sig0000085e , \blk00000003/sig0000085f , +\blk00000003/sig00000860 , \blk00000003/sig00000861 , \blk00000003/sig00000862 , \blk00000003/sig00000863 , \blk00000003/sig00000864 , +\blk00000003/sig00000865 , \blk00000003/sig00000866 , \blk00000003/sig00000867 }), + .A({\blk00000003/sig000005d2 , \blk00000003/sig000005d3 , \blk00000003/sig000005d4 , \blk00000003/sig000005d5 , \blk00000003/sig000005d6 , +\blk00000003/sig000005d7 , \blk00000003/sig000005d8 , \blk00000003/sig000005d9 , \blk00000003/sig000005da , \blk00000003/sig000005db , +\blk00000003/sig000005dc , \blk00000003/sig000005dd , \blk00000003/sig000005de , \blk00000003/sig000005df , \blk00000003/sig000005e0 , +\blk00000003/sig000005e1 , \blk00000003/sig000005e2 , \blk00000003/sig000005e3 }), + .M({\NLW_blk00000003/blk00000109_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000108 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000108_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000108_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000007c8 , \blk00000003/sig000007c9 , \blk00000003/sig000007ca , +\blk00000003/sig000007cb , \blk00000003/sig000007cc , \blk00000003/sig000007cd , \blk00000003/sig000007ce , \blk00000003/sig000007cf , +\blk00000003/sig000007d0 , \blk00000003/sig000007d1 , \blk00000003/sig000007d2 , \blk00000003/sig000007d3 , \blk00000003/sig000007d4 , +\blk00000003/sig000007d5 , \blk00000003/sig000007d6 , \blk00000003/sig000007d7 }), + .BCOUT({\NLW_blk00000003/blk00000108_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000108_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000108_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000108_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000108_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000108_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000108_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000108_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000108_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000108_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000108_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000108_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000108_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000108_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000108_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000108_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000108_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000108_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig0000042e , \blk00000003/sig0000042f , \blk00000003/sig00000430 , \blk00000003/sig00000431 , \blk00000003/sig00000432 , +\blk00000003/sig00000433 , \blk00000003/sig00000434 , \blk00000003/sig00000435 , \blk00000003/sig00000436 , \blk00000003/sig00000437 , +\blk00000003/sig00000438 , \blk00000003/sig00000439 , \blk00000003/sig0000043a , \blk00000003/sig0000043b , \blk00000003/sig0000043c , +\blk00000003/sig0000043d , \blk00000003/sig0000043e , \blk00000003/sig0000043f , \blk00000003/sig00000440 , \blk00000003/sig00000441 , +\blk00000003/sig00000442 , \blk00000003/sig00000443 , \blk00000003/sig00000444 , \blk00000003/sig00000445 , \blk00000003/sig00000446 , +\blk00000003/sig00000447 , \blk00000003/sig00000448 , \blk00000003/sig00000449 , \blk00000003/sig0000044a , \blk00000003/sig0000044b , +\blk00000003/sig0000044c , \blk00000003/sig0000044d , \blk00000003/sig0000044e , \blk00000003/sig0000044f , \blk00000003/sig00000450 , +\blk00000003/sig00000451 , \blk00000003/sig00000452 , \blk00000003/sig00000453 , \blk00000003/sig00000454 , \blk00000003/sig00000455 , +\blk00000003/sig00000456 , \blk00000003/sig00000457 , \blk00000003/sig00000458 , \blk00000003/sig00000459 , \blk00000003/sig0000045a , +\blk00000003/sig0000045b , \blk00000003/sig0000045c , \blk00000003/sig0000045d }), + .C({\NLW_blk00000003/blk00000108_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000108_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000007d8 , \blk00000003/sig000007d9 , \blk00000003/sig000007da , +\blk00000003/sig000007db , \blk00000003/sig000007dc , \blk00000003/sig000007dd , \blk00000003/sig000007de , \blk00000003/sig000007df , +\blk00000003/sig000007e0 , \blk00000003/sig000007e1 , \blk00000003/sig000007e2 , \blk00000003/sig000007e3 , \blk00000003/sig000007e4 , +\blk00000003/sig000007e5 , \blk00000003/sig000007e6 , \blk00000003/sig000007e7 }), + .PCOUT({\blk00000003/sig000007e8 , \blk00000003/sig000007e9 , \blk00000003/sig000007ea , \blk00000003/sig000007eb , \blk00000003/sig000007ec , +\blk00000003/sig000007ed , \blk00000003/sig000007ee , \blk00000003/sig000007ef , \blk00000003/sig000007f0 , \blk00000003/sig000007f1 , +\blk00000003/sig000007f2 , \blk00000003/sig000007f3 , \blk00000003/sig000007f4 , \blk00000003/sig000007f5 , \blk00000003/sig000007f6 , +\blk00000003/sig000007f7 , \blk00000003/sig000007f8 , \blk00000003/sig000007f9 , \blk00000003/sig000007fa , \blk00000003/sig000007fb , +\blk00000003/sig000007fc , \blk00000003/sig000007fd , \blk00000003/sig000007fe , \blk00000003/sig000007ff , \blk00000003/sig00000800 , +\blk00000003/sig00000801 , \blk00000003/sig00000802 , \blk00000003/sig00000803 , \blk00000003/sig00000804 , \blk00000003/sig00000805 , +\blk00000003/sig00000806 , \blk00000003/sig00000807 , \blk00000003/sig00000808 , \blk00000003/sig00000809 , \blk00000003/sig0000080a , +\blk00000003/sig0000080b , \blk00000003/sig0000080c , \blk00000003/sig0000080d , \blk00000003/sig0000080e , \blk00000003/sig0000080f , +\blk00000003/sig00000810 , \blk00000003/sig00000811 , \blk00000003/sig00000812 , \blk00000003/sig00000813 , \blk00000003/sig00000814 , +\blk00000003/sig00000815 , \blk00000003/sig00000816 , \blk00000003/sig00000817 }), + .A({\blk00000003/sig00000580 , \blk00000003/sig00000581 , \blk00000003/sig00000582 , \blk00000003/sig00000583 , \blk00000003/sig00000584 , +\blk00000003/sig00000585 , \blk00000003/sig00000586 , \blk00000003/sig00000587 , \blk00000003/sig00000588 , \blk00000003/sig00000589 , +\blk00000003/sig0000058a , \blk00000003/sig0000058b , \blk00000003/sig0000058c , \blk00000003/sig0000058d , \blk00000003/sig0000058e , +\blk00000003/sig0000058f , \blk00000003/sig00000590 , \blk00000003/sig00000591 }), + .M({\NLW_blk00000003/blk00000108_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000107 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000107_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000107_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000778 , \blk00000003/sig00000779 , \blk00000003/sig0000077a , +\blk00000003/sig0000077b , \blk00000003/sig0000077c , \blk00000003/sig0000077d , \blk00000003/sig0000077e , \blk00000003/sig0000077f , +\blk00000003/sig00000780 , \blk00000003/sig00000781 , \blk00000003/sig00000782 , \blk00000003/sig00000783 , \blk00000003/sig00000784 , +\blk00000003/sig00000785 , \blk00000003/sig00000786 , \blk00000003/sig00000787 }), + .BCOUT({\NLW_blk00000003/blk00000107_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000788 , \blk00000003/sig00000789 , \blk00000003/sig0000078a , \blk00000003/sig0000078b , \blk00000003/sig0000078c , +\blk00000003/sig0000078d , \blk00000003/sig0000078e , \blk00000003/sig0000078f , \blk00000003/sig00000790 , \blk00000003/sig00000791 , +\blk00000003/sig00000792 , \blk00000003/sig00000793 , \blk00000003/sig00000794 , \blk00000003/sig00000795 , \blk00000003/sig00000796 , +\blk00000003/sig00000797 , \blk00000003/sig00000798 , \blk00000003/sig00000799 , \blk00000003/sig0000079a , \blk00000003/sig0000079b , +\blk00000003/sig0000079c , \blk00000003/sig0000079d , \blk00000003/sig0000079e , \blk00000003/sig0000079f , \blk00000003/sig000007a0 , +\blk00000003/sig000007a1 , \blk00000003/sig000007a2 , \blk00000003/sig000007a3 , \blk00000003/sig000007a4 , \blk00000003/sig000007a5 , +\blk00000003/sig000007a6 , \blk00000003/sig000007a7 , \blk00000003/sig000007a8 , \blk00000003/sig000007a9 , \blk00000003/sig000007aa , +\blk00000003/sig000007ab , \blk00000003/sig000007ac , \blk00000003/sig000007ad , \blk00000003/sig000007ae , \blk00000003/sig000007af , +\blk00000003/sig000007b0 , \blk00000003/sig000007b1 , \blk00000003/sig000007b2 , \blk00000003/sig000007b3 , \blk00000003/sig000007b4 , +\blk00000003/sig000007b5 , \blk00000003/sig000007b6 , \blk00000003/sig000007b7 }), + .C({\NLW_blk00000003/blk00000107_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000107_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000007b8 , \blk00000003/sig000007b9 , \blk00000003/sig000007ba , +\blk00000003/sig000007bb , \blk00000003/sig000007bc , \blk00000003/sig000007bd , \blk00000003/sig000007be , \blk00000003/sig000007bf , +\blk00000003/sig000007c0 , \blk00000003/sig000007c1 , \blk00000003/sig000007c2 , \blk00000003/sig000007c3 , \blk00000003/sig000007c4 , +\blk00000003/sig000007c5 , \blk00000003/sig000007c6 , \blk00000003/sig000007c7 }), + .PCOUT({\blk00000003/sig000001d2 , \blk00000003/sig000001d3 , \blk00000003/sig000001d4 , \blk00000003/sig000001d5 , \blk00000003/sig000001d6 , +\blk00000003/sig000001d7 , \blk00000003/sig000001d8 , \blk00000003/sig000001d9 , \blk00000003/sig000001da , \blk00000003/sig000001db , +\blk00000003/sig000001dc , \blk00000003/sig000001dd , \blk00000003/sig000001de , \blk00000003/sig000001df , \blk00000003/sig000001e0 , +\blk00000003/sig000001e1 , \blk00000003/sig000001e2 , \blk00000003/sig000001e3 , \blk00000003/sig000001e4 , \blk00000003/sig000001e5 , +\blk00000003/sig000001e6 , \blk00000003/sig000001e7 , \blk00000003/sig000001e8 , \blk00000003/sig000001e9 , \blk00000003/sig000001ea , +\blk00000003/sig000001eb , \blk00000003/sig000001ec , \blk00000003/sig000001ed , \blk00000003/sig000001ee , \blk00000003/sig000001ef , +\blk00000003/sig000001f0 , \blk00000003/sig000001f1 , \blk00000003/sig000001f2 , \blk00000003/sig000001f3 , \blk00000003/sig000001f4 , +\blk00000003/sig000001f5 , \blk00000003/sig000001f6 , \blk00000003/sig000001f7 , \blk00000003/sig000001f8 , \blk00000003/sig000001f9 , +\blk00000003/sig000001fa , \blk00000003/sig000001fb , \blk00000003/sig000001fc , \blk00000003/sig000001fd , \blk00000003/sig000001fe , +\blk00000003/sig000001ff , \blk00000003/sig00000200 , \blk00000003/sig00000201 }), + .A({\blk00000003/sig000004ee , \blk00000003/sig000004ef , \blk00000003/sig000004f0 , \blk00000003/sig000004f1 , \blk00000003/sig000004f2 , +\blk00000003/sig000004f3 , \blk00000003/sig000004f4 , \blk00000003/sig000004f5 , \blk00000003/sig000004f6 , \blk00000003/sig000004f7 , +\blk00000003/sig000004f8 , \blk00000003/sig000004f9 , \blk00000003/sig000004fa , \blk00000003/sig000004fb , \blk00000003/sig000004fc , +\blk00000003/sig000004fd , \blk00000003/sig000004fe , \blk00000003/sig000004ff }), + .M({\NLW_blk00000003/blk00000107_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000106 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000106_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000106_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000728 , \blk00000003/sig00000729 , \blk00000003/sig0000072a , +\blk00000003/sig0000072b , \blk00000003/sig0000072c , \blk00000003/sig0000072d , \blk00000003/sig0000072e , \blk00000003/sig0000072f , +\blk00000003/sig00000730 , \blk00000003/sig00000731 , \blk00000003/sig00000732 , \blk00000003/sig00000733 , \blk00000003/sig00000734 , +\blk00000003/sig00000735 , \blk00000003/sig00000736 , \blk00000003/sig00000737 }), + .BCOUT({\NLW_blk00000003/blk00000106_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000738 , \blk00000003/sig00000739 , \blk00000003/sig0000073a , \blk00000003/sig0000073b , \blk00000003/sig0000073c , +\blk00000003/sig0000073d , \blk00000003/sig0000073e , \blk00000003/sig0000073f , \blk00000003/sig00000740 , \blk00000003/sig00000741 , +\blk00000003/sig00000742 , \blk00000003/sig00000743 , \blk00000003/sig00000744 , \blk00000003/sig00000745 , \blk00000003/sig00000746 , +\blk00000003/sig00000747 , \blk00000003/sig00000748 , \blk00000003/sig00000749 , \blk00000003/sig0000074a , \blk00000003/sig0000074b , +\blk00000003/sig0000074c , \blk00000003/sig0000074d , \blk00000003/sig0000074e , \blk00000003/sig0000074f , \blk00000003/sig00000750 , +\blk00000003/sig00000751 , \blk00000003/sig00000752 , \blk00000003/sig00000753 , \blk00000003/sig00000754 , \blk00000003/sig00000755 , +\blk00000003/sig00000756 , \blk00000003/sig00000757 , \blk00000003/sig00000758 , \blk00000003/sig00000759 , \blk00000003/sig0000075a , +\blk00000003/sig0000075b , \blk00000003/sig0000075c , \blk00000003/sig0000075d , \blk00000003/sig0000075e , \blk00000003/sig0000075f , +\blk00000003/sig00000760 , \blk00000003/sig00000761 , \blk00000003/sig00000762 , \blk00000003/sig00000763 , \blk00000003/sig00000764 , +\blk00000003/sig00000765 , \blk00000003/sig00000766 , \blk00000003/sig00000767 }), + .C({\NLW_blk00000003/blk00000106_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000106_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000768 , \blk00000003/sig00000769 , \blk00000003/sig0000076a , +\blk00000003/sig0000076b , \blk00000003/sig0000076c , \blk00000003/sig0000076d , \blk00000003/sig0000076e , \blk00000003/sig0000076f , +\blk00000003/sig00000770 , \blk00000003/sig00000771 , \blk00000003/sig00000772 , \blk00000003/sig00000773 , \blk00000003/sig00000774 , +\blk00000003/sig00000775 , \blk00000003/sig00000776 , \blk00000003/sig00000777 }), + .PCOUT({\blk00000003/sig0000025a , \blk00000003/sig0000025b , \blk00000003/sig0000025c , \blk00000003/sig0000025d , \blk00000003/sig0000025e , +\blk00000003/sig0000025f , \blk00000003/sig00000260 , \blk00000003/sig00000261 , \blk00000003/sig00000262 , \blk00000003/sig00000263 , +\blk00000003/sig00000264 , \blk00000003/sig00000265 , \blk00000003/sig00000266 , \blk00000003/sig00000267 , \blk00000003/sig00000268 , +\blk00000003/sig00000269 , \blk00000003/sig0000026a , \blk00000003/sig0000026b , \blk00000003/sig0000026c , \blk00000003/sig0000026d , +\blk00000003/sig0000026e , \blk00000003/sig0000026f , \blk00000003/sig00000270 , \blk00000003/sig00000271 , \blk00000003/sig00000272 , +\blk00000003/sig00000273 , \blk00000003/sig00000274 , \blk00000003/sig00000275 , \blk00000003/sig00000276 , \blk00000003/sig00000277 , +\blk00000003/sig00000278 , \blk00000003/sig00000279 , \blk00000003/sig0000027a , \blk00000003/sig0000027b , \blk00000003/sig0000027c , +\blk00000003/sig0000027d , \blk00000003/sig0000027e , \blk00000003/sig0000027f , \blk00000003/sig00000280 , \blk00000003/sig00000281 , +\blk00000003/sig00000282 , \blk00000003/sig00000283 , \blk00000003/sig00000284 , \blk00000003/sig00000285 , \blk00000003/sig00000286 , +\blk00000003/sig00000287 , \blk00000003/sig00000288 , \blk00000003/sig00000289 }), + .A({\blk00000003/sig000004ee , \blk00000003/sig000004ef , \blk00000003/sig000004f0 , \blk00000003/sig000004f1 , \blk00000003/sig000004f2 , +\blk00000003/sig000004f3 , \blk00000003/sig000004f4 , \blk00000003/sig000004f5 , \blk00000003/sig000004f6 , \blk00000003/sig000004f7 , +\blk00000003/sig000004f8 , \blk00000003/sig000004f9 , \blk00000003/sig000004fa , \blk00000003/sig000004fb , \blk00000003/sig000004fc , +\blk00000003/sig000004fd , \blk00000003/sig000004fe , \blk00000003/sig000004ff }), + .M({\NLW_blk00000003/blk00000106_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000105 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000105_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000105_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000718 , \blk00000003/sig00000718 , \blk00000003/sig00000718 , \blk00000003/sig00000718 , \blk00000003/sig00000718 , +\blk00000003/sig00000718 , \blk00000003/sig00000718 , \blk00000003/sig00000718 , \blk00000003/sig00000718 , \blk00000003/sig00000718 , +\blk00000003/sig00000718 , \blk00000003/sig00000719 , \blk00000003/sig0000071a , \blk00000003/sig0000071b , \blk00000003/sig0000071c , +\blk00000003/sig0000071d , \blk00000003/sig0000071e , \blk00000003/sig0000071f }), + .BCOUT({\NLW_blk00000003/blk00000105_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000006e8 , \blk00000003/sig000006e9 , \blk00000003/sig000006ea , \blk00000003/sig000006eb , \blk00000003/sig000006ec , +\blk00000003/sig000006ed , \blk00000003/sig000006ee , \blk00000003/sig000006ef , \blk00000003/sig000006f0 , \blk00000003/sig000006f1 , +\blk00000003/sig000006f2 , \blk00000003/sig000006f3 , \blk00000003/sig000006f4 , \blk00000003/sig000006f5 , \blk00000003/sig000006f6 , +\blk00000003/sig000006f7 , \blk00000003/sig000006f8 , \blk00000003/sig000006f9 , \blk00000003/sig000006fa , \blk00000003/sig000006fb , +\blk00000003/sig000006fc , \blk00000003/sig000006fd , \blk00000003/sig000006fe , \blk00000003/sig000006ff , \blk00000003/sig00000700 , +\blk00000003/sig00000701 , \blk00000003/sig00000702 , \blk00000003/sig00000703 , \blk00000003/sig00000704 , \blk00000003/sig00000705 , +\blk00000003/sig00000706 , \blk00000003/sig00000707 , \blk00000003/sig00000708 , \blk00000003/sig00000709 , \blk00000003/sig0000070a , +\blk00000003/sig0000070b , \blk00000003/sig0000070c , \blk00000003/sig0000070d , \blk00000003/sig0000070e , \blk00000003/sig0000070f , +\blk00000003/sig00000710 , \blk00000003/sig00000711 , \blk00000003/sig00000712 , \blk00000003/sig00000713 , \blk00000003/sig00000714 , +\blk00000003/sig00000715 , \blk00000003/sig00000716 , \blk00000003/sig00000717 }), + .C({\NLW_blk00000003/blk00000105_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000105_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000720 , \blk00000003/sig00000720 , \blk00000003/sig00000720 , \blk00000003/sig00000720 , \blk00000003/sig00000720 , +\blk00000003/sig00000720 , \blk00000003/sig00000720 , \blk00000003/sig00000720 , \blk00000003/sig00000720 , \blk00000003/sig00000720 , +\blk00000003/sig00000720 , \blk00000003/sig00000721 , \blk00000003/sig00000722 , \blk00000003/sig00000723 , \blk00000003/sig00000724 , +\blk00000003/sig00000725 , \blk00000003/sig00000726 , \blk00000003/sig00000727 }), + .PCOUT({\blk00000003/sig00000508 , \blk00000003/sig00000509 , \blk00000003/sig0000050a , \blk00000003/sig0000050b , \blk00000003/sig0000050c , +\blk00000003/sig0000050d , \blk00000003/sig0000050e , \blk00000003/sig0000050f , \blk00000003/sig00000510 , \blk00000003/sig00000511 , +\blk00000003/sig00000512 , \blk00000003/sig00000513 , \blk00000003/sig00000514 , \blk00000003/sig00000515 , \blk00000003/sig00000516 , +\blk00000003/sig00000517 , \blk00000003/sig00000518 , \blk00000003/sig00000519 , \blk00000003/sig0000051a , \blk00000003/sig0000051b , +\blk00000003/sig0000051c , \blk00000003/sig0000051d , \blk00000003/sig0000051e , \blk00000003/sig0000051f , \blk00000003/sig00000520 , +\blk00000003/sig00000521 , \blk00000003/sig00000522 , \blk00000003/sig00000523 , \blk00000003/sig00000524 , \blk00000003/sig00000525 , +\blk00000003/sig00000526 , \blk00000003/sig00000527 , \blk00000003/sig00000528 , \blk00000003/sig00000529 , \blk00000003/sig0000052a , +\blk00000003/sig0000052b , \blk00000003/sig0000052c , \blk00000003/sig0000052d , \blk00000003/sig0000052e , \blk00000003/sig0000052f , +\blk00000003/sig00000530 , \blk00000003/sig00000531 , \blk00000003/sig00000532 , \blk00000003/sig00000533 , \blk00000003/sig00000534 , +\blk00000003/sig00000535 , \blk00000003/sig00000536 , \blk00000003/sig00000537 }), + .A({\blk00000003/sig00000646 , \blk00000003/sig00000647 , \blk00000003/sig00000648 , \blk00000003/sig00000649 , \blk00000003/sig0000064a , +\blk00000003/sig0000064b , \blk00000003/sig0000064c , \blk00000003/sig0000064d , \blk00000003/sig0000064e , \blk00000003/sig0000064f , +\blk00000003/sig00000650 , \blk00000003/sig00000651 , \blk00000003/sig00000652 , \blk00000003/sig00000653 , \blk00000003/sig00000654 , +\blk00000003/sig00000655 , \blk00000003/sig00000656 , \blk00000003/sig00000657 }), + .M({\NLW_blk00000003/blk00000105_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000104 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000104_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000104_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig000006d8 , \blk00000003/sig000006d8 , \blk00000003/sig000006d8 , \blk00000003/sig000006d8 , \blk00000003/sig000006d8 , +\blk00000003/sig000006d8 , \blk00000003/sig000006d8 , \blk00000003/sig000006d8 , \blk00000003/sig000006d8 , \blk00000003/sig000006d8 , +\blk00000003/sig000006d8 , \blk00000003/sig000006d9 , \blk00000003/sig000006da , \blk00000003/sig000006db , \blk00000003/sig000006dc , +\blk00000003/sig000006dd , \blk00000003/sig000006de , \blk00000003/sig000006df }), + .BCOUT({\NLW_blk00000003/blk00000104_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000006a8 , \blk00000003/sig000006a9 , \blk00000003/sig000006aa , \blk00000003/sig000006ab , \blk00000003/sig000006ac , +\blk00000003/sig000006ad , \blk00000003/sig000006ae , \blk00000003/sig000006af , \blk00000003/sig000006b0 , \blk00000003/sig000006b1 , +\blk00000003/sig000006b2 , \blk00000003/sig000006b3 , \blk00000003/sig000006b4 , \blk00000003/sig000006b5 , \blk00000003/sig000006b6 , +\blk00000003/sig000006b7 , \blk00000003/sig000006b8 , \blk00000003/sig000006b9 , \blk00000003/sig000006ba , \blk00000003/sig000006bb , +\blk00000003/sig000006bc , \blk00000003/sig000006bd , \blk00000003/sig000006be , \blk00000003/sig000006bf , \blk00000003/sig000006c0 , +\blk00000003/sig000006c1 , \blk00000003/sig000006c2 , \blk00000003/sig000006c3 , \blk00000003/sig000006c4 , \blk00000003/sig000006c5 , +\blk00000003/sig000006c6 , \blk00000003/sig000006c7 , \blk00000003/sig000006c8 , \blk00000003/sig000006c9 , \blk00000003/sig000006ca , +\blk00000003/sig000006cb , \blk00000003/sig000006cc , \blk00000003/sig000006cd , \blk00000003/sig000006ce , \blk00000003/sig000006cf , +\blk00000003/sig000006d0 , \blk00000003/sig000006d1 , \blk00000003/sig000006d2 , \blk00000003/sig000006d3 , \blk00000003/sig000006d4 , +\blk00000003/sig000006d5 , \blk00000003/sig000006d6 , \blk00000003/sig000006d7 }), + .C({\NLW_blk00000003/blk00000104_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000104_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig000006e0 , \blk00000003/sig000006e0 , \blk00000003/sig000006e0 , \blk00000003/sig000006e0 , \blk00000003/sig000006e0 , +\blk00000003/sig000006e0 , \blk00000003/sig000006e0 , \blk00000003/sig000006e0 , \blk00000003/sig000006e0 , \blk00000003/sig000006e0 , +\blk00000003/sig000006e0 , \blk00000003/sig000006e1 , \blk00000003/sig000006e2 , \blk00000003/sig000006e3 , \blk00000003/sig000006e4 , +\blk00000003/sig000006e5 , \blk00000003/sig000006e6 , \blk00000003/sig000006e7 }), + .PCOUT({\blk00000003/sig000006e8 , \blk00000003/sig000006e9 , \blk00000003/sig000006ea , \blk00000003/sig000006eb , \blk00000003/sig000006ec , +\blk00000003/sig000006ed , \blk00000003/sig000006ee , \blk00000003/sig000006ef , \blk00000003/sig000006f0 , \blk00000003/sig000006f1 , +\blk00000003/sig000006f2 , \blk00000003/sig000006f3 , \blk00000003/sig000006f4 , \blk00000003/sig000006f5 , \blk00000003/sig000006f6 , +\blk00000003/sig000006f7 , \blk00000003/sig000006f8 , \blk00000003/sig000006f9 , \blk00000003/sig000006fa , \blk00000003/sig000006fb , +\blk00000003/sig000006fc , \blk00000003/sig000006fd , \blk00000003/sig000006fe , \blk00000003/sig000006ff , \blk00000003/sig00000700 , +\blk00000003/sig00000701 , \blk00000003/sig00000702 , \blk00000003/sig00000703 , \blk00000003/sig00000704 , \blk00000003/sig00000705 , +\blk00000003/sig00000706 , \blk00000003/sig00000707 , \blk00000003/sig00000708 , \blk00000003/sig00000709 , \blk00000003/sig0000070a , +\blk00000003/sig0000070b , \blk00000003/sig0000070c , \blk00000003/sig0000070d , \blk00000003/sig0000070e , \blk00000003/sig0000070f , +\blk00000003/sig00000710 , \blk00000003/sig00000711 , \blk00000003/sig00000712 , \blk00000003/sig00000713 , \blk00000003/sig00000714 , +\blk00000003/sig00000715 , \blk00000003/sig00000716 , \blk00000003/sig00000717 }), + .A({\blk00000003/sig00000624 , \blk00000003/sig00000625 , \blk00000003/sig00000626 , \blk00000003/sig00000627 , \blk00000003/sig00000628 , +\blk00000003/sig00000629 , \blk00000003/sig0000062a , \blk00000003/sig0000062b , \blk00000003/sig0000062c , \blk00000003/sig0000062d , +\blk00000003/sig0000062e , \blk00000003/sig0000062f , \blk00000003/sig00000630 , \blk00000003/sig00000631 , \blk00000003/sig00000632 , +\blk00000003/sig00000633 , \blk00000003/sig00000634 , \blk00000003/sig00000635 }), + .M({\NLW_blk00000003/blk00000104_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000103 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000103_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000103_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000698 , \blk00000003/sig00000698 , \blk00000003/sig00000698 , \blk00000003/sig00000698 , \blk00000003/sig00000698 , +\blk00000003/sig00000698 , \blk00000003/sig00000698 , \blk00000003/sig00000698 , \blk00000003/sig00000698 , \blk00000003/sig00000698 , +\blk00000003/sig00000698 , \blk00000003/sig00000699 , \blk00000003/sig0000069a , \blk00000003/sig0000069b , \blk00000003/sig0000069c , +\blk00000003/sig0000069d , \blk00000003/sig0000069e , \blk00000003/sig0000069f }), + .BCOUT({\NLW_blk00000003/blk00000103_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000103_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000103_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000103_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000103_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000103_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000103_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000103_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000103_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000103_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000103_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000103_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000103_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000103_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000103_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000103_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000103_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000103_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000668 , \blk00000003/sig00000669 , \blk00000003/sig0000066a , \blk00000003/sig0000066b , \blk00000003/sig0000066c , +\blk00000003/sig0000066d , \blk00000003/sig0000066e , \blk00000003/sig0000066f , \blk00000003/sig00000670 , \blk00000003/sig00000671 , +\blk00000003/sig00000672 , \blk00000003/sig00000673 , \blk00000003/sig00000674 , \blk00000003/sig00000675 , \blk00000003/sig00000676 , +\blk00000003/sig00000677 , \blk00000003/sig00000678 , \blk00000003/sig00000679 , \blk00000003/sig0000067a , \blk00000003/sig0000067b , +\blk00000003/sig0000067c , \blk00000003/sig0000067d , \blk00000003/sig0000067e , \blk00000003/sig0000067f , \blk00000003/sig00000680 , +\blk00000003/sig00000681 , \blk00000003/sig00000682 , \blk00000003/sig00000683 , \blk00000003/sig00000684 , \blk00000003/sig00000685 , +\blk00000003/sig00000686 , \blk00000003/sig00000687 , \blk00000003/sig00000688 , \blk00000003/sig00000689 , \blk00000003/sig0000068a , +\blk00000003/sig0000068b , \blk00000003/sig0000068c , \blk00000003/sig0000068d , \blk00000003/sig0000068e , \blk00000003/sig0000068f , +\blk00000003/sig00000690 , \blk00000003/sig00000691 , \blk00000003/sig00000692 , \blk00000003/sig00000693 , \blk00000003/sig00000694 , +\blk00000003/sig00000695 , \blk00000003/sig00000696 , \blk00000003/sig00000697 }), + .C({\NLW_blk00000003/blk00000103_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000103_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig000006a0 , \blk00000003/sig000006a0 , \blk00000003/sig000006a0 , \blk00000003/sig000006a0 , \blk00000003/sig000006a0 , +\blk00000003/sig000006a0 , \blk00000003/sig000006a0 , \blk00000003/sig000006a0 , \blk00000003/sig000006a0 , \blk00000003/sig000006a0 , +\blk00000003/sig000006a0 , \blk00000003/sig000006a1 , \blk00000003/sig000006a2 , \blk00000003/sig000006a3 , \blk00000003/sig000006a4 , +\blk00000003/sig000006a5 , \blk00000003/sig000006a6 , \blk00000003/sig000006a7 }), + .PCOUT({\blk00000003/sig000006a8 , \blk00000003/sig000006a9 , \blk00000003/sig000006aa , \blk00000003/sig000006ab , \blk00000003/sig000006ac , +\blk00000003/sig000006ad , \blk00000003/sig000006ae , \blk00000003/sig000006af , \blk00000003/sig000006b0 , \blk00000003/sig000006b1 , +\blk00000003/sig000006b2 , \blk00000003/sig000006b3 , \blk00000003/sig000006b4 , \blk00000003/sig000006b5 , \blk00000003/sig000006b6 , +\blk00000003/sig000006b7 , \blk00000003/sig000006b8 , \blk00000003/sig000006b9 , \blk00000003/sig000006ba , \blk00000003/sig000006bb , +\blk00000003/sig000006bc , \blk00000003/sig000006bd , \blk00000003/sig000006be , \blk00000003/sig000006bf , \blk00000003/sig000006c0 , +\blk00000003/sig000006c1 , \blk00000003/sig000006c2 , \blk00000003/sig000006c3 , \blk00000003/sig000006c4 , \blk00000003/sig000006c5 , +\blk00000003/sig000006c6 , \blk00000003/sig000006c7 , \blk00000003/sig000006c8 , \blk00000003/sig000006c9 , \blk00000003/sig000006ca , +\blk00000003/sig000006cb , \blk00000003/sig000006cc , \blk00000003/sig000006cd , \blk00000003/sig000006ce , \blk00000003/sig000006cf , +\blk00000003/sig000006d0 , \blk00000003/sig000006d1 , \blk00000003/sig000006d2 , \blk00000003/sig000006d3 , \blk00000003/sig000006d4 , +\blk00000003/sig000006d5 , \blk00000003/sig000006d6 , \blk00000003/sig000006d7 }), + .A({\blk00000003/sig000005d2 , \blk00000003/sig000005d3 , \blk00000003/sig000005d4 , \blk00000003/sig000005d5 , \blk00000003/sig000005d6 , +\blk00000003/sig000005d7 , \blk00000003/sig000005d8 , \blk00000003/sig000005d9 , \blk00000003/sig000005da , \blk00000003/sig000005db , +\blk00000003/sig000005dc , \blk00000003/sig000005dd , \blk00000003/sig000005de , \blk00000003/sig000005df , \blk00000003/sig000005e0 , +\blk00000003/sig000005e1 , \blk00000003/sig000005e2 , \blk00000003/sig000005e3 }), + .M({\NLW_blk00000003/blk00000103_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000102 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000102_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000102_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000658 , \blk00000003/sig00000658 , \blk00000003/sig00000658 , \blk00000003/sig00000658 , \blk00000003/sig00000658 , +\blk00000003/sig00000658 , \blk00000003/sig00000658 , \blk00000003/sig00000658 , \blk00000003/sig00000658 , \blk00000003/sig00000658 , +\blk00000003/sig00000658 , \blk00000003/sig00000659 , \blk00000003/sig0000065a , \blk00000003/sig0000065b , \blk00000003/sig0000065c , +\blk00000003/sig0000065d , \blk00000003/sig0000065e , \blk00000003/sig0000065f }), + .BCOUT({\NLW_blk00000003/blk00000102_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000102_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000102_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000102_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000102_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000102_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000102_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000102_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000102_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000102_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000102_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000102_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000102_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000102_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000102_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000102_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000102_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000102_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000003de , \blk00000003/sig000003df , \blk00000003/sig000003e0 , \blk00000003/sig000003e1 , \blk00000003/sig000003e2 , +\blk00000003/sig000003e3 , \blk00000003/sig000003e4 , \blk00000003/sig000003e5 , \blk00000003/sig000003e6 , \blk00000003/sig000003e7 , +\blk00000003/sig000003e8 , \blk00000003/sig000003e9 , \blk00000003/sig000003ea , \blk00000003/sig000003eb , \blk00000003/sig000003ec , +\blk00000003/sig000003ed , \blk00000003/sig000003ee , \blk00000003/sig000003ef , \blk00000003/sig000003f0 , \blk00000003/sig000003f1 , +\blk00000003/sig000003f2 , \blk00000003/sig000003f3 , \blk00000003/sig000003f4 , \blk00000003/sig000003f5 , \blk00000003/sig000003f6 , +\blk00000003/sig000003f7 , \blk00000003/sig000003f8 , \blk00000003/sig000003f9 , \blk00000003/sig000003fa , \blk00000003/sig000003fb , +\blk00000003/sig000003fc , \blk00000003/sig000003fd , \blk00000003/sig000003fe , \blk00000003/sig000003ff , \blk00000003/sig00000400 , +\blk00000003/sig00000401 , \blk00000003/sig00000402 , \blk00000003/sig00000403 , \blk00000003/sig00000404 , \blk00000003/sig00000405 , +\blk00000003/sig00000406 , \blk00000003/sig00000407 , \blk00000003/sig00000408 , \blk00000003/sig00000409 , \blk00000003/sig0000040a , +\blk00000003/sig0000040b , \blk00000003/sig0000040c , \blk00000003/sig0000040d }), + .C({\NLW_blk00000003/blk00000102_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000102_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000660 , \blk00000003/sig00000660 , \blk00000003/sig00000660 , \blk00000003/sig00000660 , \blk00000003/sig00000660 , +\blk00000003/sig00000660 , \blk00000003/sig00000660 , \blk00000003/sig00000660 , \blk00000003/sig00000660 , \blk00000003/sig00000660 , +\blk00000003/sig00000660 , \blk00000003/sig00000661 , \blk00000003/sig00000662 , \blk00000003/sig00000663 , \blk00000003/sig00000664 , +\blk00000003/sig00000665 , \blk00000003/sig00000666 , \blk00000003/sig00000667 }), + .PCOUT({\blk00000003/sig00000668 , \blk00000003/sig00000669 , \blk00000003/sig0000066a , \blk00000003/sig0000066b , \blk00000003/sig0000066c , +\blk00000003/sig0000066d , \blk00000003/sig0000066e , \blk00000003/sig0000066f , \blk00000003/sig00000670 , \blk00000003/sig00000671 , +\blk00000003/sig00000672 , \blk00000003/sig00000673 , \blk00000003/sig00000674 , \blk00000003/sig00000675 , \blk00000003/sig00000676 , +\blk00000003/sig00000677 , \blk00000003/sig00000678 , \blk00000003/sig00000679 , \blk00000003/sig0000067a , \blk00000003/sig0000067b , +\blk00000003/sig0000067c , \blk00000003/sig0000067d , \blk00000003/sig0000067e , \blk00000003/sig0000067f , \blk00000003/sig00000680 , +\blk00000003/sig00000681 , \blk00000003/sig00000682 , \blk00000003/sig00000683 , \blk00000003/sig00000684 , \blk00000003/sig00000685 , +\blk00000003/sig00000686 , \blk00000003/sig00000687 , \blk00000003/sig00000688 , \blk00000003/sig00000689 , \blk00000003/sig0000068a , +\blk00000003/sig0000068b , \blk00000003/sig0000068c , \blk00000003/sig0000068d , \blk00000003/sig0000068e , \blk00000003/sig0000068f , +\blk00000003/sig00000690 , \blk00000003/sig00000691 , \blk00000003/sig00000692 , \blk00000003/sig00000693 , \blk00000003/sig00000694 , +\blk00000003/sig00000695 , \blk00000003/sig00000696 , \blk00000003/sig00000697 }), + .A({\blk00000003/sig00000580 , \blk00000003/sig00000581 , \blk00000003/sig00000582 , \blk00000003/sig00000583 , \blk00000003/sig00000584 , +\blk00000003/sig00000585 , \blk00000003/sig00000586 , \blk00000003/sig00000587 , \blk00000003/sig00000588 , \blk00000003/sig00000589 , +\blk00000003/sig0000058a , \blk00000003/sig0000058b , \blk00000003/sig0000058c , \blk00000003/sig0000058d , \blk00000003/sig0000058e , +\blk00000003/sig0000058f , \blk00000003/sig00000590 , \blk00000003/sig00000591 }), + .M({\NLW_blk00000003/blk00000102_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000101 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000101_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000101_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000636 , \blk00000003/sig00000636 , \blk00000003/sig00000636 , \blk00000003/sig00000636 , \blk00000003/sig00000636 , +\blk00000003/sig00000636 , \blk00000003/sig00000636 , \blk00000003/sig00000636 , \blk00000003/sig00000636 , \blk00000003/sig00000636 , +\blk00000003/sig00000636 , \blk00000003/sig00000637 , \blk00000003/sig00000638 , \blk00000003/sig00000639 , \blk00000003/sig0000063a , +\blk00000003/sig0000063b , \blk00000003/sig0000063c , \blk00000003/sig0000063d }), + .BCOUT({\NLW_blk00000003/blk00000101_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000101_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000101_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000101_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000101_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000101_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000101_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000101_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000101_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000101_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000101_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000101_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000101_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000101_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000101_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000101_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000101_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000101_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000005f4 , \blk00000003/sig000005f5 , \blk00000003/sig000005f6 , \blk00000003/sig000005f7 , \blk00000003/sig000005f8 , +\blk00000003/sig000005f9 , \blk00000003/sig000005fa , \blk00000003/sig000005fb , \blk00000003/sig000005fc , \blk00000003/sig000005fd , +\blk00000003/sig000005fe , \blk00000003/sig000005ff , \blk00000003/sig00000600 , \blk00000003/sig00000601 , \blk00000003/sig00000602 , +\blk00000003/sig00000603 , \blk00000003/sig00000604 , \blk00000003/sig00000605 , \blk00000003/sig00000606 , \blk00000003/sig00000607 , +\blk00000003/sig00000608 , \blk00000003/sig00000609 , \blk00000003/sig0000060a , \blk00000003/sig0000060b , \blk00000003/sig0000060c , +\blk00000003/sig0000060d , \blk00000003/sig0000060e , \blk00000003/sig0000060f , \blk00000003/sig00000610 , \blk00000003/sig00000611 , +\blk00000003/sig00000612 , \blk00000003/sig00000613 , \blk00000003/sig00000614 , \blk00000003/sig00000615 , \blk00000003/sig00000616 , +\blk00000003/sig00000617 , \blk00000003/sig00000618 , \blk00000003/sig00000619 , \blk00000003/sig0000061a , \blk00000003/sig0000061b , +\blk00000003/sig0000061c , \blk00000003/sig0000061d , \blk00000003/sig0000061e , \blk00000003/sig0000061f , \blk00000003/sig00000620 , +\blk00000003/sig00000621 , \blk00000003/sig00000622 , \blk00000003/sig00000623 }), + .C({\NLW_blk00000003/blk00000101_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000101_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig0000063e , \blk00000003/sig0000063e , \blk00000003/sig0000063e , \blk00000003/sig0000063e , \blk00000003/sig0000063e , +\blk00000003/sig0000063e , \blk00000003/sig0000063e , \blk00000003/sig0000063e , \blk00000003/sig0000063e , \blk00000003/sig0000063e , +\blk00000003/sig0000063e , \blk00000003/sig0000063f , \blk00000003/sig00000640 , \blk00000003/sig00000641 , \blk00000003/sig00000642 , +\blk00000003/sig00000643 , \blk00000003/sig00000644 , \blk00000003/sig00000645 }), + .PCOUT({\blk00000003/sig000004b6 , \blk00000003/sig000004b7 , \blk00000003/sig000004b8 , \blk00000003/sig000004b9 , \blk00000003/sig000004ba , +\blk00000003/sig000004bb , \blk00000003/sig000004bc , \blk00000003/sig000004bd , \blk00000003/sig000004be , \blk00000003/sig000004bf , +\blk00000003/sig000004c0 , \blk00000003/sig000004c1 , \blk00000003/sig000004c2 , \blk00000003/sig000004c3 , \blk00000003/sig000004c4 , +\blk00000003/sig000004c5 , \blk00000003/sig000004c6 , \blk00000003/sig000004c7 , \blk00000003/sig000004c8 , \blk00000003/sig000004c9 , +\blk00000003/sig000004ca , \blk00000003/sig000004cb , \blk00000003/sig000004cc , \blk00000003/sig000004cd , \blk00000003/sig000004ce , +\blk00000003/sig000004cf , \blk00000003/sig000004d0 , \blk00000003/sig000004d1 , \blk00000003/sig000004d2 , \blk00000003/sig000004d3 , +\blk00000003/sig000004d4 , \blk00000003/sig000004d5 , \blk00000003/sig000004d6 , \blk00000003/sig000004d7 , \blk00000003/sig000004d8 , +\blk00000003/sig000004d9 , \blk00000003/sig000004da , \blk00000003/sig000004db , \blk00000003/sig000004dc , \blk00000003/sig000004dd , +\blk00000003/sig000004de , \blk00000003/sig000004df , \blk00000003/sig000004e0 , \blk00000003/sig000004e1 , \blk00000003/sig000004e2 , +\blk00000003/sig000004e3 , \blk00000003/sig000004e4 , \blk00000003/sig000004e5 }), + .A({\blk00000003/sig00000646 , \blk00000003/sig00000647 , \blk00000003/sig00000648 , \blk00000003/sig00000649 , \blk00000003/sig0000064a , +\blk00000003/sig0000064b , \blk00000003/sig0000064c , \blk00000003/sig0000064d , \blk00000003/sig0000064e , \blk00000003/sig0000064f , +\blk00000003/sig00000650 , \blk00000003/sig00000651 , \blk00000003/sig00000652 , \blk00000003/sig00000653 , \blk00000003/sig00000654 , +\blk00000003/sig00000655 , \blk00000003/sig00000656 , \blk00000003/sig00000657 }), + .M({\NLW_blk00000003/blk00000101_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000100 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000100_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000100_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig000005e4 , \blk00000003/sig000005e4 , \blk00000003/sig000005e4 , \blk00000003/sig000005e4 , \blk00000003/sig000005e4 , +\blk00000003/sig000005e4 , \blk00000003/sig000005e4 , \blk00000003/sig000005e4 , \blk00000003/sig000005e4 , \blk00000003/sig000005e4 , +\blk00000003/sig000005e4 , \blk00000003/sig000005e5 , \blk00000003/sig000005e6 , \blk00000003/sig000005e7 , \blk00000003/sig000005e8 , +\blk00000003/sig000005e9 , \blk00000003/sig000005ea , \blk00000003/sig000005eb }), + .BCOUT({\NLW_blk00000003/blk00000100_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000100_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000100_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000100_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000100_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000100_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000100_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000100_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000100_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000100_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000100_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000100_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000100_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000100_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000100_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000100_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000100_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000100_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000005a2 , \blk00000003/sig000005a3 , \blk00000003/sig000005a4 , \blk00000003/sig000005a5 , \blk00000003/sig000005a6 , +\blk00000003/sig000005a7 , \blk00000003/sig000005a8 , \blk00000003/sig000005a9 , \blk00000003/sig000005aa , \blk00000003/sig000005ab , +\blk00000003/sig000005ac , \blk00000003/sig000005ad , \blk00000003/sig000005ae , \blk00000003/sig000005af , \blk00000003/sig000005b0 , +\blk00000003/sig000005b1 , \blk00000003/sig000005b2 , \blk00000003/sig000005b3 , \blk00000003/sig000005b4 , \blk00000003/sig000005b5 , +\blk00000003/sig000005b6 , \blk00000003/sig000005b7 , \blk00000003/sig000005b8 , \blk00000003/sig000005b9 , \blk00000003/sig000005ba , +\blk00000003/sig000005bb , \blk00000003/sig000005bc , \blk00000003/sig000005bd , \blk00000003/sig000005be , \blk00000003/sig000005bf , +\blk00000003/sig000005c0 , \blk00000003/sig000005c1 , \blk00000003/sig000005c2 , \blk00000003/sig000005c3 , \blk00000003/sig000005c4 , +\blk00000003/sig000005c5 , \blk00000003/sig000005c6 , \blk00000003/sig000005c7 , \blk00000003/sig000005c8 , \blk00000003/sig000005c9 , +\blk00000003/sig000005ca , \blk00000003/sig000005cb , \blk00000003/sig000005cc , \blk00000003/sig000005cd , \blk00000003/sig000005ce , +\blk00000003/sig000005cf , \blk00000003/sig000005d0 , \blk00000003/sig000005d1 }), + .C({\NLW_blk00000003/blk00000100_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000100_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig000005ec , \blk00000003/sig000005ec , \blk00000003/sig000005ec , \blk00000003/sig000005ec , \blk00000003/sig000005ec , +\blk00000003/sig000005ec , \blk00000003/sig000005ec , \blk00000003/sig000005ec , \blk00000003/sig000005ec , \blk00000003/sig000005ec , +\blk00000003/sig000005ec , \blk00000003/sig000005ed , \blk00000003/sig000005ee , \blk00000003/sig000005ef , \blk00000003/sig000005f0 , +\blk00000003/sig000005f1 , \blk00000003/sig000005f2 , \blk00000003/sig000005f3 }), + .PCOUT({\blk00000003/sig000005f4 , \blk00000003/sig000005f5 , \blk00000003/sig000005f6 , \blk00000003/sig000005f7 , \blk00000003/sig000005f8 , +\blk00000003/sig000005f9 , \blk00000003/sig000005fa , \blk00000003/sig000005fb , \blk00000003/sig000005fc , \blk00000003/sig000005fd , +\blk00000003/sig000005fe , \blk00000003/sig000005ff , \blk00000003/sig00000600 , \blk00000003/sig00000601 , \blk00000003/sig00000602 , +\blk00000003/sig00000603 , \blk00000003/sig00000604 , \blk00000003/sig00000605 , \blk00000003/sig00000606 , \blk00000003/sig00000607 , +\blk00000003/sig00000608 , \blk00000003/sig00000609 , \blk00000003/sig0000060a , \blk00000003/sig0000060b , \blk00000003/sig0000060c , +\blk00000003/sig0000060d , \blk00000003/sig0000060e , \blk00000003/sig0000060f , \blk00000003/sig00000610 , \blk00000003/sig00000611 , +\blk00000003/sig00000612 , \blk00000003/sig00000613 , \blk00000003/sig00000614 , \blk00000003/sig00000615 , \blk00000003/sig00000616 , +\blk00000003/sig00000617 , \blk00000003/sig00000618 , \blk00000003/sig00000619 , \blk00000003/sig0000061a , \blk00000003/sig0000061b , +\blk00000003/sig0000061c , \blk00000003/sig0000061d , \blk00000003/sig0000061e , \blk00000003/sig0000061f , \blk00000003/sig00000620 , +\blk00000003/sig00000621 , \blk00000003/sig00000622 , \blk00000003/sig00000623 }), + .A({\blk00000003/sig00000624 , \blk00000003/sig00000625 , \blk00000003/sig00000626 , \blk00000003/sig00000627 , \blk00000003/sig00000628 , +\blk00000003/sig00000629 , \blk00000003/sig0000062a , \blk00000003/sig0000062b , \blk00000003/sig0000062c , \blk00000003/sig0000062d , +\blk00000003/sig0000062e , \blk00000003/sig0000062f , \blk00000003/sig00000630 , \blk00000003/sig00000631 , \blk00000003/sig00000632 , +\blk00000003/sig00000633 , \blk00000003/sig00000634 , \blk00000003/sig00000635 }), + .M({\NLW_blk00000003/blk00000100_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk000000ff ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk000000ff_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk000000ff_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000592 , \blk00000003/sig00000592 , \blk00000003/sig00000592 , \blk00000003/sig00000592 , \blk00000003/sig00000592 , +\blk00000003/sig00000592 , \blk00000003/sig00000592 , \blk00000003/sig00000592 , \blk00000003/sig00000592 , \blk00000003/sig00000592 , +\blk00000003/sig00000592 , \blk00000003/sig00000593 , \blk00000003/sig00000594 , \blk00000003/sig00000595 , \blk00000003/sig00000596 , +\blk00000003/sig00000597 , \blk00000003/sig00000598 , \blk00000003/sig00000599 }), + .BCOUT({\NLW_blk00000003/blk000000ff_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ff_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ff_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ff_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ff_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ff_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ff_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ff_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ff_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ff_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000550 , \blk00000003/sig00000551 , \blk00000003/sig00000552 , \blk00000003/sig00000553 , \blk00000003/sig00000554 , +\blk00000003/sig00000555 , \blk00000003/sig00000556 , \blk00000003/sig00000557 , \blk00000003/sig00000558 , \blk00000003/sig00000559 , +\blk00000003/sig0000055a , \blk00000003/sig0000055b , \blk00000003/sig0000055c , \blk00000003/sig0000055d , \blk00000003/sig0000055e , +\blk00000003/sig0000055f , \blk00000003/sig00000560 , \blk00000003/sig00000561 , \blk00000003/sig00000562 , \blk00000003/sig00000563 , +\blk00000003/sig00000564 , \blk00000003/sig00000565 , \blk00000003/sig00000566 , \blk00000003/sig00000567 , \blk00000003/sig00000568 , +\blk00000003/sig00000569 , \blk00000003/sig0000056a , \blk00000003/sig0000056b , \blk00000003/sig0000056c , \blk00000003/sig0000056d , +\blk00000003/sig0000056e , \blk00000003/sig0000056f , \blk00000003/sig00000570 , \blk00000003/sig00000571 , \blk00000003/sig00000572 , +\blk00000003/sig00000573 , \blk00000003/sig00000574 , \blk00000003/sig00000575 , \blk00000003/sig00000576 , \blk00000003/sig00000577 , +\blk00000003/sig00000578 , \blk00000003/sig00000579 , \blk00000003/sig0000057a , \blk00000003/sig0000057b , \blk00000003/sig0000057c , +\blk00000003/sig0000057d , \blk00000003/sig0000057e , \blk00000003/sig0000057f }), + .C({\NLW_blk00000003/blk000000ff_C<47>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<46>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<45>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<44>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<43>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<42>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<41>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<40>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<39>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<38>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<37>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<36>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<35>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<34>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<33>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<32>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<31>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<30>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<29>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<27>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<26>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<25>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<24>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<23>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<21>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<20>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<19>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<18>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<17>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<15>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<14>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<13>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<12>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<11>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<9>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<8>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<7>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<6>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<5>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<3>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<2>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<1>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk000000ff_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig0000059a , \blk00000003/sig0000059a , \blk00000003/sig0000059a , \blk00000003/sig0000059a , \blk00000003/sig0000059a , +\blk00000003/sig0000059a , \blk00000003/sig0000059a , \blk00000003/sig0000059a , \blk00000003/sig0000059a , \blk00000003/sig0000059a , +\blk00000003/sig0000059a , \blk00000003/sig0000059b , \blk00000003/sig0000059c , \blk00000003/sig0000059d , \blk00000003/sig0000059e , +\blk00000003/sig0000059f , \blk00000003/sig000005a0 , \blk00000003/sig000005a1 }), + .PCOUT({\blk00000003/sig000005a2 , \blk00000003/sig000005a3 , \blk00000003/sig000005a4 , \blk00000003/sig000005a5 , \blk00000003/sig000005a6 , +\blk00000003/sig000005a7 , \blk00000003/sig000005a8 , \blk00000003/sig000005a9 , \blk00000003/sig000005aa , \blk00000003/sig000005ab , +\blk00000003/sig000005ac , \blk00000003/sig000005ad , \blk00000003/sig000005ae , \blk00000003/sig000005af , \blk00000003/sig000005b0 , +\blk00000003/sig000005b1 , \blk00000003/sig000005b2 , \blk00000003/sig000005b3 , \blk00000003/sig000005b4 , \blk00000003/sig000005b5 , +\blk00000003/sig000005b6 , \blk00000003/sig000005b7 , \blk00000003/sig000005b8 , \blk00000003/sig000005b9 , \blk00000003/sig000005ba , +\blk00000003/sig000005bb , \blk00000003/sig000005bc , \blk00000003/sig000005bd , \blk00000003/sig000005be , \blk00000003/sig000005bf , +\blk00000003/sig000005c0 , \blk00000003/sig000005c1 , \blk00000003/sig000005c2 , \blk00000003/sig000005c3 , \blk00000003/sig000005c4 , +\blk00000003/sig000005c5 , \blk00000003/sig000005c6 , \blk00000003/sig000005c7 , \blk00000003/sig000005c8 , \blk00000003/sig000005c9 , +\blk00000003/sig000005ca , \blk00000003/sig000005cb , \blk00000003/sig000005cc , \blk00000003/sig000005cd , \blk00000003/sig000005ce , +\blk00000003/sig000005cf , \blk00000003/sig000005d0 , \blk00000003/sig000005d1 }), + .A({\blk00000003/sig000005d2 , \blk00000003/sig000005d3 , \blk00000003/sig000005d4 , \blk00000003/sig000005d5 , \blk00000003/sig000005d6 , +\blk00000003/sig000005d7 , \blk00000003/sig000005d8 , \blk00000003/sig000005d9 , \blk00000003/sig000005da , \blk00000003/sig000005db , +\blk00000003/sig000005dc , \blk00000003/sig000005dd , \blk00000003/sig000005de , \blk00000003/sig000005df , \blk00000003/sig000005e0 , +\blk00000003/sig000005e1 , \blk00000003/sig000005e2 , \blk00000003/sig000005e3 }), + .M({\NLW_blk00000003/blk000000ff_M<35>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<34>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<33>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<32>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<31>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<30>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<29>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<27>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<26>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<25>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<24>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<23>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<21>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<20>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<19>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<18>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<17>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<15>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<14>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<13>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<12>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<11>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<9>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<8>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<7>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<6>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<5>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<3>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<2>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<1>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk000000fe ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk000000fe_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk000000fe_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000540 , \blk00000003/sig00000540 , \blk00000003/sig00000540 , \blk00000003/sig00000540 , \blk00000003/sig00000540 , +\blk00000003/sig00000540 , \blk00000003/sig00000540 , \blk00000003/sig00000540 , \blk00000003/sig00000540 , \blk00000003/sig00000540 , +\blk00000003/sig00000540 , \blk00000003/sig00000541 , \blk00000003/sig00000542 , \blk00000003/sig00000543 , \blk00000003/sig00000544 , +\blk00000003/sig00000545 , \blk00000003/sig00000546 , \blk00000003/sig00000547 }), + .BCOUT({\NLW_blk00000003/blk000000fe_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fe_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fe_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fe_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fe_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fe_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fe_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fe_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fe_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fe_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig0000038c , \blk00000003/sig0000038d , \blk00000003/sig0000038e , \blk00000003/sig0000038f , \blk00000003/sig00000390 , +\blk00000003/sig00000391 , \blk00000003/sig00000392 , \blk00000003/sig00000393 , \blk00000003/sig00000394 , \blk00000003/sig00000395 , +\blk00000003/sig00000396 , \blk00000003/sig00000397 , \blk00000003/sig00000398 , \blk00000003/sig00000399 , \blk00000003/sig0000039a , +\blk00000003/sig0000039b , \blk00000003/sig0000039c , \blk00000003/sig0000039d , \blk00000003/sig0000039e , \blk00000003/sig0000039f , +\blk00000003/sig000003a0 , \blk00000003/sig000003a1 , \blk00000003/sig000003a2 , \blk00000003/sig000003a3 , \blk00000003/sig000003a4 , +\blk00000003/sig000003a5 , \blk00000003/sig000003a6 , \blk00000003/sig000003a7 , \blk00000003/sig000003a8 , \blk00000003/sig000003a9 , +\blk00000003/sig000003aa , \blk00000003/sig000003ab , \blk00000003/sig000003ac , \blk00000003/sig000003ad , \blk00000003/sig000003ae , +\blk00000003/sig000003af , \blk00000003/sig000003b0 , \blk00000003/sig000003b1 , \blk00000003/sig000003b2 , \blk00000003/sig000003b3 , +\blk00000003/sig000003b4 , \blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 , \blk00000003/sig000003b8 , +\blk00000003/sig000003b9 , \blk00000003/sig000003ba , \blk00000003/sig000003bb }), + .C({\NLW_blk00000003/blk000000fe_C<47>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<45>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<44>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<42>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<41>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<39>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<38>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<36>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<35>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<33>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<32>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<30>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<29>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<27>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<26>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<24>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<23>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<21>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<20>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<18>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<17>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<15>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<14>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<12>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<11>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<9>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<8>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<6>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<5>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<3>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<2>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk000000fe_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000548 , \blk00000003/sig00000548 , \blk00000003/sig00000548 , \blk00000003/sig00000548 , \blk00000003/sig00000548 , +\blk00000003/sig00000548 , \blk00000003/sig00000548 , \blk00000003/sig00000548 , \blk00000003/sig00000548 , \blk00000003/sig00000548 , +\blk00000003/sig00000548 , \blk00000003/sig00000549 , \blk00000003/sig0000054a , \blk00000003/sig0000054b , \blk00000003/sig0000054c , +\blk00000003/sig0000054d , \blk00000003/sig0000054e , \blk00000003/sig0000054f }), + .PCOUT({\blk00000003/sig00000550 , \blk00000003/sig00000551 , \blk00000003/sig00000552 , \blk00000003/sig00000553 , \blk00000003/sig00000554 , +\blk00000003/sig00000555 , \blk00000003/sig00000556 , \blk00000003/sig00000557 , \blk00000003/sig00000558 , \blk00000003/sig00000559 , +\blk00000003/sig0000055a , \blk00000003/sig0000055b , \blk00000003/sig0000055c , \blk00000003/sig0000055d , \blk00000003/sig0000055e , +\blk00000003/sig0000055f , \blk00000003/sig00000560 , \blk00000003/sig00000561 , \blk00000003/sig00000562 , \blk00000003/sig00000563 , +\blk00000003/sig00000564 , \blk00000003/sig00000565 , \blk00000003/sig00000566 , \blk00000003/sig00000567 , \blk00000003/sig00000568 , +\blk00000003/sig00000569 , \blk00000003/sig0000056a , \blk00000003/sig0000056b , \blk00000003/sig0000056c , \blk00000003/sig0000056d , +\blk00000003/sig0000056e , \blk00000003/sig0000056f , \blk00000003/sig00000570 , \blk00000003/sig00000571 , \blk00000003/sig00000572 , +\blk00000003/sig00000573 , \blk00000003/sig00000574 , \blk00000003/sig00000575 , \blk00000003/sig00000576 , \blk00000003/sig00000577 , +\blk00000003/sig00000578 , \blk00000003/sig00000579 , \blk00000003/sig0000057a , \blk00000003/sig0000057b , \blk00000003/sig0000057c , +\blk00000003/sig0000057d , \blk00000003/sig0000057e , \blk00000003/sig0000057f }), + .A({\blk00000003/sig00000580 , \blk00000003/sig00000581 , \blk00000003/sig00000582 , \blk00000003/sig00000583 , \blk00000003/sig00000584 , +\blk00000003/sig00000585 , \blk00000003/sig00000586 , \blk00000003/sig00000587 , \blk00000003/sig00000588 , \blk00000003/sig00000589 , +\blk00000003/sig0000058a , \blk00000003/sig0000058b , \blk00000003/sig0000058c , \blk00000003/sig0000058d , \blk00000003/sig0000058e , +\blk00000003/sig0000058f , \blk00000003/sig00000590 , \blk00000003/sig00000591 }), + .M({\NLW_blk00000003/blk000000fe_M<35>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<33>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<32>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<30>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<29>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<27>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<26>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<24>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<23>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<21>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<20>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<18>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<17>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<15>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<14>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<12>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<11>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<9>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<8>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<6>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<5>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<3>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<2>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk000000fd ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk000000fd_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk000000fd_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000500 , \blk00000003/sig00000500 , \blk00000003/sig00000500 , \blk00000003/sig00000500 , \blk00000003/sig00000500 , +\blk00000003/sig00000500 , \blk00000003/sig00000500 , \blk00000003/sig00000500 , \blk00000003/sig00000500 , \blk00000003/sig00000500 , +\blk00000003/sig00000500 , \blk00000003/sig00000501 , \blk00000003/sig00000502 , \blk00000003/sig00000503 , \blk00000003/sig00000504 , +\blk00000003/sig00000505 , \blk00000003/sig00000506 , \blk00000003/sig00000507 }), + .BCOUT({\NLW_blk00000003/blk000000fd_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fd_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fd_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fd_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fd_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fd_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fd_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fd_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fd_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fd_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000508 , \blk00000003/sig00000509 , \blk00000003/sig0000050a , \blk00000003/sig0000050b , \blk00000003/sig0000050c , +\blk00000003/sig0000050d , \blk00000003/sig0000050e , \blk00000003/sig0000050f , \blk00000003/sig00000510 , \blk00000003/sig00000511 , +\blk00000003/sig00000512 , \blk00000003/sig00000513 , \blk00000003/sig00000514 , \blk00000003/sig00000515 , \blk00000003/sig00000516 , +\blk00000003/sig00000517 , \blk00000003/sig00000518 , \blk00000003/sig00000519 , \blk00000003/sig0000051a , \blk00000003/sig0000051b , +\blk00000003/sig0000051c , \blk00000003/sig0000051d , \blk00000003/sig0000051e , \blk00000003/sig0000051f , \blk00000003/sig00000520 , +\blk00000003/sig00000521 , \blk00000003/sig00000522 , \blk00000003/sig00000523 , \blk00000003/sig00000524 , \blk00000003/sig00000525 , +\blk00000003/sig00000526 , \blk00000003/sig00000527 , \blk00000003/sig00000528 , \blk00000003/sig00000529 , \blk00000003/sig0000052a , +\blk00000003/sig0000052b , \blk00000003/sig0000052c , \blk00000003/sig0000052d , \blk00000003/sig0000052e , \blk00000003/sig0000052f , +\blk00000003/sig00000530 , \blk00000003/sig00000531 , \blk00000003/sig00000532 , \blk00000003/sig00000533 , \blk00000003/sig00000534 , +\blk00000003/sig00000535 , \blk00000003/sig00000536 , \blk00000003/sig00000537 }), + .C({\NLW_blk00000003/blk000000fd_C<47>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<45>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<44>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<42>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<41>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<39>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<38>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<36>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<35>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<33>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<32>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<30>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<29>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<27>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<26>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<24>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<23>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<21>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<20>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<18>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<17>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<15>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<14>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<12>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<11>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<9>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<8>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<6>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<5>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<3>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<2>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk000000fd_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000538 , \blk00000003/sig00000538 , \blk00000003/sig00000538 , \blk00000003/sig00000538 , \blk00000003/sig00000538 , +\blk00000003/sig00000538 , \blk00000003/sig00000538 , \blk00000003/sig00000538 , \blk00000003/sig00000538 , \blk00000003/sig00000538 , +\blk00000003/sig00000538 , \blk00000003/sig00000539 , \blk00000003/sig0000053a , \blk00000003/sig0000053b , \blk00000003/sig0000053c , +\blk00000003/sig0000053d , \blk00000003/sig0000053e , \blk00000003/sig0000053f }), + .PCOUT({\blk00000003/sig00000180 , \blk00000003/sig00000181 , \blk00000003/sig00000182 , \blk00000003/sig00000183 , \blk00000003/sig00000184 , +\blk00000003/sig00000185 , \blk00000003/sig00000186 , \blk00000003/sig00000187 , \blk00000003/sig00000188 , \blk00000003/sig00000189 , +\blk00000003/sig0000018a , \blk00000003/sig0000018b , \blk00000003/sig0000018c , \blk00000003/sig0000018d , \blk00000003/sig0000018e , +\blk00000003/sig0000018f , \blk00000003/sig00000190 , \blk00000003/sig00000191 , \blk00000003/sig00000192 , \blk00000003/sig00000193 , +\blk00000003/sig00000194 , \blk00000003/sig00000195 , \blk00000003/sig00000196 , \blk00000003/sig00000197 , \blk00000003/sig00000198 , +\blk00000003/sig00000199 , \blk00000003/sig0000019a , \blk00000003/sig0000019b , \blk00000003/sig0000019c , \blk00000003/sig0000019d , +\blk00000003/sig0000019e , \blk00000003/sig0000019f , \blk00000003/sig000001a0 , \blk00000003/sig000001a1 , \blk00000003/sig000001a2 , +\blk00000003/sig000001a3 , \blk00000003/sig000001a4 , \blk00000003/sig000001a5 , \blk00000003/sig000001a6 , \blk00000003/sig000001a7 , +\blk00000003/sig000001a8 , \blk00000003/sig000001a9 , \blk00000003/sig000001aa , \blk00000003/sig000001ab , \blk00000003/sig000001ac , +\blk00000003/sig000001ad , \blk00000003/sig000001ae , \blk00000003/sig000001af }), + .A({\blk00000003/sig000004ee , \blk00000003/sig000004ef , \blk00000003/sig000004f0 , \blk00000003/sig000004f1 , \blk00000003/sig000004f2 , +\blk00000003/sig000004f3 , \blk00000003/sig000004f4 , \blk00000003/sig000004f5 , \blk00000003/sig000004f6 , \blk00000003/sig000004f7 , +\blk00000003/sig000004f8 , \blk00000003/sig000004f9 , \blk00000003/sig000004fa , \blk00000003/sig000004fb , \blk00000003/sig000004fc , +\blk00000003/sig000004fd , \blk00000003/sig000004fe , \blk00000003/sig000004ff }), + .M({\NLW_blk00000003/blk000000fd_M<35>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<33>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<32>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<30>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<29>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<27>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<26>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<24>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<23>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<21>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<20>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<18>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<17>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<15>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<14>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<12>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<11>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<9>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<8>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<6>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<5>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<3>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<2>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk000000fc ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk000000fc_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk000000fc_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig000004ae , \blk00000003/sig000004ae , \blk00000003/sig000004ae , \blk00000003/sig000004ae , \blk00000003/sig000004ae , +\blk00000003/sig000004ae , \blk00000003/sig000004ae , \blk00000003/sig000004ae , \blk00000003/sig000004ae , \blk00000003/sig000004ae , +\blk00000003/sig000004ae , \blk00000003/sig000004af , \blk00000003/sig000004b0 , \blk00000003/sig000004b1 , \blk00000003/sig000004b2 , +\blk00000003/sig000004b3 , \blk00000003/sig000004b4 , \blk00000003/sig000004b5 }), + .BCOUT({\NLW_blk00000003/blk000000fc_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000004b6 , \blk00000003/sig000004b7 , \blk00000003/sig000004b8 , \blk00000003/sig000004b9 , \blk00000003/sig000004ba , +\blk00000003/sig000004bb , \blk00000003/sig000004bc , \blk00000003/sig000004bd , \blk00000003/sig000004be , \blk00000003/sig000004bf , +\blk00000003/sig000004c0 , \blk00000003/sig000004c1 , \blk00000003/sig000004c2 , \blk00000003/sig000004c3 , \blk00000003/sig000004c4 , +\blk00000003/sig000004c5 , \blk00000003/sig000004c6 , \blk00000003/sig000004c7 , \blk00000003/sig000004c8 , \blk00000003/sig000004c9 , +\blk00000003/sig000004ca , \blk00000003/sig000004cb , \blk00000003/sig000004cc , \blk00000003/sig000004cd , \blk00000003/sig000004ce , +\blk00000003/sig000004cf , \blk00000003/sig000004d0 , \blk00000003/sig000004d1 , \blk00000003/sig000004d2 , \blk00000003/sig000004d3 , +\blk00000003/sig000004d4 , \blk00000003/sig000004d5 , \blk00000003/sig000004d6 , \blk00000003/sig000004d7 , \blk00000003/sig000004d8 , +\blk00000003/sig000004d9 , \blk00000003/sig000004da , \blk00000003/sig000004db , \blk00000003/sig000004dc , \blk00000003/sig000004dd , +\blk00000003/sig000004de , \blk00000003/sig000004df , \blk00000003/sig000004e0 , \blk00000003/sig000004e1 , \blk00000003/sig000004e2 , +\blk00000003/sig000004e3 , \blk00000003/sig000004e4 , \blk00000003/sig000004e5 }), + .C({\NLW_blk00000003/blk000000fc_C<47>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<45>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<44>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<42>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<41>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<39>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<38>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<36>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<35>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<33>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<32>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<30>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<29>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<27>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<26>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<24>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<23>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<21>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<20>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<18>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<17>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<15>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<14>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<12>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<11>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<9>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<8>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<6>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<5>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<3>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<2>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk000000fc_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig000004e6 , \blk00000003/sig000004e6 , \blk00000003/sig000004e6 , \blk00000003/sig000004e6 , \blk00000003/sig000004e6 , +\blk00000003/sig000004e6 , \blk00000003/sig000004e6 , \blk00000003/sig000004e6 , \blk00000003/sig000004e6 , \blk00000003/sig000004e6 , +\blk00000003/sig000004e6 , \blk00000003/sig000004e7 , \blk00000003/sig000004e8 , \blk00000003/sig000004e9 , \blk00000003/sig000004ea , +\blk00000003/sig000004eb , \blk00000003/sig000004ec , \blk00000003/sig000004ed }), + .PCOUT({\blk00000003/sig0000021a , \blk00000003/sig0000021b , \blk00000003/sig0000021c , \blk00000003/sig0000021d , \blk00000003/sig0000021e , +\blk00000003/sig0000021f , \blk00000003/sig00000220 , \blk00000003/sig00000221 , \blk00000003/sig00000222 , \blk00000003/sig00000223 , +\blk00000003/sig00000224 , \blk00000003/sig00000225 , \blk00000003/sig00000226 , \blk00000003/sig00000227 , \blk00000003/sig00000228 , +\blk00000003/sig00000229 , \blk00000003/sig0000022a , \blk00000003/sig0000022b , \blk00000003/sig0000022c , \blk00000003/sig0000022d , +\blk00000003/sig0000022e , \blk00000003/sig0000022f , \blk00000003/sig00000230 , \blk00000003/sig00000231 , \blk00000003/sig00000232 , +\blk00000003/sig00000233 , \blk00000003/sig00000234 , \blk00000003/sig00000235 , \blk00000003/sig00000236 , \blk00000003/sig00000237 , +\blk00000003/sig00000238 , \blk00000003/sig00000239 , \blk00000003/sig0000023a , \blk00000003/sig0000023b , \blk00000003/sig0000023c , +\blk00000003/sig0000023d , \blk00000003/sig0000023e , \blk00000003/sig0000023f , \blk00000003/sig00000240 , \blk00000003/sig00000241 , +\blk00000003/sig00000242 , \blk00000003/sig00000243 , \blk00000003/sig00000244 , \blk00000003/sig00000245 , \blk00000003/sig00000246 , +\blk00000003/sig00000247 , \blk00000003/sig00000248 , \blk00000003/sig00000249 }), + .A({\blk00000003/sig000004ee , \blk00000003/sig000004ef , \blk00000003/sig000004f0 , \blk00000003/sig000004f1 , \blk00000003/sig000004f2 , +\blk00000003/sig000004f3 , \blk00000003/sig000004f4 , \blk00000003/sig000004f5 , \blk00000003/sig000004f6 , \blk00000003/sig000004f7 , +\blk00000003/sig000004f8 , \blk00000003/sig000004f9 , \blk00000003/sig000004fa , \blk00000003/sig000004fb , \blk00000003/sig000004fc , +\blk00000003/sig000004fd , \blk00000003/sig000004fe , \blk00000003/sig000004ff }), + .M({\NLW_blk00000003/blk000000fc_M<35>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<33>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<32>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<30>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<29>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<27>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<26>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<24>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<23>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<21>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<20>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<18>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<17>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<15>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<14>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<12>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<11>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<9>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<8>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<6>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<5>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<3>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<2>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk000000fb ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk000000fb_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk000000fb_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig0000045e , \blk00000003/sig0000045f , \blk00000003/sig00000460 , +\blk00000003/sig00000461 , \blk00000003/sig00000462 , \blk00000003/sig00000463 , \blk00000003/sig00000464 , \blk00000003/sig00000465 , +\blk00000003/sig00000466 , \blk00000003/sig00000467 , \blk00000003/sig00000468 , \blk00000003/sig00000469 , \blk00000003/sig0000046a , +\blk00000003/sig0000046b , \blk00000003/sig0000046c , \blk00000003/sig0000046d }), + .BCOUT({\NLW_blk00000003/blk000000fb_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\NLW_blk00000003/blk000000fb_C<47>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<45>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<44>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<42>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<41>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<39>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<38>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<36>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<35>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<33>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<32>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<30>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<29>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<27>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<26>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<24>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<23>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<21>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<20>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<18>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<17>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<15>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<14>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<12>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<11>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<9>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<8>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<6>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<5>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<3>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<2>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk000000fb_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig0000046e , \blk00000003/sig0000046f , \blk00000003/sig00000470 , +\blk00000003/sig00000471 , \blk00000003/sig00000472 , \blk00000003/sig00000473 , \blk00000003/sig00000474 , \blk00000003/sig00000475 , +\blk00000003/sig00000476 , \blk00000003/sig00000477 , \blk00000003/sig00000478 , \blk00000003/sig00000479 , \blk00000003/sig0000047a , +\blk00000003/sig0000047b , \blk00000003/sig0000047c , \blk00000003/sig0000047d }), + .PCOUT({\blk00000003/sig0000047e , \blk00000003/sig0000047f , \blk00000003/sig00000480 , \blk00000003/sig00000481 , \blk00000003/sig00000482 , +\blk00000003/sig00000483 , \blk00000003/sig00000484 , \blk00000003/sig00000485 , \blk00000003/sig00000486 , \blk00000003/sig00000487 , +\blk00000003/sig00000488 , \blk00000003/sig00000489 , \blk00000003/sig0000048a , \blk00000003/sig0000048b , \blk00000003/sig0000048c , +\blk00000003/sig0000048d , \blk00000003/sig0000048e , \blk00000003/sig0000048f , \blk00000003/sig00000490 , \blk00000003/sig00000491 , +\blk00000003/sig00000492 , \blk00000003/sig00000493 , \blk00000003/sig00000494 , \blk00000003/sig00000495 , \blk00000003/sig00000496 , +\blk00000003/sig00000497 , \blk00000003/sig00000498 , \blk00000003/sig00000499 , \blk00000003/sig0000049a , \blk00000003/sig0000049b , +\blk00000003/sig0000049c , \blk00000003/sig0000049d , \blk00000003/sig0000049e , \blk00000003/sig0000049f , \blk00000003/sig000004a0 , +\blk00000003/sig000004a1 , \blk00000003/sig000004a2 , \blk00000003/sig000004a3 , \blk00000003/sig000004a4 , \blk00000003/sig000004a5 , +\blk00000003/sig000004a6 , \blk00000003/sig000004a7 , \blk00000003/sig000004a8 , \blk00000003/sig000004a9 , \blk00000003/sig000004aa , +\blk00000003/sig000004ab , \blk00000003/sig000004ac , \blk00000003/sig000004ad }), + .A({\blk00000003/sig000003bc , \blk00000003/sig000003bd , \blk00000003/sig000003be , \blk00000003/sig000003bf , \blk00000003/sig000003c0 , +\blk00000003/sig000003c1 , \blk00000003/sig000003c2 , \blk00000003/sig000003c3 , \blk00000003/sig000003c4 , \blk00000003/sig000003c5 , +\blk00000003/sig000003c6 , \blk00000003/sig000003c7 , \blk00000003/sig000003c8 , \blk00000003/sig000003c9 , \blk00000003/sig000003ca , +\blk00000003/sig000003cb , \blk00000003/sig000003cc , \blk00000003/sig000003cd }), + .M({\NLW_blk00000003/blk000000fb_M<35>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<33>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<32>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<30>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<29>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<27>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<26>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<24>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<23>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<21>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<20>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<18>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<17>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<15>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<14>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<12>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<11>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<9>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<8>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<6>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<5>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<3>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<2>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk000000fa ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk000000fa_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk000000fa_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig0000040e , \blk00000003/sig0000040f , \blk00000003/sig00000410 , +\blk00000003/sig00000411 , \blk00000003/sig00000412 , \blk00000003/sig00000413 , \blk00000003/sig00000414 , \blk00000003/sig00000415 , +\blk00000003/sig00000416 , \blk00000003/sig00000417 , \blk00000003/sig00000418 , \blk00000003/sig00000419 , \blk00000003/sig0000041a , +\blk00000003/sig0000041b , \blk00000003/sig0000041c , \blk00000003/sig0000041d }), + .BCOUT({\NLW_blk00000003/blk000000fa_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\NLW_blk00000003/blk000000fa_C<47>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<45>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<44>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<42>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<41>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<39>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<38>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<36>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<35>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<33>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<32>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<30>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<29>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<27>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<26>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<24>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<23>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<21>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<20>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<18>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<17>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<15>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<14>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<12>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<11>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<9>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<8>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<6>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<5>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<3>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<2>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk000000fa_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig0000041e , \blk00000003/sig0000041f , \blk00000003/sig00000420 , +\blk00000003/sig00000421 , \blk00000003/sig00000422 , \blk00000003/sig00000423 , \blk00000003/sig00000424 , \blk00000003/sig00000425 , +\blk00000003/sig00000426 , \blk00000003/sig00000427 , \blk00000003/sig00000428 , \blk00000003/sig00000429 , \blk00000003/sig0000042a , +\blk00000003/sig0000042b , \blk00000003/sig0000042c , \blk00000003/sig0000042d }), + .PCOUT({\blk00000003/sig0000042e , \blk00000003/sig0000042f , \blk00000003/sig00000430 , \blk00000003/sig00000431 , \blk00000003/sig00000432 , +\blk00000003/sig00000433 , \blk00000003/sig00000434 , \blk00000003/sig00000435 , \blk00000003/sig00000436 , \blk00000003/sig00000437 , +\blk00000003/sig00000438 , \blk00000003/sig00000439 , \blk00000003/sig0000043a , \blk00000003/sig0000043b , \blk00000003/sig0000043c , +\blk00000003/sig0000043d , \blk00000003/sig0000043e , \blk00000003/sig0000043f , \blk00000003/sig00000440 , \blk00000003/sig00000441 , +\blk00000003/sig00000442 , \blk00000003/sig00000443 , \blk00000003/sig00000444 , \blk00000003/sig00000445 , \blk00000003/sig00000446 , +\blk00000003/sig00000447 , \blk00000003/sig00000448 , \blk00000003/sig00000449 , \blk00000003/sig0000044a , \blk00000003/sig0000044b , +\blk00000003/sig0000044c , \blk00000003/sig0000044d , \blk00000003/sig0000044e , \blk00000003/sig0000044f , \blk00000003/sig00000450 , +\blk00000003/sig00000451 , \blk00000003/sig00000452 , \blk00000003/sig00000453 , \blk00000003/sig00000454 , \blk00000003/sig00000455 , +\blk00000003/sig00000456 , \blk00000003/sig00000457 , \blk00000003/sig00000458 , \blk00000003/sig00000459 , \blk00000003/sig0000045a , +\blk00000003/sig0000045b , \blk00000003/sig0000045c , \blk00000003/sig0000045d }), + .A({\blk00000003/sig000003bc , \blk00000003/sig000003bd , \blk00000003/sig000003be , \blk00000003/sig000003bf , \blk00000003/sig000003c0 , +\blk00000003/sig000003c1 , \blk00000003/sig000003c2 , \blk00000003/sig000003c3 , \blk00000003/sig000003c4 , \blk00000003/sig000003c5 , +\blk00000003/sig000003c6 , \blk00000003/sig000003c7 , \blk00000003/sig000003c8 , \blk00000003/sig000003c9 , \blk00000003/sig000003ca , +\blk00000003/sig000003cb , \blk00000003/sig000003cc , \blk00000003/sig000003cd }), + .M({\NLW_blk00000003/blk000000fa_M<35>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<33>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<32>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<30>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<29>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<27>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<26>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<24>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<23>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<21>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<20>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<18>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<17>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<15>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<14>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<12>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<11>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<9>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<8>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<6>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<5>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<3>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<2>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk000000f9 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk000000f9_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk000000f9_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig000003ce , \blk00000003/sig000003ce , \blk00000003/sig000003ce , \blk00000003/sig000003ce , \blk00000003/sig000003ce , +\blk00000003/sig000003ce , \blk00000003/sig000003ce , \blk00000003/sig000003ce , \blk00000003/sig000003ce , \blk00000003/sig000003ce , +\blk00000003/sig000003ce , \blk00000003/sig000003cf , \blk00000003/sig000003d0 , \blk00000003/sig000003d1 , \blk00000003/sig000003d2 , +\blk00000003/sig000003d3 , \blk00000003/sig000003d4 , \blk00000003/sig000003d5 }), + .BCOUT({\NLW_blk00000003/blk000000f9_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\NLW_blk00000003/blk000000f9_C<47>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<46>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<45>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<44>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<43>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<42>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<41>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<40>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<39>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<38>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<37>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<36>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<35>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<33>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<32>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<30>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<29>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<27>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<26>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<24>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<23>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<21>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<20>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<18>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<17>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<15>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<14>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<12>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<11>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<9>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<8>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<6>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<5>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<3>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<2>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk000000f9_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig000003d6 , \blk00000003/sig000003d6 , \blk00000003/sig000003d6 , \blk00000003/sig000003d6 , \blk00000003/sig000003d6 , +\blk00000003/sig000003d6 , \blk00000003/sig000003d6 , \blk00000003/sig000003d6 , \blk00000003/sig000003d6 , \blk00000003/sig000003d6 , +\blk00000003/sig000003d6 , \blk00000003/sig000003d7 , \blk00000003/sig000003d8 , \blk00000003/sig000003d9 , \blk00000003/sig000003da , +\blk00000003/sig000003db , \blk00000003/sig000003dc , \blk00000003/sig000003dd }), + .PCOUT({\blk00000003/sig000003de , \blk00000003/sig000003df , \blk00000003/sig000003e0 , \blk00000003/sig000003e1 , \blk00000003/sig000003e2 , +\blk00000003/sig000003e3 , \blk00000003/sig000003e4 , \blk00000003/sig000003e5 , \blk00000003/sig000003e6 , \blk00000003/sig000003e7 , +\blk00000003/sig000003e8 , \blk00000003/sig000003e9 , \blk00000003/sig000003ea , \blk00000003/sig000003eb , \blk00000003/sig000003ec , +\blk00000003/sig000003ed , \blk00000003/sig000003ee , \blk00000003/sig000003ef , \blk00000003/sig000003f0 , \blk00000003/sig000003f1 , +\blk00000003/sig000003f2 , \blk00000003/sig000003f3 , \blk00000003/sig000003f4 , \blk00000003/sig000003f5 , \blk00000003/sig000003f6 , +\blk00000003/sig000003f7 , \blk00000003/sig000003f8 , \blk00000003/sig000003f9 , \blk00000003/sig000003fa , \blk00000003/sig000003fb , +\blk00000003/sig000003fc , \blk00000003/sig000003fd , \blk00000003/sig000003fe , \blk00000003/sig000003ff , \blk00000003/sig00000400 , +\blk00000003/sig00000401 , \blk00000003/sig00000402 , \blk00000003/sig00000403 , \blk00000003/sig00000404 , \blk00000003/sig00000405 , +\blk00000003/sig00000406 , \blk00000003/sig00000407 , \blk00000003/sig00000408 , \blk00000003/sig00000409 , \blk00000003/sig0000040a , +\blk00000003/sig0000040b , \blk00000003/sig0000040c , \blk00000003/sig0000040d }), + .A({\blk00000003/sig000003bc , \blk00000003/sig000003bd , \blk00000003/sig000003be , \blk00000003/sig000003bf , \blk00000003/sig000003c0 , +\blk00000003/sig000003c1 , \blk00000003/sig000003c2 , \blk00000003/sig000003c3 , \blk00000003/sig000003c4 , \blk00000003/sig000003c5 , +\blk00000003/sig000003c6 , \blk00000003/sig000003c7 , \blk00000003/sig000003c8 , \blk00000003/sig000003c9 , \blk00000003/sig000003ca , +\blk00000003/sig000003cb , \blk00000003/sig000003cc , \blk00000003/sig000003cd }), + .M({\NLW_blk00000003/blk000000f9_M<35>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<33>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<32>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<30>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<29>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<27>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<26>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<24>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<23>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<21>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<20>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<18>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<17>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<15>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<14>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<12>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<11>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<9>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<8>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<6>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<5>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<3>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<2>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk000000f8 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk000000f8_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk000000f8_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig0000037c , \blk00000003/sig0000037c , \blk00000003/sig0000037c , \blk00000003/sig0000037c , \blk00000003/sig0000037c , +\blk00000003/sig0000037c , \blk00000003/sig0000037c , \blk00000003/sig0000037c , \blk00000003/sig0000037c , \blk00000003/sig0000037c , +\blk00000003/sig0000037c , \blk00000003/sig0000037d , \blk00000003/sig0000037e , \blk00000003/sig0000037f , \blk00000003/sig00000380 , +\blk00000003/sig00000381 , \blk00000003/sig00000382 , \blk00000003/sig00000383 }), + .BCOUT({\NLW_blk00000003/blk000000f8_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\NLW_blk00000003/blk000000f8_C<47>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<46>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<45>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<44>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<43>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<42>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<41>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<40>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<39>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<38>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<37>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<36>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<35>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<33>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<32>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<30>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<29>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<27>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<26>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<24>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<23>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<21>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<20>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<18>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<17>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<15>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<14>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<12>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<11>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<9>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<8>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<6>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<5>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<3>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<2>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk000000f8_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000384 , \blk00000003/sig00000384 , \blk00000003/sig00000384 , \blk00000003/sig00000384 , \blk00000003/sig00000384 , +\blk00000003/sig00000384 , \blk00000003/sig00000384 , \blk00000003/sig00000384 , \blk00000003/sig00000384 , \blk00000003/sig00000384 , +\blk00000003/sig00000384 , \blk00000003/sig00000385 , \blk00000003/sig00000386 , \blk00000003/sig00000387 , \blk00000003/sig00000388 , +\blk00000003/sig00000389 , \blk00000003/sig0000038a , \blk00000003/sig0000038b }), + .PCOUT({\blk00000003/sig0000038c , \blk00000003/sig0000038d , \blk00000003/sig0000038e , \blk00000003/sig0000038f , \blk00000003/sig00000390 , +\blk00000003/sig00000391 , \blk00000003/sig00000392 , \blk00000003/sig00000393 , \blk00000003/sig00000394 , \blk00000003/sig00000395 , +\blk00000003/sig00000396 , \blk00000003/sig00000397 , \blk00000003/sig00000398 , \blk00000003/sig00000399 , \blk00000003/sig0000039a , +\blk00000003/sig0000039b , \blk00000003/sig0000039c , \blk00000003/sig0000039d , \blk00000003/sig0000039e , \blk00000003/sig0000039f , +\blk00000003/sig000003a0 , \blk00000003/sig000003a1 , \blk00000003/sig000003a2 , \blk00000003/sig000003a3 , \blk00000003/sig000003a4 , +\blk00000003/sig000003a5 , \blk00000003/sig000003a6 , \blk00000003/sig000003a7 , \blk00000003/sig000003a8 , \blk00000003/sig000003a9 , +\blk00000003/sig000003aa , \blk00000003/sig000003ab , \blk00000003/sig000003ac , \blk00000003/sig000003ad , \blk00000003/sig000003ae , +\blk00000003/sig000003af , \blk00000003/sig000003b0 , \blk00000003/sig000003b1 , \blk00000003/sig000003b2 , \blk00000003/sig000003b3 , +\blk00000003/sig000003b4 , \blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 , \blk00000003/sig000003b8 , +\blk00000003/sig000003b9 , \blk00000003/sig000003ba , \blk00000003/sig000003bb }), + .A({\blk00000003/sig000003bc , \blk00000003/sig000003bd , \blk00000003/sig000003be , \blk00000003/sig000003bf , \blk00000003/sig000003c0 , +\blk00000003/sig000003c1 , \blk00000003/sig000003c2 , \blk00000003/sig000003c3 , \blk00000003/sig000003c4 , \blk00000003/sig000003c5 , +\blk00000003/sig000003c6 , \blk00000003/sig000003c7 , \blk00000003/sig000003c8 , \blk00000003/sig000003c9 , \blk00000003/sig000003ca , +\blk00000003/sig000003cb , \blk00000003/sig000003cc , \blk00000003/sig000003cd }), + .M({\NLW_blk00000003/blk000000f8_M<35>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<33>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<32>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<30>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<29>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<27>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<26>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<24>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<23>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<21>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<20>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<18>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<17>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<15>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<14>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<12>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<11>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<9>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<8>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<6>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<5>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<3>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<2>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<0>_UNCONNECTED }) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029f ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000037b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000379 ), + .Q(\blk00000003/sig0000037a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000378 ), + .Q(\blk00000003/sig00000374 ) + ); + XORCY \blk00000003/blk000000f4 ( + .CI(\blk00000003/sig00000374 ), + .LI(\blk00000003/sig00000376 ), + .O(\blk00000003/sig00000377 ) + ); + MUXCY_D \blk00000003/blk000000f3 ( + .CI(\blk00000003/sig00000374 ), + .DI(\blk00000003/sig00000375 ), + .S(\blk00000003/sig00000376 ), + .O(\NLW_blk00000003/blk000000f3_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000f3_LO_UNCONNECTED ) + ); + FDE \blk00000003/blk000000f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000372 ), + .Q(\blk00000003/sig00000373 ) + ); + XORCY \blk00000003/blk000000f1 ( + .CI(\blk00000003/sig0000036e ), + .LI(\blk00000003/sig00000370 ), + .O(\blk00000003/sig00000371 ) + ); + MUXCY_D \blk00000003/blk000000f0 ( + .CI(\blk00000003/sig0000036e ), + .DI(\blk00000003/sig0000036f ), + .S(\blk00000003/sig00000370 ), + .O(\NLW_blk00000003/blk000000f0_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000f0_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000ef ( + .CI(\blk00000003/sig00000049 ), + .DI(NlwRenamedSig_OI_rfd), + .S(\blk00000003/sig0000036d ), + .O(\blk00000003/sig0000036e ) + ); + XORCY \blk00000003/blk000000ee ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig0000036b ), + .O(\blk00000003/sig0000036c ) + ); + MUXCY_D \blk00000003/blk000000ed ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig0000036a ), + .S(\blk00000003/sig0000036b ), + .O(\NLW_blk00000003/blk000000ed_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000ed_LO_UNCONNECTED ) + ); + MUXCY_L \blk00000003/blk000000ec ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000369 ), + .S(\blk00000003/sig00000363 ), + .LO(\blk00000003/sig00000365 ) + ); + MUXCY_D \blk00000003/blk000000eb ( + .CI(\blk00000003/sig00000365 ), + .DI(\blk00000003/sig00000368 ), + .S(\blk00000003/sig00000366 ), + .O(\NLW_blk00000003/blk000000eb_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000eb_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000ea ( + .CI(\blk00000003/sig00000365 ), + .LI(\blk00000003/sig00000366 ), + .O(\blk00000003/sig00000367 ) + ); + XORCY \blk00000003/blk000000e9 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000363 ), + .O(\blk00000003/sig00000364 ) + ); + MUXCY_L \blk00000003/blk000000e8 ( + .CI(\blk00000003/sig0000035c ), + .DI(\blk00000003/sig000002ba ), + .S(\blk00000003/sig0000035d ), + .LO(\blk00000003/sig0000035f ) + ); + MUXCY_D \blk00000003/blk000000e7 ( + .CI(\blk00000003/sig0000035f ), + .DI(\blk00000003/sig000002b9 ), + .S(\blk00000003/sig00000360 ), + .O(\NLW_blk00000003/blk000000e7_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000e7_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000e6 ( + .CI(\blk00000003/sig00000049 ), + .DI(NlwRenamedSig_OI_rfd), + .S(\blk00000003/sig00000362 ), + .O(\blk00000003/sig0000035c ) + ); + XORCY \blk00000003/blk000000e5 ( + .CI(\blk00000003/sig0000035f ), + .LI(\blk00000003/sig00000360 ), + .O(\blk00000003/sig00000361 ) + ); + XORCY \blk00000003/blk000000e4 ( + .CI(\blk00000003/sig0000035c ), + .LI(\blk00000003/sig0000035d ), + .O(\blk00000003/sig0000035e ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000000e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000034d ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002f2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000034c ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002ef ) + ); + MUXCY_D \blk00000003/blk000000e1 ( + .CI(\blk00000003/sig000002ef ), + .DI(\blk00000003/sig0000035a ), + .S(\blk00000003/sig0000035b ), + .O(\blk00000003/sig00000357 ), + .LO(\NLW_blk00000003/blk000000e1_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000e0 ( + .CI(\blk00000003/sig00000357 ), + .DI(\blk00000003/sig00000358 ), + .S(\blk00000003/sig00000359 ), + .O(\blk00000003/sig00000355 ), + .LO(\NLW_blk00000003/blk000000e0_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000df ( + .CI(\blk00000003/sig00000355 ), + .DI(\blk00000003/sig0000034b ), + .S(\blk00000003/sig00000356 ), + .O(\blk00000003/sig00000352 ), + .LO(\NLW_blk00000003/blk000000df_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000de ( + .CI(\blk00000003/sig00000352 ), + .DI(\blk00000003/sig00000353 ), + .S(\blk00000003/sig00000354 ), + .O(\blk00000003/sig00000350 ), + .LO(\NLW_blk00000003/blk000000de_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000dd ( + .CI(\blk00000003/sig00000350 ), + .DI(\blk00000003/sig0000031e ), + .S(\blk00000003/sig00000351 ), + .O(\blk00000003/sig0000034e ), + .LO(\NLW_blk00000003/blk000000dd_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000dc ( + .CI(\blk00000003/sig0000034e ), + .DI(\blk00000003/sig000002ec ), + .S(\blk00000003/sig0000034f ), + .O(\NLW_blk00000003/blk000000dc_O_UNCONNECTED ), + .LO(\blk00000003/sig0000034c ) + ); + XORCY \blk00000003/blk000000db ( + .CI(\blk00000003/sig0000034c ), + .LI(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig0000034d ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000000da ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000033b ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000034b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000033a ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000029f ) + ); + MUXCY_D \blk00000003/blk000000d8 ( + .CI(\blk00000003/sig0000029f ), + .DI(\blk00000003/sig00000349 ), + .S(\blk00000003/sig0000034a ), + .O(\blk00000003/sig00000347 ), + .LO(\NLW_blk00000003/blk000000d8_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d7 ( + .CI(\blk00000003/sig00000347 ), + .DI(\blk00000003/sig000002a0 ), + .S(\blk00000003/sig00000348 ), + .O(\blk00000003/sig00000345 ), + .LO(\NLW_blk00000003/blk000000d7_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d6 ( + .CI(\blk00000003/sig00000345 ), + .DI(\blk00000003/sig0000029f ), + .S(\blk00000003/sig00000346 ), + .O(\blk00000003/sig00000342 ), + .LO(\NLW_blk00000003/blk000000d6_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d5 ( + .CI(\blk00000003/sig00000342 ), + .DI(\blk00000003/sig00000343 ), + .S(\blk00000003/sig00000344 ), + .O(\blk00000003/sig00000340 ), + .LO(\NLW_blk00000003/blk000000d5_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d4 ( + .CI(\blk00000003/sig00000340 ), + .DI(\blk00000003/sig000002f2 ), + .S(\blk00000003/sig00000341 ), + .O(\blk00000003/sig0000033c ), + .LO(\NLW_blk00000003/blk000000d4_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d3 ( + .CI(\blk00000003/sig0000033e ), + .DI(\blk00000003/sig000002f2 ), + .S(\blk00000003/sig0000033f ), + .O(\NLW_blk00000003/blk000000d3_O_UNCONNECTED ), + .LO(\blk00000003/sig0000033a ) + ); + MUXCY_D \blk00000003/blk000000d2 ( + .CI(\blk00000003/sig0000033c ), + .DI(\blk00000003/sig00000313 ), + .S(\blk00000003/sig0000033d ), + .O(\blk00000003/sig0000033e ), + .LO(\NLW_blk00000003/blk000000d2_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000d1 ( + .CI(\blk00000003/sig0000033a ), + .LI(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig0000033b ) + ); + FDE \blk00000003/blk000000d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000338 ), + .Q(\blk00000003/sig00000339 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ef ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000337 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000324 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000333 ) + ); + XORCY \blk00000003/blk000000cd ( + .CI(\blk00000003/sig00000333 ), + .LI(\blk00000003/sig00000335 ), + .O(\blk00000003/sig00000336 ) + ); + MUXCY_D \blk00000003/blk000000cc ( + .CI(\blk00000003/sig00000333 ), + .DI(\blk00000003/sig00000334 ), + .S(\blk00000003/sig00000335 ), + .O(\NLW_blk00000003/blk000000cc_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000cc_LO_UNCONNECTED ) + ); + MUXCY_L \blk00000003/blk000000cb ( + .CI(\blk00000003/sig00000326 ), + .DI(\blk00000003/sig00000332 ), + .S(\blk00000003/sig00000327 ), + .LO(\blk00000003/sig0000032c ) + ); + MUXCY_L \blk00000003/blk000000ca ( + .CI(\blk00000003/sig0000032c ), + .DI(\blk00000003/sig00000331 ), + .S(\blk00000003/sig0000032d ), + .LO(\blk00000003/sig00000329 ) + ); + MUXCY_D \blk00000003/blk000000c9 ( + .CI(\blk00000003/sig00000329 ), + .DI(\blk00000003/sig00000330 ), + .S(\blk00000003/sig0000032a ), + .O(\NLW_blk00000003/blk000000c9_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000c9_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000c8 ( + .CI(\blk00000003/sig00000049 ), + .DI(NlwRenamedSig_OI_rfd), + .S(\blk00000003/sig0000032f ), + .O(\blk00000003/sig00000326 ) + ); + XORCY \blk00000003/blk000000c7 ( + .CI(\blk00000003/sig0000032c ), + .LI(\blk00000003/sig0000032d ), + .O(\blk00000003/sig0000032e ) + ); + XORCY \blk00000003/blk000000c6 ( + .CI(\blk00000003/sig00000329 ), + .LI(\blk00000003/sig0000032a ), + .O(\blk00000003/sig0000032b ) + ); + XORCY \blk00000003/blk000000c5 ( + .CI(\blk00000003/sig00000326 ), + .LI(\blk00000003/sig00000327 ), + .O(\blk00000003/sig00000328 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c4 ( + .C(clk), + .CE(ce), + .D(coef_ld), + .Q(\blk00000003/sig00000325 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c3 ( + .C(clk), + .CE(ce), + .D(coef_we), + .Q(\blk00000003/sig00000324 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b0 ), + .Q(\blk00000003/sig00000318 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000323 ), + .Q(\blk00000003/sig0000030b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000321 ), + .Q(\blk00000003/sig00000322 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000320 ), + .Q(\blk00000003/sig00000309 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000be ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000031f ), + .Q(\blk00000003/sig00000316 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000031d ), + .Q(\blk00000003/sig0000031e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000031b ), + .Q(\blk00000003/sig0000031c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000319 ), + .Q(\blk00000003/sig0000031a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000318 ), + .Q(\blk00000003/sig00000314 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000316 ), + .Q(\blk00000003/sig00000317 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000314 ), + .Q(\blk00000003/sig00000315 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ee ), + .Q(\blk00000003/sig00000313 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000030e ), + .Q(\blk00000003/sig00000312 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000308 ), + .R(coef_ld), + .Q(\NLW_blk00000003/blk000000b5_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000305 ), + .R(coef_ld), + .Q(\blk00000003/sig00000302 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000300 ), + .R(coef_ld), + .Q(\NLW_blk00000003/blk000000b3_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002fb ), + .R(coef_ld), + .Q(\blk00000003/sig000002f8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ef ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000311 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000030f ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000310 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000af ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000030d ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000030e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000030b ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000030c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000309 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000030a ) + ); + XORCY \blk00000003/blk000000ac ( + .CI(\blk00000003/sig00000307 ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig00000308 ) + ); + MUXCY \blk00000003/blk000000ab ( + .CI(\blk00000003/sig00000307 ), + .DI(\blk00000003/sig00000049 ), + .S(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig000002fd ) + ); + MUXCY_D \blk00000003/blk000000aa ( + .CI(coef_we), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000306 ), + .O(\blk00000003/sig00000307 ), + .LO(\NLW_blk00000003/blk000000aa_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000a9 ( + .CI(\blk00000003/sig00000303 ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig00000305 ) + ); + MUXCY \blk00000003/blk000000a8 ( + .CI(\blk00000003/sig00000303 ), + .DI(\blk00000003/sig00000049 ), + .S(NlwRenamedSig_OI_rfd), + .O(\NLW_blk00000003/blk000000a8_O_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000a7 ( + .CI(NlwRenamedSig_OI_rfd), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000304 ), + .O(\blk00000003/sig00000301 ), + .LO(\NLW_blk00000003/blk000000a7_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000a6 ( + .CI(\blk00000003/sig00000301 ), + .DI(\blk00000003/sig00000302 ), + .S(coef_we), + .O(\blk00000003/sig00000303 ), + .LO(\NLW_blk00000003/blk000000a6_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000a5 ( + .CI(\blk00000003/sig000002ff ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig00000300 ) + ); + MUXCY \blk00000003/blk000000a4 ( + .CI(\blk00000003/sig000002ff ), + .DI(\blk00000003/sig00000049 ), + .S(NlwRenamedSig_OI_rfd), + .O(\NLW_blk00000003/blk000000a4_O_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000a3 ( + .CI(\blk00000003/sig000002fd ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000002fe ), + .O(\blk00000003/sig000002ff ), + .LO(\NLW_blk00000003/blk000000a3_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000a2 ( + .CI(NlwRenamedSig_OI_rfd), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000002fc ), + .O(\blk00000003/sig000002f7 ), + .LO(\NLW_blk00000003/blk000000a2_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000a1 ( + .CI(\blk00000003/sig000002fa ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig000002fb ) + ); + MUXCY \blk00000003/blk000000a0 ( + .CI(\blk00000003/sig000002fa ), + .DI(\blk00000003/sig00000049 ), + .S(NlwRenamedSig_OI_rfd), + .O(\NLW_blk00000003/blk000000a0_O_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk0000009f ( + .CI(\blk00000003/sig000002f7 ), + .DI(\blk00000003/sig000002f8 ), + .S(\blk00000003/sig000002f9 ), + .O(\blk00000003/sig000002fa ), + .LO(\NLW_blk00000003/blk0000009f_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk0000009e ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig000002f5 ), + .O(\blk00000003/sig000002f6 ) + ); + MUXCY_D \blk00000003/blk0000009d ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000002f4 ), + .S(\blk00000003/sig000002f5 ), + .O(\NLW_blk00000003/blk0000009d_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk0000009d_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk0000009c ( + .CI(\blk00000003/sig000002ed ), + .LI(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig000002eb ) + ); + MUXCY_D \blk00000003/blk0000009b ( + .CI(\blk00000003/sig000002f1 ), + .DI(\blk00000003/sig000002f2 ), + .S(\blk00000003/sig000002f3 ), + .O(\NLW_blk00000003/blk0000009b_O_UNCONNECTED ), + .LO(\blk00000003/sig000002ed ) + ); + MUXCY_D \blk00000003/blk0000009a ( + .CI(\blk00000003/sig000002ee ), + .DI(\blk00000003/sig000002ef ), + .S(\blk00000003/sig000002f0 ), + .O(\blk00000003/sig000002f1 ), + .LO(\NLW_blk00000003/blk0000009a_LO_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000099 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ed ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002ee ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000098 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002eb ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002ec ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b7 ), + .R(sclr), + .Q(\blk00000003/sig000002b6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000033 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b6 ), + .R(sclr), + .Q(\blk00000003/sig000002b1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000032 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b5 ), + .R(\blk00000003/sig000002ae ), + .Q(data_valid) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000031 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b3 ), + .R(sclr), + .Q(\blk00000003/sig000002b4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000030 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b1 ), + .R(sclr), + .Q(\blk00000003/sig000002b2 ) + ); + FDRE \blk00000003/blk0000002f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002af ), + .R(sclr), + .Q(\blk00000003/sig000002b0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ad ), + .R(\blk00000003/sig000002ae ), + .Q(rdy) + ); + FDRE \blk00000003/blk0000002d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ab ), + .R(sclr), + .Q(\blk00000003/sig000002ac ) + ); + FDSE \blk00000003/blk0000002c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a9 ), + .S(sclr), + .Q(\blk00000003/sig000002aa ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029b ), + .R(sclr), + .Q(\blk00000003/sig000002a8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a7 ), + .R(sclr), + .Q(\blk00000003/sig0000029c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000029 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a5 ), + .R(sclr), + .Q(\blk00000003/sig000002a6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000028 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a3 ), + .R(sclr), + .Q(\blk00000003/sig000002a4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000027 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a1 ), + .R(sclr), + .Q(\NLW_blk00000003/blk00000027_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000026 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a1 ), + .R(sclr), + .Q(\blk00000003/sig000002a2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000025 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029f ), + .Q(\blk00000003/sig000002a0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000024 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000176 ), + .R(sclr), + .Q(\blk00000003/sig00000173 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000023 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000170 ), + .R(sclr), + .Q(\NLW_blk00000003/blk00000023_Q_UNCONNECTED ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000022 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000171 ), + .S(sclr), + .Q(\blk00000003/sig0000029e ) + ); + MUXCY_D \blk00000003/blk00000021 ( + .CI(\blk00000003/sig0000029c ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig0000029d ), + .O(\blk00000003/sig0000029a ), + .LO(\NLW_blk00000003/blk00000021_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk00000020 ( + .CI(\blk00000003/sig0000029a ), + .DI(\blk00000003/sig00000049 ), + .S(NlwRenamedSig_OI_rfd), + .O(\NLW_blk00000003/blk00000020_O_UNCONNECTED ) + ); + XORCY \blk00000003/blk0000001f ( + .CI(\blk00000003/sig0000029a ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig0000029b ) + ); + DSP48A1 #( + .A0REG ( 1 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000001e ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000001e_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000001e_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig0000024a , \blk00000003/sig0000024b , \blk00000003/sig0000024c , +\blk00000003/sig0000024d , \blk00000003/sig0000024e , \blk00000003/sig0000024f , \blk00000003/sig00000250 , \blk00000003/sig00000251 , +\blk00000003/sig00000252 , \blk00000003/sig00000253 , \blk00000003/sig00000254 , \blk00000003/sig00000255 , \blk00000003/sig00000256 , +\blk00000003/sig00000257 , \blk00000003/sig00000258 , \blk00000003/sig00000259 }), + .BCOUT({\NLW_blk00000003/blk0000001e_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000001e_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000001e_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000001e_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000001e_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000001e_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000001e_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000001e_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000001e_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000001e_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig0000025a , \blk00000003/sig0000025b , \blk00000003/sig0000025c , \blk00000003/sig0000025d , \blk00000003/sig0000025e , +\blk00000003/sig0000025f , \blk00000003/sig00000260 , \blk00000003/sig00000261 , \blk00000003/sig00000262 , \blk00000003/sig00000263 , +\blk00000003/sig00000264 , \blk00000003/sig00000265 , \blk00000003/sig00000266 , \blk00000003/sig00000267 , \blk00000003/sig00000268 , +\blk00000003/sig00000269 , \blk00000003/sig0000026a , \blk00000003/sig0000026b , \blk00000003/sig0000026c , \blk00000003/sig0000026d , +\blk00000003/sig0000026e , \blk00000003/sig0000026f , \blk00000003/sig00000270 , \blk00000003/sig00000271 , \blk00000003/sig00000272 , +\blk00000003/sig00000273 , \blk00000003/sig00000274 , \blk00000003/sig00000275 , \blk00000003/sig00000276 , \blk00000003/sig00000277 , +\blk00000003/sig00000278 , \blk00000003/sig00000279 , \blk00000003/sig0000027a , \blk00000003/sig0000027b , \blk00000003/sig0000027c , +\blk00000003/sig0000027d , \blk00000003/sig0000027e , \blk00000003/sig0000027f , \blk00000003/sig00000280 , \blk00000003/sig00000281 , +\blk00000003/sig00000282 , \blk00000003/sig00000283 , \blk00000003/sig00000284 , \blk00000003/sig00000285 , \blk00000003/sig00000286 , +\blk00000003/sig00000287 , \blk00000003/sig00000288 , \blk00000003/sig00000289 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk0000001e_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000001e_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000001e_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000001e_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000001e_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000001e_P<40>_UNCONNECTED , +\blk00000003/sig00000152 , \blk00000003/sig00000153 , \blk00000003/sig00000154 , \blk00000003/sig00000155 , \blk00000003/sig00000156 , +\blk00000003/sig00000157 , \blk00000003/sig00000102 , \blk00000003/sig00000103 , \blk00000003/sig00000104 , \blk00000003/sig00000105 , +\blk00000003/sig00000106 , \blk00000003/sig00000107 , \blk00000003/sig00000108 , \blk00000003/sig00000109 , \blk00000003/sig0000010a , +\blk00000003/sig0000010b , \blk00000003/sig0000010c , \blk00000003/sig0000010d , \blk00000003/sig0000010e , \blk00000003/sig0000010f , +\blk00000003/sig00000110 , \blk00000003/sig00000111 , \blk00000003/sig00000112 , \blk00000003/sig00000113 , \blk00000003/sig0000028a , +\blk00000003/sig0000028b , \blk00000003/sig0000028c , \blk00000003/sig0000028d , \blk00000003/sig0000028e , \blk00000003/sig0000028f , +\blk00000003/sig00000290 , \blk00000003/sig00000291 , \blk00000003/sig00000292 , \blk00000003/sig00000293 , \blk00000003/sig00000294 , +\blk00000003/sig00000295 , \blk00000003/sig00000296 , \blk00000003/sig00000297 , \blk00000003/sig00000298 , \blk00000003/sig00000299 }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000165 , \blk00000003/sig00000167 , \blk00000003/sig00000169 }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .PCOUT({\NLW_blk00000003/blk0000001e_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000001b0 , \blk00000003/sig000001b1 , \blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , +\blk00000003/sig000001b5 , \blk00000003/sig000001b6 , \blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 , +\blk00000003/sig000001ba , \blk00000003/sig000001bb , \blk00000003/sig000001bc , \blk00000003/sig000001bd , \blk00000003/sig000001be , +\blk00000003/sig000001bf , \blk00000003/sig000001c0 , \blk00000003/sig000001c1 }), + .M({\NLW_blk00000003/blk0000001e_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 1 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000001d ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000001d_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000001d_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000212 , \blk00000003/sig00000212 , \blk00000003/sig00000212 , \blk00000003/sig00000212 , \blk00000003/sig00000212 , +\blk00000003/sig00000212 , \blk00000003/sig00000212 , \blk00000003/sig00000212 , \blk00000003/sig00000212 , \blk00000003/sig00000212 , +\blk00000003/sig00000212 , \blk00000003/sig00000213 , \blk00000003/sig00000214 , \blk00000003/sig00000215 , \blk00000003/sig00000216 , +\blk00000003/sig00000217 , \blk00000003/sig00000218 , \blk00000003/sig00000219 }), + .BCOUT({\NLW_blk00000003/blk0000001d_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000001d_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000001d_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000001d_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000001d_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000001d_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000001d_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000001d_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000001d_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000001d_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig0000021a , \blk00000003/sig0000021b , \blk00000003/sig0000021c , \blk00000003/sig0000021d , \blk00000003/sig0000021e , +\blk00000003/sig0000021f , \blk00000003/sig00000220 , \blk00000003/sig00000221 , \blk00000003/sig00000222 , \blk00000003/sig00000223 , +\blk00000003/sig00000224 , \blk00000003/sig00000225 , \blk00000003/sig00000226 , \blk00000003/sig00000227 , \blk00000003/sig00000228 , +\blk00000003/sig00000229 , \blk00000003/sig0000022a , \blk00000003/sig0000022b , \blk00000003/sig0000022c , \blk00000003/sig0000022d , +\blk00000003/sig0000022e , \blk00000003/sig0000022f , \blk00000003/sig00000230 , \blk00000003/sig00000231 , \blk00000003/sig00000232 , +\blk00000003/sig00000233 , \blk00000003/sig00000234 , \blk00000003/sig00000235 , \blk00000003/sig00000236 , \blk00000003/sig00000237 , +\blk00000003/sig00000238 , \blk00000003/sig00000239 , \blk00000003/sig0000023a , \blk00000003/sig0000023b , \blk00000003/sig0000023c , +\blk00000003/sig0000023d , \blk00000003/sig0000023e , \blk00000003/sig0000023f , \blk00000003/sig00000240 , \blk00000003/sig00000241 , +\blk00000003/sig00000242 , \blk00000003/sig00000243 , \blk00000003/sig00000244 , \blk00000003/sig00000245 , \blk00000003/sig00000246 , +\blk00000003/sig00000247 , \blk00000003/sig00000248 , \blk00000003/sig00000249 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk0000001d_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<31>_UNCONNECTED , +\blk00000003/sig00000114 , \blk00000003/sig00000115 , \blk00000003/sig00000116 , \blk00000003/sig00000117 , \blk00000003/sig00000118 , +\blk00000003/sig00000119 , \blk00000003/sig0000011a , \blk00000003/sig0000011b , \blk00000003/sig0000011c , \blk00000003/sig0000011d , +\blk00000003/sig0000011e , \blk00000003/sig0000011f , \blk00000003/sig00000120 , \blk00000003/sig00000121 , \blk00000003/sig00000122 , +\blk00000003/sig00000123 , \blk00000003/sig00000124 , \blk00000003/sig00000125 , \blk00000003/sig00000126 , \blk00000003/sig00000127 , +\blk00000003/sig00000128 , \blk00000003/sig00000129 , \blk00000003/sig0000012a , \blk00000003/sig0000012b , \blk00000003/sig0000012c , +\blk00000003/sig0000012d , \blk00000003/sig0000012e , \blk00000003/sig0000012f , \blk00000003/sig00000130 , \blk00000003/sig00000131 , +\blk00000003/sig00000132 }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000165 , \blk00000003/sig00000167 , \blk00000003/sig00000169 }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .PCOUT({\NLW_blk00000003/blk0000001d_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000001b0 , \blk00000003/sig000001b1 , \blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , +\blk00000003/sig000001b5 , \blk00000003/sig000001b6 , \blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 , +\blk00000003/sig000001ba , \blk00000003/sig000001bb , \blk00000003/sig000001bc , \blk00000003/sig000001bd , \blk00000003/sig000001be , +\blk00000003/sig000001bf , \blk00000003/sig000001c0 , \blk00000003/sig000001c1 }), + .M({\NLW_blk00000003/blk0000001d_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 1 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000001c ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000001c_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000001c_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000001c2 , \blk00000003/sig000001c3 , \blk00000003/sig000001c4 , +\blk00000003/sig000001c5 , \blk00000003/sig000001c6 , \blk00000003/sig000001c7 , \blk00000003/sig000001c8 , \blk00000003/sig000001c9 , +\blk00000003/sig000001ca , \blk00000003/sig000001cb , \blk00000003/sig000001cc , \blk00000003/sig000001cd , \blk00000003/sig000001ce , +\blk00000003/sig000001cf , \blk00000003/sig000001d0 , \blk00000003/sig000001d1 }), + .BCOUT({\NLW_blk00000003/blk0000001c_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000001c_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000001c_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000001c_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000001c_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000001c_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000001c_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000001c_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000001c_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000001c_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000001d2 , \blk00000003/sig000001d3 , \blk00000003/sig000001d4 , \blk00000003/sig000001d5 , \blk00000003/sig000001d6 , +\blk00000003/sig000001d7 , \blk00000003/sig000001d8 , \blk00000003/sig000001d9 , \blk00000003/sig000001da , \blk00000003/sig000001db , +\blk00000003/sig000001dc , \blk00000003/sig000001dd , \blk00000003/sig000001de , \blk00000003/sig000001df , \blk00000003/sig000001e0 , +\blk00000003/sig000001e1 , \blk00000003/sig000001e2 , \blk00000003/sig000001e3 , \blk00000003/sig000001e4 , \blk00000003/sig000001e5 , +\blk00000003/sig000001e6 , \blk00000003/sig000001e7 , \blk00000003/sig000001e8 , \blk00000003/sig000001e9 , \blk00000003/sig000001ea , +\blk00000003/sig000001eb , \blk00000003/sig000001ec , \blk00000003/sig000001ed , \blk00000003/sig000001ee , \blk00000003/sig000001ef , +\blk00000003/sig000001f0 , \blk00000003/sig000001f1 , \blk00000003/sig000001f2 , \blk00000003/sig000001f3 , \blk00000003/sig000001f4 , +\blk00000003/sig000001f5 , \blk00000003/sig000001f6 , \blk00000003/sig000001f7 , \blk00000003/sig000001f8 , \blk00000003/sig000001f9 , +\blk00000003/sig000001fa , \blk00000003/sig000001fb , \blk00000003/sig000001fc , \blk00000003/sig000001fd , \blk00000003/sig000001fe , +\blk00000003/sig000001ff , \blk00000003/sig00000200 , \blk00000003/sig00000201 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk0000001c_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000001c_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000001c_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000001c_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000001c_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000001c_P<40>_UNCONNECTED , +\blk00000003/sig000000fc , \blk00000003/sig000000fd , \blk00000003/sig000000fe , \blk00000003/sig000000ff , \blk00000003/sig00000100 , +\blk00000003/sig00000101 , \blk00000003/sig000000ac , \blk00000003/sig000000ad , \blk00000003/sig000000ae , \blk00000003/sig000000af , +\blk00000003/sig000000b0 , \blk00000003/sig000000b1 , \blk00000003/sig000000b2 , \blk00000003/sig000000b3 , \blk00000003/sig000000b4 , +\blk00000003/sig000000b5 , \blk00000003/sig000000b6 , \blk00000003/sig000000b7 , \blk00000003/sig000000b8 , \blk00000003/sig000000b9 , +\blk00000003/sig000000ba , \blk00000003/sig000000bb , \blk00000003/sig000000bc , \blk00000003/sig000000bd , \blk00000003/sig00000202 , +\blk00000003/sig00000203 , \blk00000003/sig00000204 , \blk00000003/sig00000205 , \blk00000003/sig00000206 , \blk00000003/sig00000207 , +\blk00000003/sig00000208 , \blk00000003/sig00000209 , \blk00000003/sig0000020a , \blk00000003/sig0000020b , \blk00000003/sig0000020c , +\blk00000003/sig0000020d , \blk00000003/sig0000020e , \blk00000003/sig0000020f , \blk00000003/sig00000210 , \blk00000003/sig00000211 }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000165 , \blk00000003/sig00000167 , \blk00000003/sig00000169 }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .PCOUT({\NLW_blk00000003/blk0000001c_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000001b0 , \blk00000003/sig000001b1 , \blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , +\blk00000003/sig000001b5 , \blk00000003/sig000001b6 , \blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 , +\blk00000003/sig000001ba , \blk00000003/sig000001bb , \blk00000003/sig000001bc , \blk00000003/sig000001bd , \blk00000003/sig000001be , +\blk00000003/sig000001bf , \blk00000003/sig000001c0 , \blk00000003/sig000001c1 }), + .M({\NLW_blk00000003/blk0000001c_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 1 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000001b ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000001b_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000001b_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000178 , \blk00000003/sig00000178 , \blk00000003/sig00000178 , \blk00000003/sig00000178 , \blk00000003/sig00000178 , +\blk00000003/sig00000178 , \blk00000003/sig00000178 , \blk00000003/sig00000178 , \blk00000003/sig00000178 , \blk00000003/sig00000178 , +\blk00000003/sig00000178 , \blk00000003/sig00000179 , \blk00000003/sig0000017a , \blk00000003/sig0000017b , \blk00000003/sig0000017c , +\blk00000003/sig0000017d , \blk00000003/sig0000017e , \blk00000003/sig0000017f }), + .BCOUT({\NLW_blk00000003/blk0000001b_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000001b_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000001b_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000001b_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000001b_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000001b_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000001b_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000001b_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000001b_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000001b_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000180 , \blk00000003/sig00000181 , \blk00000003/sig00000182 , \blk00000003/sig00000183 , \blk00000003/sig00000184 , +\blk00000003/sig00000185 , \blk00000003/sig00000186 , \blk00000003/sig00000187 , \blk00000003/sig00000188 , \blk00000003/sig00000189 , +\blk00000003/sig0000018a , \blk00000003/sig0000018b , \blk00000003/sig0000018c , \blk00000003/sig0000018d , \blk00000003/sig0000018e , +\blk00000003/sig0000018f , \blk00000003/sig00000190 , \blk00000003/sig00000191 , \blk00000003/sig00000192 , \blk00000003/sig00000193 , +\blk00000003/sig00000194 , \blk00000003/sig00000195 , \blk00000003/sig00000196 , \blk00000003/sig00000197 , \blk00000003/sig00000198 , +\blk00000003/sig00000199 , \blk00000003/sig0000019a , \blk00000003/sig0000019b , \blk00000003/sig0000019c , \blk00000003/sig0000019d , +\blk00000003/sig0000019e , \blk00000003/sig0000019f , \blk00000003/sig000001a0 , \blk00000003/sig000001a1 , \blk00000003/sig000001a2 , +\blk00000003/sig000001a3 , \blk00000003/sig000001a4 , \blk00000003/sig000001a5 , \blk00000003/sig000001a6 , \blk00000003/sig000001a7 , +\blk00000003/sig000001a8 , \blk00000003/sig000001a9 , \blk00000003/sig000001aa , \blk00000003/sig000001ab , \blk00000003/sig000001ac , +\blk00000003/sig000001ad , \blk00000003/sig000001ae , \blk00000003/sig000001af }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk0000001b_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<31>_UNCONNECTED , +\blk00000003/sig000000be , \blk00000003/sig000000bf , \blk00000003/sig000000c0 , \blk00000003/sig000000c1 , \blk00000003/sig000000c2 , +\blk00000003/sig000000c3 , \blk00000003/sig000000c4 , \blk00000003/sig000000c5 , \blk00000003/sig000000c6 , \blk00000003/sig000000c7 , +\blk00000003/sig000000c8 , \blk00000003/sig000000c9 , \blk00000003/sig000000ca , \blk00000003/sig000000cb , \blk00000003/sig000000cc , +\blk00000003/sig000000cd , \blk00000003/sig000000ce , \blk00000003/sig000000cf , \blk00000003/sig000000d0 , \blk00000003/sig000000d1 , +\blk00000003/sig000000d2 , \blk00000003/sig000000d3 , \blk00000003/sig000000d4 , \blk00000003/sig000000d5 , \blk00000003/sig000000d6 , +\blk00000003/sig000000d7 , \blk00000003/sig000000d8 , \blk00000003/sig000000d9 , \blk00000003/sig000000da , \blk00000003/sig000000db , +\blk00000003/sig000000dc }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000165 , \blk00000003/sig00000167 , \blk00000003/sig00000169 }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .PCOUT({\NLW_blk00000003/blk0000001b_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000001b0 , \blk00000003/sig000001b1 , \blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , +\blk00000003/sig000001b5 , \blk00000003/sig000001b6 , \blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 , +\blk00000003/sig000001ba , \blk00000003/sig000001bb , \blk00000003/sig000001bc , \blk00000003/sig000001bd , \blk00000003/sig000001be , +\blk00000003/sig000001bf , \blk00000003/sig000001c0 , \blk00000003/sig000001c1 }), + .M({\NLW_blk00000003/blk0000001b_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<0>_UNCONNECTED }) + ); + MUXCY_D \blk00000003/blk0000001a ( + .CI(NlwRenamedSig_OI_rfd), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000177 ), + .O(\blk00000003/sig00000172 ), + .LO(\NLW_blk00000003/blk0000001a_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000019 ( + .CI(\blk00000003/sig00000175 ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig00000176 ) + ); + MUXCY \blk00000003/blk00000018 ( + .CI(\blk00000003/sig00000175 ), + .DI(\blk00000003/sig00000049 ), + .S(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig0000016a ) + ); + MUXCY_D \blk00000003/blk00000017 ( + .CI(\blk00000003/sig00000172 ), + .DI(\blk00000003/sig00000173 ), + .S(\blk00000003/sig00000174 ), + .O(\blk00000003/sig00000175 ), + .LO(\NLW_blk00000003/blk00000017_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000016 ( + .CI(\blk00000003/sig00000170 ), + .LI(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig00000171 ) + ); + MUXCY_D \blk00000003/blk00000015 ( + .CI(\blk00000003/sig0000016d ), + .DI(\blk00000003/sig0000016e ), + .S(\blk00000003/sig0000016f ), + .O(\NLW_blk00000003/blk00000015_O_UNCONNECTED ), + .LO(\blk00000003/sig00000170 ) + ); + MUXCY_D \blk00000003/blk00000014 ( + .CI(\blk00000003/sig0000016a ), + .DI(\blk00000003/sig0000016b ), + .S(\blk00000003/sig0000016c ), + .O(\blk00000003/sig0000016d ), + .LO(\NLW_blk00000003/blk00000014_LO_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk00000013 ( + .C(clk), + .D(\blk00000003/sig00000168 ), + .Q(\blk00000003/sig00000169 ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk00000012 ( + .C(clk), + .D(\blk00000003/sig00000166 ), + .Q(\blk00000003/sig00000167 ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk00000011 ( + .C(clk), + .D(\blk00000003/sig00000164 ), + .Q(\blk00000003/sig00000165 ) + ); + XORCY \blk00000003/blk00000010 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000162 ), + .O(\blk00000003/sig00000163 ) + ); + MUXCY_D \blk00000003/blk0000000f ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000161 ), + .S(\blk00000003/sig00000162 ), + .O(\NLW_blk00000003/blk0000000f_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk0000000f_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk0000000e ( + .CI(\blk00000003/sig0000015c ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000160 ), + .O(\NLW_blk00000003/blk0000000e_O_UNCONNECTED ), + .LO(\blk00000003/sig0000015e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000000d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000015e ), + .R(sclr), + .Q(\blk00000003/sig0000015f ) + ); + MUXCY_D \blk00000003/blk0000000c ( + .CI(NlwRenamedSig_OI_rfd), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig0000015d ), + .O(\blk00000003/sig0000015a ), + .LO(\NLW_blk00000003/blk0000000c_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk0000000b ( + .CI(\blk00000003/sig0000015b ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig00000158 ) + ); + MUXCY \blk00000003/blk0000000a ( + .CI(\blk00000003/sig0000015b ), + .DI(\blk00000003/sig00000049 ), + .S(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig0000015c ) + ); + MUXCY_D \blk00000003/blk00000009 ( + .CI(\blk00000003/sig0000015a ), + .DI(\blk00000003/sig00000159 ), + .S(nd), + .O(\blk00000003/sig0000015b ), + .LO(\NLW_blk00000003/blk00000009_LO_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000008 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000158 ), + .R(sclr), + .Q(\blk00000003/sig00000159 ) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 0 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000007 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000007_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000007_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000102 , \blk00000003/sig00000103 , \blk00000003/sig00000104 , \blk00000003/sig00000105 , \blk00000003/sig00000106 , +\blk00000003/sig00000107 , \blk00000003/sig00000108 , \blk00000003/sig00000109 , \blk00000003/sig0000010a , \blk00000003/sig0000010b , +\blk00000003/sig0000010c , \blk00000003/sig0000010d , \blk00000003/sig0000010e , \blk00000003/sig0000010f , \blk00000003/sig00000110 , +\blk00000003/sig00000111 , \blk00000003/sig00000112 , \blk00000003/sig00000113 }), + .BCOUT({\NLW_blk00000003/blk00000007_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , +\blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , +\blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , +\blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000115 , \blk00000003/sig00000116 , +\blk00000003/sig00000117 , \blk00000003/sig00000118 , \blk00000003/sig00000119 , \blk00000003/sig0000011a , \blk00000003/sig0000011b , +\blk00000003/sig0000011c , \blk00000003/sig0000011d , \blk00000003/sig0000011e , \blk00000003/sig0000011f , \blk00000003/sig00000120 , +\blk00000003/sig00000121 , \blk00000003/sig00000122 , \blk00000003/sig00000123 , \blk00000003/sig00000124 , \blk00000003/sig00000125 , +\blk00000003/sig00000126 , \blk00000003/sig00000127 , \blk00000003/sig00000128 , \blk00000003/sig00000129 , \blk00000003/sig0000012a , +\blk00000003/sig0000012b , \blk00000003/sig0000012c , \blk00000003/sig0000012d , \blk00000003/sig0000012e , \blk00000003/sig0000012f , +\blk00000003/sig00000130 , \blk00000003/sig00000131 , \blk00000003/sig00000132 }), + .P({\NLW_blk00000003/blk00000007_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<31>_UNCONNECTED , +\blk00000003/sig00000133 , \blk00000003/sig00000134 , \blk00000003/sig00000135 , \blk00000003/sig00000136 , \blk00000003/sig00000137 , +\blk00000003/sig00000138 , \blk00000003/sig00000139 , \blk00000003/sig0000013a , \blk00000003/sig0000013b , \blk00000003/sig0000013c , +\blk00000003/sig0000013d , \blk00000003/sig0000013e , \blk00000003/sig0000013f , \blk00000003/sig00000140 , \blk00000003/sig00000141 , +\blk00000003/sig00000142 , \blk00000003/sig00000143 , \blk00000003/sig00000144 , \blk00000003/sig00000145 , \blk00000003/sig00000146 , +\blk00000003/sig00000147 , \blk00000003/sig00000148 , \blk00000003/sig00000149 , \blk00000003/sig0000014a , \blk00000003/sig0000014b , +\blk00000003/sig0000014c , \blk00000003/sig0000014d , \blk00000003/sig0000014e , \blk00000003/sig0000014f , \blk00000003/sig00000150 , +\blk00000003/sig00000151 }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +NlwRenamedSig_OI_rfd, NlwRenamedSig_OI_rfd, NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , +\blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , +\blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , +\blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 }), + .PCOUT({\NLW_blk00000003/blk00000007_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , +\blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , +\blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000153 , \blk00000003/sig00000154 , +\blk00000003/sig00000155 , \blk00000003/sig00000156 , \blk00000003/sig00000157 }), + .M({\NLW_blk00000003/blk00000007_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 0 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000006 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000006_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000006_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig000000ac , \blk00000003/sig000000ad , \blk00000003/sig000000ae , \blk00000003/sig000000af , \blk00000003/sig000000b0 , +\blk00000003/sig000000b1 , \blk00000003/sig000000b2 , \blk00000003/sig000000b3 , \blk00000003/sig000000b4 , \blk00000003/sig000000b5 , +\blk00000003/sig000000b6 , \blk00000003/sig000000b7 , \blk00000003/sig000000b8 , \blk00000003/sig000000b9 , \blk00000003/sig000000ba , +\blk00000003/sig000000bb , \blk00000003/sig000000bc , \blk00000003/sig000000bd }), + .BCOUT({\NLW_blk00000003/blk00000006_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , +\blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , +\blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , +\blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000bf , \blk00000003/sig000000c0 , +\blk00000003/sig000000c1 , \blk00000003/sig000000c2 , \blk00000003/sig000000c3 , \blk00000003/sig000000c4 , \blk00000003/sig000000c5 , +\blk00000003/sig000000c6 , \blk00000003/sig000000c7 , \blk00000003/sig000000c8 , \blk00000003/sig000000c9 , \blk00000003/sig000000ca , +\blk00000003/sig000000cb , \blk00000003/sig000000cc , \blk00000003/sig000000cd , \blk00000003/sig000000ce , \blk00000003/sig000000cf , +\blk00000003/sig000000d0 , \blk00000003/sig000000d1 , \blk00000003/sig000000d2 , \blk00000003/sig000000d3 , \blk00000003/sig000000d4 , +\blk00000003/sig000000d5 , \blk00000003/sig000000d6 , \blk00000003/sig000000d7 , \blk00000003/sig000000d8 , \blk00000003/sig000000d9 , +\blk00000003/sig000000da , \blk00000003/sig000000db , \blk00000003/sig000000dc }), + .P({\NLW_blk00000003/blk00000006_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<31>_UNCONNECTED , +\blk00000003/sig000000dd , \blk00000003/sig000000de , \blk00000003/sig000000df , \blk00000003/sig000000e0 , \blk00000003/sig000000e1 , +\blk00000003/sig000000e2 , \blk00000003/sig000000e3 , \blk00000003/sig000000e4 , \blk00000003/sig000000e5 , \blk00000003/sig000000e6 , +\blk00000003/sig000000e7 , \blk00000003/sig000000e8 , \blk00000003/sig000000e9 , \blk00000003/sig000000ea , \blk00000003/sig000000eb , +\blk00000003/sig000000ec , \blk00000003/sig000000ed , \blk00000003/sig000000ee , \blk00000003/sig000000ef , \blk00000003/sig000000f0 , +\blk00000003/sig000000f1 , \blk00000003/sig000000f2 , \blk00000003/sig000000f3 , \blk00000003/sig000000f4 , \blk00000003/sig000000f5 , +\blk00000003/sig000000f6 , \blk00000003/sig000000f7 , \blk00000003/sig000000f8 , \blk00000003/sig000000f9 , \blk00000003/sig000000fa , +\blk00000003/sig000000fb }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +NlwRenamedSig_OI_rfd, NlwRenamedSig_OI_rfd, NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , +\blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , +\blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , +\blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc }), + .PCOUT({\NLW_blk00000003/blk00000006_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , +\blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , +\blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fd , \blk00000003/sig000000fe , +\blk00000003/sig000000ff , \blk00000003/sig00000100 , \blk00000003/sig00000101 }), + .M({\NLW_blk00000003/blk00000006_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<0>_UNCONNECTED }) + ); + VCC \blk00000003/blk00000005 ( + .P(NlwRenamedSig_OI_rfd) + ); + GND \blk00000003/blk00000004 ( + .G(\blk00000003/sig00000049 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000035/blk00000097 ( + .I0(nd), + .I1(ce), + .O(\blk00000003/blk00000035/sig00000f29 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000096 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[22]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000096_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f27 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000095 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[21]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000095_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f26 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000094 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[23]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000094_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f28 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000093 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[19]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000093_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f24 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000092 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[18]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000092_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f23 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000091 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[20]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000091_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f25 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000090 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[16]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000090_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f21 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000008f ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[15]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000008f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f20 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000008e ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[17]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000008e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f22 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000008d ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[13]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000008d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f1e ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000008c ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[12]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000008c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f1d ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000008b ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[14]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000008b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f1f ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000008a ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[10]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000008a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f1b ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000089 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[9]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000089_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f1a ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000088 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[11]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000088_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f1c ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000087 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[7]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000087_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f18 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000086 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[6]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000086_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f17 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000085 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[8]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000085_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f19 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000084 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[4]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000084_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f15 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000083 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[3]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000083_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f14 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000082 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[5]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000082_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f16 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000081 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[1]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000081_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f12 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000080 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[0]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000080_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f11 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000007f ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[2]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000007f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f13 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000007e ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[22]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000007e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f0f ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000007d ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[21]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000007d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f0e ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000007c ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[23]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000007c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f10 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000007b ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[19]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000007b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f0c ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000007a ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[18]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000007a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f0b ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000079 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[20]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000079_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f0d ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000078 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[16]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000078_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f09 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000077 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[15]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000077_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f08 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000076 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[17]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000076_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f0a ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000075 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[13]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000075_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f06 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000074 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[12]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000074_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f05 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000073 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[14]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000073_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f07 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000072 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[10]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000072_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f03 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000071 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[9]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000071_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f02 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000070 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[11]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000070_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f04 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000006f ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[7]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000006f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f00 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000006e ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[6]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000006e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000eff ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000006d ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[8]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000006d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f01 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000006c ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[4]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000006c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000efd ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000006b ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[3]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000006b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000efc ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000006a ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[5]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000006a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000efe ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000069 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[1]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000069_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000efa ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000068 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[0]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000068_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000ef9 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000067 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[2]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000067_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000efb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000066 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f28 ), + .Q(\blk00000003/sig000002bb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000065 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f27 ), + .Q(\blk00000003/sig000002bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000064 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f26 ), + .Q(\blk00000003/sig000002bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000063 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f25 ), + .Q(\blk00000003/sig000002be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000062 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f24 ), + .Q(\blk00000003/sig000002bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000061 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f23 ), + .Q(\blk00000003/sig000002c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000060 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f22 ), + .Q(\blk00000003/sig000002c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000005f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f21 ), + .Q(\blk00000003/sig000002c2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000005e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f20 ), + .Q(\blk00000003/sig000002c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000005d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f1f ), + .Q(\blk00000003/sig000002c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000005c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f1e ), + .Q(\blk00000003/sig000002c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000005b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f1d ), + .Q(\blk00000003/sig000002c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000005a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f1c ), + .Q(\blk00000003/sig000002c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000059 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f1b ), + .Q(\blk00000003/sig000002c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000058 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f1a ), + .Q(\blk00000003/sig000002c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000057 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f19 ), + .Q(\blk00000003/sig000002ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000056 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f18 ), + .Q(\blk00000003/sig000002cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000055 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f17 ), + .Q(\blk00000003/sig000002cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000054 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f16 ), + .Q(\blk00000003/sig000002cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000053 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f15 ), + .Q(\blk00000003/sig000002ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000052 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f14 ), + .Q(\blk00000003/sig000002cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000051 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f13 ), + .Q(\blk00000003/sig000002d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000050 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f12 ), + .Q(\blk00000003/sig000002d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000004f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f11 ), + .Q(\blk00000003/sig000002d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000004e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f10 ), + .Q(\blk00000003/sig000002d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000004d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f0f ), + .Q(\blk00000003/sig000002d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000004c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f0e ), + .Q(\blk00000003/sig000002d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000004b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f0d ), + .Q(\blk00000003/sig000002d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000004a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f0c ), + .Q(\blk00000003/sig000002d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000049 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f0b ), + .Q(\blk00000003/sig000002d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000048 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f0a ), + .Q(\blk00000003/sig000002d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000047 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f09 ), + .Q(\blk00000003/sig000002da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000046 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f08 ), + .Q(\blk00000003/sig000002db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000045 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f07 ), + .Q(\blk00000003/sig000002dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000044 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f06 ), + .Q(\blk00000003/sig000002dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000043 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f05 ), + .Q(\blk00000003/sig000002de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000042 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f04 ), + .Q(\blk00000003/sig000002df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000041 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f03 ), + .Q(\blk00000003/sig000002e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000040 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f02 ), + .Q(\blk00000003/sig000002e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000003f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f01 ), + .Q(\blk00000003/sig000002e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000003e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f00 ), + .Q(\blk00000003/sig000002e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000003d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000eff ), + .Q(\blk00000003/sig000002e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000003c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000efe ), + .Q(\blk00000003/sig000002e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000003b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000efd ), + .Q(\blk00000003/sig000002e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000003a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000efc ), + .Q(\blk00000003/sig000002e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000039 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000efb ), + .Q(\blk00000003/sig000002e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000038 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000efa ), + .Q(\blk00000003/sig000002e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000037 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000ef9 ), + .Q(\blk00000003/sig000002ea ) + ); + GND \blk00000003/blk00000035/blk00000036 ( + .G(\blk00000003/blk00000035/sig00000ef8 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000013d/blk0000015f ( + .I0(\blk00000003/sig000009fa ), + .I1(ce), + .O(\blk00000003/blk0000013d/sig00000f5f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk0000015e ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008c8 ), + .Q(\blk00000003/blk0000013d/sig00000f5e ), + .Q15(\NLW_blk00000003/blk0000013d/blk0000015e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk0000015d ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008c9 ), + .Q(\blk00000003/blk0000013d/sig00000f5d ), + .Q15(\NLW_blk00000003/blk0000013d/blk0000015d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk0000015c ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008ca ), + .Q(\blk00000003/blk0000013d/sig00000f5c ), + .Q15(\NLW_blk00000003/blk0000013d/blk0000015c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk0000015b ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008cb ), + .Q(\blk00000003/blk0000013d/sig00000f5b ), + .Q15(\NLW_blk00000003/blk0000013d/blk0000015b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk0000015a ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008cc ), + .Q(\blk00000003/blk0000013d/sig00000f5a ), + .Q15(\NLW_blk00000003/blk0000013d/blk0000015a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000159 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008cd ), + .Q(\blk00000003/blk0000013d/sig00000f59 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000159_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000158 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008ce ), + .Q(\blk00000003/blk0000013d/sig00000f58 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000158_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000157 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008cf ), + .Q(\blk00000003/blk0000013d/sig00000f57 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000157_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000156 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008d0 ), + .Q(\blk00000003/blk0000013d/sig00000f56 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000156_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000155 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008d1 ), + .Q(\blk00000003/blk0000013d/sig00000f55 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000155_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000154 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008d2 ), + .Q(\blk00000003/blk0000013d/sig00000f54 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000154_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000153 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008d3 ), + .Q(\blk00000003/blk0000013d/sig00000f53 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000153_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000152 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008d4 ), + .Q(\blk00000003/blk0000013d/sig00000f52 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000152_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000151 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008d5 ), + .Q(\blk00000003/blk0000013d/sig00000f51 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000151_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000150 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008d6 ), + .Q(\blk00000003/blk0000013d/sig00000f50 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000150_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk0000014f ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008d7 ), + .Q(\blk00000003/blk0000013d/sig00000f4f ), + .Q15(\NLW_blk00000003/blk0000013d/blk0000014f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk0000014e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f5e ), + .Q(\blk00000003/sig00000768 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk0000014d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f5d ), + .Q(\blk00000003/sig00000769 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk0000014c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f5c ), + .Q(\blk00000003/sig0000076a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk0000014b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f5b ), + .Q(\blk00000003/sig0000076b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk0000014a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f5a ), + .Q(\blk00000003/sig0000076c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000149 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f59 ), + .Q(\blk00000003/sig0000076d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000148 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f58 ), + .Q(\blk00000003/sig0000076e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000147 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f57 ), + .Q(\blk00000003/sig0000076f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000146 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f56 ), + .Q(\blk00000003/sig00000770 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000145 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f55 ), + .Q(\blk00000003/sig00000771 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000144 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f54 ), + .Q(\blk00000003/sig00000772 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000143 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f53 ), + .Q(\blk00000003/sig00000773 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000142 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f52 ), + .Q(\blk00000003/sig00000774 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000141 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f51 ), + .Q(\blk00000003/sig00000775 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000140 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f50 ), + .Q(\blk00000003/sig00000776 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk0000013f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f4f ), + .Q(\blk00000003/sig00000777 ) + ); + GND \blk00000003/blk0000013d/blk0000013e ( + .G(\blk00000003/blk0000013d/sig00000f4e ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000160/blk00000182 ( + .I0(\blk00000003/sig000009f8 ), + .I1(ce), + .O(\blk00000003/blk00000160/sig00000f95 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000181 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a17 ), + .Q(\blk00000003/blk00000160/sig00000f94 ), + .Q15(\NLW_blk00000003/blk00000160/blk00000181_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000180 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a18 ), + .Q(\blk00000003/blk00000160/sig00000f93 ), + .Q15(\NLW_blk00000003/blk00000160/blk00000180_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk0000017f ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a19 ), + .Q(\blk00000003/blk00000160/sig00000f92 ), + .Q15(\NLW_blk00000003/blk00000160/blk0000017f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk0000017e ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a1a ), + .Q(\blk00000003/blk00000160/sig00000f91 ), + .Q15(\NLW_blk00000003/blk00000160/blk0000017e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk0000017d ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a1b ), + .Q(\blk00000003/blk00000160/sig00000f90 ), + .Q15(\NLW_blk00000003/blk00000160/blk0000017d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk0000017c ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a1c ), + .Q(\blk00000003/blk00000160/sig00000f8f ), + .Q15(\NLW_blk00000003/blk00000160/blk0000017c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk0000017b ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a1d ), + .Q(\blk00000003/blk00000160/sig00000f8e ), + .Q15(\NLW_blk00000003/blk00000160/blk0000017b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk0000017a ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a1e ), + .Q(\blk00000003/blk00000160/sig00000f8d ), + .Q15(\NLW_blk00000003/blk00000160/blk0000017a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000179 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a1f ), + .Q(\blk00000003/blk00000160/sig00000f8c ), + .Q15(\NLW_blk00000003/blk00000160/blk00000179_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000178 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a20 ), + .Q(\blk00000003/blk00000160/sig00000f8b ), + .Q15(\NLW_blk00000003/blk00000160/blk00000178_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000177 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a21 ), + .Q(\blk00000003/blk00000160/sig00000f8a ), + .Q15(\NLW_blk00000003/blk00000160/blk00000177_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000176 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a22 ), + .Q(\blk00000003/blk00000160/sig00000f89 ), + .Q15(\NLW_blk00000003/blk00000160/blk00000176_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000175 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a23 ), + .Q(\blk00000003/blk00000160/sig00000f88 ), + .Q15(\NLW_blk00000003/blk00000160/blk00000175_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000174 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a24 ), + .Q(\blk00000003/blk00000160/sig00000f87 ), + .Q15(\NLW_blk00000003/blk00000160/blk00000174_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000173 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a25 ), + .Q(\blk00000003/blk00000160/sig00000f86 ), + .Q15(\NLW_blk00000003/blk00000160/blk00000173_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000172 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a26 ), + .Q(\blk00000003/blk00000160/sig00000f85 ), + .Q15(\NLW_blk00000003/blk00000160/blk00000172_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000171 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f94 ), + .Q(\blk00000003/sig00000728 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000170 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f93 ), + .Q(\blk00000003/sig00000729 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk0000016f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f92 ), + .Q(\blk00000003/sig0000072a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk0000016e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f91 ), + .Q(\blk00000003/sig0000072b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk0000016d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f90 ), + .Q(\blk00000003/sig0000072c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk0000016c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f8f ), + .Q(\blk00000003/sig0000072d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk0000016b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f8e ), + .Q(\blk00000003/sig0000072e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk0000016a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f8d ), + .Q(\blk00000003/sig0000072f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000169 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f8c ), + .Q(\blk00000003/sig00000730 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000168 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f8b ), + .Q(\blk00000003/sig00000731 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000167 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f8a ), + .Q(\blk00000003/sig00000732 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000166 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f89 ), + .Q(\blk00000003/sig00000733 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000165 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f88 ), + .Q(\blk00000003/sig00000734 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000164 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f87 ), + .Q(\blk00000003/sig00000735 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000163 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f86 ), + .Q(\blk00000003/sig00000736 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000162 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f85 ), + .Q(\blk00000003/sig00000737 ) + ); + GND \blk00000003/blk00000160/blk00000161 ( + .G(\blk00000003/blk00000160/sig00000f84 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000183/blk000001a5 ( + .I0(\blk00000003/sig000009fa ), + .I1(ce), + .O(\blk00000003/blk00000183/sig00000fcb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk000001a4 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009d8 ), + .Q(\blk00000003/blk00000183/sig00000fca ), + .Q15(\NLW_blk00000003/blk00000183/blk000001a4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk000001a3 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009d9 ), + .Q(\blk00000003/blk00000183/sig00000fc9 ), + .Q15(\NLW_blk00000003/blk00000183/blk000001a3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk000001a2 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009da ), + .Q(\blk00000003/blk00000183/sig00000fc8 ), + .Q15(\NLW_blk00000003/blk00000183/blk000001a2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk000001a1 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009db ), + .Q(\blk00000003/blk00000183/sig00000fc7 ), + .Q15(\NLW_blk00000003/blk00000183/blk000001a1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk000001a0 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009dc ), + .Q(\blk00000003/blk00000183/sig00000fc6 ), + .Q15(\NLW_blk00000003/blk00000183/blk000001a0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk0000019f ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009dd ), + .Q(\blk00000003/blk00000183/sig00000fc5 ), + .Q15(\NLW_blk00000003/blk00000183/blk0000019f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk0000019e ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009de ), + .Q(\blk00000003/blk00000183/sig00000fc4 ), + .Q15(\NLW_blk00000003/blk00000183/blk0000019e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk0000019d ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009df ), + .Q(\blk00000003/blk00000183/sig00000fc3 ), + .Q15(\NLW_blk00000003/blk00000183/blk0000019d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk0000019c ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009e0 ), + .Q(\blk00000003/blk00000183/sig00000fc2 ), + .Q15(\NLW_blk00000003/blk00000183/blk0000019c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk0000019b ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009e1 ), + .Q(\blk00000003/blk00000183/sig00000fc1 ), + .Q15(\NLW_blk00000003/blk00000183/blk0000019b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk0000019a ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009e2 ), + .Q(\blk00000003/blk00000183/sig00000fc0 ), + .Q15(\NLW_blk00000003/blk00000183/blk0000019a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk00000199 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009e3 ), + .Q(\blk00000003/blk00000183/sig00000fbf ), + .Q15(\NLW_blk00000003/blk00000183/blk00000199_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk00000198 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009e4 ), + .Q(\blk00000003/blk00000183/sig00000fbe ), + .Q15(\NLW_blk00000003/blk00000183/blk00000198_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk00000197 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009e5 ), + .Q(\blk00000003/blk00000183/sig00000fbd ), + .Q15(\NLW_blk00000003/blk00000183/blk00000197_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk00000196 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009e6 ), + .Q(\blk00000003/blk00000183/sig00000fbc ), + .Q15(\NLW_blk00000003/blk00000183/blk00000196_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk00000195 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009e7 ), + .Q(\blk00000003/blk00000183/sig00000fbb ), + .Q15(\NLW_blk00000003/blk00000183/blk00000195_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000194 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fca ), + .Q(\blk00000003/sig000007b8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000193 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc9 ), + .Q(\blk00000003/sig000007b9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000192 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc8 ), + .Q(\blk00000003/sig000007ba ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000191 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc7 ), + .Q(\blk00000003/sig000007bb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000190 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc6 ), + .Q(\blk00000003/sig000007bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk0000018f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc5 ), + .Q(\blk00000003/sig000007bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk0000018e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc4 ), + .Q(\blk00000003/sig000007be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk0000018d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc3 ), + .Q(\blk00000003/sig000007bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk0000018c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc2 ), + .Q(\blk00000003/sig000007c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk0000018b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc1 ), + .Q(\blk00000003/sig000007c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk0000018a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc0 ), + .Q(\blk00000003/sig000007c2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000189 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fbf ), + .Q(\blk00000003/sig000007c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000188 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fbe ), + .Q(\blk00000003/sig000007c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000187 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fbd ), + .Q(\blk00000003/sig000007c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000186 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fbc ), + .Q(\blk00000003/sig000007c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000185 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fbb ), + .Q(\blk00000003/sig000007c7 ) + ); + GND \blk00000003/blk00000183/blk00000184 ( + .G(\blk00000003/blk00000183/sig00000fba ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000001a6/blk000001c8 ( + .I0(\blk00000003/sig000009f8 ), + .I1(ce), + .O(\blk00000003/blk000001a6/sig00001001 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001c7 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a27 ), + .Q(\blk00000003/blk000001a6/sig00001000 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001c7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001c6 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a28 ), + .Q(\blk00000003/blk000001a6/sig00000fff ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001c6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001c5 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a29 ), + .Q(\blk00000003/blk000001a6/sig00000ffe ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001c5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001c4 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a2a ), + .Q(\blk00000003/blk000001a6/sig00000ffd ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001c4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001c3 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a2b ), + .Q(\blk00000003/blk000001a6/sig00000ffc ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001c3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001c2 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a2c ), + .Q(\blk00000003/blk000001a6/sig00000ffb ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001c2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001c1 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a2d ), + .Q(\blk00000003/blk000001a6/sig00000ffa ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001c1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001c0 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a2e ), + .Q(\blk00000003/blk000001a6/sig00000ff9 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001c0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001bf ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a2f ), + .Q(\blk00000003/blk000001a6/sig00000ff8 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001bf_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001be ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a30 ), + .Q(\blk00000003/blk000001a6/sig00000ff7 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001be_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001bd ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a31 ), + .Q(\blk00000003/blk000001a6/sig00000ff6 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001bd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001bc ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a32 ), + .Q(\blk00000003/blk000001a6/sig00000ff5 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001bc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001bb ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a33 ), + .Q(\blk00000003/blk000001a6/sig00000ff4 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001bb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001ba ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a34 ), + .Q(\blk00000003/blk000001a6/sig00000ff3 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001ba_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001b9 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a35 ), + .Q(\blk00000003/blk000001a6/sig00000ff2 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001b9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001b8 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a36 ), + .Q(\blk00000003/blk000001a6/sig00000ff1 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001b8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00001000 ), + .Q(\blk00000003/sig00000778 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000fff ), + .Q(\blk00000003/sig00000779 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ffe ), + .Q(\blk00000003/sig0000077a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ffd ), + .Q(\blk00000003/sig0000077b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ffc ), + .Q(\blk00000003/sig0000077c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ffb ), + .Q(\blk00000003/sig0000077d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ffa ), + .Q(\blk00000003/sig0000077e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ff9 ), + .Q(\blk00000003/sig0000077f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001af ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ff8 ), + .Q(\blk00000003/sig00000780 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ff7 ), + .Q(\blk00000003/sig00000781 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ff6 ), + .Q(\blk00000003/sig00000782 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ff5 ), + .Q(\blk00000003/sig00000783 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ff4 ), + .Q(\blk00000003/sig00000784 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ff3 ), + .Q(\blk00000003/sig00000785 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ff2 ), + .Q(\blk00000003/sig00000786 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ff1 ), + .Q(\blk00000003/sig00000787 ) + ); + GND \blk00000003/blk000001a6/blk000001a7 ( + .G(\blk00000003/blk000001a6/sig00000ff0 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000001c9/blk000001eb ( + .I0(\blk00000003/sig000009f9 ), + .I1(ce), + .O(\blk00000003/blk000001c9/sig00001037 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001ea ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000878 ), + .Q(\blk00000003/blk000001c9/sig00001036 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001ea_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e9 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000879 ), + .Q(\blk00000003/blk000001c9/sig00001035 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e8 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig0000087a ), + .Q(\blk00000003/blk000001c9/sig00001034 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e7 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig0000087b ), + .Q(\blk00000003/blk000001c9/sig00001033 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e6 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig0000087c ), + .Q(\blk00000003/blk000001c9/sig00001032 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e5 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig0000087d ), + .Q(\blk00000003/blk000001c9/sig00001031 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e4 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig0000087e ), + .Q(\blk00000003/blk000001c9/sig00001030 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e3 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig0000087f ), + .Q(\blk00000003/blk000001c9/sig0000102f ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e2 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000880 ), + .Q(\blk00000003/blk000001c9/sig0000102e ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e1 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000881 ), + .Q(\blk00000003/blk000001c9/sig0000102d ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e0 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000882 ), + .Q(\blk00000003/blk000001c9/sig0000102c ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001df ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000883 ), + .Q(\blk00000003/blk000001c9/sig0000102b ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001df_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001de ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000884 ), + .Q(\blk00000003/blk000001c9/sig0000102a ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001de_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001dd ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000885 ), + .Q(\blk00000003/blk000001c9/sig00001029 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001dd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001dc ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000886 ), + .Q(\blk00000003/blk000001c9/sig00001028 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001dc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001db ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000887 ), + .Q(\blk00000003/blk000001c9/sig00001027 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001db_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001da ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001036 ), + .Q(\blk00000003/sig000008c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001035 ), + .Q(\blk00000003/sig000008c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001034 ), + .Q(\blk00000003/sig000008ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001033 ), + .Q(\blk00000003/sig000008cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001032 ), + .Q(\blk00000003/sig000008cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001031 ), + .Q(\blk00000003/sig000008cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001030 ), + .Q(\blk00000003/sig000008ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig0000102f ), + .Q(\blk00000003/sig000008cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig0000102e ), + .Q(\blk00000003/sig000008d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig0000102d ), + .Q(\blk00000003/sig000008d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig0000102c ), + .Q(\blk00000003/sig000008d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig0000102b ), + .Q(\blk00000003/sig000008d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig0000102a ), + .Q(\blk00000003/sig000008d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001029 ), + .Q(\blk00000003/sig000008d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001028 ), + .Q(\blk00000003/sig000008d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001027 ), + .Q(\blk00000003/sig000008d7 ) + ); + GND \blk00000003/blk000001c9/blk000001ca ( + .G(\blk00000003/blk000001c9/sig00001026 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000001ec/blk0000020e ( + .I0(\blk00000003/sig000009f7 ), + .I1(ce), + .O(\blk00000003/blk000001ec/sig0000106d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000020d ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a37 ), + .Q(\blk00000003/blk000001ec/sig0000106c ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000020d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000020c ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a38 ), + .Q(\blk00000003/blk000001ec/sig0000106b ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000020c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000020b ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a39 ), + .Q(\blk00000003/blk000001ec/sig0000106a ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000020b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000020a ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a3a ), + .Q(\blk00000003/blk000001ec/sig00001069 ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000020a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000209 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a3b ), + .Q(\blk00000003/blk000001ec/sig00001068 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000209_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000208 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a3c ), + .Q(\blk00000003/blk000001ec/sig00001067 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000208_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000207 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a3d ), + .Q(\blk00000003/blk000001ec/sig00001066 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000207_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000206 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a3e ), + .Q(\blk00000003/blk000001ec/sig00001065 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000206_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000205 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a3f ), + .Q(\blk00000003/blk000001ec/sig00001064 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000205_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000204 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a40 ), + .Q(\blk00000003/blk000001ec/sig00001063 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000204_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000203 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a41 ), + .Q(\blk00000003/blk000001ec/sig00001062 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000203_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000202 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a42 ), + .Q(\blk00000003/blk000001ec/sig00001061 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000202_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000201 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a43 ), + .Q(\blk00000003/blk000001ec/sig00001060 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000201_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000200 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a44 ), + .Q(\blk00000003/blk000001ec/sig0000105f ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000200_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk000001ff ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a45 ), + .Q(\blk00000003/blk000001ec/sig0000105e ), + .Q15(\NLW_blk00000003/blk000001ec/blk000001ff_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk000001fe ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a46 ), + .Q(\blk00000003/blk000001ec/sig0000105d ), + .Q15(\NLW_blk00000003/blk000001ec/blk000001fe_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig0000106c ), + .Q(\blk00000003/sig000008b8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig0000106b ), + .Q(\blk00000003/sig000008b9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig0000106a ), + .Q(\blk00000003/sig000008ba ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001069 ), + .Q(\blk00000003/sig000008bb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001068 ), + .Q(\blk00000003/sig000008bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001067 ), + .Q(\blk00000003/sig000008bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001066 ), + .Q(\blk00000003/sig000008be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001065 ), + .Q(\blk00000003/sig000008bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001064 ), + .Q(\blk00000003/sig000008c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001063 ), + .Q(\blk00000003/sig000008c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001062 ), + .Q(\blk00000003/sig000008c2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001061 ), + .Q(\blk00000003/sig000008c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001060 ), + .Q(\blk00000003/sig000008c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig0000105f ), + .Q(\blk00000003/sig000008c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig0000105e ), + .Q(\blk00000003/sig000008c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig0000105d ), + .Q(\blk00000003/sig000008c7 ) + ); + GND \blk00000003/blk000001ec/blk000001ed ( + .G(\blk00000003/blk000001ec/sig0000105c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000020f/blk00000231 ( + .I0(\blk00000003/sig000009f9 ), + .I1(ce), + .O(\blk00000003/blk0000020f/sig000010a3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000230 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000988 ), + .Q(\blk00000003/blk0000020f/sig000010a2 ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000230_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk0000022f ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000989 ), + .Q(\blk00000003/blk0000020f/sig000010a1 ), + .Q15(\NLW_blk00000003/blk0000020f/blk0000022f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk0000022e ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig0000098a ), + .Q(\blk00000003/blk0000020f/sig000010a0 ), + .Q15(\NLW_blk00000003/blk0000020f/blk0000022e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk0000022d ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig0000098b ), + .Q(\blk00000003/blk0000020f/sig0000109f ), + .Q15(\NLW_blk00000003/blk0000020f/blk0000022d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk0000022c ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig0000098c ), + .Q(\blk00000003/blk0000020f/sig0000109e ), + .Q15(\NLW_blk00000003/blk0000020f/blk0000022c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk0000022b ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig0000098d ), + .Q(\blk00000003/blk0000020f/sig0000109d ), + .Q15(\NLW_blk00000003/blk0000020f/blk0000022b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk0000022a ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig0000098e ), + .Q(\blk00000003/blk0000020f/sig0000109c ), + .Q15(\NLW_blk00000003/blk0000020f/blk0000022a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000229 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig0000098f ), + .Q(\blk00000003/blk0000020f/sig0000109b ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000229_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000228 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000990 ), + .Q(\blk00000003/blk0000020f/sig0000109a ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000228_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000227 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000991 ), + .Q(\blk00000003/blk0000020f/sig00001099 ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000227_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000226 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000992 ), + .Q(\blk00000003/blk0000020f/sig00001098 ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000226_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000225 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000993 ), + .Q(\blk00000003/blk0000020f/sig00001097 ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000225_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000224 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000994 ), + .Q(\blk00000003/blk0000020f/sig00001096 ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000224_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000223 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000995 ), + .Q(\blk00000003/blk0000020f/sig00001095 ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000223_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000222 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000996 ), + .Q(\blk00000003/blk0000020f/sig00001094 ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000222_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000221 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000997 ), + .Q(\blk00000003/blk0000020f/sig00001093 ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000221_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000220 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig000010a2 ), + .Q(\blk00000003/sig000009d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk0000021f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig000010a1 ), + .Q(\blk00000003/sig000009d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk0000021e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig000010a0 ), + .Q(\blk00000003/sig000009da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk0000021d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig0000109f ), + .Q(\blk00000003/sig000009db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk0000021c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig0000109e ), + .Q(\blk00000003/sig000009dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk0000021b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig0000109d ), + .Q(\blk00000003/sig000009dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk0000021a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig0000109c ), + .Q(\blk00000003/sig000009de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000219 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig0000109b ), + .Q(\blk00000003/sig000009df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000218 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig0000109a ), + .Q(\blk00000003/sig000009e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000217 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig00001099 ), + .Q(\blk00000003/sig000009e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000216 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig00001098 ), + .Q(\blk00000003/sig000009e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000215 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig00001097 ), + .Q(\blk00000003/sig000009e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000214 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig00001096 ), + .Q(\blk00000003/sig000009e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000213 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig00001095 ), + .Q(\blk00000003/sig000009e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000212 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig00001094 ), + .Q(\blk00000003/sig000009e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000211 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig00001093 ), + .Q(\blk00000003/sig000009e7 ) + ); + GND \blk00000003/blk0000020f/blk00000210 ( + .G(\blk00000003/blk0000020f/sig00001092 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000232/blk00000254 ( + .I0(\blk00000003/sig000009f7 ), + .I1(ce), + .O(\blk00000003/blk00000232/sig000010d9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000253 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a47 ), + .Q(\blk00000003/blk00000232/sig000010d8 ), + .Q15(\NLW_blk00000003/blk00000232/blk00000253_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000252 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a48 ), + .Q(\blk00000003/blk00000232/sig000010d7 ), + .Q15(\NLW_blk00000003/blk00000232/blk00000252_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000251 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a49 ), + .Q(\blk00000003/blk00000232/sig000010d6 ), + .Q15(\NLW_blk00000003/blk00000232/blk00000251_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000250 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a4a ), + .Q(\blk00000003/blk00000232/sig000010d5 ), + .Q15(\NLW_blk00000003/blk00000232/blk00000250_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk0000024f ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a4b ), + .Q(\blk00000003/blk00000232/sig000010d4 ), + .Q15(\NLW_blk00000003/blk00000232/blk0000024f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk0000024e ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a4c ), + .Q(\blk00000003/blk00000232/sig000010d3 ), + .Q15(\NLW_blk00000003/blk00000232/blk0000024e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk0000024d ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a4d ), + .Q(\blk00000003/blk00000232/sig000010d2 ), + .Q15(\NLW_blk00000003/blk00000232/blk0000024d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk0000024c ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a4e ), + .Q(\blk00000003/blk00000232/sig000010d1 ), + .Q15(\NLW_blk00000003/blk00000232/blk0000024c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk0000024b ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a4f ), + .Q(\blk00000003/blk00000232/sig000010d0 ), + .Q15(\NLW_blk00000003/blk00000232/blk0000024b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk0000024a ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a50 ), + .Q(\blk00000003/blk00000232/sig000010cf ), + .Q15(\NLW_blk00000003/blk00000232/blk0000024a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000249 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a51 ), + .Q(\blk00000003/blk00000232/sig000010ce ), + .Q15(\NLW_blk00000003/blk00000232/blk00000249_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000248 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a52 ), + .Q(\blk00000003/blk00000232/sig000010cd ), + .Q15(\NLW_blk00000003/blk00000232/blk00000248_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000247 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a53 ), + .Q(\blk00000003/blk00000232/sig000010cc ), + .Q15(\NLW_blk00000003/blk00000232/blk00000247_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000246 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a54 ), + .Q(\blk00000003/blk00000232/sig000010cb ), + .Q15(\NLW_blk00000003/blk00000232/blk00000246_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000245 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a55 ), + .Q(\blk00000003/blk00000232/sig000010ca ), + .Q15(\NLW_blk00000003/blk00000232/blk00000245_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000244 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a56 ), + .Q(\blk00000003/blk00000232/sig000010c9 ), + .Q15(\NLW_blk00000003/blk00000232/blk00000244_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000243 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010d8 ), + .Q(\blk00000003/sig000009c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000242 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010d7 ), + .Q(\blk00000003/sig000009c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000241 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010d6 ), + .Q(\blk00000003/sig000009ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000240 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010d5 ), + .Q(\blk00000003/sig000009cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk0000023f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010d4 ), + .Q(\blk00000003/sig000009cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk0000023e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010d3 ), + .Q(\blk00000003/sig000009cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk0000023d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010d2 ), + .Q(\blk00000003/sig000009ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk0000023c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010d1 ), + .Q(\blk00000003/sig000009cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk0000023b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010d0 ), + .Q(\blk00000003/sig000009d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk0000023a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010cf ), + .Q(\blk00000003/sig000009d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000239 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010ce ), + .Q(\blk00000003/sig000009d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000238 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010cd ), + .Q(\blk00000003/sig000009d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000237 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010cc ), + .Q(\blk00000003/sig000009d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000236 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010cb ), + .Q(\blk00000003/sig000009d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000235 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010ca ), + .Q(\blk00000003/sig000009d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000234 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010c9 ), + .Q(\blk00000003/sig000009d7 ) + ); + GND \blk00000003/blk00000232/blk00000233 ( + .G(\blk00000003/blk00000232/sig000010c8 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000255/blk00000277 ( + .I0(\blk00000003/sig00000a06 ), + .I1(ce), + .O(\blk00000003/blk00000255/sig0000110f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000276 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000828 ), + .Q(\blk00000003/blk00000255/sig0000110e ), + .Q15(\NLW_blk00000003/blk00000255/blk00000276_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000275 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000829 ), + .Q(\blk00000003/blk00000255/sig0000110d ), + .Q15(\NLW_blk00000003/blk00000255/blk00000275_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000274 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig0000082a ), + .Q(\blk00000003/blk00000255/sig0000110c ), + .Q15(\NLW_blk00000003/blk00000255/blk00000274_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000273 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig0000082b ), + .Q(\blk00000003/blk00000255/sig0000110b ), + .Q15(\NLW_blk00000003/blk00000255/blk00000273_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000272 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig0000082c ), + .Q(\blk00000003/blk00000255/sig0000110a ), + .Q15(\NLW_blk00000003/blk00000255/blk00000272_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000271 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig0000082d ), + .Q(\blk00000003/blk00000255/sig00001109 ), + .Q15(\NLW_blk00000003/blk00000255/blk00000271_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000270 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig0000082e ), + .Q(\blk00000003/blk00000255/sig00001108 ), + .Q15(\NLW_blk00000003/blk00000255/blk00000270_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk0000026f ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig0000082f ), + .Q(\blk00000003/blk00000255/sig00001107 ), + .Q15(\NLW_blk00000003/blk00000255/blk0000026f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk0000026e ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000830 ), + .Q(\blk00000003/blk00000255/sig00001106 ), + .Q15(\NLW_blk00000003/blk00000255/blk0000026e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk0000026d ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000831 ), + .Q(\blk00000003/blk00000255/sig00001105 ), + .Q15(\NLW_blk00000003/blk00000255/blk0000026d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk0000026c ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000832 ), + .Q(\blk00000003/blk00000255/sig00001104 ), + .Q15(\NLW_blk00000003/blk00000255/blk0000026c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk0000026b ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000833 ), + .Q(\blk00000003/blk00000255/sig00001103 ), + .Q15(\NLW_blk00000003/blk00000255/blk0000026b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk0000026a ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000834 ), + .Q(\blk00000003/blk00000255/sig00001102 ), + .Q15(\NLW_blk00000003/blk00000255/blk0000026a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000269 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000835 ), + .Q(\blk00000003/blk00000255/sig00001101 ), + .Q15(\NLW_blk00000003/blk00000255/blk00000269_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000268 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000836 ), + .Q(\blk00000003/blk00000255/sig00001100 ), + .Q15(\NLW_blk00000003/blk00000255/blk00000268_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000267 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000837 ), + .Q(\blk00000003/blk00000255/sig000010ff ), + .Q15(\NLW_blk00000003/blk00000255/blk00000267_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000266 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig0000110e ), + .Q(\blk00000003/sig00000878 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000265 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig0000110d ), + .Q(\blk00000003/sig00000879 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000264 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig0000110c ), + .Q(\blk00000003/sig0000087a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000263 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig0000110b ), + .Q(\blk00000003/sig0000087b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000262 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig0000110a ), + .Q(\blk00000003/sig0000087c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000261 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001109 ), + .Q(\blk00000003/sig0000087d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000260 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001108 ), + .Q(\blk00000003/sig0000087e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk0000025f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001107 ), + .Q(\blk00000003/sig0000087f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk0000025e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001106 ), + .Q(\blk00000003/sig00000880 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk0000025d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001105 ), + .Q(\blk00000003/sig00000881 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk0000025c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001104 ), + .Q(\blk00000003/sig00000882 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk0000025b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001103 ), + .Q(\blk00000003/sig00000883 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk0000025a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001102 ), + .Q(\blk00000003/sig00000884 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000259 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001101 ), + .Q(\blk00000003/sig00000885 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000258 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001100 ), + .Q(\blk00000003/sig00000886 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000257 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig000010ff ), + .Q(\blk00000003/sig00000887 ) + ); + GND \blk00000003/blk00000255/blk00000256 ( + .G(\blk00000003/blk00000255/sig000010fe ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000278/blk0000029a ( + .I0(\blk00000003/sig00000a03 ), + .I1(ce), + .O(\blk00000003/blk00000278/sig00001145 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000299 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a57 ), + .Q(\blk00000003/blk00000278/sig00001144 ), + .Q15(\NLW_blk00000003/blk00000278/blk00000299_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000298 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a58 ), + .Q(\blk00000003/blk00000278/sig00001143 ), + .Q15(\NLW_blk00000003/blk00000278/blk00000298_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000297 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a59 ), + .Q(\blk00000003/blk00000278/sig00001142 ), + .Q15(\NLW_blk00000003/blk00000278/blk00000297_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000296 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a5a ), + .Q(\blk00000003/blk00000278/sig00001141 ), + .Q15(\NLW_blk00000003/blk00000278/blk00000296_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000295 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a5b ), + .Q(\blk00000003/blk00000278/sig00001140 ), + .Q15(\NLW_blk00000003/blk00000278/blk00000295_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000294 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a5c ), + .Q(\blk00000003/blk00000278/sig0000113f ), + .Q15(\NLW_blk00000003/blk00000278/blk00000294_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000293 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a5d ), + .Q(\blk00000003/blk00000278/sig0000113e ), + .Q15(\NLW_blk00000003/blk00000278/blk00000293_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000292 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a5e ), + .Q(\blk00000003/blk00000278/sig0000113d ), + .Q15(\NLW_blk00000003/blk00000278/blk00000292_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000291 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a5f ), + .Q(\blk00000003/blk00000278/sig0000113c ), + .Q15(\NLW_blk00000003/blk00000278/blk00000291_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000290 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a60 ), + .Q(\blk00000003/blk00000278/sig0000113b ), + .Q15(\NLW_blk00000003/blk00000278/blk00000290_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk0000028f ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a61 ), + .Q(\blk00000003/blk00000278/sig0000113a ), + .Q15(\NLW_blk00000003/blk00000278/blk0000028f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk0000028e ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a62 ), + .Q(\blk00000003/blk00000278/sig00001139 ), + .Q15(\NLW_blk00000003/blk00000278/blk0000028e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk0000028d ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a63 ), + .Q(\blk00000003/blk00000278/sig00001138 ), + .Q15(\NLW_blk00000003/blk00000278/blk0000028d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk0000028c ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a64 ), + .Q(\blk00000003/blk00000278/sig00001137 ), + .Q15(\NLW_blk00000003/blk00000278/blk0000028c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk0000028b ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a65 ), + .Q(\blk00000003/blk00000278/sig00001136 ), + .Q15(\NLW_blk00000003/blk00000278/blk0000028b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk0000028a ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a66 ), + .Q(\blk00000003/blk00000278/sig00001135 ), + .Q15(\NLW_blk00000003/blk00000278/blk0000028a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000289 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001144 ), + .Q(\blk00000003/sig00000868 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000288 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001143 ), + .Q(\blk00000003/sig00000869 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000287 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001142 ), + .Q(\blk00000003/sig0000086a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000286 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001141 ), + .Q(\blk00000003/sig0000086b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000285 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001140 ), + .Q(\blk00000003/sig0000086c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000284 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig0000113f ), + .Q(\blk00000003/sig0000086d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000283 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig0000113e ), + .Q(\blk00000003/sig0000086e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000282 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig0000113d ), + .Q(\blk00000003/sig0000086f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000281 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig0000113c ), + .Q(\blk00000003/sig00000870 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000280 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig0000113b ), + .Q(\blk00000003/sig00000871 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk0000027f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig0000113a ), + .Q(\blk00000003/sig00000872 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk0000027e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001139 ), + .Q(\blk00000003/sig00000873 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk0000027d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001138 ), + .Q(\blk00000003/sig00000874 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk0000027c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001137 ), + .Q(\blk00000003/sig00000875 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk0000027b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001136 ), + .Q(\blk00000003/sig00000876 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk0000027a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001135 ), + .Q(\blk00000003/sig00000877 ) + ); + GND \blk00000003/blk00000278/blk00000279 ( + .G(\blk00000003/blk00000278/sig00001134 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000029b/blk000002bd ( + .I0(\blk00000003/sig00000a06 ), + .I1(ce), + .O(\blk00000003/blk0000029b/sig0000117b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002bc ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000938 ), + .Q(\blk00000003/blk0000029b/sig0000117a ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002bc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002bb ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000939 ), + .Q(\blk00000003/blk0000029b/sig00001179 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002bb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002ba ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig0000093a ), + .Q(\blk00000003/blk0000029b/sig00001178 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002ba_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b9 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig0000093b ), + .Q(\blk00000003/blk0000029b/sig00001177 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b8 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig0000093c ), + .Q(\blk00000003/blk0000029b/sig00001176 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b7 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig0000093d ), + .Q(\blk00000003/blk0000029b/sig00001175 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b6 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig0000093e ), + .Q(\blk00000003/blk0000029b/sig00001174 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b5 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig0000093f ), + .Q(\blk00000003/blk0000029b/sig00001173 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b4 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000940 ), + .Q(\blk00000003/blk0000029b/sig00001172 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b3 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000941 ), + .Q(\blk00000003/blk0000029b/sig00001171 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b2 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000942 ), + .Q(\blk00000003/blk0000029b/sig00001170 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b1 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000943 ), + .Q(\blk00000003/blk0000029b/sig0000116f ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b0 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000944 ), + .Q(\blk00000003/blk0000029b/sig0000116e ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002af ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000945 ), + .Q(\blk00000003/blk0000029b/sig0000116d ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002af_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002ae ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000946 ), + .Q(\blk00000003/blk0000029b/sig0000116c ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002ae_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002ad ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000947 ), + .Q(\blk00000003/blk0000029b/sig0000116b ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002ad_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig0000117a ), + .Q(\blk00000003/sig00000988 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001179 ), + .Q(\blk00000003/sig00000989 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001178 ), + .Q(\blk00000003/sig0000098a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001177 ), + .Q(\blk00000003/sig0000098b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001176 ), + .Q(\blk00000003/sig0000098c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001175 ), + .Q(\blk00000003/sig0000098d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001174 ), + .Q(\blk00000003/sig0000098e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001173 ), + .Q(\blk00000003/sig0000098f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001172 ), + .Q(\blk00000003/sig00000990 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001171 ), + .Q(\blk00000003/sig00000991 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001170 ), + .Q(\blk00000003/sig00000992 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig0000116f ), + .Q(\blk00000003/sig00000993 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig0000116e ), + .Q(\blk00000003/sig00000994 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk0000029f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig0000116d ), + .Q(\blk00000003/sig00000995 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk0000029e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig0000116c ), + .Q(\blk00000003/sig00000996 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk0000029d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig0000116b ), + .Q(\blk00000003/sig00000997 ) + ); + GND \blk00000003/blk0000029b/blk0000029c ( + .G(\blk00000003/blk0000029b/sig0000116a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000002be/blk000002e0 ( + .I0(\blk00000003/sig00000a03 ), + .I1(ce), + .O(\blk00000003/blk000002be/sig000011b1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002df ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a67 ), + .Q(\blk00000003/blk000002be/sig000011b0 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002df_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002de ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a68 ), + .Q(\blk00000003/blk000002be/sig000011af ), + .Q15(\NLW_blk00000003/blk000002be/blk000002de_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002dd ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a69 ), + .Q(\blk00000003/blk000002be/sig000011ae ), + .Q15(\NLW_blk00000003/blk000002be/blk000002dd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002dc ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a6a ), + .Q(\blk00000003/blk000002be/sig000011ad ), + .Q15(\NLW_blk00000003/blk000002be/blk000002dc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002db ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a6b ), + .Q(\blk00000003/blk000002be/sig000011ac ), + .Q15(\NLW_blk00000003/blk000002be/blk000002db_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002da ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a6c ), + .Q(\blk00000003/blk000002be/sig000011ab ), + .Q15(\NLW_blk00000003/blk000002be/blk000002da_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d9 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a6d ), + .Q(\blk00000003/blk000002be/sig000011aa ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d8 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a6e ), + .Q(\blk00000003/blk000002be/sig000011a9 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d7 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a6f ), + .Q(\blk00000003/blk000002be/sig000011a8 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d6 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a70 ), + .Q(\blk00000003/blk000002be/sig000011a7 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d5 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a71 ), + .Q(\blk00000003/blk000002be/sig000011a6 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d4 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a72 ), + .Q(\blk00000003/blk000002be/sig000011a5 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d3 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a73 ), + .Q(\blk00000003/blk000002be/sig000011a4 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d2 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a74 ), + .Q(\blk00000003/blk000002be/sig000011a3 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d1 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a75 ), + .Q(\blk00000003/blk000002be/sig000011a2 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d0 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a76 ), + .Q(\blk00000003/blk000002be/sig000011a1 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011b0 ), + .Q(\blk00000003/sig00000978 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011af ), + .Q(\blk00000003/sig00000979 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011ae ), + .Q(\blk00000003/sig0000097a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011ad ), + .Q(\blk00000003/sig0000097b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011ac ), + .Q(\blk00000003/sig0000097c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011ab ), + .Q(\blk00000003/sig0000097d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011aa ), + .Q(\blk00000003/sig0000097e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011a9 ), + .Q(\blk00000003/sig0000097f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011a8 ), + .Q(\blk00000003/sig00000980 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011a7 ), + .Q(\blk00000003/sig00000981 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011a6 ), + .Q(\blk00000003/sig00000982 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011a5 ), + .Q(\blk00000003/sig00000983 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011a4 ), + .Q(\blk00000003/sig00000984 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011a3 ), + .Q(\blk00000003/sig00000985 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011a2 ), + .Q(\blk00000003/sig00000986 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011a1 ), + .Q(\blk00000003/sig00000987 ) + ); + GND \blk00000003/blk000002be/blk000002bf ( + .G(\blk00000003/blk000002be/sig000011a0 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000002e1/blk00000303 ( + .I0(\blk00000003/sig00000a09 ), + .I1(ce), + .O(\blk00000003/blk000002e1/sig000011e7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk00000302 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007d8 ), + .Q(\blk00000003/blk000002e1/sig000011e6 ), + .Q15(\NLW_blk00000003/blk000002e1/blk00000302_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk00000301 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007d9 ), + .Q(\blk00000003/blk000002e1/sig000011e5 ), + .Q15(\NLW_blk00000003/blk000002e1/blk00000301_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk00000300 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007da ), + .Q(\blk00000003/blk000002e1/sig000011e4 ), + .Q15(\NLW_blk00000003/blk000002e1/blk00000300_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002ff ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007db ), + .Q(\blk00000003/blk000002e1/sig000011e3 ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002ff_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002fe ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007dc ), + .Q(\blk00000003/blk000002e1/sig000011e2 ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002fe_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002fd ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007dd ), + .Q(\blk00000003/blk000002e1/sig000011e1 ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002fd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002fc ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007de ), + .Q(\blk00000003/blk000002e1/sig000011e0 ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002fc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002fb ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007df ), + .Q(\blk00000003/blk000002e1/sig000011df ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002fb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002fa ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007e0 ), + .Q(\blk00000003/blk000002e1/sig000011de ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002fa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002f9 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007e1 ), + .Q(\blk00000003/blk000002e1/sig000011dd ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002f9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002f8 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007e2 ), + .Q(\blk00000003/blk000002e1/sig000011dc ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002f8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002f7 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007e3 ), + .Q(\blk00000003/blk000002e1/sig000011db ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002f7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002f6 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007e4 ), + .Q(\blk00000003/blk000002e1/sig000011da ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002f6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002f5 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007e5 ), + .Q(\blk00000003/blk000002e1/sig000011d9 ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002f5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002f4 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007e6 ), + .Q(\blk00000003/blk000002e1/sig000011d8 ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002f4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002f3 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007e7 ), + .Q(\blk00000003/blk000002e1/sig000011d7 ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002f3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011e6 ), + .Q(\blk00000003/sig00000828 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011e5 ), + .Q(\blk00000003/sig00000829 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011e4 ), + .Q(\blk00000003/sig0000082a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011e3 ), + .Q(\blk00000003/sig0000082b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011e2 ), + .Q(\blk00000003/sig0000082c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011e1 ), + .Q(\blk00000003/sig0000082d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011e0 ), + .Q(\blk00000003/sig0000082e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011df ), + .Q(\blk00000003/sig0000082f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011de ), + .Q(\blk00000003/sig00000830 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011dd ), + .Q(\blk00000003/sig00000831 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011dc ), + .Q(\blk00000003/sig00000832 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011db ), + .Q(\blk00000003/sig00000833 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011da ), + .Q(\blk00000003/sig00000834 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011d9 ), + .Q(\blk00000003/sig00000835 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011d8 ), + .Q(\blk00000003/sig00000836 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011d7 ), + .Q(\blk00000003/sig00000837 ) + ); + GND \blk00000003/blk000002e1/blk000002e2 ( + .G(\blk00000003/blk000002e1/sig000011d6 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000304/blk00000326 ( + .I0(\blk00000003/sig00000a0a ), + .I1(ce), + .O(\blk00000003/blk00000304/sig0000121d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000325 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a77 ), + .Q(\blk00000003/blk00000304/sig0000121c ), + .Q15(\NLW_blk00000003/blk00000304/blk00000325_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000324 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a78 ), + .Q(\blk00000003/blk00000304/sig0000121b ), + .Q15(\NLW_blk00000003/blk00000304/blk00000324_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000323 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a79 ), + .Q(\blk00000003/blk00000304/sig0000121a ), + .Q15(\NLW_blk00000003/blk00000304/blk00000323_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000322 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a7a ), + .Q(\blk00000003/blk00000304/sig00001219 ), + .Q15(\NLW_blk00000003/blk00000304/blk00000322_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000321 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a7b ), + .Q(\blk00000003/blk00000304/sig00001218 ), + .Q15(\NLW_blk00000003/blk00000304/blk00000321_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000320 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a7c ), + .Q(\blk00000003/blk00000304/sig00001217 ), + .Q15(\NLW_blk00000003/blk00000304/blk00000320_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk0000031f ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a7d ), + .Q(\blk00000003/blk00000304/sig00001216 ), + .Q15(\NLW_blk00000003/blk00000304/blk0000031f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk0000031e ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a7e ), + .Q(\blk00000003/blk00000304/sig00001215 ), + .Q15(\NLW_blk00000003/blk00000304/blk0000031e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk0000031d ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a7f ), + .Q(\blk00000003/blk00000304/sig00001214 ), + .Q15(\NLW_blk00000003/blk00000304/blk0000031d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk0000031c ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a80 ), + .Q(\blk00000003/blk00000304/sig00001213 ), + .Q15(\NLW_blk00000003/blk00000304/blk0000031c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk0000031b ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a81 ), + .Q(\blk00000003/blk00000304/sig00001212 ), + .Q15(\NLW_blk00000003/blk00000304/blk0000031b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk0000031a ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a82 ), + .Q(\blk00000003/blk00000304/sig00001211 ), + .Q15(\NLW_blk00000003/blk00000304/blk0000031a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000319 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a83 ), + .Q(\blk00000003/blk00000304/sig00001210 ), + .Q15(\NLW_blk00000003/blk00000304/blk00000319_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000318 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a84 ), + .Q(\blk00000003/blk00000304/sig0000120f ), + .Q15(\NLW_blk00000003/blk00000304/blk00000318_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000317 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a85 ), + .Q(\blk00000003/blk00000304/sig0000120e ), + .Q15(\NLW_blk00000003/blk00000304/blk00000317_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000316 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a86 ), + .Q(\blk00000003/blk00000304/sig0000120d ), + .Q15(\NLW_blk00000003/blk00000304/blk00000316_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000315 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig0000121c ), + .Q(\blk00000003/sig00000818 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000314 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig0000121b ), + .Q(\blk00000003/sig00000819 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000313 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig0000121a ), + .Q(\blk00000003/sig0000081a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000312 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001219 ), + .Q(\blk00000003/sig0000081b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000311 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001218 ), + .Q(\blk00000003/sig0000081c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000310 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001217 ), + .Q(\blk00000003/sig0000081d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk0000030f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001216 ), + .Q(\blk00000003/sig0000081e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk0000030e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001215 ), + .Q(\blk00000003/sig0000081f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk0000030d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001214 ), + .Q(\blk00000003/sig00000820 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk0000030c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001213 ), + .Q(\blk00000003/sig00000821 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk0000030b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001212 ), + .Q(\blk00000003/sig00000822 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk0000030a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001211 ), + .Q(\blk00000003/sig00000823 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000309 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001210 ), + .Q(\blk00000003/sig00000824 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000308 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig0000120f ), + .Q(\blk00000003/sig00000825 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000307 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig0000120e ), + .Q(\blk00000003/sig00000826 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000306 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig0000120d ), + .Q(\blk00000003/sig00000827 ) + ); + GND \blk00000003/blk00000304/blk00000305 ( + .G(\blk00000003/blk00000304/sig0000120c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000327/blk00000349 ( + .I0(\blk00000003/sig00000a09 ), + .I1(ce), + .O(\blk00000003/blk00000327/sig00001253 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000348 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008e8 ), + .Q(\blk00000003/blk00000327/sig00001252 ), + .Q15(\NLW_blk00000003/blk00000327/blk00000348_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000347 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008e9 ), + .Q(\blk00000003/blk00000327/sig00001251 ), + .Q15(\NLW_blk00000003/blk00000327/blk00000347_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000346 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008ea ), + .Q(\blk00000003/blk00000327/sig00001250 ), + .Q15(\NLW_blk00000003/blk00000327/blk00000346_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000345 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008eb ), + .Q(\blk00000003/blk00000327/sig0000124f ), + .Q15(\NLW_blk00000003/blk00000327/blk00000345_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000344 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008ec ), + .Q(\blk00000003/blk00000327/sig0000124e ), + .Q15(\NLW_blk00000003/blk00000327/blk00000344_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000343 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008ed ), + .Q(\blk00000003/blk00000327/sig0000124d ), + .Q15(\NLW_blk00000003/blk00000327/blk00000343_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000342 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008ee ), + .Q(\blk00000003/blk00000327/sig0000124c ), + .Q15(\NLW_blk00000003/blk00000327/blk00000342_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000341 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008ef ), + .Q(\blk00000003/blk00000327/sig0000124b ), + .Q15(\NLW_blk00000003/blk00000327/blk00000341_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000340 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008f0 ), + .Q(\blk00000003/blk00000327/sig0000124a ), + .Q15(\NLW_blk00000003/blk00000327/blk00000340_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk0000033f ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008f1 ), + .Q(\blk00000003/blk00000327/sig00001249 ), + .Q15(\NLW_blk00000003/blk00000327/blk0000033f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk0000033e ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008f2 ), + .Q(\blk00000003/blk00000327/sig00001248 ), + .Q15(\NLW_blk00000003/blk00000327/blk0000033e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk0000033d ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008f3 ), + .Q(\blk00000003/blk00000327/sig00001247 ), + .Q15(\NLW_blk00000003/blk00000327/blk0000033d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk0000033c ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008f4 ), + .Q(\blk00000003/blk00000327/sig00001246 ), + .Q15(\NLW_blk00000003/blk00000327/blk0000033c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk0000033b ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008f5 ), + .Q(\blk00000003/blk00000327/sig00001245 ), + .Q15(\NLW_blk00000003/blk00000327/blk0000033b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk0000033a ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008f6 ), + .Q(\blk00000003/blk00000327/sig00001244 ), + .Q15(\NLW_blk00000003/blk00000327/blk0000033a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000339 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008f7 ), + .Q(\blk00000003/blk00000327/sig00001243 ), + .Q15(\NLW_blk00000003/blk00000327/blk00000339_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000338 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001252 ), + .Q(\blk00000003/sig00000938 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000337 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001251 ), + .Q(\blk00000003/sig00000939 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000336 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001250 ), + .Q(\blk00000003/sig0000093a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000335 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig0000124f ), + .Q(\blk00000003/sig0000093b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000334 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig0000124e ), + .Q(\blk00000003/sig0000093c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000333 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig0000124d ), + .Q(\blk00000003/sig0000093d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000332 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig0000124c ), + .Q(\blk00000003/sig0000093e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000331 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig0000124b ), + .Q(\blk00000003/sig0000093f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000330 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig0000124a ), + .Q(\blk00000003/sig00000940 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk0000032f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001249 ), + .Q(\blk00000003/sig00000941 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk0000032e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001248 ), + .Q(\blk00000003/sig00000942 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk0000032d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001247 ), + .Q(\blk00000003/sig00000943 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk0000032c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001246 ), + .Q(\blk00000003/sig00000944 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk0000032b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001245 ), + .Q(\blk00000003/sig00000945 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk0000032a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001244 ), + .Q(\blk00000003/sig00000946 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000329 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001243 ), + .Q(\blk00000003/sig00000947 ) + ); + GND \blk00000003/blk00000327/blk00000328 ( + .G(\blk00000003/blk00000327/sig00001242 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000034a/blk0000036c ( + .I0(\blk00000003/sig00000a0a ), + .I1(ce), + .O(\blk00000003/blk0000034a/sig00001289 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk0000036b ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a87 ), + .Q(\blk00000003/blk0000034a/sig00001288 ), + .Q15(\NLW_blk00000003/blk0000034a/blk0000036b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk0000036a ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a88 ), + .Q(\blk00000003/blk0000034a/sig00001287 ), + .Q15(\NLW_blk00000003/blk0000034a/blk0000036a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000369 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a89 ), + .Q(\blk00000003/blk0000034a/sig00001286 ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000369_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000368 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a8a ), + .Q(\blk00000003/blk0000034a/sig00001285 ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000368_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000367 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a8b ), + .Q(\blk00000003/blk0000034a/sig00001284 ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000367_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000366 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a8c ), + .Q(\blk00000003/blk0000034a/sig00001283 ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000366_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000365 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a8d ), + .Q(\blk00000003/blk0000034a/sig00001282 ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000365_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000364 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a8e ), + .Q(\blk00000003/blk0000034a/sig00001281 ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000364_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000363 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a8f ), + .Q(\blk00000003/blk0000034a/sig00001280 ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000363_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000362 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a90 ), + .Q(\blk00000003/blk0000034a/sig0000127f ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000362_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000361 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a91 ), + .Q(\blk00000003/blk0000034a/sig0000127e ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000361_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000360 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a92 ), + .Q(\blk00000003/blk0000034a/sig0000127d ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000360_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk0000035f ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a93 ), + .Q(\blk00000003/blk0000034a/sig0000127c ), + .Q15(\NLW_blk00000003/blk0000034a/blk0000035f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk0000035e ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a94 ), + .Q(\blk00000003/blk0000034a/sig0000127b ), + .Q15(\NLW_blk00000003/blk0000034a/blk0000035e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk0000035d ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a95 ), + .Q(\blk00000003/blk0000034a/sig0000127a ), + .Q15(\NLW_blk00000003/blk0000034a/blk0000035d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk0000035c ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a96 ), + .Q(\blk00000003/blk0000034a/sig00001279 ), + .Q15(\NLW_blk00000003/blk0000034a/blk0000035c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk0000035b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001288 ), + .Q(\blk00000003/sig00000928 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk0000035a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001287 ), + .Q(\blk00000003/sig00000929 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000359 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001286 ), + .Q(\blk00000003/sig0000092a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000358 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001285 ), + .Q(\blk00000003/sig0000092b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000357 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001284 ), + .Q(\blk00000003/sig0000092c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000356 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001283 ), + .Q(\blk00000003/sig0000092d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000355 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001282 ), + .Q(\blk00000003/sig0000092e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000354 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001281 ), + .Q(\blk00000003/sig0000092f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000353 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001280 ), + .Q(\blk00000003/sig00000930 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000352 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig0000127f ), + .Q(\blk00000003/sig00000931 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000351 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig0000127e ), + .Q(\blk00000003/sig00000932 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000350 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig0000127d ), + .Q(\blk00000003/sig00000933 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk0000034f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig0000127c ), + .Q(\blk00000003/sig00000934 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk0000034e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig0000127b ), + .Q(\blk00000003/sig00000935 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk0000034d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig0000127a ), + .Q(\blk00000003/sig00000936 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk0000034c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001279 ), + .Q(\blk00000003/sig00000937 ) + ); + GND \blk00000003/blk0000034a/blk0000034b ( + .G(\blk00000003/blk0000034a/sig00001278 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000036d/blk0000038f ( + .I0(\blk00000003/sig00000a10 ), + .I1(ce), + .O(\blk00000003/blk0000036d/sig000012bf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk0000038e ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig0000041e ), + .Q(\blk00000003/blk0000036d/sig000012be ), + .Q15(\NLW_blk00000003/blk0000036d/blk0000038e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk0000038d ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig0000041f ), + .Q(\blk00000003/blk0000036d/sig000012bd ), + .Q15(\NLW_blk00000003/blk0000036d/blk0000038d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk0000038c ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000420 ), + .Q(\blk00000003/blk0000036d/sig000012bc ), + .Q15(\NLW_blk00000003/blk0000036d/blk0000038c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk0000038b ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000421 ), + .Q(\blk00000003/blk0000036d/sig000012bb ), + .Q15(\NLW_blk00000003/blk0000036d/blk0000038b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk0000038a ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000422 ), + .Q(\blk00000003/blk0000036d/sig000012ba ), + .Q15(\NLW_blk00000003/blk0000036d/blk0000038a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000389 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000423 ), + .Q(\blk00000003/blk0000036d/sig000012b9 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000389_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000388 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000424 ), + .Q(\blk00000003/blk0000036d/sig000012b8 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000388_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000387 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000425 ), + .Q(\blk00000003/blk0000036d/sig000012b7 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000387_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000386 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000426 ), + .Q(\blk00000003/blk0000036d/sig000012b6 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000386_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000385 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000427 ), + .Q(\blk00000003/blk0000036d/sig000012b5 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000385_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000384 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000428 ), + .Q(\blk00000003/blk0000036d/sig000012b4 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000384_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000383 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000429 ), + .Q(\blk00000003/blk0000036d/sig000012b3 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000383_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000382 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig0000042a ), + .Q(\blk00000003/blk0000036d/sig000012b2 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000382_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000381 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig0000042b ), + .Q(\blk00000003/blk0000036d/sig000012b1 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000381_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000380 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig0000042c ), + .Q(\blk00000003/blk0000036d/sig000012b0 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000380_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk0000037f ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig0000042d ), + .Q(\blk00000003/blk0000036d/sig000012af ), + .Q15(\NLW_blk00000003/blk0000036d/blk0000037f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk0000037e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012be ), + .Q(\blk00000003/sig000007d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk0000037d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012bd ), + .Q(\blk00000003/sig000007d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk0000037c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012bc ), + .Q(\blk00000003/sig000007da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk0000037b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012bb ), + .Q(\blk00000003/sig000007db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk0000037a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012ba ), + .Q(\blk00000003/sig000007dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000379 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b9 ), + .Q(\blk00000003/sig000007dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000378 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b8 ), + .Q(\blk00000003/sig000007de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000377 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b7 ), + .Q(\blk00000003/sig000007df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000376 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b6 ), + .Q(\blk00000003/sig000007e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000375 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b5 ), + .Q(\blk00000003/sig000007e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000374 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b4 ), + .Q(\blk00000003/sig000007e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000373 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b3 ), + .Q(\blk00000003/sig000007e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000372 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b2 ), + .Q(\blk00000003/sig000007e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000371 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b1 ), + .Q(\blk00000003/sig000007e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000370 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b0 ), + .Q(\blk00000003/sig000007e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk0000036f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012af ), + .Q(\blk00000003/sig000007e7 ) + ); + GND \blk00000003/blk0000036d/blk0000036e ( + .G(\blk00000003/blk0000036d/sig000012ae ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000390/blk000003b2 ( + .I0(\blk00000003/sig00000a0f ), + .I1(ce), + .O(\blk00000003/blk00000390/sig000012f5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003b1 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000a97 ), + .Q(\blk00000003/blk00000390/sig000012f4 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003b1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003b0 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000a98 ), + .Q(\blk00000003/blk00000390/sig000012f3 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003b0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003af ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000a99 ), + .Q(\blk00000003/blk00000390/sig000012f2 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003af_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003ae ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000a9a ), + .Q(\blk00000003/blk00000390/sig000012f1 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003ae_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003ad ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000a9b ), + .Q(\blk00000003/blk00000390/sig000012f0 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003ad_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003ac ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000a9c ), + .Q(\blk00000003/blk00000390/sig000012ef ), + .Q15(\NLW_blk00000003/blk00000390/blk000003ac_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003ab ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000a9d ), + .Q(\blk00000003/blk00000390/sig000012ee ), + .Q15(\NLW_blk00000003/blk00000390/blk000003ab_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003aa ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000a9e ), + .Q(\blk00000003/blk00000390/sig000012ed ), + .Q15(\NLW_blk00000003/blk00000390/blk000003aa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003a9 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000a9f ), + .Q(\blk00000003/blk00000390/sig000012ec ), + .Q15(\NLW_blk00000003/blk00000390/blk000003a9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003a8 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000aa0 ), + .Q(\blk00000003/blk00000390/sig000012eb ), + .Q15(\NLW_blk00000003/blk00000390/blk000003a8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003a7 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000aa1 ), + .Q(\blk00000003/blk00000390/sig000012ea ), + .Q15(\NLW_blk00000003/blk00000390/blk000003a7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003a6 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000aa2 ), + .Q(\blk00000003/blk00000390/sig000012e9 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003a6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003a5 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000aa3 ), + .Q(\blk00000003/blk00000390/sig000012e8 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003a5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003a4 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000aa4 ), + .Q(\blk00000003/blk00000390/sig000012e7 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003a4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003a3 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000aa5 ), + .Q(\blk00000003/blk00000390/sig000012e6 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003a3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003a2 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000aa6 ), + .Q(\blk00000003/blk00000390/sig000012e5 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003a2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk000003a1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012f4 ), + .Q(\blk00000003/sig000007c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk000003a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012f3 ), + .Q(\blk00000003/sig000007c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk0000039f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012f2 ), + .Q(\blk00000003/sig000007ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk0000039e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012f1 ), + .Q(\blk00000003/sig000007cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk0000039d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012f0 ), + .Q(\blk00000003/sig000007cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk0000039c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012ef ), + .Q(\blk00000003/sig000007cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk0000039b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012ee ), + .Q(\blk00000003/sig000007ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk0000039a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012ed ), + .Q(\blk00000003/sig000007cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk00000399 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012ec ), + .Q(\blk00000003/sig000007d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk00000398 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012eb ), + .Q(\blk00000003/sig000007d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk00000397 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012ea ), + .Q(\blk00000003/sig000007d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk00000396 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012e9 ), + .Q(\blk00000003/sig000007d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk00000395 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012e8 ), + .Q(\blk00000003/sig000007d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk00000394 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012e7 ), + .Q(\blk00000003/sig000007d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk00000393 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012e6 ), + .Q(\blk00000003/sig000007d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk00000392 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012e5 ), + .Q(\blk00000003/sig000007d7 ) + ); + GND \blk00000003/blk00000390/blk00000391 ( + .G(\blk00000003/blk00000390/sig000012e4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003b3/blk000003d5 ( + .I0(\blk00000003/sig00000a10 ), + .I1(ce), + .O(\blk00000003/blk000003b3/sig0000132b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003d4 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000046e ), + .Q(\blk00000003/blk000003b3/sig0000132a ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003d4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003d3 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000046f ), + .Q(\blk00000003/blk000003b3/sig00001329 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003d3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003d2 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000470 ), + .Q(\blk00000003/blk000003b3/sig00001328 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003d2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003d1 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000471 ), + .Q(\blk00000003/blk000003b3/sig00001327 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003d1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003d0 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000472 ), + .Q(\blk00000003/blk000003b3/sig00001326 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003d0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003cf ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000473 ), + .Q(\blk00000003/blk000003b3/sig00001325 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003cf_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003ce ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000474 ), + .Q(\blk00000003/blk000003b3/sig00001324 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003ce_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003cd ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000475 ), + .Q(\blk00000003/blk000003b3/sig00001323 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003cd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003cc ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000476 ), + .Q(\blk00000003/blk000003b3/sig00001322 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003cc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003cb ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000477 ), + .Q(\blk00000003/blk000003b3/sig00001321 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003cb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003ca ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000478 ), + .Q(\blk00000003/blk000003b3/sig00001320 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003ca_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003c9 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000479 ), + .Q(\blk00000003/blk000003b3/sig0000131f ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003c9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003c8 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000047a ), + .Q(\blk00000003/blk000003b3/sig0000131e ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003c8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003c7 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000047b ), + .Q(\blk00000003/blk000003b3/sig0000131d ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003c7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003c6 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000047c ), + .Q(\blk00000003/blk000003b3/sig0000131c ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003c6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003c5 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000047d ), + .Q(\blk00000003/blk000003b3/sig0000131b ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003c5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig0000132a ), + .Q(\blk00000003/sig000008e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001329 ), + .Q(\blk00000003/sig000008e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001328 ), + .Q(\blk00000003/sig000008ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001327 ), + .Q(\blk00000003/sig000008eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001326 ), + .Q(\blk00000003/sig000008ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001325 ), + .Q(\blk00000003/sig000008ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001324 ), + .Q(\blk00000003/sig000008ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001323 ), + .Q(\blk00000003/sig000008ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001322 ), + .Q(\blk00000003/sig000008f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001321 ), + .Q(\blk00000003/sig000008f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001320 ), + .Q(\blk00000003/sig000008f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig0000131f ), + .Q(\blk00000003/sig000008f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig0000131e ), + .Q(\blk00000003/sig000008f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig0000131d ), + .Q(\blk00000003/sig000008f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig0000131c ), + .Q(\blk00000003/sig000008f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig0000131b ), + .Q(\blk00000003/sig000008f7 ) + ); + GND \blk00000003/blk000003b3/blk000003b4 ( + .G(\blk00000003/blk000003b3/sig0000131a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003d6/blk000003f8 ( + .I0(\blk00000003/sig00000a0f ), + .I1(ce), + .O(\blk00000003/blk000003d6/sig00001361 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003f7 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000aa7 ), + .Q(\blk00000003/blk000003d6/sig00001360 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003f7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003f6 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000aa8 ), + .Q(\blk00000003/blk000003d6/sig0000135f ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003f6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003f5 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000aa9 ), + .Q(\blk00000003/blk000003d6/sig0000135e ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003f5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003f4 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000aaa ), + .Q(\blk00000003/blk000003d6/sig0000135d ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003f4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003f3 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000aab ), + .Q(\blk00000003/blk000003d6/sig0000135c ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003f3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003f2 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000aac ), + .Q(\blk00000003/blk000003d6/sig0000135b ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003f2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003f1 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000aad ), + .Q(\blk00000003/blk000003d6/sig0000135a ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003f1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003f0 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000aae ), + .Q(\blk00000003/blk000003d6/sig00001359 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003f0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003ef ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000aaf ), + .Q(\blk00000003/blk000003d6/sig00001358 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003ef_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003ee ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000ab0 ), + .Q(\blk00000003/blk000003d6/sig00001357 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003ee_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003ed ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000ab1 ), + .Q(\blk00000003/blk000003d6/sig00001356 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003ed_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003ec ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000ab2 ), + .Q(\blk00000003/blk000003d6/sig00001355 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003ec_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003eb ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000ab3 ), + .Q(\blk00000003/blk000003d6/sig00001354 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003eb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003ea ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000ab4 ), + .Q(\blk00000003/blk000003d6/sig00001353 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003ea_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003e9 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000ab5 ), + .Q(\blk00000003/blk000003d6/sig00001352 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003e9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003e8 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000ab6 ), + .Q(\blk00000003/blk000003d6/sig00001351 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003e8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001360 ), + .Q(\blk00000003/sig000008d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig0000135f ), + .Q(\blk00000003/sig000008d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig0000135e ), + .Q(\blk00000003/sig000008da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig0000135d ), + .Q(\blk00000003/sig000008db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig0000135c ), + .Q(\blk00000003/sig000008dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig0000135b ), + .Q(\blk00000003/sig000008dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig0000135a ), + .Q(\blk00000003/sig000008de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003e0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001359 ), + .Q(\blk00000003/sig000008df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003df ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001358 ), + .Q(\blk00000003/sig000008e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003de ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001357 ), + .Q(\blk00000003/sig000008e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003dd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001356 ), + .Q(\blk00000003/sig000008e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001355 ), + .Q(\blk00000003/sig000008e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003db ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001354 ), + .Q(\blk00000003/sig000008e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003da ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001353 ), + .Q(\blk00000003/sig000008e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001352 ), + .Q(\blk00000003/sig000008e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001351 ), + .Q(\blk00000003/sig000008e7 ) + ); + GND \blk00000003/blk000003d6/blk000003d7 ( + .G(\blk00000003/blk000003d6/sig00001350 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003f9/blk0000041b ( + .I0(\blk00000003/sig00000a16 ), + .I1(ce), + .O(\blk00000003/blk000003f9/sig00001397 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk0000041a ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ab7 ), + .Q(\blk00000003/blk000003f9/sig00001396 ), + .Q15(\NLW_blk00000003/blk000003f9/blk0000041a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000419 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ab8 ), + .Q(\blk00000003/blk000003f9/sig00001395 ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000419_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000418 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ab9 ), + .Q(\blk00000003/blk000003f9/sig00001394 ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000418_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000417 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000aba ), + .Q(\blk00000003/blk000003f9/sig00001393 ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000417_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000416 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000abb ), + .Q(\blk00000003/blk000003f9/sig00001392 ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000416_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000415 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000abc ), + .Q(\blk00000003/blk000003f9/sig00001391 ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000415_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000414 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000abd ), + .Q(\blk00000003/blk000003f9/sig00001390 ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000414_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000413 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000abe ), + .Q(\blk00000003/blk000003f9/sig0000138f ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000413_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000412 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000abf ), + .Q(\blk00000003/blk000003f9/sig0000138e ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000412_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000411 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ac0 ), + .Q(\blk00000003/blk000003f9/sig0000138d ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000411_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000410 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ac1 ), + .Q(\blk00000003/blk000003f9/sig0000138c ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000410_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk0000040f ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ac2 ), + .Q(\blk00000003/blk000003f9/sig0000138b ), + .Q15(\NLW_blk00000003/blk000003f9/blk0000040f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk0000040e ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ac3 ), + .Q(\blk00000003/blk000003f9/sig0000138a ), + .Q15(\NLW_blk00000003/blk000003f9/blk0000040e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk0000040d ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ac4 ), + .Q(\blk00000003/blk000003f9/sig00001389 ), + .Q15(\NLW_blk00000003/blk000003f9/blk0000040d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk0000040c ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ac5 ), + .Q(\blk00000003/blk000003f9/sig00001388 ), + .Q15(\NLW_blk00000003/blk000003f9/blk0000040c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk0000040b ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ac6 ), + .Q(\blk00000003/blk000003f9/sig00001387 ), + .Q15(\NLW_blk00000003/blk000003f9/blk0000040b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk0000040a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001396 ), + .Q(\blk00000003/sig0000041e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000409 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001395 ), + .Q(\blk00000003/sig0000041f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000408 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001394 ), + .Q(\blk00000003/sig00000420 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000407 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001393 ), + .Q(\blk00000003/sig00000421 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000406 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001392 ), + .Q(\blk00000003/sig00000422 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000405 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001391 ), + .Q(\blk00000003/sig00000423 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000404 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001390 ), + .Q(\blk00000003/sig00000424 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000403 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig0000138f ), + .Q(\blk00000003/sig00000425 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000402 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig0000138e ), + .Q(\blk00000003/sig00000426 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000401 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig0000138d ), + .Q(\blk00000003/sig00000427 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000400 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig0000138c ), + .Q(\blk00000003/sig00000428 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig0000138b ), + .Q(\blk00000003/sig00000429 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig0000138a ), + .Q(\blk00000003/sig0000042a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001389 ), + .Q(\blk00000003/sig0000042b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001388 ), + .Q(\blk00000003/sig0000042c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001387 ), + .Q(\blk00000003/sig0000042d ) + ); + GND \blk00000003/blk000003f9/blk000003fa ( + .G(\blk00000003/blk000003f9/sig00001386 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000041c/blk0000043e ( + .I0(\blk00000003/sig00000a15 ), + .I1(ce), + .O(\blk00000003/blk0000041c/sig000013cd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk0000043d ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ac7 ), + .Q(\blk00000003/blk0000041c/sig000013cc ), + .Q15(\NLW_blk00000003/blk0000041c/blk0000043d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk0000043c ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ac8 ), + .Q(\blk00000003/blk0000041c/sig000013cb ), + .Q15(\NLW_blk00000003/blk0000041c/blk0000043c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk0000043b ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ac9 ), + .Q(\blk00000003/blk0000041c/sig000013ca ), + .Q15(\NLW_blk00000003/blk0000041c/blk0000043b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk0000043a ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000aca ), + .Q(\blk00000003/blk0000041c/sig000013c9 ), + .Q15(\NLW_blk00000003/blk0000041c/blk0000043a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000439 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000acb ), + .Q(\blk00000003/blk0000041c/sig000013c8 ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000439_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000438 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000acc ), + .Q(\blk00000003/blk0000041c/sig000013c7 ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000438_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000437 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000acd ), + .Q(\blk00000003/blk0000041c/sig000013c6 ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000437_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000436 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ace ), + .Q(\blk00000003/blk0000041c/sig000013c5 ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000436_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000435 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000acf ), + .Q(\blk00000003/blk0000041c/sig000013c4 ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000435_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000434 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ad0 ), + .Q(\blk00000003/blk0000041c/sig000013c3 ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000434_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000433 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ad1 ), + .Q(\blk00000003/blk0000041c/sig000013c2 ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000433_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000432 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ad2 ), + .Q(\blk00000003/blk0000041c/sig000013c1 ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000432_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000431 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ad3 ), + .Q(\blk00000003/blk0000041c/sig000013c0 ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000431_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000430 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ad4 ), + .Q(\blk00000003/blk0000041c/sig000013bf ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000430_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk0000042f ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ad5 ), + .Q(\blk00000003/blk0000041c/sig000013be ), + .Q15(\NLW_blk00000003/blk0000041c/blk0000042f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk0000042e ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ad6 ), + .Q(\blk00000003/blk0000041c/sig000013bd ), + .Q15(\NLW_blk00000003/blk0000041c/blk0000042e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk0000042d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013cc ), + .Q(\blk00000003/sig0000040e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk0000042c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013cb ), + .Q(\blk00000003/sig0000040f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk0000042b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013ca ), + .Q(\blk00000003/sig00000410 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk0000042a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c9 ), + .Q(\blk00000003/sig00000411 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000429 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c8 ), + .Q(\blk00000003/sig00000412 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000428 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c7 ), + .Q(\blk00000003/sig00000413 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000427 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c6 ), + .Q(\blk00000003/sig00000414 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000426 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c5 ), + .Q(\blk00000003/sig00000415 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000425 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c4 ), + .Q(\blk00000003/sig00000416 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000424 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c3 ), + .Q(\blk00000003/sig00000417 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000423 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c2 ), + .Q(\blk00000003/sig00000418 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000422 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c1 ), + .Q(\blk00000003/sig00000419 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000421 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c0 ), + .Q(\blk00000003/sig0000041a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000420 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013bf ), + .Q(\blk00000003/sig0000041b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk0000041f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013be ), + .Q(\blk00000003/sig0000041c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk0000041e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013bd ), + .Q(\blk00000003/sig0000041d ) + ); + GND \blk00000003/blk0000041c/blk0000041d ( + .G(\blk00000003/blk0000041c/sig000013bc ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000043f/blk00000461 ( + .I0(\blk00000003/sig00000a16 ), + .I1(ce), + .O(\blk00000003/blk0000043f/sig00001403 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000460 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ad7 ), + .Q(\blk00000003/blk0000043f/sig00001402 ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000460_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk0000045f ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ad8 ), + .Q(\blk00000003/blk0000043f/sig00001401 ), + .Q15(\NLW_blk00000003/blk0000043f/blk0000045f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk0000045e ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ad9 ), + .Q(\blk00000003/blk0000043f/sig00001400 ), + .Q15(\NLW_blk00000003/blk0000043f/blk0000045e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk0000045d ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ada ), + .Q(\blk00000003/blk0000043f/sig000013ff ), + .Q15(\NLW_blk00000003/blk0000043f/blk0000045d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk0000045c ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000adb ), + .Q(\blk00000003/blk0000043f/sig000013fe ), + .Q15(\NLW_blk00000003/blk0000043f/blk0000045c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk0000045b ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000adc ), + .Q(\blk00000003/blk0000043f/sig000013fd ), + .Q15(\NLW_blk00000003/blk0000043f/blk0000045b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk0000045a ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000add ), + .Q(\blk00000003/blk0000043f/sig000013fc ), + .Q15(\NLW_blk00000003/blk0000043f/blk0000045a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000459 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ade ), + .Q(\blk00000003/blk0000043f/sig000013fb ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000459_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000458 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000adf ), + .Q(\blk00000003/blk0000043f/sig000013fa ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000458_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000457 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ae0 ), + .Q(\blk00000003/blk0000043f/sig000013f9 ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000457_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000456 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ae1 ), + .Q(\blk00000003/blk0000043f/sig000013f8 ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000456_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000455 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ae2 ), + .Q(\blk00000003/blk0000043f/sig000013f7 ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000455_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000454 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ae3 ), + .Q(\blk00000003/blk0000043f/sig000013f6 ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000454_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000453 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ae4 ), + .Q(\blk00000003/blk0000043f/sig000013f5 ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000453_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000452 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ae5 ), + .Q(\blk00000003/blk0000043f/sig000013f4 ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000452_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000451 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ae6 ), + .Q(\blk00000003/blk0000043f/sig000013f3 ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000451_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000450 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig00001402 ), + .Q(\blk00000003/sig0000046e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk0000044f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig00001401 ), + .Q(\blk00000003/sig0000046f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk0000044e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig00001400 ), + .Q(\blk00000003/sig00000470 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk0000044d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013ff ), + .Q(\blk00000003/sig00000471 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk0000044c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013fe ), + .Q(\blk00000003/sig00000472 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk0000044b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013fd ), + .Q(\blk00000003/sig00000473 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk0000044a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013fc ), + .Q(\blk00000003/sig00000474 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000449 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013fb ), + .Q(\blk00000003/sig00000475 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000448 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013fa ), + .Q(\blk00000003/sig00000476 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000447 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013f9 ), + .Q(\blk00000003/sig00000477 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000446 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013f8 ), + .Q(\blk00000003/sig00000478 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000445 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013f7 ), + .Q(\blk00000003/sig00000479 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000444 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013f6 ), + .Q(\blk00000003/sig0000047a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000443 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013f5 ), + .Q(\blk00000003/sig0000047b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000442 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013f4 ), + .Q(\blk00000003/sig0000047c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000441 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013f3 ), + .Q(\blk00000003/sig0000047d ) + ); + GND \blk00000003/blk0000043f/blk00000440 ( + .G(\blk00000003/blk0000043f/sig000013f2 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000462/blk00000484 ( + .I0(\blk00000003/sig00000a15 ), + .I1(ce), + .O(\blk00000003/blk00000462/sig00001439 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000483 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000ae7 ), + .Q(\blk00000003/blk00000462/sig00001438 ), + .Q15(\NLW_blk00000003/blk00000462/blk00000483_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000482 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000ae8 ), + .Q(\blk00000003/blk00000462/sig00001437 ), + .Q15(\NLW_blk00000003/blk00000462/blk00000482_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000481 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000ae9 ), + .Q(\blk00000003/blk00000462/sig00001436 ), + .Q15(\NLW_blk00000003/blk00000462/blk00000481_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000480 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000aea ), + .Q(\blk00000003/blk00000462/sig00001435 ), + .Q15(\NLW_blk00000003/blk00000462/blk00000480_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk0000047f ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000aeb ), + .Q(\blk00000003/blk00000462/sig00001434 ), + .Q15(\NLW_blk00000003/blk00000462/blk0000047f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk0000047e ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000aec ), + .Q(\blk00000003/blk00000462/sig00001433 ), + .Q15(\NLW_blk00000003/blk00000462/blk0000047e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk0000047d ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000aed ), + .Q(\blk00000003/blk00000462/sig00001432 ), + .Q15(\NLW_blk00000003/blk00000462/blk0000047d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk0000047c ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000aee ), + .Q(\blk00000003/blk00000462/sig00001431 ), + .Q15(\NLW_blk00000003/blk00000462/blk0000047c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk0000047b ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000aef ), + .Q(\blk00000003/blk00000462/sig00001430 ), + .Q15(\NLW_blk00000003/blk00000462/blk0000047b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk0000047a ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000af0 ), + .Q(\blk00000003/blk00000462/sig0000142f ), + .Q15(\NLW_blk00000003/blk00000462/blk0000047a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000479 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000af1 ), + .Q(\blk00000003/blk00000462/sig0000142e ), + .Q15(\NLW_blk00000003/blk00000462/blk00000479_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000478 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000af2 ), + .Q(\blk00000003/blk00000462/sig0000142d ), + .Q15(\NLW_blk00000003/blk00000462/blk00000478_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000477 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000af3 ), + .Q(\blk00000003/blk00000462/sig0000142c ), + .Q15(\NLW_blk00000003/blk00000462/blk00000477_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000476 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000af4 ), + .Q(\blk00000003/blk00000462/sig0000142b ), + .Q15(\NLW_blk00000003/blk00000462/blk00000476_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000475 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000af5 ), + .Q(\blk00000003/blk00000462/sig0000142a ), + .Q15(\NLW_blk00000003/blk00000462/blk00000475_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000474 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000af6 ), + .Q(\blk00000003/blk00000462/sig00001429 ), + .Q15(\NLW_blk00000003/blk00000462/blk00000474_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000473 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001438 ), + .Q(\blk00000003/sig0000045e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000472 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001437 ), + .Q(\blk00000003/sig0000045f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000471 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001436 ), + .Q(\blk00000003/sig00000460 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000470 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001435 ), + .Q(\blk00000003/sig00000461 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk0000046f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001434 ), + .Q(\blk00000003/sig00000462 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk0000046e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001433 ), + .Q(\blk00000003/sig00000463 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk0000046d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001432 ), + .Q(\blk00000003/sig00000464 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk0000046c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001431 ), + .Q(\blk00000003/sig00000465 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk0000046b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001430 ), + .Q(\blk00000003/sig00000466 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk0000046a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig0000142f ), + .Q(\blk00000003/sig00000467 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000469 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig0000142e ), + .Q(\blk00000003/sig00000468 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000468 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig0000142d ), + .Q(\blk00000003/sig00000469 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000467 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig0000142c ), + .Q(\blk00000003/sig0000046a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000466 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig0000142b ), + .Q(\blk00000003/sig0000046b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000465 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig0000142a ), + .Q(\blk00000003/sig0000046c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000464 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001429 ), + .Q(\blk00000003/sig0000046d ) + ); + GND \blk00000003/blk00000462/blk00000463 ( + .G(\blk00000003/blk00000462/sig00001428 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000485/blk000004bd ( + .I0(\blk00000003/sig0000030c ), + .I1(ce), + .O(\blk00000003/blk00000485/sig0000149c ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004bc ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000af7 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001489 ), + .DPO(\blk00000003/blk00000485/sig0000149b ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004bb ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000af8 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001488 ), + .DPO(\blk00000003/blk00000485/sig0000149a ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004ba ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000af9 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001487 ), + .DPO(\blk00000003/blk00000485/sig00001499 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004b9 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000afa ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001486 ), + .DPO(\blk00000003/blk00000485/sig00001498 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004b8 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000afb ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001485 ), + .DPO(\blk00000003/blk00000485/sig00001497 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004b7 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000afc ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001484 ), + .DPO(\blk00000003/blk00000485/sig00001496 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004b6 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000afe ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001482 ), + .DPO(\blk00000003/blk00000485/sig00001494 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004b5 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000aff ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001481 ), + .DPO(\blk00000003/blk00000485/sig00001493 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004b4 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000afd ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001483 ), + .DPO(\blk00000003/blk00000485/sig00001495 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004b3 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000b00 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001480 ), + .DPO(\blk00000003/blk00000485/sig00001492 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk00000485/blk000004b2 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000b01 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig0000147f ), + .DPO(\blk00000003/blk00000485/sig00001491 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk00000485/blk000004b1 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000b02 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig0000147e ), + .DPO(\blk00000003/blk00000485/sig00001490 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000485/blk000004b0 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000b03 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig0000147d ), + .DPO(\blk00000003/blk00000485/sig0000148f ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000485/blk000004af ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000b04 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig0000147c ), + .DPO(\blk00000003/blk00000485/sig0000148e ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000485/blk000004ae ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000b05 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig0000147b ), + .DPO(\blk00000003/blk00000485/sig0000148d ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk00000485/blk000004ad ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000b07 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001479 ), + .DPO(\blk00000003/blk00000485/sig0000148b ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000485/blk000004ac ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000b08 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001478 ), + .DPO(\blk00000003/blk00000485/sig0000148a ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000485/blk000004ab ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000b06 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig0000147a ), + .DPO(\blk00000003/blk00000485/sig0000148c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000149b ), + .Q(\blk00000003/sig000003bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000149a ), + .Q(\blk00000003/sig000003bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001499 ), + .Q(\blk00000003/sig000003be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001498 ), + .Q(\blk00000003/sig000003bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001497 ), + .Q(\blk00000003/sig000003c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001496 ), + .Q(\blk00000003/sig000003c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001495 ), + .Q(\blk00000003/sig000003c2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001494 ), + .Q(\blk00000003/sig000003c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001493 ), + .Q(\blk00000003/sig000003c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001492 ), + .Q(\blk00000003/sig000003c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001491 ), + .Q(\blk00000003/sig000003c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000049f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001490 ), + .Q(\blk00000003/sig000003c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000049e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000148f ), + .Q(\blk00000003/sig000003c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000049d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000148e ), + .Q(\blk00000003/sig000003c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000049c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000148d ), + .Q(\blk00000003/sig000003ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000049b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000148c ), + .Q(\blk00000003/sig000003cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000049a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000148b ), + .Q(\blk00000003/sig000003cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000499 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000148a ), + .Q(\blk00000003/sig000003cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000498 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001489 ), + .Q(\blk00000003/sig00000b09 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000497 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001488 ), + .Q(\blk00000003/sig00000b0a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000496 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001487 ), + .Q(\blk00000003/sig00000b0b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000495 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001486 ), + .Q(\blk00000003/sig00000b0c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000494 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001485 ), + .Q(\blk00000003/sig00000b0d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000493 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001484 ), + .Q(\blk00000003/sig00000b0e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000492 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001483 ), + .Q(\blk00000003/sig00000b0f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000491 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001482 ), + .Q(\blk00000003/sig00000b10 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000490 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001481 ), + .Q(\blk00000003/sig00000b11 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000048f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001480 ), + .Q(\blk00000003/sig00000b12 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000048e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000147f ), + .Q(\blk00000003/sig00000b13 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000048d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000147e ), + .Q(\blk00000003/sig00000b14 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000048c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000147d ), + .Q(\blk00000003/sig00000b15 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000048b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000147c ), + .Q(\blk00000003/sig00000b16 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000048a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000147b ), + .Q(\blk00000003/sig00000b17 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000489 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000147a ), + .Q(\blk00000003/sig00000b18 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000488 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001479 ), + .Q(\blk00000003/sig00000b19 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000487 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001478 ), + .Q(\blk00000003/sig00000b1a ) + ); + GND \blk00000003/blk00000485/blk00000486 ( + .G(\blk00000003/blk00000485/sig00001477 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000004be/blk000004f6 ( + .I0(\blk00000003/sig000009f2 ), + .I1(ce), + .O(\blk00000003/blk000004be/sig000014ff ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004be/blk000004f5 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b09 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014ec ), + .DPO(\blk00000003/blk000004be/sig000014fe ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004be/blk000004f4 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b0a ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014eb ), + .DPO(\blk00000003/blk000004be/sig000014fd ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004be/blk000004f3 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b0b ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014ea ), + .DPO(\blk00000003/blk000004be/sig000014fc ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004be/blk000004f2 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b0c ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e9 ), + .DPO(\blk00000003/blk000004be/sig000014fb ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004be/blk000004f1 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b0d ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e8 ), + .DPO(\blk00000003/blk000004be/sig000014fa ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004be/blk000004f0 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b0e ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e7 ), + .DPO(\blk00000003/blk000004be/sig000014f9 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004be/blk000004ef ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b10 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e5 ), + .DPO(\blk00000003/blk000004be/sig000014f7 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk000004be/blk000004ee ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b11 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e4 ), + .DPO(\blk00000003/blk000004be/sig000014f6 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004be/blk000004ed ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b0f ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e6 ), + .DPO(\blk00000003/blk000004be/sig000014f8 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000004be/blk000004ec ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b12 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e3 ), + .DPO(\blk00000003/blk000004be/sig000014f5 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004be/blk000004eb ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b13 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e2 ), + .DPO(\blk00000003/blk000004be/sig000014f4 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk000004be/blk000004ea ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b14 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e1 ), + .DPO(\blk00000003/blk000004be/sig000014f3 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004be/blk000004e9 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b15 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e0 ), + .DPO(\blk00000003/blk000004be/sig000014f2 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000004be/blk000004e8 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b16 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014df ), + .DPO(\blk00000003/blk000004be/sig000014f1 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004be/blk000004e7 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b17 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014de ), + .DPO(\blk00000003/blk000004be/sig000014f0 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000004be/blk000004e6 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b19 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014dc ), + .DPO(\blk00000003/blk000004be/sig000014ee ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000004be/blk000004e5 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b1a ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014db ), + .DPO(\blk00000003/blk000004be/sig000014ed ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000004be/blk000004e4 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b18 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014dd ), + .DPO(\blk00000003/blk000004be/sig000014ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014fe ), + .Q(\blk00000003/sig00000580 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014fd ), + .Q(\blk00000003/sig00000581 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014fc ), + .Q(\blk00000003/sig00000582 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004e0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014fb ), + .Q(\blk00000003/sig00000583 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004df ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014fa ), + .Q(\blk00000003/sig00000584 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004de ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f9 ), + .Q(\blk00000003/sig00000585 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004dd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f8 ), + .Q(\blk00000003/sig00000586 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f7 ), + .Q(\blk00000003/sig00000587 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004db ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f6 ), + .Q(\blk00000003/sig00000588 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004da ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f5 ), + .Q(\blk00000003/sig00000589 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f4 ), + .Q(\blk00000003/sig0000058a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f3 ), + .Q(\blk00000003/sig0000058b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f2 ), + .Q(\blk00000003/sig0000058c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f1 ), + .Q(\blk00000003/sig0000058d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f0 ), + .Q(\blk00000003/sig0000058e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014ef ), + .Q(\blk00000003/sig0000058f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014ee ), + .Q(\blk00000003/sig00000590 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014ed ), + .Q(\blk00000003/sig00000591 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014ec ), + .Q(\blk00000003/sig00000b1b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014eb ), + .Q(\blk00000003/sig00000b1c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014ea ), + .Q(\blk00000003/sig00000b1d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e9 ), + .Q(\blk00000003/sig00000b1e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e8 ), + .Q(\blk00000003/sig00000b1f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e7 ), + .Q(\blk00000003/sig00000b20 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e6 ), + .Q(\blk00000003/sig00000b21 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e5 ), + .Q(\blk00000003/sig00000b22 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e4 ), + .Q(\blk00000003/sig00000b23 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e3 ), + .Q(\blk00000003/sig00000b24 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e2 ), + .Q(\blk00000003/sig00000b25 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e1 ), + .Q(\blk00000003/sig00000b26 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e0 ), + .Q(\blk00000003/sig00000b27 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014df ), + .Q(\blk00000003/sig00000b28 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014de ), + .Q(\blk00000003/sig00000b29 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014dd ), + .Q(\blk00000003/sig00000b2a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014dc ), + .Q(\blk00000003/sig00000b2b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014db ), + .Q(\blk00000003/sig00000b2c ) + ); + GND \blk00000003/blk000004be/blk000004bf ( + .G(\blk00000003/blk000004be/sig000014da ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000004f7/blk0000052f ( + .I0(\blk00000003/sig000009f3 ), + .I1(ce), + .O(\blk00000003/blk000004f7/sig00001562 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004f7/blk0000052e ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b1b ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig0000154f ), + .DPO(\blk00000003/blk000004f7/sig00001561 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004f7/blk0000052d ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b1c ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig0000154e ), + .DPO(\blk00000003/blk000004f7/sig00001560 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004f7/blk0000052c ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b1d ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig0000154d ), + .DPO(\blk00000003/blk000004f7/sig0000155f ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004f7/blk0000052b ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b1e ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig0000154c ), + .DPO(\blk00000003/blk000004f7/sig0000155e ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004f7/blk0000052a ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b1f ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig0000154b ), + .DPO(\blk00000003/blk000004f7/sig0000155d ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004f7/blk00000529 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b20 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig0000154a ), + .DPO(\blk00000003/blk000004f7/sig0000155c ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004f7/blk00000528 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b22 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001548 ), + .DPO(\blk00000003/blk000004f7/sig0000155a ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004f7/blk00000527 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b23 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001547 ), + .DPO(\blk00000003/blk000004f7/sig00001559 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk000004f7/blk00000526 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b21 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001549 ), + .DPO(\blk00000003/blk000004f7/sig0000155b ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000004f7/blk00000525 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b24 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001546 ), + .DPO(\blk00000003/blk000004f7/sig00001558 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000004f7/blk00000524 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b25 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001545 ), + .DPO(\blk00000003/blk000004f7/sig00001557 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004f7/blk00000523 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b26 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001544 ), + .DPO(\blk00000003/blk000004f7/sig00001556 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000004f7/blk00000522 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b27 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001543 ), + .DPO(\blk00000003/blk000004f7/sig00001555 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004f7/blk00000521 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b28 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001542 ), + .DPO(\blk00000003/blk000004f7/sig00001554 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk000004f7/blk00000520 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b29 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001541 ), + .DPO(\blk00000003/blk000004f7/sig00001553 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk000004f7/blk0000051f ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b2b ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig0000153f ), + .DPO(\blk00000003/blk000004f7/sig00001551 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004f7/blk0000051e ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b2c ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig0000153e ), + .DPO(\blk00000003/blk000004f7/sig00001550 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk000004f7/blk0000051d ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b2a ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001540 ), + .DPO(\blk00000003/blk000004f7/sig00001552 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk0000051c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001561 ), + .Q(\blk00000003/sig000005d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk0000051b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001560 ), + .Q(\blk00000003/sig000005d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk0000051a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000155f ), + .Q(\blk00000003/sig000005d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000519 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000155e ), + .Q(\blk00000003/sig000005d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000518 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000155d ), + .Q(\blk00000003/sig000005d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000517 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000155c ), + .Q(\blk00000003/sig000005d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000516 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000155b ), + .Q(\blk00000003/sig000005d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000515 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000155a ), + .Q(\blk00000003/sig000005d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000514 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001559 ), + .Q(\blk00000003/sig000005da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000513 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001558 ), + .Q(\blk00000003/sig000005db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000512 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001557 ), + .Q(\blk00000003/sig000005dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000511 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001556 ), + .Q(\blk00000003/sig000005dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000510 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001555 ), + .Q(\blk00000003/sig000005de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk0000050f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001554 ), + .Q(\blk00000003/sig000005df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk0000050e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001553 ), + .Q(\blk00000003/sig000005e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk0000050d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001552 ), + .Q(\blk00000003/sig000005e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk0000050c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001551 ), + .Q(\blk00000003/sig000005e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk0000050b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001550 ), + .Q(\blk00000003/sig000005e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk0000050a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000154f ), + .Q(\blk00000003/sig00000b2d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000509 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000154e ), + .Q(\blk00000003/sig00000b2e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000508 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000154d ), + .Q(\blk00000003/sig00000b2f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000507 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000154c ), + .Q(\blk00000003/sig00000b30 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000506 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000154b ), + .Q(\blk00000003/sig00000b31 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000505 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000154a ), + .Q(\blk00000003/sig00000b32 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000504 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001549 ), + .Q(\blk00000003/sig00000b33 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000503 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001548 ), + .Q(\blk00000003/sig00000b34 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000502 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001547 ), + .Q(\blk00000003/sig00000b35 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000501 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001546 ), + .Q(\blk00000003/sig00000b36 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000500 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001545 ), + .Q(\blk00000003/sig00000b37 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk000004ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001544 ), + .Q(\blk00000003/sig00000b38 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk000004fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001543 ), + .Q(\blk00000003/sig00000b39 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk000004fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001542 ), + .Q(\blk00000003/sig00000b3a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk000004fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001541 ), + .Q(\blk00000003/sig00000b3b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk000004fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001540 ), + .Q(\blk00000003/sig00000b3c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk000004fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000153f ), + .Q(\blk00000003/sig00000b3d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk000004f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000153e ), + .Q(\blk00000003/sig00000b3e ) + ); + GND \blk00000003/blk000004f7/blk000004f8 ( + .G(\blk00000003/blk000004f7/sig0000153d ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000530/blk00000568 ( + .I0(\blk00000003/sig000009f4 ), + .I1(ce), + .O(\blk00000003/blk00000530/sig000015c5 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000530/blk00000567 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b2d ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015b2 ), + .DPO(\blk00000003/blk00000530/sig000015c4 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000530/blk00000566 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b2e ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015b1 ), + .DPO(\blk00000003/blk00000530/sig000015c3 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000530/blk00000565 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b2f ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015b0 ), + .DPO(\blk00000003/blk00000530/sig000015c2 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000530/blk00000564 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b30 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015af ), + .DPO(\blk00000003/blk00000530/sig000015c1 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000530/blk00000563 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b31 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015ae ), + .DPO(\blk00000003/blk00000530/sig000015c0 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk00000530/blk00000562 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b32 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015ad ), + .DPO(\blk00000003/blk00000530/sig000015bf ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000530/blk00000561 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b34 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015ab ), + .DPO(\blk00000003/blk00000530/sig000015bd ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000530/blk00000560 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b35 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015aa ), + .DPO(\blk00000003/blk00000530/sig000015bc ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000530/blk0000055f ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b33 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015ac ), + .DPO(\blk00000003/blk00000530/sig000015be ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000530/blk0000055e ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b36 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015a9 ), + .DPO(\blk00000003/blk00000530/sig000015bb ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000530/blk0000055d ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b37 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015a8 ), + .DPO(\blk00000003/blk00000530/sig000015ba ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk00000530/blk0000055c ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b38 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015a7 ), + .DPO(\blk00000003/blk00000530/sig000015b9 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000530/blk0000055b ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b39 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015a6 ), + .DPO(\blk00000003/blk00000530/sig000015b8 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000530/blk0000055a ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b3a ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015a5 ), + .DPO(\blk00000003/blk00000530/sig000015b7 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000530/blk00000559 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b3b ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015a4 ), + .DPO(\blk00000003/blk00000530/sig000015b6 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000530/blk00000558 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b3d ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015a2 ), + .DPO(\blk00000003/blk00000530/sig000015b4 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000530/blk00000557 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b3e ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015a1 ), + .DPO(\blk00000003/blk00000530/sig000015b3 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk00000530/blk00000556 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b3c ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015a3 ), + .DPO(\blk00000003/blk00000530/sig000015b5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000555 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015c4 ), + .Q(\blk00000003/sig00000624 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000554 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015c3 ), + .Q(\blk00000003/sig00000625 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000553 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015c2 ), + .Q(\blk00000003/sig00000626 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000552 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015c1 ), + .Q(\blk00000003/sig00000627 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000551 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015c0 ), + .Q(\blk00000003/sig00000628 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000550 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015bf ), + .Q(\blk00000003/sig00000629 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000054f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015be ), + .Q(\blk00000003/sig0000062a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000054e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015bd ), + .Q(\blk00000003/sig0000062b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000054d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015bc ), + .Q(\blk00000003/sig0000062c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000054c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015bb ), + .Q(\blk00000003/sig0000062d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000054b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015ba ), + .Q(\blk00000003/sig0000062e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000054a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b9 ), + .Q(\blk00000003/sig0000062f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000549 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b8 ), + .Q(\blk00000003/sig00000630 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000548 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b7 ), + .Q(\blk00000003/sig00000631 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000547 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b6 ), + .Q(\blk00000003/sig00000632 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000546 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b5 ), + .Q(\blk00000003/sig00000633 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000545 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b4 ), + .Q(\blk00000003/sig00000634 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000544 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b3 ), + .Q(\blk00000003/sig00000635 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000543 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b2 ), + .Q(\blk00000003/sig00000b3f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000542 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b1 ), + .Q(\blk00000003/sig00000b40 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000541 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b0 ), + .Q(\blk00000003/sig00000b41 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000540 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015af ), + .Q(\blk00000003/sig00000b42 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000053f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015ae ), + .Q(\blk00000003/sig00000b43 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000053e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015ad ), + .Q(\blk00000003/sig00000b44 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000053d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015ac ), + .Q(\blk00000003/sig00000b45 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000053c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015ab ), + .Q(\blk00000003/sig00000b46 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000053b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015aa ), + .Q(\blk00000003/sig00000b47 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000053a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015a9 ), + .Q(\blk00000003/sig00000b48 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000539 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015a8 ), + .Q(\blk00000003/sig00000b49 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000538 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015a7 ), + .Q(\blk00000003/sig00000b4a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000537 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015a6 ), + .Q(\blk00000003/sig00000b4b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000536 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015a5 ), + .Q(\blk00000003/sig00000b4c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000535 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015a4 ), + .Q(\blk00000003/sig00000b4d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000534 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015a3 ), + .Q(\blk00000003/sig00000b4e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000533 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015a2 ), + .Q(\blk00000003/sig00000b4f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000532 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015a1 ), + .Q(\blk00000003/sig00000b50 ) + ); + GND \blk00000003/blk00000530/blk00000531 ( + .G(\blk00000003/blk00000530/sig000015a0 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000569/blk000005a1 ( + .I0(\blk00000003/sig000009f5 ), + .I1(ce), + .O(\blk00000003/blk00000569/sig00001628 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000569/blk000005a0 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b3f ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001615 ), + .DPO(\blk00000003/blk00000569/sig00001627 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000569/blk0000059f ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b40 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001614 ), + .DPO(\blk00000003/blk00000569/sig00001626 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000569/blk0000059e ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b41 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001613 ), + .DPO(\blk00000003/blk00000569/sig00001625 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000569/blk0000059d ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b42 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001612 ), + .DPO(\blk00000003/blk00000569/sig00001624 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000569/blk0000059c ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b43 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001611 ), + .DPO(\blk00000003/blk00000569/sig00001623 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk00000569/blk0000059b ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b44 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001610 ), + .DPO(\blk00000003/blk00000569/sig00001622 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000569/blk0000059a ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b46 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig0000160e ), + .DPO(\blk00000003/blk00000569/sig00001620 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000569/blk00000599 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b47 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig0000160d ), + .DPO(\blk00000003/blk00000569/sig0000161f ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk00000569/blk00000598 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b45 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig0000160f ), + .DPO(\blk00000003/blk00000569/sig00001621 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000569/blk00000597 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b48 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig0000160c ), + .DPO(\blk00000003/blk00000569/sig0000161e ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000569/blk00000596 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b49 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig0000160b ), + .DPO(\blk00000003/blk00000569/sig0000161d ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000569/blk00000595 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b4a ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig0000160a ), + .DPO(\blk00000003/blk00000569/sig0000161c ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000569/blk00000594 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b4b ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001609 ), + .DPO(\blk00000003/blk00000569/sig0000161b ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000569/blk00000593 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b4c ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001608 ), + .DPO(\blk00000003/blk00000569/sig0000161a ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk00000569/blk00000592 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b4d ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001607 ), + .DPO(\blk00000003/blk00000569/sig00001619 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000569/blk00000591 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b4f ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001605 ), + .DPO(\blk00000003/blk00000569/sig00001617 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000569/blk00000590 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b50 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001604 ), + .DPO(\blk00000003/blk00000569/sig00001616 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000569/blk0000058f ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b4e ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001606 ), + .DPO(\blk00000003/blk00000569/sig00001618 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000058e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001627 ), + .Q(\blk00000003/sig00000646 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000058d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001626 ), + .Q(\blk00000003/sig00000647 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000058c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001625 ), + .Q(\blk00000003/sig00000648 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000058b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001624 ), + .Q(\blk00000003/sig00000649 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000058a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001623 ), + .Q(\blk00000003/sig0000064a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000589 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001622 ), + .Q(\blk00000003/sig0000064b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000588 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001621 ), + .Q(\blk00000003/sig0000064c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000587 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001620 ), + .Q(\blk00000003/sig0000064d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000586 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000161f ), + .Q(\blk00000003/sig0000064e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000585 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000161e ), + .Q(\blk00000003/sig0000064f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000584 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000161d ), + .Q(\blk00000003/sig00000650 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000583 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000161c ), + .Q(\blk00000003/sig00000651 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000582 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000161b ), + .Q(\blk00000003/sig00000652 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000581 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000161a ), + .Q(\blk00000003/sig00000653 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000580 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001619 ), + .Q(\blk00000003/sig00000654 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000057f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001618 ), + .Q(\blk00000003/sig00000655 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000057e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001617 ), + .Q(\blk00000003/sig00000656 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000057d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001616 ), + .Q(\blk00000003/sig00000657 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000057c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001615 ), + .Q(\blk00000003/sig00000b51 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000057b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001614 ), + .Q(\blk00000003/sig00000b52 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000057a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001613 ), + .Q(\blk00000003/sig00000b53 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000579 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001612 ), + .Q(\blk00000003/sig00000b54 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000578 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001611 ), + .Q(\blk00000003/sig00000b55 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000577 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001610 ), + .Q(\blk00000003/sig00000b56 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000576 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000160f ), + .Q(\blk00000003/sig00000b57 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000575 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000160e ), + .Q(\blk00000003/sig00000b58 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000574 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000160d ), + .Q(\blk00000003/sig00000b59 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000573 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000160c ), + .Q(\blk00000003/sig00000b5a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000572 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000160b ), + .Q(\blk00000003/sig00000b5b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000571 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000160a ), + .Q(\blk00000003/sig00000b5c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000570 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001609 ), + .Q(\blk00000003/sig00000b5d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000056f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001608 ), + .Q(\blk00000003/sig00000b5e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000056e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001607 ), + .Q(\blk00000003/sig00000b5f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000056d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001606 ), + .Q(\blk00000003/sig00000b60 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000056c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001605 ), + .Q(\blk00000003/sig00000b61 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000056b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001604 ), + .Q(\blk00000003/sig00000b62 ) + ); + GND \blk00000003/blk00000569/blk0000056a ( + .G(\blk00000003/blk00000569/sig00001603 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005a2/blk000005c8 ( + .I0(\blk00000003/sig000009f6 ), + .I1(ce), + .O(\blk00000003/blk000005a2/sig00001667 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000005a2/blk000005c7 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b51 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005c7_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001666 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk000005a2/blk000005c6 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b52 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005c6_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001665 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000005a2/blk000005c5 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b53 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005c5_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001664 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000005a2/blk000005c4 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b54 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005c4_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001663 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a2/blk000005c3 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b55 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005c3_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001662 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000005a2/blk000005c2 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b56 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005c2_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001661 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000005a2/blk000005c1 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b58 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005c1_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig0000165f ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a2/blk000005c0 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b59 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005c0_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig0000165e ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000005a2/blk000005bf ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b57 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005bf_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001660 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a2/blk000005be ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b5a ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005be_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig0000165d ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a2/blk000005bd ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b5b ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005bd_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig0000165c ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk000005a2/blk000005bc ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b5c ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005bc_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig0000165b ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a2/blk000005bb ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b5d ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005bb_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig0000165a ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000005a2/blk000005ba ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b5e ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005ba_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001659 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000005a2/blk000005b9 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b5f ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005b9_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001658 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a2/blk000005b8 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b61 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005b8_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001656 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000005a2/blk000005b7 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b62 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005b7_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001655 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a2/blk000005b6 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b60 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005b6_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001657 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001666 ), + .Q(\blk00000003/sig000004ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001665 ), + .Q(\blk00000003/sig000004ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001664 ), + .Q(\blk00000003/sig000004f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001663 ), + .Q(\blk00000003/sig000004f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001662 ), + .Q(\blk00000003/sig000004f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001661 ), + .Q(\blk00000003/sig000004f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005af ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001660 ), + .Q(\blk00000003/sig000004f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig0000165f ), + .Q(\blk00000003/sig000004f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig0000165e ), + .Q(\blk00000003/sig000004f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig0000165d ), + .Q(\blk00000003/sig000004f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig0000165c ), + .Q(\blk00000003/sig000004f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig0000165b ), + .Q(\blk00000003/sig000004f9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig0000165a ), + .Q(\blk00000003/sig000004fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001659 ), + .Q(\blk00000003/sig000004fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001658 ), + .Q(\blk00000003/sig000004fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001657 ), + .Q(\blk00000003/sig000004fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005a5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001656 ), + .Q(\blk00000003/sig000004fe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001655 ), + .Q(\blk00000003/sig000004ff ) + ); + GND \blk00000003/blk000005a2/blk000005a3 ( + .G(\blk00000003/blk000005a2/sig00001654 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005c9/blk000005db ( + .I0(\blk00000003/sig000009fa ), + .I1(ce), + .O(\blk00000003/blk000005c9/sig00001685 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c9/blk000005da ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005c9/sig0000167c ), + .A2(\blk00000003/blk000005c9/sig0000167c ), + .A3(\blk00000003/blk000005c9/sig0000167c ), + .CE(\blk00000003/blk000005c9/sig00001685 ), + .CLK(clk), + .D(\blk00000003/sig0000063e ), + .Q(\blk00000003/blk000005c9/sig00001684 ), + .Q15(\NLW_blk00000003/blk000005c9/blk000005da_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c9/blk000005d9 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005c9/sig0000167c ), + .A2(\blk00000003/blk000005c9/sig0000167c ), + .A3(\blk00000003/blk000005c9/sig0000167c ), + .CE(\blk00000003/blk000005c9/sig00001685 ), + .CLK(clk), + .D(\blk00000003/sig0000063f ), + .Q(\blk00000003/blk000005c9/sig00001683 ), + .Q15(\NLW_blk00000003/blk000005c9/blk000005d9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c9/blk000005d8 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005c9/sig0000167c ), + .A2(\blk00000003/blk000005c9/sig0000167c ), + .A3(\blk00000003/blk000005c9/sig0000167c ), + .CE(\blk00000003/blk000005c9/sig00001685 ), + .CLK(clk), + .D(\blk00000003/sig00000640 ), + .Q(\blk00000003/blk000005c9/sig00001682 ), + .Q15(\NLW_blk00000003/blk000005c9/blk000005d8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c9/blk000005d7 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005c9/sig0000167c ), + .A2(\blk00000003/blk000005c9/sig0000167c ), + .A3(\blk00000003/blk000005c9/sig0000167c ), + .CE(\blk00000003/blk000005c9/sig00001685 ), + .CLK(clk), + .D(\blk00000003/sig00000641 ), + .Q(\blk00000003/blk000005c9/sig00001681 ), + .Q15(\NLW_blk00000003/blk000005c9/blk000005d7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c9/blk000005d6 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005c9/sig0000167c ), + .A2(\blk00000003/blk000005c9/sig0000167c ), + .A3(\blk00000003/blk000005c9/sig0000167c ), + .CE(\blk00000003/blk000005c9/sig00001685 ), + .CLK(clk), + .D(\blk00000003/sig00000642 ), + .Q(\blk00000003/blk000005c9/sig00001680 ), + .Q15(\NLW_blk00000003/blk000005c9/blk000005d6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c9/blk000005d5 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005c9/sig0000167c ), + .A2(\blk00000003/blk000005c9/sig0000167c ), + .A3(\blk00000003/blk000005c9/sig0000167c ), + .CE(\blk00000003/blk000005c9/sig00001685 ), + .CLK(clk), + .D(\blk00000003/sig00000643 ), + .Q(\blk00000003/blk000005c9/sig0000167f ), + .Q15(\NLW_blk00000003/blk000005c9/blk000005d5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c9/blk000005d4 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005c9/sig0000167c ), + .A2(\blk00000003/blk000005c9/sig0000167c ), + .A3(\blk00000003/blk000005c9/sig0000167c ), + .CE(\blk00000003/blk000005c9/sig00001685 ), + .CLK(clk), + .D(\blk00000003/sig00000644 ), + .Q(\blk00000003/blk000005c9/sig0000167e ), + .Q15(\NLW_blk00000003/blk000005c9/blk000005d4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c9/blk000005d3 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005c9/sig0000167c ), + .A2(\blk00000003/blk000005c9/sig0000167c ), + .A3(\blk00000003/blk000005c9/sig0000167c ), + .CE(\blk00000003/blk000005c9/sig00001685 ), + .CLK(clk), + .D(\blk00000003/sig00000645 ), + .Q(\blk00000003/blk000005c9/sig0000167d ), + .Q15(\NLW_blk00000003/blk000005c9/blk000005d3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c9/blk000005d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005c9/sig00001684 ), + .Q(\blk00000003/sig000004e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c9/blk000005d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005c9/sig00001683 ), + .Q(\blk00000003/sig000004e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c9/blk000005d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005c9/sig00001682 ), + .Q(\blk00000003/sig000004e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c9/blk000005cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005c9/sig00001681 ), + .Q(\blk00000003/sig000004e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c9/blk000005ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005c9/sig00001680 ), + .Q(\blk00000003/sig000004ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c9/blk000005cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005c9/sig0000167f ), + .Q(\blk00000003/sig000004eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c9/blk000005cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005c9/sig0000167e ), + .Q(\blk00000003/sig000004ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c9/blk000005cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005c9/sig0000167d ), + .Q(\blk00000003/sig000004ed ) + ); + GND \blk00000003/blk000005c9/blk000005ca ( + .G(\blk00000003/blk000005c9/sig0000167c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005dc/blk000005ee ( + .I0(\blk00000003/sig000009f8 ), + .I1(ce), + .O(\blk00000003/blk000005dc/sig000016a3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005dc/blk000005ed ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000005dc/sig0000169a ), + .A2(\blk00000003/blk000005dc/sig0000169a ), + .A3(\blk00000003/blk000005dc/sig0000169a ), + .CE(\blk00000003/blk000005dc/sig000016a3 ), + .CLK(clk), + .D(\blk00000003/sig00000b63 ), + .Q(\blk00000003/blk000005dc/sig000016a2 ), + .Q15(\NLW_blk00000003/blk000005dc/blk000005ed_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005dc/blk000005ec ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000005dc/sig0000169a ), + .A2(\blk00000003/blk000005dc/sig0000169a ), + .A3(\blk00000003/blk000005dc/sig0000169a ), + .CE(\blk00000003/blk000005dc/sig000016a3 ), + .CLK(clk), + .D(\blk00000003/sig00000b64 ), + .Q(\blk00000003/blk000005dc/sig000016a1 ), + .Q15(\NLW_blk00000003/blk000005dc/blk000005ec_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005dc/blk000005eb ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000005dc/sig0000169a ), + .A2(\blk00000003/blk000005dc/sig0000169a ), + .A3(\blk00000003/blk000005dc/sig0000169a ), + .CE(\blk00000003/blk000005dc/sig000016a3 ), + .CLK(clk), + .D(\blk00000003/sig00000b65 ), + .Q(\blk00000003/blk000005dc/sig000016a0 ), + .Q15(\NLW_blk00000003/blk000005dc/blk000005eb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005dc/blk000005ea ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000005dc/sig0000169a ), + .A2(\blk00000003/blk000005dc/sig0000169a ), + .A3(\blk00000003/blk000005dc/sig0000169a ), + .CE(\blk00000003/blk000005dc/sig000016a3 ), + .CLK(clk), + .D(\blk00000003/sig00000b66 ), + .Q(\blk00000003/blk000005dc/sig0000169f ), + .Q15(\NLW_blk00000003/blk000005dc/blk000005ea_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005dc/blk000005e9 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000005dc/sig0000169a ), + .A2(\blk00000003/blk000005dc/sig0000169a ), + .A3(\blk00000003/blk000005dc/sig0000169a ), + .CE(\blk00000003/blk000005dc/sig000016a3 ), + .CLK(clk), + .D(\blk00000003/sig00000b67 ), + .Q(\blk00000003/blk000005dc/sig0000169e ), + .Q15(\NLW_blk00000003/blk000005dc/blk000005e9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005dc/blk000005e8 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000005dc/sig0000169a ), + .A2(\blk00000003/blk000005dc/sig0000169a ), + .A3(\blk00000003/blk000005dc/sig0000169a ), + .CE(\blk00000003/blk000005dc/sig000016a3 ), + .CLK(clk), + .D(\blk00000003/sig00000b68 ), + .Q(\blk00000003/blk000005dc/sig0000169d ), + .Q15(\NLW_blk00000003/blk000005dc/blk000005e8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005dc/blk000005e7 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000005dc/sig0000169a ), + .A2(\blk00000003/blk000005dc/sig0000169a ), + .A3(\blk00000003/blk000005dc/sig0000169a ), + .CE(\blk00000003/blk000005dc/sig000016a3 ), + .CLK(clk), + .D(\blk00000003/sig00000b69 ), + .Q(\blk00000003/blk000005dc/sig0000169c ), + .Q15(\NLW_blk00000003/blk000005dc/blk000005e7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005dc/blk000005e6 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000005dc/sig0000169a ), + .A2(\blk00000003/blk000005dc/sig0000169a ), + .A3(\blk00000003/blk000005dc/sig0000169a ), + .CE(\blk00000003/blk000005dc/sig000016a3 ), + .CLK(clk), + .D(\blk00000003/sig00000b6a ), + .Q(\blk00000003/blk000005dc/sig0000169b ), + .Q15(\NLW_blk00000003/blk000005dc/blk000005e6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005dc/blk000005e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005dc/sig000016a2 ), + .Q(\blk00000003/sig000004ae ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005dc/blk000005e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005dc/sig000016a1 ), + .Q(\blk00000003/sig000004af ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005dc/blk000005e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005dc/sig000016a0 ), + .Q(\blk00000003/sig000004b0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005dc/blk000005e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005dc/sig0000169f ), + .Q(\blk00000003/sig000004b1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005dc/blk000005e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005dc/sig0000169e ), + .Q(\blk00000003/sig000004b2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005dc/blk000005e0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005dc/sig0000169d ), + .Q(\blk00000003/sig000004b3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005dc/blk000005df ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005dc/sig0000169c ), + .Q(\blk00000003/sig000004b4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005dc/blk000005de ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005dc/sig0000169b ), + .Q(\blk00000003/sig000004b5 ) + ); + GND \blk00000003/blk000005dc/blk000005dd ( + .G(\blk00000003/blk000005dc/sig0000169a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005ef/blk00000601 ( + .I0(\blk00000003/sig000009fa ), + .I1(ce), + .O(\blk00000003/blk000005ef/sig000016c1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ef/blk00000600 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005ef/sig000016b8 ), + .A2(\blk00000003/blk000005ef/sig000016b8 ), + .A3(\blk00000003/blk000005ef/sig000016b8 ), + .CE(\blk00000003/blk000005ef/sig000016c1 ), + .CLK(clk), + .D(\blk00000003/sig00000720 ), + .Q(\blk00000003/blk000005ef/sig000016c0 ), + .Q15(\NLW_blk00000003/blk000005ef/blk00000600_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ef/blk000005ff ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005ef/sig000016b8 ), + .A2(\blk00000003/blk000005ef/sig000016b8 ), + .A3(\blk00000003/blk000005ef/sig000016b8 ), + .CE(\blk00000003/blk000005ef/sig000016c1 ), + .CLK(clk), + .D(\blk00000003/sig00000721 ), + .Q(\blk00000003/blk000005ef/sig000016bf ), + .Q15(\NLW_blk00000003/blk000005ef/blk000005ff_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ef/blk000005fe ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005ef/sig000016b8 ), + .A2(\blk00000003/blk000005ef/sig000016b8 ), + .A3(\blk00000003/blk000005ef/sig000016b8 ), + .CE(\blk00000003/blk000005ef/sig000016c1 ), + .CLK(clk), + .D(\blk00000003/sig00000722 ), + .Q(\blk00000003/blk000005ef/sig000016be ), + .Q15(\NLW_blk00000003/blk000005ef/blk000005fe_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ef/blk000005fd ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005ef/sig000016b8 ), + .A2(\blk00000003/blk000005ef/sig000016b8 ), + .A3(\blk00000003/blk000005ef/sig000016b8 ), + .CE(\blk00000003/blk000005ef/sig000016c1 ), + .CLK(clk), + .D(\blk00000003/sig00000723 ), + .Q(\blk00000003/blk000005ef/sig000016bd ), + .Q15(\NLW_blk00000003/blk000005ef/blk000005fd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ef/blk000005fc ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005ef/sig000016b8 ), + .A2(\blk00000003/blk000005ef/sig000016b8 ), + .A3(\blk00000003/blk000005ef/sig000016b8 ), + .CE(\blk00000003/blk000005ef/sig000016c1 ), + .CLK(clk), + .D(\blk00000003/sig00000724 ), + .Q(\blk00000003/blk000005ef/sig000016bc ), + .Q15(\NLW_blk00000003/blk000005ef/blk000005fc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ef/blk000005fb ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005ef/sig000016b8 ), + .A2(\blk00000003/blk000005ef/sig000016b8 ), + .A3(\blk00000003/blk000005ef/sig000016b8 ), + .CE(\blk00000003/blk000005ef/sig000016c1 ), + .CLK(clk), + .D(\blk00000003/sig00000725 ), + .Q(\blk00000003/blk000005ef/sig000016bb ), + .Q15(\NLW_blk00000003/blk000005ef/blk000005fb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ef/blk000005fa ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005ef/sig000016b8 ), + .A2(\blk00000003/blk000005ef/sig000016b8 ), + .A3(\blk00000003/blk000005ef/sig000016b8 ), + .CE(\blk00000003/blk000005ef/sig000016c1 ), + .CLK(clk), + .D(\blk00000003/sig00000726 ), + .Q(\blk00000003/blk000005ef/sig000016ba ), + .Q15(\NLW_blk00000003/blk000005ef/blk000005fa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ef/blk000005f9 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005ef/sig000016b8 ), + .A2(\blk00000003/blk000005ef/sig000016b8 ), + .A3(\blk00000003/blk000005ef/sig000016b8 ), + .CE(\blk00000003/blk000005ef/sig000016c1 ), + .CLK(clk), + .D(\blk00000003/sig00000727 ), + .Q(\blk00000003/blk000005ef/sig000016b9 ), + .Q15(\NLW_blk00000003/blk000005ef/blk000005f9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ef/blk000005f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005ef/sig000016c0 ), + .Q(\blk00000003/sig00000538 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ef/blk000005f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005ef/sig000016bf ), + .Q(\blk00000003/sig00000539 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ef/blk000005f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005ef/sig000016be ), + .Q(\blk00000003/sig0000053a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ef/blk000005f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005ef/sig000016bd ), + .Q(\blk00000003/sig0000053b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ef/blk000005f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005ef/sig000016bc ), + .Q(\blk00000003/sig0000053c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ef/blk000005f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005ef/sig000016bb ), + .Q(\blk00000003/sig0000053d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ef/blk000005f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005ef/sig000016ba ), + .Q(\blk00000003/sig0000053e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ef/blk000005f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005ef/sig000016b9 ), + .Q(\blk00000003/sig0000053f ) + ); + GND \blk00000003/blk000005ef/blk000005f0 ( + .G(\blk00000003/blk000005ef/sig000016b8 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000602/blk00000614 ( + .I0(\blk00000003/sig000009f8 ), + .I1(ce), + .O(\blk00000003/blk00000602/sig000016df ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000602/blk00000613 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000602/sig000016d6 ), + .A2(\blk00000003/blk00000602/sig000016d6 ), + .A3(\blk00000003/blk00000602/sig000016d6 ), + .CE(\blk00000003/blk00000602/sig000016df ), + .CLK(clk), + .D(\blk00000003/sig00000b6b ), + .Q(\blk00000003/blk00000602/sig000016de ), + .Q15(\NLW_blk00000003/blk00000602/blk00000613_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000602/blk00000612 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000602/sig000016d6 ), + .A2(\blk00000003/blk00000602/sig000016d6 ), + .A3(\blk00000003/blk00000602/sig000016d6 ), + .CE(\blk00000003/blk00000602/sig000016df ), + .CLK(clk), + .D(\blk00000003/sig00000b6c ), + .Q(\blk00000003/blk00000602/sig000016dd ), + .Q15(\NLW_blk00000003/blk00000602/blk00000612_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000602/blk00000611 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000602/sig000016d6 ), + .A2(\blk00000003/blk00000602/sig000016d6 ), + .A3(\blk00000003/blk00000602/sig000016d6 ), + .CE(\blk00000003/blk00000602/sig000016df ), + .CLK(clk), + .D(\blk00000003/sig00000b6d ), + .Q(\blk00000003/blk00000602/sig000016dc ), + .Q15(\NLW_blk00000003/blk00000602/blk00000611_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000602/blk00000610 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000602/sig000016d6 ), + .A2(\blk00000003/blk00000602/sig000016d6 ), + .A3(\blk00000003/blk00000602/sig000016d6 ), + .CE(\blk00000003/blk00000602/sig000016df ), + .CLK(clk), + .D(\blk00000003/sig00000b6e ), + .Q(\blk00000003/blk00000602/sig000016db ), + .Q15(\NLW_blk00000003/blk00000602/blk00000610_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000602/blk0000060f ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000602/sig000016d6 ), + .A2(\blk00000003/blk00000602/sig000016d6 ), + .A3(\blk00000003/blk00000602/sig000016d6 ), + .CE(\blk00000003/blk00000602/sig000016df ), + .CLK(clk), + .D(\blk00000003/sig00000b6f ), + .Q(\blk00000003/blk00000602/sig000016da ), + .Q15(\NLW_blk00000003/blk00000602/blk0000060f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000602/blk0000060e ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000602/sig000016d6 ), + .A2(\blk00000003/blk00000602/sig000016d6 ), + .A3(\blk00000003/blk00000602/sig000016d6 ), + .CE(\blk00000003/blk00000602/sig000016df ), + .CLK(clk), + .D(\blk00000003/sig00000b70 ), + .Q(\blk00000003/blk00000602/sig000016d9 ), + .Q15(\NLW_blk00000003/blk00000602/blk0000060e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000602/blk0000060d ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000602/sig000016d6 ), + .A2(\blk00000003/blk00000602/sig000016d6 ), + .A3(\blk00000003/blk00000602/sig000016d6 ), + .CE(\blk00000003/blk00000602/sig000016df ), + .CLK(clk), + .D(\blk00000003/sig00000b71 ), + .Q(\blk00000003/blk00000602/sig000016d8 ), + .Q15(\NLW_blk00000003/blk00000602/blk0000060d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000602/blk0000060c ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000602/sig000016d6 ), + .A2(\blk00000003/blk00000602/sig000016d6 ), + .A3(\blk00000003/blk00000602/sig000016d6 ), + .CE(\blk00000003/blk00000602/sig000016df ), + .CLK(clk), + .D(\blk00000003/sig00000b72 ), + .Q(\blk00000003/blk00000602/sig000016d7 ), + .Q15(\NLW_blk00000003/blk00000602/blk0000060c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000602/blk0000060b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000602/sig000016de ), + .Q(\blk00000003/sig00000500 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000602/blk0000060a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000602/sig000016dd ), + .Q(\blk00000003/sig00000501 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000602/blk00000609 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000602/sig000016dc ), + .Q(\blk00000003/sig00000502 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000602/blk00000608 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000602/sig000016db ), + .Q(\blk00000003/sig00000503 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000602/blk00000607 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000602/sig000016da ), + .Q(\blk00000003/sig00000504 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000602/blk00000606 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000602/sig000016d9 ), + .Q(\blk00000003/sig00000505 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000602/blk00000605 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000602/sig000016d8 ), + .Q(\blk00000003/sig00000506 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000602/blk00000604 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000602/sig000016d7 ), + .Q(\blk00000003/sig00000507 ) + ); + GND \blk00000003/blk00000602/blk00000603 ( + .G(\blk00000003/blk00000602/sig000016d6 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000615/blk00000627 ( + .I0(\blk00000003/sig000009f9 ), + .I1(ce), + .O(\blk00000003/blk00000615/sig000016fd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000615/blk00000626 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk00000615/sig000016f4 ), + .A2(\blk00000003/blk00000615/sig000016f4 ), + .A3(\blk00000003/blk00000615/sig000016f4 ), + .CE(\blk00000003/blk00000615/sig000016fd ), + .CLK(clk), + .D(\blk00000003/sig000005ec ), + .Q(\blk00000003/blk00000615/sig000016fc ), + .Q15(\NLW_blk00000003/blk00000615/blk00000626_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000615/blk00000625 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk00000615/sig000016f4 ), + .A2(\blk00000003/blk00000615/sig000016f4 ), + .A3(\blk00000003/blk00000615/sig000016f4 ), + .CE(\blk00000003/blk00000615/sig000016fd ), + .CLK(clk), + .D(\blk00000003/sig000005ed ), + .Q(\blk00000003/blk00000615/sig000016fb ), + .Q15(\NLW_blk00000003/blk00000615/blk00000625_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000615/blk00000624 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk00000615/sig000016f4 ), + .A2(\blk00000003/blk00000615/sig000016f4 ), + .A3(\blk00000003/blk00000615/sig000016f4 ), + .CE(\blk00000003/blk00000615/sig000016fd ), + .CLK(clk), + .D(\blk00000003/sig000005ee ), + .Q(\blk00000003/blk00000615/sig000016fa ), + .Q15(\NLW_blk00000003/blk00000615/blk00000624_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000615/blk00000623 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk00000615/sig000016f4 ), + .A2(\blk00000003/blk00000615/sig000016f4 ), + .A3(\blk00000003/blk00000615/sig000016f4 ), + .CE(\blk00000003/blk00000615/sig000016fd ), + .CLK(clk), + .D(\blk00000003/sig000005ef ), + .Q(\blk00000003/blk00000615/sig000016f9 ), + .Q15(\NLW_blk00000003/blk00000615/blk00000623_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000615/blk00000622 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk00000615/sig000016f4 ), + .A2(\blk00000003/blk00000615/sig000016f4 ), + .A3(\blk00000003/blk00000615/sig000016f4 ), + .CE(\blk00000003/blk00000615/sig000016fd ), + .CLK(clk), + .D(\blk00000003/sig000005f0 ), + .Q(\blk00000003/blk00000615/sig000016f8 ), + .Q15(\NLW_blk00000003/blk00000615/blk00000622_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000615/blk00000621 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk00000615/sig000016f4 ), + .A2(\blk00000003/blk00000615/sig000016f4 ), + .A3(\blk00000003/blk00000615/sig000016f4 ), + .CE(\blk00000003/blk00000615/sig000016fd ), + .CLK(clk), + .D(\blk00000003/sig000005f1 ), + .Q(\blk00000003/blk00000615/sig000016f7 ), + .Q15(\NLW_blk00000003/blk00000615/blk00000621_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000615/blk00000620 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk00000615/sig000016f4 ), + .A2(\blk00000003/blk00000615/sig000016f4 ), + .A3(\blk00000003/blk00000615/sig000016f4 ), + .CE(\blk00000003/blk00000615/sig000016fd ), + .CLK(clk), + .D(\blk00000003/sig000005f2 ), + .Q(\blk00000003/blk00000615/sig000016f6 ), + .Q15(\NLW_blk00000003/blk00000615/blk00000620_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000615/blk0000061f ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk00000615/sig000016f4 ), + .A2(\blk00000003/blk00000615/sig000016f4 ), + .A3(\blk00000003/blk00000615/sig000016f4 ), + .CE(\blk00000003/blk00000615/sig000016fd ), + .CLK(clk), + .D(\blk00000003/sig000005f3 ), + .Q(\blk00000003/blk00000615/sig000016f5 ), + .Q15(\NLW_blk00000003/blk00000615/blk0000061f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000615/blk0000061e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000615/sig000016fc ), + .Q(\blk00000003/sig0000063e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000615/blk0000061d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000615/sig000016fb ), + .Q(\blk00000003/sig0000063f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000615/blk0000061c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000615/sig000016fa ), + .Q(\blk00000003/sig00000640 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000615/blk0000061b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000615/sig000016f9 ), + .Q(\blk00000003/sig00000641 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000615/blk0000061a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000615/sig000016f8 ), + .Q(\blk00000003/sig00000642 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000615/blk00000619 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000615/sig000016f7 ), + .Q(\blk00000003/sig00000643 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000615/blk00000618 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000615/sig000016f6 ), + .Q(\blk00000003/sig00000644 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000615/blk00000617 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000615/sig000016f5 ), + .Q(\blk00000003/sig00000645 ) + ); + GND \blk00000003/blk00000615/blk00000616 ( + .G(\blk00000003/blk00000615/sig000016f4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000628/blk0000063a ( + .I0(\blk00000003/sig000009f7 ), + .I1(ce), + .O(\blk00000003/blk00000628/sig0000171b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000628/blk00000639 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000628/sig00001712 ), + .A2(\blk00000003/blk00000628/sig00001712 ), + .A3(\blk00000003/blk00000628/sig00001712 ), + .CE(\blk00000003/blk00000628/sig0000171b ), + .CLK(clk), + .D(\blk00000003/sig00000b73 ), + .Q(\blk00000003/blk00000628/sig0000171a ), + .Q15(\NLW_blk00000003/blk00000628/blk00000639_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000628/blk00000638 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000628/sig00001712 ), + .A2(\blk00000003/blk00000628/sig00001712 ), + .A3(\blk00000003/blk00000628/sig00001712 ), + .CE(\blk00000003/blk00000628/sig0000171b ), + .CLK(clk), + .D(\blk00000003/sig00000b74 ), + .Q(\blk00000003/blk00000628/sig00001719 ), + .Q15(\NLW_blk00000003/blk00000628/blk00000638_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000628/blk00000637 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000628/sig00001712 ), + .A2(\blk00000003/blk00000628/sig00001712 ), + .A3(\blk00000003/blk00000628/sig00001712 ), + .CE(\blk00000003/blk00000628/sig0000171b ), + .CLK(clk), + .D(\blk00000003/sig00000b75 ), + .Q(\blk00000003/blk00000628/sig00001718 ), + .Q15(\NLW_blk00000003/blk00000628/blk00000637_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000628/blk00000636 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000628/sig00001712 ), + .A2(\blk00000003/blk00000628/sig00001712 ), + .A3(\blk00000003/blk00000628/sig00001712 ), + .CE(\blk00000003/blk00000628/sig0000171b ), + .CLK(clk), + .D(\blk00000003/sig00000b76 ), + .Q(\blk00000003/blk00000628/sig00001717 ), + .Q15(\NLW_blk00000003/blk00000628/blk00000636_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000628/blk00000635 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000628/sig00001712 ), + .A2(\blk00000003/blk00000628/sig00001712 ), + .A3(\blk00000003/blk00000628/sig00001712 ), + .CE(\blk00000003/blk00000628/sig0000171b ), + .CLK(clk), + .D(\blk00000003/sig00000b77 ), + .Q(\blk00000003/blk00000628/sig00001716 ), + .Q15(\NLW_blk00000003/blk00000628/blk00000635_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000628/blk00000634 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000628/sig00001712 ), + .A2(\blk00000003/blk00000628/sig00001712 ), + .A3(\blk00000003/blk00000628/sig00001712 ), + .CE(\blk00000003/blk00000628/sig0000171b ), + .CLK(clk), + .D(\blk00000003/sig00000b78 ), + .Q(\blk00000003/blk00000628/sig00001715 ), + .Q15(\NLW_blk00000003/blk00000628/blk00000634_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000628/blk00000633 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000628/sig00001712 ), + .A2(\blk00000003/blk00000628/sig00001712 ), + .A3(\blk00000003/blk00000628/sig00001712 ), + .CE(\blk00000003/blk00000628/sig0000171b ), + .CLK(clk), + .D(\blk00000003/sig00000b79 ), + .Q(\blk00000003/blk00000628/sig00001714 ), + .Q15(\NLW_blk00000003/blk00000628/blk00000633_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000628/blk00000632 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000628/sig00001712 ), + .A2(\blk00000003/blk00000628/sig00001712 ), + .A3(\blk00000003/blk00000628/sig00001712 ), + .CE(\blk00000003/blk00000628/sig0000171b ), + .CLK(clk), + .D(\blk00000003/sig00000b7a ), + .Q(\blk00000003/blk00000628/sig00001713 ), + .Q15(\NLW_blk00000003/blk00000628/blk00000632_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000628/blk00000631 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000628/sig0000171a ), + .Q(\blk00000003/sig00000636 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000628/blk00000630 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000628/sig00001719 ), + .Q(\blk00000003/sig00000637 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000628/blk0000062f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000628/sig00001718 ), + .Q(\blk00000003/sig00000638 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000628/blk0000062e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000628/sig00001717 ), + .Q(\blk00000003/sig00000639 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000628/blk0000062d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000628/sig00001716 ), + .Q(\blk00000003/sig0000063a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000628/blk0000062c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000628/sig00001715 ), + .Q(\blk00000003/sig0000063b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000628/blk0000062b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000628/sig00001714 ), + .Q(\blk00000003/sig0000063c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000628/blk0000062a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000628/sig00001713 ), + .Q(\blk00000003/sig0000063d ) + ); + GND \blk00000003/blk00000628/blk00000629 ( + .G(\blk00000003/blk00000628/sig00001712 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000063b/blk0000064d ( + .I0(\blk00000003/sig000009f9 ), + .I1(ce), + .O(\blk00000003/blk0000063b/sig00001739 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063b/blk0000064c ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000063b/sig00001730 ), + .A2(\blk00000003/blk0000063b/sig00001730 ), + .A3(\blk00000003/blk0000063b/sig00001730 ), + .CE(\blk00000003/blk0000063b/sig00001739 ), + .CLK(clk), + .D(\blk00000003/sig000006e0 ), + .Q(\blk00000003/blk0000063b/sig00001738 ), + .Q15(\NLW_blk00000003/blk0000063b/blk0000064c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063b/blk0000064b ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000063b/sig00001730 ), + .A2(\blk00000003/blk0000063b/sig00001730 ), + .A3(\blk00000003/blk0000063b/sig00001730 ), + .CE(\blk00000003/blk0000063b/sig00001739 ), + .CLK(clk), + .D(\blk00000003/sig000006e1 ), + .Q(\blk00000003/blk0000063b/sig00001737 ), + .Q15(\NLW_blk00000003/blk0000063b/blk0000064b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063b/blk0000064a ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000063b/sig00001730 ), + .A2(\blk00000003/blk0000063b/sig00001730 ), + .A3(\blk00000003/blk0000063b/sig00001730 ), + .CE(\blk00000003/blk0000063b/sig00001739 ), + .CLK(clk), + .D(\blk00000003/sig000006e2 ), + .Q(\blk00000003/blk0000063b/sig00001736 ), + .Q15(\NLW_blk00000003/blk0000063b/blk0000064a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063b/blk00000649 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000063b/sig00001730 ), + .A2(\blk00000003/blk0000063b/sig00001730 ), + .A3(\blk00000003/blk0000063b/sig00001730 ), + .CE(\blk00000003/blk0000063b/sig00001739 ), + .CLK(clk), + .D(\blk00000003/sig000006e3 ), + .Q(\blk00000003/blk0000063b/sig00001735 ), + .Q15(\NLW_blk00000003/blk0000063b/blk00000649_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063b/blk00000648 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000063b/sig00001730 ), + .A2(\blk00000003/blk0000063b/sig00001730 ), + .A3(\blk00000003/blk0000063b/sig00001730 ), + .CE(\blk00000003/blk0000063b/sig00001739 ), + .CLK(clk), + .D(\blk00000003/sig000006e4 ), + .Q(\blk00000003/blk0000063b/sig00001734 ), + .Q15(\NLW_blk00000003/blk0000063b/blk00000648_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063b/blk00000647 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000063b/sig00001730 ), + .A2(\blk00000003/blk0000063b/sig00001730 ), + .A3(\blk00000003/blk0000063b/sig00001730 ), + .CE(\blk00000003/blk0000063b/sig00001739 ), + .CLK(clk), + .D(\blk00000003/sig000006e5 ), + .Q(\blk00000003/blk0000063b/sig00001733 ), + .Q15(\NLW_blk00000003/blk0000063b/blk00000647_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063b/blk00000646 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000063b/sig00001730 ), + .A2(\blk00000003/blk0000063b/sig00001730 ), + .A3(\blk00000003/blk0000063b/sig00001730 ), + .CE(\blk00000003/blk0000063b/sig00001739 ), + .CLK(clk), + .D(\blk00000003/sig000006e6 ), + .Q(\blk00000003/blk0000063b/sig00001732 ), + .Q15(\NLW_blk00000003/blk0000063b/blk00000646_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063b/blk00000645 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000063b/sig00001730 ), + .A2(\blk00000003/blk0000063b/sig00001730 ), + .A3(\blk00000003/blk0000063b/sig00001730 ), + .CE(\blk00000003/blk0000063b/sig00001739 ), + .CLK(clk), + .D(\blk00000003/sig000006e7 ), + .Q(\blk00000003/blk0000063b/sig00001731 ), + .Q15(\NLW_blk00000003/blk0000063b/blk00000645_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b/blk00000644 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000063b/sig00001738 ), + .Q(\blk00000003/sig00000720 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b/blk00000643 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000063b/sig00001737 ), + .Q(\blk00000003/sig00000721 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b/blk00000642 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000063b/sig00001736 ), + .Q(\blk00000003/sig00000722 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b/blk00000641 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000063b/sig00001735 ), + .Q(\blk00000003/sig00000723 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b/blk00000640 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000063b/sig00001734 ), + .Q(\blk00000003/sig00000724 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b/blk0000063f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000063b/sig00001733 ), + .Q(\blk00000003/sig00000725 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b/blk0000063e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000063b/sig00001732 ), + .Q(\blk00000003/sig00000726 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b/blk0000063d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000063b/sig00001731 ), + .Q(\blk00000003/sig00000727 ) + ); + GND \blk00000003/blk0000063b/blk0000063c ( + .G(\blk00000003/blk0000063b/sig00001730 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000064e/blk00000660 ( + .I0(\blk00000003/sig000009f7 ), + .I1(ce), + .O(\blk00000003/blk0000064e/sig00001757 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064e/blk0000065f ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk0000064e/sig0000174e ), + .A2(\blk00000003/blk0000064e/sig0000174e ), + .A3(\blk00000003/blk0000064e/sig0000174e ), + .CE(\blk00000003/blk0000064e/sig00001757 ), + .CLK(clk), + .D(\blk00000003/sig00000b7b ), + .Q(\blk00000003/blk0000064e/sig00001756 ), + .Q15(\NLW_blk00000003/blk0000064e/blk0000065f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064e/blk0000065e ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk0000064e/sig0000174e ), + .A2(\blk00000003/blk0000064e/sig0000174e ), + .A3(\blk00000003/blk0000064e/sig0000174e ), + .CE(\blk00000003/blk0000064e/sig00001757 ), + .CLK(clk), + .D(\blk00000003/sig00000b7c ), + .Q(\blk00000003/blk0000064e/sig00001755 ), + .Q15(\NLW_blk00000003/blk0000064e/blk0000065e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064e/blk0000065d ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk0000064e/sig0000174e ), + .A2(\blk00000003/blk0000064e/sig0000174e ), + .A3(\blk00000003/blk0000064e/sig0000174e ), + .CE(\blk00000003/blk0000064e/sig00001757 ), + .CLK(clk), + .D(\blk00000003/sig00000b7d ), + .Q(\blk00000003/blk0000064e/sig00001754 ), + .Q15(\NLW_blk00000003/blk0000064e/blk0000065d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064e/blk0000065c ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk0000064e/sig0000174e ), + .A2(\blk00000003/blk0000064e/sig0000174e ), + .A3(\blk00000003/blk0000064e/sig0000174e ), + .CE(\blk00000003/blk0000064e/sig00001757 ), + .CLK(clk), + .D(\blk00000003/sig00000b7e ), + .Q(\blk00000003/blk0000064e/sig00001753 ), + .Q15(\NLW_blk00000003/blk0000064e/blk0000065c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064e/blk0000065b ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk0000064e/sig0000174e ), + .A2(\blk00000003/blk0000064e/sig0000174e ), + .A3(\blk00000003/blk0000064e/sig0000174e ), + .CE(\blk00000003/blk0000064e/sig00001757 ), + .CLK(clk), + .D(\blk00000003/sig00000b7f ), + .Q(\blk00000003/blk0000064e/sig00001752 ), + .Q15(\NLW_blk00000003/blk0000064e/blk0000065b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064e/blk0000065a ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk0000064e/sig0000174e ), + .A2(\blk00000003/blk0000064e/sig0000174e ), + .A3(\blk00000003/blk0000064e/sig0000174e ), + .CE(\blk00000003/blk0000064e/sig00001757 ), + .CLK(clk), + .D(\blk00000003/sig00000b80 ), + .Q(\blk00000003/blk0000064e/sig00001751 ), + .Q15(\NLW_blk00000003/blk0000064e/blk0000065a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064e/blk00000659 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk0000064e/sig0000174e ), + .A2(\blk00000003/blk0000064e/sig0000174e ), + .A3(\blk00000003/blk0000064e/sig0000174e ), + .CE(\blk00000003/blk0000064e/sig00001757 ), + .CLK(clk), + .D(\blk00000003/sig00000b81 ), + .Q(\blk00000003/blk0000064e/sig00001750 ), + .Q15(\NLW_blk00000003/blk0000064e/blk00000659_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064e/blk00000658 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk0000064e/sig0000174e ), + .A2(\blk00000003/blk0000064e/sig0000174e ), + .A3(\blk00000003/blk0000064e/sig0000174e ), + .CE(\blk00000003/blk0000064e/sig00001757 ), + .CLK(clk), + .D(\blk00000003/sig00000b82 ), + .Q(\blk00000003/blk0000064e/sig0000174f ), + .Q15(\NLW_blk00000003/blk0000064e/blk00000658_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064e/blk00000657 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000064e/sig00001756 ), + .Q(\blk00000003/sig00000718 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064e/blk00000656 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000064e/sig00001755 ), + .Q(\blk00000003/sig00000719 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064e/blk00000655 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000064e/sig00001754 ), + .Q(\blk00000003/sig0000071a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064e/blk00000654 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000064e/sig00001753 ), + .Q(\blk00000003/sig0000071b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064e/blk00000653 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000064e/sig00001752 ), + .Q(\blk00000003/sig0000071c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064e/blk00000652 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000064e/sig00001751 ), + .Q(\blk00000003/sig0000071d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064e/blk00000651 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000064e/sig00001750 ), + .Q(\blk00000003/sig0000071e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064e/blk00000650 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000064e/sig0000174f ), + .Q(\blk00000003/sig0000071f ) + ); + GND \blk00000003/blk0000064e/blk0000064f ( + .G(\blk00000003/blk0000064e/sig0000174e ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000661/blk00000673 ( + .I0(\blk00000003/sig00000a06 ), + .I1(ce), + .O(\blk00000003/blk00000661/sig00001775 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000661/blk00000672 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000661/sig0000176c ), + .A2(\blk00000003/blk00000661/sig0000176c ), + .A3(\blk00000003/blk00000661/sig0000176c ), + .CE(\blk00000003/blk00000661/sig00001775 ), + .CLK(clk), + .D(\blk00000003/sig0000059a ), + .Q(\blk00000003/blk00000661/sig00001774 ), + .Q15(\NLW_blk00000003/blk00000661/blk00000672_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000661/blk00000671 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000661/sig0000176c ), + .A2(\blk00000003/blk00000661/sig0000176c ), + .A3(\blk00000003/blk00000661/sig0000176c ), + .CE(\blk00000003/blk00000661/sig00001775 ), + .CLK(clk), + .D(\blk00000003/sig0000059b ), + .Q(\blk00000003/blk00000661/sig00001773 ), + .Q15(\NLW_blk00000003/blk00000661/blk00000671_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000661/blk00000670 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000661/sig0000176c ), + .A2(\blk00000003/blk00000661/sig0000176c ), + .A3(\blk00000003/blk00000661/sig0000176c ), + .CE(\blk00000003/blk00000661/sig00001775 ), + .CLK(clk), + .D(\blk00000003/sig0000059c ), + .Q(\blk00000003/blk00000661/sig00001772 ), + .Q15(\NLW_blk00000003/blk00000661/blk00000670_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000661/blk0000066f ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000661/sig0000176c ), + .A2(\blk00000003/blk00000661/sig0000176c ), + .A3(\blk00000003/blk00000661/sig0000176c ), + .CE(\blk00000003/blk00000661/sig00001775 ), + .CLK(clk), + .D(\blk00000003/sig0000059d ), + .Q(\blk00000003/blk00000661/sig00001771 ), + .Q15(\NLW_blk00000003/blk00000661/blk0000066f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000661/blk0000066e ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000661/sig0000176c ), + .A2(\blk00000003/blk00000661/sig0000176c ), + .A3(\blk00000003/blk00000661/sig0000176c ), + .CE(\blk00000003/blk00000661/sig00001775 ), + .CLK(clk), + .D(\blk00000003/sig0000059e ), + .Q(\blk00000003/blk00000661/sig00001770 ), + .Q15(\NLW_blk00000003/blk00000661/blk0000066e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000661/blk0000066d ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000661/sig0000176c ), + .A2(\blk00000003/blk00000661/sig0000176c ), + .A3(\blk00000003/blk00000661/sig0000176c ), + .CE(\blk00000003/blk00000661/sig00001775 ), + .CLK(clk), + .D(\blk00000003/sig0000059f ), + .Q(\blk00000003/blk00000661/sig0000176f ), + .Q15(\NLW_blk00000003/blk00000661/blk0000066d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000661/blk0000066c ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000661/sig0000176c ), + .A2(\blk00000003/blk00000661/sig0000176c ), + .A3(\blk00000003/blk00000661/sig0000176c ), + .CE(\blk00000003/blk00000661/sig00001775 ), + .CLK(clk), + .D(\blk00000003/sig000005a0 ), + .Q(\blk00000003/blk00000661/sig0000176e ), + .Q15(\NLW_blk00000003/blk00000661/blk0000066c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000661/blk0000066b ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000661/sig0000176c ), + .A2(\blk00000003/blk00000661/sig0000176c ), + .A3(\blk00000003/blk00000661/sig0000176c ), + .CE(\blk00000003/blk00000661/sig00001775 ), + .CLK(clk), + .D(\blk00000003/sig000005a1 ), + .Q(\blk00000003/blk00000661/sig0000176d ), + .Q15(\NLW_blk00000003/blk00000661/blk0000066b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000661/blk0000066a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000661/sig00001774 ), + .Q(\blk00000003/sig000005ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000661/blk00000669 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000661/sig00001773 ), + .Q(\blk00000003/sig000005ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000661/blk00000668 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000661/sig00001772 ), + .Q(\blk00000003/sig000005ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000661/blk00000667 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000661/sig00001771 ), + .Q(\blk00000003/sig000005ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000661/blk00000666 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000661/sig00001770 ), + .Q(\blk00000003/sig000005f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000661/blk00000665 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000661/sig0000176f ), + .Q(\blk00000003/sig000005f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000661/blk00000664 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000661/sig0000176e ), + .Q(\blk00000003/sig000005f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000661/blk00000663 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000661/sig0000176d ), + .Q(\blk00000003/sig000005f3 ) + ); + GND \blk00000003/blk00000661/blk00000662 ( + .G(\blk00000003/blk00000661/sig0000176c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000674/blk00000686 ( + .I0(\blk00000003/sig00000a03 ), + .I1(ce), + .O(\blk00000003/blk00000674/sig00001793 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000674/blk00000685 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000674/sig0000178a ), + .A2(\blk00000003/blk00000674/sig0000178a ), + .A3(\blk00000003/blk00000674/sig0000178a ), + .CE(\blk00000003/blk00000674/sig00001793 ), + .CLK(clk), + .D(\blk00000003/sig00000b83 ), + .Q(\blk00000003/blk00000674/sig00001792 ), + .Q15(\NLW_blk00000003/blk00000674/blk00000685_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000674/blk00000684 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000674/sig0000178a ), + .A2(\blk00000003/blk00000674/sig0000178a ), + .A3(\blk00000003/blk00000674/sig0000178a ), + .CE(\blk00000003/blk00000674/sig00001793 ), + .CLK(clk), + .D(\blk00000003/sig00000b84 ), + .Q(\blk00000003/blk00000674/sig00001791 ), + .Q15(\NLW_blk00000003/blk00000674/blk00000684_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000674/blk00000683 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000674/sig0000178a ), + .A2(\blk00000003/blk00000674/sig0000178a ), + .A3(\blk00000003/blk00000674/sig0000178a ), + .CE(\blk00000003/blk00000674/sig00001793 ), + .CLK(clk), + .D(\blk00000003/sig00000b85 ), + .Q(\blk00000003/blk00000674/sig00001790 ), + .Q15(\NLW_blk00000003/blk00000674/blk00000683_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000674/blk00000682 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000674/sig0000178a ), + .A2(\blk00000003/blk00000674/sig0000178a ), + .A3(\blk00000003/blk00000674/sig0000178a ), + .CE(\blk00000003/blk00000674/sig00001793 ), + .CLK(clk), + .D(\blk00000003/sig00000b86 ), + .Q(\blk00000003/blk00000674/sig0000178f ), + .Q15(\NLW_blk00000003/blk00000674/blk00000682_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000674/blk00000681 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000674/sig0000178a ), + .A2(\blk00000003/blk00000674/sig0000178a ), + .A3(\blk00000003/blk00000674/sig0000178a ), + .CE(\blk00000003/blk00000674/sig00001793 ), + .CLK(clk), + .D(\blk00000003/sig00000b87 ), + .Q(\blk00000003/blk00000674/sig0000178e ), + .Q15(\NLW_blk00000003/blk00000674/blk00000681_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000674/blk00000680 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000674/sig0000178a ), + .A2(\blk00000003/blk00000674/sig0000178a ), + .A3(\blk00000003/blk00000674/sig0000178a ), + .CE(\blk00000003/blk00000674/sig00001793 ), + .CLK(clk), + .D(\blk00000003/sig00000b88 ), + .Q(\blk00000003/blk00000674/sig0000178d ), + .Q15(\NLW_blk00000003/blk00000674/blk00000680_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000674/blk0000067f ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000674/sig0000178a ), + .A2(\blk00000003/blk00000674/sig0000178a ), + .A3(\blk00000003/blk00000674/sig0000178a ), + .CE(\blk00000003/blk00000674/sig00001793 ), + .CLK(clk), + .D(\blk00000003/sig00000b89 ), + .Q(\blk00000003/blk00000674/sig0000178c ), + .Q15(\NLW_blk00000003/blk00000674/blk0000067f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000674/blk0000067e ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000674/sig0000178a ), + .A2(\blk00000003/blk00000674/sig0000178a ), + .A3(\blk00000003/blk00000674/sig0000178a ), + .CE(\blk00000003/blk00000674/sig00001793 ), + .CLK(clk), + .D(\blk00000003/sig00000b8a ), + .Q(\blk00000003/blk00000674/sig0000178b ), + .Q15(\NLW_blk00000003/blk00000674/blk0000067e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000674/blk0000067d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000674/sig00001792 ), + .Q(\blk00000003/sig000005e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000674/blk0000067c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000674/sig00001791 ), + .Q(\blk00000003/sig000005e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000674/blk0000067b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000674/sig00001790 ), + .Q(\blk00000003/sig000005e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000674/blk0000067a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000674/sig0000178f ), + .Q(\blk00000003/sig000005e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000674/blk00000679 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000674/sig0000178e ), + .Q(\blk00000003/sig000005e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000674/blk00000678 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000674/sig0000178d ), + .Q(\blk00000003/sig000005e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000674/blk00000677 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000674/sig0000178c ), + .Q(\blk00000003/sig000005ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000674/blk00000676 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000674/sig0000178b ), + .Q(\blk00000003/sig000005eb ) + ); + GND \blk00000003/blk00000674/blk00000675 ( + .G(\blk00000003/blk00000674/sig0000178a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000687/blk00000699 ( + .I0(\blk00000003/sig00000a06 ), + .I1(ce), + .O(\blk00000003/blk00000687/sig000017b1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000687/blk00000698 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000687/sig000017a8 ), + .A2(\blk00000003/blk00000687/sig000017a8 ), + .A3(\blk00000003/blk00000687/sig000017a8 ), + .CE(\blk00000003/blk00000687/sig000017b1 ), + .CLK(clk), + .D(\blk00000003/sig000006a0 ), + .Q(\blk00000003/blk00000687/sig000017b0 ), + .Q15(\NLW_blk00000003/blk00000687/blk00000698_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000687/blk00000697 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000687/sig000017a8 ), + .A2(\blk00000003/blk00000687/sig000017a8 ), + .A3(\blk00000003/blk00000687/sig000017a8 ), + .CE(\blk00000003/blk00000687/sig000017b1 ), + .CLK(clk), + .D(\blk00000003/sig000006a1 ), + .Q(\blk00000003/blk00000687/sig000017af ), + .Q15(\NLW_blk00000003/blk00000687/blk00000697_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000687/blk00000696 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000687/sig000017a8 ), + .A2(\blk00000003/blk00000687/sig000017a8 ), + .A3(\blk00000003/blk00000687/sig000017a8 ), + .CE(\blk00000003/blk00000687/sig000017b1 ), + .CLK(clk), + .D(\blk00000003/sig000006a2 ), + .Q(\blk00000003/blk00000687/sig000017ae ), + .Q15(\NLW_blk00000003/blk00000687/blk00000696_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000687/blk00000695 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000687/sig000017a8 ), + .A2(\blk00000003/blk00000687/sig000017a8 ), + .A3(\blk00000003/blk00000687/sig000017a8 ), + .CE(\blk00000003/blk00000687/sig000017b1 ), + .CLK(clk), + .D(\blk00000003/sig000006a3 ), + .Q(\blk00000003/blk00000687/sig000017ad ), + .Q15(\NLW_blk00000003/blk00000687/blk00000695_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000687/blk00000694 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000687/sig000017a8 ), + .A2(\blk00000003/blk00000687/sig000017a8 ), + .A3(\blk00000003/blk00000687/sig000017a8 ), + .CE(\blk00000003/blk00000687/sig000017b1 ), + .CLK(clk), + .D(\blk00000003/sig000006a4 ), + .Q(\blk00000003/blk00000687/sig000017ac ), + .Q15(\NLW_blk00000003/blk00000687/blk00000694_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000687/blk00000693 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000687/sig000017a8 ), + .A2(\blk00000003/blk00000687/sig000017a8 ), + .A3(\blk00000003/blk00000687/sig000017a8 ), + .CE(\blk00000003/blk00000687/sig000017b1 ), + .CLK(clk), + .D(\blk00000003/sig000006a5 ), + .Q(\blk00000003/blk00000687/sig000017ab ), + .Q15(\NLW_blk00000003/blk00000687/blk00000693_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000687/blk00000692 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000687/sig000017a8 ), + .A2(\blk00000003/blk00000687/sig000017a8 ), + .A3(\blk00000003/blk00000687/sig000017a8 ), + .CE(\blk00000003/blk00000687/sig000017b1 ), + .CLK(clk), + .D(\blk00000003/sig000006a6 ), + .Q(\blk00000003/blk00000687/sig000017aa ), + .Q15(\NLW_blk00000003/blk00000687/blk00000692_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000687/blk00000691 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000687/sig000017a8 ), + .A2(\blk00000003/blk00000687/sig000017a8 ), + .A3(\blk00000003/blk00000687/sig000017a8 ), + .CE(\blk00000003/blk00000687/sig000017b1 ), + .CLK(clk), + .D(\blk00000003/sig000006a7 ), + .Q(\blk00000003/blk00000687/sig000017a9 ), + .Q15(\NLW_blk00000003/blk00000687/blk00000691_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000687/blk00000690 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000687/sig000017b0 ), + .Q(\blk00000003/sig000006e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000687/blk0000068f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000687/sig000017af ), + .Q(\blk00000003/sig000006e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000687/blk0000068e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000687/sig000017ae ), + .Q(\blk00000003/sig000006e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000687/blk0000068d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000687/sig000017ad ), + .Q(\blk00000003/sig000006e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000687/blk0000068c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000687/sig000017ac ), + .Q(\blk00000003/sig000006e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000687/blk0000068b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000687/sig000017ab ), + .Q(\blk00000003/sig000006e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000687/blk0000068a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000687/sig000017aa ), + .Q(\blk00000003/sig000006e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000687/blk00000689 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000687/sig000017a9 ), + .Q(\blk00000003/sig000006e7 ) + ); + GND \blk00000003/blk00000687/blk00000688 ( + .G(\blk00000003/blk00000687/sig000017a8 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000069a/blk000006ac ( + .I0(\blk00000003/sig00000a03 ), + .I1(ce), + .O(\blk00000003/blk0000069a/sig000017cf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069a/blk000006ab ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk0000069a/sig000017c6 ), + .A2(\blk00000003/blk0000069a/sig000017c6 ), + .A3(\blk00000003/blk0000069a/sig000017c6 ), + .CE(\blk00000003/blk0000069a/sig000017cf ), + .CLK(clk), + .D(\blk00000003/sig00000b8b ), + .Q(\blk00000003/blk0000069a/sig000017ce ), + .Q15(\NLW_blk00000003/blk0000069a/blk000006ab_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069a/blk000006aa ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk0000069a/sig000017c6 ), + .A2(\blk00000003/blk0000069a/sig000017c6 ), + .A3(\blk00000003/blk0000069a/sig000017c6 ), + .CE(\blk00000003/blk0000069a/sig000017cf ), + .CLK(clk), + .D(\blk00000003/sig00000b8c ), + .Q(\blk00000003/blk0000069a/sig000017cd ), + .Q15(\NLW_blk00000003/blk0000069a/blk000006aa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069a/blk000006a9 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk0000069a/sig000017c6 ), + .A2(\blk00000003/blk0000069a/sig000017c6 ), + .A3(\blk00000003/blk0000069a/sig000017c6 ), + .CE(\blk00000003/blk0000069a/sig000017cf ), + .CLK(clk), + .D(\blk00000003/sig00000b8d ), + .Q(\blk00000003/blk0000069a/sig000017cc ), + .Q15(\NLW_blk00000003/blk0000069a/blk000006a9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069a/blk000006a8 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk0000069a/sig000017c6 ), + .A2(\blk00000003/blk0000069a/sig000017c6 ), + .A3(\blk00000003/blk0000069a/sig000017c6 ), + .CE(\blk00000003/blk0000069a/sig000017cf ), + .CLK(clk), + .D(\blk00000003/sig00000b8e ), + .Q(\blk00000003/blk0000069a/sig000017cb ), + .Q15(\NLW_blk00000003/blk0000069a/blk000006a8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069a/blk000006a7 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk0000069a/sig000017c6 ), + .A2(\blk00000003/blk0000069a/sig000017c6 ), + .A3(\blk00000003/blk0000069a/sig000017c6 ), + .CE(\blk00000003/blk0000069a/sig000017cf ), + .CLK(clk), + .D(\blk00000003/sig00000b8f ), + .Q(\blk00000003/blk0000069a/sig000017ca ), + .Q15(\NLW_blk00000003/blk0000069a/blk000006a7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069a/blk000006a6 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk0000069a/sig000017c6 ), + .A2(\blk00000003/blk0000069a/sig000017c6 ), + .A3(\blk00000003/blk0000069a/sig000017c6 ), + .CE(\blk00000003/blk0000069a/sig000017cf ), + .CLK(clk), + .D(\blk00000003/sig00000b90 ), + .Q(\blk00000003/blk0000069a/sig000017c9 ), + .Q15(\NLW_blk00000003/blk0000069a/blk000006a6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069a/blk000006a5 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk0000069a/sig000017c6 ), + .A2(\blk00000003/blk0000069a/sig000017c6 ), + .A3(\blk00000003/blk0000069a/sig000017c6 ), + .CE(\blk00000003/blk0000069a/sig000017cf ), + .CLK(clk), + .D(\blk00000003/sig00000b91 ), + .Q(\blk00000003/blk0000069a/sig000017c8 ), + .Q15(\NLW_blk00000003/blk0000069a/blk000006a5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069a/blk000006a4 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk0000069a/sig000017c6 ), + .A2(\blk00000003/blk0000069a/sig000017c6 ), + .A3(\blk00000003/blk0000069a/sig000017c6 ), + .CE(\blk00000003/blk0000069a/sig000017cf ), + .CLK(clk), + .D(\blk00000003/sig00000b92 ), + .Q(\blk00000003/blk0000069a/sig000017c7 ), + .Q15(\NLW_blk00000003/blk0000069a/blk000006a4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069a/blk000006a3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000069a/sig000017ce ), + .Q(\blk00000003/sig000006d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069a/blk000006a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000069a/sig000017cd ), + .Q(\blk00000003/sig000006d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069a/blk000006a1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000069a/sig000017cc ), + .Q(\blk00000003/sig000006da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069a/blk000006a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000069a/sig000017cb ), + .Q(\blk00000003/sig000006db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069a/blk0000069f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000069a/sig000017ca ), + .Q(\blk00000003/sig000006dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069a/blk0000069e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000069a/sig000017c9 ), + .Q(\blk00000003/sig000006dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069a/blk0000069d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000069a/sig000017c8 ), + .Q(\blk00000003/sig000006de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069a/blk0000069c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000069a/sig000017c7 ), + .Q(\blk00000003/sig000006df ) + ); + GND \blk00000003/blk0000069a/blk0000069b ( + .G(\blk00000003/blk0000069a/sig000017c6 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000006ad/blk000006bf ( + .I0(\blk00000003/sig00000a09 ), + .I1(ce), + .O(\blk00000003/blk000006ad/sig000017ed ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ad/blk000006be ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006ad/sig000017e4 ), + .A2(\blk00000003/blk000006ad/sig000017e4 ), + .A3(\blk00000003/blk000006ad/sig000017e4 ), + .CE(\blk00000003/blk000006ad/sig000017ed ), + .CLK(clk), + .D(\blk00000003/sig00000548 ), + .Q(\blk00000003/blk000006ad/sig000017ec ), + .Q15(\NLW_blk00000003/blk000006ad/blk000006be_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ad/blk000006bd ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006ad/sig000017e4 ), + .A2(\blk00000003/blk000006ad/sig000017e4 ), + .A3(\blk00000003/blk000006ad/sig000017e4 ), + .CE(\blk00000003/blk000006ad/sig000017ed ), + .CLK(clk), + .D(\blk00000003/sig00000549 ), + .Q(\blk00000003/blk000006ad/sig000017eb ), + .Q15(\NLW_blk00000003/blk000006ad/blk000006bd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ad/blk000006bc ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006ad/sig000017e4 ), + .A2(\blk00000003/blk000006ad/sig000017e4 ), + .A3(\blk00000003/blk000006ad/sig000017e4 ), + .CE(\blk00000003/blk000006ad/sig000017ed ), + .CLK(clk), + .D(\blk00000003/sig0000054a ), + .Q(\blk00000003/blk000006ad/sig000017ea ), + .Q15(\NLW_blk00000003/blk000006ad/blk000006bc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ad/blk000006bb ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006ad/sig000017e4 ), + .A2(\blk00000003/blk000006ad/sig000017e4 ), + .A3(\blk00000003/blk000006ad/sig000017e4 ), + .CE(\blk00000003/blk000006ad/sig000017ed ), + .CLK(clk), + .D(\blk00000003/sig0000054b ), + .Q(\blk00000003/blk000006ad/sig000017e9 ), + .Q15(\NLW_blk00000003/blk000006ad/blk000006bb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ad/blk000006ba ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006ad/sig000017e4 ), + .A2(\blk00000003/blk000006ad/sig000017e4 ), + .A3(\blk00000003/blk000006ad/sig000017e4 ), + .CE(\blk00000003/blk000006ad/sig000017ed ), + .CLK(clk), + .D(\blk00000003/sig0000054c ), + .Q(\blk00000003/blk000006ad/sig000017e8 ), + .Q15(\NLW_blk00000003/blk000006ad/blk000006ba_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ad/blk000006b9 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006ad/sig000017e4 ), + .A2(\blk00000003/blk000006ad/sig000017e4 ), + .A3(\blk00000003/blk000006ad/sig000017e4 ), + .CE(\blk00000003/blk000006ad/sig000017ed ), + .CLK(clk), + .D(\blk00000003/sig0000054d ), + .Q(\blk00000003/blk000006ad/sig000017e7 ), + .Q15(\NLW_blk00000003/blk000006ad/blk000006b9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ad/blk000006b8 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006ad/sig000017e4 ), + .A2(\blk00000003/blk000006ad/sig000017e4 ), + .A3(\blk00000003/blk000006ad/sig000017e4 ), + .CE(\blk00000003/blk000006ad/sig000017ed ), + .CLK(clk), + .D(\blk00000003/sig0000054e ), + .Q(\blk00000003/blk000006ad/sig000017e6 ), + .Q15(\NLW_blk00000003/blk000006ad/blk000006b8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ad/blk000006b7 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006ad/sig000017e4 ), + .A2(\blk00000003/blk000006ad/sig000017e4 ), + .A3(\blk00000003/blk000006ad/sig000017e4 ), + .CE(\blk00000003/blk000006ad/sig000017ed ), + .CLK(clk), + .D(\blk00000003/sig0000054f ), + .Q(\blk00000003/blk000006ad/sig000017e5 ), + .Q15(\NLW_blk00000003/blk000006ad/blk000006b7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ad/blk000006b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006ad/sig000017ec ), + .Q(\blk00000003/sig0000059a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ad/blk000006b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006ad/sig000017eb ), + .Q(\blk00000003/sig0000059b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ad/blk000006b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006ad/sig000017ea ), + .Q(\blk00000003/sig0000059c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ad/blk000006b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006ad/sig000017e9 ), + .Q(\blk00000003/sig0000059d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ad/blk000006b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006ad/sig000017e8 ), + .Q(\blk00000003/sig0000059e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ad/blk000006b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006ad/sig000017e7 ), + .Q(\blk00000003/sig0000059f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ad/blk000006b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006ad/sig000017e6 ), + .Q(\blk00000003/sig000005a0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ad/blk000006af ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006ad/sig000017e5 ), + .Q(\blk00000003/sig000005a1 ) + ); + GND \blk00000003/blk000006ad/blk000006ae ( + .G(\blk00000003/blk000006ad/sig000017e4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000006c0/blk000006d2 ( + .I0(\blk00000003/sig00000a0a ), + .I1(ce), + .O(\blk00000003/blk000006c0/sig0000180b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c0/blk000006d1 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006c0/sig00001802 ), + .A2(\blk00000003/blk000006c0/sig00001802 ), + .A3(\blk00000003/blk000006c0/sig00001802 ), + .CE(\blk00000003/blk000006c0/sig0000180b ), + .CLK(clk), + .D(\blk00000003/sig00000b93 ), + .Q(\blk00000003/blk000006c0/sig0000180a ), + .Q15(\NLW_blk00000003/blk000006c0/blk000006d1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c0/blk000006d0 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006c0/sig00001802 ), + .A2(\blk00000003/blk000006c0/sig00001802 ), + .A3(\blk00000003/blk000006c0/sig00001802 ), + .CE(\blk00000003/blk000006c0/sig0000180b ), + .CLK(clk), + .D(\blk00000003/sig00000b94 ), + .Q(\blk00000003/blk000006c0/sig00001809 ), + .Q15(\NLW_blk00000003/blk000006c0/blk000006d0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c0/blk000006cf ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006c0/sig00001802 ), + .A2(\blk00000003/blk000006c0/sig00001802 ), + .A3(\blk00000003/blk000006c0/sig00001802 ), + .CE(\blk00000003/blk000006c0/sig0000180b ), + .CLK(clk), + .D(\blk00000003/sig00000b95 ), + .Q(\blk00000003/blk000006c0/sig00001808 ), + .Q15(\NLW_blk00000003/blk000006c0/blk000006cf_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c0/blk000006ce ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006c0/sig00001802 ), + .A2(\blk00000003/blk000006c0/sig00001802 ), + .A3(\blk00000003/blk000006c0/sig00001802 ), + .CE(\blk00000003/blk000006c0/sig0000180b ), + .CLK(clk), + .D(\blk00000003/sig00000b96 ), + .Q(\blk00000003/blk000006c0/sig00001807 ), + .Q15(\NLW_blk00000003/blk000006c0/blk000006ce_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c0/blk000006cd ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006c0/sig00001802 ), + .A2(\blk00000003/blk000006c0/sig00001802 ), + .A3(\blk00000003/blk000006c0/sig00001802 ), + .CE(\blk00000003/blk000006c0/sig0000180b ), + .CLK(clk), + .D(\blk00000003/sig00000b97 ), + .Q(\blk00000003/blk000006c0/sig00001806 ), + .Q15(\NLW_blk00000003/blk000006c0/blk000006cd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c0/blk000006cc ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006c0/sig00001802 ), + .A2(\blk00000003/blk000006c0/sig00001802 ), + .A3(\blk00000003/blk000006c0/sig00001802 ), + .CE(\blk00000003/blk000006c0/sig0000180b ), + .CLK(clk), + .D(\blk00000003/sig00000b98 ), + .Q(\blk00000003/blk000006c0/sig00001805 ), + .Q15(\NLW_blk00000003/blk000006c0/blk000006cc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c0/blk000006cb ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006c0/sig00001802 ), + .A2(\blk00000003/blk000006c0/sig00001802 ), + .A3(\blk00000003/blk000006c0/sig00001802 ), + .CE(\blk00000003/blk000006c0/sig0000180b ), + .CLK(clk), + .D(\blk00000003/sig00000b99 ), + .Q(\blk00000003/blk000006c0/sig00001804 ), + .Q15(\NLW_blk00000003/blk000006c0/blk000006cb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c0/blk000006ca ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006c0/sig00001802 ), + .A2(\blk00000003/blk000006c0/sig00001802 ), + .A3(\blk00000003/blk000006c0/sig00001802 ), + .CE(\blk00000003/blk000006c0/sig0000180b ), + .CLK(clk), + .D(\blk00000003/sig00000b9a ), + .Q(\blk00000003/blk000006c0/sig00001803 ), + .Q15(\NLW_blk00000003/blk000006c0/blk000006ca_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c0/blk000006c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006c0/sig0000180a ), + .Q(\blk00000003/sig00000592 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c0/blk000006c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006c0/sig00001809 ), + .Q(\blk00000003/sig00000593 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c0/blk000006c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006c0/sig00001808 ), + .Q(\blk00000003/sig00000594 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c0/blk000006c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006c0/sig00001807 ), + .Q(\blk00000003/sig00000595 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c0/blk000006c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006c0/sig00001806 ), + .Q(\blk00000003/sig00000596 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c0/blk000006c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006c0/sig00001805 ), + .Q(\blk00000003/sig00000597 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c0/blk000006c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006c0/sig00001804 ), + .Q(\blk00000003/sig00000598 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c0/blk000006c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006c0/sig00001803 ), + .Q(\blk00000003/sig00000599 ) + ); + GND \blk00000003/blk000006c0/blk000006c1 ( + .G(\blk00000003/blk000006c0/sig00001802 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000006d3/blk000006e5 ( + .I0(\blk00000003/sig00000a09 ), + .I1(ce), + .O(\blk00000003/blk000006d3/sig00001829 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d3/blk000006e4 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006d3/sig00001820 ), + .A2(\blk00000003/blk000006d3/sig00001820 ), + .A3(\blk00000003/blk000006d3/sig00001820 ), + .CE(\blk00000003/blk000006d3/sig00001829 ), + .CLK(clk), + .D(\blk00000003/sig00000660 ), + .Q(\blk00000003/blk000006d3/sig00001828 ), + .Q15(\NLW_blk00000003/blk000006d3/blk000006e4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d3/blk000006e3 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006d3/sig00001820 ), + .A2(\blk00000003/blk000006d3/sig00001820 ), + .A3(\blk00000003/blk000006d3/sig00001820 ), + .CE(\blk00000003/blk000006d3/sig00001829 ), + .CLK(clk), + .D(\blk00000003/sig00000661 ), + .Q(\blk00000003/blk000006d3/sig00001827 ), + .Q15(\NLW_blk00000003/blk000006d3/blk000006e3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d3/blk000006e2 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006d3/sig00001820 ), + .A2(\blk00000003/blk000006d3/sig00001820 ), + .A3(\blk00000003/blk000006d3/sig00001820 ), + .CE(\blk00000003/blk000006d3/sig00001829 ), + .CLK(clk), + .D(\blk00000003/sig00000662 ), + .Q(\blk00000003/blk000006d3/sig00001826 ), + .Q15(\NLW_blk00000003/blk000006d3/blk000006e2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d3/blk000006e1 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006d3/sig00001820 ), + .A2(\blk00000003/blk000006d3/sig00001820 ), + .A3(\blk00000003/blk000006d3/sig00001820 ), + .CE(\blk00000003/blk000006d3/sig00001829 ), + .CLK(clk), + .D(\blk00000003/sig00000663 ), + .Q(\blk00000003/blk000006d3/sig00001825 ), + .Q15(\NLW_blk00000003/blk000006d3/blk000006e1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d3/blk000006e0 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006d3/sig00001820 ), + .A2(\blk00000003/blk000006d3/sig00001820 ), + .A3(\blk00000003/blk000006d3/sig00001820 ), + .CE(\blk00000003/blk000006d3/sig00001829 ), + .CLK(clk), + .D(\blk00000003/sig00000664 ), + .Q(\blk00000003/blk000006d3/sig00001824 ), + .Q15(\NLW_blk00000003/blk000006d3/blk000006e0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d3/blk000006df ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006d3/sig00001820 ), + .A2(\blk00000003/blk000006d3/sig00001820 ), + .A3(\blk00000003/blk000006d3/sig00001820 ), + .CE(\blk00000003/blk000006d3/sig00001829 ), + .CLK(clk), + .D(\blk00000003/sig00000665 ), + .Q(\blk00000003/blk000006d3/sig00001823 ), + .Q15(\NLW_blk00000003/blk000006d3/blk000006df_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d3/blk000006de ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006d3/sig00001820 ), + .A2(\blk00000003/blk000006d3/sig00001820 ), + .A3(\blk00000003/blk000006d3/sig00001820 ), + .CE(\blk00000003/blk000006d3/sig00001829 ), + .CLK(clk), + .D(\blk00000003/sig00000666 ), + .Q(\blk00000003/blk000006d3/sig00001822 ), + .Q15(\NLW_blk00000003/blk000006d3/blk000006de_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d3/blk000006dd ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006d3/sig00001820 ), + .A2(\blk00000003/blk000006d3/sig00001820 ), + .A3(\blk00000003/blk000006d3/sig00001820 ), + .CE(\blk00000003/blk000006d3/sig00001829 ), + .CLK(clk), + .D(\blk00000003/sig00000667 ), + .Q(\blk00000003/blk000006d3/sig00001821 ), + .Q15(\NLW_blk00000003/blk000006d3/blk000006dd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d3/blk000006dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006d3/sig00001828 ), + .Q(\blk00000003/sig000006a0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d3/blk000006db ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006d3/sig00001827 ), + .Q(\blk00000003/sig000006a1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d3/blk000006da ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006d3/sig00001826 ), + .Q(\blk00000003/sig000006a2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d3/blk000006d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006d3/sig00001825 ), + .Q(\blk00000003/sig000006a3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d3/blk000006d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006d3/sig00001824 ), + .Q(\blk00000003/sig000006a4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d3/blk000006d7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006d3/sig00001823 ), + .Q(\blk00000003/sig000006a5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d3/blk000006d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006d3/sig00001822 ), + .Q(\blk00000003/sig000006a6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d3/blk000006d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006d3/sig00001821 ), + .Q(\blk00000003/sig000006a7 ) + ); + GND \blk00000003/blk000006d3/blk000006d4 ( + .G(\blk00000003/blk000006d3/sig00001820 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000006e6/blk000006f8 ( + .I0(\blk00000003/sig00000a0a ), + .I1(ce), + .O(\blk00000003/blk000006e6/sig00001847 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e6/blk000006f7 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006e6/sig0000183e ), + .A2(\blk00000003/blk000006e6/sig0000183e ), + .A3(\blk00000003/blk000006e6/sig0000183e ), + .CE(\blk00000003/blk000006e6/sig00001847 ), + .CLK(clk), + .D(\blk00000003/sig00000b9b ), + .Q(\blk00000003/blk000006e6/sig00001846 ), + .Q15(\NLW_blk00000003/blk000006e6/blk000006f7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e6/blk000006f6 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006e6/sig0000183e ), + .A2(\blk00000003/blk000006e6/sig0000183e ), + .A3(\blk00000003/blk000006e6/sig0000183e ), + .CE(\blk00000003/blk000006e6/sig00001847 ), + .CLK(clk), + .D(\blk00000003/sig00000b9c ), + .Q(\blk00000003/blk000006e6/sig00001845 ), + .Q15(\NLW_blk00000003/blk000006e6/blk000006f6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e6/blk000006f5 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006e6/sig0000183e ), + .A2(\blk00000003/blk000006e6/sig0000183e ), + .A3(\blk00000003/blk000006e6/sig0000183e ), + .CE(\blk00000003/blk000006e6/sig00001847 ), + .CLK(clk), + .D(\blk00000003/sig00000b9d ), + .Q(\blk00000003/blk000006e6/sig00001844 ), + .Q15(\NLW_blk00000003/blk000006e6/blk000006f5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e6/blk000006f4 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006e6/sig0000183e ), + .A2(\blk00000003/blk000006e6/sig0000183e ), + .A3(\blk00000003/blk000006e6/sig0000183e ), + .CE(\blk00000003/blk000006e6/sig00001847 ), + .CLK(clk), + .D(\blk00000003/sig00000b9e ), + .Q(\blk00000003/blk000006e6/sig00001843 ), + .Q15(\NLW_blk00000003/blk000006e6/blk000006f4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e6/blk000006f3 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006e6/sig0000183e ), + .A2(\blk00000003/blk000006e6/sig0000183e ), + .A3(\blk00000003/blk000006e6/sig0000183e ), + .CE(\blk00000003/blk000006e6/sig00001847 ), + .CLK(clk), + .D(\blk00000003/sig00000b9f ), + .Q(\blk00000003/blk000006e6/sig00001842 ), + .Q15(\NLW_blk00000003/blk000006e6/blk000006f3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e6/blk000006f2 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006e6/sig0000183e ), + .A2(\blk00000003/blk000006e6/sig0000183e ), + .A3(\blk00000003/blk000006e6/sig0000183e ), + .CE(\blk00000003/blk000006e6/sig00001847 ), + .CLK(clk), + .D(\blk00000003/sig00000ba0 ), + .Q(\blk00000003/blk000006e6/sig00001841 ), + .Q15(\NLW_blk00000003/blk000006e6/blk000006f2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e6/blk000006f1 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006e6/sig0000183e ), + .A2(\blk00000003/blk000006e6/sig0000183e ), + .A3(\blk00000003/blk000006e6/sig0000183e ), + .CE(\blk00000003/blk000006e6/sig00001847 ), + .CLK(clk), + .D(\blk00000003/sig00000ba1 ), + .Q(\blk00000003/blk000006e6/sig00001840 ), + .Q15(\NLW_blk00000003/blk000006e6/blk000006f1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e6/blk000006f0 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006e6/sig0000183e ), + .A2(\blk00000003/blk000006e6/sig0000183e ), + .A3(\blk00000003/blk000006e6/sig0000183e ), + .CE(\blk00000003/blk000006e6/sig00001847 ), + .CLK(clk), + .D(\blk00000003/sig00000ba2 ), + .Q(\blk00000003/blk000006e6/sig0000183f ), + .Q15(\NLW_blk00000003/blk000006e6/blk000006f0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e6/blk000006ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006e6/sig00001846 ), + .Q(\blk00000003/sig00000698 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e6/blk000006ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006e6/sig00001845 ), + .Q(\blk00000003/sig00000699 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e6/blk000006ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006e6/sig00001844 ), + .Q(\blk00000003/sig0000069a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e6/blk000006ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006e6/sig00001843 ), + .Q(\blk00000003/sig0000069b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e6/blk000006eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006e6/sig00001842 ), + .Q(\blk00000003/sig0000069c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e6/blk000006ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006e6/sig00001841 ), + .Q(\blk00000003/sig0000069d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e6/blk000006e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006e6/sig00001840 ), + .Q(\blk00000003/sig0000069e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e6/blk000006e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006e6/sig0000183f ), + .Q(\blk00000003/sig0000069f ) + ); + GND \blk00000003/blk000006e6/blk000006e7 ( + .G(\blk00000003/blk000006e6/sig0000183e ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000006f9/blk0000070b ( + .I0(\blk00000003/sig00000a10 ), + .I1(ce), + .O(\blk00000003/blk000006f9/sig00001865 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f9/blk0000070a ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000006f9/sig0000185c ), + .A2(\blk00000003/blk000006f9/sig0000185c ), + .A3(\blk00000003/blk000006f9/sig0000185c ), + .CE(\blk00000003/blk000006f9/sig00001865 ), + .CLK(clk), + .D(\blk00000003/sig00000384 ), + .Q(\blk00000003/blk000006f9/sig00001864 ), + .Q15(\NLW_blk00000003/blk000006f9/blk0000070a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f9/blk00000709 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000006f9/sig0000185c ), + .A2(\blk00000003/blk000006f9/sig0000185c ), + .A3(\blk00000003/blk000006f9/sig0000185c ), + .CE(\blk00000003/blk000006f9/sig00001865 ), + .CLK(clk), + .D(\blk00000003/sig00000385 ), + .Q(\blk00000003/blk000006f9/sig00001863 ), + .Q15(\NLW_blk00000003/blk000006f9/blk00000709_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f9/blk00000708 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000006f9/sig0000185c ), + .A2(\blk00000003/blk000006f9/sig0000185c ), + .A3(\blk00000003/blk000006f9/sig0000185c ), + .CE(\blk00000003/blk000006f9/sig00001865 ), + .CLK(clk), + .D(\blk00000003/sig00000386 ), + .Q(\blk00000003/blk000006f9/sig00001862 ), + .Q15(\NLW_blk00000003/blk000006f9/blk00000708_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f9/blk00000707 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000006f9/sig0000185c ), + .A2(\blk00000003/blk000006f9/sig0000185c ), + .A3(\blk00000003/blk000006f9/sig0000185c ), + .CE(\blk00000003/blk000006f9/sig00001865 ), + .CLK(clk), + .D(\blk00000003/sig00000387 ), + .Q(\blk00000003/blk000006f9/sig00001861 ), + .Q15(\NLW_blk00000003/blk000006f9/blk00000707_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f9/blk00000706 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000006f9/sig0000185c ), + .A2(\blk00000003/blk000006f9/sig0000185c ), + .A3(\blk00000003/blk000006f9/sig0000185c ), + .CE(\blk00000003/blk000006f9/sig00001865 ), + .CLK(clk), + .D(\blk00000003/sig00000388 ), + .Q(\blk00000003/blk000006f9/sig00001860 ), + .Q15(\NLW_blk00000003/blk000006f9/blk00000706_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f9/blk00000705 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000006f9/sig0000185c ), + .A2(\blk00000003/blk000006f9/sig0000185c ), + .A3(\blk00000003/blk000006f9/sig0000185c ), + .CE(\blk00000003/blk000006f9/sig00001865 ), + .CLK(clk), + .D(\blk00000003/sig00000389 ), + .Q(\blk00000003/blk000006f9/sig0000185f ), + .Q15(\NLW_blk00000003/blk000006f9/blk00000705_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f9/blk00000704 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000006f9/sig0000185c ), + .A2(\blk00000003/blk000006f9/sig0000185c ), + .A3(\blk00000003/blk000006f9/sig0000185c ), + .CE(\blk00000003/blk000006f9/sig00001865 ), + .CLK(clk), + .D(\blk00000003/sig0000038a ), + .Q(\blk00000003/blk000006f9/sig0000185e ), + .Q15(\NLW_blk00000003/blk000006f9/blk00000704_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f9/blk00000703 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000006f9/sig0000185c ), + .A2(\blk00000003/blk000006f9/sig0000185c ), + .A3(\blk00000003/blk000006f9/sig0000185c ), + .CE(\blk00000003/blk000006f9/sig00001865 ), + .CLK(clk), + .D(\blk00000003/sig0000038b ), + .Q(\blk00000003/blk000006f9/sig0000185d ), + .Q15(\NLW_blk00000003/blk000006f9/blk00000703_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f9/blk00000702 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006f9/sig00001864 ), + .Q(\blk00000003/sig00000548 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f9/blk00000701 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006f9/sig00001863 ), + .Q(\blk00000003/sig00000549 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f9/blk00000700 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006f9/sig00001862 ), + .Q(\blk00000003/sig0000054a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f9/blk000006ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006f9/sig00001861 ), + .Q(\blk00000003/sig0000054b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f9/blk000006fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006f9/sig00001860 ), + .Q(\blk00000003/sig0000054c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f9/blk000006fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006f9/sig0000185f ), + .Q(\blk00000003/sig0000054d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f9/blk000006fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006f9/sig0000185e ), + .Q(\blk00000003/sig0000054e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f9/blk000006fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006f9/sig0000185d ), + .Q(\blk00000003/sig0000054f ) + ); + GND \blk00000003/blk000006f9/blk000006fa ( + .G(\blk00000003/blk000006f9/sig0000185c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000070c/blk0000071e ( + .I0(\blk00000003/sig00000a0f ), + .I1(ce), + .O(\blk00000003/blk0000070c/sig00001883 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070c/blk0000071d ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk0000070c/sig0000187a ), + .A2(\blk00000003/blk0000070c/sig0000187a ), + .A3(\blk00000003/blk0000070c/sig0000187a ), + .CE(\blk00000003/blk0000070c/sig00001883 ), + .CLK(clk), + .D(\blk00000003/sig00000ba3 ), + .Q(\blk00000003/blk0000070c/sig00001882 ), + .Q15(\NLW_blk00000003/blk0000070c/blk0000071d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070c/blk0000071c ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk0000070c/sig0000187a ), + .A2(\blk00000003/blk0000070c/sig0000187a ), + .A3(\blk00000003/blk0000070c/sig0000187a ), + .CE(\blk00000003/blk0000070c/sig00001883 ), + .CLK(clk), + .D(\blk00000003/sig00000ba4 ), + .Q(\blk00000003/blk0000070c/sig00001881 ), + .Q15(\NLW_blk00000003/blk0000070c/blk0000071c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070c/blk0000071b ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk0000070c/sig0000187a ), + .A2(\blk00000003/blk0000070c/sig0000187a ), + .A3(\blk00000003/blk0000070c/sig0000187a ), + .CE(\blk00000003/blk0000070c/sig00001883 ), + .CLK(clk), + .D(\blk00000003/sig00000ba5 ), + .Q(\blk00000003/blk0000070c/sig00001880 ), + .Q15(\NLW_blk00000003/blk0000070c/blk0000071b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070c/blk0000071a ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk0000070c/sig0000187a ), + .A2(\blk00000003/blk0000070c/sig0000187a ), + .A3(\blk00000003/blk0000070c/sig0000187a ), + .CE(\blk00000003/blk0000070c/sig00001883 ), + .CLK(clk), + .D(\blk00000003/sig00000ba6 ), + .Q(\blk00000003/blk0000070c/sig0000187f ), + .Q15(\NLW_blk00000003/blk0000070c/blk0000071a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070c/blk00000719 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk0000070c/sig0000187a ), + .A2(\blk00000003/blk0000070c/sig0000187a ), + .A3(\blk00000003/blk0000070c/sig0000187a ), + .CE(\blk00000003/blk0000070c/sig00001883 ), + .CLK(clk), + .D(\blk00000003/sig00000ba7 ), + .Q(\blk00000003/blk0000070c/sig0000187e ), + .Q15(\NLW_blk00000003/blk0000070c/blk00000719_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070c/blk00000718 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk0000070c/sig0000187a ), + .A2(\blk00000003/blk0000070c/sig0000187a ), + .A3(\blk00000003/blk0000070c/sig0000187a ), + .CE(\blk00000003/blk0000070c/sig00001883 ), + .CLK(clk), + .D(\blk00000003/sig00000ba8 ), + .Q(\blk00000003/blk0000070c/sig0000187d ), + .Q15(\NLW_blk00000003/blk0000070c/blk00000718_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070c/blk00000717 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk0000070c/sig0000187a ), + .A2(\blk00000003/blk0000070c/sig0000187a ), + .A3(\blk00000003/blk0000070c/sig0000187a ), + .CE(\blk00000003/blk0000070c/sig00001883 ), + .CLK(clk), + .D(\blk00000003/sig00000ba9 ), + .Q(\blk00000003/blk0000070c/sig0000187c ), + .Q15(\NLW_blk00000003/blk0000070c/blk00000717_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070c/blk00000716 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk0000070c/sig0000187a ), + .A2(\blk00000003/blk0000070c/sig0000187a ), + .A3(\blk00000003/blk0000070c/sig0000187a ), + .CE(\blk00000003/blk0000070c/sig00001883 ), + .CLK(clk), + .D(\blk00000003/sig00000baa ), + .Q(\blk00000003/blk0000070c/sig0000187b ), + .Q15(\NLW_blk00000003/blk0000070c/blk00000716_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070c/blk00000715 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000070c/sig00001882 ), + .Q(\blk00000003/sig00000540 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070c/blk00000714 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000070c/sig00001881 ), + .Q(\blk00000003/sig00000541 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070c/blk00000713 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000070c/sig00001880 ), + .Q(\blk00000003/sig00000542 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070c/blk00000712 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000070c/sig0000187f ), + .Q(\blk00000003/sig00000543 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070c/blk00000711 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000070c/sig0000187e ), + .Q(\blk00000003/sig00000544 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070c/blk00000710 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000070c/sig0000187d ), + .Q(\blk00000003/sig00000545 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070c/blk0000070f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000070c/sig0000187c ), + .Q(\blk00000003/sig00000546 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070c/blk0000070e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000070c/sig0000187b ), + .Q(\blk00000003/sig00000547 ) + ); + GND \blk00000003/blk0000070c/blk0000070d ( + .G(\blk00000003/blk0000070c/sig0000187a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000071f/blk00000731 ( + .I0(\blk00000003/sig00000a10 ), + .I1(ce), + .O(\blk00000003/blk0000071f/sig000018a1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071f/blk00000730 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000071f/sig00001898 ), + .A2(\blk00000003/blk0000071f/sig00001898 ), + .A3(\blk00000003/blk0000071f/sig00001898 ), + .CE(\blk00000003/blk0000071f/sig000018a1 ), + .CLK(clk), + .D(\blk00000003/sig000003d6 ), + .Q(\blk00000003/blk0000071f/sig000018a0 ), + .Q15(\NLW_blk00000003/blk0000071f/blk00000730_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071f/blk0000072f ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000071f/sig00001898 ), + .A2(\blk00000003/blk0000071f/sig00001898 ), + .A3(\blk00000003/blk0000071f/sig00001898 ), + .CE(\blk00000003/blk0000071f/sig000018a1 ), + .CLK(clk), + .D(\blk00000003/sig000003d7 ), + .Q(\blk00000003/blk0000071f/sig0000189f ), + .Q15(\NLW_blk00000003/blk0000071f/blk0000072f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071f/blk0000072e ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000071f/sig00001898 ), + .A2(\blk00000003/blk0000071f/sig00001898 ), + .A3(\blk00000003/blk0000071f/sig00001898 ), + .CE(\blk00000003/blk0000071f/sig000018a1 ), + .CLK(clk), + .D(\blk00000003/sig000003d8 ), + .Q(\blk00000003/blk0000071f/sig0000189e ), + .Q15(\NLW_blk00000003/blk0000071f/blk0000072e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071f/blk0000072d ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000071f/sig00001898 ), + .A2(\blk00000003/blk0000071f/sig00001898 ), + .A3(\blk00000003/blk0000071f/sig00001898 ), + .CE(\blk00000003/blk0000071f/sig000018a1 ), + .CLK(clk), + .D(\blk00000003/sig000003d9 ), + .Q(\blk00000003/blk0000071f/sig0000189d ), + .Q15(\NLW_blk00000003/blk0000071f/blk0000072d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071f/blk0000072c ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000071f/sig00001898 ), + .A2(\blk00000003/blk0000071f/sig00001898 ), + .A3(\blk00000003/blk0000071f/sig00001898 ), + .CE(\blk00000003/blk0000071f/sig000018a1 ), + .CLK(clk), + .D(\blk00000003/sig000003da ), + .Q(\blk00000003/blk0000071f/sig0000189c ), + .Q15(\NLW_blk00000003/blk0000071f/blk0000072c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071f/blk0000072b ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000071f/sig00001898 ), + .A2(\blk00000003/blk0000071f/sig00001898 ), + .A3(\blk00000003/blk0000071f/sig00001898 ), + .CE(\blk00000003/blk0000071f/sig000018a1 ), + .CLK(clk), + .D(\blk00000003/sig000003db ), + .Q(\blk00000003/blk0000071f/sig0000189b ), + .Q15(\NLW_blk00000003/blk0000071f/blk0000072b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071f/blk0000072a ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000071f/sig00001898 ), + .A2(\blk00000003/blk0000071f/sig00001898 ), + .A3(\blk00000003/blk0000071f/sig00001898 ), + .CE(\blk00000003/blk0000071f/sig000018a1 ), + .CLK(clk), + .D(\blk00000003/sig000003dc ), + .Q(\blk00000003/blk0000071f/sig0000189a ), + .Q15(\NLW_blk00000003/blk0000071f/blk0000072a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071f/blk00000729 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000071f/sig00001898 ), + .A2(\blk00000003/blk0000071f/sig00001898 ), + .A3(\blk00000003/blk0000071f/sig00001898 ), + .CE(\blk00000003/blk0000071f/sig000018a1 ), + .CLK(clk), + .D(\blk00000003/sig000003dd ), + .Q(\blk00000003/blk0000071f/sig00001899 ), + .Q15(\NLW_blk00000003/blk0000071f/blk00000729_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071f/blk00000728 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000071f/sig000018a0 ), + .Q(\blk00000003/sig00000660 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071f/blk00000727 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000071f/sig0000189f ), + .Q(\blk00000003/sig00000661 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071f/blk00000726 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000071f/sig0000189e ), + .Q(\blk00000003/sig00000662 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071f/blk00000725 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000071f/sig0000189d ), + .Q(\blk00000003/sig00000663 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071f/blk00000724 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000071f/sig0000189c ), + .Q(\blk00000003/sig00000664 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071f/blk00000723 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000071f/sig0000189b ), + .Q(\blk00000003/sig00000665 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071f/blk00000722 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000071f/sig0000189a ), + .Q(\blk00000003/sig00000666 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071f/blk00000721 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000071f/sig00001899 ), + .Q(\blk00000003/sig00000667 ) + ); + GND \blk00000003/blk0000071f/blk00000720 ( + .G(\blk00000003/blk0000071f/sig00001898 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000732/blk00000744 ( + .I0(\blk00000003/sig00000a0f ), + .I1(ce), + .O(\blk00000003/blk00000732/sig000018bf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000732/blk00000743 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000732/sig000018b6 ), + .A2(\blk00000003/blk00000732/sig000018b6 ), + .A3(\blk00000003/blk00000732/sig000018b6 ), + .CE(\blk00000003/blk00000732/sig000018bf ), + .CLK(clk), + .D(\blk00000003/sig00000bab ), + .Q(\blk00000003/blk00000732/sig000018be ), + .Q15(\NLW_blk00000003/blk00000732/blk00000743_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000732/blk00000742 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000732/sig000018b6 ), + .A2(\blk00000003/blk00000732/sig000018b6 ), + .A3(\blk00000003/blk00000732/sig000018b6 ), + .CE(\blk00000003/blk00000732/sig000018bf ), + .CLK(clk), + .D(\blk00000003/sig00000bac ), + .Q(\blk00000003/blk00000732/sig000018bd ), + .Q15(\NLW_blk00000003/blk00000732/blk00000742_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000732/blk00000741 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000732/sig000018b6 ), + .A2(\blk00000003/blk00000732/sig000018b6 ), + .A3(\blk00000003/blk00000732/sig000018b6 ), + .CE(\blk00000003/blk00000732/sig000018bf ), + .CLK(clk), + .D(\blk00000003/sig00000bad ), + .Q(\blk00000003/blk00000732/sig000018bc ), + .Q15(\NLW_blk00000003/blk00000732/blk00000741_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000732/blk00000740 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000732/sig000018b6 ), + .A2(\blk00000003/blk00000732/sig000018b6 ), + .A3(\blk00000003/blk00000732/sig000018b6 ), + .CE(\blk00000003/blk00000732/sig000018bf ), + .CLK(clk), + .D(\blk00000003/sig00000bae ), + .Q(\blk00000003/blk00000732/sig000018bb ), + .Q15(\NLW_blk00000003/blk00000732/blk00000740_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000732/blk0000073f ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000732/sig000018b6 ), + .A2(\blk00000003/blk00000732/sig000018b6 ), + .A3(\blk00000003/blk00000732/sig000018b6 ), + .CE(\blk00000003/blk00000732/sig000018bf ), + .CLK(clk), + .D(\blk00000003/sig00000baf ), + .Q(\blk00000003/blk00000732/sig000018ba ), + .Q15(\NLW_blk00000003/blk00000732/blk0000073f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000732/blk0000073e ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000732/sig000018b6 ), + .A2(\blk00000003/blk00000732/sig000018b6 ), + .A3(\blk00000003/blk00000732/sig000018b6 ), + .CE(\blk00000003/blk00000732/sig000018bf ), + .CLK(clk), + .D(\blk00000003/sig00000bb0 ), + .Q(\blk00000003/blk00000732/sig000018b9 ), + .Q15(\NLW_blk00000003/blk00000732/blk0000073e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000732/blk0000073d ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000732/sig000018b6 ), + .A2(\blk00000003/blk00000732/sig000018b6 ), + .A3(\blk00000003/blk00000732/sig000018b6 ), + .CE(\blk00000003/blk00000732/sig000018bf ), + .CLK(clk), + .D(\blk00000003/sig00000bb1 ), + .Q(\blk00000003/blk00000732/sig000018b8 ), + .Q15(\NLW_blk00000003/blk00000732/blk0000073d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000732/blk0000073c ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000732/sig000018b6 ), + .A2(\blk00000003/blk00000732/sig000018b6 ), + .A3(\blk00000003/blk00000732/sig000018b6 ), + .CE(\blk00000003/blk00000732/sig000018bf ), + .CLK(clk), + .D(\blk00000003/sig00000bb2 ), + .Q(\blk00000003/blk00000732/sig000018b7 ), + .Q15(\NLW_blk00000003/blk00000732/blk0000073c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732/blk0000073b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000732/sig000018be ), + .Q(\blk00000003/sig00000658 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732/blk0000073a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000732/sig000018bd ), + .Q(\blk00000003/sig00000659 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732/blk00000739 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000732/sig000018bc ), + .Q(\blk00000003/sig0000065a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732/blk00000738 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000732/sig000018bb ), + .Q(\blk00000003/sig0000065b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732/blk00000737 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000732/sig000018ba ), + .Q(\blk00000003/sig0000065c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732/blk00000736 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000732/sig000018b9 ), + .Q(\blk00000003/sig0000065d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732/blk00000735 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000732/sig000018b8 ), + .Q(\blk00000003/sig0000065e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732/blk00000734 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000732/sig000018b7 ), + .Q(\blk00000003/sig0000065f ) + ); + GND \blk00000003/blk00000732/blk00000733 ( + .G(\blk00000003/blk00000732/sig000018b6 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000745/blk00000757 ( + .I0(\blk00000003/sig00000a16 ), + .I1(ce), + .O(\blk00000003/blk00000745/sig000018dd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000745/blk00000756 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk00000745/sig000018d4 ), + .A2(\blk00000003/blk00000745/sig000018d4 ), + .A3(\blk00000003/blk00000745/sig000018d4 ), + .CE(\blk00000003/blk00000745/sig000018dd ), + .CLK(clk), + .D(\blk00000003/sig00000bb3 ), + .Q(\blk00000003/blk00000745/sig000018dc ), + .Q15(\NLW_blk00000003/blk00000745/blk00000756_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000745/blk00000755 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk00000745/sig000018d4 ), + .A2(\blk00000003/blk00000745/sig000018d4 ), + .A3(\blk00000003/blk00000745/sig000018d4 ), + .CE(\blk00000003/blk00000745/sig000018dd ), + .CLK(clk), + .D(\blk00000003/sig00000bb4 ), + .Q(\blk00000003/blk00000745/sig000018db ), + .Q15(\NLW_blk00000003/blk00000745/blk00000755_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000745/blk00000754 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk00000745/sig000018d4 ), + .A2(\blk00000003/blk00000745/sig000018d4 ), + .A3(\blk00000003/blk00000745/sig000018d4 ), + .CE(\blk00000003/blk00000745/sig000018dd ), + .CLK(clk), + .D(\blk00000003/sig00000bb5 ), + .Q(\blk00000003/blk00000745/sig000018da ), + .Q15(\NLW_blk00000003/blk00000745/blk00000754_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000745/blk00000753 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk00000745/sig000018d4 ), + .A2(\blk00000003/blk00000745/sig000018d4 ), + .A3(\blk00000003/blk00000745/sig000018d4 ), + .CE(\blk00000003/blk00000745/sig000018dd ), + .CLK(clk), + .D(\blk00000003/sig00000bb6 ), + .Q(\blk00000003/blk00000745/sig000018d9 ), + .Q15(\NLW_blk00000003/blk00000745/blk00000753_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000745/blk00000752 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk00000745/sig000018d4 ), + .A2(\blk00000003/blk00000745/sig000018d4 ), + .A3(\blk00000003/blk00000745/sig000018d4 ), + .CE(\blk00000003/blk00000745/sig000018dd ), + .CLK(clk), + .D(\blk00000003/sig00000bb7 ), + .Q(\blk00000003/blk00000745/sig000018d8 ), + .Q15(\NLW_blk00000003/blk00000745/blk00000752_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000745/blk00000751 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk00000745/sig000018d4 ), + .A2(\blk00000003/blk00000745/sig000018d4 ), + .A3(\blk00000003/blk00000745/sig000018d4 ), + .CE(\blk00000003/blk00000745/sig000018dd ), + .CLK(clk), + .D(\blk00000003/sig00000bb8 ), + .Q(\blk00000003/blk00000745/sig000018d7 ), + .Q15(\NLW_blk00000003/blk00000745/blk00000751_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000745/blk00000750 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk00000745/sig000018d4 ), + .A2(\blk00000003/blk00000745/sig000018d4 ), + .A3(\blk00000003/blk00000745/sig000018d4 ), + .CE(\blk00000003/blk00000745/sig000018dd ), + .CLK(clk), + .D(\blk00000003/sig00000bb9 ), + .Q(\blk00000003/blk00000745/sig000018d6 ), + .Q15(\NLW_blk00000003/blk00000745/blk00000750_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000745/blk0000074f ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk00000745/sig000018d4 ), + .A2(\blk00000003/blk00000745/sig000018d4 ), + .A3(\blk00000003/blk00000745/sig000018d4 ), + .CE(\blk00000003/blk00000745/sig000018dd ), + .CLK(clk), + .D(\blk00000003/sig00000bba ), + .Q(\blk00000003/blk00000745/sig000018d5 ), + .Q15(\NLW_blk00000003/blk00000745/blk0000074f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000745/blk0000074e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000745/sig000018dc ), + .Q(\blk00000003/sig00000384 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000745/blk0000074d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000745/sig000018db ), + .Q(\blk00000003/sig00000385 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000745/blk0000074c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000745/sig000018da ), + .Q(\blk00000003/sig00000386 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000745/blk0000074b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000745/sig000018d9 ), + .Q(\blk00000003/sig00000387 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000745/blk0000074a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000745/sig000018d8 ), + .Q(\blk00000003/sig00000388 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000745/blk00000749 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000745/sig000018d7 ), + .Q(\blk00000003/sig00000389 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000745/blk00000748 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000745/sig000018d6 ), + .Q(\blk00000003/sig0000038a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000745/blk00000747 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000745/sig000018d5 ), + .Q(\blk00000003/sig0000038b ) + ); + GND \blk00000003/blk00000745/blk00000746 ( + .G(\blk00000003/blk00000745/sig000018d4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000758/blk0000076a ( + .I0(\blk00000003/sig00000a15 ), + .I1(ce), + .O(\blk00000003/blk00000758/sig000018fb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000758/blk00000769 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000758/sig000018f2 ), + .A2(\blk00000003/blk00000758/sig000018f2 ), + .A3(\blk00000003/blk00000758/sig000018f2 ), + .CE(\blk00000003/blk00000758/sig000018fb ), + .CLK(clk), + .D(\blk00000003/sig00000bbb ), + .Q(\blk00000003/blk00000758/sig000018fa ), + .Q15(\NLW_blk00000003/blk00000758/blk00000769_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000758/blk00000768 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000758/sig000018f2 ), + .A2(\blk00000003/blk00000758/sig000018f2 ), + .A3(\blk00000003/blk00000758/sig000018f2 ), + .CE(\blk00000003/blk00000758/sig000018fb ), + .CLK(clk), + .D(\blk00000003/sig00000bbc ), + .Q(\blk00000003/blk00000758/sig000018f9 ), + .Q15(\NLW_blk00000003/blk00000758/blk00000768_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000758/blk00000767 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000758/sig000018f2 ), + .A2(\blk00000003/blk00000758/sig000018f2 ), + .A3(\blk00000003/blk00000758/sig000018f2 ), + .CE(\blk00000003/blk00000758/sig000018fb ), + .CLK(clk), + .D(\blk00000003/sig00000bbd ), + .Q(\blk00000003/blk00000758/sig000018f8 ), + .Q15(\NLW_blk00000003/blk00000758/blk00000767_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000758/blk00000766 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000758/sig000018f2 ), + .A2(\blk00000003/blk00000758/sig000018f2 ), + .A3(\blk00000003/blk00000758/sig000018f2 ), + .CE(\blk00000003/blk00000758/sig000018fb ), + .CLK(clk), + .D(\blk00000003/sig00000bbe ), + .Q(\blk00000003/blk00000758/sig000018f7 ), + .Q15(\NLW_blk00000003/blk00000758/blk00000766_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000758/blk00000765 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000758/sig000018f2 ), + .A2(\blk00000003/blk00000758/sig000018f2 ), + .A3(\blk00000003/blk00000758/sig000018f2 ), + .CE(\blk00000003/blk00000758/sig000018fb ), + .CLK(clk), + .D(\blk00000003/sig00000bbf ), + .Q(\blk00000003/blk00000758/sig000018f6 ), + .Q15(\NLW_blk00000003/blk00000758/blk00000765_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000758/blk00000764 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000758/sig000018f2 ), + .A2(\blk00000003/blk00000758/sig000018f2 ), + .A3(\blk00000003/blk00000758/sig000018f2 ), + .CE(\blk00000003/blk00000758/sig000018fb ), + .CLK(clk), + .D(\blk00000003/sig00000bc0 ), + .Q(\blk00000003/blk00000758/sig000018f5 ), + .Q15(\NLW_blk00000003/blk00000758/blk00000764_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000758/blk00000763 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000758/sig000018f2 ), + .A2(\blk00000003/blk00000758/sig000018f2 ), + .A3(\blk00000003/blk00000758/sig000018f2 ), + .CE(\blk00000003/blk00000758/sig000018fb ), + .CLK(clk), + .D(\blk00000003/sig00000bc1 ), + .Q(\blk00000003/blk00000758/sig000018f4 ), + .Q15(\NLW_blk00000003/blk00000758/blk00000763_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000758/blk00000762 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000758/sig000018f2 ), + .A2(\blk00000003/blk00000758/sig000018f2 ), + .A3(\blk00000003/blk00000758/sig000018f2 ), + .CE(\blk00000003/blk00000758/sig000018fb ), + .CLK(clk), + .D(\blk00000003/sig00000bc2 ), + .Q(\blk00000003/blk00000758/sig000018f3 ), + .Q15(\NLW_blk00000003/blk00000758/blk00000762_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758/blk00000761 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000758/sig000018fa ), + .Q(\blk00000003/sig0000037c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758/blk00000760 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000758/sig000018f9 ), + .Q(\blk00000003/sig0000037d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758/blk0000075f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000758/sig000018f8 ), + .Q(\blk00000003/sig0000037e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758/blk0000075e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000758/sig000018f7 ), + .Q(\blk00000003/sig0000037f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758/blk0000075d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000758/sig000018f6 ), + .Q(\blk00000003/sig00000380 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758/blk0000075c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000758/sig000018f5 ), + .Q(\blk00000003/sig00000381 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758/blk0000075b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000758/sig000018f4 ), + .Q(\blk00000003/sig00000382 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758/blk0000075a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000758/sig000018f3 ), + .Q(\blk00000003/sig00000383 ) + ); + GND \blk00000003/blk00000758/blk00000759 ( + .G(\blk00000003/blk00000758/sig000018f2 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000076b/blk0000077d ( + .I0(\blk00000003/sig00000a16 ), + .I1(ce), + .O(\blk00000003/blk0000076b/sig00001919 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076b/blk0000077c ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000076b/sig00001910 ), + .A2(\blk00000003/blk0000076b/sig00001910 ), + .A3(\blk00000003/blk0000076b/sig00001910 ), + .CE(\blk00000003/blk0000076b/sig00001919 ), + .CLK(clk), + .D(\blk00000003/sig00000bc3 ), + .Q(\blk00000003/blk0000076b/sig00001918 ), + .Q15(\NLW_blk00000003/blk0000076b/blk0000077c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076b/blk0000077b ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000076b/sig00001910 ), + .A2(\blk00000003/blk0000076b/sig00001910 ), + .A3(\blk00000003/blk0000076b/sig00001910 ), + .CE(\blk00000003/blk0000076b/sig00001919 ), + .CLK(clk), + .D(\blk00000003/sig00000bc4 ), + .Q(\blk00000003/blk0000076b/sig00001917 ), + .Q15(\NLW_blk00000003/blk0000076b/blk0000077b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076b/blk0000077a ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000076b/sig00001910 ), + .A2(\blk00000003/blk0000076b/sig00001910 ), + .A3(\blk00000003/blk0000076b/sig00001910 ), + .CE(\blk00000003/blk0000076b/sig00001919 ), + .CLK(clk), + .D(\blk00000003/sig00000bc5 ), + .Q(\blk00000003/blk0000076b/sig00001916 ), + .Q15(\NLW_blk00000003/blk0000076b/blk0000077a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076b/blk00000779 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000076b/sig00001910 ), + .A2(\blk00000003/blk0000076b/sig00001910 ), + .A3(\blk00000003/blk0000076b/sig00001910 ), + .CE(\blk00000003/blk0000076b/sig00001919 ), + .CLK(clk), + .D(\blk00000003/sig00000bc6 ), + .Q(\blk00000003/blk0000076b/sig00001915 ), + .Q15(\NLW_blk00000003/blk0000076b/blk00000779_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076b/blk00000778 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000076b/sig00001910 ), + .A2(\blk00000003/blk0000076b/sig00001910 ), + .A3(\blk00000003/blk0000076b/sig00001910 ), + .CE(\blk00000003/blk0000076b/sig00001919 ), + .CLK(clk), + .D(\blk00000003/sig00000bc7 ), + .Q(\blk00000003/blk0000076b/sig00001914 ), + .Q15(\NLW_blk00000003/blk0000076b/blk00000778_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076b/blk00000777 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000076b/sig00001910 ), + .A2(\blk00000003/blk0000076b/sig00001910 ), + .A3(\blk00000003/blk0000076b/sig00001910 ), + .CE(\blk00000003/blk0000076b/sig00001919 ), + .CLK(clk), + .D(\blk00000003/sig00000bc8 ), + .Q(\blk00000003/blk0000076b/sig00001913 ), + .Q15(\NLW_blk00000003/blk0000076b/blk00000777_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076b/blk00000776 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000076b/sig00001910 ), + .A2(\blk00000003/blk0000076b/sig00001910 ), + .A3(\blk00000003/blk0000076b/sig00001910 ), + .CE(\blk00000003/blk0000076b/sig00001919 ), + .CLK(clk), + .D(\blk00000003/sig00000bc9 ), + .Q(\blk00000003/blk0000076b/sig00001912 ), + .Q15(\NLW_blk00000003/blk0000076b/blk00000776_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076b/blk00000775 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000076b/sig00001910 ), + .A2(\blk00000003/blk0000076b/sig00001910 ), + .A3(\blk00000003/blk0000076b/sig00001910 ), + .CE(\blk00000003/blk0000076b/sig00001919 ), + .CLK(clk), + .D(\blk00000003/sig00000bca ), + .Q(\blk00000003/blk0000076b/sig00001911 ), + .Q15(\NLW_blk00000003/blk0000076b/blk00000775_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076b/blk00000774 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000076b/sig00001918 ), + .Q(\blk00000003/sig000003d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076b/blk00000773 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000076b/sig00001917 ), + .Q(\blk00000003/sig000003d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076b/blk00000772 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000076b/sig00001916 ), + .Q(\blk00000003/sig000003d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076b/blk00000771 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000076b/sig00001915 ), + .Q(\blk00000003/sig000003d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076b/blk00000770 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000076b/sig00001914 ), + .Q(\blk00000003/sig000003da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076b/blk0000076f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000076b/sig00001913 ), + .Q(\blk00000003/sig000003db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076b/blk0000076e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000076b/sig00001912 ), + .Q(\blk00000003/sig000003dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076b/blk0000076d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000076b/sig00001911 ), + .Q(\blk00000003/sig000003dd ) + ); + GND \blk00000003/blk0000076b/blk0000076c ( + .G(\blk00000003/blk0000076b/sig00001910 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000077e/blk00000790 ( + .I0(\blk00000003/sig00000a15 ), + .I1(ce), + .O(\blk00000003/blk0000077e/sig00001937 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077e/blk0000078f ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000077e/sig0000192e ), + .A2(\blk00000003/blk0000077e/sig0000192e ), + .A3(\blk00000003/blk0000077e/sig0000192e ), + .CE(\blk00000003/blk0000077e/sig00001937 ), + .CLK(clk), + .D(\blk00000003/sig00000bcb ), + .Q(\blk00000003/blk0000077e/sig00001936 ), + .Q15(\NLW_blk00000003/blk0000077e/blk0000078f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077e/blk0000078e ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000077e/sig0000192e ), + .A2(\blk00000003/blk0000077e/sig0000192e ), + .A3(\blk00000003/blk0000077e/sig0000192e ), + .CE(\blk00000003/blk0000077e/sig00001937 ), + .CLK(clk), + .D(\blk00000003/sig00000bcc ), + .Q(\blk00000003/blk0000077e/sig00001935 ), + .Q15(\NLW_blk00000003/blk0000077e/blk0000078e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077e/blk0000078d ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000077e/sig0000192e ), + .A2(\blk00000003/blk0000077e/sig0000192e ), + .A3(\blk00000003/blk0000077e/sig0000192e ), + .CE(\blk00000003/blk0000077e/sig00001937 ), + .CLK(clk), + .D(\blk00000003/sig00000bcd ), + .Q(\blk00000003/blk0000077e/sig00001934 ), + .Q15(\NLW_blk00000003/blk0000077e/blk0000078d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077e/blk0000078c ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000077e/sig0000192e ), + .A2(\blk00000003/blk0000077e/sig0000192e ), + .A3(\blk00000003/blk0000077e/sig0000192e ), + .CE(\blk00000003/blk0000077e/sig00001937 ), + .CLK(clk), + .D(\blk00000003/sig00000bce ), + .Q(\blk00000003/blk0000077e/sig00001933 ), + .Q15(\NLW_blk00000003/blk0000077e/blk0000078c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077e/blk0000078b ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000077e/sig0000192e ), + .A2(\blk00000003/blk0000077e/sig0000192e ), + .A3(\blk00000003/blk0000077e/sig0000192e ), + .CE(\blk00000003/blk0000077e/sig00001937 ), + .CLK(clk), + .D(\blk00000003/sig00000bcf ), + .Q(\blk00000003/blk0000077e/sig00001932 ), + .Q15(\NLW_blk00000003/blk0000077e/blk0000078b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077e/blk0000078a ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000077e/sig0000192e ), + .A2(\blk00000003/blk0000077e/sig0000192e ), + .A3(\blk00000003/blk0000077e/sig0000192e ), + .CE(\blk00000003/blk0000077e/sig00001937 ), + .CLK(clk), + .D(\blk00000003/sig00000bd0 ), + .Q(\blk00000003/blk0000077e/sig00001931 ), + .Q15(\NLW_blk00000003/blk0000077e/blk0000078a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077e/blk00000789 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000077e/sig0000192e ), + .A2(\blk00000003/blk0000077e/sig0000192e ), + .A3(\blk00000003/blk0000077e/sig0000192e ), + .CE(\blk00000003/blk0000077e/sig00001937 ), + .CLK(clk), + .D(\blk00000003/sig00000bd1 ), + .Q(\blk00000003/blk0000077e/sig00001930 ), + .Q15(\NLW_blk00000003/blk0000077e/blk00000789_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077e/blk00000788 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000077e/sig0000192e ), + .A2(\blk00000003/blk0000077e/sig0000192e ), + .A3(\blk00000003/blk0000077e/sig0000192e ), + .CE(\blk00000003/blk0000077e/sig00001937 ), + .CLK(clk), + .D(\blk00000003/sig00000bd2 ), + .Q(\blk00000003/blk0000077e/sig0000192f ), + .Q15(\NLW_blk00000003/blk0000077e/blk00000788_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077e/blk00000787 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000077e/sig00001936 ), + .Q(\blk00000003/sig000003ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077e/blk00000786 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000077e/sig00001935 ), + .Q(\blk00000003/sig000003cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077e/blk00000785 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000077e/sig00001934 ), + .Q(\blk00000003/sig000003d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077e/blk00000784 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000077e/sig00001933 ), + .Q(\blk00000003/sig000003d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077e/blk00000783 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000077e/sig00001932 ), + .Q(\blk00000003/sig000003d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077e/blk00000782 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000077e/sig00001931 ), + .Q(\blk00000003/sig000003d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077e/blk00000781 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000077e/sig00001930 ), + .Q(\blk00000003/sig000003d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077e/blk00000780 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000077e/sig0000192f ), + .Q(\blk00000003/sig000003d5 ) + ); + GND \blk00000003/blk0000077e/blk0000077f ( + .G(\blk00000003/blk0000077e/sig0000192e ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000007c1/blk000007e7 ( + .I0(\blk00000003/sig0000030a ), + .I1(ce), + .O(\blk00000003/blk000007c1/sig00001974 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007c1/blk000007e6 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000af7 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007e6_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001973 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007e5 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000af8 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007e5_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001972 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007e4 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000af9 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007e4_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001971 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007e3 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000afa ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007e3_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001970 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007e2 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000afb ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007e2_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig0000196f ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007e1 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000afc ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007e1_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig0000196e ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007e0 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000afe ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007e0_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig0000196c ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007df ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000aff ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007df_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig0000196b ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007de ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000afd ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007de_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig0000196d ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007dd ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000b00 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007dd_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig0000196a ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007dc ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000b01 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007dc_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001969 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007db ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000b02 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007db_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001968 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007da ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000b03 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007da_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001967 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007d9 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000b04 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007d9_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001966 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007d8 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000b05 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007d8_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001965 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007d7 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000b07 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007d7_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001963 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007d6 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000b08 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007d6_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001962 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007d5 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000b06 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007d5_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001964 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001973 ), + .Q(\blk00000003/sig000001b0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007d3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001972 ), + .Q(\blk00000003/sig000001b1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001971 ), + .Q(\blk00000003/sig000001b2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001970 ), + .Q(\blk00000003/sig000001b3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig0000196f ), + .Q(\blk00000003/sig000001b4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig0000196e ), + .Q(\blk00000003/sig000001b5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig0000196d ), + .Q(\blk00000003/sig000001b6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig0000196c ), + .Q(\blk00000003/sig000001b7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig0000196b ), + .Q(\blk00000003/sig000001b8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig0000196a ), + .Q(\blk00000003/sig000001b9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001969 ), + .Q(\blk00000003/sig000001ba ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001968 ), + .Q(\blk00000003/sig000001bb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001967 ), + .Q(\blk00000003/sig000001bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001966 ), + .Q(\blk00000003/sig000001bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001965 ), + .Q(\blk00000003/sig000001be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001964 ), + .Q(\blk00000003/sig000001bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001963 ), + .Q(\blk00000003/sig000001c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001962 ), + .Q(\blk00000003/sig000001c1 ) + ); + GND \blk00000003/blk000007c1/blk000007c2 ( + .G(\blk00000003/blk000007c1/sig00001961 ) + ); + +// synthesis translate_on + +endmodule + +// synthesis translate_off + +`ifndef GLBL +`define GLBL + +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (weak1, weak0) GSR = GSR_int; + assign (weak1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + +endmodule + +`endif + +// synthesis translate_on diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.veo b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.veo new file mode 100644 index 000000000..eb615e1ee --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.veo @@ -0,0 +1,79 @@ +/******************************************************************************* +* (c) Copyright 1995 - 2010 Xilinx, Inc. All rights reserved. * +* * +* This file contains confidential and proprietary information * +* of Xilinx, Inc. and is protected under U.S. and * +* international copyright and other intellectual property * +* laws. * +* * +* DISCLAIMER * +* This disclaimer is not a license and does not grant any * +* rights to the materials distributed herewith. Except as * +* otherwise provided in a valid license issued to you by * +* Xilinx, and to the maximum extent permitted by applicable * +* law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND * +* WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES * +* AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING * +* BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- * +* INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and * +* (2) Xilinx shall not be liable (whether in contract or tort, * +* including negligence, or under any other theory of * +* liability) for any loss or damage of any kind or nature * +* related to, arising under or in connection with these * +* materials, including for any direct, or any indirect, * +* special, incidental, or consequential loss or damage * +* (including loss of data, profits, goodwill, or any type of * +* loss or damage suffered as a result of any action brought * +* by a third party) even if such damage or loss was * +* reasonably foreseeable or Xilinx had been advised of the * +* possibility of the same. * +* * +* CRITICAL APPLICATIONS * +* Xilinx products are not designed or intended to be fail- * +* safe, or for use in any application requiring fail-safe * +* performance, such as life-support or safety devices or * +* systems, Class III medical devices, nuclear facilities, * +* applications related to the deployment of airbags, or any * +* other applications that could lead to death, personal * +* injury, or severe property or environmental damage * +* (individually and collectively, "Critical * +* Applications"). Customer assumes the sole risk and * +* liability of any use of Xilinx products in Critical * +* Applications, subject only to applicable laws and * +* regulations governing limitations on product liability. * +* * +* THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS * +* PART OF THIS FILE AT ALL TIMES. * +*******************************************************************************/ + +// Generated from component ID: xilinx.com:ip:fir_compiler:5.0 + + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +hbdec1 YourInstanceName ( + .sclr(sclr), // input sclr + .clk(clk), // input clk + .ce(ce), // input ce + .nd(nd), // input nd + .coef_ld(coef_ld), // input coef_ld + .coef_we(coef_we), // input coef_we + .coef_din(coef_din), // input [17 : 0] coef_din + .rfd(rfd), // output rfd + .rdy(rdy), // output rdy + .data_valid(data_valid), // output data_valid + .din_1(din_1), // input [23 : 0] din_1 + .din_2(din_2), // input [23 : 0] din_2 + .dout_1(dout_1), // output [46 : 0] dout_1 + .dout_2(dout_2)); // output [46 : 0] dout_2 + +// INST_TAG_END ------ End INSTANTIATION Template --------- + +// You must compile the wrapper file hbdec1.v when simulating +// the core, hbdec1. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.xco b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.xco new file mode 100644 index 000000000..5d6c03565 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.xco @@ -0,0 +1,102 @@ +############################################################## +# +# Xilinx Core Generator version 14.7 +# Date: Tue Jun 9 23:47:19 2015 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:fir_compiler:5.0 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx75 +SET devicefamily = spartan6 +SET flowvendor = Other +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = fgg484 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT FIR_Compiler family Xilinx,_Inc. 5.0 +# END Select +# BEGIN Parameters +CSET allow_rounding_approximation=false +CSET bestprecision=false +CSET chan_in_adv=0 +CSET clock_frequency=64 +CSET coefficient_buffer_type=Automatic +CSET coefficient_file=./hb47.coe +CSET coefficient_fractional_bits=0 +CSET coefficient_reload=true +CSET coefficient_sets=1 +CSET coefficient_sign=Signed +CSET coefficient_structure=Half_Band +CSET coefficient_width=18 +CSET coefficientsource=COE_File +CSET coefficientvector=6,0,-4,-3,5,6,-6,-13,7,44,64,44,7,-13,-6,6,5,-3,-4,0,6 +CSET columnconfig=7 +CSET component_name=hbdec1 +CSET data_buffer_type=Automatic +CSET data_fractional_bits=0 +CSET data_sign=Signed +CSET data_width=24 +CSET decimation_rate=2 +CSET displayreloadorder=false +CSET filter_architecture=Systolic_Multiply_Accumulate +CSET filter_selection=1 +CSET filter_type=Decimation +CSET gui_behaviour=Coregen +CSET hardwareoversamplingrate=1 +CSET has_ce=true +CSET has_data_valid=true +CSET has_nd=true +CSET has_sclr=true +CSET input_buffer_type=Automatic +CSET inter_column_pipe_length=4 +CSET interpolation_rate=1 +CSET multi_column_support=Disabled +CSET number_channels=1 +CSET number_paths=2 +CSET optimization_goal=Area +CSET output_buffer_type=Automatic +CSET output_rounding_mode=Full_Precision +CSET output_width=47 +CSET passband_max=0.5 +CSET passband_min=0.0 +CSET preference_for_other_storage=Automatic +CSET quantization=Integer_Coefficients +CSET rate_change_type=Integer +CSET ratespecification=Frequency_Specification +CSET registered_output=true +CSET sample_frequency=64 +CSET sampleperiod=1 +CSET sclr_deterministic=true +CSET stopband_max=1.0 +CSET stopband_min=0.5 +CSET usechan_in_adv=false +CSET zero_pack_factor=1 +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2013-10-13T18:46:09Z +# END Extra information +GENERATE +# CRC: c82a9824 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_0.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_0.mif new file mode 100644 index 000000000..f19636daf --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_0.mif @@ -0,0 +1,5 @@ +111111111111000010 +000000000011000010 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_1.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_1.mif new file mode 100644 index 000000000..07e316dd3 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_1.mif @@ -0,0 +1,5 @@ +111111111001001000 +000000001101010111 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_2.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_2.mif new file mode 100644 index 000000000..da84300d6 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_2.mif @@ -0,0 +1,5 @@ +111111101000011111 +000000100110101110 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_3.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_3.mif new file mode 100644 index 000000000..ea92ca6c2 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_3.mif @@ -0,0 +1,5 @@ +111111000011000100 +000001011101100110 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_4.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_4.mif new file mode 100644 index 000000000..9e9303211 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_4.mif @@ -0,0 +1,5 @@ +111101110000011101 +000011100100101000 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_5.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_5.mif new file mode 100644 index 000000000..e0c3f05fe --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_5.mif @@ -0,0 +1,5 @@ +111001100001011000 +010100010001000001 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto_HALFBAND_CENTRE0.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto_HALFBAND_CENTRE0.mif new file mode 100644 index 000000000..ca072e0fc --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto_HALFBAND_CENTRE0.mif @@ -0,0 +1,3 @@ +011111111111111111 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_flist.txt b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_flist.txt new file mode 100644 index 000000000..2bd535f92 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_flist.txt @@ -0,0 +1,22 @@ +# Output products list for +hbdec1.asy +hbdec1.gise +hbdec1.mif +hbdec1.ngc +hbdec1.v +hbdec1.veo +hbdec1.xco +hbdec1.xise +hbdec1COEFF_auto0_0.mif +hbdec1COEFF_auto0_1.mif +hbdec1COEFF_auto0_2.mif +hbdec1COEFF_auto0_3.mif +hbdec1COEFF_auto0_4.mif +hbdec1COEFF_auto0_5.mif +hbdec1COEFF_auto_HALFBAND_CENTRE0.mif +hbdec1_flist.txt +hbdec1_readme.txt +hbdec1_reload_addrfilt_decode_rom.mif +hbdec1_reload_order.txt +hbdec1_xmdf.tcl +hbdec1filt_decode_rom.mif diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_readme.txt b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_readme.txt new file mode 100644 index 000000000..7a62ed177 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_readme.txt @@ -0,0 +1,76 @@ +The following files were generated for 'hbdec1' in directory +/home/mwest/git/fpgadev/usrp3/top/b205/coregen_dsp/ + +Opens the IP Customization GUI: + Allows the user to customize or recustomize the IP instance. + + * hbdec1.mif + * hbdec1_reload_order.txt + +ISE file generator: + Add description here... + + * hbdec1_flist.txt + +Model Parameter Resolution: + Resolves generated model parameter values on the component instance. + + * hbdec1.mif + * hbdec1_reload_order.txt + +XCO file generator: + Generate an XCO file for compatibility with legacy flows. + + * hbdec1.xco + +Creates an implementation netlist: + Creates an implementation netlist for the IP. + + * hbdec1.ngc + * hbdec1.v + * hbdec1.veo + * hbdec1COEFF_auto0_0.mif + * hbdec1COEFF_auto0_1.mif + * hbdec1COEFF_auto0_2.mif + * hbdec1COEFF_auto0_3.mif + * hbdec1COEFF_auto0_4.mif + * hbdec1COEFF_auto0_5.mif + * hbdec1COEFF_auto_HALFBAND_CENTRE0.mif + * hbdec1_reload_addrfilt_decode_rom.mif + * hbdec1filt_decode_rom.mif + +Creates an HDL instantiation template: + Creates an HDL instantiation template for the IP. + + * hbdec1.veo + +IP Symbol Generator: + Generate an IP symbol based on the current project options'. + + * hbdec1.asy + +Generate ISE metadata: + Create a metadata file for use when including this core in ISE designs + + * hbdec1_xmdf.tcl + +Generate ISE subproject: + Create an ISE subproject for use when including this core in ISE designs + + * hbdec1.gise + * hbdec1.xise + +Deliver Readme: + Readme file for the IP. + + * hbdec1_readme.txt + +Generate FLIST file: + Text file listing all of the output files produced when a customized core was + generated in the CORE Generator. + + * hbdec1_flist.txt + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_reload_addrfilt_decode_rom.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_reload_addrfilt_decode_rom.mif new file mode 100644 index 000000000..32d689cd7 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_reload_addrfilt_decode_rom.mif @@ -0,0 +1,17 @@ +00 +10 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_reload_order.txt b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_reload_order.txt new file mode 100644 index 000000000..11f12084f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_reload_order.txt @@ -0,0 +1,25 @@ +Reload index 0 = Index 20 +Reload index 1 = Index 22 +Reload index 2 = Index 16 +Reload index 3 = Index 18 +Reload index 4 = Index 12 +Reload index 5 = Index 14 +Reload index 6 = Index 8 +Reload index 7 = Index 10 +Reload index 8 = Index 4 +Reload index 9 = Index 6 +Reload index 10 = Index 0 +Reload index 11 = Index 2 +Reload index 12 = Index 23 +Reload index 13 = Index 0 +Reload index 14 = Index 0 +Reload index 15 = Index 0 +Reload index 16 = Index 0 +Reload index 17 = Index 0 +Reload index 18 = Index 0 +Reload index 19 = Index 0 +Reload index 20 = Index 0 +Reload index 21 = Index 0 +Reload index 22 = Index 0 +Reload index 23 = Index 0 + diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_xmdf.tcl b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_xmdf.tcl new file mode 100644 index 000000000..7aa8f91c3 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_xmdf.tcl @@ -0,0 +1,111 @@ +# The package naming convention is _xmdf +package provide hbdec1_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::hbdec1_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::hbdec1_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name hbdec1 +} +# ::hbdec1_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::hbdec1_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1COEFF_auto0_0.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1COEFF_auto0_1.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1COEFF_auto0_2.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1COEFF_auto0_3.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1COEFF_auto0_4.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1COEFF_auto0_5.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1COEFF_auto_HALFBAND_CENTRE0.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1_reload_addrfilt_decode_rom.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1_reload_order.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type text +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1filt_decode_rom.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module hbdec1 +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1filt_decode_rom.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1filt_decode_rom.mif new file mode 100644 index 000000000..32d689cd7 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1filt_decode_rom.mif @@ -0,0 +1,17 @@ +00 +10 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.asy b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.asy new file mode 100644 index 000000000..e9bd5ec37 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.asy @@ -0,0 +1,61 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 hbdec2 +RECTANGLE Normal 32 32 544 2048 +LINE Normal 0 144 32 144 +PIN 0 144 LEFT 36 +PINATTR PinName nd +PINATTR Polarity IN +LINE Wide 0 240 32 240 +PIN 0 240 LEFT 36 +PINATTR PinName din_1[23:0] +PINATTR Polarity IN +LINE Wide 0 272 32 272 +PIN 0 272 LEFT 36 +PINATTR PinName din_2[23:0] +PINATTR Polarity IN +LINE Normal 0 848 32 848 +PIN 0 848 LEFT 36 +PINATTR PinName coef_ld +PINATTR Polarity IN +LINE Normal 0 880 32 880 +PIN 0 880 LEFT 36 +PINATTR PinName coef_we +PINATTR Polarity IN +LINE Wide 0 912 32 912 +PIN 0 912 LEFT 36 +PINATTR PinName coef_din[17:0] +PINATTR Polarity IN +LINE Normal 0 1008 32 1008 +PIN 0 1008 LEFT 36 +PINATTR PinName clk +PINATTR Polarity IN +LINE Normal 0 1040 32 1040 +PIN 0 1040 LEFT 36 +PINATTR PinName ce +PINATTR Polarity IN +LINE Normal 0 1072 32 1072 +PIN 0 1072 LEFT 36 +PINATTR PinName sclr +PINATTR Polarity IN +LINE Wide 576 240 544 240 +PIN 576 240 RIGHT 36 +PINATTR PinName dout_1[46:0] +PINATTR Polarity OUT +LINE Wide 576 272 544 272 +PIN 576 272 RIGHT 36 +PINATTR PinName dout_2[46:0] +PINATTR Polarity OUT +LINE Normal 576 1840 544 1840 +PIN 576 1840 RIGHT 36 +PINATTR PinName rfd +PINATTR Polarity OUT +LINE Normal 576 1872 544 1872 +PIN 576 1872 RIGHT 36 +PINATTR PinName rdy +PINATTR Polarity OUT +LINE Normal 576 1904 544 1904 +PIN 576 1904 RIGHT 36 +PINATTR PinName data_valid +PINATTR Polarity OUT + diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.mif new file mode 100644 index 000000000..78d2dd901 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.mif @@ -0,0 +1,47 @@ +111111111111000010 +000000000000000000 +000000000011000010 +000000000000000000 +111111111001001000 +000000000000000000 +000000001101010111 +000000000000000000 +111111101000011111 +000000000000000000 +000000100110101110 +000000000000000000 +111111000011000100 +000000000000000000 +000001011101100110 +000000000000000000 +111101110000011101 +000000000000000000 +000011100100101000 +000000000000000000 +111001100001011000 +000000000000000000 +010100010001000001 +011111111111111111 +010100010001000001 +000000000000000000 +111001100001011000 +000000000000000000 +000011100100101000 +000000000000000000 +111101110000011101 +000000000000000000 +000001011101100110 +000000000000000000 +111111000011000100 +000000000000000000 +000000100110101110 +000000000000000000 +111111101000011111 +000000000000000000 +000000001101010111 +000000000000000000 +111111111001001000 +000000000000000000 +000000000011000010 +000000000000000000 +111111111111000010 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.ngc b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.ngc new file mode 100644 index 000000000..839be66eb --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$37:2~753-Xnzd}foo8#5+421).97 ?>;168456702:;<=678=>0<=>:4:23432<89:<86>?0968456><2:;678J>0<=>K4:234C4<8=80<4?41292*54<99>0==>?17922?OIX\^1HLZN_HL?50<76820=;4@UURVP?BF\HUDYY2>5;2=55=603CE\XZ5A=34>58682;36D@_UU8A841=87;87<65IORVP?DDAG6:;7>111927?2@D[YY4KA^KM841=87;<7<65IORVP?ehmoUoec2>7;2=50=603E^X][[:CALQQ:6?3:5=;5>8;MVPUSS2MKTCXZ31683:40<910DYY^ZT;FA[JSS48=1<3?=;08JJUSS2HNO^L2?:1<26>7=AGZ^X7OKDS@?4?699j1:6D@_UU8`k``Xn`fiQ|em>3>586?2;1EC^ZT;djhqcuWzog0=4?>0785?IR\Y__6nfnn^km85<768<0=7AZTQWW>fnffVe~x1>50?386==58HK9>2:07>LHW]]0oec2=3;2=50=5<3CE\XZ5dhl\55:5;3:5=85=4;KMTPR=l`dT=<2=3;2=50=5<3CE\XZ5dhl\57:5;3:5=85=4;KMTPR=l`dT=>2=3;2=50=5<3CE\XZ5dhl\51:5;3:5=85=4;KMTPR=l`dT=82=3;2=50=5<3CE\XZ5dhl\53:5;3:5=95=4;KMTPR=l`dT=1<<:1<20>432@D[YY4kio]1875=87;?7?:5IORVP?bnfV97>>4?>0686117?699=1987GAPTV9`lhX=5886=0>4:07>LHW]]0oecQ9<3194;733;>1EC^ZT;fjjZ1;::0;2<:4258JJUSS2mceS52=3;2=51=5<3CE\XZ5dhl\=944294::6<;:NWWTPR=lfSd2=3;2=5<=5<3E^X][[:emvpZoX9969?7>118910?IR\Y__6iazt^k\54:5;3:5=45=4;MVPUSS2me~xRgP13>17?69901987AZTQWW>air|VcT=>2=3;2=5<=5<3E^X][[:emvpZoX9=69?7>118910?IR\Y__6iazt^k\50:5;3:5=45=4;MVPUSS2me~xRgP17>17?69911987AZTQWW>air|VcT=1<<:1<2<>432F__\XZ5dnww[lY54;91<3?7;369KPRW]]0ocxzPi^1?66<76820>94@UURVP?bh}}UbS92=3;2=5==5<3E^X][[:emvpZoX=5886=0>8:07>JSSX\^1hb{{_h]5875=87;37?:5OTVSQQ<:=0DYY^ZT;flqqYnW169?7>119910?IR\Y__6iazt^k\=944294:=6<5IORVP?GCL[6:6=0>2:09MKVR\3KOH_O31;2=57=52@D[YY4NDEPA84<768;0?;4@UURVP?K;;<0;2<<43;KMTPR=IMNYM1<50?31?61>5853=::86:8:NWWTPR=lf09850?34?11=G\^[YY4kotv\55:3>3:5=:5;7;MVPUSS2me~xR?><5494;703==1CXZ_UU8gkprX9;6?:7>116973?IR\Y__6iazt^30810=87;<7995OTVSQQ72?699?1?;7AZTQWW>air|V;78;4?>04802202F__\XZ5dnww[1:3>3:5=;5;7;MVPUSS2me~xR;34783:40<<>0DYY^ZT;flqqY14=<1<3?9;559KPRW]]0ocxzP7=65>586>2><6B[[PTV9`jssW16?:7>117973?IR\Y__6iazt^;?03<768<08:4@UURVP?bh}}Uz09850?3:?11=G\^[YY4kotv\uZ774=<1<3?6;559KPRW]]0ocxzPq^32810=87;27995OTVSQQ3==1CXZ_UU8gkprXyV;809850?3:?11=G\^[YY4kotv\uZ734=<1<3?6;559KPRW]]0ocxzPq^36810=87;27995OTVSQQ0:8023:5=55;7;MVPUSS2me~xRP3=65>58602><6B[[PTV9`jssWxU?09850?3;?11=G\^[YY4kotv\uZ3;72?69911?;7AZTQWW>air|V{T;1:9:1<2<>202F__\XZ5dnww[tY?4=<1<3?7;559KPRW]]0ocxzPq^;?03<768:0854FNQWW>F:3?3:5=95;8;KMTPR=LHUBB1:8:1<27>2?2@D[YY4_CHL?02<768<0854@UURVP?BFWF__09950?35?1>=G\^[YY4KB^MVP920294:=6:7:NWWTPR=X5><6=0>5:6;>JSSX\^1\NAZT=64>58?3=L?89:;4596516333%:;0;?582394B4=?:22;86670937?=6::9KPRW]]0OMYOPOTV?3?69<22M45<49@08E536O<4:C?4;3G;9;4>7L2>3?78E9736<1J0<;15:C?53803H6:;7>15:C?52833H6:295N<3<7?D:46=1J090;;@>6:1=F4?4?7L28>59B8=833H622:5NDEP?4;?69B@AT;97k0MIJ]A=294;>1a:CG@WG;93:546OKDSC?5;gGCL[H7>3;4B1PFC0=E9[OL86L30?78F9776<1I00:1=E4=4?7O2:>59A83833K6<295M<9<7?G:>6>1IOD@30?:8FFOI48:546LLIO>25;>DDAG6:9364BBKM8409i2HHEC2>7;2=<>DDAG6:;394BBKM84803KIBB1<17:@@MK:46>1IOD@34?58FFOI4<4<7OMFN=4=3>DDAG6<2:5MCHL?<;1DDG\^7=<06;CALQQ:6:730NNAZT=30:<=EKF__0<:19:@@KPR;9<427OM@UU>22;d720NNAZT=5=<>DDG\^74364BBMVP9?9:2HM46LZS^KMBJ724;3E;9:4>7N2>4?78G9726<1H0<815:A?52823J6:43;4C=3::1=D484>7N2=0?78G9466<1H0?<15:A?66823J6983;4C=06:0=D4;<596M326<6?F:507?0O1<6>59@87823J68<3;4C=12:0=D4:8596M332<6?F:4<7?0O1=:>49@8609=2I7?:0:;B>0<;3>49@8149=2I78>0:;B>70;3>285L<54=3>E;<>0;285L<55=0>E;<7>0O1;14:A?2;2EBJ:1HIN74CDABVW_NF:1HII64CDGF25;3B;9=4>7I2>5?78@9716>1O0<950?78@9706=1O0<0;;E>1:1=C4:4?7I2;>59G80833M6=295K<6<7?A:?6=1O040=;EC7?AGFIh1OMYOPIO>3:g=CI]KTEC2>0?`8@DRFW@D7=<0m;ECWEZOI4885n6JNT@]JJ9746k1OMYOPIO>20;b5?c8@DRFW@D7=3o4D@VB[LH;:7k0HLZN_HL?7;g7i0HLZN_HL?3?69i2NJXLQFN=5=e>BF\HUBB161a:FBPDYNF535n6JNT@]LQQ:76j1OMYOPOTV?558d3MK_MRAZT=32:f=CI]KTCXZ313<`?AGSIVE^X1?<>b9GEQGXG\^7=90j;ECWEZIR\5;>6=0l;ECWEZIR\5;>2o5KAUC\KPR;97h0HLZN_NWW878e3MK_MRAZT=1=f>BF\HUDYY2;>c9GEQGXG\^793l4D@VB[JSS4?4o7IO[A^MVP91=87h0HLZN_NWW828e3MK_MRAZT=:=f>BF\HUDYY26>99GEZOI49427IOPIO>24;?>89GEZOI488556JN_HL?568>3MKTEC2>4?;8@DYNF5;>245KA^KM8409j2NJSD@31683:<=CIVCE0<919:FB[LH;91427IOPIO>2=;>15;?89GEZOI4;9556JN_HL?618>3MKTEC2=5?;8@DYNF58=245KA^KM871912NJSD@329<:?AGXAG695364D@]JJ94912NJSD@331<:?AGXAG68=374D@]JJ955601OMRGA<21==>BFW@D7?906;EC\MK:4=730HLQFN=15:<=CIVCE0>919:FB[LH;;1427IOPIO>0=;>75;?89GEZOI4=9556JN_HL?018>3MKTEC2;5?;8@DYNF5>=2o5KA^KM811=8730HLQFN=64:==CIVCE0907;EC\MK:2611OMRGA<7<;?AGXAG6<255KA^KM8=8?3MKTEC26>89GEZIR\5:5m6JN_NWW8469i2NJSB[[<03=e>BFWF__0<<1a:FB[JSS4895m6JN_NWW8429i2NJSB[[<07=e>BFWF__0<81c:FB[JSS48=1<3o4D@]LQQ:6?7k0HLQ@UU>2<;g9?;8@DYH]]6:2l5KA^MVP9476h1OMRAZT=02:d=CIVE^X1<=>`9GEZIR\5882l5KA^MVP9436h1OMRAZT=06:d=CIVE^X1<9>`9GEZIR\58<2l5KA^MVP94?6h1OMRAZT=0::<=CIVE^X1<1a:FB[JSS4::5m6JN_NWW8679i2NJSB[[<20=e>BFWF__0>=1a:FB[JSS4:>5m6JN_NWW8639i2NJSB[[<24=e>BFWF__0>91a:FB[JSS4:25m6JN_NWW86?912NJSB[[<289GEZIR\5>556JN_NWW808>3MKTCXZ36?;8@DYH]]6<245KA^MVP9>912NJSB[[<8<:?ADXG\^7<3o4DC]LQQ:687k0HOQ@UU>25;g2?c8@GYH]]6:?3o4DC]LQQ:6<7k0HOQ@UU>21;g6?a8@GYH]]6:;7>1a:FA[JSS48=5m6JM_NWW84>9i2NISB[[<0;==>BEWF__0<0n;E@\KPR;:94j7ILPOTV?648f3MHTCXZ323>0n;E@\KPR;:=4j7ILPOTV?608f3MHTCXZ327:0n;E@\KPR;:14j7ILPOTV?6<8>3MHTCXZ32?c8@GYH]]68<3o4DC]LQQ:497k0HOQ@UU>06;g02;g0:d=CJVE^X1:?>`9GFZIR\5>:2l5KB^MVP9256h1ONRAZT=60:d=CJVE^X1:;>`9GFZIR\5>>2l5KB^MVP9216j1ONRAZT=64>58f3MHTCXZ346<:?ADXG\^78374DC]LQQ:2601ONRAZT=4==>BEWF__0:06;E@\KPR;0730HOQ@UU>::7=CM=1OJL>=;EK0?AVH=2N[^L>:;ERQE4385KPSC00>BUMN=0H^^;8@32?@40IL@CM:1MH_:4FEPF0>@C[L>0JK6?4:DELON1:E0?BHC92C97D>=;H31?L453@997D:6;HLJPVRF\L90ECX=;MK1?II13EEJHHJ8;MMDMFGK<2F^X<:4LTV10>JR\:>0@XZ;4:NVP0249N8459=2G7=90:;L>21;3K;914>7@2>9?68I979=2G7>=0:;L>15;3K;:=4>7@2=5?78I9416<1F0?915:O?6=823D6953:4M=0=1>K;;94>7@2<1?78I9556<1F0>=15:O?71803D6897>15:O?70833D68295B<5<7?H:26=1F0;0;;L>4:1=J414?7@26>59NV@A23D_SOT94MTZ@]ZB03D_SOTQCc:ObnjtQm{ybccm4MhllvScu{`ee?6@>029M545H6?<>0B<994:L23227818J4>33G;3<95A1937?K7?:=1E=5=;;O3;01=I91??7C?7659M5=133G;3495A19;0?K7><2D:5=:4N0;20>H61;>0B<7<4:L2=1286@>9768J4?0<2D:55:4N0;:6>H5;2D9<95A2127?K479=1E>=<;;O0371=I:9>?7C<:4N3330>H598>0B??=4:L1562H59090B?<;;O0141=I:;;?7C<=229M66587C<:3:L126=I:190B?7=;O10?K57:2D?>6@:4:L61<2:>:4N4470>H2><>0B8894:L6222;<:4N4510>H2?:>0B89;4:L6302;4:4N4:30>H208>0B86=4:L6<621<2D>4::4N4:;0>H200>0B87?4:L6=4258:4N4;50>H21>>0B8774:L6=<2:4N7270>H18<>0B;984:L53=26<2D=4?:4N7:00>H10=>0B;6:4:L5<32><2D=5=:4N7;20>H11;>0B;7<4:L5=1286@99768J3?0<2D=55:4N7;:6>H0<2D<<=:4N6220>H08;>0B:><4:L4412:>86@80768J260<2D<<5:4N62:0>H099>0B:?>4:L4572;886@81568J272<2D<=;:4N6340>H091>0B:?64:L46528:86@82368J244:2D3>6@6f:LA[GSTX@DT\_A_S69MAQQHZB=0BBCJRFG2?J4IWEFNN0>06;NRNKAC;<730C]C@DD>6:<=HXDEOI181b:MSIJBB4>0;245@PLMGA91912E[ABJJRDE23>IW\@GBVHQ_RHOJPLPB[VDLOl5@bgwpgdrhz81[86^30?78T9776<1[049S84?9<2Z7=3;4P=03:0=W4;;596^323<6?U:5;7?0\1<;>49S8739=2Z7>;0:;Q>13;3V;:7?0\1=?>49S8679=2Z7??0:;Q>07;3V;;?4>7]2<7?78T95?6<1[0>714:R?7;3;285_<53=1>V;<;4>7]2;3?78T9236<1[09;15:R?03803Y6?;7>15:R?02833Y6?295_<4<7?U:16=1[0:0;;Q>;:1=W404?7]O]T69SGLH;8720\NGA<02=<>VDAG6:=364PBKM844902ZHEC2>3?:8TFOI48>546^LIO>21;>VDAG6:5394PBKM848?3YIBB199SGLH;:8437]MFN=01:==WK@D7>>07;QAJJ943611[OD@324<;?UENF58=255_CHL?628?3YIBB1<7>99SGLH;:04<7]MFN=0=<>VDAG68<364PBKM867902ZHEC2<2?:8TFOI4:9546^LIO>00;>;18:R@MK:4>720\NGA<25=<>VDAG684364PBKM86?9?2ZHEC2<>99SGLH;<9437]MFN=62:==WK@D78?07;QAJJ924611[OD@345<;?UENF5>>255_CHL?038f3YIBB1:8:1<;?UENF5><2:5_CHL?0;169SGLH;07=0\NGA<8<;?UEH]]6;245_CNWW846912ZHCXZ310<:?UEH]]6:>374PBMVP974601[OB[[<06==>VDG\^7=806;QALQQ:6>730\NAZT=34:<=WKF__0<619:R@KPR;90437]M@UU>2:<=WKF__0?>19:R@KPR;:8427]M@UU>16;?89SGJSS4;>556^LOTV?608>3YIDYY2=6?;8TFIR\58<245_CNWW87>912ZHCXZ328<;?UEH]]69245_CNWW866912ZHCXZ330<:?UEH]]68>374PBMVP954601[OB[[<26==>VDG\^7?806;QALQQ:4>730\NAZT=14:<=WKF__0>619:R@KPR;;0437]M@UU>0:<=WKF__09>19:R@KPR;<8427]M@UU>76;?89SGJSS4=>556^LOTV?008>3YIDYY2;6?`8TFIR\5><6=06;QALQQ:3?720\NAZT=6=<>VDG\^79364PBMVP90902ZHCXZ37?:8TFIR\52546^LOTV?=;g0\_KH1:S0?T7292X37_OB17Z2@1=U[]K?7_][B59QWQEf3[Y_OL\]YHL7?WUSL=1Y_YC6;SQWKUKHLL>0^^Z_7:PPPQ_WM81X86]LLS32?VNUMNUNTY\NCU]MCFc<[AXNKRCFN^QJ^@><[BC[S]GA6:QLQWEB;2Y[C:5\RMA22@2<[PDH86ZVPDc8QVCUWHFBM^m4URGQ[SOTAKFN?6XLC89UM@QX\PZN86YLLJ08S@5<_LK:h6VFLHL[)]BE(9$:,^ZZB!2-5%GTK@C>7UA]CX48\VRKAKh0TRM@RD]JJCI13QniSDji;Yfk[Utne_oydaa119[`hYJiceyZh||inl24>^ceVGbbb|Yesqjkk5829\[Z^KFDUTS0^m2=<=XWVRGB@QP_2]\[P6(oVgjo#cnc.djvZubdli#jka_dzwakbblVg~`y iis-emwYtmeohx"ijn^jbhcdffmUoingbauklj+`nz&mnbRlcaoi\v`a(oldTnaoak^pfcv]7U'hgmcePrde\|*tboVce|xz>949\[Z^KFDUTS9QP_T2,cZkfk'gjo"hfr^qfh`es'noeShv{eoff`Zkrd}$me!iis]paicd|&mnbRfnlg`bjaYcmjcfmyg`n/djv*abfVxmh"ijn^qwvpes&noeSca}m/ampwj(EdsSkh_hlsqq76?k1TSRVCNL]\[0YXW\:$kRcnc/obg*`nzVyn`hm{/fgm[`~smgnnhRczlu,emw)aa{Uxiaklt.efjZnfdohjbiQkebkneqohf'lb~"acnff[fhszeUo`t2>>^grj4>63VUTTA@B_^]5[ZYR8&mTalm!m`a,bltX{lfnoy!heo]f|qcillnTaxb{.gkq+couWzoginz gdl\ldjajhdoSiklilcwmjh)n`x$^h}jt^MQ[Atn~lxJBIMcobiFjddkm8o>7RQPXMLN[ZY0WVU^<"iPm`a-ide(n`xThbjcu-dakYbp}oehhjPmtnw*cou'ocyS~kcebv,c`hX`hfmnl`k_eg`mhgsafd%jd| gdl\bljsm{Ui`bmd/fgm[lhcmyoehl`{_bmo_7[)oldT}bft^alh^6Z&noeSyosZ1^*bciWmkmR}vm^abwf(`mgUecc!d`vb[vjWjkxoRjcy.AF[RCXIGNT=;;Po0;7?ZYXPEDFSRQ7_^]V4*aXehi%alm fhp\w`jbk}%licQjxugm``bXe|f"kg}/gkq[vckmj~$kh`Ph`nefdhcWmohe`o{inl-blt(oldT~hb`ae,qaiiflViexb CNGE[RCXKFOMSAJPAOF\<?01236g=edb:;<=>?02`8fim789:;<=:m;cnh456789:>n6lck1234567>k1i`f>?012342d?01:a?gjl89:;<=>6b:`oo56789:;mo5mlj2345678kh0nae?012345ee3kf`<=>?012gf>dkc9:;<=>?ec9ahn6789:;?01226g=edb:;<=>?12`8fim789:;<<:m;cnh456789;>n6lck1234566>k1i`f>?012352d?00:a?gjl89:;<=?6b:`oo56789::mo5mlj2345679kh0nae?012344ee3kf`<=>?013gf>dkc9:;<=>>ec9ahn6789:;=kl4bmi345678;:i7obd01234546j2hgg=>?01216g=edb:;<=>?22`8fim789:;n6lck1234565>k1i`f>?012362d?03:a?gjl89:;<=<6b:`oo56789:9mo5mlj234567:kh0nae?012347ee3kf`<=>?010gf>dkc9:;<=>=ec9ahn6789:;>kl4bmi345678::i7obd01234556j2hgg=>?01206g=edb:;<=>?32`8fim789:;<>:m;cnh4567899>n6lck1234564>k1i`f>?012372d?02:a?gjl89:;<==6b:`oo56789:8mo5mlj234567;kh0nae?012346ee3kf`<=>?011gf>dkc9:;<=>?01276g=edb:;<=>?42`8fim789:;<9:m;cnh456789>>n6lck1234563>k1i`f>?012302d?05:a?gjl89:;<=:6b:`oo56789:?mo5mlj234567?016gf>dkc9:;<=>;ec9ahn6789:;8kl4bmi345678<:i7obd01234536j2hgg=>?01266g=edb:;<=>?52`8fim789:;<8:m;cnh456789?>n6lck1234562>k1i`f>?012312d?04:a?gjl89:;<=;6b:`oo56789:>mo5mlj234567=kh0nae?012340ee3kf`<=>?017gf>dkc9:;<=>:ec9ahn6789:;9kl4bmi345678?:i7obd01234506j2hgg=>?01256g=edb:;<=>?62`8fim789:;<;:m;cnh456789<>n6lck1234561>k1i`f>?012322d?07:a?gjl89:;<=86b:`oo56789:=mo5mlj234567>kh0nae?012343ee3kf`<=>?014gf>dkc9:;<=>9ec9ahn6789:;:kl4bmi345678>:i7obd01234516j2hgg=>?01246g=edb:;<=>?72`8fim789:;<::m;cnh456789=>n6lck1234560>k1i`f>?012332d?06:a?gjl89:;<=96b:`oo56789:?015gf>dkc9:;<=>8ec9ahn6789:;;kl4bmi3456781:i7obd012345>6j2hgg=>?012;6g=edb:;<=>?82`8fim789:;<5:m;cnh4567892>n6lck123456?>k1i`f>?0123<2d?09:a?gjl89:;<=66b:`oo56789:3mo5mlj2345670kh0nae?01234=ee3kf`<=>?01:gf>dkc9:;<=>7ec9ahn6789:;4kl4bmi3456780:i7obd012345?6j2hgg=>?012:6g=edb:;<=>?92`8fim789:;<4:m;cnh4567893>n6lck123456>>k1i`f>?0123=2d?08:a?gjl89:;<=76b:`oo56789:2mo5mlj2345671kh0nae?01234?01;gf>dkc9:;<=>6ec9ahn6789:;5kl4bmi345678h:i7obd012345g6j2hgg=>?012b6g=edb:;<=>?a2`8fim789:;n6lck123456f>k1i`f>?0123e2d?0`:a?gjl89:;<=o6b:`oo56789:jmo5mlj234567ikh0nae?01234dee3kf`<=>?01cgf>dkc9:;<=>nec9ahn6789:;mkl4bmi345678k:i7obd012345d6j2hgg=>?012a6g=edb:;<=>?b2`8fim789:;n6lck123456e>k1i`f>?0123f2d?0c:a?gjl89:;<=l6b:`oo56789:imo5mlj234567jkh0nae?01234gee3kf`<=>?01`gf>dkc9:;<=>mec9ahn6789:;nkl4bmi345678j:i7obd012345e6j2hgg=>?012`6g=edb:;<=>?c2`8fim789:;n6lck123456d>k1i`f>?0123g2d?0b:a?gjl89:;<=m6b:`oo56789:hmo5mlj234567kkh0nae?01234fee3kf`<=>?01agf>dkc9:;<=>lec9ahn6789:;okl4bmi345678m:i7obd012345b6j2hgg=>?012g6g=edb:;<=>?d2`8fim789:;n6lck123456c>k1i`f>?0123`2d?0e:a?gjl89:;<=j6b:`oo56789:omo5mlj234567lkh0nae?01234aee3kf`<=>?01fgf>dkc9:;<=>kec9ahn6789:;hkl4bmi345678l:i7obd012345c6j2hgg=>?012f6g=edb:;<=>?e2`8fim789:;n6lck123456b>k1i`f>?0123a2d?0d:a?gjl89:;<=k6b:`oo56789:nmo5mlj234567mkh0nae?01234`ee3kf`<=>?01ggf>dkc9:;<=>jec9ahn6789:;ikl4bmi345678o:i7obd012345`6j2hgg=>?012e6g=edb:;<=>?f2`8fim789:;n6lck123456a>k1i`f>?0123b2d?0g:a?gjl89:;<=h6b:`oo56789:mmo5mlj234567nkh0nae?01234cee3kf`<=>?01dgf>dkc9:;<=>iec9ahn6789:;jkl4bmi3456799:i7obd01234466j2hgg=>?01336g=edb:;<=>>02`8fim789:;==:m;cnh456788:>n6lck1234577>k1i`f>?012242d?11:a?gjl89:;<<>6b:`oo56789;;mo5mlj2345668kh0nae?012355ee3kf`<=>?002gf>dkc9:;<=??ec9ahn6789::?01326g=edb:;<=>>12`8fim789:;=<:m;cnh456788;>n6lck1234576>k1i`f>?012252d?10:a?gjl89:;<?003gf>dkc9:;<=?>ec9ahn6789::=kl4bmi345679;:i7obd01234446j2hgg=>?01316g=edb:;<=>>22`8fim789:;=?:m;cnh4567888>n6lck1234575>k1i`f>?012262d?13:a?gjl89:;<<<6b:`oo56789;9mo5mlj234566:kh0nae?012357ee3kf`<=>?000gf>dkc9:;<=?=ec9ahn6789::>kl4bmi345679::i7obd01234456j2hgg=>?01306g=edb:;<=>>32`8fim789:;=>:m;cnh4567889>n6lck1234574>k1i`f>?012272d?12:a?gjl89:;<<=6b:`oo56789;8mo5mlj234566;kh0nae?012356ee3kf`<=>?001gf>dkc9:;<=??01376g=edb:;<=>>42`8fim789:;=9:m;cnh456788>>n6lck1234573>k1i`f>?012202d?15:a?gjl89:;<<:6b:`oo56789;?mo5mlj234566?006gf>dkc9:;<=?;ec9ahn6789::8kl4bmi345679<:i7obd01234436j2hgg=>?01366g=edb:;<=>>52`8fim789:;=8:m;cnh456788?>n6lck1234572>k1i`f>?012212d?14:a?gjl89:;<<;6b:`oo56789;>mo5mlj234566=kh0nae?012350ee3kf`<=>?007gf>dkc9:;<=?:ec9ahn6789::9kl4bmi345679?:i7obd01234406j2hgg=>?01356g=edb:;<=>>62`8fim789:;=;:m;cnh456788<>n6lck1234571>k1i`f>?012222d?17:a?gjl89:;<<86b:`oo56789;=mo5mlj234566>kh0nae?012353ee3kf`<=>?004gf>dkc9:;<=?9ec9ahn6789:::kl4bmi345679>:i7obd01234416j2hgg=>?01346g=edb:;<=>>72`8fim789:;=::m;cnh456788=>n6lck1234570>k1i`f>?012232d?16:a?gjl89:;<<96b:`oo56789;?005gf>dkc9:;<=?8ec9ahn6789::;kl4bmi3456791:i7obd012344>6j2hgg=>?013;6g=edb:;<=>>82`8fim789:;=5:m;cnh4567882>n6lck123457?>k1i`f>?0122<2d?19:a?gjl89:;<<66b:`oo56789;3mo5mlj2345660kh0nae?01235=ee3kf`<=>?00:gf>dkc9:;<=?7ec9ahn6789::4kl4bmi3456790:i7obd012344?6j2hgg=>?013:6g=edb:;<=>>92`8fim789:;=4:m;cnh4567883>n6lck123457>>k1i`f>?0122=2d?18:a?gjl89:;<<76b:`oo56789;2mo5mlj2345661kh0nae?01235?00;gf>dkc9:;<=?6ec9ahn6789::5kl4bmi345679h:i7obd012344g6j2hgg=>?013b6g=edb:;<=>>a2`8fim789:;=l:m;cnh456788k>n6lck123457f>k1i`f>?0122e2d?1`:a?gjl89:;<?00cgf>dkc9:;<=?nec9ahn6789::mkl4bmi345679k:i7obd012344d6j2hgg=>?013a6g=edb:;<=>>b2`8fim789:;=o:m;cnh456788h>n6lck123457e>k1i`f>?0122f2d?1c:a?gjl89:;<?00`gf>dkc9:;<=?mec9ahn6789::nkl4bmi345679j:i7obd012344e6j2hgg=>?013`6g=edb:;<=>>c2`8fim789:;=n:m;cnh456788i>n6lck123457d>k1i`f>?0122g2d?1b:a?gjl89:;<?00agf>dkc9:;<=?lec9ahn6789::okl4bmi345679m:i7obd012344b6j2hgg=>?013g6g=edb:;<=>>d2`8fim789:;=i:m;cnh456788n>n6lck123457c>k1i`f>?0122`2d?1e:a?gjl89:;<?00fgf>dkc9:;<=?kec9ahn6789::hkl4bmi345679l:i7obd012344c6j2hgg=>?013f6g=edb:;<=>>e2`8fim789:;=h:m;cnh456788o>n6lck123457b>k1i`f>?0122a2d?1d:a?gjl89:;<?00ggf>dkc9:;<=?jec9ahn6789::ikl4bmi345679o:i7obd012344`6j2hgg=>?013e6g=edb:;<=>>f2`8fim789:;=k:m;cnh456788l>n6lck123457a>k1i`f>?0122b2d?1g:a?gjl89:;<?00dgf>dkc9:;<=?iec9ahn6789::jkl4bmi34567:9:i7obd01234766j2hgg=>?01036g=edb:;<=>=02`8fim789:;>=:m;cnh45678;:>n6lck1234547>k1i`f>?012142d?21:a?gjl89:;6b:`oo567898;mo5mlj2345658kh0nae?012365ee3kf`<=>?032gf>dkc9:;<=?01026g=edb:;<=>=12`8fim789:;><:m;cnh45678;;>n6lck1234546>k1i`f>?012152d?20:a?gjl89:;?033gf>dkc9:;<=<>ec9ahn6789:9=kl4bmi34567:;:i7obd01234746j2hgg=>?01016g=edb:;<=>=22`8fim789:;>?:m;cnh45678;8>n6lck1234545>k1i`f>?012162d?23:a?gjl89:;?030gf>dkc9:;<=<=ec9ahn6789:9>kl4bmi34567:::i7obd01234756j2hgg=>?01006g=edb:;<=>=32`8fim789:;>>:m;cnh45678;9>n6lck1234544>k1i`f>?012172d?22:a?gjl89:;?031gf>dkc9:;<=<?01076g=edb:;<=>=42`8fim789:;>9:m;cnh45678;>>n6lck1234543>k1i`f>?012102d?25:a?gjl89:;?036gf>dkc9:;<=<;ec9ahn6789:98kl4bmi34567:<:i7obd01234736j2hgg=>?01066g=edb:;<=>=52`8fim789:;>8:m;cnh45678;?>n6lck1234542>k1i`f>?012112d?24:a?gjl89:;mo5mlj234565=kh0nae?012360ee3kf`<=>?037gf>dkc9:;<=<:ec9ahn6789:99kl4bmi34567:?:i7obd01234706j2hgg=>?01056g=edb:;<=>=62`8fim789:;>;:m;cnh45678;<>n6lck1234541>k1i`f>?012122d?27:a?gjl89:;kh0nae?012363ee3kf`<=>?034gf>dkc9:;<=<9ec9ahn6789:9:kl4bmi34567:>:i7obd01234716j2hgg=>?01046g=edb:;<=>=72`8fim789:;>::m;cnh45678;=>n6lck1234540>k1i`f>?012132d?26:a?gjl89:;?035gf>dkc9:;<=<8ec9ahn6789:9;kl4bmi34567:1:i7obd012347>6j2hgg=>?010;6g=edb:;<=>=82`8fim789:;>5:m;cnh45678;2>n6lck123454?>k1i`f>?0121<2d?29:a?gjl89:;?03:gf>dkc9:;<=<7ec9ahn6789:94kl4bmi34567:0:i7obd012347?6j2hgg=>?010:6g=edb:;<=>=92`8fim789:;>4:m;cnh45678;3>n6lck123454>>k1i`f>?0121=2d?28:a?gjl89:;?03;gf>dkc9:;<=<6ec9ahn6789:95kl4bmi34567:h:i7obd012347g6j2hgg=>?010b6g=edb:;<=>=a2`8fim789:;>l:m;cnh45678;k>n6lck123454f>k1i`f>?0121e2d?2`:a?gjl89:;?03cgf>dkc9:;<=?010a6g=edb:;<=>=b2`8fim789:;>o:m;cnh45678;h>n6lck123454e>k1i`f>?0121f2d?2c:a?gjl89:;?03`gf>dkc9:;<=?010`6g=edb:;<=>=c2`8fim789:;>n:m;cnh45678;i>n6lck123454d>k1i`f>?0121g2d?2b:a?gjl89:;?03agf>dkc9:;<=?010g6g=edb:;<=>=d2`8fim789:;>i:m;cnh45678;n>n6lck123454c>k1i`f>?0121`2d?2e:a?gjl89:;?03fgf>dkc9:;<=?010f6g=edb:;<=>=e2`8fim789:;>h:m;cnh45678;o>n6lck123454b>k1i`f>?0121a2d?2d:a?gjl89:;?03ggf>dkc9:;<=?010e6g=edb:;<=>=f2`8fim789:;>k:m;cnh45678;l>n6lck123454a>k1i`f>?0121b2d?2g:a?gjl89:;?03dgf>dkc9:;<=?01136g=edb:;<=><02`8fim789:;?=:m;cnh45678::>n6lck1234557>k1i`f>?012042d?31:a?gjl89:;<>>6b:`oo567899;mo5mlj2345648kh0nae?012375ee3kf`<=>?022gf>dkc9:;<==?ec9ahn6789:8?01126g=edb:;<=><12`8fim789:;?<:m;cnh45678:;>n6lck1234556>k1i`f>?012052d?30:a?gjl89:;<>?6b:`oo567899:mo5mlj2345649kh0nae?012374ee3kf`<=>?023gf>dkc9:;<==>ec9ahn6789:8=kl4bmi34567;;:i7obd01234646j2hgg=>?01116g=edb:;<=><22`8fim789:;??:m;cnh45678:8>n6lck1234555>k1i`f>?012062d?33:a?gjl89:;<><6b:`oo5678999mo5mlj234564:kh0nae?012377ee3kf`<=>?020gf>dkc9:;<===ec9ahn6789:8>kl4bmi34567;::i7obd01234656j2hgg=>?01106g=edb:;<=><32`8fim789:;?>:m;cnh45678:9>n6lck1234554>k1i`f>?012072d?32:a?gjl89:;<>=6b:`oo5678998mo5mlj234564;kh0nae?012376ee3kf`<=>?021gf>dkc9:;<==?01176g=edb:;<=><42`8fim789:;?9:m;cnh45678:>>n6lck1234553>k1i`f>?012002d?35:a?gjl89:;<>:6b:`oo567899?mo5mlj234564?026gf>dkc9:;<==;ec9ahn6789:88kl4bmi34567;<:i7obd01234636j2hgg=>?01166g=edb:;<=><52`8fim789:;?8:m;cnh45678:?>n6lck1234552>k1i`f>?012012d?34:a?gjl89:;<>;6b:`oo567899>mo5mlj234564=kh0nae?012370ee3kf`<=>?027gf>dkc9:;<==:ec9ahn6789:89kl4bmi34567;?:i7obd01234606j2hgg=>?01156g=edb:;<=><62`8fim789:;?;:m;cnh45678:<>n6lck1234551>k1i`f>?012022d?37:a?gjl89:;<>86b:`oo567899=mo5mlj234564>kh0nae?012373ee3kf`<=>?024gf>dkc9:;<==9ec9ahn6789:8:kl4bmi34567;>:i7obd01234616j2hgg=>?01146g=edb:;<=><72`8fim789:;?::m;cnh45678:=>n6lck1234550>k1i`f>?012032d?36:a?gjl89:;<>96b:`oo567899?025gf>dkc9:;<==8ec9ahn6789:8;kl4bmi34567;1:i7obd012346>6j2hgg=>?011;6g=edb:;<=><82`8fim789:;?5:m;cnh45678:2>n6lck123455?>k1i`f>?0120<2d?39:a?gjl89:;<>66b:`oo5678993mo5mlj2345640kh0nae?01237=ee3kf`<=>?02:gf>dkc9:;<==7ec9ahn6789:84kl4bmi34567;0:i7obd012346?6j2hgg=>?011:6g=edb:;<=><92`8fim789:;?4:m;cnh45678:3>n6lck123455>>k1i`f>?0120=2d?38:a?gjl89:;<>76b:`oo5678992mo5mlj2345641kh0nae?01237?02;gf>dkc9:;<==6ec9ahn6789:85kl4bmi34567;h:i7obd012346g6j2hgg=>?011b6g=edb:;<=>n6lck123455f>k1i`f>?0120e2d?3`:a?gjl89:;<>o6b:`oo567899jmo5mlj234564ikh0nae?01237dee3kf`<=>?02cgf>dkc9:;<==nec9ahn6789:8mkl4bmi34567;k:i7obd012346d6j2hgg=>?011a6g=edb:;<=>n6lck123455e>k1i`f>?0120f2d?3c:a?gjl89:;<>l6b:`oo567899imo5mlj234564jkh0nae?01237gee3kf`<=>?02`gf>dkc9:;<==mec9ahn6789:8nkl4bmi34567;j:i7obd012346e6j2hgg=>?011`6g=edb:;<=>n6lck123455d>k1i`f>?0120g2d?3b:a?gjl89:;<>m6b:`oo567899hmo5mlj234564kkh0nae?01237fee3kf`<=>?02agf>dkc9:;<==lec9ahn6789:8okl4bmi34567;m:i7obd012346b6j2hgg=>?011g6g=edb:;<=>n6lck123455c>k1i`f>?0120`2d?3e:a?gjl89:;<>j6b:`oo567899omo5mlj234564lkh0nae?01237aee3kf`<=>?02fgf>dkc9:;<==kec9ahn6789:8hkl4bmi34567;l:i7obd012346c6j2hgg=>?011f6g=edb:;<=>n6lck123455b>k1i`f>?0120a2d?3d:a?gjl89:;<>k6b:`oo567899nmo5mlj234564mkh0nae?01237`ee3kf`<=>?02ggf>dkc9:;<==jec9ahn6789:8ikl4bmi34567;o:i7obd012346`6j2hgg=>?011e6g=edb:;<=>n6lck123455a>k1i`f>?0120b2d?3g:a?gjl89:;<>h6b:`oo567899mmo5mlj234564nkh0nae?01237cee3kf`<=>?02dgf>dkc9:;<==iec9ahn6789:8jkl4bmi34567<9:i7obd01234166j2hgg=>?01636g=edb:;<=>;02`8fim789:;8=:m;cnh45678=:>n6lck1234527>k1i`f>?012742d?41:a?gjl89:;<9>6b:`oo56789>;mo5mlj2345638kh0nae?012305ee3kf`<=>?052gf>dkc9:;<=:?ec9ahn6789:??01626g=edb:;<=>;12`8fim789:;8<:m;cnh45678=;>n6lck1234526>k1i`f>?012752d?40:a?gjl89:;<9?6b:`oo56789>:mo5mlj2345639kh0nae?012304ee3kf`<=>?053gf>dkc9:;<=:>ec9ahn6789:?=kl4bmi34567<;:i7obd01234146j2hgg=>?01616g=edb:;<=>;22`8fim789:;8?:m;cnh45678=8>n6lck1234525>k1i`f>?012762d?43:a?gjl89:;<9<6b:`oo56789>9mo5mlj234563:kh0nae?012307ee3kf`<=>?050gf>dkc9:;<=:=ec9ahn6789:?>kl4bmi34567<::i7obd01234156j2hgg=>?01606g=edb:;<=>;32`8fim789:;8>:m;cnh45678=9>n6lck1234524>k1i`f>?012772d?42:a?gjl89:;<9=6b:`oo56789>8mo5mlj234563;kh0nae?012306ee3kf`<=>?051gf>dkc9:;<=:?01676g=edb:;<=>;42`8fim789:;89:m;cnh45678=>>n6lck1234523>k1i`f>?012702d?45:a?gjl89:;<9:6b:`oo56789>?mo5mlj234563?056gf>dkc9:;<=:;ec9ahn6789:?8kl4bmi34567<<:i7obd01234136j2hgg=>?01666g=edb:;<=>;52`8fim789:;88:m;cnh45678=?>n6lck1234522>k1i`f>?012712d?44:a?gjl89:;<9;6b:`oo56789>>mo5mlj234563=kh0nae?012300ee3kf`<=>?057gf>dkc9:;<=::ec9ahn6789:?9kl4bmi34567?01656g=edb:;<=>;62`8fim789:;8;:m;cnh45678=<>n6lck1234521>k1i`f>?012722d?47:a?gjl89:;<986b:`oo56789>=mo5mlj234563>kh0nae?012303ee3kf`<=>?054gf>dkc9:;<=:9ec9ahn6789:?:kl4bmi34567<>:i7obd01234116j2hgg=>?01646g=edb:;<=>;72`8fim789:;8::m;cnh45678==>n6lck1234520>k1i`f>?012732d?46:a?gjl89:;<996b:`oo56789>?055gf>dkc9:;<=:8ec9ahn6789:?;kl4bmi34567<1:i7obd012341>6j2hgg=>?016;6g=edb:;<=>;82`8fim789:;85:m;cnh45678=2>n6lck123452?>k1i`f>?0127<2d?49:a?gjl89:;<966b:`oo56789>3mo5mlj2345630kh0nae?01230=ee3kf`<=>?05:gf>dkc9:;<=:7ec9ahn6789:?4kl4bmi34567<0:i7obd012341?6j2hgg=>?016:6g=edb:;<=>;92`8fim789:;84:m;cnh45678=3>n6lck123452>>k1i`f>?0127=2d?48:a?gjl89:;<976b:`oo56789>2mo5mlj2345631kh0nae?01230?05;gf>dkc9:;<=:6ec9ahn6789:?5kl4bmi34567?016b6g=edb:;<=>;a2`8fim789:;8l:m;cnh45678=k>n6lck123452f>k1i`f>?0127e2d?4`:a?gjl89:;<9o6b:`oo56789>jmo5mlj234563ikh0nae?01230dee3kf`<=>?05cgf>dkc9:;<=:nec9ahn6789:?mkl4bmi34567?016a6g=edb:;<=>;b2`8fim789:;8o:m;cnh45678=h>n6lck123452e>k1i`f>?0127f2d?4c:a?gjl89:;<9l6b:`oo56789>imo5mlj234563jkh0nae?01230gee3kf`<=>?05`gf>dkc9:;<=:mec9ahn6789:?nkl4bmi34567?016`6g=edb:;<=>;c2`8fim789:;8n:m;cnh45678=i>n6lck123452d>k1i`f>?0127g2d?4b:a?gjl89:;<9m6b:`oo56789>hmo5mlj234563kkh0nae?01230fee3kf`<=>?05agf>dkc9:;<=:lec9ahn6789:?okl4bmi34567?016g6g=edb:;<=>;d2`8fim789:;8i:m;cnh45678=n>n6lck123452c>k1i`f>?0127`2d?4e:a?gjl89:;<9j6b:`oo56789>omo5mlj234563lkh0nae?01230aee3kf`<=>?05fgf>dkc9:;<=:kec9ahn6789:?hkl4bmi34567?016f6g=edb:;<=>;e2`8fim789:;8h:m;cnh45678=o>n6lck123452b>k1i`f>?0127a2d?4d:a?gjl89:;<9k6b:`oo56789>nmo5mlj234563mkh0nae?01230`ee3kf`<=>?05ggf>dkc9:;<=:jec9ahn6789:?ikl4bmi34567?016e6g=edb:;<=>;f2`8fim789:;8k:m;cnh45678=l>n6lck123452a>k1i`f>?0127b2d?4g:a?gjl89:;<9h6b:`oo56789>mmo5mlj234563nkh0nae?01230cee3kf`<=>?05dgf>dkc9:;<=:iec9ahn6789:?jkl4bmi34567=9:i7obd01234066j2hgg=>?01736g=edb:;<=>:02`8fim789:;9=:m;cnh45678<:>n6lck1234537>k1i`f>?012642d?51:a?gjl89:;<8>6b:`oo56789?;mo5mlj2345628kh0nae?012315ee3kf`<=>?042gf>dkc9:;<=;?ec9ahn6789:>?01726g=edb:;<=>:12`8fim789:;9<:m;cnh45678<;>n6lck1234536>k1i`f>?012652d?50:a?gjl89:;<8?6b:`oo56789?:mo5mlj2345629kh0nae?012314ee3kf`<=>?043gf>dkc9:;<=;>ec9ahn6789:>=kl4bmi34567=;:i7obd01234046j2hgg=>?01716g=edb:;<=>:22`8fim789:;9?:m;cnh45678<8>n6lck1234535>k1i`f>?012662d?53:a?gjl89:;<8<6b:`oo56789?9mo5mlj234562:kh0nae?012317ee3kf`<=>?040gf>dkc9:;<=;=ec9ahn6789:>>kl4bmi34567=::i7obd01234056j2hgg=>?01706g=edb:;<=>:32`8fim789:;9>:m;cnh45678<9>n6lck1234534>k1i`f>?012672d?52:a?gjl89:;<8=6b:`oo56789?8mo5mlj234562;kh0nae?012316ee3kf`<=>?041gf>dkc9:;<=;?kl4bmi34567==:i7obd01234026j2hgg=>?01776g=edb:;<=>:42`8fim789:;99:m;cnh45678<>>n6lck1234533>k1i`f>?012602d?55:a?gjl89:;<8:6b:`oo56789??mo5mlj234562?046gf>dkc9:;<=;;ec9ahn6789:>8kl4bmi34567=<:i7obd01234036j2hgg=>?01766g=edb:;<=>:52`8fim789:;98:m;cnh45678n6lck1234532>k1i`f>?012612d?54:a?gjl89:;<8;6b:`oo56789?>mo5mlj234562=kh0nae?012310ee3kf`<=>?047gf>dkc9:;<=;:ec9ahn6789:>9kl4bmi34567=?:i7obd01234006j2hgg=>?01756g=edb:;<=>:62`8fim789:;9;:m;cnh45678<<>n6lck1234531>k1i`f>?012622d?57:a?gjl89:;<886b:`oo56789?=mo5mlj234562>kh0nae?012313ee3kf`<=>?044gf>dkc9:;<=;9ec9ahn6789:>:kl4bmi34567=>:i7obd01234016j2hgg=>?01746g=edb:;<=>:72`8fim789:;9::m;cnh45678<=>n6lck1234530>k1i`f>?012632d?56:a?gjl89:;<896b:`oo56789??045gf>dkc9:;<=;8ec9ahn6789:>;kl4bmi34567=1:i7obd012340>6j2hgg=>?017;6g=edb:;<=>:82`8fim789:;95:m;cnh45678<2>n6lck123453?>k1i`f>?0126<2d?59:a?gjl89:;<866b:`oo56789?3mo5mlj2345620kh0nae?01231=ee3kf`<=>?04:gf>dkc9:;<=;7ec9ahn6789:>4kl4bmi34567=0:i7obd012340?6j2hgg=>?017:6g=edb:;<=>:92`8fim789:;94:m;cnh45678<3>n6lck123453>>k1i`f>?0126=2d?58:a?gjl89:;<876b:`oo56789?2mo5mlj2345621kh0nae?01231?04;gf>dkc9:;<=;6ec9ahn6789:>5kl4bmi34567=h:i7obd012340g6j2hgg=>?017b6g=edb:;<=>:a2`8fim789:;9l:m;cnh45678n6lck123453f>k1i`f>?0126e2d?5`:a?gjl89:;<8o6b:`oo56789?jmo5mlj234562ikh0nae?01231dee3kf`<=>?04cgf>dkc9:;<=;nec9ahn6789:>mkl4bmi34567=k:i7obd012340d6j2hgg=>?017a6g=edb:;<=>:b2`8fim789:;9o:m;cnh45678n6lck123453e>k1i`f>?0126f2d?5c:a?gjl89:;<8l6b:`oo56789?imo5mlj234562jkh0nae?01231gee3kf`<=>?04`gf>dkc9:;<=;mec9ahn6789:>nkl4bmi34567=j:i7obd012340e6j2hgg=>?017`6g=edb:;<=>:c2`8fim789:;9n:m;cnh45678n6lck123453d>k1i`f>?0126g2d?5b:a?gjl89:;<8m6b:`oo56789?hmo5mlj234562kkh0nae?01231fee3kf`<=>?04agf>dkc9:;<=;lec9ahn6789:>okl4bmi34567=m:i7obd012340b6j2hgg=>?017g6g=edb:;<=>:d2`8fim789:;9i:m;cnh45678n6lck123453c>k1i`f>?0126`2d?5e:a?gjl89:;<8j6b:`oo56789?omo5mlj234562lkh0nae?01231aee3kf`<=>?04fgf>dkc9:;<=;kec9ahn6789:>hkl4bmi34567=l:i7obd012340c6j2hgg=>?017f6g=edb:;<=>:e2`8fim789:;9h:m;cnh45678n6lck123453b>k1i`f>?0126a2d?5d:a?gjl89:;<8k6b:`oo56789?nmo5mlj234562mkh0nae?01231`ee3kf`<=>?04ggf>dkc9:;<=;jec9ahn6789:>ikl4bmi34567=o:i7obd012340`6j2hgg=>?017e6g=edb:;<=>:f2`8fim789:;9k:m;cnh45678n6lck123453a>k1i`f>?0126b2d?5g:a?gjl89:;<8h6b:`oo56789?mmo5mlj234562nkh0nae?01231cee3kf`<=>?04dgf>dkc9:;<=;iec9ahn6789:>jkl4bmi34567>9:i7obd01234366j2hgg=>?01436g=edb:;<=>902`8fim789:;:=:m;cnh45678?:>n6lck1234507>k1i`f>?012542d?61:a?gjl89:;<;>6b:`oo56789<;mo5mlj2345618kh0nae?012325ee3kf`<=>?072gf>dkc9:;<=8?ec9ahn6789:=8:i7obd01234376j2hgg=>?01426g=edb:;<=>912`8fim789:;:<:m;cnh45678?;>n6lck1234506>k1i`f>?012552d?60:a?gjl89:;<;?6b:`oo56789<:mo5mlj2345619kh0nae?012324ee3kf`<=>?073gf>dkc9:;<=8>ec9ahn6789:==kl4bmi34567>;:i7obd01234346j2hgg=>?01416g=edb:;<=>922`8fim789:;:?:m;cnh45678?8>n6lck1234505>k1i`f>?012562d?63:a?gjl89:;<;<6b:`oo56789<9mo5mlj234561:kh0nae?012327ee3kf`<=>?070gf>dkc9:;<=8=ec9ahn6789:=>kl4bmi34567>::i7obd01234356j2hgg=>?01406g=edb:;<=>932`8fim789:;:>:m;cnh45678?9>n6lck1234504>k1i`f>?012572d?62:a?gjl89:;<;=6b:`oo56789<8mo5mlj234561;kh0nae?012326ee3kf`<=>?071gf>dkc9:;<=8=:i7obd01234326j2hgg=>?01476g=edb:;<=>942`8fim789:;:9:m;cnh45678?>>n6lck1234503>k1i`f>?012502d?65:a?gjl89:;<;:6b:`oo56789?076gf>dkc9:;<=8;ec9ahn6789:=8kl4bmi34567><:i7obd01234336j2hgg=>?01466g=edb:;<=>952`8fim789:;:8:m;cnh45678??>n6lck1234502>k1i`f>?012512d?64:a?gjl89:;<;;6b:`oo56789<>mo5mlj234561=kh0nae?012320ee3kf`<=>?077gf>dkc9:;<=8:ec9ahn6789:=9kl4bmi34567>?:i7obd01234306j2hgg=>?01456g=edb:;<=>962`8fim789:;:;:m;cnh45678?<>n6lck1234501>k1i`f>?012522d?67:a?gjl89:;<;86b:`oo56789<=mo5mlj234561>kh0nae?012323ee3kf`<=>?074gf>dkc9:;<=89ec9ahn6789:=:kl4bmi34567>>:i7obd01234316j2hgg=>?01446g=edb:;<=>972`8fim789:;:::m;cnh45678?=>n6lck1234500>k1i`f>?012532d?66:a?gjl89:;<;96b:`oo56789<?075gf>dkc9:;<=88ec9ahn6789:=;kl4bmi34567>1:i7obd012343>6j2hgg=>?014;6g=edb:;<=>982`8fim789:;:5:m;cnh45678?2>n6lck123450?>k1i`f>?0125<2d?69:a?gjl89:;<;66b:`oo56789<3mo5mlj2345610kh0nae?01232=ee3kf`<=>?07:gf>dkc9:;<=87ec9ahn6789:=4kl4bmi34567>0:i7obd012343?6j2hgg=>?014:6g=edb:;<=>992`8fim789:;:4:m;cnh45678?3>n6lck123450>>k1i`f>?0125=2d?68:a?gjl89:;<;76b:`oo56789<2mo5mlj2345611kh0nae?01232?07;gf>dkc9:;<=86ec9ahn6789:=5kl4bmi34567>h:i7obd012343g6j2hgg=>?014b6g=edb:;<=>9a2`8fim789:;:l:m;cnh45678?k>n6lck123450f>k1i`f>?0125e2d?6`:a?gjl89:;<;o6b:`oo56789?07cgf>dkc9:;<=8nec9ahn6789:=mkl4bmi34567>k:i7obd012343d6j2hgg=>?014a6g=edb:;<=>9b2`8fim789:;:o:m;cnh45678?h>n6lck123450e>k1i`f>?0125f2d?6c:a?gjl89:;<;l6b:`oo56789?07`gf>dkc9:;<=8mec9ahn6789:=nkl4bmi34567>j:i7obd012343e6j2hgg=>?014`6g=edb:;<=>9c2`8fim789:;:n:m;cnh45678?i>n6lck123450d>k1i`f>?0125g2d?6b:a?gjl89:;<;m6b:`oo56789?07agf>dkc9:;<=8lec9ahn6789:=okl4bmi34567>m:i7obd012343b6j2hgg=>?014g6g=edb:;<=>9d2`8fim789:;:i:m;cnh45678?n>n6lck123450c>k1i`f>?0125`2d?6e:a?gjl89:;<;j6b:`oo56789?07fgf>dkc9:;<=8kec9ahn6789:=hkl4bmi34567>l:i7obd012343c6j2hgg=>?014f6g=edb:;<=>9e2`8fim789:;:h:m;cnh45678?o>n6lck123450b>k1i`f>?0125a2d?6d:a?gjl89:;<;k6b:`oo56789?07ggf>dkc9:;<=8jec9ahn6789:=ikl4bmi34567>o:i7obd012343`6j2hgg=>?014e6g=edb:;<=>9f2`8fim789:;:k:m;cnh45678?l>n6lck123450a>k1i`f>?0125b2d?6g:a?gjl89:;<;h6b:`oo56789?07dgf>dkc9:;<=8iec9ahn6789:=jkl4bmi34567?9:i7obd01234266j2hgg=>?01536g=edb:;<=>802`8fim789:;;=:m;cnh45678>:>n6lck1234517>k1i`f>?012442d?71:a?gjl89:;<:>6b:`oo56789=;mo5mlj2345608kh0nae?012335ee3kf`<=>?062gf>dkc9:;<=9?ec9ahn6789:<?01526g=edb:;<=>812`8fim789:;;<:m;cnh45678>;>n6lck1234516>k1i`f>?012452d?70:a?gjl89:;<:?6b:`oo56789=:mo5mlj2345609kh0nae?012334ee3kf`<=>?063gf>dkc9:;<=9>ec9ahn6789:<=kl4bmi34567?;:i7obd01234246j2hgg=>?01516g=edb:;<=>822`8fim789:;;?:m;cnh45678>8>n6lck1234515>k1i`f>?012462d?73:a?gjl89:;<:<6b:`oo56789=9mo5mlj234560:kh0nae?012337ee3kf`<=>?060gf>dkc9:;<=9=ec9ahn6789:<>kl4bmi34567?::i7obd01234256j2hgg=>?01506g=edb:;<=>832`8fim789:;;>:m;cnh45678>9>n6lck1234514>k1i`f>?012472d?72:a?gjl89:;<:=6b:`oo56789=8mo5mlj234560;kh0nae?012336ee3kf`<=>?061gf>dkc9:;<=9?01576g=edb:;<=>842`8fim789:;;9:m;cnh45678>>>n6lck1234513>k1i`f>?012402d?75:a?gjl89:;<::6b:`oo56789=?mo5mlj234560?066gf>dkc9:;<=9;ec9ahn6789:<8kl4bmi34567?<:i7obd01234236j2hgg=>?01566g=edb:;<=>852`8fim789:;;8:m;cnh45678>?>n6lck1234512>k1i`f>?012412d?74:a?gjl89:;<:;6b:`oo56789=>mo5mlj234560=kh0nae?012330ee3kf`<=>?067gf>dkc9:;<=9:ec9ahn6789:<9kl4bmi34567??:i7obd01234206j2hgg=>?01556g=edb:;<=>862`8fim789:;;;:m;cnh45678><>n6lck1234511>k1i`f>?012422d?77:a?gjl89:;<:86b:`oo56789==mo5mlj234560>kh0nae?012333ee3kf`<=>?064gf>dkc9:;<=99ec9ahn6789:<:kl4bmi34567?>:i7obd01234216j2hgg=>?01546g=edb:;<=>872`8fim789:;;::m;cnh45678>=>n6lck1234510>k1i`f>?012432d?76:a?gjl89:;<:96b:`oo56789=?065gf>dkc9:;<=98ec9ahn6789:<;kl4bmi34567?1:i7obd012342>6j2hgg=>?015;6g=edb:;<=>882`8fim789:;;5:m;cnh45678>2>n6lck123451?>k1i`f>?0124<2d?79:a?gjl89:;<:66b:`oo56789=3mo5mlj2345600kh0nae?01233=ee3kf`<=>?06:gf>dkc9:;<=97ec9ahn6789:<4kl4bmi34567?0:i7obd012342?6j2hgg=>?015:6g=edb:;<=>892`8fim789:;;4:m;cnh45678>3>n6lck123451>>k1i`f>?0124=2d?78:a?gjl89:;<:76b:`oo56789=2mo5mlj2345601kh0nae?01233?06;gf>dkc9:;<=96ec9ahn6789:<5kl4bmi34567?h:i7obd012342g6j2hgg=>?015b6g=edb:;<=>8a2`8fim789:;;l:m;cnh45678>k>n6lck123451f>k1i`f>?0124e2d?7`:a?gjl89:;<:o6b:`oo56789=jmo5mlj234560ikh0nae?01233dee3kf`<=>?06cgf>dkc9:;<=9nec9ahn6789:?015a6g=edb:;<=>8b2`8fim789:;;o:m;cnh45678>h>n6lck123451e>k1i`f>?0124f2d?7c:a?gjl89:;<:l6b:`oo56789=imo5mlj234560jkh0nae?01233gee3kf`<=>?06`gf>dkc9:;<=9mec9ahn6789:?015`6g=edb:;<=>8c2`8fim789:;;n:m;cnh45678>i>n6lck123451d>k1i`f>?0124g2d?7b:a?gjl89:;<:m6b:`oo56789=hmo5mlj234560kkh0nae?01233fee3kf`<=>?06agf>dkc9:;<=9lec9ahn6789:?015g6g=edb:;<=>8d2`8fim789:;;i:m;cnh45678>n>n6lck123451c>k1i`f>?0124`2d?7e:a?gjl89:;<:j6b:`oo56789=omo5mlj234560lkh0nae?01233aee3kf`<=>?06fgf>dkc9:;<=9kec9ahn6789:?015f6g=edb:;<=>8e2`8fim789:;;h:m;cnh45678>o>n6lck123451b>k1i`f>?0124a2d?7d:a?gjl89:;<:k6b:`oo56789=nmo5mlj234560mkh0nae?01233`ee3kf`<=>?06ggf>dkc9:;<=9jec9ahn6789:?015e6g=edb:;<=>8f2`8fim789:;;k:m;cnh45678>l>n6lck123451a>k1i`f>?0124b2d?7g:a?gjl89:;<:h6b:`oo56789=mmo5mlj234560nkh0nae?01233cee3kf`<=>?06dgf>dkc9:;<=9iec9ahn6789:ehmoUoec&>0(a8gjcaWmce$$m4cnge[aoi 89"o6m`eg]gmk.6< i0obki_ekm,43.k2idikQkio*22,eehmoUoec&=)c9`k``Xl`d#?$l4cnge[aoi =#i7najf^fjj-3.j2idikQkio*5-g=dgllThd`'7(`8gjcaWmce$5'm;bmfbZbnf!3"n6m`eg]gmk:76j1hchhPdhl?558d3jenjRjfn=32:f=dgllThd`313<`?fibnVnbb1?<>b9`k``Xl`d7=90l;bmfbZbnf5;>2n5lodd\`lh;9?4n7najf^fjj970294h7najf^fjj9706k1hchhPdhl?5;dehmoUoec27>c9`k``Xl`d753?=;bmfbZ`nd}oyS~kc(1+20>ehmoUmeazjr^qfh96=87=0obki_mf4?fibnV}nm6jnt`]ueioc>2nbb%>&6:fjj-7.?2nbb%??)69gmk.69 =0hd`'13+4?aoi 89";6jfn)37-2=cag":9$94dhl+53/03mce$<9&7:fjj-7?!>1oec&>9(48`lh/: =0hd`'21+4?aoi ;;";6jfn)01-2=cag"9?$84dhl+7,0>4?>99gmkY6 9#37iga_0*2-<=cagU:$<>&9:fjjZ7/98#27iga_0*26,?"56jfn^3+50/>3mceS<&>6(;8`lhX9!;<%45kio]2,4>.12nbbR?'18+;?aoiW8"9%45kio]2,76.12nbbR?'20+:?aoiW8"9>$74dhl\5-44!11oecQ>(2+;?aoiW8"?%55kio]2,0/?3mceS<&9)99gmkY6 >#37iga_0*;-==cagU:$4'6;ekm[46/8 30hd`P11*2-d=cagU:<%??)`9gmkY68!;:%l5kio]24-75!h1oecQ>0)30-d=cagU:<%?;)`9gmkY68!;>%l5kio]24-71!h1oecQ>0)34-d=cagU:<%?7)`9gmkY68!;2%45kio]24-4.i2nbbR??(32*e>bnfV;;$??&a:fjjZ77 ;8"m6jfn^33,75.12nbbR??(2+:?aoiW8:#8$74dhl\55.2!01oecQ>0)4*=>bnfV;;$:'6;ekm[46/0 30hd`P11*:-f=cagU:<1<<:1<:?aoiW8;#<$74dhl\54.6!h1oecQ>1)33-d=cagU:=%?>)`9gmkY69!;9%l5kio]25-74!h1oecQ>1)37-d=cagU:=%?:)`9gmkY69!;=%l5kio]25-70!h1oecQ>1)3;-d=cagU:=%?6)89gmkY69!8"m6jfn^32,76.i2nbbR?>(33*e>bnfV;:$?<&a:fjjZ76 ;9"56jfn^32,6/>3mceS%45kio]25-0.12nbbR?>(6+:?aoiW8;#4$74dhl\54.>!j1oecQ>1=00>58>3mceS<<'0(;8`lhX9;":%l5kio]26-77!h1oecQ>2)32-d=cagU:>%?=)`9gmkY6:!;8%l5kio]26-73!h1oecQ>2)36-d=cagU:>%?9)`9gmkY6:!;<%l5kio]26-7?!h1oecQ>2)3:-<=cagU:>%<&a:fjjZ75 ;:"m6jfn^31,77.i2nbbR?=(30*e>bnfV;9$?=&9:fjjZ75 :#27iga_00+0,?3mceS<<'8(;8`lhX9;"2%n5kio]2694429427iga_01+4,?&>)`9gmkY6;!;;%l5kio]27-76!h1oecQ>3)31-d=cagU:?%?<)`9gmkY6;!;?%l5kio]27-72!h1oecQ>3)35-d=cagU:?%?8)`9gmkY6;!;3%l5kio]27-7>!01oecQ>3)0*e>bnfV;8$?>&a:fjjZ74 ;;"m6jfn^30,74.i2nbbR?<(31*=>bnfV;8$>'6;ekm[45/< 30hd`P12*6-<=cagU:?%8&9:fjjZ74 >#27iga_01+<,?&6)b9gmkY6;5886=06;ekm[42/8 30hd`P15*2-d=cagU:8%??)`9gmkY64)30-d=cagU:8%?;)`9gmkY6%l5kio]20-71!h1oecQ>4)34-d=cagU:8%?7)`9gmkY6bnfV;?$??&a:fjjZ73 ;8"m6jfn^37,75.12nbbR?;(2+:?aoiW8>#8$74dhl\51.2!01oecQ>4)4*=>bnfV;?$:'6;ekm[42/0 30hd`P15*:-f=cagU:81<<:1<:?aoiW8?#<$74dhl\50.6!h1oecQ>5)33-d=cagU:9%?>)`9gmkY6=!;9%l5kio]21-74!h1oecQ>5)37-d=cagU:9%?:)`9gmkY6=!;=%l5kio]21-70!h1oecQ>5)3;-d=cagU:9%?6)89gmkY6=!8"m6jfn^36,76.i2nbbR?:(33*e>bnfV;>$?<&a:fjjZ72 ;9"56jfn^36,6/>3mceS<;'4(;8`lhX9<">%45kio]21-0.12nbbR?:(6+:?aoiW8?#4$74dhl\50.>!j1oecQ>5=00>58>3mceS<8'0(;8`lhX9?":%l5kio]22-77!h1oecQ>6)32-d=cagU::%?=)`9gmkY6>!;8%l5kio]22-73!h1oecQ>6)36-d=cagU::%?9)`9gmkY6>!;<%l5kio]22-7?!h1oecQ>6)3:-<=cagU::%<&a:fjjZ71 ;:"m6jfn^35,77.i2nbbR?9(30*e>bnfV;=$?=&9:fjjZ71 :#27iga_04+0,?!<"56jfn^35,2/>3mceS<8'8(;8`lhX9?"2%n5kio]2294429437iga_0>3:<=cagU:0<>19:fjjZ7;98427iga_0>26;?89gmkY648>556jfn^3?508>3mceS<2>6?;8`lhX95;<245kio]284>912nbbR?318<;?aoiW86:245kio]2876912nbbR?320<:?aoiW869>3l4dhl\594429427iga_0>17;>bnfV;79364dhl\590902nbbR?37?:8`lhX952546jfn^3?=;>%>&8:fjjZ4/9 30hd`P2)33-<=cagU9$%?;)89gmkY5 8?"56jfn^0+53/>3mceS?&>7(;8`lhX:!;3%45kio]1,4?.02nbbR<'2(;8`lhX:!8;%45kio]1,77.12nbbR<'23+:?aoiW;"9?$64dhl\6-5.02nbbR<'4(:8`lhX:!?"46jfn^0+2,>%9&8:fjjZ4/0 20hd`P2);*<>bnfV87<374dhl\6977601oecQ=<03==>bnfV87=?06;ekm[7:6;730hd`P2=37:<=cagU90<;19:fjjZ4;9?427iga_3>23;?1?7>89gmkY5483546jfn^0?5;?189gmkY54;;556jfn^0?678e3mceS?2=3;2==>bnfV87>>07;ekm[7:5611oecQ=<2<;?aoiW;6?255kio]1808?3mceS?29>99gmkY54>437iga_3>;:==cagU90407;ekm[6.7!11oecQ<(0+:?aoiW:":<$74dhl\7-76!01oecQ<(00*=>bnfV9#=>'6;ekm[6.6< 30hd`P3)36-<=cagU8$<8&9:fjjZ5/9>#27iga_2*2<,?)89gmkY4 ;8"56jfn^1+66/?3mceS>&<)99gmkY4 =#37iga_2*6-==cagU8$;'7;ekm[6.0!11oecQ<(9+;?aoiW:"2%o5kio]0875=8720hd`P4)2*<>bnfV>#=$74dhl\0-77!01oecQ;(03*=>bnfV>#=?'6;ekm[1.6; 30hd`P4)37-<=cagU?$<;&9:fjjZ2/9?#27iga_5*23,?3mceS9&=3(:8`lhX 20hd`P4)5*<>bnfV>#4$64dhl\0-?.j2nbbR:32283:==cagU>$='7;ekm[0.6!01oecQ:(02*=>bnfV?#=<'6;ekm[0.6: 30hd`P5)30-<=cagU>$<:&9:fjjZ3/9<#27iga_4*22,?3mceS8&=2(;8`lhX=!88%55kio]6,6/?3mceS8&;)99gmkY2 <#37iga_4*5-==cagU>$:'7;ekm[0.?!11oecQ:(8+a?aoiW<69?7>18:fjjZ0/8 20hd`P6)3*=>bnfV<#=='6;ekm[3.69 30hd`P6)31-<=cagU=$<=&9:fjjZ0/9=#27iga_7*21,?3mceS;&=1(;8`lhX>!89%45kio]5,75.02nbbR8'3(:8`lhX>!>"46jfn^4+1,>bnfV<#5$l4dhl\294429437iga_6*3-==cagU<$<'6;ekm[2.68 30hd`P7)32-<=cagU<$<<&9:fjjZ1/9:#27iga_6*20,?3mceS:&>8(;8`lhX?!;2%55kio]4,7/>3mceS:&=0(;8`lhX?!8:%45kio]4,74.12nbbR9'22+;?aoiW>"8%55kio]4,1/?3mceS:&:)99gmkY0 ?#37iga_6*4-==cagU<$5'7;ekm[2.>!k1oecQ8<3194;>&8:fjjZ>/9 30hd`P8)33-<=cagU3$/9;#27iga_9*27,?3mceS5&>7(;8`lhX0!;3%45kio];,4?.02nbbR6'2(;8`lhX0!8;%45kio];,77.12nbbR6'23+:?aoiW1"9?$64dhl\<-5.02nbbR6'4(:8`lhX0!?"46jfn^:+2,>/0 20hd`P8);*f>bnfV27>>4?>99gmkY> 9#37iga_8*2-<=cagU2$<>&9:fjjZ?/98#27iga_8*26,? 8>"56jfn^;+50/>3mceS4&>6(;8`lhX1!;<%45kio]:,4>.12nbbR7'18+;?aoiW0"9%45kio]:,76.12nbbR7'20+:?aoiW0"9>$74dhl\=-44!11oecQ6(2+;?aoiW0"?%55kio]:,0/?3mceS4&9)99gmkY> >#37iga_8*;-==cagU2$4'm;ekm[<:5;3:5;6j`uu*3-2=cg|~#=$64dnww,46.02ndyy&>1(:8`jss 88"46j`uu*27,>bh}}":;$64dnww,4>.02ndyy&>9(58`jss ;#37iazt)03-==cg|~#><'7;emvp-45!11ocxz'22+;?air|!8?%55kotv+60/?3me~x%<9)99gkpr/:>#37iazt)0;-==cg|~#>4'8;emvp-5.02ndyy&<0(:8`jss :;"46j`uu*06,>=&8:flqq.4< 20hb{{(27*<>bh}}"8:$64dnww,61.02ndyy&<8(:8`jss :3";6j`uu*7-==cg|~#8='7;emvp-26!11ocxz'43+;?air|!>8%55kotv+01/?3me~x%::)99gkpr/bh}}"=%:5kotv+3,1bh}}U:$='6;emvpZ7/9 k0hb{{_0*24,g1(c8`jssW8":>$o4dnww[4.6; k0hb{{_0*20,g5(c8`jssW8"::$o4dnww[4.6? k0hb{{_0*2<,g9(;8`jssW8"9%l5kotv\5-47!h1ocxzP1)02-d=cg|~T=%<=)`9gkprX9!88%l5kotv\5-43!h1ocxzP1)06-d=cg|~T=%<9)`9gkprX9!8<%l5kotv\5-4?!h1ocxzP1)0:-<=cg|~T=%=&a:flqqY6 ::"m6j`uu]2,67.i2ndyyQ>(20*e>bh}}U:$>=&a:flqqY6 :>"m6j`uu]2,63.i2ndyyQ>(24*e>bh}}U:$>9&a:flqqY6 :2"m6j`uu]2,6?.12ndyyQ>(5+b?air|V;#8='n;emvpZ7/<8#j7iazt^3+07/f3me~xR?'42+b?air|V;#89'n;emvpZ7/<<#j7iazt^3+03/>3me~xR?'5(;8`jssW8"=%45kotv\5-1.12ndyyQ>(9+:?air|V;#5$o4dnww[46/8 k0hb{{_02+5,d'11+a?air|V;;$bh}}U:<%?9)c9gkprX99":;$l4dnww[46/91#i7iazt^33,4?.i2ndyyQ>0)0*f>bh}}U:<%0)07-g=cg|~T==&=5(`8`jssW8:#>;'m;emvpZ77 ;="n6j`uu]24-4?!k1ocxzP11*1=,g'3(`8`jssW8:#?='m;emvpZ77 :;"n6j`uu]24-55!k1ocxzP11*07,d'35+a?air|V;;$>;&b:flqqY68!9=%o5kotv\55.4? h0hb{{_02+7=/e3me~xR??(2;*e>bh}}U:<%:&b:flqqY68!>;%o5kotv\55.39 h0hb{{_02+07/e3me~xR??(51*f>bh}}U:<%:;)c9gkprX99"?9$l4dnww[46/1)31-g=cg|~T=<&>3(`8`jssW8;#=9'm;emvpZ76 8?"n6j`uu]25-71!k1ocxzP10*23,d(35*f>bh}}U:=%<7)c9gkprX98"95$o4dnww[47/; h0hb{{_03+75/e3me~xR?>(23*f>bh}}U:=%==)c9gkprX98"8?$l4dnww[47/;=#i7iazt^32,63.j2ndyyQ>1)15-g=cg|~T=<&<7(`8`jssW8;#?5'm;emvpZ76 :3"m6j`uu]25-2.j2ndyyQ>1)63-g=cg|~T=<&;1(`8`jssW8;#8?'m;emvpZ76 =9"n6j`uu]25-23!k1ocxzP10*71,d(9+b?air|V;:$4'k;emvpZ764=<1<3o4dnww[44/8 k0hb{{_00+5,dbh}}U:>%?9)c9gkprX9;":;$l4dnww[44/91#i7iazt^31,4?.i2ndyyQ>2)0*f>bh}}U:>%2)07-g=cg|~T=?&=5(`8`jssW88#>;'m;emvpZ75 ;="n6j`uu]26-4?!k1ocxzP13*1=,g;&b:flqqY6:!9=%o5kotv\57.4? h0hb{{_00+7=/e3me~xR?=(2;*e>bh}}U:>%:&b:flqqY6:!>;%o5kotv\57.39 h0hb{{_00+07/e3me~xR?=(51*f>bh}}U:>%:;)c9gkprX9;"?9$l4dnww[44/3)31-g=cg|~T=>&>3(`8`jssW89#=9'm;emvpZ74 8?"n6j`uu]27-71!k1ocxzP12*23,dbh}}U:?%<7)c9gkprX9:"95$o4dnww[45/; h0hb{{_01+75/e3me~xR?<(23*f>bh}}U:?%==)c9gkprX9:"8?$l4dnww[45/;=#i7iazt^30,63.j2ndyyQ>3)15-g=cg|~T=>&<7(`8`jssW89#?5'm;emvpZ74 :3"m6j`uu]27-2.j2ndyyQ>3)63-g=cg|~T=>&;1(`8`jssW89#8?'m;emvpZ74 =9"n6j`uu]27-23!k1ocxzP12*71,dbh}}U:8%?9)c9gkprX9=":;$l4dnww[42/91#i7iazt^37,4?.i2ndyyQ>4)0*f>bh}}U:8%4)07-g=cg|~T=9&=5(`8`jssW8>#>;'m;emvpZ73 ;="n6j`uu]20-4?!k1ocxzP15*1=,g#?='m;emvpZ73 :;"n6j`uu]20-55!k1ocxzP15*07,d;&b:flqqY6bh}}U:8%:&b:flqqY6;%o5kotv\51.39 h0hb{{_06+07/e3me~xR?;(51*f>bh}}U:8%:;)c9gkprX9="?9$l4dnww[42/5)31-g=cg|~T=8&>3(`8`jssW8?#=9'm;emvpZ72 8?"n6j`uu]21-71!k1ocxzP14*23,d$<7&a:flqqY6=!8"n6j`uu]21-47!k1ocxzP14*15,d$?=&b:flqqY6=!8?%o5kotv\50.5= h0hb{{_07+63/e3me~xR?:(35*f>bh}}U:9%<7)c9gkprX9<"95$o4dnww[43/; h0hb{{_07+75/e3me~xR?:(23*f>bh}}U:9%==)c9gkprX9<"8?$l4dnww[43/;=#i7iazt^36,63.j2ndyyQ>5)15-g=cg|~T=8&<7(`8`jssW8?#?5'm;emvpZ72 :3"m6j`uu]21-2.j2ndyyQ>5)63-g=cg|~T=8&;1(`8`jssW8?#8?'m;emvpZ72 =9"n6j`uu]21-23!k1ocxzP14*71,d$8'n;emvpZ72 ?#j7iazt^36,2/f3me~xR?:(9+b?air|V;>$4'k;emvpZ724=<1<3o4dnww[40/8 k0hb{{_04+5,d!;9%o5kotv\53.6; h0hb{{_04+51/e3me~xR?9(07*f>bh}}U::%?9)c9gkprX9?":;$l4dnww[40/91#i7iazt^35,4?.i2ndyyQ>6)0*f>bh}}U::%6)07-g=cg|~T=;&=5(`8`jssW8<#>;'m;emvpZ71 ;="n6j`uu]22-4?!k1ocxzP17*1=,g;&b:flqqY6>!9=%o5kotv\53.4? h0hb{{_04+7=/e3me~xR?9(2;*e>bh}}U::%:&b:flqqY6>!>;%o5kotv\53.39 h0hb{{_04+07/e3me~xR?9(51*f>bh}}U::%:;)c9gkprX9?"?9$l4dnww[40/`9gkprX95;;2l5kotv\59766h1ocxzP1=31:d=cg|~T=1?<>`9gkprX95;?2l5kotv\59726h1ocxzP1=35:d=cg|~T=1?8>`9gkprX95;32l5kotv\597>601ocxzP1=3=e>bh}}U:0?>1a:flqqY64;;5m6j`uu]28749i2ndyyQ><31=e>bh}}U:0?:1a:flqqY64;?5m6j`uu]28709i2ndyyQ><35=e>bh}}U:0?61a:flqqY64;3556j`uu]2878f3me~xR?33174;g3o4dnww[4:3;7k0hb{{_0>70;g1a:flqqY64=<556j`uu]2818>3me~xR?35?;8`jssW86=245kotv\591912ndyyQ><9<:?air|V;75374dnww[7.7!01ocxzP2)3*e>bh}}U9$<>&a:flqqY5 8;"m6j`uu]1,44.i2ndyyQ=(01*e>bh}}U9$<:&a:flqqY5 8?"m6j`uu]1,40.i2ndyyQ=(05*e>bh}}U9$<6&a:flqqY5 83"56j`uu]1,7/f3me~xR<'21+b?air|V8#><'n;emvpZ4/:;#j7iazt^0+66/f3me~xR<'25+b?air|V8#>8'n;emvpZ4/:?#j7iazt^0+62/f3me~xR<'29+b?air|V8#>4'6;emvpZ4/; k0hb{{_3*04,g$o4dnww[7.4; k0hb{{_3*00,g%:=)`9gkprX:!>8%l5kotv\6-23!h1ocxzP2)66-d=cg|~T>%:9)89gkprX:!?"56j`uu]1,3/>3me~xR<'7(;8`jssW;"3%45kotv\6-?.12ndyyQ=<117;g7k0hb{{_3>13;g1=>>`9gkprX:5992l5kotv\69546h1ocxzP2=17:d=cg|~T>1=:>`9gkprX:59=2l5kotv\69506h1ocxzP2=1;:d=cg|~T>1=6>89gkprX:595m6j`uu]18169i2ndyyQ=<53=e>bh}}U909<1a:flqqY54=95m6j`uu]18129i2ndyyQ=<57=g>bh}}U909850?c8`jssW;6?:374dnww[7:3601ocxzP2=7==>bh}}U90;06;emvpZ4;?730hb{{_3>;:<=cg|~T>1719:flqqY4 9#27iazt^1+5,g&>0(c8`jssW:":=$o4dnww[6.6: k0hb{{_2*27,g&>4(c8`jssW:":9$o4dnww[6.6> k0hb{{_2*23,g&>8(c8`jssW:":5$74dnww[6.5!h1ocxzP3)03-d=cg|~T?%<>)`9gkprX;!89%l5kotv\7-44!h1ocxzP3)07-d=cg|~T?%<:)`9gkprX;!8=%l5kotv\7-40!h1ocxzP3)0;-d=cg|~T?%<6)89gkprX;!9"m6j`uu]0,66.i2ndyyQ<(23*e>bh}}U8$><&a:flqqY4 :9"m6j`uu]0,62.i2ndyyQ<(27*e>bh}}U8$>8&a:flqqY4 :="m6j`uu]0,6>.i2ndyyQ<(2;*=>bh}}U8$9'n;emvpZ5/<9#j7iazt^1+04/f3me~xR='43+b?air|V9#8>'n;emvpZ5/<=#j7iazt^1+00/f3me~xR='47+:?air|V9#9$74dnww[6.1!01ocxzP3)5*=>bh}}U8$5'6;emvpZ5/1 i0hb{{_2>72?6912ndyyQ;(1+:?air|V>#=$o4dnww[1.68 k0hb{{_5*25,g2(c8`jssW=":?$o4dnww[1.6< k0hb{{_5*21,g6(c8`jssW=":;$o4dnww[1.60 k0hb{{_5*2=,?!01ocxzP4)1*e>bh}}U?$>>&a:flqqY3 :;"m6j`uu]7,64.i2ndyyQ;(21*e>bh}}U?$>:&a:flqqY3 :?"m6j`uu]7,60.i2ndyyQ;(25*e>bh}}U?$>6&a:flqqY3 :3"56j`uu]7,1/f3me~xR:'41+b?air|V>#8<'n;emvpZ2/<;#j7iazt^6+06/f3me~xR:'45+b?air|V>#88'n;emvpZ2/3me~xR:'9(a8`jssW=6?:7>19:flqqY2 9#27iazt^7+5,g0(c8`jssW<":=$o4dnww[0.6: k0hb{{_4*27,g4(c8`jssW<":9$o4dnww[0.6> k0hb{{_4*23,g8(c8`jssW<":5$74dnww[0.5!h1ocxzP5)03-d=cg|~T9%<>)`9gkprX=!89%l5kotv\1-44!h1ocxzP5)07-d=cg|~T9%<:)`9gkprX=!8=%l5kotv\1-40!h1ocxzP5)0;-d=cg|~T9%<6)89gkprX=!9"m6j`uu]6,66.i2ndyyQ:(23*e>bh}}U>$><&a:flqqY2 :9"m6j`uu]6,62.i2ndyyQ:(27*e>bh}}U>$>8&a:flqqY2 :="m6j`uu]6,6>.i2ndyyQ:(2;*=>bh}}U>$9'n;emvpZ3/<9#j7iazt^7+04/f3me~xR;'43+b?air|V?#8>'n;emvpZ3/<=#j7iazt^7+00/f3me~xR;'47+:?air|V?#9$74dnww[0.1!01ocxzP5)5*=>bh}}U>$5'6;emvpZ3/1 i0hb{{_4>72?6912ndyyQ9(1+:?air|V<#=$o4dnww[3.68 k0hb{{_7*25,g2(c8`jssW?":?$o4dnww[3.6< k0hb{{_7*21,g6(c8`jssW?":;$o4dnww[3.60 k0hb{{_7*2=,?!8;%l5kotv\2-46!h1ocxzP6)01-d=cg|~T:%<<)`9gkprX>!8?%l5kotv\2-42!h1ocxzP6)05-d=cg|~T:%<8)`9gkprX>!83%l5kotv\2-4>!01ocxzP6)1*e>bh}}U=$>>&a:flqqY1 :;"m6j`uu]5,64.i2ndyyQ9(21*e>bh}}U=$>:&a:flqqY1 :?"m6j`uu]5,60.i2ndyyQ9(25*e>bh}}U=$>6&a:flqqY1 :3"56j`uu]5,1/f3me~xR8'41+b?air|V<#8<'n;emvpZ0/<;#j7iazt^4+06/f3me~xR8'45+b?air|V<#88'n;emvpZ0/!="56j`uu]5,=/>3me~xR8'9(a8`jssW?6?:7>19:flqqY0 9#27iazt^5+5,g0(c8`jssW>":=$o4dnww[2.6: k0hb{{_6*27,g4(c8`jssW>":9$o4dnww[2.6> k0hb{{_6*23,g8(c8`jssW>":5$74dnww[2.5!h1ocxzP7)03-d=cg|~T;%<>)`9gkprX?!89%l5kotv\3-44!h1ocxzP7)07-d=cg|~T;%<:)`9gkprX?!8=%l5kotv\3-40!h1ocxzP7)0;-d=cg|~T;%<6)89gkprX?!9"m6j`uu]4,66.i2ndyyQ8(23*e>bh}}U<$><&a:flqqY0 :9"m6j`uu]4,62.i2ndyyQ8(27*e>bh}}U<$>8&a:flqqY0 :="m6j`uu]4,6>.i2ndyyQ8(2;*=>bh}}U<$9'n;emvpZ1/<9#j7iazt^5+04/f3me~xR9'43+b?air|V=#8>'n;emvpZ1/<=#j7iazt^5+00/f3me~xR9'47+:?air|V=#9$74dnww[2.1!01ocxzP7)5*=>bh}}U<$5'6;emvpZ1/1 i0hb{{_6>72?6912ndyyQ7(1+:?air|V2#=$o4dnww[=.68 k0hb{{_9*25,g2(c8`jssW1":?$o4dnww[=.6< k0hb{{_9*21,g6(c8`jssW1":;$o4dnww[=.60 k0hb{{_9*2=,?!01ocxzP8)1*e>bh}}U3$>>&a:flqqY? :;"m6j`uu];,64.i2ndyyQ7(21*e>bh}}U3$>:&a:flqqY? :?"m6j`uu];,60.i2ndyyQ7(25*e>bh}}U3$>6&a:flqqY? :3"56j`uu];,1/f3me~xR6'41+b?air|V2#8<'n;emvpZ>/<;#j7iazt^:+06/f3me~xR6'45+b?air|V2#88'n;emvpZ>/3me~xR6'9(a8`jssW16?:7>19:flqqY> 9#27iazt^;+5,g0(c8`jssW0":=$o4dnww[<.6: k0hb{{_8*27,g4(c8`jssW0":9$o4dnww[<.6> k0hb{{_8*23,g8(c8`jssW0":5$74dnww[<.5!h1ocxzP9)03-d=cg|~T5%<>)`9gkprX1!89%l5kotv\=-44!h1ocxzP9)07-d=cg|~T5%<:)`9gkprX1!8=%l5kotv\=-40!h1ocxzP9)0;-d=cg|~T5%<6)89gkprX1!9"m6j`uu]:,66.i2ndyyQ6(23*e>bh}}U2$><&a:flqqY> :9"m6j`uu]:,62.i2ndyyQ6(27*e>bh}}U2$>8&a:flqqY> :="m6j`uu]:,6>.i2ndyyQ6(2;*=>bh}}U2$9'n;emvpZ?/<9#j7iazt^;+04/f3me~xR7'43+b?air|V3#8>'n;emvpZ?/<=#j7iazt^;+00/f3me~xR7'47+:?air|V3#9$74dnww[<.1!01ocxzP9)5*=>bh}}U2$5'6;emvpZ?/1 i0hb{{_8>72?6912ndyyQf(1+:?air|Vc#=$o4dnww[l.68 k0hb{{_h*25,g2(c8`jssW`":?$o4dnww[l.6< k0hb{{_h*21,g6(c8`jssW`":;$o4dnww[l.60 k0hb{{_h*2=,?3me~xRg'5(;8`jssW`"=%45kotv\m-1.12ndyyQf(9+:?air|Vc#5$m4dnww[l:5;3:5n6j`uu]j[4.7!k1ocxzPi^3+5,e(02*g>bh}}UbS<&>1(a8`jssW`U:$<<&c:flqqYnW8":?$m4dnww[lY6 8>"o6j`uu]j[4.6= i0hb{{_h]2,40.k2ndyyQf_0*23,e(0:*g>bh}}UbS<&>9(`8`jssW`U:$?'l;emvpZoX9!8;%n5kotv\mZ7/:8#h7iazt^k\5-45!j1ocxzPi^3+66/e3me~xRgP1)1*f>bh}}UbS<&;)c9gkprXaV;#9$l4dnww[lY6 ?#i7iazt^k\5-1.j2ndyyQf_0*;-g=cg|~TeR?'9(a8`jssW`U:<%>&c:flqqYnW8:#=$j4dnww[lY68!;;%i5kotv\mZ77 8;"h6j`uu]j[46/9;#o7iazt^k\55.6; n0hb{{_h]24-73!m1ocxzPi^33,43.l2ndyyQf_02+53/c3me~xRgP11*23,b0)3;-a=cg|~TeR??(0;*g>bh}}UbS<>'2(f8`jssW`U:<%?'k;emvpZoX99"9?$m4dnww[lY68!9"o6j`uu]j[46/< i0hb{{_h]24-3.k2ndyyQf_02+2,e0)5*g>bh}}UbS<>'8(a8`jssW`U:<%7&f:flqqYnW8:7>>4?>b9gkprXaV;:$='l;emvpZoX98":%i5kotv\mZ76 8:"h6j`uu]j[47/98#o7iazt^k\54.6: n0hb{{_h]25-74!m1ocxzPi^32,42.l2ndyyQf_03+50/c3me~xRgP10*22,b1)34-a=cg|~TeR?>(0:*`>bh}}UbS&d:flqqYnW8;#><'k;emvpZoX98"9>$j4dnww[lY69!88%n5kotv\mZ76 :#h7iazt^k\54.3!j1ocxzPi^32,0/d3me~xRgP10*5-f=cg|~TeR?>(6+`?air|VcT=<&7)b9gkprXaV;:$4'i;emvpZoX9869?7>1c:flqqYnW88#<$m4dnww[lY6:!;"h6j`uu]j[44/99#o7iazt^k\57.69 n0hb{{_h]26-75!m1ocxzPi^31,45.l2ndyyQf_00+51/c3me~xRgP13*21,b2)35-a=cg|~TeR?=(05*`>bh}}UbS<<'19+g?air|VcT=?&>9(a8`jssW`U:>%<&d:flqqYnW88#>='k;emvpZoX9;"9=$j4dnww[lY6:!89%i5kotv\mZ75 ;9"o6j`uu]j[44/; i0hb{{_h]26-2.k2ndyyQf_00+1,e2)4*g>bh}}UbS<<'7(a8`jssW`U:>%6&c:flqqYnW88#5$h4dnww[lY6:5886=0l;emvpZoX9:";%n5kotv\mZ74 8#o7iazt^k\56.68 n0hb{{_h]27-76!m1ocxzPi^30,44.l2ndyyQf_01+56/c3me~xRgP12*20,b3)36-a=cg|~TeR?<(04*`>bh}}UbS<='16+g?air|VcT=>&>8(f8`jssW`U:?%?6)b9gkprXaV;8$?'k;emvpZoX9:"9<$j4dnww[lY6;!8:%i5kotv\mZ74 ;8"h6j`uu]j[45/::#h7iazt^k\56.4!j1ocxzPi^30,1/d3me~xRgP12*6-f=cg|~TeR?<(7+`?air|VcT=>&8)b9gkprXaV;8$5'l;emvpZoX9:"2%k5kotv\mZ744;91<3m4dnww[lY64)37-a=cg|~TeR?;(07*`>bh}}UbS<:'17+g?air|VcT=9&>7(f8`jssW`U:8%?7)e9gkprXaV;?$<7&c:flqqYnW8>#>$j4dnww[lY64)7*g>bh}}UbS<:'6(a8`jssW`U:8%9&c:flqqYnW8>#4$m4dnww[lY65)30-a=cg|~TeR?:(06*`>bh}}UbS<;'14+g?air|VcT=8&>6(f8`jssW`U:9%?8)e9gkprXaV;>$<6&d:flqqYnW8?#=4'l;emvpZoX9<"9%i5kotv\mZ72 ;:"h6j`uu]j[43/:8#o7iazt^k\50.5: n0hb{{_h]21-44!j1ocxzPi^36,6/d3me~xRgP14*7-f=cg|~TeR?:(4+`?air|VcT=8&9)b9gkprXaV;>$:'l;emvpZoX9<"3%n5kotv\mZ72 0#m7iazt^k\50:5;3:5o6j`uu]j[40/8 i0hb{{_h]22-7.l2ndyyQf_04+55/c3me~xRgP17*25,b6)31-a=cg|~TeR?9(01*`>bh}}UbS<8'15+g?air|VcT=;&>5(f8`jssW`U::%?9)e9gkprXaV;=$<9&d:flqqYnW8<#=5'k;emvpZoX9?":5$m4dnww[lY6>!8"h6j`uu]j[40/:9#o7iazt^k\53.59 n0hb{{_h]22-45!m1ocxzPi^35,75.k2ndyyQf_04+7,e6)6*g>bh}}UbS<8'5(a8`jssW`U::%8&c:flqqYnW8<#;$m4dnww[lY6>!2"o6j`uu]j[40/1 l0hb{{_h]22944294n7iazt^k\5944294i7iazt^k\6-6.j2ndyyQf_3*2-f=cg|~TeR<'11+`?air|VcT>%?>)b9gkprXaV8#=?'l;emvpZoX:!;8%n5kotv\mZ4/9=#h7iazt^k\6-72!j1ocxzPi^0+53/d3me~xRgP2)34-f=cg|~TeR<'19+`?air|VcT>%?6)c9gkprXaV8#>$m4dnww[lY5 ;:"o6j`uu]j[7.59 i0hb{{_h]1,74.k2ndyyQf_3*17,d%:&b:flqqYnW;">%o5kotv\mZ4/> h0hb{{_h]1,2/e3me~xRgP2):*f>bh}}UbS?&6)d9gkprXaV87>>4?>c9gkprXaV9#<$l4dnww[lY4 8#h7iazt^k\7-77!j1ocxzPi^1+54/d3me~xRgP3)31-f=cg|~TeR='12+`?air|VcT?%?;)b9gkprXaV9#=8'l;emvpZoX;!;=%n5kotv\mZ5/9>#h7iazt^k\7-7?!j1ocxzPi^1+5bh}}UbS>&=0(a8`jssW`U8$??&c:flqqYnW:"9>$m4dnww[lY4 ;9"n6j`uu]j[6.4!k1ocxzPi^1+0,d58e3me~xRgP4)2*f>bh}}UbS9&>)b9gkprXaV>#=='l;emvpZoX#=5'l;emvpZoXbh}}UbS9&=3(`8`jssW`U?$>'m;emvpZoX"n6j`uu]j[1.2!k1ocxzPi^6+2,d'l;emvpZoX=!;?%n5kotv\mZ3/9<#h7iazt^k\1-71!j1ocxzPi^7+52/d3me~xRgP5)3;-f=cg|~TeR;'18+a?air|VcT9%<&c:flqqYnW<"9<$m4dnww[lY2 ;;"o6j`uu]j[0.5: i0hb{{_h]6,75.j2ndyyQf_4*0-g=cg|~TeR;'4(`8`jssW`U>$8'm;emvpZoX=!<"n6j`uu]j[0.0!k1ocxzPi^7+<,d&b:flqqYnW?":%n5kotv\mZ0/99#h7iazt^k\2-76!j1ocxzPi^4+57/d3me~xRgP6)30-f=cg|~TeR8'15+`?air|VcT:%?:)b9gkprXaV<#=;'l;emvpZoX>!;<%n5kotv\mZ0/91#h7iazt^k\2-7>!k1ocxzPi^4+6,ebh}}UbS;&=1(a8`jssW`U=$?<&c:flqqYnW?"9?$l4dnww[lY1 :#i7iazt^k\2-2.j2ndyyQf_7*6-g=cg|~TeR8'6(`8`jssW`U=$:'m;emvpZoX>!2"n6j`uu]j[3.>!l1ocxzPi^4?66<76k1ocxzPi^5+4,dbh}}UbS:&<)c9gkprXaV=#8$l4dnww[lY0 <#i7iazt^k\3-0.j2ndyyQf_6*4-g=cg|~TeR9'8(`8`jssW`U<$4'j;emvpZoX?5886=0m;emvpZoX0!:"n6j`uu]j[=.6!j1ocxzPi^:+55/d3me~xRgP8)32-f=cg|~TeR6'13+`?air|VcT4%?<)b9gkprXaV2#=9'l;emvpZoX0!;>%n5kotv\mZ>/9?#h7iazt^k\<-70!j1ocxzPi^:+5=/d3me~xRgP8)3:-g=cg|~TeR6'2(a8`jssW`U3$?>&c:flqqYnW1"9=$m4dnww[lY? ;8"o6j`uu]j[=.5; h0hb{{_h];,6/e3me~xRgP8)6*f>bh}}UbS5&:)c9gkprXaV2#:$l4dnww[lY? >#i7iazt^k\<->.j2ndyyQf_9*:-`=cg|~TeR632283:g=cg|~TeR7'0(`8`jssW`U2$<'l;emvpZoX1!;;%n5kotv\mZ?/98#h7iazt^k\=-75!j1ocxzPi^;+56/d3me~xRgP9)37-f=cg|~TeR7'14+`?air|VcT5%?9)b9gkprXaV3#=:'l;emvpZoX1!;3%n5kotv\mZ?/90#i7iazt^k\=-4.k2ndyyQf_8*14,ebh}}UbS4&=2(a8`jssW`U2$?=&b:flqqYnW0"8%o5kotv\mZ?/< h0hb{{_h]:,0/e3me~xRgP9)4*f>bh}}UbS4&8)c9gkprXaV3#4$l4dnww[lY> 0#n7iazt^k\=94429427iazt^s+4,?)`9gkprXy!;;%l5kotv\u-76!h1ocxzPq)31-d=cg|~T}%?<)`9gkprXy!;?%l5kotv\u-72!h1ocxzPq)35-d=cg|~T}%?8)`9gkprXy!;3%l5kotv\u-7>!01ocxzPq)0*e>bh}}Uz$?>&a:flqqYv ;;"m6j`uu]r,74.i2ndyyQ~(31*e>bh}}Uz$?:&a:flqqYv ;?"m6j`uu]r,70.i2ndyyQ~(35*e>bh}}Uz$?6&a:flqqYv ;3"56j`uu]r,6/f3me~xR'31+b?air|V{#?<'n;emvpZw/;;#j7iazt^s+76/f3me~xR'35+b?air|V{#?8'n;emvpZw/;?#j7iazt^s+72/f3me~xR'39+b?air|V{#?4'6;emvpZw/< k0hb{{_p*74,g$o4dnww[t.3; k0hb{{_p*70,gbh}}Uz$:'6;emvpZw/0 30hb{{_p*:-f=cg|~T}1:9:1&b:flqqYvW8":%n5kotv\uZ7/99#h7iazt^s\5-76!j1ocxzPq^3+57/d3me~xRP1)30-f=cg|~T}R?'15+`?air|V{T=%?:)b9gkprXyV;#=;'l;emvpZwX9!;<%n5kotv\uZ7/91#h7iazt^s\5-7>!k1ocxzPq^3+6,e(32*g>bh}}UzS<&=1(a8`jssWxU:$?<&c:flqqYvW8"9?$m4dnww[tY6 ;>"o6j`uu]r[4.5= i0hb{{_p]2,70.k2ndyyQ~_0*13,e(3:*g>bh}}UzS<&=9(`8`jssWxU:$>'l;emvpZwX9!9;%n5kotv\uZ7/;8#h7iazt^s\5-55!j1ocxzPq^3+76/d3me~xRP1)17-f=cg|~T}R?'34+`?air|V{T=%=9)b9gkprXyV;#?:'l;emvpZwX9!93%n5kotv\uZ7/;0#i7iazt^s\5-2.k2ndyyQ~_0*74,e(53*g>bh}}UzS<&;2(a8`jssWxU:$9=&c:flqqYvW8"?8$m4dnww[tY6 =?"o6j`uu]r[4.3> h0hb{{_p]2,0/e3me~xRP1)4*f>bh}}UzS<&8)c9gkprXyV;#4$l4dnww[tY6 0#h7iazt^s\55.7!j1ocxzPq^33,4/c3me~xRP11*24,b0)32-a=cg|~T}R??(00*`>bh}}UzS<>'12+g?air|V{T==&>4(f8`jssWxU:<%?:)e9gkprXyV;;$<8&d:flqqYvW8:#=:'k;emvpZwX99":4$j4dnww[tY68!;2%n5kotv\uZ77 ;#o7iazt^s\55.58 n0hb{{_p]24-46!m1ocxzPq^33,74.l2ndyyQ~_02+66/c3me~xRP11*10,b0)06-a=cg|~T}R??(34*`>bh}}UzS<>'26+g?air|V{T==&=8(f8`jssWxU:<%<6)b9gkprXyV;;$>'k;emvpZwX99"8<$j4dnww[tY68!9:%i5kotv\uZ77 :8"h6j`uu]r[46/;:#o7iazt^s\55.4< n0hb{{_p]24-52!m1ocxzPq^33,60.l2ndyyQ~_02+72/c3me~xRP11*0<,b0)1:-f=cg|~T}R??(5+g?air|V{T==&;0(f8`jssWxU:<%:>)e9gkprXyV;;$9<&d:flqqYvW8:#8>'k;emvpZwX99"?8$j4dnww[tY68!>>%i5kotv\uZ77 =<"o6j`uu]r[46/= i0hb{{_p]24-0.k2ndyyQ~_02+3,e0):*g>bh}}UzS<>'9(d8`jssWxU:<1:9:1<`?air|V{T=<&?)b9gkprXyV;:$<'k;emvpZwX98":<$j4dnww[tY69!;:%i5kotv\uZ76 88"h6j`uu]r[47/9:#o7iazt^s\54.6< n0hb{{_p]25-72!m1ocxzPq^32,40.l2ndyyQ~_03+52/c3me~xRP10*2<,b1)3:-f=cg|~T}R?>(3+g?air|V{T=<&=0(f8`jssWxU:=%<>)e9gkprXyV;:$?<&d:flqqYvW8;#>>'k;emvpZwX98"98$j4dnww[tY69!8>%i5kotv\uZ76 ;<"h6j`uu]r[47/:>#o7iazt^s\54.50 n0hb{{_p]25-4>!j1ocxzPq^32,6/c3me~xRP10*04,b1)12-a=cg|~T}R?>(20*`>bh}}UzS8&d:flqqYvW8;#?:'k;emvpZwX98"84$j4dnww[tY69!92%n5kotv\uZ76 =#o7iazt^s\54.38 n0hb{{_p]25-26!m1ocxzPq^32,14.l2ndyyQ~_03+06/c3me~xRP10*70,b1)66-a=cg|~T}R?>(54*g>bh}}UzS2)32-a=cg|~T}R?=(00*`>bh}}UzS<<'12+g?air|V{T=?&>4(f8`jssWxU:>%?:)e9gkprXyV;9$<8&d:flqqYvW88#=:'k;emvpZwX9;":4$j4dnww[tY6:!;2%n5kotv\uZ75 ;#o7iazt^s\57.58 n0hb{{_p]26-46!m1ocxzPq^31,74.l2ndyyQ~_00+66/c3me~xRP13*10,b2)06-a=cg|~T}R?=(34*`>bh}}UzS<<'26+g?air|V{T=?&=8(f8`jssWxU:>%<6)b9gkprXyV;9$>'k;emvpZwX9;"8<$j4dnww[tY6:!9:%i5kotv\uZ75 :8"h6j`uu]r[44/;:#o7iazt^s\57.4< n0hb{{_p]26-52!m1ocxzPq^31,60.l2ndyyQ~_00+72/c3me~xRP13*0<,b2)1:-f=cg|~T}R?=(5+g?air|V{T=?&;0(f8`jssWxU:>%:>)e9gkprXyV;9$9<&d:flqqYvW88#8>'k;emvpZwX9;"?8$j4dnww[tY6:!>>%i5kotv\uZ75 =<"o6j`uu]r[44/= i0hb{{_p]26-0.k2ndyyQ~_00+3,e2):*g>bh}}UzS<<'9(d8`jssWxU:>1:9:1<`?air|V{T=>&?)b9gkprXyV;8$<'k;emvpZwX9:":<$j4dnww[tY6;!;:%i5kotv\uZ74 88"h6j`uu]r[45/9:#o7iazt^s\56.6< n0hb{{_p]27-72!m1ocxzPq^30,40.l2ndyyQ~_01+52/c3me~xRP12*2<,b3)3:-f=cg|~T}R?<(3+g?air|V{T=>&=0(f8`jssWxU:?%<>)e9gkprXyV;8$?<&d:flqqYvW89#>>'k;emvpZwX9:"98$j4dnww[tY6;!8>%i5kotv\uZ74 ;<"h6j`uu]r[45/:>#o7iazt^s\56.50 n0hb{{_p]27-4>!j1ocxzPq^30,6/c3me~xRP12*04,b3)12-a=cg|~T}R?<(20*`>bh}}UzS<='32+g?air|V{T=>&<4(f8`jssWxU:?%=:)e9gkprXyV;8$>8&d:flqqYvW89#?:'k;emvpZwX9:"84$j4dnww[tY6;!92%n5kotv\uZ74 =#o7iazt^s\56.38 n0hb{{_p]27-26!m1ocxzPq^30,14.l2ndyyQ~_01+06/c3me~xRP12*70,b3)66-a=cg|~T}R?<(54*g>bh}}UzS<='5(a8`jssWxU:?%8&c:flqqYvW89#;$m4dnww[tY6;!2"o6j`uu]r[45/1 l0hb{{_p]27921294h7iazt^s\51.7!j1ocxzPq^37,4/c3me~xRP15*24,b4)32-a=cg|~T}R?;(00*`>bh}}UzS<:'12+g?air|V{T=9&>4(f8`jssWxU:8%?:)e9gkprXyV;?$<8&d:flqqYvW8>#=:'k;emvpZwX9=":4$j4dnww[tY64)06-a=cg|~T}R?;(34*`>bh}}UzS<:'26+g?air|V{T=9&=8(f8`jssWxU:8%<6)b9gkprXyV;?$>'k;emvpZwX9="8<$j4dnww[tY64)1:-f=cg|~T}R?;(5+g?air|V{T=9&;0(f8`jssWxU:8%:>)e9gkprXyV;?$9<&d:flqqYvW8>#8>'k;emvpZwX9="?8$j4dnww[tY6>%i5kotv\uZ73 =<"o6j`uu]r[42/= i0hb{{_p]20-0.k2ndyyQ~_06+3,e4):*g>bh}}UzS<:'9(d8`jssWxU:81:9:1<`?air|V{T=8&?)b9gkprXyV;>$<'k;emvpZwX9<":<$j4dnww[tY6=!;:%i5kotv\uZ72 88"h6j`uu]r[43/9:#o7iazt^s\50.6< n0hb{{_p]21-72!m1ocxzPq^36,40.l2ndyyQ~_07+52/c3me~xRP14*2<,b5)3:-f=cg|~T}R?:(3+g?air|V{T=8&=0(f8`jssWxU:9%<>)e9gkprXyV;>$?<&d:flqqYvW8?#>>'k;emvpZwX9<"98$j4dnww[tY6=!8>%i5kotv\uZ72 ;<"h6j`uu]r[43/:>#o7iazt^s\50.50 n0hb{{_p]21-4>!j1ocxzPq^36,6/c3me~xRP14*04,b5)12-a=cg|~T}R?:(20*`>bh}}UzS<;'32+g?air|V{T=8&<4(f8`jssWxU:9%=:)e9gkprXyV;>$>8&d:flqqYvW8?#?:'k;emvpZwX9<"84$j4dnww[tY6=!92%n5kotv\uZ72 =#o7iazt^s\50.38 n0hb{{_p]21-26!m1ocxzPq^36,14.l2ndyyQ~_07+06/c3me~xRP14*70,b5)66-a=cg|~T}R?:(54*g>bh}}UzS<;'5(a8`jssWxU:9%8&c:flqqYvW8?#;$m4dnww[tY6=!2"o6j`uu]r[43/1 l0hb{{_p]21921294h7iazt^s\53.7!j1ocxzPq^35,4/c3me~xRP17*24,b6)32-a=cg|~T}R?9(00*`>bh}}UzS<8'12+g?air|V{T=;&>4(f8`jssWxU::%?:)e9gkprXyV;=$<8&d:flqqYvW8<#=:'k;emvpZwX9?":4$j4dnww[tY6>!;2%n5kotv\uZ71 ;#o7iazt^s\53.58 n0hb{{_p]22-46!m1ocxzPq^35,74.l2ndyyQ~_04+66/c3me~xRP17*10,b6)06-a=cg|~T}R?9(34*`>bh}}UzS<8'26+g?air|V{T=;&=8(f8`jssWxU::%<6)b9gkprXyV;=$>'k;emvpZwX9?"8<$j4dnww[tY6>!9:%i5kotv\uZ71 :8"h6j`uu]r[40/;:#o7iazt^s\53.4< n0hb{{_p]22-52!m1ocxzPq^35,60.l2ndyyQ~_04+72/c3me~xRP17*0<,b6)1:-f=cg|~T}R?9(5+g?air|V{T=;&;0(f8`jssWxU::%:>)e9gkprXyV;=$9<&d:flqqYvW8<#8>'k;emvpZwX9?"?8$j4dnww[tY6>!>>%i5kotv\uZ71 =<"o6j`uu]r[40/= i0hb{{_p]22-0.k2ndyyQ~_04+3,e6):*g>bh}}UzS<8'9(d8`jssWxU::1:9:1%>&b:flqqYvW;":%n5kotv\uZ4/99#h7iazt^s\6-76!j1ocxzPq^0+57/d3me~xRP2)30-f=cg|~T}R<'15+`?air|V{T>%?:)b9gkprXyV8#=;'l;emvpZwX:!;<%n5kotv\uZ4/91#h7iazt^s\6-7>!k1ocxzPq^0+6,ebh}}UzS?&=1(a8`jssWxU9$?<&c:flqqYvW;"9?$m4dnww[tY5 ;>"o6j`uu]r[7.5= i0hb{{_p]1,70.k2ndyyQ~_3*13,ebh}}UzS?&=9(`8`jssWxU9$>'l;emvpZwX:!9;%n5kotv\uZ4/;8#h7iazt^s\6-55!j1ocxzPq^0+76/d3me~xRP2)17-f=cg|~T}R<'34+`?air|V{T>%=9)b9gkprXyV8#?:'l;emvpZwX:!93%n5kotv\uZ4/;0#i7iazt^s\6-2.k2ndyyQ~_3*74,ebh}}UzS?&;2(a8`jssWxU9$9=&c:flqqYvW;"?8$m4dnww[tY5 =?"o6j`uu]r[7.3> h0hb{{_p]1,0/e3me~xRP2)4*f>bh}}UzS?&8)c9gkprXyV8#4$l4dnww[tY5 0#n7iazt^s\6921294i7iazt^s\7-6.j2ndyyQ~_2*2-f=cg|~T}R='11+`?air|V{T?%?>)b9gkprXyV9#=?'l;emvpZwX;!;8%n5kotv\uZ5/9=#h7iazt^s\7-72!j1ocxzPq^1+53/d3me~xRP3)34-f=cg|~T}R='19+`?air|V{T?%?6)c9gkprXyV9#>$m4dnww[tY4 ;:"o6j`uu]r[6.59 i0hb{{_p]0,74.k2ndyyQ~_2*17,ebh}}UzS>&=5(a8`jssWxU8$?8&c:flqqYvW:"9;$m4dnww[tY4 ;2"o6j`uu]r[6.51 h0hb{{_p]0,6/d3me~xRP3)13-f=cg|~T}R='30+`?air|V{T?%==)b9gkprXyV9#?>'l;emvpZwX;!9?%n5kotv\uZ5/;<#h7iazt^s\7-51!j1ocxzPq^1+72/d3me~xRP3)1;-f=cg|~T}R='38+a?air|V{T?%:&c:flqqYvW:"?<$m4dnww[tY4 =;"o6j`uu]r[6.3: i0hb{{_p]0,15.k2ndyyQ~_2*70,ebh}}UzS>&;6(`8`jssWxU8$8'm;emvpZwX;!<"n6j`uu]r[6.0!k1ocxzPq^1+<,d&b:flqqYvW=":%n5kotv\uZ2/99#h7iazt^s\0-76!j1ocxzPq^6+57/d3me~xRP4)30-f=cg|~T}R:'15+`?air|V{T8%?:)b9gkprXyV>#=;'l;emvpZwX!k1ocxzPq^6+6,ebh}}UzS9&=1(a8`jssWxU?$?<&c:flqqYvW="9?$m4dnww[tY3 ;>"o6j`uu]r[1.5= i0hb{{_p]7,70.k2ndyyQ~_5*13,ebh}}UzS9&=9(`8`jssWxU?$>'l;emvpZwX#?:'l;emvpZwXbh}}UzS9&;2(a8`jssWxU?$9=&c:flqqYvW="?8$m4dnww[tY3 =?"o6j`uu]r[1.3> h0hb{{_p]7,0/e3me~xRP4)4*f>bh}}UzS9&8)c9gkprXyV>#4$l4dnww[tY3 0#n7iazt^s\0921294i7iazt^s\1-6.j2ndyyQ~_4*2-f=cg|~T}R;'11+`?air|V{T9%?>)b9gkprXyV?#=?'l;emvpZwX=!;8%n5kotv\uZ3/9=#h7iazt^s\1-72!j1ocxzPq^7+53/d3me~xRP5)34-f=cg|~T}R;'19+`?air|V{T9%?6)c9gkprXyV?#>$m4dnww[tY2 ;:"o6j`uu]r[0.59 i0hb{{_p]6,74.k2ndyyQ~_4*17,ebh}}UzS8&=5(a8`jssWxU>$?8&c:flqqYvW<"9;$m4dnww[tY2 ;2"o6j`uu]r[0.51 h0hb{{_p]6,6/d3me~xRP5)13-f=cg|~T}R;'30+`?air|V{T9%==)b9gkprXyV?#?>'l;emvpZwX=!9?%n5kotv\uZ3/;<#h7iazt^s\1-51!j1ocxzPq^7+72/d3me~xRP5)1;-f=cg|~T}R;'38+a?air|V{T9%:&c:flqqYvW<"?<$m4dnww[tY2 =;"o6j`uu]r[0.3: i0hb{{_p]6,15.k2ndyyQ~_4*70,ebh}}UzS8&;6(`8`jssWxU>$8'm;emvpZwX=!<"n6j`uu]r[0.0!k1ocxzPq^7+<,d&b:flqqYvW?":%n5kotv\uZ0/99#h7iazt^s\2-76!j1ocxzPq^4+57/d3me~xRP6)30-f=cg|~T}R8'15+`?air|V{T:%?:)b9gkprXyV<#=;'l;emvpZwX>!;<%n5kotv\uZ0/91#h7iazt^s\2-7>!k1ocxzPq^4+6,ebh}}UzS;&=1(a8`jssWxU=$?<&c:flqqYvW?"9?$m4dnww[tY1 ;>"o6j`uu]r[3.5= i0hb{{_p]5,70.k2ndyyQ~_7*13,ebh}}UzS;&=9(`8`jssWxU=$>'l;emvpZwX>!9;%n5kotv\uZ0/;8#h7iazt^s\2-55!j1ocxzPq^4+76/d3me~xRP6)17-f=cg|~T}R8'34+`?air|V{T:%=9)b9gkprXyV<#?:'l;emvpZwX>!93%n5kotv\uZ0/;0#i7iazt^s\2-2.k2ndyyQ~_7*74,ebh}}UzS;&;2(a8`jssWxU=$9=&c:flqqYvW?"?8$m4dnww[tY1 =?"o6j`uu]r[3.3> h0hb{{_p]5,0/e3me~xRP6)4*f>bh}}UzS;&8)c9gkprXyV<#4$l4dnww[tY1 0#n7iazt^s\2921294i7iazt^s\3-6.j2ndyyQ~_6*2-f=cg|~T}R9'11+`?air|V{T;%?>)b9gkprXyV=#=?'l;emvpZwX?!;8%n5kotv\uZ1/9=#h7iazt^s\3-72!j1ocxzPq^5+53/d3me~xRP7)34-f=cg|~T}R9'19+`?air|V{T;%?6)c9gkprXyV=#>$m4dnww[tY0 ;:"o6j`uu]r[2.59 i0hb{{_p]4,74.k2ndyyQ~_6*17,ebh}}UzS:&=5(a8`jssWxU<$?8&c:flqqYvW>"9;$m4dnww[tY0 ;2"o6j`uu]r[2.51 h0hb{{_p]4,6/d3me~xRP7)13-f=cg|~T}R9'30+`?air|V{T;%==)b9gkprXyV=#?>'l;emvpZwX?!9?%n5kotv\uZ1/;<#h7iazt^s\3-51!j1ocxzPq^5+72/d3me~xRP7)1;-f=cg|~T}R9'38+a?air|V{T;%:&c:flqqYvW>"?<$m4dnww[tY0 =;"o6j`uu]r[2.3: i0hb{{_p]4,15.k2ndyyQ~_6*70,ebh}}UzS:&;6(`8`jssWxU<$8'm;emvpZwX?!<"n6j`uu]r[2.0!k1ocxzPq^5+<,d&b:flqqYvW1":%n5kotv\uZ>/99#h7iazt^s\<-76!j1ocxzPq^:+57/d3me~xRP8)30-f=cg|~T}R6'15+`?air|V{T4%?:)b9gkprXyV2#=;'l;emvpZwX0!;<%n5kotv\uZ>/91#h7iazt^s\<-7>!k1ocxzPq^:+6,ebh}}UzS5&=1(a8`jssWxU3$?<&c:flqqYvW1"9?$m4dnww[tY? ;>"o6j`uu]r[=.5= i0hb{{_p];,70.k2ndyyQ~_9*13,ebh}}UzS5&=9(`8`jssWxU3$>'l;emvpZwX0!9;%n5kotv\uZ>/;8#h7iazt^s\<-55!j1ocxzPq^:+76/d3me~xRP8)17-f=cg|~T}R6'34+`?air|V{T4%=9)b9gkprXyV2#?:'l;emvpZwX0!93%n5kotv\uZ>/;0#i7iazt^s\<-2.k2ndyyQ~_9*74,ebh}}UzS5&;2(a8`jssWxU3$9=&c:flqqYvW1"?8$m4dnww[tY? =?"o6j`uu]r[=.3> h0hb{{_p];,0/e3me~xRP8)4*f>bh}}UzS5&8)c9gkprXyV2#4$l4dnww[tY? 0#n7iazt^s\<921294i7iazt^s\=-6.j2ndyyQ~_8*2-f=cg|~T}R7'11+`?air|V{T5%?>)b9gkprXyV3#=?'l;emvpZwX1!;8%n5kotv\uZ?/9=#h7iazt^s\=-72!j1ocxzPq^;+53/d3me~xRP9)34-f=cg|~T}R7'19+`?air|V{T5%?6)c9gkprXyV3#>$m4dnww[tY> ;:"o6j`uu]r[<.59 i0hb{{_p]:,74.k2ndyyQ~_8*17,ebh}}UzS4&=5(a8`jssWxU2$?8&c:flqqYvW0"9;$m4dnww[tY> ;2"o6j`uu]r[<.51 h0hb{{_p]:,6/d3me~xRP9)13-f=cg|~T}R7'30+`?air|V{T5%==)b9gkprXyV3#?>'l;emvpZwX1!9?%n5kotv\uZ?/;<#h7iazt^s\=-51!j1ocxzPq^;+72/d3me~xRP9)1;-f=cg|~T}R7'38+a?air|V{T5%:&c:flqqYvW0"?<$m4dnww[tY> =;"o6j`uu]r[<.3: i0hb{{_p]:,15.k2ndyyQ~_8*70,ebh}}UzS4&;6(`8`jssWxU2$8'm;emvpZwX1!<"n6j`uu]r[<.0!k1ocxzPq^;+<,dbwzVxjaR:6;erq[wgjW<20iigi2oeg`>`nd}oyS~kc(1+e?cok|lxThb30;2=6a=aa{Uhc`~fldp\r0Y7$)Rb`d`w BMQA%Abflxjxb|/15,42>nelli9>?5gbeg`6)`nzVida}gces]u1Z6+FFDN CAAE3`b?mdcmj8'jd|PcnosmicuW?T5)eX`hyTnb}=0.`[igsmgir1?<#c^ofiZoia}Umeak2hcffg7(jao&hSb~{ilkyeqohf4;'oeoa_hl\eap:8%icmcQ|em]tmaro58&h`bmd_gpfu80389:;<="lodd\i`ksqyo66)`nd}oySl|lh<3/bljsm{UxiaQxievk94*aae~n~Rzvpd?5(lhsm{zT~lzj=0.jtgsanVgnaywe<2/j`aX{pgfiy|v=1.mqhYd`hdeia}21-lviZ`nd}y6=!`zm^rbpmu::%d~aRznpr?73)iclVyra`k{rx?2(jve}olTahc{yqg>4)ir|ySkh=0.lqqvr|V}bhyf246.qeqcXkakekhQ{yqg>4)th}gnTabjj=1.pehvkmVlyi|3<2123456+{jfySik{esojjlusaj7: ~wbmdvq}87+rlxdS}olkhld[cgd|fx6=!s>e:ja``e5WocySnabphnfvZp2W9UsyQ>2:lg<>h`kkb`i<4nn18va43{lo86}lls`8wla789:;<=?m;rkd456789:9n6}fg1234567;k1xej>?012341d<{`m;<=>?017a?vo`89:;<=>9b:qjc56789:;;o5|if23456781h0di?012345?e3zcl<=>?012bf>uno9:;<=>?bc9pmb6789:;?0123bg=tan:;<=>?11`8wla789:;<?012351d<{`m;<=>?007a?vo`89:;<=?9b:qjc56789::;o5|if23456791h0di?012344?e3zcl<=>?013bf>uno9:;<=>>bc9pmb6789:;=nl4she3456788ni7~gh0123457bj2ybk=>?0122bg=tan:;<=>?21`8wla789:;?012361d<{`m;<=>?037a?vo`89:;<=<9b:qjc56789:9;o5|if234567:1h0di?012347?e3zcl<=>?010bf>uno9:;<=>=bc9pmb6789:;>nl4she345678;ni7~gh0123454bj2ybk=>?0121bg=tan:;<=>?31`8wla789:;<>?m;rkd45678999n6}fg1234564;k1xej>?012371d<{`m;<=>?027a?vo`89:;<==9b:qjc56789:8;o5|if234567;1h0di?012346?e3zcl<=>?011bf>uno9:;<=>?0120bg=tan:;<=>?41`8wla789:;<9?m;rkd456789>9n6}fg1234563;k1xej>?012301d<{`m;<=>?057a?vo`89:;<=:9b:qjc56789:?;o5|if234567<1h0di?012341?e3zcl<=>?016bf>uno9:;<=>;bc9pmb6789:;8nl4she345678=ni7~gh0123452bj2ybk=>?0127bg=tan:;<=>?51`8wla789:;<8?m;rkd456789?9n6}fg1234562;k1xej>?012311d<{`m;<=>?047a?vo`89:;<=;9b:qjc56789:>;o5|if234567=1h0di?012340?e3zcl<=>?017bf>uno9:;<=>:bc9pmb6789:;9nl4she345678?0126bg=tan:;<=>?61`8wla789:;<;?m;rkd456789<9n6}fg1234561;k1xej>?012321d<{`m;<=>?077a?vo`89:;<=89b:qjc56789:=;o5|if234567>1h0di?012343?e3zcl<=>?014bf>uno9:;<=>9bc9pmb6789:;:nl4she345678?ni7~gh0123450bj2ybk=>?0125bg=tan:;<=>?71`8wla789:;<:?m;rkd456789=9n6}fg1234560;k1xej>?012331d<{`m;<=>?067a?vo`89:;<=99b:qjc56789:<;o5|if234567?1h0di?012342?e3zcl<=>?015bf>uno9:;<=>8bc9pmb6789:;;nl4she345678>ni7~gh0123451bj2ybk=>?0124bg=tan:;<=>?81`8wla789:;<5?m;rkd45678929n6}fg123456?;k1xej>?0123<1d<{`m;<=>?097a?vo`89:;<=69b:qjc56789:3;o5|if23456701h0di?01234=?e3zcl<=>?01:bf>uno9:;<=>7bc9pmb6789:;4nl4she3456781ni7~gh012345>bj2ybk=>?012;bg=tan:;<=>?91`8wla789:;<4?m;rkd45678939n6}fg123456>;k1xej>?0123=1d<{`m;<=>?087a?vo`89:;<=79b:qjc56789:2;o5|if23456711h0di?01234?01;bf>uno9:;<=>6bc9pmb6789:;5nl4she3456780ni7~gh012345?bj2ybk=>?012:bg=tan:;<=>?a1`8wla789:;?0123e1d<{`m;<=>?0`7a?vo`89:;<=o9b:qjc56789:j;o5|if234567i1h0di?01234d?e3zcl<=>?01cbf>uno9:;<=>nbc9pmb6789:;mnl4she345678hni7~gh012345gbj2ybk=>?012bbg=tan:;<=>?b1`8wla789:;?0123f1d<{`m;<=>?0c7a?vo`89:;<=l9b:qjc56789:i;o5|if234567j1h0di?01234g?e3zcl<=>?01`bf>uno9:;<=>mbc9pmb6789:;nnl4she345678kni7~gh012345dbj2ybk=>?012abg=tan:;<=>?c1`8wla789:;?0123g1d<{`m;<=>?0b7a?vo`89:;<=m9b:qjc56789:h;o5|if234567k1h0di?01234f?e3zcl<=>?01abf>uno9:;<=>lbc9pmb6789:;onl4she345678jni7~gh012345ebj2ybk=>?012`bg=tan:;<=>?d1`8wla789:;?0123`1d<{`m;<=>?0e7a?vo`89:;<=j9b:qjc56789:o;o5|if234567l1h0di?01234a?e3zcl<=>?01fbf>uno9:;<=>kbc9pmb6789:;hnl4she345678mni7~gh012345bbj2ybk=>?012gbg=tan:;<=>?e1`8wla789:;?0123a1d<{`m;<=>?0d7a?vo`89:;<=k9b:qjc56789:n;o5|if234567m1h0di?01234`?e3zcl<=>?01gbf>uno9:;<=>jbc9pmb6789:;inl4she345678lni7~gh012345cbj2ybk=>?012fbg=tan:;<=>?f1`8wla789:;?0123b1d<{`m;<=>?0g7a?vo`89:;<=h9b:qjc56789:m;o5|if234567n1h0di?01234c?e3zcl<=>?01dbf>uno9:;<=>ibc9pmb6789:;jnl4she345678oni7~gh012345`bj2ybk=>?012ebg=tan:;<=>>01`8wla789:;==?m;rkd456788:9n6}fg1234577;k1xej>?012241d<{`m;<=>?117a?vo`89:;<<>9b:qjc56789;;;o5|if23456681h0di?012355?e3zcl<=>?002bf>uno9:;<=??bc9pmb6789::?0133bg=tan:;<=>>11`8wla789:;=?012251d<{`m;<=>?107a?vo`89:;<?003bf>uno9:;<=?>bc9pmb6789::=nl4she3456798ni7~gh0123447bj2ybk=>?0132bg=tan:;<=>>21`8wla789:;=??m;rkd45678889n6}fg1234575;k1xej>?012261d<{`m;<=>?137a?vo`89:;<<<9b:qjc56789;9;o5|if234566:1h0di?012357?e3zcl<=>?000bf>uno9:;<=?=bc9pmb6789::>nl4she345679;ni7~gh0123444bj2ybk=>?0131bg=tan:;<=>>31`8wla789:;=>?m;rkd45678899n6}fg1234574;k1xej>?012271d<{`m;<=>?127a?vo`89:;<<=9b:qjc56789;8;o5|if234566;1h0di?012356?e3zcl<=>?001bf>uno9:;<=??0130bg=tan:;<=>>41`8wla789:;=9?m;rkd456788>9n6}fg1234573;k1xej>?012201d<{`m;<=>?157a?vo`89:;<<:9b:qjc56789;?;o5|if234566<1h0di?012351?e3zcl<=>?006bf>uno9:;<=?;bc9pmb6789::8nl4she345679=ni7~gh0123442bj2ybk=>?0137bg=tan:;<=>>51`8wla789:;=8?m;rkd456788?9n6}fg1234572;k1xej>?012211d<{`m;<=>?147a?vo`89:;<<;9b:qjc56789;>;o5|if234566=1h0di?012350?e3zcl<=>?007bf>uno9:;<=?:bc9pmb6789::9nl4she345679?0136bg=tan:;<=>>61`8wla789:;=;?m;rkd456788<9n6}fg1234571;k1xej>?012221d<{`m;<=>?177a?vo`89:;<<89b:qjc56789;=;o5|if234566>1h0di?012353?e3zcl<=>?004bf>uno9:;<=?9bc9pmb6789:::nl4she345679?ni7~gh0123440bj2ybk=>?0135bg=tan:;<=>>71`8wla789:;=:?m;rkd456788=9n6}fg1234570;k1xej>?012231d<{`m;<=>?167a?vo`89:;<<99b:qjc56789;<;o5|if234566?1h0di?012352?e3zcl<=>?005bf>uno9:;<=?8bc9pmb6789::;nl4she345679>ni7~gh0123441bj2ybk=>?0134bg=tan:;<=>>81`8wla789:;=5?m;rkd45678829n6}fg123457?;k1xej>?0122<1d<{`m;<=>?197a?vo`89:;<<69b:qjc56789;3;o5|if23456601h0di?01235=?e3zcl<=>?00:bf>uno9:;<=?7bc9pmb6789::4nl4she3456791ni7~gh012344>bj2ybk=>?013;bg=tan:;<=>>91`8wla789:;=4?m;rkd45678839n6}fg123457>;k1xej>?0122=1d<{`m;<=>?187a?vo`89:;<<79b:qjc56789;2;o5|if23456611h0di?01235?00;bf>uno9:;<=?6bc9pmb6789::5nl4she3456790ni7~gh012344?bj2ybk=>?013:bg=tan:;<=>>a1`8wla789:;=l?m;rkd456788k9n6}fg123457f;k1xej>?0122e1d<{`m;<=>?1`7a?vo`89:;<?00cbf>uno9:;<=?nbc9pmb6789::mnl4she345679hni7~gh012344gbj2ybk=>?013bbg=tan:;<=>>b1`8wla789:;=o?m;rkd456788h9n6}fg123457e;k1xej>?0122f1d<{`m;<=>?1c7a?vo`89:;<?00`bf>uno9:;<=?mbc9pmb6789::nnl4she345679kni7~gh012344dbj2ybk=>?013abg=tan:;<=>>c1`8wla789:;=n?m;rkd456788i9n6}fg123457d;k1xej>?0122g1d<{`m;<=>?1b7a?vo`89:;<?00abf>uno9:;<=?lbc9pmb6789::onl4she345679jni7~gh012344ebj2ybk=>?013`bg=tan:;<=>>d1`8wla789:;=i?m;rkd456788n9n6}fg123457c;k1xej>?0122`1d<{`m;<=>?1e7a?vo`89:;<?00fbf>uno9:;<=?kbc9pmb6789::hnl4she345679mni7~gh012344bbj2ybk=>?013gbg=tan:;<=>>e1`8wla789:;=h?m;rkd456788o9n6}fg123457b;k1xej>?0122a1d<{`m;<=>?1d7a?vo`89:;<?00gbf>uno9:;<=?jbc9pmb6789::inl4she345679lni7~gh012344cbj2ybk=>?013fbg=tan:;<=>>f1`8wla789:;=k?m;rkd456788l9n6}fg123457a;k1xej>?0122b1d<{`m;<=>?1g7a?vo`89:;<?00dbf>uno9:;<=?ibc9pmb6789::jnl4she345679oni7~gh012344`bj2ybk=>?013ebg=tan:;<=>=01`8wla789:;>=?m;rkd45678;:9n6}fg1234547;k1xej>?012141d<{`m;<=>?217a?vo`89:;9b:qjc567898;;o5|if23456581h0di?012365?e3zcl<=>?032bf>uno9:;<=?0103bg=tan:;<=>=11`8wla789:;>?012151d<{`m;<=>?207a?vo`89:;?033bf>uno9:;<=<>bc9pmb6789:9=nl4she34567:8ni7~gh0123477bj2ybk=>?0102bg=tan:;<=>=21`8wla789:;>??m;rkd45678;89n6}fg1234545;k1xej>?012161d<{`m;<=>?237a?vo`89:;?030bf>uno9:;<=<=bc9pmb6789:9>nl4she34567:;ni7~gh0123474bj2ybk=>?0101bg=tan:;<=>=31`8wla789:;>>?m;rkd45678;99n6}fg1234544;k1xej>?012171d<{`m;<=>?227a?vo`89:;?031bf>uno9:;<=<?0100bg=tan:;<=>=41`8wla789:;>9?m;rkd45678;>9n6}fg1234543;k1xej>?012101d<{`m;<=>?257a?vo`89:;?036bf>uno9:;<=<;bc9pmb6789:98nl4she34567:=ni7~gh0123472bj2ybk=>?0107bg=tan:;<=>=51`8wla789:;>8?m;rkd45678;?9n6}fg1234542;k1xej>?012111d<{`m;<=>?247a?vo`89:;;o5|if234565=1h0di?012360?e3zcl<=>?037bf>uno9:;<=<:bc9pmb6789:99nl4she34567:?0106bg=tan:;<=>=61`8wla789:;>;?m;rkd45678;<9n6}fg1234541;k1xej>?012121d<{`m;<=>?277a?vo`89:;1h0di?012363?e3zcl<=>?034bf>uno9:;<=<9bc9pmb6789:9:nl4she34567:?ni7~gh0123470bj2ybk=>?0105bg=tan:;<=>=71`8wla789:;>:?m;rkd45678;=9n6}fg1234540;k1xej>?012131d<{`m;<=>?267a?vo`89:;?035bf>uno9:;<=<8bc9pmb6789:9;nl4she34567:>ni7~gh0123471bj2ybk=>?0104bg=tan:;<=>=81`8wla789:;>5?m;rkd45678;29n6}fg123454?;k1xej>?0121<1d<{`m;<=>?297a?vo`89:;?03:bf>uno9:;<=<7bc9pmb6789:94nl4she34567:1ni7~gh012347>bj2ybk=>?010;bg=tan:;<=>=91`8wla789:;>4?m;rkd45678;39n6}fg123454>;k1xej>?0121=1d<{`m;<=>?287a?vo`89:;?03;bf>uno9:;<=<6bc9pmb6789:95nl4she34567:0ni7~gh012347?bj2ybk=>?010:bg=tan:;<=>=a1`8wla789:;>l?m;rkd45678;k9n6}fg123454f;k1xej>?0121e1d<{`m;<=>?2`7a?vo`89:;?03cbf>uno9:;<=?010bbg=tan:;<=>=b1`8wla789:;>o?m;rkd45678;h9n6}fg123454e;k1xej>?0121f1d<{`m;<=>?2c7a?vo`89:;?03`bf>uno9:;<=?010abg=tan:;<=>=c1`8wla789:;>n?m;rkd45678;i9n6}fg123454d;k1xej>?0121g1d<{`m;<=>?2b7a?vo`89:;?03abf>uno9:;<=?010`bg=tan:;<=>=d1`8wla789:;>i?m;rkd45678;n9n6}fg123454c;k1xej>?0121`1d<{`m;<=>?2e7a?vo`89:;?03fbf>uno9:;<=?010gbg=tan:;<=>=e1`8wla789:;>h?m;rkd45678;o9n6}fg123454b;k1xej>?0121a1d<{`m;<=>?2d7a?vo`89:;?03gbf>uno9:;<=?010fbg=tan:;<=>=f1`8wla789:;>k?m;rkd45678;l9n6}fg123454a;k1xej>?0121b1d<{`m;<=>?2g7a?vo`89:;?03dbf>uno9:;<=?010ebg=tan:;<=><01`8wla789:;?=?m;rkd45678::9n6}fg1234557;k1xej>?012041d<{`m;<=>?317a?vo`89:;<>>9b:qjc567899;;o5|if23456481h0di?012375?e3zcl<=>?022bf>uno9:;<==?bc9pmb6789:8?0113bg=tan:;<=><11`8wla789:;??012051d<{`m;<=>?307a?vo`89:;<>?9b:qjc567899:;o5|if23456491h0di?012374?e3zcl<=>?023bf>uno9:;<==>bc9pmb6789:8=nl4she34567;8ni7~gh0123467bj2ybk=>?0112bg=tan:;<=><21`8wla789:;???m;rkd45678:89n6}fg1234555;k1xej>?012061d<{`m;<=>?337a?vo`89:;<><9b:qjc5678999;o5|if234564:1h0di?012377?e3zcl<=>?020bf>uno9:;<===bc9pmb6789:8>nl4she34567;;ni7~gh0123464bj2ybk=>?0111bg=tan:;<=><31`8wla789:;?>?m;rkd45678:99n6}fg1234554;k1xej>?012071d<{`m;<=>?327a?vo`89:;<>=9b:qjc5678998;o5|if234564;1h0di?012376?e3zcl<=>?021bf>uno9:;<==?0110bg=tan:;<=><41`8wla789:;?9?m;rkd45678:>9n6}fg1234553;k1xej>?012001d<{`m;<=>?357a?vo`89:;<>:9b:qjc567899?;o5|if234564<1h0di?012371?e3zcl<=>?026bf>uno9:;<==;bc9pmb6789:88nl4she34567;=ni7~gh0123462bj2ybk=>?0117bg=tan:;<=><51`8wla789:;?8?m;rkd45678:?9n6}fg1234552;k1xej>?012011d<{`m;<=>?347a?vo`89:;<>;9b:qjc567899>;o5|if234564=1h0di?012370?e3zcl<=>?027bf>uno9:;<==:bc9pmb6789:89nl4she34567;?0116bg=tan:;<=><61`8wla789:;?;?m;rkd45678:<9n6}fg1234551;k1xej>?012021d<{`m;<=>?377a?vo`89:;<>89b:qjc567899=;o5|if234564>1h0di?012373?e3zcl<=>?024bf>uno9:;<==9bc9pmb6789:8:nl4she34567;?ni7~gh0123460bj2ybk=>?0115bg=tan:;<=><71`8wla789:;?:?m;rkd45678:=9n6}fg1234550;k1xej>?012031d<{`m;<=>?367a?vo`89:;<>99b:qjc567899<;o5|if234564?1h0di?012372?e3zcl<=>?025bf>uno9:;<==8bc9pmb6789:8;nl4she34567;>ni7~gh0123461bj2ybk=>?0114bg=tan:;<=><81`8wla789:;?5?m;rkd45678:29n6}fg123455?;k1xej>?0120<1d<{`m;<=>?397a?vo`89:;<>69b:qjc5678993;o5|if23456401h0di?01237=?e3zcl<=>?02:bf>uno9:;<==7bc9pmb6789:84nl4she34567;1ni7~gh012346>bj2ybk=>?011;bg=tan:;<=><91`8wla789:;?4?m;rkd45678:39n6}fg123455>;k1xej>?0120=1d<{`m;<=>?387a?vo`89:;<>79b:qjc5678992;o5|if23456411h0di?01237?02;bf>uno9:;<==6bc9pmb6789:85nl4she34567;0ni7~gh012346?bj2ybk=>?011:bg=tan:;<=>?0120e1d<{`m;<=>?3`7a?vo`89:;<>o9b:qjc567899j;o5|if234564i1h0di?01237d?e3zcl<=>?02cbf>uno9:;<==nbc9pmb6789:8mnl4she34567;hni7~gh012346gbj2ybk=>?011bbg=tan:;<=>?0120f1d<{`m;<=>?3c7a?vo`89:;<>l9b:qjc567899i;o5|if234564j1h0di?01237g?e3zcl<=>?02`bf>uno9:;<==mbc9pmb6789:8nnl4she34567;kni7~gh012346dbj2ybk=>?011abg=tan:;<=>?0120g1d<{`m;<=>?3b7a?vo`89:;<>m9b:qjc567899h;o5|if234564k1h0di?01237f?e3zcl<=>?02abf>uno9:;<==lbc9pmb6789:8onl4she34567;jni7~gh012346ebj2ybk=>?011`bg=tan:;<=>?0120`1d<{`m;<=>?3e7a?vo`89:;<>j9b:qjc567899o;o5|if234564l1h0di?01237a?e3zcl<=>?02fbf>uno9:;<==kbc9pmb6789:8hnl4she34567;mni7~gh012346bbj2ybk=>?011gbg=tan:;<=>?0120a1d<{`m;<=>?3d7a?vo`89:;<>k9b:qjc567899n;o5|if234564m1h0di?01237`?e3zcl<=>?02gbf>uno9:;<==jbc9pmb6789:8inl4she34567;lni7~gh012346cbj2ybk=>?011fbg=tan:;<=>?0120b1d<{`m;<=>?3g7a?vo`89:;<>h9b:qjc567899m;o5|if234564n1h0di?01237c?e3zcl<=>?02dbf>uno9:;<==ibc9pmb6789:8jnl4she34567;oni7~gh012346`bj2ybk=>?011ebg=tan:;<=>;01`8wla789:;8=?m;rkd45678=:9n6}fg1234527;k1xej>?012741d<{`m;<=>?417a?vo`89:;<9>9b:qjc56789>;;o5|if23456381h0di?012305?e3zcl<=>?052bf>uno9:;<=:?bc9pmb6789:??0163bg=tan:;<=>;11`8wla789:;8?012751d<{`m;<=>?407a?vo`89:;<9?9b:qjc56789>:;o5|if23456391h0di?012304?e3zcl<=>?053bf>uno9:;<=:>bc9pmb6789:?=nl4she34567<8ni7~gh0123417bj2ybk=>?0162bg=tan:;<=>;21`8wla789:;8??m;rkd45678=89n6}fg1234525;k1xej>?012761d<{`m;<=>?437a?vo`89:;<9<9b:qjc56789>9;o5|if234563:1h0di?012307?e3zcl<=>?050bf>uno9:;<=:=bc9pmb6789:?>nl4she34567<;ni7~gh0123414bj2ybk=>?0161bg=tan:;<=>;31`8wla789:;8>?m;rkd45678=99n6}fg1234524;k1xej>?012771d<{`m;<=>?427a?vo`89:;<9=9b:qjc56789>8;o5|if234563;1h0di?012306?e3zcl<=>?051bf>uno9:;<=:?0160bg=tan:;<=>;41`8wla789:;89?m;rkd45678=>9n6}fg1234523;k1xej>?012701d<{`m;<=>?457a?vo`89:;<9:9b:qjc56789>?;o5|if234563<1h0di?012301?e3zcl<=>?056bf>uno9:;<=:;bc9pmb6789:?8nl4she34567<=ni7~gh0123412bj2ybk=>?0167bg=tan:;<=>;51`8wla789:;88?m;rkd45678=?9n6}fg1234522;k1xej>?012711d<{`m;<=>?447a?vo`89:;<9;9b:qjc56789>>;o5|if234563=1h0di?012300?e3zcl<=>?057bf>uno9:;<=::bc9pmb6789:?9nl4she34567<?0166bg=tan:;<=>;61`8wla789:;8;?m;rkd45678=<9n6}fg1234521;k1xej>?012721d<{`m;<=>?477a?vo`89:;<989b:qjc56789>=;o5|if234563>1h0di?012303?e3zcl<=>?054bf>uno9:;<=:9bc9pmb6789:?:nl4she34567?0165bg=tan:;<=>;71`8wla789:;8:?m;rkd45678==9n6}fg1234520;k1xej>?012731d<{`m;<=>?467a?vo`89:;<999b:qjc56789><;o5|if234563?1h0di?012302?e3zcl<=>?055bf>uno9:;<=:8bc9pmb6789:?;nl4she34567<>ni7~gh0123411bj2ybk=>?0164bg=tan:;<=>;81`8wla789:;85?m;rkd45678=29n6}fg123452?;k1xej>?0127<1d<{`m;<=>?497a?vo`89:;<969b:qjc56789>3;o5|if23456301h0di?01230=?e3zcl<=>?05:bf>uno9:;<=:7bc9pmb6789:?4nl4she34567<1ni7~gh012341>bj2ybk=>?016;bg=tan:;<=>;91`8wla789:;84?m;rkd45678=39n6}fg123452>;k1xej>?0127=1d<{`m;<=>?487a?vo`89:;<979b:qjc56789>2;o5|if23456311h0di?01230?05;bf>uno9:;<=:6bc9pmb6789:?5nl4she34567<0ni7~gh012341?bj2ybk=>?016:bg=tan:;<=>;a1`8wla789:;8l?m;rkd45678=k9n6}fg123452f;k1xej>?0127e1d<{`m;<=>?4`7a?vo`89:;<9o9b:qjc56789>j;o5|if234563i1h0di?01230d?e3zcl<=>?05cbf>uno9:;<=:nbc9pmb6789:?mnl4she34567?016bbg=tan:;<=>;b1`8wla789:;8o?m;rkd45678=h9n6}fg123452e;k1xej>?0127f1d<{`m;<=>?4c7a?vo`89:;<9l9b:qjc56789>i;o5|if234563j1h0di?01230g?e3zcl<=>?05`bf>uno9:;<=:mbc9pmb6789:?nnl4she34567?016abg=tan:;<=>;c1`8wla789:;8n?m;rkd45678=i9n6}fg123452d;k1xej>?0127g1d<{`m;<=>?4b7a?vo`89:;<9m9b:qjc56789>h;o5|if234563k1h0di?01230f?e3zcl<=>?05abf>uno9:;<=:lbc9pmb6789:?onl4she34567?016`bg=tan:;<=>;d1`8wla789:;8i?m;rkd45678=n9n6}fg123452c;k1xej>?0127`1d<{`m;<=>?4e7a?vo`89:;<9j9b:qjc56789>o;o5|if234563l1h0di?01230a?e3zcl<=>?05fbf>uno9:;<=:kbc9pmb6789:?hnl4she34567?016gbg=tan:;<=>;e1`8wla789:;8h?m;rkd45678=o9n6}fg123452b;k1xej>?0127a1d<{`m;<=>?4d7a?vo`89:;<9k9b:qjc56789>n;o5|if234563m1h0di?01230`?e3zcl<=>?05gbf>uno9:;<=:jbc9pmb6789:?inl4she34567?016fbg=tan:;<=>;f1`8wla789:;8k?m;rkd45678=l9n6}fg123452a;k1xej>?0127b1d<{`m;<=>?4g7a?vo`89:;<9h9b:qjc56789>m;o5|if234563n1h0di?01230c?e3zcl<=>?05dbf>uno9:;<=:ibc9pmb6789:?jnl4she34567?016ebg=tan:;<=>:01`8wla789:;9=?m;rkd45678<:9n6}fg1234537;k1xej>?012641d<{`m;<=>?517a?vo`89:;<8>9b:qjc56789?;;o5|if23456281h0di?012315?e3zcl<=>?042bf>uno9:;<=;?bc9pmb6789:>?0173bg=tan:;<=>:11`8wla789:;9?012651d<{`m;<=>?507a?vo`89:;<8?9b:qjc56789?:;o5|if23456291h0di?012314?e3zcl<=>?043bf>uno9:;<=;>bc9pmb6789:>=nl4she34567=8ni7~gh0123407bj2ybk=>?0172bg=tan:;<=>:21`8wla789:;9??m;rkd45678<89n6}fg1234535;k1xej>?012661d<{`m;<=>?537a?vo`89:;<8<9b:qjc56789?9;o5|if234562:1h0di?012317?e3zcl<=>?040bf>uno9:;<=;=bc9pmb6789:>>nl4she34567=;ni7~gh0123404bj2ybk=>?0171bg=tan:;<=>:31`8wla789:;9>?m;rkd45678<99n6}fg1234534;k1xej>?012671d<{`m;<=>?527a?vo`89:;<8=9b:qjc56789?8;o5|if234562;1h0di?012316?e3zcl<=>?041bf>uno9:;<=;?nl4she34567=:ni7~gh0123405bj2ybk=>?0170bg=tan:;<=>:41`8wla789:;99?m;rkd45678<>9n6}fg1234533;k1xej>?012601d<{`m;<=>?557a?vo`89:;<8:9b:qjc56789??;o5|if234562<1h0di?012311?e3zcl<=>?046bf>uno9:;<=;;bc9pmb6789:>8nl4she34567==ni7~gh0123402bj2ybk=>?0177bg=tan:;<=>:51`8wla789:;98?m;rkd45678?012611d<{`m;<=>?547a?vo`89:;<8;9b:qjc56789?>;o5|if234562=1h0di?012310?e3zcl<=>?047bf>uno9:;<=;:bc9pmb6789:>9nl4she34567=?0176bg=tan:;<=>:61`8wla789:;9;?m;rkd45678<<9n6}fg1234531;k1xej>?012621d<{`m;<=>?577a?vo`89:;<889b:qjc56789?=;o5|if234562>1h0di?012313?e3zcl<=>?044bf>uno9:;<=;9bc9pmb6789:>:nl4she34567=?ni7~gh0123400bj2ybk=>?0175bg=tan:;<=>:71`8wla789:;9:?m;rkd45678<=9n6}fg1234530;k1xej>?012631d<{`m;<=>?567a?vo`89:;<899b:qjc56789?<;o5|if234562?1h0di?012312?e3zcl<=>?045bf>uno9:;<=;8bc9pmb6789:>;nl4she34567=>ni7~gh0123401bj2ybk=>?0174bg=tan:;<=>:81`8wla789:;95?m;rkd45678<29n6}fg123453?;k1xej>?0126<1d<{`m;<=>?597a?vo`89:;<869b:qjc56789?3;o5|if23456201h0di?01231=?e3zcl<=>?04:bf>uno9:;<=;7bc9pmb6789:>4nl4she34567=1ni7~gh012340>bj2ybk=>?017;bg=tan:;<=>:91`8wla789:;94?m;rkd45678<39n6}fg123453>;k1xej>?0126=1d<{`m;<=>?587a?vo`89:;<879b:qjc56789?2;o5|if23456211h0di?01231?04;bf>uno9:;<=;6bc9pmb6789:>5nl4she34567=0ni7~gh012340?bj2ybk=>?017:bg=tan:;<=>:a1`8wla789:;9l?m;rkd45678?0126e1d<{`m;<=>?5`7a?vo`89:;<8o9b:qjc56789?j;o5|if234562i1h0di?01231d?e3zcl<=>?04cbf>uno9:;<=;nbc9pmb6789:>mnl4she34567=hni7~gh012340gbj2ybk=>?017bbg=tan:;<=>:b1`8wla789:;9o?m;rkd45678?0126f1d<{`m;<=>?5c7a?vo`89:;<8l9b:qjc56789?i;o5|if234562j1h0di?01231g?e3zcl<=>?04`bf>uno9:;<=;mbc9pmb6789:>nnl4she34567=kni7~gh012340dbj2ybk=>?017abg=tan:;<=>:c1`8wla789:;9n?m;rkd45678?0126g1d<{`m;<=>?5b7a?vo`89:;<8m9b:qjc56789?h;o5|if234562k1h0di?01231f?e3zcl<=>?04abf>uno9:;<=;lbc9pmb6789:>onl4she34567=jni7~gh012340ebj2ybk=>?017`bg=tan:;<=>:d1`8wla789:;9i?m;rkd45678?0126`1d<{`m;<=>?5e7a?vo`89:;<8j9b:qjc56789?o;o5|if234562l1h0di?01231a?e3zcl<=>?04fbf>uno9:;<=;kbc9pmb6789:>hnl4she34567=mni7~gh012340bbj2ybk=>?017gbg=tan:;<=>:e1`8wla789:;9h?m;rkd45678?0126a1d<{`m;<=>?5d7a?vo`89:;<8k9b:qjc56789?n;o5|if234562m1h0di?01231`?e3zcl<=>?04gbf>uno9:;<=;jbc9pmb6789:>inl4she34567=lni7~gh012340cbj2ybk=>?017fbg=tan:;<=>:f1`8wla789:;9k?m;rkd45678?0126b1d<{`m;<=>?5g7a?vo`89:;<8h9b:qjc56789?m;o5|if234562n1h0di?01231c?e3zcl<=>?04dbf>uno9:;<=;ibc9pmb6789:>jnl4she34567=oni7~gh012340`bj2ybk=>?017ebg=tan:;<=>901`8wla789:;:=?m;rkd45678?:9n6}fg1234507;k1xej>?012541d<{`m;<=>?617a?vo`89:;<;>9b:qjc56789<;;o5|if23456181h0di?012325?e3zcl<=>?072bf>uno9:;<=8?bc9pmb6789:=9ni7~gh0123436bj2ybk=>?0143bg=tan:;<=>911`8wla789:;:?012551d<{`m;<=>?607a?vo`89:;<;?9b:qjc56789<:;o5|if23456191h0di?012324?e3zcl<=>?073bf>uno9:;<=8>bc9pmb6789:==nl4she34567>8ni7~gh0123437bj2ybk=>?0142bg=tan:;<=>921`8wla789:;:??m;rkd45678?89n6}fg1234505;k1xej>?012561d<{`m;<=>?637a?vo`89:;<;<9b:qjc56789<9;o5|if234561:1h0di?012327?e3zcl<=>?070bf>uno9:;<=8=bc9pmb6789:=>nl4she34567>;ni7~gh0123434bj2ybk=>?0141bg=tan:;<=>931`8wla789:;:>?m;rkd45678?99n6}fg1234504;k1xej>?012571d<{`m;<=>?627a?vo`89:;<;=9b:qjc56789<8;o5|if234561;1h0di?012326?e3zcl<=>?071bf>uno9:;<=8:ni7~gh0123435bj2ybk=>?0140bg=tan:;<=>941`8wla789:;:9?m;rkd45678?>9n6}fg1234503;k1xej>?012501d<{`m;<=>?657a?vo`89:;<;:9b:qjc56789?076bf>uno9:;<=8;bc9pmb6789:=8nl4she34567>=ni7~gh0123432bj2ybk=>?0147bg=tan:;<=>951`8wla789:;:8?m;rkd45678??9n6}fg1234502;k1xej>?012511d<{`m;<=>?647a?vo`89:;<;;9b:qjc56789<>;o5|if234561=1h0di?012320?e3zcl<=>?077bf>uno9:;<=8:bc9pmb6789:=9nl4she34567>?0146bg=tan:;<=>961`8wla789:;:;?m;rkd45678?<9n6}fg1234501;k1xej>?012521d<{`m;<=>?677a?vo`89:;<;89b:qjc56789<=;o5|if234561>1h0di?012323?e3zcl<=>?074bf>uno9:;<=89bc9pmb6789:=:nl4she34567>?ni7~gh0123430bj2ybk=>?0145bg=tan:;<=>971`8wla789:;::?m;rkd45678?=9n6}fg1234500;k1xej>?012531d<{`m;<=>?667a?vo`89:;<;99b:qjc56789<<;o5|if234561?1h0di?012322?e3zcl<=>?075bf>uno9:;<=88bc9pmb6789:=;nl4she34567>>ni7~gh0123431bj2ybk=>?0144bg=tan:;<=>981`8wla789:;:5?m;rkd45678?29n6}fg123450?;k1xej>?0125<1d<{`m;<=>?697a?vo`89:;<;69b:qjc56789<3;o5|if23456101h0di?01232=?e3zcl<=>?07:bf>uno9:;<=87bc9pmb6789:=4nl4she34567>1ni7~gh012343>bj2ybk=>?014;bg=tan:;<=>991`8wla789:;:4?m;rkd45678?39n6}fg123450>;k1xej>?0125=1d<{`m;<=>?687a?vo`89:;<;79b:qjc56789<2;o5|if23456111h0di?01232?07;bf>uno9:;<=86bc9pmb6789:=5nl4she34567>0ni7~gh012343?bj2ybk=>?014:bg=tan:;<=>9a1`8wla789:;:l?m;rkd45678?k9n6}fg123450f;k1xej>?0125e1d<{`m;<=>?6`7a?vo`89:;<;o9b:qjc56789?07cbf>uno9:;<=8nbc9pmb6789:=mnl4she34567>hni7~gh012343gbj2ybk=>?014bbg=tan:;<=>9b1`8wla789:;:o?m;rkd45678?h9n6}fg123450e;k1xej>?0125f1d<{`m;<=>?6c7a?vo`89:;<;l9b:qjc56789?07`bf>uno9:;<=8mbc9pmb6789:=nnl4she34567>kni7~gh012343dbj2ybk=>?014abg=tan:;<=>9c1`8wla789:;:n?m;rkd45678?i9n6}fg123450d;k1xej>?0125g1d<{`m;<=>?6b7a?vo`89:;<;m9b:qjc56789?07abf>uno9:;<=8lbc9pmb6789:=onl4she34567>jni7~gh012343ebj2ybk=>?014`bg=tan:;<=>9d1`8wla789:;:i?m;rkd45678?n9n6}fg123450c;k1xej>?0125`1d<{`m;<=>?6e7a?vo`89:;<;j9b:qjc56789?07fbf>uno9:;<=8kbc9pmb6789:=hnl4she34567>mni7~gh012343bbj2ybk=>?014gbg=tan:;<=>9e1`8wla789:;:h?m;rkd45678?o9n6}fg123450b;k1xej>?0125a1d<{`m;<=>?6d7a?vo`89:;<;k9b:qjc56789?07gbf>uno9:;<=8jbc9pmb6789:=inl4she34567>lni7~gh012343cbj2ybk=>?014fbg=tan:;<=>9f1`8wla789:;:k?m;rkd45678?l9n6}fg123450a;k1xej>?0125b1d<{`m;<=>?6g7a?vo`89:;<;h9b:qjc56789?07dbf>uno9:;<=8ibc9pmb6789:=jnl4she34567>oni7~gh012343`bj2ybk=>?014ebg=tan:;<=>801`8wla789:;;=?m;rkd45678>:9n6}fg1234517;k1xej>?012441d<{`m;<=>?717a?vo`89:;<:>9b:qjc56789=;;o5|if23456081h0di?012335?e3zcl<=>?062bf>uno9:;<=9?bc9pmb6789:<?0153bg=tan:;<=>811`8wla789:;;;9n6}fg1234516;k1xej>?012451d<{`m;<=>?707a?vo`89:;<:?9b:qjc56789=:;o5|if23456091h0di?012334?e3zcl<=>?063bf>uno9:;<=9>bc9pmb6789:<=nl4she34567?8ni7~gh0123427bj2ybk=>?0152bg=tan:;<=>821`8wla789:;;??m;rkd45678>89n6}fg1234515;k1xej>?012461d<{`m;<=>?737a?vo`89:;<:<9b:qjc56789=9;o5|if234560:1h0di?012337?e3zcl<=>?060bf>uno9:;<=9=bc9pmb6789:<>nl4she34567?;ni7~gh0123424bj2ybk=>?0151bg=tan:;<=>831`8wla789:;;>?m;rkd45678>99n6}fg1234514;k1xej>?012471d<{`m;<=>?727a?vo`89:;<:=9b:qjc56789=8;o5|if234560;1h0di?012336?e3zcl<=>?061bf>uno9:;<=9?0150bg=tan:;<=>841`8wla789:;;9?m;rkd45678>>9n6}fg1234513;k1xej>?012401d<{`m;<=>?757a?vo`89:;<::9b:qjc56789=?;o5|if234560<1h0di?012331?e3zcl<=>?066bf>uno9:;<=9;bc9pmb6789:<8nl4she34567?=ni7~gh0123422bj2ybk=>?0157bg=tan:;<=>851`8wla789:;;8?m;rkd45678>?9n6}fg1234512;k1xej>?012411d<{`m;<=>?747a?vo`89:;<:;9b:qjc56789=>;o5|if234560=1h0di?012330?e3zcl<=>?067bf>uno9:;<=9:bc9pmb6789:<9nl4she34567??0156bg=tan:;<=>861`8wla789:;;;?m;rkd45678><9n6}fg1234511;k1xej>?012421d<{`m;<=>?777a?vo`89:;<:89b:qjc56789==;o5|if234560>1h0di?012333?e3zcl<=>?064bf>uno9:;<=99bc9pmb6789:<:nl4she34567??ni7~gh0123420bj2ybk=>?0155bg=tan:;<=>871`8wla789:;;:?m;rkd45678>=9n6}fg1234510;k1xej>?012431d<{`m;<=>?767a?vo`89:;<:99b:qjc56789=<;o5|if234560?1h0di?012332?e3zcl<=>?065bf>uno9:;<=98bc9pmb6789:<;nl4she34567?>ni7~gh0123421bj2ybk=>?0154bg=tan:;<=>881`8wla789:;;5?m;rkd45678>29n6}fg123451?;k1xej>?0124<1d<{`m;<=>?797a?vo`89:;<:69b:qjc56789=3;o5|if23456001h0di?01233=?e3zcl<=>?06:bf>uno9:;<=97bc9pmb6789:<4nl4she34567?1ni7~gh012342>bj2ybk=>?015;bg=tan:;<=>891`8wla789:;;4?m;rkd45678>39n6}fg123451>;k1xej>?0124=1d<{`m;<=>?787a?vo`89:;<:79b:qjc56789=2;o5|if23456011h0di?01233?06;bf>uno9:;<=96bc9pmb6789:<5nl4she34567?0ni7~gh012342?bj2ybk=>?015:bg=tan:;<=>8a1`8wla789:;;l?m;rkd45678>k9n6}fg123451f;k1xej>?0124e1d<{`m;<=>?7`7a?vo`89:;<:o9b:qjc56789=j;o5|if234560i1h0di?01233d?e3zcl<=>?06cbf>uno9:;<=9nbc9pmb6789:?015bbg=tan:;<=>8b1`8wla789:;;o?m;rkd45678>h9n6}fg123451e;k1xej>?0124f1d<{`m;<=>?7c7a?vo`89:;<:l9b:qjc56789=i;o5|if234560j1h0di?01233g?e3zcl<=>?06`bf>uno9:;<=9mbc9pmb6789:?015abg=tan:;<=>8c1`8wla789:;;n?m;rkd45678>i9n6}fg123451d;k1xej>?0124g1d<{`m;<=>?7b7a?vo`89:;<:m9b:qjc56789=h;o5|if234560k1h0di?01233f?e3zcl<=>?06abf>uno9:;<=9lbc9pmb6789:?015`bg=tan:;<=>8d1`8wla789:;;i?m;rkd45678>n9n6}fg123451c;k1xej>?0124`1d<{`m;<=>?7e7a?vo`89:;<:j9b:qjc56789=o;o5|if234560l1h0di?01233a?e3zcl<=>?06fbf>uno9:;<=9kbc9pmb6789:?015gbg=tan:;<=>8e1`8wla789:;;h?m;rkd45678>o9n6}fg123451b;k1xej>?0124a1d<{`m;<=>?7d7a?vo`89:;<:k9b:qjc56789=n;o5|if234560m1h0di?01233`?e3zcl<=>?06gbf>uno9:;<=9jbc9pmb6789:?015fbg=tan:;<=>8f1`8wla789:;;k?m;rkd45678>l9n6}fg123451a;k1xej>?0124b1d<{`m;<=>?7g7a?vo`89:;<:h9b:qjc56789=m;o5|if234560n1h0di?01233c?e3zcl<=>?06dbf>uno9:;<=9ibc9pmb6789:?015ebg=tan:;<=>701`8wla789:;4=?m;rkd456781:9n6}fg12345>7;k1xej>?012;41d<{`m;<=>?817a?vo`89:;<5>9b:qjc567892;;o5|if23456?81h0di?0123<5?e3zcl<=>?092bf>uno9:;<=6?bc9pmb6789:3?01:3bg=tan:;<=>711`8wla789:;46;k1xej>?012;51d<{`m;<=>?807a?vo`89:;<5?9b:qjc567892:;o5|if23456?91h0di?0123<4?e3zcl<=>?093bf>uno9:;<=6>bc9pmb6789:3=nl4she3456708ni7~gh01234=7bj2ybk=>?01:2bg=tan:;<=>721`8wla789:;4??m;rkd45678189n6}fg12345>5;k1xej>?012;61d<{`m;<=>?837a?vo`89:;<5<9b:qjc5678929;o5|if23456?:1h0di?0123<7?e3zcl<=>?090bf>uno9:;<=6=bc9pmb6789:3>nl4she345670;ni7~gh01234=4bj2ybk=>?01:1bg=tan:;<=>731`8wla789:;4>?m;rkd45678199n6}fg12345>4;k1xej>?012;71d<{`m;<=>?827a?vo`89:;<5=9b:qjc5678928;o5|if23456?;1h0di?0123<6?e3zcl<=>?091bf>uno9:;<=6?01:0bg=tan:;<=>741`8wla789:;49?m;rkd456781>9n6}fg12345>3;k1xej>?012;01d<{`m;<=>?857a?vo`89:;<5:9b:qjc567892?;o5|if23456?<1h0di?0123<1?e3zcl<=>?096bf>uno9:;<=6;bc9pmb6789:38nl4she345670=ni7~gh01234=2bj2ybk=>?01:7bg=tan:;<=>751`8wla789:;48?m;rkd456781?9n6}fg12345>2;k1xej>?012;11d<{`m;<=>?847a?vo`89:;<5;9b:qjc567892>;o5|if23456?=1h0di?0123<0?e3zcl<=>?097bf>uno9:;<=6:bc9pmb6789:39nl4she345670?01:6bg=tan:;<=>761`8wla789:;4;?m;rkd456781<9n6}fg12345>1;k1xej>?012;21d<{`m;<=>?877a?vo`89:;<589b:qjc567892=;o5|if23456?>1h0di?0123<3?e3zcl<=>?094bf>uno9:;<=69bc9pmb6789:3:nl4she345670?ni7~gh01234=0bj2ybk=>?01:5bg=tan:;<=>771`8wla789:;4:?m;rkd456781=9n6}fg12345>0;k1xej>?012;31d<{`m;<=>?867a?vo`89:;<599b:qjc567892<;o5|if23456??1h0di?0123<2?e3zcl<=>?095bf>uno9:;<=68bc9pmb6789:3;nl4she345670>ni7~gh01234=1bj2ybk=>?01:4bg=tan:;<=>781`8wla789:;45?m;rkd45678129n6}fg12345>?;k1xej>?012;<1d<{`m;<=>?897a?vo`89:;<569b:qjc5678923;o5|if23456?01h0di?0123<=?e3zcl<=>?09:bf>uno9:;<=67bc9pmb6789:34nl4she3456701ni7~gh01234=>bj2ybk=>?01:;bg=tan:;<=>791`8wla789:;44?m;rkd45678139n6}fg12345>>;k1xej>?012;=1d<{`m;<=>?887a?vo`89:;<579b:qjc5678922;o5|if23456?11h0di?0123<?09;bf>uno9:;<=66bc9pmb6789:35nl4she3456700ni7~gh01234=?bj2ybk=>?01::bg=tan:;<=>7a1`8wla789:;4l?m;rkd456781k9n6}fg12345>f;k1xej>?012;e1d<{`m;<=>?8`7a?vo`89:;<5o9b:qjc567892j;o5|if23456?i1h0di?0123?09cbf>uno9:;<=6nbc9pmb6789:3mnl4she345670hni7~gh01234=gbj2ybk=>?01:bbg=tan:;<=>7b1`8wla789:;4o?m;rkd456781h9n6}fg12345>e;k1xej>?012;f1d<{`m;<=>?8c7a?vo`89:;<5l9b:qjc567892i;o5|if23456?j1h0di?0123?09`bf>uno9:;<=6mbc9pmb6789:3nnl4she345670kni7~gh01234=dbj2ybk=>?01:abg=tan:;<=>7c1`8wla789:;4n?m;rkd456781i9n6}fg12345>d;k1xej>?012;g1d<{`m;<=>?8b7a?vo`89:;<5m9b:qjc567892h;o5|if23456?k1h0di?0123?09abf>uno9:;<=6lbc9pmb6789:3onl4she345670jni7~gh01234=ebj2ybk=>?01:`bg=tan:;<=>7d1`8wla789:;4i?m;rkd456781n9n6}fg12345>c;k1xej>?012;`1d<{`m;<=>?8e7a?vo`89:;<5j9b:qjc567892o;o5|if23456?l1h0di?0123?09fbf>uno9:;<=6kbc9pmb6789:3hnl4she345670mni7~gh01234=bbj2ybk=>?01:gbg=tan:;<=>7e1`8wla789:;4h?m;rkd456781o9n6}fg12345>b;k1xej>?012;a1d<{`m;<=>?8d7a?vo`89:;<5k9b:qjc567892n;o5|if23456?m1h0di?0123<`?e3zcl<=>?09gbf>uno9:;<=6jbc9pmb6789:3inl4she345670lni7~gh01234=cbj2ybk=>?01:fbg=tan:;<=>7f1`8wla789:;4k?m;rkd456781l9n6}fg12345>a;k1xej>?012;b1d<{`m;<=>?8g7a?vo`89:;<5h9b:qjc567892m;o5|if23456?n1h0di?0123?09dbf>uno9:;<=6ibc9pmb6789:3jnl4she345670oni7~gh01234=`bj2ybk=>?01:ebg=tan:;<=>601`8wla789:;5=?m;rkd456780:9n6}fg12345?7;k1xej>?012:41d<{`m;<=>?917a?vo`89:;<4>9b:qjc567893;;o5|if23456>81h0di?0123=5?e3zcl<=>?082bf>uno9:;<=7?bc9pmb6789:2?01;3bg=tan:;<=>611`8wla789:;5?012:51d<{`m;<=>?907a?vo`89:;<4?9b:qjc567893:;o5|if23456>91h0di?0123=4?e3zcl<=>?083bf>uno9:;<=7>bc9pmb6789:2=nl4she3456718ni7~gh01234<7bj2ybk=>?01;2bg=tan:;<=>621`8wla789:;5??m;rkd45678089n6}fg12345?5;k1xej>?012:61d<{`m;<=>?937a?vo`89:;<4<9b:qjc5678939;o5|if23456>:1h0di?0123=7?e3zcl<=>?080bf>uno9:;<=7=bc9pmb6789:2>nl4she345671;ni7~gh01234<4bj2ybk=>?01;1bg=tan:;<=>631`8wla789:;5>?m;rkd45678099n6}fg12345?4;k1xej>?012:71d<{`m;<=>?927a?vo`89:;<4=9b:qjc5678938;o5|if23456>;1h0di?0123=6?e3zcl<=>?081bf>uno9:;<=7?01;0bg=tan:;<=>641`8wla789:;59?m;rkd456780>9n6}fg12345?3;k1xej>?012:01d<{`m;<=>?957a?vo`89:;<4:9b:qjc567893?;o5|if23456><1h0di?0123=1?e3zcl<=>?086bf>uno9:;<=7;bc9pmb6789:28nl4she345671=ni7~gh01234<2bj2ybk=>?01;7bg=tan:;<=>651`8wla789:;58?m;rkd456780?9n6}fg12345?2;k1xej>?012:11d<{`m;<=>?947a?vo`89:;<4;9b:qjc567893>;o5|if23456>=1h0di?0123=0?e3zcl<=>?087bf>uno9:;<=7:bc9pmb6789:29nl4she345671?01;6bg=tan:;<=>661`8wla789:;5;?m;rkd456780<9n6}fg12345?1;k1xej>?012:21d<{`m;<=>?977a?vo`89:;<489b:qjc567893=;o5|if23456>>1h0di?0123=3?e3zcl<=>?084bf>uno9:;<=79bc9pmb6789:2:nl4she345671?ni7~gh01234<0bj2ybk=>?01;5bg=tan:;<=>671`8wla789:;5:?m;rkd456780=9n6}fg12345?0;k1xej>?012:31d<{`m;<=>?967a?vo`89:;<499b:qjc567893<;o5|if23456>?1h0di?0123=2?e3zcl<=>?085bf>uno9:;<=78bc9pmb6789:2;nl4she345671>ni7~gh01234<1bj2ybk=>?01;4bg=tan:;<=>681`8wla789:;55?m;rkd45678029n6}fg12345??;k1xej>?012:<1d<{`m;<=>?997a?vo`89:;<469b:qjc5678933;o5|if23456>01h0di?0123==?e3zcl<=>?08:bf>uno9:;<=77bc9pmb6789:24nl4she3456711ni7~gh01234<>bj2ybk=>?01;;bg=tan:;<=>691`8wla789:;54?m;rkd45678039n6}fg12345?>;k1xej>?012:=1d<{`m;<=>?987a?vo`89:;<479b:qjc5678932;o5|if23456>11h0di?0123=?08;bf>uno9:;<=76bc9pmb6789:25nl4she3456710ni7~gh01234?01;:bg=tan:;<=>6a1`8wla789:;5l?m;rkd456780k9n6}fg12345?f;k1xej>?012:e1d<{`m;<=>?9`7a?vo`89:;<4o9b:qjc567893j;o5|if23456>i1h0di?0123=d?e3zcl<=>?08cbf>uno9:;<=7nbc9pmb6789:2mnl4she345671hni7~gh01234?01;bbg=tan:;<=>6b1`8wla789:;5o?m;rkd456780h9n6}fg12345?e;k1xej>?012:f1d<{`m;<=>?9c7a?vo`89:;<4l9b:qjc567893i;o5|if23456>j1h0di?0123=g?e3zcl<=>?08`bf>uno9:;<=7mbc9pmb6789:2nnl4she345671kni7~gh01234?01;abg=tan:;<=>6c1`8wla789:;5n?m;rkd456780i9n6}fg12345?d;k1xej>?012:g1d<{`m;<=>?9b7a?vo`89:;<4m9b:qjc567893h;o5|if23456>k1h0di?0123=f?e3zcl<=>?08abf>uno9:;<=7lbc9pmb6789:2onl4she345671jni7~gh01234?01;`bg=tan:;<=>6d1`8wla789:;5i?m;rkd456780n9n6}fg12345?c;k1xej>?012:`1d<{`m;<=>?9e7a?vo`89:;<4j9b:qjc567893o;o5|if23456>l1h0di?0123=a?e3zcl<=>?08fbf>uno9:;<=7kbc9pmb6789:2hnl4she345671mni7~gh01234?01;gbg=tan:;<=>6e1`8wla789:;5h?m;rkd456780o9n6}fg12345?b;k1xej>?012:a1d<{`m;<=>?9d7a?vo`89:;<4k9b:qjc567893n;o5|if23456>m1h0di?0123=`?e3zcl<=>?08gbf>uno9:;<=7jbc9pmb6789:2inl4she345671lni7~gh01234?01;fbg=tan:;<=>6f1`8wla789:;5k?m;rkd456780l9n6}fg12345?a;k1xej>?012:b1d<{`m;<=>?9g7a?vo`89:;<4h9b:qjc567893m;o5|if23456>n1h0di?0123=c?e3zcl<=>?08dbf>uno9:;<=7ibc9pmb6789:2jnl4she345671oni7~gh01234<`bj2ybk=>?01;ebg=tan:;<=>n01`8wla789:;m=?m;rkd45678h:9n6}fg12345g7;k1xej>?012b41d<{`m;<=>?a17a?vo`89:;9b:qjc56789k;;o5|if23456f81h0di?0123e5?e3zcl<=>?0`2bf>uno9:;<=o?bc9pmb6789:j?01c3bg=tan:;<=>n11`8wla789:;m?012b51d<{`m;<=>?a07a?vo`89:;?0`3bf>uno9:;<=o>bc9pmb6789:j=nl4she34567i8ni7~gh01234d7bj2ybk=>?01c2bg=tan:;<=>n21`8wla789:;m??m;rkd45678h89n6}fg12345g5;k1xej>?012b61d<{`m;<=>?a37a?vo`89:;?0`0bf>uno9:;<=o=bc9pmb6789:j>nl4she34567i;ni7~gh01234d4bj2ybk=>?01c1bg=tan:;<=>n31`8wla789:;m>?m;rkd45678h99n6}fg12345g4;k1xej>?012b71d<{`m;<=>?a27a?vo`89:;?0`1bf>uno9:;<=o?01c0bg=tan:;<=>n41`8wla789:;m9?m;rkd45678h>9n6}fg12345g3;k1xej>?012b01d<{`m;<=>?a57a?vo`89:;?0`6bf>uno9:;<=o;bc9pmb6789:j8nl4she34567i=ni7~gh01234d2bj2ybk=>?01c7bg=tan:;<=>n51`8wla789:;m8?m;rkd45678h?9n6}fg12345g2;k1xej>?012b11d<{`m;<=>?a47a?vo`89:;;o5|if23456f=1h0di?0123e0?e3zcl<=>?0`7bf>uno9:;<=o:bc9pmb6789:j9nl4she34567i?01c6bg=tan:;<=>n61`8wla789:;m;?m;rkd45678h<9n6}fg12345g1;k1xej>?012b21d<{`m;<=>?a77a?vo`89:;1h0di?0123e3?e3zcl<=>?0`4bf>uno9:;<=o9bc9pmb6789:j:nl4she34567i?ni7~gh01234d0bj2ybk=>?01c5bg=tan:;<=>n71`8wla789:;m:?m;rkd45678h=9n6}fg12345g0;k1xej>?012b31d<{`m;<=>?a67a?vo`89:;?0`5bf>uno9:;<=o8bc9pmb6789:j;nl4she34567i>ni7~gh01234d1bj2ybk=>?01c4bg=tan:;<=>n81`8wla789:;m5?m;rkd45678h29n6}fg12345g?;k1xej>?012b<1d<{`m;<=>?a97a?vo`89:;?0`:bf>uno9:;<=o7bc9pmb6789:j4nl4she34567i1ni7~gh01234d>bj2ybk=>?01c;bg=tan:;<=>n91`8wla789:;m4?m;rkd45678h39n6}fg12345g>;k1xej>?012b=1d<{`m;<=>?a87a?vo`89:;?0`;bf>uno9:;<=o6bc9pmb6789:j5nl4she34567i0ni7~gh01234d?bj2ybk=>?01c:bg=tan:;<=>na1`8wla789:;ml?m;rkd45678hk9n6}fg12345gf;k1xej>?012be1d<{`m;<=>?a`7a?vo`89:;?0`cbf>uno9:;<=onbc9pmb6789:jmnl4she34567ihni7~gh01234dgbj2ybk=>?01cbbg=tan:;<=>nb1`8wla789:;mo?m;rkd45678hh9n6}fg12345ge;k1xej>?012bf1d<{`m;<=>?ac7a?vo`89:;?0``bf>uno9:;<=ombc9pmb6789:jnnl4she34567ikni7~gh01234ddbj2ybk=>?01cabg=tan:;<=>nc1`8wla789:;mn?m;rkd45678hi9n6}fg12345gd;k1xej>?012bg1d<{`m;<=>?ab7a?vo`89:;?0`abf>uno9:;<=olbc9pmb6789:jonl4she34567ijni7~gh01234debj2ybk=>?01c`bg=tan:;<=>nd1`8wla789:;mi?m;rkd45678hn9n6}fg12345gc;k1xej>?012b`1d<{`m;<=>?ae7a?vo`89:;?0`fbf>uno9:;<=okbc9pmb6789:jhnl4she34567imni7~gh01234dbbj2ybk=>?01cgbg=tan:;<=>ne1`8wla789:;mh?m;rkd45678ho9n6}fg12345gb;k1xej>?012ba1d<{`m;<=>?ad7a?vo`89:;?0`gbf>uno9:;<=ojbc9pmb6789:jinl4she34567ilni7~gh01234dcbj2ybk=>?01cfbg=tan:;<=>nf1`8wla789:;mk?m;rkd45678hl9n6}fg12345ga;k1xej>?012bb1d<{`m;<=>?ag7a?vo`89:;?0`dbf>uno9:;<=oibc9pmb6789:jjnl4she34567ioni7~gh01234d`bj2ybk=>?01cebg=tan:;<=>m01`8wla789:;n=?m;rkd45678k:9n6}fg12345d7;k1xej>?012a41d<{`m;<=>?b17a?vo`89:;9b:qjc56789h;;o5|if23456e81h0di?0123f5?e3zcl<=>?0c2bf>uno9:;<=l?bc9pmb6789:i?01`3bg=tan:;<=>m11`8wla789:;n?012a51d<{`m;<=>?b07a?vo`89:;?0c3bf>uno9:;<=l>bc9pmb6789:i=nl4she34567j8ni7~gh01234g7bj2ybk=>?01`2bg=tan:;<=>m21`8wla789:;n??m;rkd45678k89n6}fg12345d5;k1xej>?012a61d<{`m;<=>?b37a?vo`89:;?0c0bf>uno9:;<=l=bc9pmb6789:i>nl4she34567j;ni7~gh01234g4bj2ybk=>?01`1bg=tan:;<=>m31`8wla789:;n>?m;rkd45678k99n6}fg12345d4;k1xej>?012a71d<{`m;<=>?b27a?vo`89:;?0c1bf>uno9:;<=l?01`0bg=tan:;<=>m41`8wla789:;n9?m;rkd45678k>9n6}fg12345d3;k1xej>?012a01d<{`m;<=>?b57a?vo`89:;?0c6bf>uno9:;<=l;bc9pmb6789:i8nl4she34567j=ni7~gh01234g2bj2ybk=>?01`7bg=tan:;<=>m51`8wla789:;n8?m;rkd45678k?9n6}fg12345d2;k1xej>?012a11d<{`m;<=>?b47a?vo`89:;;o5|if23456e=1h0di?0123f0?e3zcl<=>?0c7bf>uno9:;<=l:bc9pmb6789:i9nl4she34567j?01`6bg=tan:;<=>m61`8wla789:;n;?m;rkd45678k<9n6}fg12345d1;k1xej>?012a21d<{`m;<=>?b77a?vo`89:;1h0di?0123f3?e3zcl<=>?0c4bf>uno9:;<=l9bc9pmb6789:i:nl4she34567j?ni7~gh01234g0bj2ybk=>?01`5bg=tan:;<=>m71`8wla789:;n:?m;rkd45678k=9n6}fg12345d0;k1xej>?012a31d<{`m;<=>?b67a?vo`89:;?0c5bf>uno9:;<=l8bc9pmb6789:i;nl4she34567j>ni7~gh01234g1bj2ybk=>?01`4bg=tan:;<=>m81`8wla789:;n5?m;rkd45678k29n6}fg12345d?;k1xej>?012a<1d<{`m;<=>?b97a?vo`89:;?0c:bf>uno9:;<=l7bc9pmb6789:i4nl4she34567j1ni7~gh01234g>bj2ybk=>?01`;bg=tan:;<=>m91`8wla789:;n4?m;rkd45678k39n6}fg12345d>;k1xej>?012a=1d<{`m;<=>?b87a?vo`89:;?0c;bf>uno9:;<=l6bc9pmb6789:i5nl4she34567j0ni7~gh01234g?bj2ybk=>?01`:bg=tan:;<=>ma1`8wla789:;nl?m;rkd45678kk9n6}fg12345df;k1xej>?012ae1d<{`m;<=>?b`7a?vo`89:;?0ccbf>uno9:;<=lnbc9pmb6789:imnl4she34567jhni7~gh01234ggbj2ybk=>?01`bbg=tan:;<=>mb1`8wla789:;no?m;rkd45678kh9n6}fg12345de;k1xej>?012af1d<{`m;<=>?bc7a?vo`89:;?0c`bf>uno9:;<=lmbc9pmb6789:innl4she34567jkni7~gh01234gdbj2ybk=>?01`abg=tan:;<=>mc1`8wla789:;nn?m;rkd45678ki9n6}fg12345dd;k1xej>?012ag1d<{`m;<=>?bb7a?vo`89:;?0cabf>uno9:;<=llbc9pmb6789:ionl4she34567jjni7~gh01234gebj2ybk=>?01``bg=tan:;<=>md1`8wla789:;ni?m;rkd45678kn9n6}fg12345dc;k1xej>?012a`1d<{`m;<=>?be7a?vo`89:;?0cfbf>uno9:;<=lkbc9pmb6789:ihnl4she34567jmni7~gh01234gbbj2ybk=>?01`gbg=tan:;<=>me1`8wla789:;nh?m;rkd45678ko9n6}fg12345db;k1xej>?012aa1d<{`m;<=>?bd7a?vo`89:;?0cgbf>uno9:;<=ljbc9pmb6789:iinl4she34567jlni7~gh01234gcbj2ybk=>?01`fbg=tan:;<=>mf1`8wla789:;nk?m;rkd45678kl9n6}fg12345da;k1xej>?012ab1d<{`m;<=>?bg7a?vo`89:;?0cdbf>uno9:;<=libc9pmb6789:ijnl4she34567joni7~gh01234g`bj2ybk=>?01`ebg=tan:;<=>l01`8wla789:;o=?m;rkd45678j:9n6}fg12345e7;k1xej>?012`41d<{`m;<=>?c17a?vo`89:;9b:qjc56789i;;o5|if23456d81h0di?0123g5?e3zcl<=>?0b2bf>uno9:;<=m?bc9pmb6789:h?01a3bg=tan:;<=>l11`8wla789:;o?012`51d<{`m;<=>?c07a?vo`89:;?0b3bf>uno9:;<=m>bc9pmb6789:h=nl4she34567k8ni7~gh01234f7bj2ybk=>?01a2bg=tan:;<=>l21`8wla789:;o??m;rkd45678j89n6}fg12345e5;k1xej>?012`61d<{`m;<=>?c37a?vo`89:;?0b0bf>uno9:;<=m=bc9pmb6789:h>nl4she34567k;ni7~gh01234f4bj2ybk=>?01a1bg=tan:;<=>l31`8wla789:;o>?m;rkd45678j99n6}fg12345e4;k1xej>?012`71d<{`m;<=>?c27a?vo`89:;?0b1bf>uno9:;<=m?01a0bg=tan:;<=>l41`8wla789:;o9?m;rkd45678j>9n6}fg12345e3;k1xej>?012`01d<{`m;<=>?c57a?vo`89:;?0b6bf>uno9:;<=m;bc9pmb6789:h8nl4she34567k=ni7~gh01234f2bj2ybk=>?01a7bg=tan:;<=>l51`8wla789:;o8?m;rkd45678j?9n6}fg12345e2;k1xej>?012`11d<{`m;<=>?c47a?vo`89:;;o5|if23456d=1h0di?0123g0?e3zcl<=>?0b7bf>uno9:;<=m:bc9pmb6789:h9nl4she34567k?01a6bg=tan:;<=>l61`8wla789:;o;?m;rkd45678j<9n6}fg12345e1;k1xej>?012`21d<{`m;<=>?c77a?vo`89:;1h0di?0123g3?e3zcl<=>?0b4bf>uno9:;<=m9bc9pmb6789:h:nl4she34567k?ni7~gh01234f0bj2ybk=>?01a5bg=tan:;<=>l71`8wla789:;o:?m;rkd45678j=9n6}fg12345e0;k1xej>?012`31d<{`m;<=>?c67a?vo`89:;?0b5bf>uno9:;<=m8bc9pmb6789:h;nl4she34567k>ni7~gh01234f1bj2ybk=>?01a4bg=tan:;<=>l81`8wla789:;o5?m;rkd45678j29n6}fg12345e?;k1xej>?012`<1d<{`m;<=>?c97a?vo`89:;?0b:bf>uno9:;<=m7bc9pmb6789:h4nl4she34567k1ni7~gh01234f>bj2ybk=>?01a;bg=tan:;<=>l91`8wla789:;o4?m;rkd45678j39n6}fg12345e>;k1xej>?012`=1d<{`m;<=>?c87a?vo`89:;?0b;bf>uno9:;<=m6bc9pmb6789:h5nl4she34567k0ni7~gh01234f?bj2ybk=>?01a:bg=tan:;<=>la1`8wla789:;ol?m;rkd45678jk9n6}fg12345ef;k1xej>?012`e1d<{`m;<=>?c`7a?vo`89:;?0bcbf>uno9:;<=mnbc9pmb6789:hmnl4she34567khni7~gh01234fgbj2ybk=>?01abbg=tan:;<=>lb1`8wla789:;oo?m;rkd45678jh9n6}fg12345ee;k1xej>?012`f1d<{`m;<=>?cc7a?vo`89:;?0b`bf>uno9:;<=mmbc9pmb6789:hnnl4she34567kkni7~gh01234fdbj2ybk=>?01aabg=tan:;<=>lc1`8wla789:;on?m;rkd45678ji9n6}fg12345ed;k1xej>?012`g1d<{`m;<=>?cb7a?vo`89:;?0babf>uno9:;<=mlbc9pmb6789:honl4she34567kjni7~gh01234febj2ybk=>?01a`bg=tan:;<=>ld1`8wla789:;oi?m;rkd45678jn9n6}fg12345ec;k1xej>?012``1d<{`m;<=>?ce7a?vo`89:;?0bfbf>uno9:;<=mkbc9pmb6789:hhnl4she34567kmni7~gh01234fbbj2ybk=>?01agbg=tan:;<=>le1`8wla789:;oh?m;rkd45678jo9n6}fg12345eb;k1xej>?012`a1d<{`m;<=>?cd7a?vo`89:;?0bgbf>uno9:;<=mjbc9pmb6789:hinl4she34567klni7~gh01234fcbj2ybk=>?01afbg=tan:;<=>lf1`8wla789:;ok?m;rkd45678jl9n6}fg12345ea;k1xej>?012`b1d<{`m;<=>?cg7a?vo`89:;?0bdbf>uno9:;<=mibc9pmb6789:hjnl4she34567koni7~gh01234f`bj2ybk=>?01aebg=tan:;<=>k01`8wla789:;h=?m;rkd45678m:9n6}fg12345b7;k1xej>?012g41d<{`m;<=>?d17a?vo`89:;9b:qjc56789n;;o5|if23456c81h0di?0123`5?e3zcl<=>?0e2bf>uno9:;<=j?bc9pmb6789:o?01f3bg=tan:;<=>k11`8wla789:;h?012g51d<{`m;<=>?d07a?vo`89:;?0e3bf>uno9:;<=j>bc9pmb6789:o=nl4she34567l8ni7~gh01234a7bj2ybk=>?01f2bg=tan:;<=>k21`8wla789:;h??m;rkd45678m89n6}fg12345b5;k1xej>?012g61d<{`m;<=>?d37a?vo`89:;?0e0bf>uno9:;<=j=bc9pmb6789:o>nl4she34567l;ni7~gh01234a4bj2ybk=>?01f1bg=tan:;<=>k31`8wla789:;h>?m;rkd45678m99n6}fg12345b4;k1xej>?012g71d<{`m;<=>?d27a?vo`89:;?0e1bf>uno9:;<=j?01f0bg=tan:;<=>k41`8wla789:;h9?m;rkd45678m>9n6}fg12345b3;k1xej>?012g01d<{`m;<=>?d57a?vo`89:;?0e6bf>uno9:;<=j;bc9pmb6789:o8nl4she34567l=ni7~gh01234a2bj2ybk=>?01f7bg=tan:;<=>k51`8wla789:;h8?m;rkd45678m?9n6}fg12345b2;k1xej>?012g11d<{`m;<=>?d47a?vo`89:;;o5|if23456c=1h0di?0123`0?e3zcl<=>?0e7bf>uno9:;<=j:bc9pmb6789:o9nl4she34567l?01f6bg=tan:;<=>k61`8wla789:;h;?m;rkd45678m<9n6}fg12345b1;k1xej>?012g21d<{`m;<=>?d77a?vo`89:;1h0di?0123`3?e3zcl<=>?0e4bf>uno9:;<=j9bc9pmb6789:o:nl4she34567l?ni7~gh01234a0bj2ybk=>?01f5bg=tan:;<=>k71`8wla789:;h:?m;rkd45678m=9n6}fg12345b0;k1xej>?012g31d<{`m;<=>?d67a?vo`89:;?0e5bf>uno9:;<=j8bc9pmb6789:o;nl4she34567l>ni7~gh01234a1bj2ybk=>?01f4bg=tan:;<=>k81`8wla789:;h5?m;rkd45678m29n6}fg12345b?;k1xej>?012g<1d<{`m;<=>?d97a?vo`89:;?0e:bf>uno9:;<=j7bc9pmb6789:o4nl4she34567l1ni7~gh01234a>bj2ybk=>?01f;bg=tan:;<=>k91`8wla789:;h4?m;rkd45678m39n6}fg12345b>;k1xej>?012g=1d<{`m;<=>?d87a?vo`89:;?0e;bf>uno9:;<=j6bc9pmb6789:o5nl4she34567l0ni7~gh01234a?bj2ybk=>?01f:bg=tan:;<=>ka1`8wla789:;hl?m;rkd45678mk9n6}fg12345bf;k1xej>?012ge1d<{`m;<=>?d`7a?vo`89:;?0ecbf>uno9:;<=jnbc9pmb6789:omnl4she34567lhni7~gh01234agbj2ybk=>?01fbbg=tan:;<=>kb1`8wla789:;ho?m;rkd45678mh9n6}fg12345be;k1xej>?012gf1d<{`m;<=>?dc7a?vo`89:;?0e`bf>uno9:;<=jmbc9pmb6789:onnl4she34567lkni7~gh01234adbj2ybk=>?01fabg=tan:;<=>kc1`8wla789:;hn?m;rkd45678mi9n6}fg12345bd;k1xej>?012gg1d<{`m;<=>?db7a?vo`89:;?0eabf>uno9:;<=jlbc9pmb6789:oonl4she34567ljni7~gh01234aebj2ybk=>?01f`bg=tan:;<=>kd1`8wla789:;hi?m;rkd45678mn9n6}fg12345bc;k1xej>?012g`1d<{`m;<=>?de7a?vo`89:;?0efbf>uno9:;<=jkbc9pmb6789:ohnl4she34567lmni7~gh01234abbj2ybk=>?01fgbg=tan:;<=>ke1`8wla789:;hh?m;rkd45678mo9n6}fg12345bb;k1xej>?012ga1d<{`m;<=>?dd7a?vo`89:;?0egbf>uno9:;<=jjbc9pmb6789:oinl4she34567llni7~gh01234acbj2ybk=>?01ffbg=tan:;<=>kf1`8wla789:;hk?m;rkd45678ml9n6}fg12345ba;k1xej>?012gb1d<{`m;<=>?dg7a?vo`89:;?0edbf>uno9:;<=jibc9pmb6789:ojnl4she34567loni7~gh01234a`bj2ybk=>?01febg=tan:;<=>j01`8wla789:;i=?m;rkd45678l:9n6}fg12345c7;k1xej>?012f41d<{`m;<=>?e17a?vo`89:;9b:qjc56789o;;o5|if23456b81h0di?0123a5?e3zcl<=>?0d2bf>uno9:;<=k?bc9pmb6789:n?01g3bg=tan:;<=>j11`8wla789:;i?012f51d<{`m;<=>?e07a?vo`89:;?0d3bf>uno9:;<=k>bc9pmb6789:n=nl4she34567m8ni7~gh01234`7bj2ybk=>?01g2bg=tan:;<=>j21`8wla789:;i??m;rkd45678l89n6}fg12345c5;k1xej>?012f61d<{`m;<=>?e37a?vo`89:;?0d0bf>uno9:;<=k=bc9pmb6789:n>nl4she34567m;ni7~gh01234`4bj2ybk=>?01g1bg=tan:;<=>j31`8wla789:;i>?m;rkd45678l99n6}fg12345c4;k1xej>?012f71d<{`m;<=>?e27a?vo`89:;?0d1bf>uno9:;<=k?01g0bg=tan:;<=>j41`8wla789:;i9?m;rkd45678l>9n6}fg12345c3;k1xej>?012f01d<{`m;<=>?e57a?vo`89:;?0d6bf>uno9:;<=k;bc9pmb6789:n8nl4she34567m=ni7~gh01234`2bj2ybk=>?01g7bg=tan:;<=>j51`8wla789:;i8?m;rkd45678l?9n6}fg12345c2;k1xej>?012f11d<{`m;<=>?e47a?vo`89:;;o5|if23456b=1h0di?0123a0?e3zcl<=>?0d7bf>uno9:;<=k:bc9pmb6789:n9nl4she34567m?01g6bg=tan:;<=>j61`8wla789:;i;?m;rkd45678l<9n6}fg12345c1;k1xej>?012f21d<{`m;<=>?e77a?vo`89:;1h0di?0123a3?e3zcl<=>?0d4bf>uno9:;<=k9bc9pmb6789:n:nl4she34567m?ni7~gh01234`0bj2ybk=>?01g5bg=tan:;<=>j71`8wla789:;i:?m;rkd45678l=9n6}fg12345c0;k1xej>?012f31d<{`m;<=>?e67a?vo`89:;?0d5bf>uno9:;<=k8bc9pmb6789:n;nl4she34567m>ni7~gh01234`1bj2ybk=>?01g4bg=tan:;<=>j81`8wla789:;i5?m;rkd45678l29n6}fg12345c?;k1xej>?012f<1d<{`m;<=>?e97a?vo`89:;?0d:bf>uno9:;<=k7bc9pmb6789:n4nl4she34567m1ni7~gh01234`>bj2ybk=>?01g;bg=tan:;<=>j91`8wla789:;i4?m;rkd45678l39n6}fg12345c>;k1xej>?012f=1d<{`m;<=>?e87a?vo`89:;?0d;bf>uno9:;<=k6bc9pmb6789:n5nl4she34567m0ni7~gh01234`?bj2ybk=>?01g:bg=tan:;<=>ja1`8wla789:;il?m;rkd45678lk9n6}fg12345cf;k1xej>?012fe1d<{`m;<=>?e`7a?vo`89:;?0dcbf>uno9:;<=knbc9pmb6789:nmnl4she34567mhni7~gh01234`gbj2ybk=>?01gbbg=tan:;<=>jb1`8wla789:;io?m;rkd45678lh9n6}fg12345ce;k1xej>?012ff1d<{`m;<=>?ec7a?vo`89:;?0d`bf>uno9:;<=kmbc9pmb6789:nnnl4she34567mkni7~gh01234`dbj2ybk=>?01gabg=tan:;<=>jc1`8wla789:;in?m;rkd45678li9n6}fg12345cd;k1xej>?012fg1d<{`m;<=>?eb7a?vo`89:;?0dabf>uno9:;<=klbc9pmb6789:nonl4she34567mjni7~gh01234`ebj2ybk=>?01g`bg=tan:;<=>jd1`8wla789:;ii?m;rkd45678ln9n6}fg12345cc;k1xej>?012f`1d<{`m;<=>?ee7a?vo`89:;?0dfbf>uno9:;<=kkbc9pmb6789:nhnl4she34567mmni7~gh01234`bbj2ybk=>?01ggbg=tan:;<=>je1`8wla789:;ih?m;rkd45678lo9n6}fg12345cb;k1xej>?012fa1d<{`m;<=>?ed7a?vo`89:;?0dgbf>uno9:;<=kjbc9pmb6789:ninl4she34567mlni7~gh01234`cbj2ybk=>?01gfbg=tan:;<=>jf1`8wla789:;ik?m;rkd45678ll9n6}fg12345ca;k1xej>?012fb1d<{`m;<=>?eg7a?vo`89:;?0ddbf>uno9:;<=kibc9pmb6789:njnl4she34567moni7~gh01234``bj2ybk=>?01gebg=tan:;<=>i01`8wla789:;j=?m;rkd45678o:9n6}fg12345`7;k1xej>?012e41d<{`m;<=>?f17a?vo`89:;9b:qjc56789l;;o5|if23456a81h0di?0123b5?e3zcl<=>?0g2bf>uno9:;<=h?bc9pmb6789:m?01d3bg=tan:;<=>i11`8wla789:;j?012e51d<{`m;<=>?f07a?vo`89:;?0g3bf>uno9:;<=h>bc9pmb6789:m=nl4she34567n8ni7~gh01234c7bj2ybk=>?01d2bg=tan:;<=>i21`8wla789:;j??m;rkd45678o89n6}fg12345`5;k1xej>?012e61d<{`m;<=>?f37;?vvXzhgT=55|p^pbiZ46:2~f|"Qlg.ja``e5&gmd86x>.1CDu1?8<1KLu<:958E>3<6sZ;8n7?95g822?74;>3>=7=6574xj6bd281e?ij56:&0`d<4l?1v_<=6:046b?712898;4;>:2;623=T::81=;87:182761>=8085889;R30=?71>10;6<=<7872>6?2>11X>><530g960?22898;4;>:2;62<=T9:31?454?0?:6>7:689g5304290:6=894397<31>2|_?no50;395?d4:rY:?o4>64d953<6;:=29<4<9445?!5c:388?6Xj?;[d0>0}2l3?n6>:534814?{#;jo1=;8<;%1g=?71>;1b=;8l:188mgcf2900c<89b;29?j71>h0;66aj4b83>!5e=3lhm6`ibh4j=0:76aj4`83>!5e=3lhm6`ib<00;6)=m5;d`e>h4j=0876aj4983>!5e=3lhm6`ib<>0;6)=m5;d`e>h4j=0>76aj4783>!5e=3lhm6`ib<=0;6)=m5;d`e>h4j=0<76aj4283>!5e=3lhm6`ib<;0;6)=m5;d`e>h4j=0276aj4083>!5e=3lhm6`ib<90;6)=m5;d`e>h4j=0i76aj3g83>!5e=3lhm6`ib;l0;6)=m5;d`e>h4j=0o76aj3e83>!5e=3lhm6`ib;j0;6)=m5;d`e>h4j=0m76aj3c83>!5e=3lhm6`=hm:31<7*1=<54od1;>5<#;k?1jno4n2`7>44<3fo8;7>5$2`6>cef3g9i87?<;:mf73<72-9i97hla:l0f1<6<21di>;50;&0f0ib;;0;6)=m5;d`e>h4j=0:465`e2394?"4j<0mol5a3c695<=6kmn;o1a0?7e32en>i4?:%1a1?`di2d8n94>c:9la7e=83.8n84ic`9m7g2=9m10chl;:0g8?jc5i3:1(>l::gab?k5e<3;m76aj2883>!5e=3lhm6`=hm;21<7*1><54od04>5<#;k?1jno4n2`7>74<3fo9:7>5$2`6>cef3g9i87<<;:mf60<72-9i97hla:l0f1<5<21di8?50;&0f0ibh4j=09465`e5f94?"4j<0mol5a3c696<=>6=4+3c79bfg6kmn;o1a0?4e32en>k4?:%1a1?`di2d8n94=c:9la72=83.8n84ic`9m7g2=:m10ch<<:18'7g3=njk0b>l;:3g8?j`aj3:1(>l::021=>h4j=0;76aif`83>!5e=3;;>45a3c695>=hno31<7*5<#;k?1==<6;o1a0?5<3flm;7>5$2`6>46512d8n94;;:meb3<72-9i97??289m7g2==21djk;50;&0f0<68;30b>l;:798kc`4290/?o;5110:?k5e<3=07bhi2;29 6d228:956`ian80;6)=m5;336<=i;k>1565`fg294?"4j<0:d=3g9i87l4;ndfa?6=,:h>6<>=9:l0f103;8j6d32l10ckkm:18'7g3=99827c=m4;d8?j`bi3:1(>l::021=>h4j=0:<65`fd:94?"4j<0:47<3fln;7>5$2`6>46512d8n94>2:9lb`0=83.8n84>03;8j6d328907bhj5;29 6d228:956`=hnl>1<7*6<>=9:l0f1<6>21djh<50;&0f0<68;30b>l;:058?j`b93:1(>l::021=>h4j=0:465`fd294?"4j<0:4?<3floj7>5$2`6>46512d8n94>a:9lbab=83.8n84>03;8j6d328h07bhkc;29 6d228:956`=hnmh1<7*6<>=9:l0f1<6m21dji750;&0f0<68;30b>l;:0d8?j`c03:1(>l::021=>h4j=09<65`fe594?"4j<0:77<3flo:7>5$2`6>46512d8n94=2:9lba3=83.8n84>03;8j6d32;907bhk4;29 6d228:956`=h99:;6=4+3c79554>3g9i87<:;:mebc<72-9i97??289m7g2=:?10ckhj:18'7g3=99827c=m4;04?>ianm0;6)=m5;336<=i;k>1>554ogd`>5<#;k?1==<6;o1a0?4>32emj94?:%1a1?77:01e?o:52`98kcc>290/?o;5110:?k5e<38i76aidd83>!5e=3;;>45a3c696f=3g9i87l;:198mf25290/?o;5d1;8j6d32810en:>:18'7g3=l930b>l;:398mf27290/?o;5d1;8j6d32:10en=j:18'7g3=l930b>l;:598mf5c290/?o;5d1;8j6d32<10en=l:18'7g3=l930b>l;:798mf5e290/?o;5d1;8j6d32>10en=n:18'7g3=l930b>l;:998mf5>290/?o;5d1;8j6d32010en=7:18'7g3=l930b>l;:`98mf50290/?o;5d1;8j6d32k10en=9:18'7g3=l930b>l;:b98mf52290/?o;5d1;8j6d32m10en:n:18'7g3=l930b>l;:d98mf2>290/?o;5d1;8j6d32o10en:7:18'7g3=l930b>l;:028?le3?3:1(>l::e2:?k5e<3;:76gl4783>!5e=3n;56`=nk=?1<7*1=>54ib67>5<#;k?1h=74n2`7>42<3`i8j7>5$2`6>a6>3g9i87?:;:k`71<72-9i97j?9:l0f1<6>21bo>=50;&0f02900ei<<:18'7g3=l:k0b>l;:198ma45290/?o;5d2c8j6d32810ei<>:18'7g3=l:k0b>l;:398ma47290/?o;5d2c8j6d32:10ei?j:18'7g3=l:k0b>l;:598ma7c290/?o;5d2c8j6d32<10ei?l:18'7g3=l:k0b>l;:798ma7e290/?o;5d2c8j6d32>10ei?n:18'7g3=l:k0b>l;:998ma7>290/?o;5d2c8j6d32010ei?7:18'7g3=l:k0b>l;:`98ma70290/?o;5d2c8j6d32k10ei?9:18'7g3=l:k0b>l;:b98ma72290/?o;5d2c8j6d32m10eil;:d98ma4>290/?o;5d2c8j6d32o10ei<7:18'7g3=l:k0b>l;:028?lb5?3:1(>l::e1b?k5e<3;:76gk2783>!5e=3n8m6`=nl;?1<7*1=>54ie07>5<#;k?1h>o4n2`7>42<3`n:j7>5$2`6>a5f3g9i87?:;:kg51<72-9i97j21bh<=50;&0f0l;:198mg`?290/?o;5c1c8j6d32810eoh8:18'7g3=k9k0b>l;:398mg`1290/?o;5c1c8j6d32:10eoh::18'7g3=k9k0b>l;:598mg`3290/?o;5c1c8j6d32<10eoh<:18'7g3=k9k0b>l;:798mg`5290/?o;5c1c8j6d32>10en>>:18'7g3=k9k0b>l;:998mf67290/?o;5c1c8j6d32010eohi:18'7g3=k9k0b>l;:`98mg`b290/?o;5c1c8j6d32k10eohk:18'7g3=k9k0b>l;:b98mg`d290/?o;5c1c8j6d32m10eohm:18'7g3=k9k0b>l;:d98mg`f290/?o;5c1c8j6d32o10eoh>:18'7g3=k9k0b>l;:028?lda83:1(>l::b2b?k5e<3;:76g>67594?=njll1<75fc0594?=e;lk1<7?50;2xL6b73-9hi7=k1:m05c<722wi?hl50;394?6|@:n;7)=le;013>i5:?0;66sm3da94???l3>=j77v;9:4591=<213?j68l55b80a?5a2=:18<4;2;60>12=:m09i760=>;08;78<:2:921<413<>6>o53c80g?002?21:449a;4a>3e=>m09<7=k:74900<3>3><69654887e?0b2=h1:k4;c;53>1b=?80<>79<:66930<0>3?>6?m52981=?402;=1>=4=6;0`>62=;<08:7=8:2:97<<4i39i6>m52e81a?4a2::1?<4<2;10>7>=:00>97=k:7491a<3=327=>80?579=:7090d<0;3<869l575850?2d2>?1:84;d;55>00=;l0=;7;8:2d92=<203>;6;7558875?0f215=>j0>o7:;:7f9y!5dm3;=:;5+124953033-;8m7?9649'7f>=92.8o:4l::d:2?k5e<3:07bk8a;29 6d22l2:7c=m4;38?jc013:1(>l::d:2?k5e<3807bk88;29 6d22l2:7c=m4;18?jc0?3:1(>l::d:2?k5e<3>07bk86;29 6d22l2:7c=m4;78?jc0=3:1(>l::d:2?k5e<3<07bk83;29 6d22l2:7c=m4;58?jc0:3:1(>l::d:2?k5e<3207bk81;29 6d22l2:7c=m4;;8?jc083:1(>l::d:2?k5e<3k07bk9f;29 6d22l2:7c=m4;`8?jc1m3:1(>l::d:2?k5e<3i07bk9d;29 6d22l2:7c=m4;f8?jc1k3:1(>l::d:2?k5e<3o07bk9b;29 6d22l2:7c=m4;d8?jc1i3:1(>l::d:2?k5e<3;;76aj6983>!5e=3o3=6`=hm?=1<7*1=?54od45>5<#;k?1i5?4n2`7>45<3fo=97>5$2`6>`>63g9i87?;;:mf21<72-9i97k71:l0f1<6=21di;=50;&0f0ib>90;6)=m5;g;5>h4j=0:565`e4d94?"4j<0n4<5a3c695d=6h6>;o1a0?7d32en9o4?:%1a1?c?92d8n94>d:9la0g=83.8n84j809m7g2=9l10ch;6:18'7g3=m1;0b>l;:0d8?jc203:1(>l::d:2?k5e<38;76aj5683>!5e=3o3=6`=hm<<1<7*1>?54od76>5<#;k?1i5?4n2`7>75<3fo>87>5$2`6>`>63g9i87<;;:mf<5<72-9i97k71:l0f1<5=21di:h50;&0f0ib?j0;6)=m5;g;5>h4j=09565`e6694?"4j<0n4<5a3c696d=6h6>;o1a0?4d32en9>4?:%1a1?c?92d8n94=d:9la04=83.8n84j809m7g2=:l10chom:18'7g3=mk;0b>l;:198k`gf290/?o;5ec38j6d32810cho6:18'7g3=mk;0b>l;:398k`g?290/?o;5ec38j6d32:10cho8:18'7g3=mk;0b>l;:598k`g1290/?o;5ec38j6d32<10cho::18'7g3=mk;0b>l;:798k`g4290/?o;5ec38j6d32>10cho=:18'7g3=mk;0b>l;:998k`g6290/?o;5ec38j6d32010cho?:18'7g3=mk;0b>l;:`98k`?a290/?o;5ec38j6d32k10ch7j:18'7g3=mk;0b>l;:b98k`?c290/?o;5ec38j6d32m10ch7l:18'7g3=mk;0b>l;:d98k`?e290/?o;5ec38j6d32o10ch7n:18'7g3=mk;0b>l;:028?jc>03:1(>l::d`2?k5e<3;:76aj9683>!5e=3oi=6`=hm0<1<7*1=>54od;6>5<#;k?1io?4n2`7>42<3fo287>5$2`6>`d63g9i87?:;:mf=6<72-9i97km1:l0f1<6>21di4<50;&0f0ib0o0;6)=m5;ga5>h4j=0:m65`e9f94?"4j<0nn<5a3c695g=6hl>;o1a0?7c32en4l4?:%1a1?ce92d8n94>e:9la=?=83.8n84jb09m7g2=9o10ch67:18'7g3=mk;0b>l;:328?jc??3:1(>l::d`2?k5e<38:76aj8783>!5e=3oi=6`=hm1?1<7*1>>54od:7>5<#;k?1io?4n2`7>72<3foi<7>5$2`6>`d63g9i87<:;:mfec<72-9i97km1:l0f1<5>21dilk50;&0f0ibi=0;6)=m5;ga5>h4j=09m65`e8;94?"4j<0nn<5a3c696g=6hl>;o1a0?4c32en4?4?:%1a1?ce92d8n94=e:9laad=83.8n84je09m7g2=821diio50;&0f021dii=50;&0f0l;:038?jcd?3:1(>l::dg2?k5e<3;976ajc783>!5e=3on=6`=hmj?1<7*1=954oda7>5<#;k?1ih?4n2`7>43<3foh?7>5$2`6>`c63g9i87?9;:mfg7<72-9i97kj1:l0f1<6?21din?50;&0f0ibjm0;6)=m5;gf5>h4j=0:n65`eca94?"4j<0ni<5a3c695f=6hk>;o1a0?7b32enn44?:%1a1?cb92d8n94>f:9lag>=83.8n84je09m7g2=:910chl8:18'7g3=ml;0b>l;:338?jce>3:1(>l::dg2?k5e<38976ajb483>!5e=3on=6`=hmk>1<7*1>954odg3>5<#;k?1ih?4n2`7>73<3fooj7>5$2`6>`c63g9i87<9;:mf``<72-9i97kj1:l0f1<5?21diij50;&0f0ibk00;6)=m5;gf5>h4j=09n65`ecg94?"4j<0ni<5a3c696f=6hk>;o1a0?4b32em1:l0f1<632em<44?:%1a1?`692d8n94=;:me4=<72-9i97h>1:l0f1<432em<:4?:%1a1?`692d8n94;;:me43<72-9i97h>1:l0f1<232em<84?:%1a1?`692d8n949;:me46<72-9i97h>1:l0f1<032em1:l0f1<>32em<=4?:%1a1?`692d8n94n;:mfbc<72-9i97h>1:l0f11:l0f11:l0f10:9lac>=83.8n84i109m7g2=9810chh8:18'7g3=n8;0b>l;:008?jca>3:1(>l::g32?k5e<3;876ajf483>!5e=3l:=6`=hmo>1<7*1=854odd0>5<#;k?1j40<3fom>7>5$2`6>c763g9i87?8;:mfb4<72-9i97h>1:l0f1<6021dik>50;&0f0ibmj0;6)=m5;d25>h4j=0:o65`ed`94?"4j<0m=<5a3c695a=6k?>;o1a0?7a32eni54?:%1a1?`692d8n94=0:9la`1=83.8n84i109m7g2=:810chk9:18'7g3=n8;0b>l;:308?jcb=3:1(>l::g32?k5e<38876aje583>!5e=3l:=6`=hn8:1<7*1>854og2e>5<#;k?1j70<3fl;i7>5$2`6>c763g9i87<8;:me4a<72-9i97h>1:l0f1<5021dj=m50;&0f0ibml0;6)=m5;d25>h4j=09o65`ed194?"4j<0m=<5a3c696a=6k:>;o1a0?6<3fl8m7>5$2`6>c263g9i87?4;nd0=?6=,:h>6k:>;o1a0?4<3fl847>5$2`6>c263g9i87=4;nd03?6=,:h>6k:>;o1a0?2<3fl8:7>5$2`6>c263g9i87;4;nd01?6=,:h>6k:>;o1a0?0<3fl8?7>5$2`6>c263g9i8794;nd06?6=,:h>6k:>;o1a0?><3fl8=7>5$2`6>c263g9i8774;nd04?6=,:h>6k:>;o1a0?g<3fl9j7>5$2`6>c263g9i87l4;nd1a?6=,:h>6k:>;o1a0?e<3fl9h7>5$2`6>c263g9i87j4;nd1g?6=,:h>6k:>;o1a0?c<3fl9n7>5$2`6>c263g9i87h4;nd1e?6=,:h>6k:>;o1a0?7732em>54?:%1a1?`392d8n94>1:9lb71=83.8n84i409m7g2=9;10ck<9:18'7g3=n=;0b>l;:018?j`5=3:1(>l::g62?k5e<3;?76ai2583>!5e=3l?=6`=hn;91<7*1=;54og01>5<#;k?1j9?4n2`7>41<3fl9=7>5$2`6>c263g9i87?7;:me65<72-9i97h;1:l0f1<6121djc;29 6d22o>:7c=m4;3`?>ia9k0;6)=m5;d75>h4j=0:h65`f0c94?"4j<0m8<5a3c695`=6k:>;o1a0?4732em=:4?:%1a1?`392d8n94=1:9lb40=83.8n84i409m7g2=:;10ck?::18'7g3=n=;0b>l;:318?j`6<3:1(>l::g62?k5e<38?76ai4183>!5e=3l?=6`=hn:l1<7*1>;54og1f>5<#;k?1j9?4n2`7>71<3fl8h7>5$2`6>c263g9i87<7;:me7f<72-9i97h;1:l0f1<5121dj>:50;&0f0290/?o;5f538j6d32;h07bh>e;29 6d22o>:7c=m4;0`?>ia9:0;6)=m5;d75>h4j=09h65`f0094?"4j<0m8<5a3c696`=5<#;k?1j:?4n2`7>4=5<#;k?1j:?4n2`7>6=5<#;k?1j:?4n2`7>0=6=4+3c79b275<#;k?1j:?4n2`7>2=5<#;k?1j:?4n2`7><=5<#;k?1j:?4n2`7>g=5<#;k?1j:?4n2`7>a=5<#;k?1j:?4n2`7>c=4;nd66k9>;o1a0?7632em9:4?:%1a1?`092d8n94>2:9lb00=83.8n84i709m7g2=9:10ck;::18'7g3=n>;0b>l;:068?j`2<3:1(>l::g52?k5e<3;>76ai5283>!5e=3l<=6`=hn<81<7*1=:54og72>5<#;k?1j:?4n2`7>4><3fl><7>5$2`6>c163g9i87?6;:me0c<72-9i97h81:l0f1<6i21dj9j50;&0f0iah4j=0:i65`f5;94?"4j<0m;<5a3c695c=36=4+3c79b274;nd73?6=,:h>6k9>;o1a0?4632em8;4?:%1a1?`092d8n94=2:9lb13=83.8n84i709m7g2=::10ck:;:18'7g3=n>;0b>l;:368?j`083:1(>l::g52?k5e<38>76ai6g83>!5e=3l<=6`=hn?o1<7*1>:54og4g>5<#;k?1j:?4n2`7>7><3fl=o7>5$2`6>c163g9i87<6;:me21<72-9i97h81:l0f1<5i21dj8750;&0f0ia<;0;6)=m5;d45>h4j=09i65`f8`94?"4j<0mm<5a3c694>=hn0k1<7*1=65`f8;94?"4j<0mm<5a3c696>=hn021<7*1?65`f8594?"4j<0mm<5a3c690>=hn0<1<7*1965`f8794?"4j<0mm<5a3c692>=hn091<7*1;65`f8094?"4j<0mm<5a3c69<>=hn0;1<7*1565`f8294?"4j<0mm<5a3c69e>=hn1l1<7*1n65`f9g94?"4j<0mm<5a3c69g>=hn1n1<7*1h65`f9a94?"4j<0mm<5a3c69a>=hn1h1<7*1j65`f9c94?"4j<0mm<5a3c6955=6ko>;o1a0?7532em4;4?:%1a1?`f92d8n94>3:9lb=3=83.8n84ia09m7g2=9=10ck6;:18'7g3=nh;0b>l;:078?j`?;3:1(>l::gc2?k5e<3;=76ai8383>!5e=3lj=6`=hn1;1<7*1=554og:3>5<#;k?1jl?4n2`7>4?<3fl5$2`6>cg63g9i87?n;:me3a<72-9i97hn1:l0f1<6j21dj:m50;&0f0ia?00;6)=m5;db5>h4j=0:j65`f6:94?"4j<0mm<5a3c6965=6ko>;o1a0?4532em;84?:%1a1?`f92d8n94=3:9lb22=83.8n84ia09m7g2=:=10cko?:18'7g3=nh;0b>l;:378?j`>n3:1(>l::gc2?k5e<38=76ai9d83>!5e=3lj=6`=hn0n1<7*1>554og;`>5<#;k?1jl?4n2`7>7?<3fl287>5$2`6>cg63g9i87oemh0;66a>1b094?"4j<0:=no4n2`7>5=5$2`6>47di2d8n94>;:m25f6=83.8n84>1bc8j6d32;10ci69kn1<7*6l;:798k47ei3:1(>l::03`e>h4j=0<76a>1c;94?"4j<0:=no4n2`7>==5$2`6>47di2d8n946;:m25g1=83.8n84>1bc8j6d32h10ci69k?1<7*6l;:d98k47d03:1(>l::03`e>h4j=0m76a>1b594?"4j<0:=no4n2`7>46<3f;:o;4?:%1a1?76kh1e?o:51098k47d=3:1(>l::03`e>h4j=0:>65`10a7>5<#;k?1=l;:068?j76jl0;6)=m5;32gd=i;k>1=854o03a7?6=,:h>621d=i69mh1<7*6l;:398k47c03:1(>l::03f7>h4j=0876a>1e494?"4j<0:=h=4n2`7>1=5$2`6>47b;2d8n94:;:m25a2=83.8n84>1d18j6d32?10ci69m81<7*632e:=i>50;&0f0<69l90b>l;:`98k47dn3:1(>l::03f7>h4j=0i76a>1bg94?"4j<0:=h=4n2`7>f=5$2`6>47b;2d8n94k;:m25`4=83.8n84>1d18j6d32l10ci69l:1<7*4;n32`c<72-9i97?>e29m7g2=9810c=h98no6=4+3c7954c43g9i87?<;:m25ae=83.8n84>1d18j6d328>07b?>d683>!5e=3;:i>5a3c6950=5$2`6>47b;2d8n94>6:9l54ee290/?o;510g0?k5e<3;<76a>1g694?"4j<0:=km4n2`7>5=5$2`6>47ak2d8n94>;:m25c4=83.8n84>1ga8j6d32;10ci69ll1<7*6l;:798k47bk3:1(>l::03eg>h4j=0<76a>1d`94?"4j<0:=km4n2`7>==5$2`6>47ak2d8n946;:m25`?=83.8n84>1ga8j6d32h10ci69l=1<7*6l;:d98k47ai3:1(>l::03eg>h4j=0m76a>1g;94?"4j<0:=km4n2`7>46<3f;:j54?:%1a1?76nj1e?o:51098k47a?3:1(>l::03eg>h4j=0:>65`10d5>5<#;k?1=l;:068?j76n90;6)=m5;32bf=i;k>1=854o03f1?6=,:h>621d=i6:9n1<7*6<<>5:l0f1<632e:>=l50;&0f0<6:8?0b>l;:398k447i3:1(>l::0021>h4j=0876a>21:94?"4j<0:><;4n2`7>1=5$2`6>446=2d8n94:;:m2650=83.8n84>2078j6d32?10c<i6:9>1<7*6<<>5:l0f1<>32e:>=<50;&0f0<6:8?0b>l;:`98k44793:1(>l::0021>h4j=0i76a>21294?"4j<0:><;4n2`7>f=5$2`6>446=2d8n94k;:m2642=83.8n84>2078j6d32l10c<<>3;29 6d2288:96`i6:881<7*4;n3154<72-9i97?=149m7g2=9810c<<>0;29 6d2288:96`=h9;:m6=4+3c7957723g9i87?<;:m265c=83.8n84>2078j6d328>07b?=0883>!5e=3;9=85a3c6950=5$2`6>446=2d8n94>6:9l54`c290/?o;51336?k5e<3;<76a>23494?"4j<0:>?k4n2`7>5=5$2`6>445m2d8n94>;:m2672=83.8n84>23g8j6d32;10c<<=3;29 6d22889i6`i6:;;1<7*6<<=e:l0f1<232e:>l;:798k446m3:1(>l::001a>h4j=0<76a>20f94?"4j<0:>?k4n2`7>==5$2`6>445m2d8n946;:m264d=83.8n84>23g8j6d32h10c<<>a;29 6d22889i6`i6:831<7*6<<=e:l0f1?j50;&0f0<6:;o0b>l;:d98k445k3:1(>l::001a>h4j=0m76a>23`94?"4j<0:>?k4n2`7>46<3f;9>l4?:%1a1?75:l1e?o:51098k44513:1(>l::001a>h4j=0:>65`130;>5<#;k?1=??950;&0f0<6:;o0b>l;:068?j75:;0;6)=m5;316`=i;k>1=854o0023?6=,:h>6<<=e:l0f1<6>21d=??9:18'7g3=9;8n7c=m4;34?>i6::l1<7*6<<;7:l0f1<632e:>>j50;&0f0<6:==0b>l;:398k444k3:1(>l::0073>h4j=0876a>22c94?"4j<0:>994n2`7>1=5$2`6>443?2d8n94:;:m266>=83.8n84>2558j6d32?10c<<<7;29 6d2288?;6`i6::<1<7*6<<;7:l0f1<>32e:>>:50;&0f0<6:==0b>l;:`98k444;3:1(>l::0073>h4j=0i76a>22094?"4j<0:>994n2`7>f=5$2`6>443?2d8n94k;:m2610=83.8n84>2558j6d32l10c<<;5;29 6d2288?;6`i6:=>1<7*4;n3106<72-9i97?=469m7g2=9810c<<;2;29 6d2288?;6`=h9;>:6=4+3c7957203g9i87?<;:m2616=83.8n84>2558j6d328>07b?=3c83>!5e=3;98:5a3c6950=5$2`6>443?2d8n94>6:9l574a290/?o;51364?k5e<3;<76a>24:94?"4j<0:>;>4n2`7>5=;7>5$2`6>44182d8n94>;:m2600=83.8n84>2728j6d32;10c<<:5;29 6d2288=<6`i6:<91<7*6<<90:l0f1<232e:>8?50;&0f0<6:?:0b>l;:798k44283:1(>l::0054>h4j=0<76a>25d94?"4j<0:>;>4n2`7>==5$2`6>44182d8n946;:m261b=83.8n84>2728j6d32h10c<<;c;29 6d2288=<6`i6:=h1<7*6<<90:l0f18h50;&0f0<6:?:0b>l;:d98k442m3:1(>l::0054>h4j=0m76a>24f94?"4j<0:>;>4n2`7>46<3f;99n4?:%1a1?75>91e?o:51098k442j3:1(>l::0054>h4j=0:>65`137b>5<#;k?1=?8?;o1a0?7432e:>8750;&0f0<6:?:0b>l;:068?j75==0;6)=m5;3125=i;k>1=854o007=?6=,:h>6<<90:l0f1<6>21d=?:7:18'7g3=9;<;7c=m4;34?>i6>?h1<75fc4`94?"4j<0h:>5a3c694>=nk1=65fc4;94?"4j<0h:>5a3c696>=nk<21<7*1?65fc4494?"4j<0h:>5a3c690>=nk1965fc4694?"4j<0h:>5a3c692>=nk<91<7*1;65fc4094?"4j<0h:>5a3c69<>=nk<;1<7*1565fc4294?"4j<0h:>5a3c69e>=nk=l1<7*1n65fc5g94?"4j<0h:>5a3c69g>=nk=n1<7*1h65fc7094?"4j<0h:>5a3c69a>=nk?;1<7*1j65fc7294?"4j<0h:>5a3c6955=6n8<;o1a0?7532ch9i4?:%1a1?e1;2d8n94>3:9jg0e=83.8n84l629m7g2=9=10en;8:18'7g3=k?90b>l;:078?le3k3:1(>l::b40?k5e<3;=76gl4c83>!5e=3i=?6`=nk>>1<7*1<65fc6194?"4j<0h;n5a3c695>=nk>81<7*1>65fc6394?"4j<0h;n5a3c697>=nk?l1<7*1865fc7g94?"4j<0h;n5a3c691>=nk?n1<7*1:65fc7a94?"4j<0h;n5a3c693>=nk?h1<7*1465fc7c94?"4j<0h;n5a3c69=>=nk?31<7*1m65fc7:94?"4j<0h;n5a3c69f>=nk?=1<7*1o65fc7494?"4j<0h;n5a3c69`>=nk>h1<7*1i65fc6c94?"4j<0h;n5a3c69b>=nk>31<7*1==54ib5;>5<#;k?1o:m4n2`7>47<3`i<;7>5$2`6>f1d3g9i87?=;:k`33<72-9i97m8c:l0f1<6;21bo:;50;&0f0od>=0;6)=m5;a4g>h4j=0:;65fc9f94?"4j<0h585a3c694>=nk1i1<7*1=65fc9`94?"4j<0h585a3c696>=nk1k1<7*1?65fc9:94?"4j<0h585a3c690>=nk1=1<7*1965fc9494?"4j<0h585a3c692>=nk1?1<7*1;65fc9694?"4j<0h585a3c69<>=nk191<7*1565fc9094?"4j<0h585a3c69e>=nk1;1<7*1n65fc9294?"4j<0h585a3c69g>=nk>l1<7*1h65fc8694?"4j<0h585a3c69a>=nk091<7*1j65fc8094?"4j<0h585a3c6955=6n7:;o1a0?7532ch4k4?:%1a1?e>=2d8n94>3:9jg=c=83.8n84l949m7g2=9=10en66:18'7g3=k0?0b>l;:078?le0m3:1(>l::b;6?k5e<3;=76gl7e83>!5e=3i296`=nkh<1<7*1<65fc`794?"4j<0hmh5a3c695>=nkh>1<7*1>65fc`194?"4j<0hmh5a3c697>=nkh;1<7*1865fc`294?"4j<0hmh5a3c691>=nk0l1<7*1:65fc8g94?"4j<0hmh5a3c693>=nk0n1<7*1465fc8a94?"4j<0hmh5a3c69=>=nk0h1<7*1m65fc8c94?"4j<0hmh5a3c69f>=nk031<7*1o65fc8:94?"4j<0hmh5a3c69`>=nkhn1<7*1i65fc`a94?"4j<0hmh5a3c69b>=nkhh1<7*1==54ibcb>5<#;k?1olk4n2`7>47<3`ij57>5$2`6>fgb3g9i87?=;:k`e=<72-9i97mne:l0f1<6;21bol950;&0f0od1?0;6)=m5;aba>h4j=0:;65fccd94?"4j<0ho:5a3c694>=nkko1<7*1=65fccf94?"4j<0ho:5a3c696>=nkki1<7*1?65fccc94?"4j<0ho:5a3c690>=nkk31<7*1965fcc:94?"4j<0ho:5a3c692>=nkk=1<7*1;65fcc494?"4j<0ho:5a3c69<>=nkk?1<7*1565fcc694?"4j<0ho:5a3c69e>=nkk91<7*1n65fcc094?"4j<0ho:5a3c69g>=nkk;1<7*1h65fcb494?"4j<0ho:5a3c69a>=nkj?1<7*1j65fcb694?"4j<0ho:5a3c6955=6nm8;o1a0?7532cho<4?:%1a1?ed?2d8n94>3:9jgf6=83.8n84lc69m7g2=9=10enlm:18'7g3=kj=0b>l;:078?lee83:1(>l::ba4?k5e<3;=76glag83>!5e=3ih;6`=h9?5<#;k?1oh>4n2`7>5=5<#;k?1oh>4n2`7>7=6=4+3c79g`654ibf0>5<#;k?1oh>4n2`7>1=5<#;k?1oh>4n2`7>3=5<#;k?1oh>4n2`7>==5<#;k?1oh>4n2`7>d=5<#;k?1oh>4n2`7>f=5<#;k?1oh>4n2`7>`=5<#;k?1oh>4n2`7>46<3`ioo7>5$2`6>fc73g9i87?>;:k``g<72-9i97mj0:l0f1<6:21boio50;&0f0290/?o;5cd28j6d328>07dmk4;29 6d22jo;7c=m4;36?>odk00;6)=m5;af4>h4j=0::65fcb:94?"4j<0hi=5a3c6952=5<#;k?1ok74n2`7>4=5<#;k?1ok74n2`7>6=5<#;k?1ok74n2`7>0=5<#;k?1ok74n2`7>2=5<#;k?1ok74n2`7><=5<#;k?1ok74n2`7>g=5<#;k?1ok74n2`7>a=5<#;k?1ok74n2`7>c=4;hae1?6=,:h>6nh6;o1a0?7632chj94?:%1a1?ea12d8n94>2:9jgc5=83.8n84lf89m7g2=9:10enh=:18'7g3=ko30b>l;:068?lebl3:1(>l::bd:?k5e<3;>76gle383>!5e=3im56`=nkl;1<7*1=:54ib36>5<#;k?1o<84n2`7>5=h6=4+3c79bfg5<#;k?1jno4n2`7>4=j6=4+3c79bfg5<#;k?1jno4n2`7>6=36=4+3c79bfg5<#;k?1jno4n2`7>0==6=4+3c79bfg5<#;k?1jno4n2`7>2=86=4+3c79bfg5<#;k?1jno4n2`7><=:6=4+3c79bfg5<#;k?1jno4n2`7>g=5<#;k?1jno4n2`7>a=5<#;k?1jno4n2`7>c=4;ng0=?6=,:h>6kmn;o1a0?7632en?54?:%1a1?`di2d8n94>2:9la61=83.8n84ic`9m7g2=9:10ch=9:18'7g3=njk0b>l;:068?jc4=3:1(>l::gab?k5e<3;>76aj3583>!5e=3lhm6`=hm:91<7*1=:54od11>5<#;k?1jno4n2`7>4><3fo8=7>5$2`6>cef3g9i87?6;:mf75<72-9i97hla:l0f1<6i21di?k50;&0f0ib:k0;6)=m5;d`e>h4j=0:i65`e3c94?"4j<0mol5a3c695c=4;ng16kmn;o1a0?4632en>:4?:%1a1?`di2d8n94=2:9la70=83.8n84ic`9m7g2=::10ch<::18'7g3=njk0b>l;:368?jc293:1(>l::gab?k5e<38>76aj5183>!5e=3lhm6`=hm=l1<7*1>:54od6f>5<#;k?1jno4n2`7>7><3fo?h7>5$2`6>cef3g9i87<6;:mf00<72-9i97hla:l0f1<5i21di>o50;&0f0ib::0;6)=m5;d`e>h4j=09i65`fg`94?"4j<0:5=3g9i87?4;nde=?6=,:h>6<>=9:l0f1<532emj54?:%1a1?77:01e?o:53:9lbc1=83.8n84>03;8j6d32=10ckh9:18'7g3=99827c=m4;78?j`a=3:1(>l::021=>h4j=0=76aif283>!5e=3;;>45a3c693>=hno81<7*5<#;k?1==<6;o1a0??<3flm<7>5$2`6>46512d8n94n;:meac<72-9i97??289m7g2=j21djhk50;&0f0<68;30b>l;:b98kccc290/?o;5110:?k5e<3n07bhjc;29 6d228:956`iamk0;6)=m5;336<=i;k>1j65`fdc94?"4j<0:46<3fln47>5$2`6>46512d8n94>1:9lb`1=83.8n84>03;8j6d328807bhj6;29 6d228:956`=hnl?1<7*6<>=9:l0f1<6=21djh=50;&0f0<68;30b>l;:048?j`b:3:1(>l::021=>h4j=0:;65`fd394?"4j<0:4><3fln<7>5$2`6>46512d8n94>9:9lba`=83.8n84>03;8j6d328k07bhkd;29 6d228:956`=hnmi1<7*6<>=9:l0f1<6l21djio50;&0f0<68;30b>l;:0g8?j`c13:1(>l::021=>h4j=0:j65`fe:94?"4j<0:76<3flo;7>5$2`6>46512d8n94=1:9lba0=83.8n84>03;8j6d32;807bhk5;29 6d228:956`=hnm>1<7*ianl0;6)=m5;336<=i;k>1>:54ogdg>5<#;k?1==<6;o1a0?4?32emjn4?:%1a1?77:01e?o:52898kc`3290/?o;5110:?k5e<38j76aie883>!5e=3;;>45a3c696g=3g9i87i686<>90:l0f1<632e:<8650;&0f0<68?:0b>l;:398k462?3:1(>l::0254>h4j=0876a>04494?"4j<0:<;>4n2`7>1=97>5$2`6>46182d8n94:;:m2402=83.8n84>0728j6d32?10c<>:2;29 6d228:=<6`i68<;1<7*6<>90:l0f1<>32e:<9h50;&0f0<68?:0b>l;:`98k463m3:1(>l::0254>h4j=0i76a>05f94?"4j<0:<;>4n2`7>f=5$2`6>46182d8n94k;:m241d=83.8n84>0728j6d32l10c<>;a;29 6d228:=<6`i68=31<7*4;n3302<72-9i97??619m7g2=9810c<>;6;29 6d228:=<6`=h99>>6=4+3c7955073g9i87?<;:m2412=83.8n84>0728j6d328>07b??4283>!5e=3;;:=5a3c6950=7>5$2`6>46182d8n94>6:9l5526290/?o;51143?k5e<3;<76a>05294?"4j<0:<;>4n2`7>4><3f;;?k4?:%1a1?77>91e?o:51898k464m3:1(>l::0254>h4j=0:m65`111`>5<#;k?1==8?;o1a0?7e32e:<>l50;&0f0<68?:0b>l;:0a8?j77;h0;6)=m5;3325=i;k>1=i54o020=?6=,:h>6<>90:l0f1<6m21d===7:18'7g3=99<;7c=m4;3e?>i68:=1<7*4;n3373<72-9i97??619m7g2=:810c<><5;29 6d228:=<6`=h999?6=4+3c7955073g9i87<<;:m2465=83.8n84>0728j6d32;>07b??5g83>!5e=3;;:=5a3c6960=i7>5$2`6>46182d8n94=6:9l553c290/?o;51143?k5e<38<76a>04a94?"4j<0:<;>4n2`7>7><3f;;9o4?:%1a1?77>91e?o:52898k462;3:1(>l::0254>h4j=09m65`116;>5<#;k?1==8?;o1a0?4e32e:<>j50;&0f0<68?:0b>l;:3a8?j77;;0;6)=m5;3325=i;k>1>i54o0205?6=,:h>6<>90:l0f1<5m21do<750;9l55>f290/?o;511;3?k5e<3:07b??8883>!5e=3;;5=5a3c695>=h99236=4+3c7955?73g9i87<4;n33<2<72-9i97??919m7g2=;21d==69:18'7g3=993;7c=m4;68?j770<0;6)=m5;33=5=i;k>1965`11:7>5<#;k?1==7?;o1a0?0<3f;;4?4?:%1a1?77191e?o:57:9l55>6290/?o;511;3?k5e<3207b??8183>!5e=3;;5=5a3c69=>=h99=m6=4+3c7955?73g9i87o4;n333`<72-9i97??919m7g2=j21d==9k:18'7g3=993;7c=m4;a8?j77?j0;6)=m5;33=5=i;k>1h65`115a>5<#;k?1==7?;o1a0?c<3f;;;l4?:%1a1?77191e?o:5f:9l551>290/?o;511;3?k5e<3;;76a>06594?"4j<0:<4>4n2`7>47<3f;;;;4?:%1a1?77191e?o:51398k460=3:1(>l::02:4>h4j=0:?65`1157>5<#;k?1==7?;o1a0?7332e:<:=50;&0f0<680:0b>l;:078?j77?;0;6)=m5;33=5=i;k>1=;54o0245?6=,:h>6<>60:l0f1<6?21d==9?:18'7g3=993;7c=m4;3;?>i68?l1<7*9c;29 6d228:2<6`=h990828j6d328n07b??6883>!5e=3;;5=5a3c695`=5$2`6>46>82d8n94>f:9l5500290/?o;511;3?k5e<38;76a>07494?"4j<0:<4>4n2`7>77<3f;;:84?:%1a1?77191e?o:52398k461<3:1(>l::02:4>h4j=09?65`1140>5<#;k?1==7?;o1a0?4332e:<5h50;&0f0<680:0b>l;:378?j770l0;6)=m5;33=5=i;k>1>;54o02;`?6=,:h>6<>60:l0f1<5?21d==6l:18'7g3=993;7c=m4;0;?>i681h1<7*88;29 6d228:2<6`=h990828j6d32;n07b??6083>!5e=3;;5=5a3c696`=86=4+3c79`5?5<#;k?1h=74n2`7>4=:6=4+3c79`5?5<#;k?1h=74n2`7>6=5<#;k?1h=74n2`7>0=5<#;k?1h=74n2`7>2=5<#;k?1h=74n2`7><=5<#;k?1h=74n2`7>g=5<#;k?1h=74n2`7>a=j6=4+3c79`5?5<#;k?1h=74n2`7>c=36=4+3c79`5?4;ha73?6=,:h>6i>6;o1a0?7632ch8;4?:%1a1?b712d8n94>2:9jg13=83.8n84k089m7g2=9:10en:;:18'7g3=l930b>l;:068?le4n3:1(>l::e2:?k5e<3;>76gl3583>!5e=3n;56`=nk:91<7*1=:54ie00>5<#;k?1h>o4n2`7>5=5<#;k?1h>o4n2`7>7=54ie3f>5<#;k?1h>o4n2`7>1=5<#;k?1h>o4n2`7>3=5<#;k?1h>o4n2`7>==5<#;k?1h>o4n2`7>d=5<#;k?1h>o4n2`7>f=6=4+3c79`6g5<#;k?1h>o4n2`7>`=5<#;k?1h>o4n2`7>46<3`n9;7>5$2`6>a5f3g9i87?>;:kg63<72-9i97j07dj>f;29 6d22m9j7c=m4;36?>oc9=0;6)=m5;f0e>h4j=0::65fd0194?"4j<0o?l5a3c6952=5$2`6>46d82d8n94?;:m24g?=83.8n84>0b28j6d32810c<>m8;29 6d228:h<6`i68k=1<7*54o02a2?6=,:h>6<>l0:l0f1<332e:l;:498k46e<3:1(>l::02`4>h4j=0=76a>0c094?"4j<0:4n2`7>2=5$2`6>46d82d8n947;:m24g6=83.8n84>0b28j6d32010c<>nf;29 6d228:h<6`i68ho1<7*6<>l0:l0f1l;:e98k46fj3:1(>l::02`4>h4j=0n76a>0`c94?"4j<0:4n2`7>c=5$2`6>46d82d8n94>0:9l55g0290/?o;511a3?k5e<3;:76a>0`494?"4j<0:4n2`7>44<3f;;m84?:%1a1?77k91e?o:51298k46f<3:1(>l::02`4>h4j=0:865`11c0>5<#;k?1==m?;o1a0?7232e:l;:048?j77i80;6)=m5;33g5=i;k>1=:54o02b4?6=,:h>6<>l0:l0f1<6021d==7i:18'7g3=99i;7c=m4;3:?>i680o1<7*6b;29 6d228:h<6`=h993j6=4+3c7955e73g9i87?k;:m240b28j6d328o07b??9983>!5e=3;;o=5a3c695c=5$2`6>46d82d8n94=0:9l55?1290/?o;511a3?k5e<38:76a>08794?"4j<0:4n2`7>74<3f;;594?:%1a1?77k91e?o:52298k46>;3:1(>l::02`4>h4j=09865`11`e>5<#;k?1==m?;o1a0?4232e:l;:348?j77jm0;6)=m5;33g5=i;k>1>:54o02ag?6=,:h>6<>l0:l0f1<5021d==lm:18'7g3=99i;7c=m4;0:?>i68k91<7*6d;29 6d228:h<6`=h99396=4+3c7955e73g9i870b28j6d32;o07dj:4;29 6d22m?h7c=m4;28?lb2;3:1(>l::e7`?k5e<3;07dj:2;29 6d22m?h7c=m4;08?lb293:1(>l::e7`?k5e<3907dj;f;29 6d22m?h7c=m4;68?lb3m3:1(>l::e7`?k5e<3?07dj;d;29 6d22m?h7c=m4;48?lb3k3:1(>l::e7`?k5e<3=07dj;b;29 6d22m?h7c=m4;:8?lb3i3:1(>l::e7`?k5e<3307dj;9;29 6d22m?h7c=m4;c8?lb303:1(>l::e7`?k5e<3h07dj;7;29 6d22m?h7c=m4;a8?lb3>3:1(>l::e7`?k5e<3n07dj:b;29 6d22m?h7c=m4;g8?lb2i3:1(>l::e7`?k5e<3l07dj:9;29 6d22m?h7c=m4;33?>oc=10;6)=m5;f6g>h4j=0:=65fd4594?"4j<0o9n5a3c6957=6i;l;o1a0?7332co9=4?:%1a1?b2k2d8n94>5:9j`13=83.8n84k5b9m7g2=9?10ei:;:18'7g3=ll;:058?j77mh0;6)=m5;33b5=i;k>1<65`11g:>5<#;k?1==h?;o1a0?7<3f;;i54?:%1a1?77n91e?o:52:9l55c0290/?o;511d3?k5e<3907b??e783>!5e=3;;j=5a3c690>=h99o>6=4+3c7955`73g9i87;4;n33a1<72-9i97??f19m7g2=>21d==k=:18'7g3=99l;7c=m4;58?j77m80;6)=m5;33b5=i;k>1465`11g3>5<#;k?1==h?;o1a0??<3f;;hk4?:%1a1?77n91e?o:5a:9l55bb290/?o;511d3?k5e<3h07b??de83>!5e=3;;j=5a3c69g>=h99nh6=4+3c7955`73g9i87j4;n33`g<72-9i97??f19m7g2=m21d==jn:18'7g3=99l;7c=m4;d8?j77l00;6)=m5;33b5=i;k>1==54o02g3?6=,:h>6<>i0:l0f1<6921d==j9:18'7g3=99l;7c=m4;31?>i68m?1<7*k3;29 6d228:m<6`=h99n96=4+3c7955`73g9i87?9;:m24a7=83.8n84>0g28j6d328=07b??d183>!5e=3;;j=5a3c695==5$2`6>46a82d8n94>9:9l55eb290/?o;511d3?k5e<3;j76a>0ba94?"4j<0:4n2`7>4d<3f;;oo4?:%1a1?77n91e?o:51b98k46di3:1(>l::02e4>h4j=0:h65`11a:>5<#;k?1==h?;o1a0?7b32e:l;:0d8?j77k>0;6)=m5;33b5=i;k>1>=54o02`2?6=,:h>6<>i0:l0f1<5921d==m::18'7g3=99l;7c=m4;01?>i68j>1<7*jf;29 6d228:m<6`=h99on6=4+3c7955`73g9i87<9;:m24`b=83.8n84>0g28j6d32;=07b??eb83>!5e=3;;j=5a3c696==5$2`6>46a82d8n94=9:9l55c4290/?o;511d3?k5e<38j76a>0e:94?"4j<0:4n2`7>7d<3f;;oi4?:%1a1?77n91e?o:52b98k46d:3:1(>l::02e4>h4j=09h65`11a2>5<#;k?1==h?;o1a0?4b32co:i4?:%1a1?b0=2d8n94?;:kg2f<72-9i97j85:l0f1<632co:o4?:%1a1?b0=2d8n94=;:kg2d<72-9i97j85:l0f1<432co:54?:%1a1?b0=2d8n94;;:kg22<72-9i97j85:l0f1<232co:;4?:%1a1?b0=2d8n949;:kg20<72-9i97j85:l0f1<032co:94?:%1a1?b0=2d8n947;:kg26<72-9i97j85:l0f1<>32co:?4?:%1a1?b0=2d8n94n;:kg24<72-9i97j85:l0f10:9j`27=83.8n84k749m7g2=9810ei9?:18'7g3=l>?0b>l;:008?lb1n3:1(>l::e56?k5e<3;876gk6d83>!5e=3n<96`=nl?31<7*1=854ie7f>5<#;k?1h:;4n2`7>40<3`n>h7>5$2`6>a123g9i87?8;:m254g=83.8n84>1328j6d32910c9;29 6d228;9<6`i69821<7*6l;:598k476=3:1(>l::0314>h4j=0>76a>10694?"4j<0:=?>4n2`7>3=7>5$2`6>47582d8n948;:m2547=83.8n84>1328j6d32110c0;29 6d228;9<6`i699l1<7*6l;:b98k477k3:1(>l::0314>h4j=0o76a>11`94?"4j<0:=?>4n2`7>`=5$2`6>47582d8n94i;:m255?=83.8n84>1328j6d328:07b?>0683>!5e=3;:>=5a3c6954=5$2`6>47582d8n94>2:9l5462290/?o;51003?k5e<3;876a>11694?"4j<0:=?>4n2`7>42<3f;:<>4?:%1a1?76:91e?o:51498k477:3:1(>l::0314>h4j=0::65`1022>5<#;k?1=<50;&0f0<69;:0b>l;:0:8?j77no0;6)=m5;3265=i;k>1=454o02ea?6=,:h>6i68oh1<7*219m7g2=9m10c<>i9;29 6d228;9<6`=h99l36=4+3c7954473g9i87?i;:m24c1=83.8n84>1328j6d32;:07b??f783>!5e=3;:>=5a3c6964=5$2`6>47582d8n94=2:9l55`3290/?o;51003?k5e<38876a>0g194?"4j<0:=?>4n2`7>72<3f;:=k4?:%1a1?76:91e?o:52498k476m3:1(>l::0314>h4j=09:65`103g>5<#;k?1=<l;:3:8?j769k0;6)=m5;3265=i;k>1>454o0327?6=,:h>67:18'7g3=988;7c=m4;0a?>i68on1<7*219m7g2=:m10c<>i1;29 6d228;9<6`=nl1<1<7*1<65fd9794?"4j<0o4h5a3c695>=nl1>1<7*1>65fd9194?"4j<0o4h5a3c697>=nl1;1<7*1865fd9294?"4j<0o4h5a3c691>=nl>l1<7*1:65fd6g94?"4j<0o4h5a3c693>=nl>n1<7*1465fd6a94?"4j<0o4h5a3c69=>=nl>h1<7*1m65fd6c94?"4j<0o4h5a3c69f>=nl>31<7*1o65fd6:94?"4j<0o4h5a3c69`>=nl1n1<7*1i65fd9a94?"4j<0o4h5a3c69b>=nl1h1<7*1==54ie:b>5<#;k?1h5k4n2`7>47<3`n357>5$2`6>a>b3g9i87?=;:kg<=<72-9i97j7e:l0f1<6;21bh5950;&0f05290/?o;5d9g8j6d328?07dj87;29 6d22m2n7c=m4;35?>oc??0;6)=m5;f;a>h4j=0:;65`106b>5<#;k?1=<;?;o1a0?6<3f;:844?:%1a1?76=91e?o:51:9l542?290/?o;51073?k5e<3807b?>4683>!5e=3;:9=5a3c697>=h98>=6=4+3c7954373g9i87:4;n3200<72-9i97?>519m7g2==21d=<:;:18'7g3=98?;7c=m4;48?j76<;0;6)=m5;3215=i;k>1;65`1062>5<#;k?1=<;?;o1a0?><3f;:8=4?:%1a1?76=91e?o:59:9l545a290/?o;51073?k5e<3k07b?>3d83>!5e=3;:9=5a3c69f>=h989o6=4+3c7954373g9i87m4;n327f<72-9i97?>519m7g2=l21d=<=m:18'7g3=98?;7c=m4;g8?j76;h0;6)=m5;3215=i;k>1j65`101:>5<#;k?1=<;?;o1a0?7732e:=>950;&0f0<69<:0b>l;:038?j76;?0;6)=m5;3215=i;k>1=?54o0301?6=,:h>6i69:91<7*519m7g2=9?10c<6`=h989;6=4+3c7954373g9i87?7;:m257`=83.8n84>1428j6d328307b?>2d83>!5e=3;:9=5a3c695d=5$2`6>47282d8n94>b:9l544e290/?o;51073?k5e<3;h76a>13c94?"4j<0:=8>4n2`7>4b<3f;:>44?:%1a1?76=91e?o:51d98k47503:1(>l::0364>h4j=0:j65`1004>5<#;k?1=<;?;o1a0?4732e:=?850;&0f0<69<:0b>l;:338?j76:<0;6)=m5;3215=i;k>1>?54o0310?6=,:h>6i69=l1<7*519m7g2=:?10c<6`=h98>h6=4+3c7954373g9i87<7;:m251d=83.8n84>1428j6d32;307b?>4283>!5e=3;:9=5a3c696d=5$2`6>47282d8n94=b:9l544c290/?o;51073?k5e<38h76a>13094?"4j<0:=8>4n2`7>7b<3f;:><4?:%1a1?76=91e?o:52d98ma?a290/?o;5d`58j6d32910ei7j:18'7g3=lh=0b>l;:098ma?c290/?o;5d`58j6d32;10ei7l:18'7g3=lh=0b>l;:298ma?f290/?o;5d`58j6d32=10ei76:18'7g3=lh=0b>l;:498ma??290/?o;5d`58j6d32?10ei78:18'7g3=lh=0b>l;:698ma?1290/?o;5d`58j6d32110ei7::18'7g3=lh=0b>l;:898ma?3290/?o;5d`58j6d32h10ei7<:18'7g3=lh=0b>l;:c98ma?5290/?o;5d`58j6d32j10ei7>:18'7g3=lh=0b>l;:e98mag1290/?o;5d`58j6d32l10eio::18'7g3=lh=0b>l;:g98mag3290/?o;5d`58j6d328:07djn3;29 6d22mk<7c=m4;32?>oci;0;6)=m5;fb3>h4j=0:>65fd`394?"4j<0om:5a3c6956=6io8;o1a0?7232co5=4?:%1a1?bf?2d8n94>6:9j`=`=83.8n84ka69m7g2=9>10ci69>31<7*6l;:298k470>3:1(>l::03;4>h4j=0?76a>16794?"4j<0:=5>4n2`7>0=5$2`6>47?82d8n949;:m2524=83.8n84>1928j6d32>10ci69>:1<7*6l;:c98k471l3:1(>l::03;4>h4j=0h76a>17a94?"4j<0:=5>4n2`7>a=5$2`6>47?82d8n94j;:m253g=83.8n84>1928j6d32o10c=h98<<6=4+3c7954>73g9i87?>;:m2530=83.8n84>1928j6d328807b?>6483>!5e=3;:4=5a3c6956=5$2`6>47?82d8n94>4:9l5404290/?o;510:3?k5e<3;>76a>17094?"4j<0:=5>4n2`7>40<3f;::<4?:%1a1?76091e?o:51698k47183:1(>l::03;4>h4j=0:465`107e>5<#;k?1=<6?;o1a0?7>32e:=8k50;&0f0<691:0b>l;:0c8?j76=j0;6)=m5;32<5=i;k>1=o54o036f?6=,:h>6i69<31<7*819m7g2=9o10c=h98?=6=4+3c7954>73g9i87<>;:m2503=83.8n84>1928j6d32;807b?>5583>!5e=3;:4=5a3c6966=?7>5$2`6>47?82d8n94=4:9l541a290/?o;510:3?k5e<38>76a>16g94?"4j<0:=5>4n2`7>70<3f;:;i4?:%1a1?76091e?o:52698k470k3:1(>l::03;4>h4j=09465`105a>5<#;k?1=<6?;o1a0?4>32e:=:=50;&0f0<691:0b>l;:3c8?j76>10;6)=m5;32<5=i;k>1>o54o036`?6=,:h>6i69<;1<7*6im?;o1a0?6<3`ni;7>5$2`6>ae73g9i87?4;hfa2?6=,:h>6im?;o1a0?4<3`ni97>5$2`6>ae73g9i87=4;hfa7?6=,:h>6im?;o1a0?2<3`ni>7>5$2`6>ae73g9i87;4;hfa5?6=,:h>6im?;o1a0?0<3`ni<7>5$2`6>ae73g9i8794;hfbb?6=,:h>6im?;o1a0?><3`nji7>5$2`6>ae73g9i8774;hfb`?6=,:h>6im?;o1a0?g<3`njo7>5$2`6>ae73g9i87l4;hfbf?6=,:h>6im?;o1a0?e<3`njm7>5$2`6>ae73g9i87j4;hfab?6=,:h>6im?;o1a0?c<3`nii7>5$2`6>ae73g9i87h4;hfa`?6=,:h>6im?;o1a0?7732conn4?:%1a1?bd82d8n94>1:9j`gd=83.8n84kc19m7g2=9;10eiln:18'7g3=lj:0b>l;:018?lbe13:1(>l::ea3?k5e<3;?76gkb583>!5e=3nh<6`=nlh31<7*1=;54iec;>5<#;k?1hn>4n2`7>41<3`no=7>5$2`6>ab>3g9i87>4;hfg4?6=,:h>6ij6;o1a0?7<3`nhj7>5$2`6>ab>3g9i87<4;hf`a?6=,:h>6ij6;o1a0?5<3`nho7>5$2`6>ab>3g9i87:4;hf`f?6=,:h>6ij6;o1a0?3<3`nhm7>5$2`6>ab>3g9i8784;hf`=?6=,:h>6ij6;o1a0?1<3`nh47>5$2`6>ab>3g9i8764;hf`3?6=,:h>6ij6;o1a0??<3`nh:7>5$2`6>ab>3g9i87o4;hf`1?6=,:h>6ij6;o1a0?d<3`nh87>5$2`6>ab>3g9i87m4;hf`7?6=,:h>6ij6;o1a0?b<3`no47>5$2`6>ab>3g9i87k4;hfg3?6=,:h>6ij6;o1a0?`<3`no:7>5$2`6>ab>3g9i87??;:kg`0<72-9i97jk9:l0f1<6921bhi:50;&0f0ockm0;6)=m5;fg=>h4j=0:965fdb094?"4j<0oh45a3c6953=6ih=;o1a0?6<3`nn57>5$2`6>a`53g9i87?4;hff6ih=;o1a0?4<3`nn;7>5$2`6>a`53g9i87=4;hff1?6=,:h>6ih=;o1a0?2<3`nn87>5$2`6>a`53g9i87;4;hff7?6=,:h>6ih=;o1a0?0<3`nn>7>5$2`6>a`53g9i8794;hff5?6=,:h>6ih=;o1a0?><3`nn<7>5$2`6>a`53g9i8774;hfgb?6=,:h>6ih=;o1a0?g<3`noi7>5$2`6>a`53g9i87l4;hfg`?6=,:h>6ih=;o1a0?e<3`noo7>5$2`6>a`53g9i87j4;hfe5?6=,:h>6ih=;o1a0?c<3`nm<7>5$2`6>a`53g9i87h4;hffb?6=,:h>6ih=;o1a0?7732coih4?:%1a1?ba:2d8n94>1:9j``b=83.8n84kf39m7g2=9;10eikl:18'7g3=lo80b>l;:018?lbbj3:1(>l::ed1?k5e<3;?76gke783>!5e=3nm>6`=nlmh1<7*1=;54iefb>5<#;k?1hk<4n2`7>41<3f;8n?4?:%1a1?74j11e?o:50:9l56d6290/?o;512`;?k5e<3;07b?!5e=3;8n55a3c696>=h9:km6=4+3c7956d?3g9i87=4;n30e`<72-9i97?ok:18'7g3=9:h37c=m4;78?j74ij0;6)=m5;30f==i;k>1:65`12cb>5<#;k?1=>l7;o1a0?1<3f;8m44?:%1a1?74j11e?o:58:9l56g?290/?o;512`;?k5e<3307b?!5e=3;8n55a3c69e>=h9:k=6=4+3c7956d?3g9i87l4;n30e0<72-9i97?o;:18'7g3=9:h37c=m4;f8?j74i:0;6)=m5;30f==i;k>1i65`12c1>5<#;k?1=>l7;o1a0?`<3f;8m<4?:%1a1?74j11e?o:51198k45>n3:1(>l::01a<>h4j=0:=65`12;f>5<#;k?1=>l7;o1a0?7532e:?4j50;&0f0<6;k20b>l;:018?j741j0;6)=m5;30f==i;k>1=954o01:f?6=,:h>6<=m8:l0f1<6=21d=>7n:18'7g3=9:h37c=m4;35?>i6;031<7*=h9:3=6=4+3c7956d?3g9i87?n;:m27<2=83.8n84>3c:8j6d328h07b?<9283>!5e=3;8n55a3c695f=7>5$2`6>45e02d8n94>d:9l56?6290/?o;512`;?k5e<3;n76a>38294?"4j<0:?o64n2`7>4`<3f;84k4?:%1a1?74j11e?o:52198k45?m3:1(>l::01a<>h4j=09=65`12:g>5<#;k?1=>l7;o1a0?4532e:?5m50;&0f0<6;k20b>l;:318?j740k0;6)=m5;30f==i;k>1>954o01a3?6=,:h>6<=m8:l0f1<5=21d=>l9:18'7g3=9:h37c=m4;05?>i6;k?1<7*=h9:ki6=4+3c7956d?3g9i873c:8j6d32;h07b?<9483>!5e=3;8n55a3c696f=5$2`6>45e02d8n94=d:9l56>>290/?o;512`;?k5e<38n76a>3d094?"4j<0:?h64n2`7>5=5$2`6>45b02d8n94>;:m27`6=83.8n84>3d:8j6d32;10c<=kf;29 6d2289n46`i6;mo1<7*6<=j8:l0f1<232e:?im50;&0f0<6;l20b>l;:798k45ci3:1(>l::01f<>h4j=0<76a>3e;94?"4j<0:?h64n2`7>==5$2`6>45b02d8n946;:m27a1=83.8n84>3d:8j6d32h10c<=k6;29 6d2289n46`i6;m?1<7*6<=j8:l0f1l;:d98k45c:3:1(>l::01f<>h4j=0m76a>3e394?"4j<0:?h64n2`7>46<3f;8ok4?:%1a1?74m11e?o:51098k45dm3:1(>l::01f<>h4j=0:>65`12ag>5<#;k?1=>k7;o1a0?7432e:?nm50;&0f0<6;l20b>l;:068?j74kk0;6)=m5;30a==i;k>1=854o01`e?6=,:h>6<=j8:l0f1<6>21d=>m6:18'7g3=9:o37c=m4;34?>i6;j21<7*=h9:i?6=4+3c7956c?3g9i87?m;:m27f5=83.8n84>3d:8j6d328i07b?!5e=3;8i55a3c695a=5$2`6>45b02d8n94>e:9l56e7290/?o;512g;?k5e<3;m76a>3cd94?"4j<0:?h64n2`7>76<3f;8nh4?:%1a1?74m11e?o:52098k45el3:1(>l::01f<>h4j=09>65`12``>5<#;k?1=>k7;o1a0?4432e:?ol50;&0f0<6;l20b>l;:368?j74m>0;6)=m5;30a==i;k>1>854o01f2?6=,:h>6<=j8:l0f1<5>21d=>k::18'7g3=9:o37c=m4;04?>i6;l>1<7*=h9:n;6=4+3c7956c?3g9i873d:8j6d32;i07b?!5e=3;8i55a3c696a=5$2`6>45b02d8n94=e:9l5175290/?o;5153;?k5e<3:07b?;1083>!5e=3;?=55a3c695>=h9=;;6=4+3c79517?3g9i87<4;n374c<72-9i97?;199m7g2=;21d=9>j:18'7g3=9=;37c=m4;68?j738m0;6)=m5;375==i;k>1965`152`>5<#;k?1=9?7;o1a0?0<3f;?290/?o;5153;?k5e<3207b?;0983>!5e=3;?=55a3c69=>=h9=:<6=4+3c79517?3g9i87o4;n3743<72-9i97?;199m7g2=j21d=9>::18'7g3=9=;37c=m4;a8?j738=0;6)=m5;375==i;k>1h65`1520>5<#;k?1=9?7;o1a0?c<3f;?3gd94?"4j<0:8<64n2`7>47<3f;8jh4?:%1a1?73911e?o:51398k45al3:1(>l::062<>h4j=0:?65`12d`>5<#;k?1=9?7;o1a0?7332e:?kl50;&0f0<6<820b>l;:078?j74nh0;6)=m5;375==i;k>1=;54o01e=?6=,:h>6<:>8:l0f1<6?21d=>h7:18'7g3=9=;37c=m4;3;?>i6;o=1<7*:46`=h9:l86=4+3c79517?3g9i87?l;:m27c4=83.8n84>40:8j6d328n07b?!5e=3;?=55a3c695`=5$2`6>42602d8n94>f:9l56ca290/?o;5153;?k5e<38;76a>3dg94?"4j<0:8<64n2`7>77<3f;8ii4?:%1a1?73911e?o:52398k45bk3:1(>l::062<>h4j=09?65`12ga>5<#;k?1=9?7;o1a0?4332e:8<950;&0f0<6<820b>l;:378?j739?0;6)=m5;375==i;k>1>;54o0621?6=,:h>6<:>8:l0f1<5?21d=9?;:18'7g3=9=;37c=m4;0;?>i6<891<7*:46`=h9:l>6=4+3c79517?3g9i8740:8j6d32;n07b?!5e=3;?=55a3c696`=?>7>5$2`6>42302d8n94?;:m2017=83.8n84>45:8j6d32810c<:;0;29 6d228>?46`i6<:l1<7*54o060a?6=,:h>6<:;8:l0f1<332e:8>j50;&0f0<6<=20b>l;:498k424k3:1(>l::067<>h4j=0=76a>42c94?"4j<0:8964n2`7>2=857>5$2`6>42302d8n947;:m206>=83.8n84>45:8j6d32010c<:<7;29 6d228>?46`i6<:<1<7*6<:;8:l0f1:50;&0f0<6<=20b>l;:e98k424;3:1(>l::067<>h4j=0n76a>42094?"4j<0:8964n2`7>c=8=7>5$2`6>42302d8n94>0:9l514a290/?o;5156;?k5e<3;:76a>43g94?"4j<0:8964n2`7>44<3f;?>i4?:%1a1?73<11e?o:51298k425k3:1(>l::067<>h4j=0:865`150a>5<#;k?1=9:7;o1a0?7232e:8?o50;&0f0<6<=20b>l;:048?j73:00;6)=m5;370==i;k>1=:54o0616<:;8:l0f1<6021d=9<8:18'7g3=9=>37c=m4;3:?>i6<;<1<7*?46`=h9=896=4+3c79512?3g9i87?k;:m2077=83.8n84>45:8j6d328o07b?;2183>!5e=3;?855a3c695c=:j7>5$2`6>42302d8n94=0:9l517b290/?o;5156;?k5e<38:76a>40f94?"4j<0:8964n2`7>74<3f;?=n4?:%1a1?73<11e?o:52298k426j3:1(>l::067<>h4j=09865`1564>5<#;k?1=9:7;o1a0?4232e:89850;&0f0<6<=20b>l;:348?j73<<0;6)=m5;370==i;k>1>:54o0670?6=,:h>6<:;8:l0f1<5021d=9:<:18'7g3=9=>37c=m4;0:?>i6<:h1<7*?46`=h9=;j6=4+3c79512?3g9i8745:8j6d32;o07b?;7383>!5e=3;?;55a3c694>=h9==:6=4+3c79511?3g9i87?4;n3735<72-9i97?;799m7g2=:21d=98i:18'7g3=9==37c=m4;18?j73>l0;6)=m5;373==i;k>1865`154g>5<#;k?1=997;o1a0?3<3f;?:n4?:%1a1?73?11e?o:56:9l510f290/?o;5155;?k5e<3=07b?;6883>!5e=3;?;55a3c69<>=h9=<36=4+3c79511?3g9i8774;n3722<72-9i97?;799m7g2=i21d=989:18'7g3=9==37c=m4;`8?j73><0;6)=m5;373==i;k>1o65`1547>5<#;k?1=997;o1a0?b<3f;?:>4?:%1a1?73?11e?o:5e:9l5105290/?o;5155;?k5e<3l07b?;6083>!5e=3;?;55a3c6955=>j7>5$2`6>42002d8n94>1:9l513b290/?o;5155;?k5e<3;976a>44f94?"4j<0:8:64n2`7>45<3f;?9n4?:%1a1?73?11e?o:51598k422j3:1(>l::064<>h4j=0:965`157b>5<#;k?1=997;o1a0?7132e:88750;&0f0<6<>20b>l;:058?j73=10;6)=m5;373==i;k>1=554o0663?6=,:h>6<:88:l0f1<6121d=9;9:18'7g3=9==37c=m4;3b?>i6<<>1<7*<46`=h9=?:6=4+3c79511?3g9i87?j;:m2006=83.8n84>46:8j6d328l07b?;4g83>!5e=3;?;55a3c6965=?i7>5$2`6>42002d8n94=1:9l512c290/?o;5155;?k5e<38976a>45a94?"4j<0:8:64n2`7>75<3f;?8o4?:%1a1?73?11e?o:52598k420?3:1(>l::064<>h4j=09965`1555>5<#;k?1=997;o1a0?4132e:8:;50;&0f0<6<>20b>l;:358?j73?=0;6)=m5;373==i;k>1>554o0647?6=,:h>6<:88:l0f1<5121d=98m:18'7g3=9==37c=m4;0b?>i6<46`=h9=>26=4+3c79511?3g9i87l;:198k42f93:1(>l::06b<>h4j=0:76a>4`294?"4j<0:8l64n2`7>7=2j7>5$2`6>42f02d8n94<;:m204`:8j6d32=10c<:6d;29 6d228>j46`i6<0i1<7*6<:n8:l0f1<032e:84750;&0f0<6l;:998k42>03:1(>l::06b<>h4j=0276a>48594?"4j<0:8l64n2`7>d=2:7>5$2`6>42f02d8n94m;:m20<3=83.8n84>4`:8j6d32j10c<:64;29 6d228>j46`i6<091<7*6<:n8:l0f1l;:028?j730o0;6)=m5;37e==i;k>1=<54o06;a?6=,:h>6<:n8:l0f1<6:21d=96k:18'7g3=9=k37c=m4;30?>i6<1i1<7*j46`=h9=226=4+3c7951g?3g9i87?8;:m20=>=83.8n84>4`:8j6d328207b?;8683>!5e=3;?m55a3c695<=3:7>5$2`6>42f02d8n94>a:9l51>3290/?o;515c;?k5e<3;i76a>49194?"4j<0:8l64n2`7>4e<3f;?4?4?:%1a1?73i11e?o:51e98k42?93:1(>l::06b<>h4j=0:i65`15:3>5<#;k?1=9o7;o1a0?7a32e:8:h50;&0f0<6l;:328?j73?l0;6)=m5;37e==i;k>1><54o064`?6=,:h>6<:n8:l0f1<5:21d=99l:18'7g3=9=k37c=m4;00?>i6<>h1<7*j46`=h9=k>6=4+3c7951g?3g9i87<8;:m20d2=83.8n84>4`:8j6d32;207b?;a283>!5e=3;?m55a3c696<=2n7>5$2`6>42f02d8n94=a:9l51?7290/?o;515c;?k5e<38i76a>49794?"4j<0:8l64n2`7>7e<3f;?;l4?:%1a1?73i11e?o:52e98k42013:1(>l::06b<>h4j=09i65`15f1>5<#;k?1=9j7;o1a0?6<3f;?h<4?:%1a1?73l11e?o:51:9l51b7290/?o;515f;?k5e<3807b?;cg83>!5e=3;?h55a3c697>=h9=in6=4+3c7951b?3g9i87:4;n37ga<72-9i97?;d99m7g2==21d=9ml:18'7g3=9=n37c=m4;48?j73kh0;6)=m5;37`==i;k>1;65`15a:>5<#;k?1=9j7;o1a0?><3f;?o54?:%1a1?73l11e?o:59:9l51e0290/?o;515f;?k5e<3k07b?;c783>!5e=3;?h55a3c69f>=h9=i>6=4+3c7951b?3g9i87m4;n37g1<72-9i97?;d99m7g2=l21d=9m<:18'7g3=9=n37c=m4;g8?j73k;0;6)=m5;37`==i;k>1j65`15a2>5<#;k?1=9j7;o1a0?7732e:8oh50;&0f0<6l;:038?j73jl0;6)=m5;37`==i;k>1=?54o06a`?6=,:h>6<:k8:l0f1<6;21d=9ll:18'7g3=9=n37c=m4;37?>i6o46`=h9=h36=4+3c7951b?3g9i87?7;:m20g1=83.8n84>4e:8j6d328307b?;b783>!5e=3;?h55a3c695d=i87>5$2`6>42c02d8n94>b:9l51d4290/?o;515f;?k5e<3;h76a>4c094?"4j<0:8i64n2`7>4b<3f;?n<4?:%1a1?73l11e?o:51d98k42e83:1(>l::06g<>h4j=0:j65`15ce>5<#;k?1=9j7;o1a0?4732e:8lk50;&0f0<6l;:338?j73im0;6)=m5;37`==i;k>1>?54o06bg?6=,:h>6<:k8:l0f1<5;21d=9om:18'7g3=9=n37c=m4;07?>i6o46`=h9=n?6=4+3c7951b?3g9i87<7;:m20a5=83.8n84>4e:8j6d32;307b?;cc83>!5e=3;?h55a3c696d=h<7>5$2`6>42c02d8n94=b:9l51d2290/?o;515f;?k5e<38h76a>4`c94?"4j<0:8i64n2`7>7b<3f;?m44?:%1a1?73l11e?o:52d98mg`>290/?o;5c1c8j6d32910eoh7:18'7g3=k9k0b>l;:098mg`0290/?o;5c1c8j6d32;10eoh9:18'7g3=k9k0b>l;:298mg`2290/?o;5c1c8j6d32=10eoh;:18'7g3=k9k0b>l;:498mg`4290/?o;5c1c8j6d32?10eoh=:18'7g3=k9k0b>l;:698mf66290/?o;5c1c8j6d32110en>?:18'7g3=k9k0b>l;:898mg`a290/?o;5c1c8j6d32h10eohj:18'7g3=k9k0b>l;:c98mg`c290/?o;5c1c8j6d32j10eohl:18'7g3=k9k0b>l;:e98mg`e290/?o;5c1c8j6d32l10eohn:18'7g3=k9k0b>l;:g98mg`6290/?o;5c1c8j6d328:07dli0;29 6d22j:j7c=m4;32?>o6>?=1<75`10;2>5<#;k?1=<76;o1a0?6<3f;:5=4?:%1a1?76101e?o:51:9l54>a290/?o;510;:?k5e<3807b?>8d83>!5e=3;:545a3c697>=h982h6=4+3c7954?>3g9i87:4;n32989m7g2==21d=<6n:18'7g3=98327c=m4;48?j76000;6)=m5;32=<=i;k>1;65`10:;>5<#;k?1=<76;o1a0?><3f;:4:4?:%1a1?76101e?o:59:9l54>1290/?o;510;:?k5e<3k07b?>8483>!5e=3;:545a3c69f>=h982?6=4+3c7954?>3g9i87m4;n32<6<72-9i97?>989m7g2=l21d=<77:18'7g3=98327c=m4;g8?j761>0;6)=m5;32=<=i;k>1j65`10;5>5<#;k?1=<76;o1a0?7732e:=4;50;&0f0<69030b>l;:038?j761=0;6)=m5;32=<=i;k>1=?54o03:7?6=,:h>6i691n1<7*989m7g2=9?10c=h9:?86=4+3c79563>3g9i87>4;n3017<72-9i97?<589m7g2=921d=>;>:18'7g3=9:?27c=m4;08?j74=90;6)=m5;301<=i;k>1?65`126e>5<#;k?1=>;6;o1a0?2<3f;88h4?:%1a1?74=01e?o:55:9l562c290/?o;5127:?k5e<3<07b?<4c83>!5e=3;8945a3c693>=h9:>j6=4+3c79563>3g9i8764;n300<<72-9i97?<589m7g2=121d=>:7:18'7g3=9:?27c=m4;c8?j74<>0;6)=m5;301<=i;k>1n65`1265>5<#;k?1=>;6;o1a0?e<3f;8884?:%1a1?74=01e?o:5d:9l5623290/?o;5127:?k5e<3o07b?<4283>!5e=3;8945a3c69b>=h9:>96=4+3c79563>3g9i87??;:m2716=83.8n84>34;8j6d328;07b?<3g83>!5e=3;8945a3c6957=5$2`6>45212d8n94>3:9l565c290/?o;5127:?k5e<3;?76a>32a94?"4j<0:?874n2`7>43<3f;8?o4?:%1a1?74=01e?o:51798k454i3:1(>l::016=>h4j=0:;65`121:>5<#;k?1=>;6;o1a0?7?32e:?>650;&0f0<6;<30b>l;:0;8?j74;>0;6)=m5;301<=i;k>1=l54o0101?6=,:h>6<=:9:l0f1<6j21d=>=;:18'7g3=9:?27c=m4;3`?>i6;:91<7*56`=h9:9;6=4+3c79563>3g9i8734;8j6d32;;07b?<2d83>!5e=3;8945a3c6967=5$2`6>45212d8n94=3:9l564d290/?o;5127:?k5e<38?76a>34:94?"4j<0:?874n2`7>73<3f;89:4?:%1a1?74=01e?o:52798k452>3:1(>l::016=>h4j=09;65`1276>5<#;k?1=>;6;o1a0?4?32e:?8:50;&0f0<6;<30b>l;:3;8?j741>l54o0175?6=,:h>6<=:9:l0f1<5j21d=>=9:18'7g3=9:?27c=m4;0`?>i6;;h1<7*l::0055>h4j=0;76a>1`;94?"4j<0:>;?4n2`7>4=5$2`6>44192d8n94=;:m25d1=83.8n84>2738j6d32:10ci69h>1<7*6<<91:l0f1<132e:=l<50;&0f0<6:?;0b>l;:698k47f93:1(>l::0055>h4j=0376a>1`294?"4j<0:>;?4n2`7><=5$2`6>44192d8n94n;:m252738j6d32k10ci690i1<7*6<<91:l0f150;&0f0<6:?;0b>l;:g98k47fn3:1(>l::0055>h4j=0:<65`10cf>5<#;k?1=?8>;o1a0?7632e:=lj50;&0f0<6:?;0b>l;:008?j76ij0;6)=m5;3124=i;k>1=>54o03bf?6=,:h>6<<91:l0f1<6<21d=i690h1<7*10c<<82;29 6d2288i6:>;1<7*6<<8a:l0f1<532e:>;h50;&0f0<6:>k0b>l;:298k441l3:1(>l::004e>h4j=0?76a>27a94?"4j<0:>:o4n2`7>0=5$2`6>440i2d8n949;:m263g=83.8n84>26c8j6d32>10c<<99;29 6d2288i6:?21<7*6<<8a:l0f1;850;&0f0<6:>k0b>l;:c98k441=3:1(>l::004e>h4j=0h76a>27694?"4j<0:>:o4n2`7>a=5$2`6>440i2d8n94j;:m262>=83.8n84>26c8j6d32o10c<<87;29 6d2288=h9;==6=4+3c79571f3g9i87?>;:m2623=83.8n84>26c8j6d328807b?=7583>!5e=3;9;l5a3c6956=5$2`6>440i2d8n94>4:9l570b290/?o;5135b?k5e<3;>76a>27194?"4j<0:>:o4n2`7>40<3f;9:?4?:%1a1?75?h1e?o:51698k44?j3:1(>l::00:7>h4j=0;76a>29c94?"4j<0:>4=4n2`7>4=5$2`6>44>;2d8n94=;:m26=>=83.8n84>2818j6d32:10c<<76;29 6d22882?6`i6:1?1<7*6<<63:l0f1<132e:>5=50;&0f0<6:090b>l;:698k44?:3:1(>l::00:7>h4j=0376a>29394?"4j<0:>4=4n2`7><=5$2`6>44>;2d8n94n;:m262`=83.8n84>2818j6d32k10c<<8e;29 6d22882?6`i6:>n1<7*6<<63:l0f14?50;&0f0<6:090b>l;:g98k44>83:1(>l::00:7>h4j=0:<65`13:e>5<#;k?1=?7<;o1a0?7632e:>5k50;&0f0<6:090b>l;:008?j750m0;6)=m5;31=6=i;k>1=>54o00;g?6=,:h>6<<63:l0f1<6<21d=?68:18'7g3=9;387c=m4;36?>i6:>i1<7*10c<i6:h91<7*6<l?50;&0f0<6:hi0b>l;:298k44>n3:1(>l::00bg>h4j=0?76a>28g94?"4j<0:>lm4n2`7>0=5$2`6>44fk2d8n949;:m262`a8j6d32>10c<<6b;29 6d2288jo6`i6:0k1<7*6<4650;&0f0<6:hi0b>l;:c98k44>?3:1(>l::00bg>h4j=0h76a>28494?"4j<0:>lm4n2`7>a=5$2`6>44fk2d8n94j;:m26dg=83.8n84>2`a8j6d32o10c<=h9;k36=4+3c7957gd3g9i87?>;:m26d1=83.8n84>2`a8j6d328807b?=a783>!5e=3;9mn5a3c6956=5$2`6>44fk2d8n94>4:9l57g7290/?o;513c`?k5e<3;>76a>28794?"4j<0:>lm4n2`7>40<3f;9594?:%1a1?75ij1e?o:51698k44el3:1(>l::00`1>h4j=0;76a>2ca94?"4j<0:>n;4n2`7>4=5$2`6>44d=2d8n94=;:m26gg=83.8n84>2b78j6d32:10c<i6:k=1<7*6<o;50;&0f0<6:j?0b>l;:698k44e<3:1(>l::00`1>h4j=0376a>2c194?"4j<0:>n;4n2`7><=7>5$2`6>44d=2d8n94n;:m26g7=83.8n84>2b78j6d32k10c<i6:hl1<7*6<n=50;&0f0<6:j?0b>l;:g98k44d:3:1(>l::00`1>h4j=0:<65`13a2>5<#;k?1=?m:;o1a0?7632e:>n>50;&0f0<6:j?0b>l;:008?j75jo0;6)=m5;31g0=i;k>1=>54o00aa?6=,:h>6<7c=m4;36?>i6:ho1<7*10c<i6:m?1<7*6<i=50;&0f0<6:mo0b>l;:298k44c93:1(>l::00ga>h4j=0?76a>2e294?"4j<0:>ik4n2`7>0=5$2`6>44cm2d8n949;:m26fc=83.8n84>2eg8j6d32>10c<i6:ji1<7*6<no50;&0f0<6:mo0b>l;:c98k44d13:1(>l::00ga>h4j=0h76a>2b:94?"4j<0:>ik4n2`7>a=5$2`6>44cm2d8n94j;:m26ae=83.8n84>2eg8j6d32o10c<=h9;nj6=4+3c7957bb3g9i87?>;:m26a?=83.8n84>2eg8j6d328807b?=d983>!5e=3;9hh5a3c6956=5$2`6>44cm2d8n94>4:9l57b5290/?o;513ff?k5e<3;>76a>2b594?"4j<0:>ik4n2`7>40<3f;9o;4?:%1a1?75ll1e?o:51698k45?;3:1(>l::06g=>h4j=0;76a>39094?"4j<0:8i74n2`7>4=5$2`6>42c12d8n94=;:m27=6=83.8n84>4e;8j6d32:10c<=8f;29 6d228>o56`i6;>o1<7*6<:k9:l0f1<132e:?:l50;&0f0<6l;:698k450i3:1(>l::06g=>h4j=0376a>36;94?"4j<0:8i74n2`7><=5$2`6>42c12d8n94n;:m2721=83.8n84>4e;8j6d32k10c<=86;29 6d228>o56`i6;>?1<7*6<:k9:l0f1l;:g98k450:3:1(>l::06g=>h4j=0:<65`1253>5<#;k?1=9j6;o1a0?7632e:?;h50;&0f0<6l;:008?j74>l0;6)=m5;37`<=i;k>1=>54o015`?6=,:h>6<:k9:l0f1<6<21d=>8l:18'7g3=9=n27c=m4;36?>i6;?h1<7*10c<=99;29 6d228>o56`=h9:<36=4+3c7951b>3g9i87?6;:m2731=83.8n84>4e;8j6d328k07b?<6483>!5e=3;?h45a3c695g=5$2`6>42c12d8n94>c:9l5604290/?o;515f:?k5e<3;o76a>37094?"4j<0:8i74n2`7>4c<3f;8:<4?:%1a1?73l01e?o:51g98k45183:1(>l::06g=>h4j=09<65`127e>5<#;k?1=9j6;o1a0?4632e:?8k50;&0f0<6l;:308?j74=m0;6)=m5;37`<=i;k>1>>54o016g?6=,:h>6<:k9:l0f1<5<21d=>67:18'7g3=9=n27c=m4;06?>i6;1=1<7*10c<=75;29 6d228>o56`=h9:2?6=4+3c7951b>3g9i87<6;:m272e=83.8n84>4e;8j6d32;k07b?<7083>!5e=3;?h45a3c696g=5$2`6>42c12d8n94=c:9l563e290/?o;515f:?k5e<38o76a>34c94?"4j<0:8i74n2`7>7c<3f;9ik4?:%1a1?75n>1e?o:50:9l57cb290/?o;513d4?k5e<3;07b?=ee83>!5e=3;9j:5a3c696>=h9;oh6=4+3c7957`03g9i87=4;n31ad<72-9i97?=f69m7g2=<21d=?k6:18'7g3=9;l<7c=m4;78?j75m10;6)=m5;31b2=i;k>1:65`13g4>5<#;k?1=?h8;o1a0?1<3f;9i;4?:%1a1?75n>1e?o:58:9l57c2290/?o;513d4?k5e<3307b?=e583>!5e=3;9j:5a3c69e>=h9;o86=4+3c7957`03g9i87l4;n31a7<72-9i97?=f69m7g2=k21d=?k>:18'7g3=9;l<7c=m4;f8?j75n?0;6)=m5;31b2=i;k>1i65`13d6>5<#;k?1=?h8;o1a0?`<3f;9j94?:%1a1?75n>1e?o:51198k44a;3:1(>l::00e3>h4j=0:=65`13d1>5<#;k?1=?h8;o1a0?7532e:>k?50;&0f0<6:o=0b>l;:018?j75n90;6)=m5;31b2=i;k>1=954o00ff?6=,:h>6<i6:ml1<7*=:18'7g3=9<:27c=m4;38?j72880;6)=m5;364<=i;k>1>65`1423>5<#;k?1=8>6;o1a0?5<3f;?jk4?:%1a1?72801e?o:54:9l51`b290/?o;5142:?k5e<3?07b?;fe83>!5e=3;><45a3c692>=h9=li6=4+3c79506>3g9i8794;n37bd<72-9i97?:089m7g2=021d=9h6:18'7g3=9<:27c=m4;;8?j73n10;6)=m5;364<=i;k>1m65`15d4>5<#;k?1=8>6;o1a0?d<3f;?j;4?:%1a1?72801e?o:5c:9l51`2290/?o;5142:?k5e<3n07b?;f583>!5e=3;><45a3c69a>=h9=l86=4+3c79506>3g9i87h4;n37b7<72-9i97?:089m7g2=9910c<:i0;29 6d228?;56`=h9=om6=4+3c79506>3g9i87?=;:m20`c=83.8n84>51;8j6d328907b?;ee83>!5e=3;><45a3c6951=no7>5$2`6>43712d8n94>5:9l51ce290/?o;5142:?k5e<3;=76a>4dc94?"4j<0:9=74n2`7>41<3f;?i44?:%1a1?72801e?o:51998k42b03:1(>l::073=>h4j=0:565`15g4>5<#;k?1=8>6;o1a0?7f32e:8h;50;&0f0<6=930b>l;:0`8?j73m=0;6)=m5;364<=i;k>1=n54o06f7?6=,:h>6<;?9:l0f1<6l21d=9k=:18'7g3=9<:27c=m4;3f?>i6=h9=nn6=4+3c79506>3g9i87<=;:m20ab=83.8n84>51;8j6d32;907b?;db83>!5e=3;><45a3c6961=5$2`6>43712d8n94=5:9l5060290/?o;5142:?k5e<38=76a>51494?"4j<0:9=74n2`7>71<3f;><84?:%1a1?72801e?o:52998k437<3:1(>l::073=>h4j=09565`15d`>5<#;k?1=8>6;o1a0?4f32e:8k?50;&0f0<6=930b>l;:3`8?j73m?0;6)=m5;364<=i;k>1>n54o06gf?6=,:h>6<;?9:l0f1<5l21d=9jn:18'7g3=9<:27c=m4;0f?>i6;921<7*6<=>0:l0f1<632e:?=850;&0f0<6;8:0b>l;:398k457=3:1(>l::0124>h4j=0876a>31194?"4j<0:?<>4n2`7>1=7>5$2`6>45682d8n94:;:m2757=83.8n84>3028j6d32?10c<=?0;29 6d2289:<6`i6:ol1<7*6<=>0:l0f1<>32e:>kj50;&0f0<6;8:0b>l;:`98k44ak3:1(>l::0124>h4j=0i76a>2g`94?"4j<0:?<>4n2`7>f=5$2`6>45682d8n94k;:m275`=83.8n84>3028j6d32l10c<=?e;29 6d2289:<6`i6;9n1<7*4;n304f<72-9i97?<119m7g2=9810c<=?b;29 6d2289:<6`=h9::j6=4+3c7956773g9i87?<;:m275?=83.8n84>3028j6d328>07b?<0583>!5e=3;8==5a3c6950=5$2`6>45682d8n94>6:9l57`?290/?o;51233?k5e<3;<76a>52194?"4j<0:9>74n2`7>5=7>5$2`6>43412d8n94>;:m2167=83.8n84>52;8j6d32;10c<;<0;29 6d228?856`i6=;l1<7*6<;<9:l0f1<232e:9?j50;&0f0<6=:30b>l;:798k435j3:1(>l::070=>h4j=0<76a>53c94?"4j<0:9>74n2`7>==5$2`6>43412d8n946;:m217>=83.8n84>52;8j6d32h10c<;=7;29 6d228?856`i6=;<1<7*6<;<9:l0f1l;:d98k435;3:1(>l::070=>h4j=0m76a>53094?"4j<0:9>74n2`7>46<3f;>>=4?:%1a1?72;01e?o:51098k436n3:1(>l::070=>h4j=0:>65`143f>5<#;k?1=8=6;o1a0?7432e:9l;:068?j729j0;6)=m5;367<=i;k>1=854o072f?6=,:h>6<;<9:l0f1<6>21d=8?n:18'7g3=9<927c=m4;34?>i6=831<7*7;29 6d228?856`=h9<;>6=4+3c79505>3g9i87?m;:m2142=83.8n84>52;8j6d328i07b?:1283>!5e=3;>?45a3c695a=7>5$2`6>43412d8n94>e:9l5076290/?o;5141:?k5e<3;m76a>50294?"4j<0:9>74n2`7>76<3f;>l::070=>h4j=09>65`142g>5<#;k?1=8=6;o1a0?4432e:9=m50;&0f0<6=:30b>l;:368?j72;10;6)=m5;367<=i;k>1>854o0703?6=,:h>6<;<9:l0f1<5>21d=8=9:18'7g3=9<927c=m4;04?>i6=:?1<7*=h9<8:6=4+3c79505>3g9i8752;8j6d32;i07b?:0c83>!5e=3;>?45a3c696a=5$2`6>43412d8n94=e:9l5646290/?o;5120:?k5e<3:07b?<2183>!5e=3;8>45a3c695>=h9:;m6=4+3c79564>3g9i87<4;n305`<72-9i97?<289m7g2=;21d=>?l:18'7g3=9:827c=m4;68?j749k0;6)=m5;306<=i;k>1965`123b>5<#;k?1=><6;o1a0?0<3f;8=44?:%1a1?74:01e?o:57:9l567?290/?o;5120:?k5e<3207b?<1683>!5e=3;8>45a3c69=>=h9:;=6=4+3c79564>3g9i87o4;n3050<72-9i97?<289m7g2=j21d=>?;:18'7g3=9:827c=m4;a8?j749:0;6)=m5;306<=i;k>1h65`120;>5<#;k?1=><6;o1a0?c<3f;8>:4?:%1a1?74:01e?o:5f:9l5641290/?o;5120:?k5e<3;;76a>33794?"4j<0:??74n2`7>47<3f;8>94?:%1a1?74:01e?o:51398k455;3:1(>l::011=>h4j=0:?65`1201>5<#;k?1=><6;o1a0?7332e:?l;:078?j749;0;6)=m5;306<=i;k>1=;54o0125?6=,:h>6<==9:l0f1<6?21d=88<:18'7g3=9<<27c=m4;28?j72>;0;6)=m5;362<=i;k>1=65`1442>5<#;k?1=886;o1a0?4<3f;>:=4?:%1a1?72>01e?o:53:9l503a290/?o;5144:?k5e<3>07b?:5d83>!5e=3;>:45a3c691>=h93g9i8784;n361g<72-9i97?:689m7g2=?21d=8;n:18'7g3=9<<27c=m4;:8?j72=00;6)=m5;362<=i;k>1565`147;>5<#;k?1=886;o1a0?g<3f;>9:4?:%1a1?72>01e?o:5b:9l5031290/?o;5144:?k5e<3i07b?:5483>!5e=3;>:45a3c69`>=h93g9i87k4;n3616<72-9i97?:689m7g2=n21d=8;=:18'7g3=9<<27c=m4;33?>i6=<:1<7*=h9<>o6=4+3c79500>3g9i87?;;:m211e=83.8n84>57;8j6d328?07b?:4c83>!5e=3;>:45a3c6953=5$2`6>43112d8n94>7:9l502>290/?o;5144:?k5e<3;376a>55:94?"4j<0:9;74n2`7>4?<3f;>8:4?:%1a1?72>01e?o:51`98k433=3:1(>l::075=>h4j=0:n65`1467>5<#;k?1=886;o1a0?7d32e:99=50;&0f0<6=?30b>l;:0f8?j72<;0;6)=m5;362<=i;k>1=h54o0775?6=,:h>6<;99:l0f1<6n21d=8:?:18'7g3=9<<27c=m4;03?>i6=:l1<7*=h9<9h6=4+3c79500>3g9i87<;;:m213>=83.8n84>57;8j6d32;?07b?:6683>!5e=3;>:45a3c6963=5$2`6>43112d8n94=7:9l5002290/?o;5144:?k5e<38376a>57694?"4j<0:9;74n2`7>7?<3f;>9n4?:%1a1?72>01e?o:52`98k43293:1(>l::075=>h4j=09n65`1465>5<#;k?1=886;o1a0?4d32e:9>l50;&0f0<6=?30b>l;:3f8?j72;h0;6)=m5;362<=i;k>1>h54o07:7?6=,:h>6<;69:l0f1<732e:94<50;&0f0<6=030b>l;:098k43>93:1(>l::07:=>h4j=0976a>58294?"4j<0:9474n2`7>6=5$2`6>43>12d8n94;;:m21=c=83.8n84>58;8j6d32<10c<;7d;29 6d228?256`i6=1h1<7*6<;69:l0f1l;:898k43?03:1(>l::07:=>h4j=0j76a>59594?"4j<0:9474n2`7>g=5$2`6>43>12d8n94l;:m21=3=83.8n84>58;8j6d32m10c<;74;29 6d228?256`i6=191<7*6<;69:l0f1<6821d=86?:18'7g3=9<327c=m4;32?>i6=>l1<7*=h9<=h6=4+3c7950?>3g9i87?:;:m212d=83.8n84>58;8j6d328<07b?:7`83>!5e=3;>545a3c6952=5$2`6>43>12d8n94>8:9l501?290/?o;514;:?k5e<3;276a>56594?"4j<0:9474n2`7>4g<3f;>;84?:%1a1?72101e?o:51c98k430<3:1(>l::07:=>h4j=0:o65`1450>5<#;k?1=876;o1a0?7c32e:9:<50;&0f0<6=030b>l;:0g8?j72?80;6)=m5;36=<=i;k>1=k54o0744?6=,:h>6<;69:l0f1<5821d=88i:18'7g3=9<327c=m4;02?>i6=?o1<7*=h9<336=4+3c7950?>3g9i87<:;:m21<1=83.8n84>58;8j6d32;<07b?:9783>!5e=3;>545a3c6962=5$2`6>43>12d8n94=8:9l50?3290/?o;514;:?k5e<38276a>59a94?"4j<0:9474n2`7>7g<3f;>4<4?:%1a1?72101e?o:52c98k430>3:1(>l::07:=>h4j=09o65`144a>5<#;k?1=876;o1a0?4c32e:9;o50;&0f0<6=030b>l;:3g8?j72k:0;6)=m5;36g<=i;k>1<65`14a1>5<#;k?1=8m6;o1a0?7<3f;>o<4?:%1a1?72k01e?o:52:9l50e7290/?o;514a:?k5e<3907b?:bg83>!5e=3;>o45a3c690>=h93g9i87;4;n36fa<72-9i97?:c89m7g2=>21d=8lm:18'7g3=91465`14`:>5<#;k?1=8m6;o1a0??<3f;>n54?:%1a1?72k01e?o:5a:9l50d0290/?o;514a:?k5e<3h07b?:b783>!5e=3;>o45a3c69g>=h96=4+3c7950e>3g9i87j4;n36f1<72-9i97?:c89m7g2=m21d=8l<:18'7g3=91==54o07a4?6=,:h>6<;l9:l0f1<6921d=8oi:18'7g3=9i6=ho1<7*=h93g9i87?9;:m21dg=83.8n84>5b;8j6d328=07b?:a883>!5e=3;>o45a3c695==5$2`6>43d12d8n94>9:9l50g0290/?o;514a:?k5e<3;j76a>5`794?"4j<0:9n74n2`7>4d<3f;>m94?:%1a1?72k01e?o:51b98k43f;3:1(>l::07`=>h4j=0:h65`14c1>5<#;k?1=8m6;o1a0?7b32e:9l?50;&0f0<6=j30b>l;:0d8?j72i90;6)=m5;36g<=i;k>1>=54o07:b?6=,:h>6<;l9:l0f1<5921d=87j:18'7g3=9i6=0n1<7*=h93g9i87<9;:m21f0=83.8n84>5b;8j6d32;=07b?:c483>!5e=3;>o45a3c696==5$2`6>43d12d8n94=9:9l50dd290/?o;514a:?k5e<38j76a>5c394?"4j<0:9n74n2`7>7d<3f;>m;4?:%1a1?72k01e?o:52b98k43>j3:1(>l::07`=>h4j=09h65`14;b>5<#;k?1=8m6;o1a0?4b32e:9k=50;&0f0<6=o30b>l;:198k43a:3:1(>l::07e=>h4j=0:76a>5g394?"4j<0:9k74n2`7>7=5$2`6>43a12d8n94<;:m21``=83.8n84>5g;8j6d32=10c<;je;29 6d228?m56`i6=ln1<7*6<;i9:l0f1<032e:9ho50;&0f0<6=o30b>l;:998k43b13:1(>l::07e=>h4j=0276a>5d:94?"4j<0:9k74n2`7>d=5$2`6>43a12d8n94m;:m21`0=83.8n84>5g;8j6d32j10c<;j5;29 6d228?m56`i6=l>1<7*6<;i9:l0f1l;:028?j72m90;6)=m5;36b<=i;k>1=<54o07gb?6=,:h>6<;i9:l0f1<6:21d=8jj:18'7g3=9i6=mn1<7*=h93g9i87?8;:m21a?=83.8n84>5g;8j6d328207b?:d983>!5e=3;>j45a3c695<=5$2`6>43a12d8n94>a:9l50b2290/?o;514d:?k5e<3;i76a>5e694?"4j<0:9k74n2`7>4e<3f;>h>4?:%1a1?72n01e?o:51e98k43c:3:1(>l::07e=>h4j=0:i65`14f2>5<#;k?1=8h6;o1a0?7a32e:9i>50;&0f0<6=o30b>l;:328?j72ko0;6)=m5;36b<=i;k>1><54o07`a?6=,:h>6<;i9:l0f1<5:21d=8mk:18'7g3=9i6=ji1<7*=h93g9i87<8;:m21c3=83.8n84>5g;8j6d32;207b?:f583>!5e=3;>j45a3c696<=5$2`6>43a12d8n94=a:9l50c6290/?o;514d:?k5e<38i76a>5e494?"4j<0:9k74n2`7>7e<3f;>oo4?:%1a1?72n01e?o:52e98k43di3:1(>l::07e=>h4j=09i65`1700>5<#;k?1=;<6;o1a0?6<3f;=>?4?:%1a1?71:01e?o:51:9l5346290/?o;5170:?k5e<3807b?92183>!5e=3;=>45a3c697>=h9?;m6=4+3c79534>3g9i87:4;n355`<72-9i97?9289m7g2==21d=;?k:18'7g3=9?827c=m4;48?j719k0;6)=m5;356<=i;k>1;65`173b>5<#;k?1=;<6;o1a0?><3f;==44?:%1a1?71:01e?o:59:9l537?290/?o;5170:?k5e<3k07b?91683>!5e=3;=>45a3c69f>=h9?;=6=4+3c79534>3g9i87m4;n3550<72-9i97?9289m7g2=l21d=;?;:18'7g3=9?827c=m4;g8?j719:0;6)=m5;356<=i;k>1j65`1731>5<#;k?1=;<6;o1a0?7732e::<>50;&0f0<6>;30b>l;:038?j718o0;6)=m5;356<=i;k>1=?54o043a?6=,:h>6<8=9:l0f1<6;21d=;>k:18'7g3=9?827c=m4;37?>i6>9i1<7*=h9?:26=4+3c79534>3g9i87?7;:m225>=83.8n84>63;8j6d328307b?90683>!5e=3;=>45a3c695d=5$2`6>40512d8n94>b:9l5363290/?o;5170:?k5e<3;h76a>61194?"4j<0::?74n2`7>4b<3f;=l::041=>h4j=0:j65`1723>5<#;k?1=;<6;o1a0?4732e:9kh50;&0f0<6>;30b>l;:338?j72nl0;6)=m5;356<=i;k>1>?54o07e`?6=,:h>6<8=9:l0f1<5;21d=8hl:18'7g3=9?827c=m4;07?>i6>;21<7*=h9?8>6=4+3c79534>3g9i87<7;:m2272=83.8n84>63;8j6d32;307b?91b83>!5e=3;=>45a3c696d=5$2`6>40512d8n94=b:9l5361290/?o;5170:?k5e<38h76a>5g`94?"4j<0::?74n2`7>7b<3f;>jl4?:%1a1?71:01e?o:52d98k402;3:1(>l::046=>h4j=0;76a>64094?"4j<0::874n2`7>4==7>5$2`6>40212d8n94=;:m2206=83.8n84>64;8j6d32:10c<8;f;29 6d228<>56`i6>=o1<7*6<8:9:l0f1<132e::9l50;&0f0<6><30b>l;:698k403i3:1(>l::046=>h4j=0376a>65;94?"4j<0::874n2`7><=5$2`6>40212d8n94n;:m2211=83.8n84>64;8j6d32k10c<8;6;29 6d228<>56`i6>=?1<7*6<8:9:l0f1<30b>l;:g98k403:3:1(>l::046=>h4j=0:<65`1763>5<#;k?1=;;6;o1a0?7632e::>h50;&0f0<6><30b>l;:008?j71;l0;6)=m5;351<=i;k>1=>54o040`?6=,:h>6<8:9:l0f1<6<21d=;=l:18'7g3=9??27c=m4;36?>i6>:h1<7*10c<8<9;29 6d228<>56`=h9?936=4+3c79533>3g9i87?6;:m2261=83.8n84>64;8j6d328k07b?93483>!5e=3;=945a3c695g=5$2`6>40212d8n94>c:9l5354290/?o;5177:?k5e<3;o76a>62094?"4j<0::874n2`7>4c<3f;=?<4?:%1a1?71=01e?o:51g98k40483:1(>l::046=>h4j=09<65`170e>5<#;k?1=;;6;o1a0?4632e::?k50;&0f0<6><30b>l;:308?j71:m0;6)=m5;351<=i;k>1>>54o041g?6=,:h>6<8:9:l0f1<5<21d=;;7:18'7g3=9??27c=m4;06?>i6><=1<7*10c<8:5;29 6d228<>56`=h9???6=4+3c79533>3g9i87<6;:m221e=83.8n84>64;8j6d32;k07b?94083>!5e=3;=945a3c696g=5$2`6>40212d8n94=c:9l534e290/?o;5177:?k5e<38o76a>63c94?"4j<0::874n2`7>7c<3fo:o7>5$2`6>`453g9i87>4;ng2f?6=,:h>6h<=;o1a0?7<3fo:m7>5$2`6>`453g9i87<4;ng2=?6=,:h>6h<=;o1a0?5<3fo:47>5$2`6>`453g9i87:4;ng23?6=,:h>6h<=;o1a0?3<3fo::7>5$2`6>`453g9i8784;ng20?6=,:h>6h<=;o1a0?1<3fo:?7>5$2`6>`453g9i8764;ng26?6=,:h>6h<=;o1a0??<3fo:=7>5$2`6>`453g9i87o4;ng24?6=,:h>6h<=;o1a0?d<3fo;j7>5$2`6>`453g9i87m4;ng3a?6=,:h>6h<=;o1a0?b<3fo;h7>5$2`6>`453g9i87k4;ng3g?6=,:h>6h<=;o1a0?`<3fo;n7>5$2`6>`453g9i87??;:mf4<<72-9i97k=2:l0f1<6921di=650;&0f0ib8<0;6)=m5;g16>h4j=0:965`e1694?"4j<0n>?5a3c6953=6h<=;o1a0?7?32en<<4?:%1a1?c5:2d8n94>9:9la56=83.8n84j239m7g2=9h10cihj:18'7g3=m;80b>l;:0`8?jbal3:1(>l::d01?k5e<3;h76akfb83>!5e=3o9>6`=hloh1<7*1=h54oedb>5<#;k?1i?<4n2`7>4`<3fnm57>5$2`6>`453g9i87ib:80;6)=m5;g16>h4j=09965`e3294?"4j<0n>?5a3c6963=6h<=;o1a0?4?32en=i4?:%1a1?c5:2d8n94=9:9la43=83.8n84j239m7g2=:h10ch>n:18'7g3=m;80b>l;:3`8?jban3:1(>l::d01?k5e<38h76akf583>!5e=3o9>6`=hlo91<7*1>h54ib0b>5<#;k?1o><4n2`7>5=5<#;k?1o><4n2`7>7=54ib06>5<#;k?1o><4n2`7>1=5<#;k?1o><4n2`7>3=5<#;k?1o><4n2`7>==5<#;k?1o><4n2`7>d=5<#;k?1o><4n2`7>f=5<#;k?1o><4n2`7>`=5<#;k?1o><4n2`7>46<3`i9i7>5$2`6>f553g9i87?>;:k`6a<72-9i97m<2:l0f1<6:21bo?m50;&0f007dm=6;29 6d22j997c=m4;36?>od9k0;6)=m5;a06>h4j=0::65fc0c94?"4j<0h??5a3c6952=5<#;k?1nhk4n2`7>5=5$2`6>40192d8n94?;:k`52<722h8ii4?:083>5}#;jo1>?94H2f;?M5c82e9>;4?::a7`c=83;1<7>t$2af>6b63A9o46Fki:1821d<729q/?nk52058L6b?3A9o<6Ti3;`xi1=h4>b;72>x"49m0::;74n8294>h>:3;0bo950:lah59?0:7c<:e;38j67d291e?o951:&0g1<4km1b=>>50;9j7gc=831b?oh50;9j567=831b?n>50;9j562=831b=?h50;9l57g=831b?n<50;9j7f7=831b=>650;9j7gb=831b=>=50;9j57c=831b=?850;9j563=831b=?j50;9l57?=831b?om50;9j7f5=831bo?4?:%1a1?e63g9i87>4;ha3>5<#;k?1o<5a3c695>=njo0;6)=m5;a2?k5e<3807dlj:18'7g3=k81e?o:53:9jfa<72-9i97m>;o1a0?2<3`hh6=4+3c79g4=i;k>1965fbc83>!5e=3i:7c=m4;48?ldf290/?o;5c09m7g2=?21boo4?:%1a1?e63g9i8764;hab>5<#;k?1o<5a3c69=>=nk00;6)=m5;a2?k5e<3k07dm7:18'7g3=k81e?o:5b:9jg2<72-9i97m>;o1a0?e<3`i=6=4+3c79g4=i;k>1h65fc483>!5e=3i:7c=m4;g8?le3290/?o;5c09m7g2=n21bo>4?:%1a1?e63g9i87??;:ka=?6=,:h>6n?4n2`7>47<3fo36=4+3c79a2=i;k>1<65`e783>!5e=3o<7c=m4;38?jc2290/?o;5e69m7g2=:21di94?:%1a1?c03g9i87=4;ng0>5<#;k?1i:5a3c690>=hm;0;6)=m5;g4?k5e<3?07bk>:18'7g3=m>1e?o:56:9la5<72-9i97k8;o1a0?1<3fl:6=4+3c79a2=i;k>1465`f183>!5e=3o<7c=m4;;8?jca290/?o;5e69m7g2=i21dih4?:%1a1?c03g9i87l4;ngg>5<#;k?1i:5a3c69g>=hmj0;6)=m5;g4?k5e<3n07bkm:18'7g3=m>1e?o:5e:9lad<72-9i97k8;o1a0?`<3fo26=4+3c79a2=i;k>1==54oed94?"4j<0n;6`=n;>i1<7*1<65f36c94?"4j<08;o5a3c695>=n;>31<7*1>65f36:94?"4j<08;o5a3c697>=n;>=1<7*1865f36494?"4j<08;o5a3c691>=n;>?1<7*1:65f36694?"4j<08;o5a3c693>=n;>81<7*1465f36394?"4j<08;o5a3c69=>=n;>:1<7*1m65f37d94?"4j<08;o5a3c69f>=n;?o1<7*1o65f37f94?"4j<08;o5a3c69`>=n;?i1<7*1i65f37`94?"4j<08;o5a3c69b>=n;?k1<7*1==54i24:>5<#;k?1?:l4n2`7>47<3`9=;7>5$2`6>61e3g9i87?=;:k023<72-9i97=8b:l0f1<6;21b?;;50;&0f0<4?k1e?o:51598m603290/?o;536`8j6d328?07d=93;29 6d22:=i7c=m4;35?>o4>;0;6)=m5;14f>h4j=0:;65f37394?"4j<08;o5a3c695==6>9m;o1a0?7f32c89h4?:%1a1?50j2d8n94>b:9j70e=83.8n84<7c9m7g2=9j10e>;m:18'7g3=;>h0b>l;:0f8?l52i3:1(>l::25a?k5e<3;n76g<5883>!5e=39=n;<21<7*1>=54i274>5<#;k?1?:l4n2`7>77<3`9>:7>5$2`6>61e3g9i87<=;:k010<72-9i97=8b:l0f1<5;21b?8:50;&0f0<4?k1e?o:52598m634290/?o;536`8j6d32;?07d=72;29 6d22:=i7c=m4;05?>o4080;6)=m5;14f>h4j=09;65f39294?"4j<08;o5a3c696==6>9m;o1a0?4f32c8;i4?:%1a1?50j2d8n94=b:9j725=83.8n84<7c9m7g2=:j10e>87:18'7g3=;>h0b>l;:3f8?l52l3:1(>l::25a?k5e<38n76g<5383>!5e=39=n98l1<7*1<65f10f94?"4j<0:=h5a3c695>=n98i1<7*1>65f10`94?"4j<0:=h5a3c697>=n98k1<7*1865f10;94?"4j<0:=h5a3c691>=n9821<7*1:65f10594?"4j<0:=h5a3c693>=n98?1<7*1465f10694?"4j<0:=h5a3c69=>=n9891<7*1m65f10094?"4j<0:=h5a3c69f>=n98;1<7*1o65f10294?"4j<0:=h5a3c69`>=n99l1<7*1i65f11g94?"4j<0:=h5a3c69b>=n99n1<7*1==54i02`>5<#;k?1=47<3`;;m7>5$2`6>47b3g9i87?=;:k24<<72-9i97?>e:l0f1<6;21b==650;&0f0<69l1e?o:51598m460290/?o;510g8j6d328?07d??6;29 6d228;n7c=m4;35?>o68<0;6)=m5;32a>h4j=0:;65f11694?"4j<0:=h5a3c695==6b:9jbc<72-9i97?>e:l0f1<6k21bjh4?:%1a1?76m2d8n94>d:9jba<72-9i97?>e:l0f1<6m21bjn4?:%1a1?76m2d8n94>f:9jbg<72-9i97?>e:l0f1<5821bjl4?:%1a1?76m2d8n94=1:9jb<<72-9i97?>e:l0f1<5:21bj54?:%1a1?76m2d8n94=3:9jb2<72-9i97?>e:l0f1<5<21bj;4?:%1a1?76m2d8n94=5:9j573=83.8n84>1d9m7g2=:?10e<<;:18'7g3=98o0b>l;:358?l75;3:1(>l::03f?k5e<38376g>2383>!5e=3;:i6`=n9;;1<7*1>l54i003>5<#;k?1=7d<3`;::7>5$2`6>47b3g9i87e:l0f1<5l21b==>50;&0f0<69l1e?o:52d98mc3=83.8n84>1d9m7g2=:o10c>:n:18'7g3=;=30b>l;:198k62?290/?o;535;8j6d32810c>:8:18'7g3=;=30b>l;:398k621290/?o;535;8j6d32:10c>:::18'7g3=;=30b>l;:598k623290/?o;535;8j6d32<10c>:<:18'7g3=;=30b>l;:798k625290/?o;535;8j6d32>10c>:?:18'7g3=;=30b>l;:998k65a290/?o;535;8j6d32010c>=j:18'7g3=;=30b>l;:`98k65c290/?o;535;8j6d32k10c>=l:18'7g3=;=30b>l;:b98k65e290/?o;535;8j6d32m10c>=n:18'7g3=;=30b>l;:d98k65>290/?o;535;8j6d32o10c>=7:18'7g3=;=30b>l;:028?j54?3:1(>l::26:?k5e<3;:76a<3483>!5e=39?56`=h;:>1<7*1=>54o210>5<#;k?1?974n2`7>42<3f98>7>5$2`6>62>3g9i87?:;:m074<72-9i97=;9:l0f1<6>21d?>>50;&0f0<4<01e?o:51698k64a290/?o;535;8j6d328207b==e;29 6d22:>27c=m4;3:?>i4:m0;6)=m5;17=>h4j=0:m65`33a94?"4j<08845a3c695g=6>:6;o1a0?7c32e8>54?:%1a1?5312d8n94>e:9l771=83.8n84<489m7g2=9o10c><9:18'7g3=;=30b>l;:328?j55=3:1(>l::26:?k5e<38:76a<2583>!5e=39?56`=h;;91<7*1>>54o201>5<#;k?1?974n2`7>72<3f99=7>5$2`6>62>3g9i87<:;:m015<72-9i97=;9:l0f1<5>21d?9h50;&0f0<4<01e?o:52698k62b290/?o;535;8j6d32;207b=;d;29 6d22:>27c=m4;0:?>i4h4j=09m65`35`94?"4j<08845a3c696g=:6=4+3c7971?6>:6;o1a0?4c32e8>o4?:%1a1?5312d8n94=e:9l776=83.8n84<489m7g2=:o10e>?m:18'7g3=;8k0b>l;:198m67>290/?o;530c8j6d32810e>?7:18'7g3=;8k0b>l;:398m670290/?o;530c8j6d32:10e>?9:18'7g3=;8k0b>l;:598m672290/?o;530c8j6d32<10e>?;:18'7g3=;8k0b>l;:798m674290/?o;530c8j6d32>10e<:7:18'7g3=9==0b>l;:198m421290/?o;51558j6d32810e<:::18'7g3=9==0b>l;:398m423290/?o;51558j6d32:10e<:<:18'7g3=9==0b>l;:598m425290/?o;51558j6d32<10e<:>:18'7g3=9==0b>l;:798m427290/?o;51558j6d32>10e<;>:18'7g3=9==0b>l;:998m437290/?o;51558j6d32010e<:i:18'7g3=9==0b>l;:`98m42b290/?o;51558j6d32k10e<:k:18'7g3=9==0b>l;:b98m42d290/?o;51558j6d32m10e<:m:18'7g3=9==0b>l;:d98m42f290/?o;51558j6d32o10e<:6:18'7g3=9==0b>l;:028?l74n3:1(>l::064?k5e<3;:76a!5e=39jo6`i4ik0;6)=m5;1bg>h4j=0:76a!5e=39jo6`i4i00;6)=m5;1bg>h4j=0876a!5e=39jo6`i4i>0;6)=m5;1bg>h4j=0>76a!5e=39jo6`i4i<0;6)=m5;1bg>h4j=0<76a!5e=39jo6`i4i;0;6)=m5;1bg>h4j=0276a!5e=39jo6`i4i90;6)=m5;1bg>h4j=0i76a<9g83>!5e=39jo6`i41l0;6)=m5;1bg>h4j=0o76a<9e83>!5e=39jo6`i41j0;6)=m5;1bg>h4j=0m76a<9c83>!5e=39jo6`=h;0k1<7*1=<54o2;;>5<#;k?1?lm4n2`7>44<3f92;7>5$2`6>6gd3g9i87?<;:m0=3<72-9i97=nc:l0f1<6<21d?4;50;&0f0<4ij1e?o:51498k6?3290/?o;53`a8j6d328<07b=63;29 6d22:kh7c=m4;34?>i41;0;6)=m5;1bg>h4j=0:465`38394?"4j<08mn5a3c695<=6>ol;o1a0?7e32e84i4?:%1a1?5fk2d8n94>c:9l7=e=83.8n846m:18'7g3=;hi0b>l;:0g8?j5?i3:1(>l::2c`?k5e<3;m76a<8883>!5e=39jo6`=h;121<7*1><54o2:4>5<#;k?1?lm4n2`7>74<3f93:7>5$2`6>6gd3g9i87<<;:m0<0<72-9i97=nc:l0f1<5<21d?5:50;&0f0<4ij1e?o:52498k6d4290/?o;53`a8j6d32;<07b=m2;29 6d22:kh7c=m4;04?>i4j80;6)=m5;1bg>h4j=09465`3c294?"4j<08mn5a3c696<=6>ol;o1a0?4e32e8m94?:%1a1?5fk2d8n94=c:9l76j:18'7g3=;hi0b>l;:3g8?j5?;3:1(>l::2c`?k5e<38m76g6e;29 6d220n0b>l;:198m5$2`6>o>13:1(>l::8f8j6d32=10e4650;&0f0<>l2d8n94:;:k:3?6=,:h>64j4n2`7>3=h4j=0<76gn7;29 6d220n0b>l;:998md0=83.8n846d:l0f1<>32cj97>5$2`6>of;3:1(>l::8f8j6d32j10el<50;&0f0<>l2d8n94k;:kb5?6=,:h>64j4n2`7>`=h4j=0m76g6f;29 6d220n0b>l;:028?l?2290/?o;59e9m7g2=9810c?;9:18'7g3=:l;:198k733290/?o;52478j6d32810c?;<:18'7g3=:l;:398k735290/?o;52478j6d32:10c?;>:18'7g3=:l;:598k737290/?o;52478j6d32<10c?:j:18'7g3=:l;:798k72c290/?o;52478j6d32>10c?:l:18'7g3=:l;:998k72e290/?o;52478j6d32010c?:n:18'7g3=:l;:`98k72>290/?o;52478j6d32k10c?:7:18'7g3=:l;:b98k720290/?o;52478j6d32m10c?:9:18'7g3=:l;:d98k722290/?o;52478j6d32o10c?:<:18'7g3=:l;:028?j43:3:1(>l::376?k5e<3;:76a=4083>!5e=38>96`=h:=:1<7*1=>54o31e>5<#;k?1>8;4n2`7>42<3f88i7>5$2`6>7323g9i87?:;:m17a<72-9i97<:5:l0f1<6>21d>>m50;&0f0<5=<1e?o:51698k75e290/?o;52478j6d328207b<7c=m4;3:?>i5=m0;6)=m5;061>h4j=0:m65`24a94?"4j<09985a3c695g=6?;:;o1a0?7c32e9944?:%1a1?42=2d8n94>e:9l60>=83.8n84=549m7g2=9o10c?;8:18'7g3=:l;:328?j43n3:1(>l::376?k5e<38:76a=4583>!5e=38>96`=h::31<7*1>>54ie794?"4j<0o86`4?:%1a1?b33g9i87?4H2a:?>oc:3:1(>l::e68j6d32;1C?n74;hf2>5<#;k?1h95a3c697>N4k010ei>50;&0f0=nko0;6)=m5;f7?k5e<3?0D>m6;:k`a?6=,:h>6i:4n2`7>3=O;j307dmk:18'7g3=l=1e?o:57:J0g<=h4j=037E=l9:9j`a<72-9i97j;;o1a0??<@:i276gkc;29 6d22m>0b>l;:`9K7f?<3`ni6=4+3c79`1=i;k>1n6F!5e=3n?7c=m4;f8L6e>32co47>5$2`6>a2N4k010enm50;&0f01:J0g<=514c94?6|,:in6??8;I1g<>N4l91Qj>4m{9`964<0l3=26;o12g?65<5<5<5<5<5<5<5<5<5<h4j=0;76gl0;29 6d22j;0b>l;:098mg`=83.8n84l1:l0f1<532cii7>5$2`6>f754icf94?"4j<0h=6`oek3:1(>l::b38j6d32<10eol50;&0f06n?4n2`7>2=h4j=0376gla;29 6d22j;0b>l;:898mf?=83.8n84l1:l0f15$2`6>f7od>3:1(>l::b38j6d32m10en;50;&0f06n?4n2`7>c=h4j=0:<65fb883>!5e=3i:7c=m4;32?>ib03:1(>l::d58j6d32910ch850;&0f0;:mf1?6=,:h>6h94n2`7>7=1<7*h4j=0876aj3;29 6d22l=0b>l;:598k`4=83.8n84j7:l0f1<232en=7>5$2`6>`1ia93:1(>l::d58j6d32110ck>50;&0f06h94n2`7>d=h4j=0i76ajd;29 6d22l=0b>l;:b98k`e=83.8n84j7:l0f15$2`6>`1ib13:1(>l::d58j6d328:07bji:18'7g3=m>1e?o:51098m61d290/?o;536`8j6d32910e>9n:18'7g3=;>h0b>l;:098m61>290/?o;536`8j6d32;10e>97:18'7g3=;>h0b>l;:298m610290/?o;536`8j6d32=10e>99:18'7g3=;>h0b>l;:498m612290/?o;536`8j6d32?10e>9;:18'7g3=;>h0b>l;:698m615290/?o;536`8j6d32110e>9>:18'7g3=;>h0b>l;:898m617290/?o;536`8j6d32h10e>8i:18'7g3=;>h0b>l;:c98m60b290/?o;536`8j6d32j10e>8k:18'7g3=;>h0b>l;:e98m60d290/?o;536`8j6d32l10e>8m:18'7g3=;>h0b>l;:g98m60f290/?o;536`8j6d328:07d=99;29 6d22:=i7c=m4;32?>o4>>0;6)=m5;14f>h4j=0:>65f37494?"4j<08;o5a3c6956=6=4+3c7972d6>9m;o1a0?7232c8:>4?:%1a1?50j2d8n94>6:9j734=83.8n84<7c9m7g2=9>10e>8>:18'7g3=;>h0b>l;:0:8?l5183:1(>l::25a?k5e<3;276g<5g83>!5e=39=n;1=o54i27`>5<#;k?1?:l4n2`7>4e<3`9>n7>5$2`6>61e3g9i87?k;:k01d<72-9i97=8b:l0f1<6m21b?8750;&0f0<4?k1e?o:51g98m63?290/?o;536`8j6d32;:07d=:7;29 6d22:=i7c=m4;02?>o4=?0;6)=m5;14f>h4j=09>65f34794?"4j<08;o5a3c6966=6>9m;o1a0?4232c84?4?:%1a1?50j2d8n94=6:9j7=7=83.8n84<7c9m7g2=:>10e>6?:18'7g3=;>h0b>l;:3:8?l50n3:1(>l::25a?k5e<38276g<7d83>!5e=39=n;>n1<7*1>o54i250>5<#;k?1?:l4n2`7>7e<3`9=47>5$2`6>61e3g9i87l;:098m47d290/?o;510g8j6d32;10el;:298m47f290/?o;510g8j6d32=10el;:498m47?290/?o;510g8j6d32?10el;:698m472290/?o;510g8j6d32110el;:898m474290/?o;510g8j6d32h10el;:c98m476290/?o;510g8j6d32j10el;:e98m46a290/?o;510g8j6d32l10e<>j:18'7g3=98o0b>l;:g98m46c290/?o;510g8j6d328:07d??c;29 6d228;n7c=m4;32?>o68h0;6)=m5;32a>h4j=0:>65f11;94?"4j<0:=h5a3c6956=66:9j553=83.8n84>1d9m7g2=9>10e<>;:18'7g3=98o0b>l;:0:8?l77;3:1(>l::03f?k5e<3;276g>0383>!5e=3;:i6`=n99;1<7*1=o54igd94?"4j<0:=h5a3c695f=1=i54igf94?"4j<0:=h5a3c695`=1=k54ig`94?"4j<0:=h5a3c6965=1><54ig;94?"4j<0:=h5a3c6967=1>>54ig594?"4j<0:=h5a3c6961=1>854i006>5<#;k?1=70<3`;987>5$2`6>47b3g9i87<8;:k266<72-9i97?>e:l0f1<5021b=?<50;&0f0<69l1e?o:52898m446290/?o;510g8j6d32;k07d?=0;29 6d228;n7c=m4;0a?>o69?0;6)=m5;32a>h4j=09o65f11`94?"4j<0:=h5a3c696a=5<#;k?1=7`<3f9?m7>5$2`6>62>3g9i87>4;n176>:6;o1a0?7<3f9?;7>5$2`6>62>3g9i87<4;n172?6=,:h>6>:6;o1a0?5<3f9?97>5$2`6>62>3g9i87:4;n170?6=,:h>6>:6;o1a0?3<3f9??7>5$2`6>62>3g9i8784;n176?6=,:h>6>:6;o1a0?1<3f9?<7>5$2`6>62>3g9i8764;n10b?6=,:h>6>:6;o1a0??<3f98i7>5$2`6>62>3g9i87o4;n10`?6=,:h>6>:6;o1a0?d<3f98o7>5$2`6>62>3g9i87m4;n10f?6=,:h>6>:6;o1a0?b<3f98m7>5$2`6>62>3g9i87k4;n10=?6=,:h>6>:6;o1a0?`<3f9847>5$2`6>62>3g9i87??;:m072<72-9i97=;9:l0f1<6921d?>;50;&0f0<4<01e?o:51398k653290/?o;535;8j6d328907b=<3;29 6d22:>27c=m4;37?>i4;;0;6)=m5;17=>h4j=0:965`32394?"4j<08845a3c6953=6>:6;o1a0?7?32e8>h4?:%1a1?5312d8n94>9:9l77b=83.8n84<489m7g2=9h10c>l;:0`8?j55i3:1(>l::26:?k5e<3;h76a<2883>!5e=39?56`=h;;21<7*1=h54o204>5<#;k?1?974n2`7>4`<3f99:7>5$2`6>62>3g9i8727c=m4;07?>i4:80;6)=m5;17=>h4j=09965`34294?"4j<08845a3c6963=m6=4+3c7971?6>:6;o1a0?4?32e88i4?:%1a1?5312d8n94=9:9l71e=83.8n84<489m7g2=:h10c>:m:18'7g3=;=30b>l;:3`8?j5393:1(>l::26:?k5e<38h76a<3783>!5e=39?56`=h;;h1<7*1>h54o203>5<#;k?1?974n2`7>7`<3`9:n7>5$2`6>67f3g9i87>4;h12=?6=,:h>6>?n;o1a0?7<3`9:47>5$2`6>67f3g9i87<4;h123?6=,:h>6>?n;o1a0?5<3`9::7>5$2`6>67f3g9i87:4;h121?6=,:h>6>?n;o1a0?3<3`9:87>5$2`6>67f3g9i8784;h127?6=,:h>6>?n;o1a0?1<3`;?47>5$2`6>4203g9i87>4;h372?6=,:h>6<:8;o1a0?7<3`;?97>5$2`6>4203g9i87<4;h370?6=,:h>6<:8;o1a0?5<3`;??7>5$2`6>4203g9i87:4;h376?6=,:h>6<:8;o1a0?3<3`;?=7>5$2`6>4203g9i8784;h374?6=,:h>6<:8;o1a0?1<3`;>=7>5$2`6>4203g9i8764;h364?6=,:h>6<:8;o1a0??<3`;?j7>5$2`6>4203g9i87o4;h37a?6=,:h>6<:8;o1a0?d<3`;?h7>5$2`6>4203g9i87m4;h37g?6=,:h>6<:8;o1a0?b<3`;?n7>5$2`6>4203g9i87k4;h37e?6=,:h>6<:8;o1a0?`<3`;?57>5$2`6>4203g9i87??;:k27c<72-9i97?;7:l0f1<6921d?lj50;&0f0<4ij1e?o:50:9l7dd=83.8n84i41>0;6)=m5;1bg>h4j=0:?65`38494?"4j<08mn5a3c6951=6=4+3c797de6>ol;o1a0?7132e85>4?:%1a1?5fk2d8n94>7:9l7<4=83.8n847>:18'7g3=;hi0b>l;:0;8?j5>83:1(>l::2c`?k5e<3;j76a<8g83>!5e=39jo6`=h;1n1<7*1=n54o2:`>5<#;k?1?lm4n2`7>4b<3f93n7>5$2`6>6gd3g9i87?j;:m0?290/?o;53`a8j6d32;;07b=77;29 6d22:kh7c=m4;01?>i40?0;6)=m5;1bg>h4j=09?65`39794?"4j<08mn5a3c6961=6>ol;o1a0?4132e8n?4?:%1a1?5fk2d8n94=7:9l7g7=83.8n84l?:18'7g3=;hi0b>l;:3;8?j5fn3:1(>l::2c`?k5e<38j76a!5e=39jo6`=h;h>1<7*1>n54o2;:>5<#;k?1?lm4n2`7>7b<3f93i7>5$2`6>6gd3g9i874;h;`>5<#;k?15i5a3c695>=n1k0;6)=m5;;g?k5e<3807d7n:18'7g3=1m1e?o:53:9j=<<72-9i977k;o1a0?2<3`336=4+3c79=a=i;k>1965f9683>!5e=33o7c=m4;48?l?1290/?o;59e9m7g2=?21bm:4?:%1a1??c3g9i8764;hc5>5<#;k?15i5a3c69=>=ni<0;6)=m5;;g?k5e<3k07do;:18'7g3=1m1e?o:5b:9je6<72-9i977k;o1a0?e<3`k96=4+3c79=a=i;k>1h65fa083>!5e=33o7c=m4;g8?lg7290/?o;59e9m7g2=n21b5k4?:%1a1??c3g9i87??;:k:1?6=,:h>64j4n2`7>47<3f8>:7>5$2`6>7323g9i87>4;n060?6=,:h>6?;:;o1a0?7<3f8>?7>5$2`6>7323g9i87<4;n066?6=,:h>6?;:;o1a0?5<3f8>=7>5$2`6>7323g9i87:4;n064?6=,:h>6?;:;o1a0?3<3f8?i7>5$2`6>7323g9i8784;n07`?6=,:h>6?;:;o1a0?1<3f8?o7>5$2`6>7323g9i8764;n07f?6=,:h>6?;:;o1a0??<3f8?m7>5$2`6>7323g9i87o4;n07=?6=,:h>6?;:;o1a0?d<3f8?47>5$2`6>7323g9i87m4;n073?6=,:h>6?;:;o1a0?b<3f8?:7>5$2`6>7323g9i87k4;n071?6=,:h>6?;:;o1a0?`<3f8??7>5$2`6>7323g9i87??;:m107<72-9i97<:5:l0f1<6921d>9?50;&0f0<5=<1e?o:51398k727290/?o;52478j6d328907b<7c=m4;37?>i5;l0;6)=m5;061>h4j=0:965`22f94?"4j<09985a3c6953=6?;:;o1a0?7?32e9?l4?:%1a1?42=2d8n94>9:9l60b=83.8n84=549m7g2=9h10c?;l:18'7g3=:l;:0`8?j42j3:1(>l::376?k5e<3;h76a=5`83>!5e=38>96`=h:<31<7*1=h54o37;>5<#;k?1>8;4n2`7>4`<3f8>;7>5$2`6>7323g9i879:50;&0f0<5=<1e?o:52398k75>290/?o;52478j6d32;907dj::18'7g3=l=1e?o:50:J0g<=h4j=0:7E=l9:9j`7<72-9i97j;;o1a0?4<@:i276gk1;29 6d22m>0b>l;:29K7f?<3`n;6=4+3c79`1=i;k>186F!5e=3n?7c=m4;48L6e>32chh7>5$2`6>a2ocj3:1(>l::e68j6d32k1C?n74;hfb>5<#;k?1h95a3c69g>N4k010ei750;&0f0=nl10;6)=m5;f7?k5e<3o0D>m6;:kg3?6=,:h>6i:4n2`7>c=O;j307dj9:18'7g3=l=1e?o:5119K7f?<3`ih6=4+3c79`1=i;k>1=<5G3b;8?xd4n80;684?:1y'7fc=:?:0D>j7;I1g4>"49m0::;74i014>5<5<5<7>53;294~"4kl08h:5G3e:8L6b73-9:h7?9689j561=831b>>>50;9l746=831vn>h<:180>5<7s-9hi7<>d:J0`==O;m:0(?N4l91/>?k52:ke0?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<55;294~"4kl09:=5G3e:8L6b73-9:h7?9689j561=831b><>50;9j7f3=831d?<>50;9l667=831vn>h8:186>5<7s-9hi7<90:J0`==O;m:0(>?k:045=>o6;>0;66g=1183>>o4k<0;66a<1183>>i5;80;66sm3g:94?5=83:p(>mj:2f4?M5c02B8h=5+30f9530>3`;8;7>5;h004?6=3f9:<7>5;|`0b<<72<0;6=u+3bg9636<@:n37E=k0:&05a<6>?30e<=8:188m7772900e>m::188k6772900c?=>:188yg5ai3:187>50z&0g`<5=o1C?i64H2f3?!56l3;=:45f12594?=n:8:1<75f3b794?=h;8:1<75rb2da>5<4290;w)=le;1g3>N4l11C?i>4$23g>40112c:?:4?::k175<722e8==4?::a7ce=83?1<7>t$2af>7073A9o46F40112d2<7?4n8095>he?3;0bo651:l262<73-;947=>2:l26g<73g;8o7?4n335>4=i:m;:2ag?l7483:17d=me;29?l5en3:17d?<1;29?l5d83:17d?<4;29?l75n3:17b?=a;29?l5d:3:17d=l1;29?l7403:17d=md;29?l74;3:17d?=e;29?l75>3:17d?<5;29?l75l3:17b?=9;29?l5ek3:17d=l3;29?le5290/?o;5c09m7g2=821bo=4?:%1a1?e63g9i87?4;h`e>5<#;k?1o<5a3c696>=njl0;6)=m5;a2?k5e<3907dlk:18'7g3=k81e?o:54:9jff<72-9i97m>;o1a0?3<3`hi6=4+3c79g4=i;k>1:65fb`83>!5e=3i:7c=m4;58?lee290/?o;5c09m7g2=021bol4?:%1a1?e63g9i8774;ha:>5<#;k?1o<5a3c69e>=nk10;6)=m5;a2?k5e<3h07dm8:18'7g3=k81e?o:5c:9jg3<72-9i97m>;o1a0?b<3`i>6=4+3c79g4=i;k>1i65fc583>!5e=3i:7c=m4;d8?le4290/?o;5c09m7g2=9910eo750;&0f01:9la=<72-9i97k8;o1a0?6<3fo=6=4+3c79a2=i;k>1=65`e483>!5e=3o<7c=m4;08?jc3290/?o;5e69m7g2=;21di>4?:%1a1?c03g9i87:4;ng1>5<#;k?1i:5a3c691>=hm80;6)=m5;g4?k5e<3<07bk?:18'7g3=m>1e?o:57:9lb4<72-9i97k8;o1a0?><3fl;6=4+3c79a2=i;k>1565`eg83>!5e=3o<7c=m4;c8?jcb290/?o;5e69m7g2=j21dii4?:%1a1?c03g9i87m4;ng`>5<#;k?1i:5a3c69`>=hmk0;6)=m5;g4?k5e<3o07bkn:18'7g3=m>1e?o:5f:9la<<72-9i97k8;o1a0?7732eoj7>5$2`6>`16>9m;o1a0?6<3`95$2`6>61e3g9i87?4;h14=?6=,:h>6>9m;o1a0?4<3`9<47>5$2`6>61e3g9i87=4;h143?6=,:h>6>9m;o1a0?2<3`9<:7>5$2`6>61e3g9i87;4;h141?6=,:h>6>9m;o1a0?0<3`9<87>5$2`6>61e3g9i8794;h146?6=,:h>6>9m;o1a0?><3`9<=7>5$2`6>61e3g9i8774;h144?6=,:h>6>9m;o1a0?g<3`9=j7>5$2`6>61e3g9i87l4;h15a?6=,:h>6>9m;o1a0?e<3`9=h7>5$2`6>61e3g9i87j4;h15g?6=,:h>6>9m;o1a0?c<3`9=n7>5$2`6>61e3g9i87h4;h15e?6=,:h>6>9m;o1a0?7732c8:44?:%1a1?50j2d8n94>1:9j731=83.8n84<7c9m7g2=9;10e>89:18'7g3=;>h0b>l;:018?l51=3:1(>l::25a?k5e<3;?76g<6583>!5e=39=n;?91<7*1=;54i241>5<#;k?1?:l4n2`7>41<3`9==7>5$2`6>61e3g9i87?7;:k025<72-9i97=8b:l0f1<6121b?8h50;&0f0<4?k1e?o:51`98m63b290/?o;536`8j6d328h07d=:c;29 6d22:=i7c=m4;3`?>o4=k0;6)=m5;14f>h4j=0:h65f34c94?"4j<08;o5a3c695`=6>9m;o1a0?4732c89:4?:%1a1?50j2d8n94=1:9j700=83.8n84<7c9m7g2=:;10e>;::18'7g3=;>h0b>l;:318?l52<3:1(>l::25a?k5e<38?76g<5283>!5e=39=n;181<7*1>;54i2:2>5<#;k?1?:l4n2`7>71<3`93<7>5$2`6>61e3g9i87<7;:k03c<72-9i97=8b:l0f1<5121b?:k50;&0f0<4?k1e?o:52`98m61c290/?o;536`8j6d32;h07d=83;29 6d22:=i7c=m4;0`?>o4>10;6)=m5;14f>h4j=09h65f34f94?"4j<08;o5a3c696`=65$2`6>47b3g9i87?4;h32g?6=,:h>65$2`6>47b3g9i87=4;h32e?6=,:h>65$2`6>47b3g9i87;4;h3265$2`6>47b3g9i8794;h321?6=,:h>6<3`;:87>5$2`6>47b3g9i8774;h327?6=,:h>67>5$2`6>47b3g9i87l4;h325?6=,:h>65$2`6>47b3g9i87j4;h33b?6=,:h>65$2`6>47b3g9i87h4;h33`?6=,:h>61:9j55g=83.8n84>1d9m7g2=9;10e<>6:18'7g3=98o0b>l;:018?l7703:1(>l::03f?k5e<3;?76g>0683>!5e=3;:i6`=n99<1<7*1=;54i026>5<#;k?1=41<3`;;87>5$2`6>47b3g9i87?7;:k246<72-9i97?>e:l0f1<6121b==<50;&0f0<69l1e?o:51`98m466290/?o;510g8j6d328h07dhi:18'7g3=98o0b>l;:0a8?l`b290/?o;510g8j6d328n07dhk:18'7g3=98o0b>l;:0g8?l`d290/?o;510g8j6d328l07dhm:18'7g3=98o0b>l;:328?l`f290/?o;510g8j6d32;;07dh6:18'7g3=98o0b>l;:308?l`?290/?o;510g8j6d32;907dh8:18'7g3=98o0b>l;:368?l`1290/?o;510g8j6d32;?07d?=5;29 6d228;n7c=m4;05?>o6:=0;6)=m5;32a>h4j=09;65f13194?"4j<0:=h5a3c696==6=4?:%1a1?76m2d8n94=b:9j540=83.8n84>1d9m7g2=:j10e<>m:18'7g3=98o0b>l;:3f8?l7783:1(>l::03f?k5e<38n76gi5;29 6d228;n7c=m4;0e?>i4h4j=0;76a<4983>!5e=39?56`i4<>0;6)=m5;17=>h4j=0976a<4783>!5e=39?56`i4<<0;6)=m5;17=>h4j=0?76a<4583>!5e=39?56`i4<:0;6)=m5;17=>h4j=0=76a<4383>!5e=39?56`i4<90;6)=m5;17=>h4j=0376a<3g83>!5e=39?56`i4;l0;6)=m5;17=>h4j=0j76a<3e83>!5e=39?56`i4;j0;6)=m5;17=>h4j=0h76a<3c83>!5e=39?56`i4;h0;6)=m5;17=>h4j=0n76a<3883>!5e=39?56`i4;10;6)=m5;17=>h4j=0:<65`32594?"4j<08845a3c6954=6=4+3c7971?6>:6;o1a0?7432e8?>4?:%1a1?5312d8n94>4:9l764=83.8n84<489m7g2=9<10c>=>:18'7g3=;=30b>l;:048?j5483:1(>l::26:?k5e<3;<76a<2g83>!5e=39?56`=h;;o1<7*1=454o20g>5<#;k?1?974n2`7>4g<3f99o7>5$2`6>62>3g9i87?m;:m06d<72-9i97=;9:l0f1<6k21d??750;&0f0<4<01e?o:51e98k64?290/?o;535;8j6d328o07b==7;29 6d22:>27c=m4;3e?>i4:?0;6)=m5;17=>h4j=09<65`33794?"4j<08845a3c6964=6>:6;o1a0?4432e8>?4?:%1a1?5312d8n94=4:9l777=83.8n84<489m7g2=:<10c>;?:18'7g3=;=30b>l;:348?j53n3:1(>l::26:?k5e<38<76a<4d83>!5e=39?56`=h;=n1<7*1>454o26`>5<#;k?1?974n2`7>7g<3f9?n7>5$2`6>62>3g9i87850;&0f0<4<01e?o:52e98k64e290/?o;535;8j6d32;o07b==0;29 6d22:>27c=m4;0e?>o49k0;6)=m5;12e>h4j=0;76g<1883>!5e=39:m6`o4910;6)=m5;12e>h4j=0976g<1683>!5e=39:m6`o49?0;6)=m5;12e>h4j=0?76g<1483>!5e=39:m6`o49=0;6)=m5;12e>h4j=0=76g<1283>!5e=39:m6`o6<10;6)=m5;373>h4j=0;76g>4783>!5e=3;?;6`o6<<0;6)=m5;373>h4j=0976g>4583>!5e=3;?;6`o6<:0;6)=m5;373>h4j=0?76g>4383>!5e=3;?;6`o6<80;6)=m5;373>h4j=0=76g>4183>!5e=3;?;6`o6=80;6)=m5;373>h4j=0376g>5183>!5e=3;?;6`o6h4j=0j76g>4d83>!5e=3;?;6`o6h4j=0h76g>4b83>!5e=3;?;6`o6h4j=0n76g>4`83>!5e=3;?;6`o6<00;6)=m5;373>h4j=0:<65f12d94?"4j<0:8:5a3c6954=5<#;k?1?lm4n2`7>4=5<#;k?1?lm4n2`7>6=5<#;k?1?lm4n2`7>0=5<#;k?1?lm4n2`7>2=5<#;k?1?lm4n2`7><=5<#;k?1?lm4n2`7>g=5<#;k?1?lm4n2`7>a=5<#;k?1?lm4n2`7>c=4;n1:e?6=,:h>6>ol;o1a0?7632e8554?:%1a1?5fk2d8n94>2:9l7<1=83.8n8479:18'7g3=;hi0b>l;:068?j5>=3:1(>l::2c`?k5e<3;>76a<9583>!5e=39jo6`=h;091<7*1=:54o2;1>5<#;k?1?lm4n2`7>4><3f92=7>5$2`6>6gd3g9i87?6;:m0=5<72-9i97=nc:l0f1<6i21d?5h50;&0f0<4ij1e?o:51c98k6>c290/?o;53`a8j6d328i07b=7c;29 6d22:kh7c=m4;3g?>i40k0;6)=m5;1bg>h4j=0:i65`39c94?"4j<08mn5a3c695c=4;n1;6>ol;o1a0?4632e84:4?:%1a1?5fk2d8n94=2:9l7=0=83.8n846::18'7g3=;hi0b>l;:368?j5?<3:1(>l::2c`?k5e<38>76a!5e=39jo6`=h;k81<7*1>:54o2`2>5<#;k?1?lm4n2`7>7><3f9i<7>5$2`6>6gd3g9i87<6;:m0ec<72-9i97=nc:l0f1<5i21d?lk50;&0f0<4ij1e?o:52c98k6g3290/?o;53`a8j6d32;i07b=69;29 6d22:kh7c=m4;0g?>i40l0;6)=m5;1bg>h4j=09i65`39194?"4j<08mn5a3c696c=h4j=0;76g6c;29 6d220n0b>l;:098m5$2`6>54i8;94?"4j<02h6`o>03:1(>l::8f8j6d32<10e4950;&0f0<>l2d8n949;:k:2?6=,:h>64j4n2`7>2=h4j=0376gn6;29 6d220n0b>l;:898md3=83.8n846d:l0f15$2`6>of:3:1(>l::8f8j6d32m10el?50;&0f0<>l2d8n94j;:kb4?6=,:h>64j4n2`7>c=h4j=0:<65f9483>!5e=33o7c=m4;32?>i5=?0;6)=m5;061>h4j=0;76a=5583>!5e=38>96`i5=:0;6)=m5;061>h4j=0976a=5383>!5e=38>96`i5=80;6)=m5;061>h4j=0?76a=5183>!5e=38>96`i5h4j=0=76a=4e83>!5e=38>96`i5h4j=0376a=4c83>!5e=38>96`i5h4j=0j76a=4883>!5e=38>96`i5<10;6)=m5;061>h4j=0h76a=4683>!5e=38>96`i5h4j=0n76a=4483>!5e=38>96`i5<:0;6)=m5;061>h4j=0:<65`25094?"4j<09985a3c6954=:6=4+3c796036?;:;o1a0?7432e9?k4?:%1a1?42=2d8n94>4:9l66c=83.8n84=549m7g2=9<10c?=k:18'7g3=:l;:048?j44k3:1(>l::376?k5e<3;<76a=3c83>!5e=38>96`=h::k1<7*1=454o37g>5<#;k?1>8;4n2`7>4g<3f8>o7>5$2`6>7323g9i87?m;:m11g<72-9i97<:5:l0f1<6k21d>8o50;&0f0<5=<1e?o:51e98k73>290/?o;52478j6d328o07b<:8;29 6d22;?>7c=m4;3e?>i5=>0;6)=m5;061>h4j=09<65`25d94?"4j<09985a3c6964=?6=4+3c796036?;:;o1a0?4432co97>5$2`6>a2oc83:1(>l::e68j6d32=1C?n74;hae>5<#;k?1h95a3c691>N4k010enk50;&0f0=nkm0;6)=m5;f7?k5e<3=0D>m6;:kga?6=,:h>6i:4n2`7>==O;j307djk:18'7g3=l=1e?o:59:J0g<=h4j=0j7E=l9:9j`g<72-9i97j;;o1a0?d<@:i276gka;29 6d22m>0b>l;:b9K7f?<3`n26=4+3c79`1=i;k>1h6F=83.8n84k4:l0f1!5e=3n?7c=m4;d8L6e>32co:7>5$2`6>a24H2a:?>odk3:1(>l::e68j6d328;0D>m6;:a7cc=83;>m7>50z&0g`<59>1C?i64H2f3?_`42kq3n7<>:6f93<<6l3;h6:957b82a?7e2<;1q)=>d;352<=i190:7c7=:09mf2<63gh36<5a13594>"6:108=?5a13`94>h6;j0:7c<>6;38j73b281e?l4?::k0g7<722c8o<4?::k27=<722c8ni4?::k276<722c:>h4?::k263<722c:?84?::k26a<722e:>44?::k0ff<722c8o>4?::k`6?6=,:h>6n?4n2`7>5=h4j=0:76gmf;29 6d22j;0b>l;:398mgc=83.8n84l1:l0f1<432cih7>5$2`6>f7oej3:1(>l::b38j6d32?10eoo50;&0f06n?4n2`7>==h4j=0276gl9;29 6d22j;0b>l;:`98mf>=83.8n84l1:l0f15$2`6>f7od=3:1(>l::b38j6d32l10en:50;&0f06n?4n2`7>46<3`h26=4+3c79g4=i;k>1=<54od:94?"4j<0n;6`ib>3:1(>l::d58j6d32810ch;50;&0f06h94n2`7>6=h4j=0?76aj2;29 6d22l=0b>l;:498k`7=83.8n84j7:l0f1<132en<7>5$2`6>`1ia83:1(>l::d58j6d32010chh50;&0f06h94n2`7>g=h4j=0h76ajc;29 6d22l=0b>l;:e98k`d=83.8n84j7:l0f15$2`6>`1=hlo0;6)=m5;g4?k5e<3;:76g<7b83>!5e=39o4?h0;6)=m5;14f>h4j=0:76g<7883>!5e=39o4?10;6)=m5;14f>h4j=0876g<7683>!5e=39o4??0;6)=m5;14f>h4j=0>76g<7483>!5e=39o4?=0;6)=m5;14f>h4j=0<76g<7383>!5e=39o4?80;6)=m5;14f>h4j=0276g<7183>!5e=39o4>o0;6)=m5;14f>h4j=0i76g<6d83>!5e=39o4>m0;6)=m5;14f>h4j=0o76g<6b83>!5e=39o4>k0;6)=m5;14f>h4j=0m76g<6`83>!5e=39=n;?31<7*1=<54i244>5<#;k?1?:l4n2`7>44<3`9=:7>5$2`6>61e3g9i87?<;:k020<72-9i97=8b:l0f1<6<21b?;:50;&0f0<4?k1e?o:51498m604290/?o;536`8j6d328<07d=92;29 6d22:=i7c=m4;34?>o4>80;6)=m5;14f>h4j=0:465f37294?"4j<08;o5a3c695<=6>9m;o1a0?7e32c89n4?:%1a1?50j2d8n94>c:9j70d=83.8n84<7c9m7g2=9m10e>;n:18'7g3=;>h0b>l;:0g8?l5213:1(>l::25a?k5e<3;m76g<5983>!5e=39=n;<=1<7*1><54i275>5<#;k?1?:l4n2`7>74<3`9>97>5$2`6>61e3g9i87<<;:k011<72-9i97=8b:l0f1<5<21b?8=50;&0f0<4?k1e?o:52498m6>5290/?o;536`8j6d32;<07d=71;29 6d22:=i7c=m4;04?>o4090;6)=m5;14f>h4j=09465f36d94?"4j<08;o5a3c696<=6>9m;o1a0?4e32c8;>4?:%1a1?50j2d8n94=c:9j73>=83.8n84<7c9m7g2=:m10e>;k:18'7g3=;>h0b>l;:3g8?l52:3:1(>l::25a?k5e<38m76g>1g83>!5e=3;:i6`o69m0;6)=m5;32a>h4j=0:76g>1b83>!5e=3;:i6`o69k0;6)=m5;32a>h4j=0876g>1`83>!5e=3;:i6`o6900;6)=m5;32a>h4j=0>76g>1983>!5e=3;:i6`o69>0;6)=m5;32a>h4j=0<76g>1483>!5e=3;:i6`o69=0;6)=m5;32a>h4j=0276g>1283>!5e=3;:i6`o69;0;6)=m5;32a>h4j=0i76g>1083>!5e=3;:i6`o6990;6)=m5;32a>h4j=0o76g>0g83>!5e=3;:i6`o68l0;6)=m5;32a>h4j=0m76g>0e83>!5e=3;:i6`=n99i1<7*1=<54i02b>5<#;k?1=44<3`;;57>5$2`6>47b3g9i87?<;:k24=<72-9i97?>e:l0f1<6<21b==950;&0f0<69l1e?o:51498m461290/?o;510g8j6d328<07d??5;29 6d228;n7c=m4;34?>o68=0;6)=m5;32a>h4j=0:465f11194?"4j<0:=h5a3c695<=65$2`6>47b3g9i87?l;:kea?6=,:h>65$2`6>47b3g9i87?j;:keg?6=,:h>65$2`6>47b3g9i8765$2`6>47b3g9i87<=;:ke65$2`6>47b3g9i87<;;:ke2?6=,:h>684?:%1a1?76m2d8n94=6:9j572=83.8n84>1d9m7g2=:>10e<<<:18'7g3=98o0b>l;:3:8?l75:3:1(>l::03f?k5e<38276g>2083>!5e=3;:i6`=n9;:1<7*1>o54i035>5<#;k?1=7e<3`;;n7>5$2`6>47b3g9i87e:l0f1<5m21bj84?:%1a1?76m2d8n94=f:9l71g=83.8n84<489m7g2=821d?9650;&0f0<4<01e?o:51:9l711=83.8n84<489m7g2=:21d?9850;&0f0<4<01e?o:53:9l713=83.8n84<489m7g2=<21d?9:50;&0f0<4<01e?o:55:9l715=83.8n84<489m7g2=>21d?9<50;&0f0<4<01e?o:57:9l716=83.8n84<489m7g2=021d?>h50;&0f0<4<01e?o:59:9l76c=83.8n84<489m7g2=i21d?>j50;&0f0<4<01e?o:5b:9l76e=83.8n84<489m7g2=k21d?>l50;&0f0<4<01e?o:5d:9l76g=83.8n84<489m7g2=m21d?>750;&0f0<4<01e?o:5f:9l76>=83.8n84<489m7g2=9910c>=8:18'7g3=;=30b>l;:038?j54=3:1(>l::26:?k5e<3;976a<3583>!5e=39?56`=h;:91<7*1=954o211>5<#;k?1?974n2`7>43<3f98=7>5$2`6>62>3g9i87?9;:m075<72-9i97=;9:l0f1<6?21d??h50;&0f0<4<01e?o:51998k64b290/?o;535;8j6d328307b==d;29 6d22:>27c=m4;3b?>i4:j0;6)=m5;17=>h4j=0:n65`33c94?"4j<08845a3c695f=6>:6;o1a0?7b32e8>:4?:%1a1?5312d8n94>f:9l770=83.8n84<489m7g2=:910c><::18'7g3=;=30b>l;:338?j55<3:1(>l::26:?k5e<38976a<2283>!5e=39?56`=h;;81<7*1>954o202>5<#;k?1?974n2`7>73<3f9><7>5$2`6>62>3g9i87<9;:m00c<72-9i97=;9:l0f1<5?21d?9k50;&0f0<4<01e?o:52998k62c290/?o;535;8j6d32;307b=;c;29 6d22:>27c=m4;0b?>i4h4j=09n65`35394?"4j<08845a3c696f=6>:6;o1a0?4b32e8>=4?:%1a1?5312d8n94=f:9j74d=83.8n84<1`9m7g2=821b?<750;&0f0<49h1e?o:51:9j74>=83.8n84<1`9m7g2=:21b?<950;&0f0<49h1e?o:53:9j740=83.8n84<1`9m7g2=<21b?<;50;&0f0<49h1e?o:55:9j742=83.8n84<1`9m7g2=>21b?<=50;&0f0<49h1e?o:57:9j51>=83.8n84>469m7g2=821b=9850;&0f0<6<>1e?o:51:9j513=83.8n84>469m7g2=:21b=9:50;&0f0<6<>1e?o:53:9j515=83.8n84>469m7g2=<21b=9<50;&0f0<6<>1e?o:55:9j517=83.8n84>469m7g2=>21b=9>50;&0f0<6<>1e?o:57:9j507=83.8n84>469m7g2=021b=8>50;&0f0<6<>1e?o:59:9j51`=83.8n84>469m7g2=i21b=9k50;&0f0<6<>1e?o:5b:9j51b=83.8n84>469m7g2=k21b=9m50;&0f0<6<>1e?o:5d:9j51d=83.8n84>469m7g2=m21b=9o50;&0f0<6<>1e?o:5f:9j51?=83.8n84>469m7g2=9910e<=i:18'7g3=9==0b>l;:038?j5fl3:1(>l::2c`?k5e<3:07b=nb;29 6d22:kh7c=m4;38?j5fi3:1(>l::2c`?k5e<3807b=n9;29 6d22:kh7c=m4;18?j5f03:1(>l::2c`?k5e<3>07b=n7;29 6d22:kh7c=m4;78?j5f>3:1(>l::2c`?k5e<3<07b=n5;29 6d22:kh7c=m4;58?j5f;3:1(>l::2c`?k5e<3207b=n2;29 6d22:kh7c=m4;;8?j5f93:1(>l::2c`?k5e<3k07b=n0;29 6d22:kh7c=m4;`8?j5>n3:1(>l::2c`?k5e<3i07b=6e;29 6d22:kh7c=m4;f8?j5>l3:1(>l::2c`?k5e<3o07b=6c;29 6d22:kh7c=m4;d8?j5>j3:1(>l::2c`?k5e<3;;76a<9`83>!5e=39jo6`=h;021<7*1=?54o2;4>5<#;k?1?lm4n2`7>45<3f92:7>5$2`6>6gd3g9i87?;;:m0=0<72-9i97=nc:l0f1<6=21d?4:50;&0f0<4ij1e?o:51798k6?4290/?o;53`a8j6d328=07b=62;29 6d22:kh7c=m4;3;?>i4180;6)=m5;1bg>h4j=0:565`38294?"4j<08mn5a3c695d=6>ol;o1a0?7d32e84n4?:%1a1?5fk2d8n94>d:9l7=d=83.8n846n:18'7g3=;hi0b>l;:0d8?j5?13:1(>l::2c`?k5e<38;76a<8983>!5e=39jo6`=h;1=1<7*1>?54o2:5>5<#;k?1?lm4n2`7>75<3f9397>5$2`6>6gd3g9i87<;;:m0<1<72-9i97=nc:l0f1<5=21d?o=50;&0f0<4ij1e?o:52798k6d5290/?o;53`a8j6d32;=07b=m1;29 6d22:kh7c=m4;0;?>i4j90;6)=m5;1bg>h4j=09565`3`d94?"4j<08mn5a3c696d=6>ol;o1a0?4d32e8544?:%1a1?5fk2d8n94=d:9l7=c=83.8n846<:18'7g3=;hi0b>l;:3d8?l?b290/?o;59e9m7g2=821b5n4?:%1a1??c3g9i87?4;h;a>5<#;k?15i5a3c696>=n1h0;6)=m5;;g?k5e<3907d76:18'7g3=1m1e?o:54:9j==<72-9i977k;o1a0?3<3`3<6=4+3c79=a=i;k>1:65f9783>!5e=33o7c=m4;58?lg0290/?o;59e9m7g2=021bm;4?:%1a1??c3g9i8774;hc6>5<#;k?15i5a3c69e>=ni=0;6)=m5;;g?k5e<3h07do<:18'7g3=1m1e?o:5c:9je7<72-9i977k;o1a0?b<3`k:6=4+3c79=a=i;k>1i65fa183>!5e=33o7c=m4;d8?l?a290/?o;59e9m7g2=9910e4;50;&0f0<>l2d8n94>1:9l600=83.8n84=549m7g2=821d>8:50;&0f0<5=<1e?o:51:9l605=83.8n84=549m7g2=:21d>8<50;&0f0<5=<1e?o:53:9l607=83.8n84=549m7g2=<21d>8>50;&0f0<5=<1e?o:55:9l61c=83.8n84=549m7g2=>21d>9j50;&0f0<5=<1e?o:57:9l61e=83.8n84=549m7g2=021d>9l50;&0f0<5=<1e?o:59:9l61g=83.8n84=549m7g2=i21d>9750;&0f0<5=<1e?o:5b:9l61>=83.8n84=549m7g2=k21d>9950;&0f0<5=<1e?o:5d:9l610=83.8n84=549m7g2=m21d>9;50;&0f0<5=<1e?o:5f:9l615=83.8n84=549m7g2=9910c?:=:18'7g3=:l;:038?j4393:1(>l::376?k5e<3;976a=4183>!5e=38>96`=h::l1<7*1=954o31f>5<#;k?1>8;4n2`7>43<3f88h7>5$2`6>7323g9i87?9;:m17f<72-9i97<:5:l0f1<6?21d>>l50;&0f0<5=<1e?o:51998k75f290/?o;52478j6d328307b<:d;29 6d22;?>7c=m4;3b?>i5=j0;6)=m5;061>h4j=0:n65`24`94?"4j<09985a3c695f=6?;:;o1a0?7b32e9954?:%1a1?42=2d8n94>f:9l601=83.8n84=549m7g2=:910c?:i:18'7g3=:l;:338?j43<3:1(>l::376?k5e<38976a=3883>!5e=38>96`=nl<0;6)=m5;f7?k5e<3:0D>m6;:kg7?6=,:h>6i:4n2`7>4=O;j307dj=:18'7g3=l=1e?o:52:J0g<=h4j=087E=l9:9j`5<72-9i97j;;o1a0?2<@:i276glf;29 6d22m>0b>l;:49K7f?<3`in6=4+3c79`1=i;k>1:6F!5e=3n?7c=m4;:8L6e>32coh7>5$2`6>a2oc13:1(>l::e68j6d32m1C?n74;hf;>5<#;k?1h95a3c69a>N4k010ei950;&0f0=nl?0;6)=m5;f7?k5e<3;;7E=l9:9jgf<72-9i97j;;o1a0?763A9h565rb2de>5<6=h0;6=u+3bg9641<@:n37E=k0:Xe7?d|0k09=79k:6;95a<6k3=<6:m51d82f?362t.8=i4>67;8j<6=92d2>7?4nc595>he03;0b<<8:19'57>=;880b<;o06a?7"4k=08oi5f12294?=n;ko1<75f3cd94?=n9:;1<75f3b294?=n9:>1<75f13d94?=h9;k1<75f3b094?=n;j;1<75f12:94?=n;kn1<75f12194?=n9;o1<75f13494?=n9:?1<75f13f94?=h9;31<75f3ca94?=n;j91<75fc383>!5e=3i:7c=m4;28?le7290/?o;5c09m7g2=921bnk4?:%1a1?e63g9i87<4;h`f>5<#;k?1o<5a3c697>=njm0;6)=m5;a2?k5e<3>07dll:18'7g3=k81e?o:55:9jfg<72-9i97m>;o1a0?0<3`hj6=4+3c79g4=i;k>1;65fcc83>!5e=3i:7c=m4;:8?lef290/?o;5c09m7g2=121bo44?:%1a1?e63g9i87o4;ha;>5<#;k?1o<5a3c69f>=nk>0;6)=m5;a2?k5e<3i07dm9:18'7g3=k81e?o:5d:9jg0<72-9i97m>;o1a0?c<3`i?6=4+3c79g4=i;k>1j65fc283>!5e=3i:7c=m4;33?>oe13:1(>l::b38j6d328;07bk7:18'7g3=m>1e?o:50:9la3<72-9i97k8;o1a0?7<3fo>6=4+3c79a2=i;k>1>65`e583>!5e=3o<7c=m4;18?jc4290/?o;5e69m7g2=<21di?4?:%1a1?c03g9i87;4;ng2>5<#;k?1i:5a3c692>=hm90;6)=m5;g4?k5e<3=07bh>:18'7g3=m>1e?o:58:9lb5<72-9i97k8;o1a0??<3fom6=4+3c79a2=i;k>1m65`ed83>!5e=3o<7c=m4;`8?jcc290/?o;5e69m7g2=k21din4?:%1a1?c03g9i87j4;nga>5<#;k?1i:5a3c69a>=hmh0;6)=m5;g4?k5e<3l07bk6:18'7g3=m>1e?o:51198ka`=83.8n84j7:l0f1<6921b?:m50;&0f0<4?k1e?o:50:9j72g=83.8n84<7c9m7g2=921b?:750;&0f0<4?k1e?o:52:9j72>=83.8n84<7c9m7g2=;21b?:950;&0f0<4?k1e?o:54:9j720=83.8n84<7c9m7g2==21b?:;50;&0f0<4?k1e?o:56:9j722=83.8n84<7c9m7g2=?21b?:<50;&0f0<4?k1e?o:58:9j727=83.8n84<7c9m7g2=121b?:>50;&0f0<4?k1e?o:5a:9j73`=83.8n84<7c9m7g2=j21b?;k50;&0f0<4?k1e?o:5c:9j73b=83.8n84<7c9m7g2=l21b?;m50;&0f0<4?k1e?o:5e:9j73d=83.8n84<7c9m7g2=n21b?;o50;&0f0<4?k1e?o:51198m60>290/?o;536`8j6d328;07d=97;29 6d22:=i7c=m4;31?>o4>?0;6)=m5;14f>h4j=0:?65f37794?"4j<08;o5a3c6951=6>9m;o1a0?7132c8:?4?:%1a1?50j2d8n94>7:9j737=83.8n84<7c9m7g2=9110e>8?:18'7g3=;>h0b>l;:0;8?l52n3:1(>l::25a?k5e<3;j76g<5d83>!5e=39=n;1=n54i27a>5<#;k?1?:l4n2`7>4b<3`9>m7>5$2`6>61e3g9i87?j;:k01<<72-9i97=8b:l0f1<6n21b?8650;&0f0<4?k1e?o:52198m630290/?o;536`8j6d32;;07d=:6;29 6d22:=i7c=m4;01?>o4=<0;6)=m5;14f>h4j=09?65f34694?"4j<08;o5a3c6961=6>9m;o1a0?4132c84<4?:%1a1?50j2d8n94=7:9j7=6=83.8n84<7c9m7g2=:110e>9i:18'7g3=;>h0b>l;:3;8?l50m3:1(>l::25a?k5e<38j76g<7e83>!5e=39=n;>91<7*1>n54i24;>5<#;k?1?:l4n2`7>7b<3`9>h7>5$2`6>61e3g9i871d9m7g2=921b=1d9m7g2=;21b=1d9m7g2==21b=<650;&0f0<69l1e?o:56:9j541=83.8n84>1d9m7g2=?21b=<;50;&0f0<69l1e?o:58:9j542=83.8n84>1d9m7g2=121b=<=50;&0f0<69l1e?o:5a:9j544=83.8n84>1d9m7g2=j21b=1d9m7g2=l21b==h50;&0f0<69l1e?o:5e:9j55c=83.8n84>1d9m7g2=n21b==j50;&0f0<69l1e?o:51198m46d290/?o;510g8j6d328;07d??a;29 6d228;n7c=m4;31?>o6800;6)=m5;32a>h4j=0:?65f11:94?"4j<0:=h5a3c6951=67:9j552=83.8n84>1d9m7g2=9110e<><:18'7g3=98o0b>l;:0;8?l77:3:1(>l::03f?k5e<3;j76g>0083>!5e=3;:i6`=nno0;6)=m5;32a>h4j=0:o65ffd83>!5e=3;:i6`=nnm0;6)=m5;32a>h4j=0:i65ffb83>!5e=3;:i6`=nnk0;6)=m5;32a>h4j=09<65ff`83>!5e=3;:i6`=nn00;6)=m5;32a>h4j=09>65ff983>!5e=3;:i6`=nn>0;6)=m5;32a>h4j=09865ff783>!5e=3;:i6`=n9;?1<7*1>;54i007>5<#;k?1=71<3`;9?7>5$2`6>47b3g9i87<7;:k267<72-9i97?>e:l0f1<5121b=??50;&0f0<69l1e?o:52`98m447290/?o;510g8j6d32;h07d?>6;29 6d228;n7c=m4;0`?>o68k0;6)=m5;32a>h4j=09h65f11294?"4j<0:=h5a3c696`=1>k54o26b>5<#;k?1?974n2`7>5=36=4+3c7971?5<#;k?1?974n2`7>7==6=4+3c7971?54o266>5<#;k?1?974n2`7>1=?6=4+3c7971?5<#;k?1?974n2`7>3=96=4+3c7971?5<#;k?1?974n2`7>==5<#;k?1?974n2`7>d=5<#;k?1?974n2`7>f=5<#;k?1?974n2`7>`=5<#;k?1?974n2`7>46<3f98;7>5$2`6>62>3g9i87?>;:m070<72-9i97=;9:l0f1<6:21d?>:50;&0f0<4<01e?o:51298k654290/?o;535;8j6d328>07b=<2;29 6d22:>27c=m4;36?>i4;80;6)=m5;17=>h4j=0::65`32294?"4j<08845a3c6952=6>:6;o1a0?7>32e8>i4?:%1a1?5312d8n94>a:9l77e=83.8n84<489m7g2=9k10c>l;:0a8?j5513:1(>l::26:?k5e<3;o76a<2983>!5e=39?56`=h;;=1<7*1=k54o205>5<#;k?1?974n2`7>76<3f9997>5$2`6>62>3g9i87<>;:m061<72-9i97=;9:l0f1<5:21d??=50;&0f0<4<01e?o:52298k645290/?o;535;8j6d32;>07b==1;29 6d22:>27c=m4;06?>i4=90;6)=m5;17=>h4j=09:65`35d94?"4j<08845a3c6962=n6=4+3c7971?6>:6;o1a0?4>32e88n4?:%1a1?5312d8n94=a:9l71d=83.8n84<489m7g2=:k10c>:>:18'7g3=;=30b>l;:3a8?j54>3:1(>l::26:?k5e<38o76a<2c83>!5e=39?56`=h;;:1<7*1>k54i23a>5<#;k?1?5=5<#;k?1?7=54i235>5<#;k?1?1=6=4+3c7974g5<#;k?1?3=5<#;k?1=994n2`7>5==6=4+3c795115<#;k?1=994n2`7>7=?6=4+3c7951154i060>5<#;k?1=994n2`7>1=96=4+3c795115<#;k?1=994n2`7>3=;6=4+3c795115<#;k?1=994n2`7>==5<#;k?1=994n2`7>d=n6=4+3c795115<#;k?1=994n2`7>f=h6=4+3c795115<#;k?1=994n2`7>`=j6=4+3c795115<#;k?1=994n2`7>46<3`;8j7>5$2`6>4203g9i87?>;:m0ea<72-9i97=nc:l0f1<732e8mo4?:%1a1?5fk2d8n94>;:m0ed<72-9i97=nc:l0f1<532e8m44?:%1a1?5fk2d8n94<;:m0e=<72-9i97=nc:l0f1<332e8m:4?:%1a1?5fk2d8n94:;:m0e3<72-9i97=nc:l0f1<132e8m84?:%1a1?5fk2d8n948;:m0e6<72-9i97=nc:l0f1i41?0;6)=m5;1bg>h4j=0:865`38794?"4j<08mn5a3c6950=6>ol;o1a0?7032e85?4?:%1a1?5fk2d8n94>8:9l7<7=83.8n847?:18'7g3=;hi0b>l;:0c8?j5?n3:1(>l::2c`?k5e<3;i76a<8e83>!5e=39jo6`=h;1i1<7*1=i54o2:a>5<#;k?1?lm4n2`7>4c<3f93m7>5$2`6>6gd3g9i87?i;:m0<<<72-9i97=nc:l0f1<5821d?5650;&0f0<4ij1e?o:52098k6>0290/?o;53`a8j6d32;807b=76;29 6d22:kh7c=m4;00?>i40<0;6)=m5;1bg>h4j=09865`39694?"4j<08mn5a3c6960=6>ol;o1a0?4032e8n<4?:%1a1?5fk2d8n94=8:9l7g6=83.8n84oi:18'7g3=;hi0b>l;:3c8?j5fm3:1(>l::2c`?k5e<38i76a!5e=39jo6`=h;031<7*1>i54o2:f>5<#;k?1?lm4n2`7>7c<3f93?7>5$2`6>6gd3g9i8764j4n2`7>5=h4j=0:76g6b;29 6d220n0b>l;:398m5$2`6>o>?3:1(>l::8f8j6d32?10e4850;&0f0<>l2d8n948;:kb3?6=,:h>64j4n2`7>==h4j=0276gn5;29 6d220n0b>l;:`98md2=83.8n846d:l0f15$2`6>of93:1(>l::8f8j6d32l10el>50;&0f0<>l2d8n94i;:k:b?6=,:h>64j4n2`7>46<3`3>6=4+3c79=a=i;k>1=<54o375>5<#;k?1>8;4n2`7>5=5<#;k?1>8;4n2`7>7=54o372>5<#;k?1>8;4n2`7>1=5<#;k?1>8;4n2`7>3=o6=4+3c796035<#;k?1>8;4n2`7>==i6=4+3c796035<#;k?1>8;4n2`7>d=26=4+3c796035<#;k?1>8;4n2`7>f=<6=4+3c796035<#;k?1>8;4n2`7>`=>6=4+3c796035<#;k?1>8;4n2`7>46<3f8?>7>5$2`6>7323g9i87?>;:m104<72-9i97<:5:l0f1<6:21d>9>50;&0f0<5=<1e?o:51298k75a290/?o;52478j6d328>07b<7c=m4;36?>i5;m0;6)=m5;061>h4j=0::65`22a94?"4j<09985a3c6952=6?;:;o1a0?7>32e99i4?:%1a1?42=2d8n94>a:9l60e=83.8n84=549m7g2=9k10c?;m:18'7g3=:l;:0a8?j42i3:1(>l::376?k5e<3;o76a=5883>!5e=38>96`=h:<21<7*1=k54o374>5<#;k?1>8;4n2`7>76<3f8?j7>5$2`6>7323g9i87<>;:m101<72-9i97<:5:l0f1<5:21d>>750;&0f0<5=<1e?o:52298ma3=83.8n84k4:l0f1<73A9h565fd283>!5e=3n?7c=m4;38L6e>32co>7>5$2`6>a2odm3:1(>l::e68j6d32?1C?n74;hag>5<#;k?1h95a3c693>N4k010eik50;&0f0=nlm0;6)=m5;f7?k5e<330D>m6;:kgg?6=,:h>6i:4n2`7>d=O;j307djm:18'7g3=l=1e?o:5b:J0g<=h4j=0h7E=l9:9j`<<72-9i97j;;o1a0?b<@:i276gk8;29 6d22m>0b>l;:d9K7f?<3`n<6=4+3c79`1=i;k>1j6FN4k010qo:?0;2950g=83:p(>mj:334?M5c02B8h=5Uf28a=d=:804=i1;0:7cl8:09mf=<63g;9;7>4$00;>6753g;9n7>4n01`>4=i:8<1=6`=5d82?k56k3;0b>l8:09'7f2=;jn0e<=?:188m6db2900e>li:188m4562900e>m?:188m4532900e<m=:188m6e62900e<=7:188m6dc2900e<=<:188m44b2900e<<9:188m4522900e<2900e>ll:188m6e42900en<50;&0f06n?4n2`7>4=h4j=0976gme;29 6d22j;0b>l;:298mgb=83.8n84l1:l0f1<332cio7>5$2`6>f7oei3:1(>l::b38j6d32>10enl50;&0f06n?4n2`7><=h4j=0j76gl8;29 6d22j;0b>l;:c98mf1=83.8n84l1:l0f15$2`6>f7od<3:1(>l::b38j6d32o10en=50;&0f00:9jf<<72-9i97m>;o1a0?7632en47>5$2`6>`1ib=3:1(>l::d58j6d32;10ch:50;&0f06h94n2`7>1=h4j=0>76aj1;29 6d22l=0b>l;:798k`6=83.8n84j7:l0f1<032em=7>5$2`6>`1ibn3:1(>l::d58j6d32h10chk50;&0f06h94n2`7>f=h4j=0o76ajb;29 6d22l=0b>l;:d98k`g=83.8n84j7:l0f15$2`6>`14;nfe>5<#;k?1i:5a3c6954=5<#;k?1?:l4n2`7>4=5<#;k?1?:l4n2`7>6=5<#;k?1?:l4n2`7>0=6=4+3c7972d5<#;k?1?:l4n2`7>2=5<#;k?1?:l4n2`7><=5<#;k?1?:l4n2`7>g=5<#;k?1?:l4n2`7>a=5<#;k?1?:l4n2`7>c=4;h15=?6=,:h>6>9m;o1a0?7632c8::4?:%1a1?50j2d8n94>2:9j730=83.8n84<7c9m7g2=9:10e>8::18'7g3=;>h0b>l;:068?l51<3:1(>l::25a?k5e<3;>76g<6283>!5e=39=n;?81<7*1=:54i242>5<#;k?1?:l4n2`7>4><3`9=<7>5$2`6>61e3g9i87?6;:k01c<72-9i97=8b:l0f1<6i21b?8k50;&0f0<4?k1e?o:51c98m63d290/?o;536`8j6d328i07d=:b;29 6d22:=i7c=m4;3g?>o4=h0;6)=m5;14f>h4j=0:i65f34;94?"4j<08;o5a3c695c=4;h163?6=,:h>6>9m;o1a0?4632c89;4?:%1a1?50j2d8n94=2:9j703=83.8n84<7c9m7g2=::10e>;;:18'7g3=;>h0b>l;:368?l52;3:1(>l::25a?k5e<38>76g<8383>!5e=39=n;1;1<7*1>:54i2:3>5<#;k?1?:l4n2`7>7><3`95$2`6>61e3g9i87<6;:k03`<72-9i97=8b:l0f1<5i21b?:j50;&0f0<4?k1e?o:52c98m614290/?o;536`8j6d32;i07d=98;29 6d22:=i7c=m4;0g?>o4=m0;6)=m5;14f>h4j=09i65f34094?"4j<08;o5a3c696c=5<#;k?1=4=5<#;k?1=6=5<#;k?1=0=5<#;k?1=2=6=4+3c7954c5<#;k?1=<=5<#;k?1=g=5<#;k?1=a=5<#;k?1=c=4;h33g?6=,:h>62:9j55?=83.8n84>1d9m7g2=9:10e<>7:18'7g3=98o0b>l;:068?l77?3:1(>l::03f?k5e<3;>76g>0783>!5e=3;:i6`=n99?1<7*1=:54i027>5<#;k?1=4><3`;;?7>5$2`6>47b3g9i87?6;:k247<72-9i97?>e:l0f1<6i21b==?50;&0f0<69l1e?o:51c98mc`=83.8n84>1d9m7g2=9j10ekk50;&0f0<69l1e?o:51e98mcb=83.8n84>1d9m7g2=9l10ekm50;&0f0<69l1e?o:51g98mcd=83.8n84>1d9m7g2=:910eko50;&0f0<69l1e?o:52098mc?=83.8n84>1d9m7g2=:;10ek650;&0f0<69l1e?o:52298mc1=83.8n84>1d9m7g2=:=10ek850;&0f0<69l1e?o:52498m442290/?o;510g8j6d32;<07d?=4;29 6d228;n7c=m4;04?>o6::0;6)=m5;32a>h4j=09465f13094?"4j<0:=h5a3c696<=61d9m7g2=:m10e<>?:18'7g3=98o0b>l;:3g8?l`2290/?o;510g8j6d32;l07b=;a;29 6d22:>27c=m4;28?j5303:1(>l::26:?k5e<3;07b=;7;29 6d22:>27c=m4;08?j53>3:1(>l::26:?k5e<3907b=;5;29 6d22:>27c=m4;68?j53<3:1(>l::26:?k5e<3?07b=;3;29 6d22:>27c=m4;48?j53:3:1(>l::26:?k5e<3=07b=;0;29 6d22:>27c=m4;:8?j54n3:1(>l::26:?k5e<3307b=27c=m4;c8?j54l3:1(>l::26:?k5e<3h07b=27c=m4;a8?j54j3:1(>l::26:?k5e<3n07b=27c=m4;g8?j5413:1(>l::26:?k5e<3l07b=<8;29 6d22:>27c=m4;33?>i4;>0;6)=m5;17=>h4j=0:=65`32794?"4j<08845a3c6957=6>:6;o1a0?7332e8??4?:%1a1?5312d8n94>5:9l767=83.8n84<489m7g2=9?10c>=?:18'7g3=;=30b>l;:058?j55n3:1(>l::26:?k5e<3;376a<2d83>!5e=39?56`=h;;n1<7*1=l54o20`>5<#;k?1?974n2`7>4d<3f99m7>5$2`6>62>3g9i87?l;:m06<<72-9i97=;9:l0f1<6l21d??650;&0f0<4<01e?o:51d98k640290/?o;535;8j6d328l07b==6;29 6d22:>27c=m4;03?>i4:<0;6)=m5;17=>h4j=09=65`33694?"4j<08845a3c6967=6>:6;o1a0?4332e8><4?:%1a1?5312d8n94=5:9l706=83.8n84<489m7g2=:?10c>:i:18'7g3=;=30b>l;:358?j53m3:1(>l::26:?k5e<38376a<4e83>!5e=39?56`=h;=i1<7*1>l54o26a>5<#;k?1?974n2`7>7d<3f9?=7>5$2`6>62>3g9i87b;29 6d22:;j7c=m4;28?l5613:1(>l::23b?k5e<3;07d=>8;29 6d22:;j7c=m4;08?l56?3:1(>l::23b?k5e<3907d=>6;29 6d22:;j7c=m4;68?l56=3:1(>l::23b?k5e<3?07d=>4;29 6d22:;j7c=m4;48?l56;3:1(>l::23b?k5e<3=07d?;8;29 6d228><7c=m4;28?l73>3:1(>l::064?k5e<3;07d?;5;29 6d228><7c=m4;08?l73<3:1(>l::064?k5e<3907d?;3;29 6d228><7c=m4;68?l73:3:1(>l::064?k5e<3?07d?;1;29 6d228><7c=m4;48?l7383:1(>l::064?k5e<3=07d?:1;29 6d228><7c=m4;:8?l7283:1(>l::064?k5e<3307d?;f;29 6d228><7c=m4;c8?l73m3:1(>l::064?k5e<3h07d?;d;29 6d228><7c=m4;a8?l73k3:1(>l::064?k5e<3n07d?;b;29 6d228><7c=m4;g8?l73i3:1(>l::064?k5e<3l07d?;9;29 6d228><7c=m4;33?>o6;o0;6)=m5;373>h4j=0:=65`3`f94?"4j<08mn5a3c694>=h;hh1<7*1=65`3`c94?"4j<08mn5a3c696>=h;h31<7*1?65`3`:94?"4j<08mn5a3c690>=h;h=1<7*1965`3`494?"4j<08mn5a3c692>=h;h?1<7*1;65`3`194?"4j<08mn5a3c69<>=h;h81<7*1565`3`394?"4j<08mn5a3c69e>=h;h:1<7*1n65`38d94?"4j<08mn5a3c69g>=h;0o1<7*1h65`38f94?"4j<08mn5a3c69a>=h;0i1<7*1j65`38`94?"4j<08mn5a3c6955=6>ol;o1a0?7532e85:4?:%1a1?5fk2d8n94>3:9l7<0=83.8n847::18'7g3=;hi0b>l;:078?j5><3:1(>l::2c`?k5e<3;=76a<9283>!5e=39jo6`=h;081<7*1=554o2;2>5<#;k?1?lm4n2`7>4?<3f92<7>5$2`6>6gd3g9i87?n;:m0d290/?o;53`a8j6d328n07b=7b;29 6d22:kh7c=m4;3f?>i40h0;6)=m5;1bg>h4j=0:j65`39;94?"4j<08mn5a3c6965=6>ol;o1a0?4532e84;4?:%1a1?5fk2d8n94=3:9l7=3=83.8n846;:18'7g3=;hi0b>l;:378?j5e;3:1(>l::2c`?k5e<38=76a!5e=39jo6`=h;k;1<7*1>554o2`3>5<#;k?1?lm4n2`7>7?<3f9jj7>5$2`6>6gd3g9i87290/?o;53`a8j6d32;n07b=7e;29 6d22:kh7c=m4;0f?>i40:0;6)=m5;1bg>h4j=09j65f9d83>!5e=33o7c=m4;28?l?d290/?o;59e9m7g2=921b5o4?:%1a1??c3g9i87<4;h;b>5<#;k?15i5a3c697>=n100;6)=m5;;g?k5e<3>07d77:18'7g3=1m1e?o:55:9j=2<72-9i977k;o1a0?0<3`3=6=4+3c79=a=i;k>1;65fa683>!5e=33o7c=m4;:8?lg1290/?o;59e9m7g2=121bm84?:%1a1??c3g9i87o4;hc7>5<#;k?15i5a3c69f>=ni:0;6)=m5;;g?k5e<3i07do=:18'7g3=1m1e?o:5d:9je4<72-9i977k;o1a0?c<3`k;6=4+3c79=a=i;k>1j65f9g83>!5e=33o7c=m4;33?>o>=3:1(>l::8f8j6d328;07b<:6;29 6d22;?>7c=m4;28?j42<3:1(>l::376?k5e<3;07b<:3;29 6d22;?>7c=m4;08?j42:3:1(>l::376?k5e<3907b<:1;29 6d22;?>7c=m4;68?j4283:1(>l::376?k5e<3?07b<;e;29 6d22;?>7c=m4;48?j43l3:1(>l::376?k5e<3=07b<;c;29 6d22;?>7c=m4;:8?j43j3:1(>l::376?k5e<3307b<;a;29 6d22;?>7c=m4;c8?j4313:1(>l::376?k5e<3h07b<;8;29 6d22;?>7c=m4;a8?j43?3:1(>l::376?k5e<3n07b<;6;29 6d22;?>7c=m4;g8?j43=3:1(>l::376?k5e<3l07b<;3;29 6d22;?>7c=m4;33?>i5<;0;6)=m5;061>h4j=0:=65`25394?"4j<09985a3c6957=;6=4+3c796036?;:;o1a0?7332e9?h4?:%1a1?42=2d8n94>5:9l66b=83.8n84=549m7g2=9?10c?=l:18'7g3=:l;:058?j44j3:1(>l::376?k5e<3;376a=3`83>!5e=38>96`=h:1=l54o37`>5<#;k?1>8;4n2`7>4d<3f8>n7>5$2`6>7323g9i87?l;:m11d<72-9i97<:5:l0f1<6l21d>8750;&0f0<5=<1e?o:51d98k73?290/?o;52478j6d328l07b<:7;29 6d22;?>7c=m4;03?>i5h4j=09=65`25694?"4j<09985a3c6967=5<#;k?1h95a3c694>N4k010ei=50;&0f0;I1`=>=nl;0;6)=m5;f7?k5e<380D>m6;:kg5?6=,:h>6i:4n2`7>6=O;j307dj?:18'7g3=l=1e?o:54:J0g<=h4j=0>7E=l9:9jg`<72-9i97j;;o1a0?0<@:i276gld;29 6d22m>0b>l;:69K7f?<3`nn6=4+3c79`1=i;k>146F3A9h565fdb83>!5e=3n?7c=m4;c8L6e>32con7>5$2`6>a2oc?3:1(>l::e68j6d32o1C?n74;hf5>5<#;k?1h95a3c6955=O;j307dml:18'7g3=l=1e?o:5109K7f?<3th?<<4?:283>5}#;jo1?i94H2f;?M5c82.8=i4>67;8m4502900e?=?:188k6772900qo:?2;290?6=8r.8oh4=5g9K7a><@:n;7)=>d;352<=n9:=1<75f20294?=n;j?1<75`30294?=zj=:86=4::183!5dm38=<6FN4l91/?0;29?l5d=3:17b=>0;29?j4493:17pl;0483>1<729q/?nk524d8L6b?3A9o<6*<1e8223?5<6=44o233>5<;:7>53;294~"4kl08h:5G3e:8L6b73-9:h7?9689j561=831b>>>50;9l746=831vn9>8:187>5<7s-9hi7<:f:J0`==O;m:0(>?k:045=>o6;>0;66g=1183>>o4k<0;66a<1183>>{e<921<7;50;2x 6eb2;897E=k8:J0`5=#;8n1=;86;%01a?723`l?6=44i00`>5<5<j?;%12`?71>01/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a05d=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a05b=83?1<7>t$2af>7463A9o46F=831vn9>j:186>5<7s-9hi7<=1:J0`==O;m:0(>?k:045=>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg27n3:197>50z&0g`<5:81C?i64H2f3?!56l3;=:45+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl;1183>0<729q/?nk52338L6b?3A9o<6*<1e8223?<,;8n6?5ff583>>o6:j0;66g>3e83>>o4jh0;66a>{e<8;1<7;50;2x 6eb2;8:7E=k8:J0`5=#;8n1=;86;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb531>5<2290;w)=le;015>N4l11C?i>4$23g>40112.9>h4=;hd7>5<5<5<:?7>55;294~"4kl09><5G3e:8L6b73-9:h7?9689'67c=:2cm87>5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`751<72<0;6=u+3bg9674<@:n37E=k0:&05a<6>?30(?=831vn9?::186>5<7s-9hi7<=1:J0`==O;m:0ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f171290>6=4?{%1`a?45:2B8h55G3e28mc2=831b=?m50;9j56b=831b?n;50;9l7g>=831vn9?8:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi8<650;794?6|,:in6?<>;I1g<>N4l91bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a04?=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`75d<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb53`>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm40f94???2?8154uG3e28 6eb28<>m6Ti3;7x0c<003?;6:o57c8~mdc=83.8n84nd:l0f1<732cjo7>5$2`6>db5<#;k?1=l<4n2`7>5=5<#;k?1=l<4n2`7>7=54i0;f>5<#;k?1=l<4n2`7>1=5<#;k?1=l<4n2`7>3=5<#;k?1=l<4n2`7>==5<#;k?1=l<4n2`7>d=5<#;k?1=l<4n2`7>f=5<#;k?1=l<4n2`7>`=5<#;k?1=l<4n2`7>46<3`;2<7>5$2`6>4g53g9i87?>;:k2<`<72-9i97?n2:l0f1<6:21b=5j50;&0f0<6i;1e?o:51298m4>d290/?o;51`08j6d328>07d?7b;29 6d228k97c=m4;36?>o60h0;6)=m5;3b6>h4j=0::65f19;94?"4j<0:m?5a3c6952=632c:4;4?:%1a1?7f:2d8n94>a:9j5=3=83.8n84>a39m7g2=9k10e<6<:18'7g3=9h80b>l;:0a8?l7?:3:1(>l::0c1?k5e<3;o76g>8083>!5e=3;j>6`=n9>l1<7*1=k54i05f>5<#;k?1=l<4n2`7>76<3`;5$2`6>4g53g9i87<>;:k23f<72-9i97?n2:l0f1<5:21b=:l50;&0f0<6i;1e?o:52298m41f290/?o;51`08j6d32;>07d?89;29 6d228k97c=m4;06?>o6i00;6)=m5;3b6>h4j=09:65f1`:94?"4j<0:m?5a3c6962=632c:m84?:%1a1?7f:2d8n94=a:9j5d2=83.8n84>a39m7g2=:k10e<7n:18'7g3=9h80b>l;:3a8?l7?n3:1(>l::0c1?k5e<38o76g>8583>!5e=3;j>6`=n9>21<7*1>k54ic694?"4j<0i?6`oe:3:1(>l::c18j6d32810e>j::188m44d2900e>m9:188m45?2900c0b>l;:198k4b4290/?o;51e68j6d32810c0b>l;:398k4b6290/?o;51e68j6d32:10c0b>l;:598k4ea290/?o;51e68j6d32<10c0b>l;:798k4ec290/?o;51e68j6d32>10c0b>l;:998k4ef290/?o;51e68j6d32010c0b>l;:`98k4e?290/?o;51e68j6d32k10c0b>l;:b98k4e1290/?o;51e68j6d32m10c0b>l;:d98k4e3290/?o;51e68j6d32o10c0b>l;:028?j7d:3:1(>l::0f7?k5e<3;:76a>c183>!5e=3;o86`=h9kl1<7*1=>54o0`f>5<#;k?1=i:4n2`7>42<3f;ih7>5$2`6>4b33g9i87?:;:m2ff<72-9i97?k4:l0f1<6>21d=ol50;&0f0<6l=1e?o:51698k4df290/?o;51e68j6d328207b?m9;29 6d228n?7c=m4;3:?>i6j10;6)=m5;3g0>h4j=0:m65`1c594?"4j<0:h95a3c695g=6=4+3c795a264?:%1a1?7c<2d8n94>e:9l5g7=83.8n84>d59m7g2=9o10c0b>l;:328?j7fn3:1(>l::0f7?k5e<38:76a>ad83>!5e=3;o86`=h9hn1<7*1>>54o0c`>5<#;k?1=i:4n2`7>72<3f;jn7>5$2`6>4b33g9i87<:;:m2`g<72-9i97?k4:l0f1<5>21d=io50;&0f0<6l=1e?o:52698k4b>290/?o;51e68j6d32;207b?k8;29 6d228n?7c=m4;0:?>i6l>0;6)=m5;3g0>h4j=09m65`1e494?"4j<0:h95a3c696g=6d59m7g2=:o10c?>8:18'7g3=:9<0b>l;:198k762290/?o;52148j6d32810c?>;:18'7g3=:9<0b>l;:398k764290/?o;52148j6d32:10c?>=:18'7g3=:9<0b>l;:598k766290/?o;52148j6d32<10c?>?:18'7g3=:9<0b>l;:798k4`a290/?o;52148j6d32>10cl;:998k4`d290/?o;52148j6d32010cl;:`98k4`f290/?o;52148j6d32k10cl;:b98k4`?290/?o;52148j6d32m10cl;:d98k4`1290/?o;52148j6d32o10cl;:028?j7a<3:1(>l::325?k5e<3;:76a>f383>!5e=38;:6`=h9o;1<7*1=>54o0d3>5<#;k?1>=84n2`7>42<3f;nj7>5$2`6>7613g9i87?:;:m2a`<72-9i9721d=hj50;&0f0<58?1e?o:51698k4cd290/?o;52148j6d328207b?jb;29 6d22;:=7c=m4;3:?>i6mh0;6)=m5;032>h4j=0:m65`1d;94?"4j<09<;5a3c695g=6?>9;o1a0?7c32e:i84?:%1a1?47>2d8n94>e:9l5`5=83.8n84=079m7g2=9o10cl;:328?j7b93:1(>l::325?k5e<38:76a>e183>!5e=38;:6`=h9ml1<7*1>>54o0ff>5<#;k?1>=84n2`7>72<3f;oh7>5$2`6>7613g9i87<:;:m14a<72-9i9721d>=m50;&0f0<58?1e?o:52698k76e290/?o;52148j6d32;207bi5800;6)=m5;032>h4j=09m65`21:94?"4j<09<;5a3c696g=6?>9;o1a0?4c32e:i54?:%1a1?47>2d8n94=e:9l5ae=83.8n84=079m7g2=:o10n9?j:182>5<7s-9hi7<=7:J0`==O;m:0c?<9:188yg26n3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn95<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a077=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a?4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj=8?6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm43794?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd3:?0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo:=7;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f14?290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi8?750;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`76d<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<9n7>54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb50`>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e<;n1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg25n3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn9=?:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a067=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj=9?6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm42794?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd3;?0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo:<7;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f15?290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi8>750;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`77d<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<8n7>54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb51`>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e<:n1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg24n3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn9:?:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a017=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj=>?6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm45794?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd3j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo:;7;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f12?290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi89750;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`70d<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<?n7>54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb56`>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e<=n1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg23n3:1h7>50z&0g`<4jk1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?5>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo::1;29`?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<>>7>5d;294~"4kl08no5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg22;3:1h7>50z&0g`<4jk1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?5>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo::5;29`?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<>:7>5d;294~"4kl08no5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg22?3:1h7>50z&0g`<4jk1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?5>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo::9;29`?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<>m7>5d;294~"4kl08no5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg22j3:1h7>50z&0g`<4jk1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?5>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo::d;29`?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<>i7>5d;294~"4kl08no5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg22n3:1h7>50z&0g`<4jk1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?5>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo:91;29`?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<=>7>5d;294~"4kl08no5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg21;3:1h7>50z&0g`<4jk1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?5>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo:95;29`?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<=:7>5d;294~"4kl08no5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg21?3:1h7>50z&0g`<4jk1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?5>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo:99;29`?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<=m7>5d;294~"4kl08no5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg21j3:1h7>50z&0g`<4jk1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?5>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo:9d;29`?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<=i7>5d;294~"4kl08no5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg21n3:1h7>50z&0g`<4jk1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?5>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo:81;29`?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<<>7>5d;294~"4kl08no5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg20;3:1h7>50z&0g`<4jk1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?5>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo:85;29`?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<<:7>5d;294~"4kl08no5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg20?3:1h7>50z&0g`<4jk1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?5>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo:89;29`?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<5d;294~"4kl08no5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg20j3:1h7>50z&0g`<4jk1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?5>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo:8d;29`?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<5d;294~"4kl08no5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg20n3:1?7>50z&0g`<5;<1C?i64H2f3?!45m32<7d<=9;29?l45i3:17b=>0;29?xu6nh31<7=>{_cf?823n33:70::0;;2?822933:70::2;;2?822;33:70::4;;2?822=33:70::6;;2?822?33:70::8;;2?822133:70::a;;2?822j33:70::c;;2?822l33:70::e;;2?822n33:70:90;;2?821933:70:92;;2?821;33:70:94;;2?821=33:70:96;;2?821?33:70:98;;2?821133:70:9a;;2?821j33:70:9c;;2?821l33:70:9e;;2?821n33:70:80;;2?820933:70:82;;2?820;33:70:84;;2?820=33:70:86;;2?820?33:70:88;;2?820133:70:8a;;2?820j33:70:8c;;2?820l33:70:8e;;2?xu6nhk1<7=>{_c`?823n32m70::0;:e?822932m70::2;:e?822;32m70::4;:e?822=32m70::6;:e?822?32m70::8;:e?822132m70::a;:e?822j32m70::c;:e?822l32m70::e;:e?822n32m70:90;:e?821932m70:92;:e?821;32m70:94;:e?821=32m70:96;:e?821?32m70:98;:e?821132m70:9a;:e?821j32m70:9c;:e?821l32m70:9e;:e?821n32m70:80;:e?820932m70:82;:e?820;32m70:84;:e?820=32m70:86;:e?820?32m70:88;:e?820132m70:8a;:e?820j32m70:8c;:e?820l32m70:8e;:e?xu6nhh1<75<5sW;j=63;7d827a=z{8ljh7>52z\2e5=:<>n1=>j4}r3ee`<72;qU=4h4=55:>45c3ty:jlh50;0xZ4?b34>fc194?4|V83270:87;30`>{t9oh?6=4={_3:<>;3?:0:?i5rs0da1?6=:rT:5:52467956b<27?;?4>3e9~w4`e13:1>vP>929>027=9:n0q~?ib`83>7}Y9080198k:01g?xu6nkh1<75<5sW;2<63;6d827a=z{8lih7>52z\2<`=:j4}r3ef`<72;qU=5j4=54`>45c3ty:joh50;0xZ4>d34>=n7?fb194?4|V82370:94;30`>{t9oi?6=4={_3;3>;3>?0:?i5rs0d`1?6=:rT:4;52477956b3e9~w4`d13:1>vP>809>00c=9:n0q~?ic`83>7}Y9>l0198?:01g?xu6njh1<75<5sW;52z\23f=:<j4}r3eg`<72;qU=:l4=57`>45c3ty:jnh50;0xZ41f34>>47?fe194?4|V8k<70::7;30`>{t9on?6=4={_3b2>;3=?0:?i5rs0dg1?6=:rT:m852440956b3e9~w4`c13:1>vP>859>007=9:n0q~?id`83>7}Y9>2019;?:01g?xu6nmh1<7=>{_`7?823n38:?63;518156=:<<;1><=4=571>77434>>?7<>3:?711<59:1688;5201891312;;870::7;027>;3=109=>5244;9645<5=?j6??<;<66f?46;27?9n4=129>00b=:89019;j:330?822n38:?63;618156=:<=4=541>77434>=?7<>3:?721<59:168;;5201891012;;870:97;027>;3>109=>5247;9645<5=03b=:890198j:330?821n38:?63;718156=:<>;1><=4=551>77434>3:?731<59:168:;5201891112;;870:87;027>;3?109=>5246;9645<5==j6??<;<64f?46;27?;n4=129>02b=:890199j:330?xu6nmi1<7=>{_`1?823n38:>63;518157=:<<;1><<4=571>77534>>?7<>2:?711<59;1688;5200891312;;970::7;026>;3=109=?5244;9644<5=?j6??=;<66f?46:27?9n4=139>00b=:88019;j:331?822n38:>63;618157=:<<4=541>77534>=?7<>2:?721<59;168;;5200891012;;970:97;026>;3>109=?5247;9644<5=03b=:880198j:331?821n38:>63;718157=:<>;1><<4=551>77534>2:?731<59;168:;5200891112;;970:87;026>;3?109=?5246;9644<5==j6??=;<64f?46:27?;n4=139>02b=:880199j:331?xu6nmn1<75<4:rT:>n5240d957e<5=8;6<?4>2b9>075=9;i019<;:00`?825=3;9o63;27826f=:<;=1=?m4=50;>44d34>957?=c:?76d<6:j168?l513a8914d288h70:=d;31g>;3:l0:>n5243d957e<5=9;6<2b9>065=9;i019=;:00`?824=3;9o63;37826f=:<:=1=?m4=51;>44d34>857?=c:?77d<6:j168>l513a8915d288h70:;3;l0:>n5242d957e<5=>;6<2b9>015=9;i019:;:00`?823=3;9o63;47826f=:<==1=?m4=56;>44d34>?57?=c:?70d<6:j1689l513a8912d288h70:;d;31g>;3n5246d967g:j7h;;<614?`334>9=7h;;<616?`334>9?7h;;<610?`334>997h;;<612?`334>9;7h;;<61957h;;<61e?`334>9n7h;;<61g?`334>9h7h;;<61a?`334>9j7h;;<604?`334>8=7h;;<606?`334>8?7h;;<600?`334>897h;;<602?`334>8;7h;;<60857h;;<60e?`334>8n7h;;<60g?`334>8h7h;;<60a?`334>8j7h;;<674?`334>?=7h;;<676?`334>??7h;;<670?`334>?97h;;<672?`334>?;7h;;<67?57h;;<67e?`334>?n7h;;<67g?`334>?h7h;;<67a?`334>?j7=k3:?715<4l:1688?53e1891352:n870::3;1g7>;3==08h>5244797a5<5=?=6>j<;<663?5c;27?95400?=;m9019;n:2f0?822j39o?63;5b80`6=:<6b434>>j7=k3:?725<4l:168;?53e1891052:n870:93;1g7>;3>=08h>5247797a5<5=<=6>j<;<653?5c;27?:5403?=;m90198n:2f0?821j39o?63;6b80`6=:6b434>=j7=k3:?735<4l:168:?53e1891152:n870:83;1g7>;3?=08h>5246797a5<5===6>j<;<643?5c;27?;5402?=;m90199n:2f0?820j39o?63;7b80`6=:<>n1?i=4=55f>6b43ty:jh>50;0xZ76034>?i7=m8:p5cc62909wSfd694?4|V;:970:;a;1a<>{t9oo>6=4={_035>;3<008n55rs0df2?6=:rT9<=5245:97g><6>l7;|q2b`>=838pRvP>fc9>012=;k20q~?iec83>7}Y9ok019:<:2`;?xu6nli1<75<5sW;m463;4080f==z{8lni7>52z\2b2=:<=:1?o64}r3eac<72;qU=k84=51e>6d?3ty:jk>50;0xZ4`234>8i7=m8:p5c`62909wS?i4:?77a<4j11vm53c:8yv7an:0;6?uQ1g38915e2:h37p}>fg694?4|V8l;70:{t9ol>6=4={_3fb>;3;008n55rs0de2?6=:rT:ih5242:97g>l7;|q2bc>=838pRvP>e`9>062=;k20q~?ifc83>7}Y9l3019=<:2`;?xu6noi1<75<5sW;n:63;3080f==z{8lmi7>52z\2a0=:<::1?o64}r3ebc<72;qU=h=4=50e>6d?3ty9<=>50;0xZ4c534>9i7=m8:p65662909wS?j1:?76a<4j11v?>?2;296~X6m9168?m53c:8yv478:0;6?uQ1ed8914e2:h37p}=01694?4|V8nn70:=a;1a<>{t:9:>6=4={_3g`>;3:008n55rs3232?6=:rT9l7;|q145>=838pR?>m;<612?5e02wx>=>6:181[47i27?>84vP=089>072=;k20q~7}Y:92019<<:2`;?xu589i1<75<5sW;m?63;2080f==z{;:;i7>52z\2a==:<;:1?o64}r034c<72;qU=im4=53e>6d?3ty9<<>50;a2826m389:63;4g8:7>;3<;4=573><5<5=?;64:4=573>77334>><7<>5:?714<>;27?9<464:?714<59=1688?520789135209019;=:86891352;;?70::2;021>;3=:02?63;528:0>;3=:09=9524419643<5=??64=4=577><2<5=??6??;;<660?46=27?98463:?710<><27?984=159>003=:8?019;9:818913120>019;9:337?822>38:963;568:7>;3=>02863;568151=:<<=1><;4=57;><5<5=?364:4=57;>77334>>47<>5:?71<<>;27?94464:?71<<59=1688752078913f209019;n:868913f2;;?70::a;021>;3=k02?63;5c8:0>;3=k09=95244`9643<5=?h64=4=57`><2<5=?h6??;;<66g?46=27?9i463:?71a<><27?9i4=159>00b=:8?019;j:818913b20>019;j:337?822m38:963;5g8:7>;3=o02863;5g8151=:<<;4=543><5<5=<;64:4=543>77334>=<7<>5:?724<>;27?:<464:?724<59=168;?5207891052090198=:86891052;;?70:92;021>;3>:02?63;628:0>;3>:09=9524719643<5=<2<5=<27?:84=159>033=:8?01989:818910120>01989:337?821>38:963;668:7>;3>>02863;668151=:<;4=54;><5<5=<364:4=54;>77334>=47<>5:?72<<>;27?:4464:?72<<59=168;752078910f2090198n:868910f2;;?70:9a;021>;3>k02?63;6c8:0>;3>k09=95247`9643<5=<2<5=<27?:i4=159>03b=:8?0198j:818910b20>0198j:337?821m38:963;6g8:7>;3>o02863;6g8151=:<;4=553><5<5==;64:4=553>77334><<7<>5:?734<>;27?;<464:?734<59=168:?5207891152090199=:86891152;;?70:82;021>;3?:02?63;728:0>;3?:09=9524619643<5==?64=4=557><2<5==?6??;;<640?46=27?;8463:?730<><27?;84=159>023=:8?01999:818911120>01999:337?820>38:963;768:7>;3?>02863;768151=:<>=1><;4=55;><5<5==364:4=55;>77334><47<>5:?73<<>;27?;4464:?73<<59=168:752078911f2090199n:868911f2;;?70:8a;021>;3?k02?63;7c8:0>;3?k09=95246`9643<5==h64=4=55`><2<5==h6??;;<64g?46=27?;i463:?73a<><27?;i4=159>02b=:8?0199j:818911b20>0199j:337?820m38:96s|2132>5<5s4>:j7?>2;296~;3:90:?i5244396474?:3y>077=9:n019:i:332?xu588>1<745c34>>?7<>1:p65722909w0:=3;30`>;3==09=<5rs3222?6=:r7?>94>3e9>004=:8;0q~7}:<;?1=>j4=575>7763ty9<<650;0x9141289o70::7;025>{t:9;26=4={<613?74l27?984=109~w766i3:1>v3;29827a=:<<31>=?k:181825j3;8h63;5b8154=z{;::i7>52z?76f<6;m1688j52038yv479o0;6?u243f956b<5=?i6??>;|q1476=838p195<5s4>9j7?=2;296~;3;90:?i5244g9647>4?:3y>067=9:n0198=:332?xu58;>1<745c34>=?7<>1:p65422909w0:<3;30`>;3>809=<5rs3212?6=:r7??94>3e9>033=:8;0q~7}:<:?1=>j4=545>7763ty9{t:9826=4={<603?74l27?:54=109~w765i3:1>v3;39827a=:7512f891002;;:7p}=03a94?4|5=9j6<=k;<65f?4692wx>=52z?77f<6;m168;o52038yv47:o0;6?u242f956b<5=;|q1466=838p19=j:01g?821n38:=6s|2112>5<5s4>8j7?<2;296~;3<90:?i5246396474?:3y>017=9:n0199=:332?xu58:>1<745c34><<7<>1:p65522909w0:;3;30`>;3?=09=<5rs3202?6=:r7?894>3e9>023=:8;0q~7}:<=?1=>j4=550>7763ty9<>650;0x9121289o70:87;025>{t:9926=4={<673?74l27?;54=109~w764i3:1>v3;49827a=:<><1>j6<=k;<64f?4692wx>==k:181823j3;8h63;788154=z{;:8i7>52z?70f<6;m168:j52038yv47;o0;6?u245f956b<5==n6??>;|q1416=838p19:j:01g?820k38:=6s|2162>5<49r7?8k4006=;m>019;>:2f7?822:39o863;5280`1=:<<>1?i:4=576>6b334>>:7=k4:?712<4l=1688653e68913>2:n?70::a;1g0>;3=k08h95244a97a2<5=?o6>j;;<66a?5c<27?9k4036=;m>0198>:2f7?821:39o863;6280`1=:1?i:4=546>6b334>=:7=k4:?722<4l=168;653e68910>2:n?70:9a;1g0>;3>k08h95247a97a2<5=j;;<65a?5c<27?:k4026=;m>0199>:2f7?820:39o863;7280`1=:<>>1?i:4=556>6b334><:7=k4:?732<4l=168:653e68911>2:n?70:8a;1g0>;3?k08h95246a97a2<5==o6>j;;<64a?5c<27?;k4<119~yg2?83:197>50z&0g`<5:;1C?i64H2f3?!56l3;=:45+23g950=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm49394?3=83:p(>mj:302?M5c02B8h=5+30f9530>3-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj=296=4::183!5dm38=<6FN4l91/?0;29?l5d=3:17b=>0;29?j4493:17pl;8583>6<729q/?nk53e58L6b?3A9o<6*<1e8223?5<j?;%12`?71>01b=>950;9j646=831b?n;50;9l746=831d>>?50;9~f1>1290?6=4?{%1`a?42n2B8h55G3e28 67c28<=56g>3683>>o5990;66g>i4990;66sm49594?5=83:p(>mj:2f4?M5c02B8h=5+30f9530>3`;8;7>5;h004?6=3f9:<7>5;|`7<=<72<0;6=u+3bg9636<@:n37E=k0:&05a<6>?30e<=8:188m7772900e>m::188k6772900c?=>:188yg2?13:197>50z&0g`<5>91C?i64H2f3?!56l3;=:45f12594?=n:8:1<75f3b794?=h;8:1<75`22394?=zj=2j6=4;:183!5dm38>j6Ft$2af>6b03A9o46F0;29?xd30j0;684?:1y'7fc=:?:0D>j7;I1g4>"49m0::;74i014>5<5<5<3h7>55;294~"4kl09:=5G3e:8L6b73-9:h7?9689j561=831b><>50;9j7f3=831d?<>50;9l667=831vn96j:187>5<7s-9hi7<:f:J0`==O;m:0(>?k:045=>o6;>0;66g=1183>>o4k<0;66a<1183>>{e<1l1<7=50;2x 6eb2:n<7E=k8:J0`5=#;8n1=;86;h303?6=3`88<7>5;n124?6=3th?5=4?:483>5}#;jo1>;>4H2f;?M5c82.8=i4>67;8m4502900e???:188m6e22900c>??:188k7562900qo:61;290?6=8r.8oh4=5g9K7a><@:n;7)=>d;352<=n9:=1<75f20294?=n;j?1<75`30294?=zj=396=4<:183!5dm39o;6F;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<297>55;294~"4kl09><5G3e:8L6b73-9:h7?9689'67c=:2cm87>5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`7=3<72<0;6=u+3bg9677<@:n37E=k0:&05a<6>?30(?n4?::k27a<722c8nl4?::m0f=<722wi84950;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a0t$2af>7463A9o46F=831vn97n:186>5<7s-9hi7<=1:J0`==O;m:0(>?k:045=>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg2>j3:197>50z&0g`<5:81C?i64H2f3?!56l3;=:45+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl;9b83>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg2>l3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn97j:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a0<`=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj=k96=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm4`194?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd3i=0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo:n5;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f1g1290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi8l950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`7e=<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j57>54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb5cb>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e5;n124?6=3th?mn4?:483>5}#;jo1>;>4H2f;?M5c82.8=i4>67;8m4502900e???:188m6e22900c>??:188k7562900qo:nd;297?6=8r.8oh4<@:n;7)=>d;352<=n9:=1<75f22294?=h;8:1<75rb5cf>5<3290;w)=le;055>N4l11C?i>4$23g>40112c:?:4?::k155<722c8o84?::m174<722wi8lh50;194?6|,:in6>j8;I1g<>N4l91/?0<729q/?nk52728L6b?3A9o<6*<1e8223?5<6=44o233>5<j?;%12`?71>01b=>950;9j666=831d?<>50;9~f1d5290?6=4?{%1`a?4192B8h55G3e28 67c28<=56g>3683>>o5990;66g>i5;80;66sm4c194?5=83:p(>mj:2f4?M5c02B8h=5+30f9530>3`;8;7>5;h004?6=3f9:<7>5;|`7f1<72:0;6=u+3bg97a1<@:n37E=k0:&05a<6>?30e<=8:188m7572900c>??:188yg2e=3:187>50z&0g`<5=o1C?i64H2f3?!56l3;=:45f12594?=n:8:1<75f3b794?=h;8:1<75rb5`5>5<2290;w)=le;054>N4l11C?i>4$23g>40112c:?:4?::k155<722c8o84?::m055<722e9?<4?::a0g1=83>1<7>t$2af>7063A9o46F1<729q/?nk52738L6b?3A9o<6*<1e8223?5<6=44o312>5<i57>53;294~"4kl08h:5G3e:8L6b73-9:h7?9689j561=831b>>>50;9l746=831vn9ln:186>5<7s-9hi7<90:J0`==O;m:0(>?k:045=>o6;>0;66g=1183>>o4k<0;66a<1183>>i5;80;66sm4c`94?5=83:p(>mj:2f4?M5c02B8h=5+30f9530>3`;8;7>5;h004?6=3f9:<7>5;|`7ff<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb5`f>5<3290;w)=le;02b>N4l11C?i>4ig694?=n9;i1<75f12f94?=h;k21<75rb5`e>5<4290;w)=le;1g3>N4l11C?i>4$23g>40112c:?:4?::k175<722e8==4?::a0f6=83?1<7>t$2af>7073A9o46Fj7;I1g4>"49m0::;74i014>5<5<5<h>7>55;294~"4kl09:=5G3e:8L6b73-9:h7?9689j561=831b><>50;9j7f3=831d?<>50;9l667=831vn9m<:186>5<7s-9hi7<90:J0`==O;m:0(>?k:045=>o6;>0;66g=1183>>o4k<0;66a<1183>>i5;80;66sm4b694?3=83:p(>mj:343?M5c02B8h=5+30f9530>3`;8;7>5;h024?6=3`9h97>5;n124?6=3f88=7>5;|`7g0<72<0;6=u+3bg9636<@:n37E=k0:&05a<6>?30e<=8:188m7772900e>m::188k6772900c?=>:188yg2d>3:197>50z&0g`<5>91C?i64H2f3?!56l3;=:45f12594?=n:8:1<75f3b794?=h;8:1<75`22394?=zj=i<6=4::183!5dm389=6F5<5<j?;%12`?71>01/>?k5149jb1<722c:>n4?::k27a<722c8o84?::m0f=<722wi8n750;194?6|,:in6>j8;I1g<>N4l91/?0<729q/?nk52728L6b?3A9o<6*<1e8223?5<6=44o233>5<j?;%12`?71>01b=>950;9j646=831b?n;50;9l746=831d>>?50;9~f1ed290>6=4?{%1`a?4182B8h55G3e28 67c28<=56g>3683>>o5990;66g>i4990;66a=3083>>{e5;h1`1?6=3f9:<7>5;n005?6=3th?oh4?:483>5}#;jo1>;>4H2f;?M5c82.8=i4>67;8m4502900e???:188m6e22900c>??:188k7562900qo:lf;291?6=8r.8oh4=619K7a><@:n;7)=>d;352<=n9:=1<75f20294?=n;j?1<75`30294?=h::;1<75rb5f3>5<2290;w)=le;015>N4l11C?i>4$23g>40112.9>h4=;hd7>5<5<5<o=7>55;294~"4kl09>?5G3e:8L6b73-9:h7?9689'67c=9<1bj94?::k26f<722c:?i4?::k0g0<722e8n54?::a0a4=83?1<7>t$2af>7463A9o46F=831vn9j<:186>5<7s-9hi7<90:J0`==O;m:0(>?k:045=>o6;>0;66g=1183>>o4k<0;66a<1183>>i5;80;66sm4e694?2=83:p(>mj:37e?M5c02B8h=5+30f9530>3`;8;7>5;h024?6=3`9h97>5;n124?6=3th?h84?:283>5}#;jo1?i94H2f;?M5c82.8=i4>67;8m4502900e?=?:188k6772900qo:k6;291?6=8r.8oh4=619K7a><@:n;7)=>d;352<=n9:=1<75f20294?=n;j?1<75`30294?=h::;1<75rb5f4>5<2290;w)=le;054>N4l11C?i>4$23g>40112c:?:4?::k155<722c8o84?::m055<722e9?<4?::a0a>=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`7`<<72:0;6=u+3bg97a1<@:n37E=k0:&05a<6>?30e<=8:188m7572900c>??:188yg2ci3:1?7>50z&0g`<4l>1C?i64H2f3?!56l3;=:45f12594?=n:::1<75`30294?=zj=ni6=4;:183!5dm38>j6Ft$2af>7073A9o46Fj7;I1g4>"49m0::;74i014>5<5<j?;%12`?71>01b=>950;9j666=831d?<>50;9~f1ba29086=4?{%1`a?5c?2B8h55G3e28 67c28<=56g>3683>>o5;90;66a<1183>>{e5;h1`1?6=3f9:<7>5;n005?6=3th?i<4?:583>5}#;jo1>;?4H2f;?M5c82.8=i4>67;8m4502900e???:188m6e22900c?=>:188yg2b:3:187>50z&0g`<59o1C?i64H2f3?l`32900e<l7:188yg2b;3:1?7>50z&0g`<4l>1C?i64H2f3?!56l3;=:45f12594?=n:::1<75`30294?=zj=o?6=4<:183!5dm39o;6FN4l91/?0;29?l5d=3:17b=>0;29?xd3m?0;684?:1y'7fc=:?:0D>j7;I1g4>"49m0::;74i014>5<5<5<n;7>54;294~"4kl09:<5G3e:8L6b73-9:h7?9689j561=831b><>50;9j7f3=831d>>?50;9~f1c?29086=4?{%1`a?5c?2B8h55G3e28 67c28<=56g>3683>>o5;90;66a<1183>>{e5;n124?6=3th?il4?:483>5}#;jo1>;>4H2f;?M5c82.8=i4>67;8m4502900e???:188m6e22900c>??:188k7562900qo:jb;290?6=8r.8oh4=609K7a><@:n;7)=>d;352<=n9:=1<75f20294?=n;j?1<75`22394?=zj=oh6=4;:183!5dm38==6Ft$2af>6b03A9o46F0;29?xd3ml0;684?:1y'7fc=:?:0D>j7;I1g4>"49m0::;74i014>5<5<5<nj7>53;294~"4kl08h:5G3e:8L6b73-9:h7?9689j561=831b>>>50;9l746=831vn9h?:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a0c7=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<m?7>514c94?6|,:in6??8;I1g<>N4l91Qj>4m{9`964<0l3=26;o12g?75<5<5<5<5<5<5<5<5<5<h4j=0;76gl0;29 6d22j;0b>l;:098mg`=83.8n84l1:l0f1<532cii7>5$2`6>f754icf94?"4j<0h=6`oek3:1(>l::b38j6d32<10eol50;&0f06n?4n2`7>2=h4j=0376gla;29 6d22j;0b>l;:898mf?=83.8n84l1:l0f15$2`6>f7od>3:1(>l::b38j6d32m10en;50;&0f06n?4n2`7>c=h4j=0:<65fb883>!5e=3i:7c=m4;32?>ib03:1(>l::d58j6d32910ch850;&0f0;:mf1?6=,:h>6h94n2`7>7=1<7*h4j=0876aj3;29 6d22l=0b>l;:598k`4=83.8n84j7:l0f1<232en=7>5$2`6>`1ia93:1(>l::d58j6d32110ck>50;&0f06h94n2`7>d=h4j=0i76ajd;29 6d22l=0b>l;:b98k`e=83.8n84j7:l0f15$2`6>`1ib13:1(>l::d58j6d328:07bji:18'7g3=m>1e?o:51098m61d290/?o;536`8j6d32910e>9n:18'7g3=;>h0b>l;:098m61>290/?o;536`8j6d32;10e>97:18'7g3=;>h0b>l;:298m610290/?o;536`8j6d32=10e>99:18'7g3=;>h0b>l;:498m612290/?o;536`8j6d32?10e>9;:18'7g3=;>h0b>l;:698m615290/?o;536`8j6d32110e>9>:18'7g3=;>h0b>l;:898m617290/?o;536`8j6d32h10e>8i:18'7g3=;>h0b>l;:c98m60b290/?o;536`8j6d32j10e>8k:18'7g3=;>h0b>l;:e98m60d290/?o;536`8j6d32l10e>8m:18'7g3=;>h0b>l;:g98m60f290/?o;536`8j6d328:07d=99;29 6d22:=i7c=m4;32?>o4>>0;6)=m5;14f>h4j=0:>65f37494?"4j<08;o5a3c6956=6=4+3c7972d6>9m;o1a0?7232c8:>4?:%1a1?50j2d8n94>6:9j734=83.8n84<7c9m7g2=9>10e>8>:18'7g3=;>h0b>l;:0:8?l5183:1(>l::25a?k5e<3;276g<5g83>!5e=39=n;1=o54i27`>5<#;k?1?:l4n2`7>4e<3`9>n7>5$2`6>61e3g9i87?k;:k01d<72-9i97=8b:l0f1<6m21b?8750;&0f0<4?k1e?o:51g98m63?290/?o;536`8j6d32;:07d=:7;29 6d22:=i7c=m4;02?>o4=?0;6)=m5;14f>h4j=09>65f34794?"4j<08;o5a3c6966=6>9m;o1a0?4232c84?4?:%1a1?50j2d8n94=6:9j7=7=83.8n84<7c9m7g2=:>10e>6?:18'7g3=;>h0b>l;:3:8?l50n3:1(>l::25a?k5e<38276g<7d83>!5e=39=n;>n1<7*1>o54i250>5<#;k?1?:l4n2`7>7e<3`9=47>5$2`6>61e3g9i87l;:098m47d290/?o;510g8j6d32;10el;:298m47f290/?o;510g8j6d32=10el;:498m47?290/?o;510g8j6d32?10el;:698m472290/?o;510g8j6d32110el;:898m474290/?o;510g8j6d32h10el;:c98m476290/?o;510g8j6d32j10el;:e98m46a290/?o;510g8j6d32l10e<>j:18'7g3=98o0b>l;:g98m46c290/?o;510g8j6d328:07d??c;29 6d228;n7c=m4;32?>o68h0;6)=m5;32a>h4j=0:>65f11;94?"4j<0:=h5a3c6956=66:9j553=83.8n84>1d9m7g2=9>10e<>;:18'7g3=98o0b>l;:0:8?l77;3:1(>l::03f?k5e<3;276g>0383>!5e=3;:i6`=n99;1<7*1=o54igd94?"4j<0:=h5a3c695f=1=i54igf94?"4j<0:=h5a3c695`=1=k54ig`94?"4j<0:=h5a3c6965=1><54ig;94?"4j<0:=h5a3c6967=1>>54ig594?"4j<0:=h5a3c6961=1>854i006>5<#;k?1=70<3`;987>5$2`6>47b3g9i87<8;:k266<72-9i97?>e:l0f1<5021b=?<50;&0f0<69l1e?o:52898m446290/?o;510g8j6d32;k07d?=0;29 6d228;n7c=m4;0a?>o69?0;6)=m5;32a>h4j=09o65f11`94?"4j<0:=h5a3c696a=5<#;k?1=7`<3f9?m7>5$2`6>62>3g9i87>4;n176>:6;o1a0?7<3f9?;7>5$2`6>62>3g9i87<4;n172?6=,:h>6>:6;o1a0?5<3f9?97>5$2`6>62>3g9i87:4;n170?6=,:h>6>:6;o1a0?3<3f9??7>5$2`6>62>3g9i8784;n176?6=,:h>6>:6;o1a0?1<3f9?<7>5$2`6>62>3g9i8764;n10b?6=,:h>6>:6;o1a0??<3f98i7>5$2`6>62>3g9i87o4;n10`?6=,:h>6>:6;o1a0?d<3f98o7>5$2`6>62>3g9i87m4;n10f?6=,:h>6>:6;o1a0?b<3f98m7>5$2`6>62>3g9i87k4;n10=?6=,:h>6>:6;o1a0?`<3f9847>5$2`6>62>3g9i87??;:m072<72-9i97=;9:l0f1<6921d?>;50;&0f0<4<01e?o:51398k653290/?o;535;8j6d328907b=<3;29 6d22:>27c=m4;37?>i4;;0;6)=m5;17=>h4j=0:965`32394?"4j<08845a3c6953=6>:6;o1a0?7?32e8>h4?:%1a1?5312d8n94>9:9l77b=83.8n84<489m7g2=9h10c>l;:0`8?j55i3:1(>l::26:?k5e<3;h76a<2883>!5e=39?56`=h;;21<7*1=h54o204>5<#;k?1?974n2`7>4`<3f99:7>5$2`6>62>3g9i8727c=m4;07?>i4:80;6)=m5;17=>h4j=09965`34294?"4j<08845a3c6963=m6=4+3c7971?6>:6;o1a0?4?32e88i4?:%1a1?5312d8n94=9:9l71e=83.8n84<489m7g2=:h10c>:m:18'7g3=;=30b>l;:3`8?j5393:1(>l::26:?k5e<38h76a<3783>!5e=39?56`=h;;h1<7*1>h54o203>5<#;k?1?974n2`7>7`<3`9:n7>5$2`6>67f3g9i87>4;h12=?6=,:h>6>?n;o1a0?7<3`9:47>5$2`6>67f3g9i87<4;h123?6=,:h>6>?n;o1a0?5<3`9::7>5$2`6>67f3g9i87:4;h121?6=,:h>6>?n;o1a0?3<3`9:87>5$2`6>67f3g9i8784;h127?6=,:h>6>?n;o1a0?1<3`;?47>5$2`6>4203g9i87>4;h372?6=,:h>6<:8;o1a0?7<3`;?97>5$2`6>4203g9i87<4;h370?6=,:h>6<:8;o1a0?5<3`;??7>5$2`6>4203g9i87:4;h376?6=,:h>6<:8;o1a0?3<3`;?=7>5$2`6>4203g9i8784;h374?6=,:h>6<:8;o1a0?1<3`;>=7>5$2`6>4203g9i8764;h364?6=,:h>6<:8;o1a0??<3`;?j7>5$2`6>4203g9i87o4;h37a?6=,:h>6<:8;o1a0?d<3`;?h7>5$2`6>4203g9i87m4;h37g?6=,:h>6<:8;o1a0?b<3`;?n7>5$2`6>4203g9i87k4;h37e?6=,:h>6<:8;o1a0?`<3`;?57>5$2`6>4203g9i87??;:k27c<72-9i97?;7:l0f1<6921d?lj50;&0f0<4ij1e?o:50:9l7dd=83.8n84i41>0;6)=m5;1bg>h4j=0:?65`38494?"4j<08mn5a3c6951=6=4+3c797de6>ol;o1a0?7132e85>4?:%1a1?5fk2d8n94>7:9l7<4=83.8n847>:18'7g3=;hi0b>l;:0;8?j5>83:1(>l::2c`?k5e<3;j76a<8g83>!5e=39jo6`=h;1n1<7*1=n54o2:`>5<#;k?1?lm4n2`7>4b<3f93n7>5$2`6>6gd3g9i87?j;:m0?290/?o;53`a8j6d32;;07b=77;29 6d22:kh7c=m4;01?>i40?0;6)=m5;1bg>h4j=09?65`39794?"4j<08mn5a3c6961=6>ol;o1a0?4132e8n?4?:%1a1?5fk2d8n94=7:9l7g7=83.8n84l?:18'7g3=;hi0b>l;:3;8?j5fn3:1(>l::2c`?k5e<38j76a!5e=39jo6`=h;h>1<7*1>n54o2;:>5<#;k?1?lm4n2`7>7b<3f93i7>5$2`6>6gd3g9i874;h;`>5<#;k?15i5a3c695>=n1k0;6)=m5;;g?k5e<3807d7n:18'7g3=1m1e?o:53:9j=<<72-9i977k;o1a0?2<3`336=4+3c79=a=i;k>1965f9683>!5e=33o7c=m4;48?l?1290/?o;59e9m7g2=?21bm:4?:%1a1??c3g9i8764;hc5>5<#;k?15i5a3c69=>=ni<0;6)=m5;;g?k5e<3k07do;:18'7g3=1m1e?o:5b:9je6<72-9i977k;o1a0?e<3`k96=4+3c79=a=i;k>1h65fa083>!5e=33o7c=m4;g8?lg7290/?o;59e9m7g2=n21b5k4?:%1a1??c3g9i87??;:k:1?6=,:h>64j4n2`7>47<3f8>:7>5$2`6>7323g9i87>4;n060?6=,:h>6?;:;o1a0?7<3f8>?7>5$2`6>7323g9i87<4;n066?6=,:h>6?;:;o1a0?5<3f8>=7>5$2`6>7323g9i87:4;n064?6=,:h>6?;:;o1a0?3<3f8?i7>5$2`6>7323g9i8784;n07`?6=,:h>6?;:;o1a0?1<3f8?o7>5$2`6>7323g9i8764;n07f?6=,:h>6?;:;o1a0??<3f8?m7>5$2`6>7323g9i87o4;n07=?6=,:h>6?;:;o1a0?d<3f8?47>5$2`6>7323g9i87m4;n073?6=,:h>6?;:;o1a0?b<3f8?:7>5$2`6>7323g9i87k4;n071?6=,:h>6?;:;o1a0?`<3f8??7>5$2`6>7323g9i87??;:m107<72-9i97<:5:l0f1<6921d>9?50;&0f0<5=<1e?o:51398k727290/?o;52478j6d328907b<7c=m4;37?>i5;l0;6)=m5;061>h4j=0:965`22f94?"4j<09985a3c6953=6?;:;o1a0?7?32e9?l4?:%1a1?42=2d8n94>9:9l60b=83.8n84=549m7g2=9h10c?;l:18'7g3=:l;:0`8?j42j3:1(>l::376?k5e<3;h76a=5`83>!5e=38>96`=h:<31<7*1=h54o37;>5<#;k?1>8;4n2`7>4`<3f8>;7>5$2`6>7323g9i879:50;&0f0<5=<1e?o:52398k75>290/?o;52478j6d32;907dj::18'7g3=l=1e?o:50:J0g<=h4j=0:7E=l9:9j`7<72-9i97j;;o1a0?4<@:i276gk1;29 6d22m>0b>l;:29K7f?<3`n;6=4+3c79`1=i;k>186F!5e=3n?7c=m4;48L6e>32chh7>5$2`6>a2ocj3:1(>l::e68j6d32k1C?n74;hfb>5<#;k?1h95a3c69g>N4k010ei750;&0f0=nl10;6)=m5;f7?k5e<3o0D>m6;:kg3?6=,:h>6i:4n2`7>c=O;j307dj9:18'7g3=l=1e?o:5119K7f?<3`ih6=4+3c79`1=i;k>1=<5G3b;8?xd3n=0;6<;n:183!5dm38:;6F40112d2<7>4n8095>he?3;0bo651:l262<73-;947=>2:l26g<73g;8o7?4n335>4=i:m;:2ag?l7483:17d=me;29?l5en3:17d?<1;29?l5d83:17d?<4;29?l75n3:17b?=a;29?l5d:3:17d=l1;29?l7403:17d=md;29?l74;3:17d?=e;29?l75>3:17d?<5;29?l75l3:17b?=9;29?l5ek3:17d=l3;29?le5290/?o;5c09m7g2=821bo=4?:%1a1?e63g9i87?4;h`e>5<#;k?1o<5a3c696>=njl0;6)=m5;a2?k5e<3907dlk:18'7g3=k81e?o:54:9jff<72-9i97m>;o1a0?3<3`hi6=4+3c79g4=i;k>1:65fb`83>!5e=3i:7c=m4;58?lee290/?o;5c09m7g2=021bol4?:%1a1?e63g9i8774;ha:>5<#;k?1o<5a3c69e>=nk10;6)=m5;a2?k5e<3h07dm8:18'7g3=k81e?o:5c:9jg3<72-9i97m>;o1a0?b<3`i>6=4+3c79g4=i;k>1i65fc583>!5e=3i:7c=m4;d8?le4290/?o;5c09m7g2=9910eo750;&0f01:9la=<72-9i97k8;o1a0?6<3fo=6=4+3c79a2=i;k>1=65`e483>!5e=3o<7c=m4;08?jc3290/?o;5e69m7g2=;21di>4?:%1a1?c03g9i87:4;ng1>5<#;k?1i:5a3c691>=hm80;6)=m5;g4?k5e<3<07bk?:18'7g3=m>1e?o:57:9lb4<72-9i97k8;o1a0?><3fl;6=4+3c79a2=i;k>1565`eg83>!5e=3o<7c=m4;c8?jcb290/?o;5e69m7g2=j21dii4?:%1a1?c03g9i87m4;ng`>5<#;k?1i:5a3c69`>=hmk0;6)=m5;g4?k5e<3o07bkn:18'7g3=m>1e?o:5f:9la<<72-9i97k8;o1a0?7732eoj7>5$2`6>`16>9m;o1a0?6<3`95$2`6>61e3g9i87?4;h14=?6=,:h>6>9m;o1a0?4<3`9<47>5$2`6>61e3g9i87=4;h143?6=,:h>6>9m;o1a0?2<3`9<:7>5$2`6>61e3g9i87;4;h141?6=,:h>6>9m;o1a0?0<3`9<87>5$2`6>61e3g9i8794;h146?6=,:h>6>9m;o1a0?><3`9<=7>5$2`6>61e3g9i8774;h144?6=,:h>6>9m;o1a0?g<3`9=j7>5$2`6>61e3g9i87l4;h15a?6=,:h>6>9m;o1a0?e<3`9=h7>5$2`6>61e3g9i87j4;h15g?6=,:h>6>9m;o1a0?c<3`9=n7>5$2`6>61e3g9i87h4;h15e?6=,:h>6>9m;o1a0?7732c8:44?:%1a1?50j2d8n94>1:9j731=83.8n84<7c9m7g2=9;10e>89:18'7g3=;>h0b>l;:018?l51=3:1(>l::25a?k5e<3;?76g<6583>!5e=39=n;?91<7*1=;54i241>5<#;k?1?:l4n2`7>41<3`9==7>5$2`6>61e3g9i87?7;:k025<72-9i97=8b:l0f1<6121b?8h50;&0f0<4?k1e?o:51`98m63b290/?o;536`8j6d328h07d=:c;29 6d22:=i7c=m4;3`?>o4=k0;6)=m5;14f>h4j=0:h65f34c94?"4j<08;o5a3c695`=6>9m;o1a0?4732c89:4?:%1a1?50j2d8n94=1:9j700=83.8n84<7c9m7g2=:;10e>;::18'7g3=;>h0b>l;:318?l52<3:1(>l::25a?k5e<38?76g<5283>!5e=39=n;181<7*1>;54i2:2>5<#;k?1?:l4n2`7>71<3`93<7>5$2`6>61e3g9i87<7;:k03c<72-9i97=8b:l0f1<5121b?:k50;&0f0<4?k1e?o:52`98m61c290/?o;536`8j6d32;h07d=83;29 6d22:=i7c=m4;0`?>o4>10;6)=m5;14f>h4j=09h65f34f94?"4j<08;o5a3c696`=65$2`6>47b3g9i87?4;h32g?6=,:h>65$2`6>47b3g9i87=4;h32e?6=,:h>65$2`6>47b3g9i87;4;h3265$2`6>47b3g9i8794;h321?6=,:h>6<3`;:87>5$2`6>47b3g9i8774;h327?6=,:h>67>5$2`6>47b3g9i87l4;h325?6=,:h>65$2`6>47b3g9i87j4;h33b?6=,:h>65$2`6>47b3g9i87h4;h33`?6=,:h>61:9j55g=83.8n84>1d9m7g2=9;10e<>6:18'7g3=98o0b>l;:018?l7703:1(>l::03f?k5e<3;?76g>0683>!5e=3;:i6`=n99<1<7*1=;54i026>5<#;k?1=41<3`;;87>5$2`6>47b3g9i87?7;:k246<72-9i97?>e:l0f1<6121b==<50;&0f0<69l1e?o:51`98m466290/?o;510g8j6d328h07dhi:18'7g3=98o0b>l;:0a8?l`b290/?o;510g8j6d328n07dhk:18'7g3=98o0b>l;:0g8?l`d290/?o;510g8j6d328l07dhm:18'7g3=98o0b>l;:328?l`f290/?o;510g8j6d32;;07dh6:18'7g3=98o0b>l;:308?l`?290/?o;510g8j6d32;907dh8:18'7g3=98o0b>l;:368?l`1290/?o;510g8j6d32;?07d?=5;29 6d228;n7c=m4;05?>o6:=0;6)=m5;32a>h4j=09;65f13194?"4j<0:=h5a3c696==6=4?:%1a1?76m2d8n94=b:9j540=83.8n84>1d9m7g2=:j10e<>m:18'7g3=98o0b>l;:3f8?l7783:1(>l::03f?k5e<38n76gi5;29 6d228;n7c=m4;0e?>i4h4j=0;76a<4983>!5e=39?56`i4<>0;6)=m5;17=>h4j=0976a<4783>!5e=39?56`i4<<0;6)=m5;17=>h4j=0?76a<4583>!5e=39?56`i4<:0;6)=m5;17=>h4j=0=76a<4383>!5e=39?56`i4<90;6)=m5;17=>h4j=0376a<3g83>!5e=39?56`i4;l0;6)=m5;17=>h4j=0j76a<3e83>!5e=39?56`i4;j0;6)=m5;17=>h4j=0h76a<3c83>!5e=39?56`i4;h0;6)=m5;17=>h4j=0n76a<3883>!5e=39?56`i4;10;6)=m5;17=>h4j=0:<65`32594?"4j<08845a3c6954=6=4+3c7971?6>:6;o1a0?7432e8?>4?:%1a1?5312d8n94>4:9l764=83.8n84<489m7g2=9<10c>=>:18'7g3=;=30b>l;:048?j5483:1(>l::26:?k5e<3;<76a<2g83>!5e=39?56`=h;;o1<7*1=454o20g>5<#;k?1?974n2`7>4g<3f99o7>5$2`6>62>3g9i87?m;:m06d<72-9i97=;9:l0f1<6k21d??750;&0f0<4<01e?o:51e98k64?290/?o;535;8j6d328o07b==7;29 6d22:>27c=m4;3e?>i4:?0;6)=m5;17=>h4j=09<65`33794?"4j<08845a3c6964=6>:6;o1a0?4432e8>?4?:%1a1?5312d8n94=4:9l777=83.8n84<489m7g2=:<10c>;?:18'7g3=;=30b>l;:348?j53n3:1(>l::26:?k5e<38<76a<4d83>!5e=39?56`=h;=n1<7*1>454o26`>5<#;k?1?974n2`7>7g<3f9?n7>5$2`6>62>3g9i87850;&0f0<4<01e?o:52e98k64e290/?o;535;8j6d32;o07b==0;29 6d22:>27c=m4;0e?>o49k0;6)=m5;12e>h4j=0;76g<1883>!5e=39:m6`o4910;6)=m5;12e>h4j=0976g<1683>!5e=39:m6`o49?0;6)=m5;12e>h4j=0?76g<1483>!5e=39:m6`o49=0;6)=m5;12e>h4j=0=76g<1283>!5e=39:m6`o6<10;6)=m5;373>h4j=0;76g>4783>!5e=3;?;6`o6<<0;6)=m5;373>h4j=0976g>4583>!5e=3;?;6`o6<:0;6)=m5;373>h4j=0?76g>4383>!5e=3;?;6`o6<80;6)=m5;373>h4j=0=76g>4183>!5e=3;?;6`o6=80;6)=m5;373>h4j=0376g>5183>!5e=3;?;6`o6h4j=0j76g>4d83>!5e=3;?;6`o6h4j=0h76g>4b83>!5e=3;?;6`o6h4j=0n76g>4`83>!5e=3;?;6`o6<00;6)=m5;373>h4j=0:<65f12d94?"4j<0:8:5a3c6954=5<#;k?1?lm4n2`7>4=5<#;k?1?lm4n2`7>6=5<#;k?1?lm4n2`7>0=5<#;k?1?lm4n2`7>2=5<#;k?1?lm4n2`7><=5<#;k?1?lm4n2`7>g=5<#;k?1?lm4n2`7>a=5<#;k?1?lm4n2`7>c=4;n1:e?6=,:h>6>ol;o1a0?7632e8554?:%1a1?5fk2d8n94>2:9l7<1=83.8n8479:18'7g3=;hi0b>l;:068?j5>=3:1(>l::2c`?k5e<3;>76a<9583>!5e=39jo6`=h;091<7*1=:54o2;1>5<#;k?1?lm4n2`7>4><3f92=7>5$2`6>6gd3g9i87?6;:m0=5<72-9i97=nc:l0f1<6i21d?5h50;&0f0<4ij1e?o:51c98k6>c290/?o;53`a8j6d328i07b=7c;29 6d22:kh7c=m4;3g?>i40k0;6)=m5;1bg>h4j=0:i65`39c94?"4j<08mn5a3c695c=4;n1;6>ol;o1a0?4632e84:4?:%1a1?5fk2d8n94=2:9l7=0=83.8n846::18'7g3=;hi0b>l;:368?j5?<3:1(>l::2c`?k5e<38>76a!5e=39jo6`=h;k81<7*1>:54o2`2>5<#;k?1?lm4n2`7>7><3f9i<7>5$2`6>6gd3g9i87<6;:m0ec<72-9i97=nc:l0f1<5i21d?lk50;&0f0<4ij1e?o:52c98k6g3290/?o;53`a8j6d32;i07b=69;29 6d22:kh7c=m4;0g?>i40l0;6)=m5;1bg>h4j=09i65`39194?"4j<08mn5a3c696c=h4j=0;76g6c;29 6d220n0b>l;:098m5$2`6>54i8;94?"4j<02h6`o>03:1(>l::8f8j6d32<10e4950;&0f0<>l2d8n949;:k:2?6=,:h>64j4n2`7>2=h4j=0376gn6;29 6d220n0b>l;:898md3=83.8n846d:l0f15$2`6>of:3:1(>l::8f8j6d32m10el?50;&0f0<>l2d8n94j;:kb4?6=,:h>64j4n2`7>c=h4j=0:<65f9483>!5e=33o7c=m4;32?>i5=?0;6)=m5;061>h4j=0;76a=5583>!5e=38>96`i5=:0;6)=m5;061>h4j=0976a=5383>!5e=38>96`i5=80;6)=m5;061>h4j=0?76a=5183>!5e=38>96`i5h4j=0=76a=4e83>!5e=38>96`i5h4j=0376a=4c83>!5e=38>96`i5h4j=0j76a=4883>!5e=38>96`i5<10;6)=m5;061>h4j=0h76a=4683>!5e=38>96`i5h4j=0n76a=4483>!5e=38>96`i5<:0;6)=m5;061>h4j=0:<65`25094?"4j<09985a3c6954=:6=4+3c796036?;:;o1a0?7432e9?k4?:%1a1?42=2d8n94>4:9l66c=83.8n84=549m7g2=9<10c?=k:18'7g3=:l;:048?j44k3:1(>l::376?k5e<3;<76a=3c83>!5e=38>96`=h::k1<7*1=454o37g>5<#;k?1>8;4n2`7>4g<3f8>o7>5$2`6>7323g9i87?m;:m11g<72-9i97<:5:l0f1<6k21d>8o50;&0f0<5=<1e?o:51e98k73>290/?o;52478j6d328o07b<:8;29 6d22;?>7c=m4;3e?>i5=>0;6)=m5;061>h4j=09<65`25d94?"4j<09985a3c6964=?6=4+3c796036?;:;o1a0?4432co97>5$2`6>a2oc83:1(>l::e68j6d32=1C?n74;hae>5<#;k?1h95a3c691>N4k010enk50;&0f0=nkm0;6)=m5;f7?k5e<3=0D>m6;:kga?6=,:h>6i:4n2`7>==O;j307djk:18'7g3=l=1e?o:59:J0g<=h4j=0j7E=l9:9j`g<72-9i97j;;o1a0?d<@:i276gka;29 6d22m>0b>l;:b9K7f?<3`n26=4+3c79`1=i;k>1h6F=83.8n84k4:l0f1!5e=3n?7c=m4;d8L6e>32co:7>5$2`6>a24H2a:?>odk3:1(>l::e68j6d328;0D>m6;:a0c3=83;>m7>50z&0g`<59>1C?i64H2f3?_`42kq3n7<>:6f93<<6l3;h6:957b82a?7e2<;1q)=>d;352<=i190;7c7=:09mf2<63gh36<5a13594>"6:108=?5a13`94>h6;j0:7c<>6;38j73b281e?l4?::k0g7<722c8o<4?::k27=<722c8ni4?::k276<722c:>h4?::k263<722c:?84?::k26a<722e:>44?::k0ff<722c8o>4?::k`6?6=,:h>6n?4n2`7>5=h4j=0:76gmf;29 6d22j;0b>l;:398mgc=83.8n84l1:l0f1<432cih7>5$2`6>f7oej3:1(>l::b38j6d32?10eoo50;&0f06n?4n2`7>==h4j=0276gl9;29 6d22j;0b>l;:`98mf>=83.8n84l1:l0f15$2`6>f7od=3:1(>l::b38j6d32l10en:50;&0f06n?4n2`7>46<3`h26=4+3c79g4=i;k>1=<54od:94?"4j<0n;6`ib>3:1(>l::d58j6d32810ch;50;&0f06h94n2`7>6=h4j=0?76aj2;29 6d22l=0b>l;:498k`7=83.8n84j7:l0f1<132en<7>5$2`6>`1ia83:1(>l::d58j6d32010chh50;&0f06h94n2`7>g=h4j=0h76ajc;29 6d22l=0b>l;:e98k`d=83.8n84j7:l0f15$2`6>`1=hlo0;6)=m5;g4?k5e<3;:76g<7b83>!5e=39o4?h0;6)=m5;14f>h4j=0:76g<7883>!5e=39o4?10;6)=m5;14f>h4j=0876g<7683>!5e=39o4??0;6)=m5;14f>h4j=0>76g<7483>!5e=39o4?=0;6)=m5;14f>h4j=0<76g<7383>!5e=39o4?80;6)=m5;14f>h4j=0276g<7183>!5e=39o4>o0;6)=m5;14f>h4j=0i76g<6d83>!5e=39o4>m0;6)=m5;14f>h4j=0o76g<6b83>!5e=39o4>k0;6)=m5;14f>h4j=0m76g<6`83>!5e=39=n;?31<7*1=<54i244>5<#;k?1?:l4n2`7>44<3`9=:7>5$2`6>61e3g9i87?<;:k020<72-9i97=8b:l0f1<6<21b?;:50;&0f0<4?k1e?o:51498m604290/?o;536`8j6d328<07d=92;29 6d22:=i7c=m4;34?>o4>80;6)=m5;14f>h4j=0:465f37294?"4j<08;o5a3c695<=6>9m;o1a0?7e32c89n4?:%1a1?50j2d8n94>c:9j70d=83.8n84<7c9m7g2=9m10e>;n:18'7g3=;>h0b>l;:0g8?l5213:1(>l::25a?k5e<3;m76g<5983>!5e=39=n;<=1<7*1><54i275>5<#;k?1?:l4n2`7>74<3`9>97>5$2`6>61e3g9i87<<;:k011<72-9i97=8b:l0f1<5<21b?8=50;&0f0<4?k1e?o:52498m6>5290/?o;536`8j6d32;<07d=71;29 6d22:=i7c=m4;04?>o4090;6)=m5;14f>h4j=09465f36d94?"4j<08;o5a3c696<=6>9m;o1a0?4e32c8;>4?:%1a1?50j2d8n94=c:9j73>=83.8n84<7c9m7g2=:m10e>;k:18'7g3=;>h0b>l;:3g8?l52:3:1(>l::25a?k5e<38m76g>1g83>!5e=3;:i6`o69m0;6)=m5;32a>h4j=0:76g>1b83>!5e=3;:i6`o69k0;6)=m5;32a>h4j=0876g>1`83>!5e=3;:i6`o6900;6)=m5;32a>h4j=0>76g>1983>!5e=3;:i6`o69>0;6)=m5;32a>h4j=0<76g>1483>!5e=3;:i6`o69=0;6)=m5;32a>h4j=0276g>1283>!5e=3;:i6`o69;0;6)=m5;32a>h4j=0i76g>1083>!5e=3;:i6`o6990;6)=m5;32a>h4j=0o76g>0g83>!5e=3;:i6`o68l0;6)=m5;32a>h4j=0m76g>0e83>!5e=3;:i6`=n99i1<7*1=<54i02b>5<#;k?1=44<3`;;57>5$2`6>47b3g9i87?<;:k24=<72-9i97?>e:l0f1<6<21b==950;&0f0<69l1e?o:51498m461290/?o;510g8j6d328<07d??5;29 6d228;n7c=m4;34?>o68=0;6)=m5;32a>h4j=0:465f11194?"4j<0:=h5a3c695<=65$2`6>47b3g9i87?l;:kea?6=,:h>65$2`6>47b3g9i87?j;:keg?6=,:h>65$2`6>47b3g9i8765$2`6>47b3g9i87<=;:ke65$2`6>47b3g9i87<;;:ke2?6=,:h>684?:%1a1?76m2d8n94=6:9j572=83.8n84>1d9m7g2=:>10e<<<:18'7g3=98o0b>l;:3:8?l75:3:1(>l::03f?k5e<38276g>2083>!5e=3;:i6`=n9;:1<7*1>o54i035>5<#;k?1=7e<3`;;n7>5$2`6>47b3g9i87e:l0f1<5m21bj84?:%1a1?76m2d8n94=f:9l71g=83.8n84<489m7g2=821d?9650;&0f0<4<01e?o:51:9l711=83.8n84<489m7g2=:21d?9850;&0f0<4<01e?o:53:9l713=83.8n84<489m7g2=<21d?9:50;&0f0<4<01e?o:55:9l715=83.8n84<489m7g2=>21d?9<50;&0f0<4<01e?o:57:9l716=83.8n84<489m7g2=021d?>h50;&0f0<4<01e?o:59:9l76c=83.8n84<489m7g2=i21d?>j50;&0f0<4<01e?o:5b:9l76e=83.8n84<489m7g2=k21d?>l50;&0f0<4<01e?o:5d:9l76g=83.8n84<489m7g2=m21d?>750;&0f0<4<01e?o:5f:9l76>=83.8n84<489m7g2=9910c>=8:18'7g3=;=30b>l;:038?j54=3:1(>l::26:?k5e<3;976a<3583>!5e=39?56`=h;:91<7*1=954o211>5<#;k?1?974n2`7>43<3f98=7>5$2`6>62>3g9i87?9;:m075<72-9i97=;9:l0f1<6?21d??h50;&0f0<4<01e?o:51998k64b290/?o;535;8j6d328307b==d;29 6d22:>27c=m4;3b?>i4:j0;6)=m5;17=>h4j=0:n65`33c94?"4j<08845a3c695f=6>:6;o1a0?7b32e8>:4?:%1a1?5312d8n94>f:9l770=83.8n84<489m7g2=:910c><::18'7g3=;=30b>l;:338?j55<3:1(>l::26:?k5e<38976a<2283>!5e=39?56`=h;;81<7*1>954o202>5<#;k?1?974n2`7>73<3f9><7>5$2`6>62>3g9i87<9;:m00c<72-9i97=;9:l0f1<5?21d?9k50;&0f0<4<01e?o:52998k62c290/?o;535;8j6d32;307b=;c;29 6d22:>27c=m4;0b?>i4h4j=09n65`35394?"4j<08845a3c696f=6>:6;o1a0?4b32e8>=4?:%1a1?5312d8n94=f:9j74d=83.8n84<1`9m7g2=821b?<750;&0f0<49h1e?o:51:9j74>=83.8n84<1`9m7g2=:21b?<950;&0f0<49h1e?o:53:9j740=83.8n84<1`9m7g2=<21b?<;50;&0f0<49h1e?o:55:9j742=83.8n84<1`9m7g2=>21b?<=50;&0f0<49h1e?o:57:9j51>=83.8n84>469m7g2=821b=9850;&0f0<6<>1e?o:51:9j513=83.8n84>469m7g2=:21b=9:50;&0f0<6<>1e?o:53:9j515=83.8n84>469m7g2=<21b=9<50;&0f0<6<>1e?o:55:9j517=83.8n84>469m7g2=>21b=9>50;&0f0<6<>1e?o:57:9j507=83.8n84>469m7g2=021b=8>50;&0f0<6<>1e?o:59:9j51`=83.8n84>469m7g2=i21b=9k50;&0f0<6<>1e?o:5b:9j51b=83.8n84>469m7g2=k21b=9m50;&0f0<6<>1e?o:5d:9j51d=83.8n84>469m7g2=m21b=9o50;&0f0<6<>1e?o:5f:9j51?=83.8n84>469m7g2=9910e<=i:18'7g3=9==0b>l;:038?j5fl3:1(>l::2c`?k5e<3:07b=nb;29 6d22:kh7c=m4;38?j5fi3:1(>l::2c`?k5e<3807b=n9;29 6d22:kh7c=m4;18?j5f03:1(>l::2c`?k5e<3>07b=n7;29 6d22:kh7c=m4;78?j5f>3:1(>l::2c`?k5e<3<07b=n5;29 6d22:kh7c=m4;58?j5f;3:1(>l::2c`?k5e<3207b=n2;29 6d22:kh7c=m4;;8?j5f93:1(>l::2c`?k5e<3k07b=n0;29 6d22:kh7c=m4;`8?j5>n3:1(>l::2c`?k5e<3i07b=6e;29 6d22:kh7c=m4;f8?j5>l3:1(>l::2c`?k5e<3o07b=6c;29 6d22:kh7c=m4;d8?j5>j3:1(>l::2c`?k5e<3;;76a<9`83>!5e=39jo6`=h;021<7*1=?54o2;4>5<#;k?1?lm4n2`7>45<3f92:7>5$2`6>6gd3g9i87?;;:m0=0<72-9i97=nc:l0f1<6=21d?4:50;&0f0<4ij1e?o:51798k6?4290/?o;53`a8j6d328=07b=62;29 6d22:kh7c=m4;3;?>i4180;6)=m5;1bg>h4j=0:565`38294?"4j<08mn5a3c695d=6>ol;o1a0?7d32e84n4?:%1a1?5fk2d8n94>d:9l7=d=83.8n846n:18'7g3=;hi0b>l;:0d8?j5?13:1(>l::2c`?k5e<38;76a<8983>!5e=39jo6`=h;1=1<7*1>?54o2:5>5<#;k?1?lm4n2`7>75<3f9397>5$2`6>6gd3g9i87<;;:m0<1<72-9i97=nc:l0f1<5=21d?o=50;&0f0<4ij1e?o:52798k6d5290/?o;53`a8j6d32;=07b=m1;29 6d22:kh7c=m4;0;?>i4j90;6)=m5;1bg>h4j=09565`3`d94?"4j<08mn5a3c696d=6>ol;o1a0?4d32e8544?:%1a1?5fk2d8n94=d:9l7=c=83.8n846<:18'7g3=;hi0b>l;:3d8?l?b290/?o;59e9m7g2=821b5n4?:%1a1??c3g9i87?4;h;a>5<#;k?15i5a3c696>=n1h0;6)=m5;;g?k5e<3907d76:18'7g3=1m1e?o:54:9j==<72-9i977k;o1a0?3<3`3<6=4+3c79=a=i;k>1:65f9783>!5e=33o7c=m4;58?lg0290/?o;59e9m7g2=021bm;4?:%1a1??c3g9i8774;hc6>5<#;k?15i5a3c69e>=ni=0;6)=m5;;g?k5e<3h07do<:18'7g3=1m1e?o:5c:9je7<72-9i977k;o1a0?b<3`k:6=4+3c79=a=i;k>1i65fa183>!5e=33o7c=m4;d8?l?a290/?o;59e9m7g2=9910e4;50;&0f0<>l2d8n94>1:9l600=83.8n84=549m7g2=821d>8:50;&0f0<5=<1e?o:51:9l605=83.8n84=549m7g2=:21d>8<50;&0f0<5=<1e?o:53:9l607=83.8n84=549m7g2=<21d>8>50;&0f0<5=<1e?o:55:9l61c=83.8n84=549m7g2=>21d>9j50;&0f0<5=<1e?o:57:9l61e=83.8n84=549m7g2=021d>9l50;&0f0<5=<1e?o:59:9l61g=83.8n84=549m7g2=i21d>9750;&0f0<5=<1e?o:5b:9l61>=83.8n84=549m7g2=k21d>9950;&0f0<5=<1e?o:5d:9l610=83.8n84=549m7g2=m21d>9;50;&0f0<5=<1e?o:5f:9l615=83.8n84=549m7g2=9910c?:=:18'7g3=:l;:038?j4393:1(>l::376?k5e<3;976a=4183>!5e=38>96`=h::l1<7*1=954o31f>5<#;k?1>8;4n2`7>43<3f88h7>5$2`6>7323g9i87?9;:m17f<72-9i97<:5:l0f1<6?21d>>l50;&0f0<5=<1e?o:51998k75f290/?o;52478j6d328307b<:d;29 6d22;?>7c=m4;3b?>i5=j0;6)=m5;061>h4j=0:n65`24`94?"4j<09985a3c695f=6?;:;o1a0?7b32e9954?:%1a1?42=2d8n94>f:9l601=83.8n84=549m7g2=:910c?:i:18'7g3=:l;:338?j43<3:1(>l::376?k5e<38976a=3883>!5e=38>96`=nl<0;6)=m5;f7?k5e<3:0D>m6;:kg7?6=,:h>6i:4n2`7>4=O;j307dj=:18'7g3=l=1e?o:52:J0g<=h4j=087E=l9:9j`5<72-9i97j;;o1a0?2<@:i276glf;29 6d22m>0b>l;:49K7f?<3`in6=4+3c79`1=i;k>1:6F!5e=3n?7c=m4;:8L6e>32coh7>5$2`6>a2oc13:1(>l::e68j6d32m1C?n74;hf;>5<#;k?1h95a3c69a>N4k010ei950;&0f0=nl?0;6)=m5;f7?k5e<3;;7E=l9:9jgf<72-9i97j;;o1a0?763A9h565rb5d5>5<6=h0;6=u+3bg9641<@:n37E=k0:Xe7?d|0k09=79k:6;95a<6k3=<6:m51d82f?362t.8=i4>67;8j<6=82d2>7?4nc595>he03;0b<<8:19'57>=;880b<;o06a?7"4k=08oi5f12294?=n;ko1<75f3cd94?=n9:;1<75f3b294?=n9:>1<75f13d94?=h9;k1<75f3b094?=n;j;1<75f12:94?=n;kn1<75f12194?=n9;o1<75f13494?=n9:?1<75f13f94?=h9;31<75f3ca94?=n;j91<75fc383>!5e=3i:7c=m4;28?le7290/?o;5c09m7g2=921bnk4?:%1a1?e63g9i87<4;h`f>5<#;k?1o<5a3c697>=njm0;6)=m5;a2?k5e<3>07dll:18'7g3=k81e?o:55:9jfg<72-9i97m>;o1a0?0<3`hj6=4+3c79g4=i;k>1;65fcc83>!5e=3i:7c=m4;:8?lef290/?o;5c09m7g2=121bo44?:%1a1?e63g9i87o4;ha;>5<#;k?1o<5a3c69f>=nk>0;6)=m5;a2?k5e<3i07dm9:18'7g3=k81e?o:5d:9jg0<72-9i97m>;o1a0?c<3`i?6=4+3c79g4=i;k>1j65fc283>!5e=3i:7c=m4;33?>oe13:1(>l::b38j6d328;07bk7:18'7g3=m>1e?o:50:9la3<72-9i97k8;o1a0?7<3fo>6=4+3c79a2=i;k>1>65`e583>!5e=3o<7c=m4;18?jc4290/?o;5e69m7g2=<21di?4?:%1a1?c03g9i87;4;ng2>5<#;k?1i:5a3c692>=hm90;6)=m5;g4?k5e<3=07bh>:18'7g3=m>1e?o:58:9lb5<72-9i97k8;o1a0??<3fom6=4+3c79a2=i;k>1m65`ed83>!5e=3o<7c=m4;`8?jcc290/?o;5e69m7g2=k21din4?:%1a1?c03g9i87j4;nga>5<#;k?1i:5a3c69a>=hmh0;6)=m5;g4?k5e<3l07bk6:18'7g3=m>1e?o:51198ka`=83.8n84j7:l0f1<6921b?:m50;&0f0<4?k1e?o:50:9j72g=83.8n84<7c9m7g2=921b?:750;&0f0<4?k1e?o:52:9j72>=83.8n84<7c9m7g2=;21b?:950;&0f0<4?k1e?o:54:9j720=83.8n84<7c9m7g2==21b?:;50;&0f0<4?k1e?o:56:9j722=83.8n84<7c9m7g2=?21b?:<50;&0f0<4?k1e?o:58:9j727=83.8n84<7c9m7g2=121b?:>50;&0f0<4?k1e?o:5a:9j73`=83.8n84<7c9m7g2=j21b?;k50;&0f0<4?k1e?o:5c:9j73b=83.8n84<7c9m7g2=l21b?;m50;&0f0<4?k1e?o:5e:9j73d=83.8n84<7c9m7g2=n21b?;o50;&0f0<4?k1e?o:51198m60>290/?o;536`8j6d328;07d=97;29 6d22:=i7c=m4;31?>o4>?0;6)=m5;14f>h4j=0:?65f37794?"4j<08;o5a3c6951=6>9m;o1a0?7132c8:?4?:%1a1?50j2d8n94>7:9j737=83.8n84<7c9m7g2=9110e>8?:18'7g3=;>h0b>l;:0;8?l52n3:1(>l::25a?k5e<3;j76g<5d83>!5e=39=n;1=n54i27a>5<#;k?1?:l4n2`7>4b<3`9>m7>5$2`6>61e3g9i87?j;:k01<<72-9i97=8b:l0f1<6n21b?8650;&0f0<4?k1e?o:52198m630290/?o;536`8j6d32;;07d=:6;29 6d22:=i7c=m4;01?>o4=<0;6)=m5;14f>h4j=09?65f34694?"4j<08;o5a3c6961=6>9m;o1a0?4132c84<4?:%1a1?50j2d8n94=7:9j7=6=83.8n84<7c9m7g2=:110e>9i:18'7g3=;>h0b>l;:3;8?l50m3:1(>l::25a?k5e<38j76g<7e83>!5e=39=n;>91<7*1>n54i24;>5<#;k?1?:l4n2`7>7b<3`9>h7>5$2`6>61e3g9i871d9m7g2=921b=1d9m7g2=;21b=1d9m7g2==21b=<650;&0f0<69l1e?o:56:9j541=83.8n84>1d9m7g2=?21b=<;50;&0f0<69l1e?o:58:9j542=83.8n84>1d9m7g2=121b=<=50;&0f0<69l1e?o:5a:9j544=83.8n84>1d9m7g2=j21b=1d9m7g2=l21b==h50;&0f0<69l1e?o:5e:9j55c=83.8n84>1d9m7g2=n21b==j50;&0f0<69l1e?o:51198m46d290/?o;510g8j6d328;07d??a;29 6d228;n7c=m4;31?>o6800;6)=m5;32a>h4j=0:?65f11:94?"4j<0:=h5a3c6951=67:9j552=83.8n84>1d9m7g2=9110e<><:18'7g3=98o0b>l;:0;8?l77:3:1(>l::03f?k5e<3;j76g>0083>!5e=3;:i6`=nno0;6)=m5;32a>h4j=0:o65ffd83>!5e=3;:i6`=nnm0;6)=m5;32a>h4j=0:i65ffb83>!5e=3;:i6`=nnk0;6)=m5;32a>h4j=09<65ff`83>!5e=3;:i6`=nn00;6)=m5;32a>h4j=09>65ff983>!5e=3;:i6`=nn>0;6)=m5;32a>h4j=09865ff783>!5e=3;:i6`=n9;?1<7*1>;54i007>5<#;k?1=71<3`;9?7>5$2`6>47b3g9i87<7;:k267<72-9i97?>e:l0f1<5121b=??50;&0f0<69l1e?o:52`98m447290/?o;510g8j6d32;h07d?>6;29 6d228;n7c=m4;0`?>o68k0;6)=m5;32a>h4j=09h65f11294?"4j<0:=h5a3c696`=1>k54o26b>5<#;k?1?974n2`7>5=36=4+3c7971?5<#;k?1?974n2`7>7==6=4+3c7971?54o266>5<#;k?1?974n2`7>1=?6=4+3c7971?5<#;k?1?974n2`7>3=96=4+3c7971?5<#;k?1?974n2`7>==5<#;k?1?974n2`7>d=5<#;k?1?974n2`7>f=5<#;k?1?974n2`7>`=5<#;k?1?974n2`7>46<3f98;7>5$2`6>62>3g9i87?>;:m070<72-9i97=;9:l0f1<6:21d?>:50;&0f0<4<01e?o:51298k654290/?o;535;8j6d328>07b=<2;29 6d22:>27c=m4;36?>i4;80;6)=m5;17=>h4j=0::65`32294?"4j<08845a3c6952=6>:6;o1a0?7>32e8>i4?:%1a1?5312d8n94>a:9l77e=83.8n84<489m7g2=9k10c>l;:0a8?j5513:1(>l::26:?k5e<3;o76a<2983>!5e=39?56`=h;;=1<7*1=k54o205>5<#;k?1?974n2`7>76<3f9997>5$2`6>62>3g9i87<>;:m061<72-9i97=;9:l0f1<5:21d??=50;&0f0<4<01e?o:52298k645290/?o;535;8j6d32;>07b==1;29 6d22:>27c=m4;06?>i4=90;6)=m5;17=>h4j=09:65`35d94?"4j<08845a3c6962=n6=4+3c7971?6>:6;o1a0?4>32e88n4?:%1a1?5312d8n94=a:9l71d=83.8n84<489m7g2=:k10c>:>:18'7g3=;=30b>l;:3a8?j54>3:1(>l::26:?k5e<38o76a<2c83>!5e=39?56`=h;;:1<7*1>k54i23a>5<#;k?1?5=5<#;k?1?7=54i235>5<#;k?1?1=6=4+3c7974g5<#;k?1?3=5<#;k?1=994n2`7>5==6=4+3c795115<#;k?1=994n2`7>7=?6=4+3c7951154i060>5<#;k?1=994n2`7>1=96=4+3c795115<#;k?1=994n2`7>3=;6=4+3c795115<#;k?1=994n2`7>==5<#;k?1=994n2`7>d=n6=4+3c795115<#;k?1=994n2`7>f=h6=4+3c795115<#;k?1=994n2`7>`=j6=4+3c795115<#;k?1=994n2`7>46<3`;8j7>5$2`6>4203g9i87?>;:m0ea<72-9i97=nc:l0f1<732e8mo4?:%1a1?5fk2d8n94>;:m0ed<72-9i97=nc:l0f1<532e8m44?:%1a1?5fk2d8n94<;:m0e=<72-9i97=nc:l0f1<332e8m:4?:%1a1?5fk2d8n94:;:m0e3<72-9i97=nc:l0f1<132e8m84?:%1a1?5fk2d8n948;:m0e6<72-9i97=nc:l0f1i41?0;6)=m5;1bg>h4j=0:865`38794?"4j<08mn5a3c6950=6>ol;o1a0?7032e85?4?:%1a1?5fk2d8n94>8:9l7<7=83.8n847?:18'7g3=;hi0b>l;:0c8?j5?n3:1(>l::2c`?k5e<3;i76a<8e83>!5e=39jo6`=h;1i1<7*1=i54o2:a>5<#;k?1?lm4n2`7>4c<3f93m7>5$2`6>6gd3g9i87?i;:m0<<<72-9i97=nc:l0f1<5821d?5650;&0f0<4ij1e?o:52098k6>0290/?o;53`a8j6d32;807b=76;29 6d22:kh7c=m4;00?>i40<0;6)=m5;1bg>h4j=09865`39694?"4j<08mn5a3c6960=6>ol;o1a0?4032e8n<4?:%1a1?5fk2d8n94=8:9l7g6=83.8n84oi:18'7g3=;hi0b>l;:3c8?j5fm3:1(>l::2c`?k5e<38i76a!5e=39jo6`=h;031<7*1>i54o2:f>5<#;k?1?lm4n2`7>7c<3f93?7>5$2`6>6gd3g9i8764j4n2`7>5=h4j=0:76g6b;29 6d220n0b>l;:398m5$2`6>o>?3:1(>l::8f8j6d32?10e4850;&0f0<>l2d8n948;:kb3?6=,:h>64j4n2`7>==h4j=0276gn5;29 6d220n0b>l;:`98md2=83.8n846d:l0f15$2`6>of93:1(>l::8f8j6d32l10el>50;&0f0<>l2d8n94i;:k:b?6=,:h>64j4n2`7>46<3`3>6=4+3c79=a=i;k>1=<54o375>5<#;k?1>8;4n2`7>5=5<#;k?1>8;4n2`7>7=54o372>5<#;k?1>8;4n2`7>1=5<#;k?1>8;4n2`7>3=o6=4+3c796035<#;k?1>8;4n2`7>==i6=4+3c796035<#;k?1>8;4n2`7>d=26=4+3c796035<#;k?1>8;4n2`7>f=<6=4+3c796035<#;k?1>8;4n2`7>`=>6=4+3c796035<#;k?1>8;4n2`7>46<3f8?>7>5$2`6>7323g9i87?>;:m104<72-9i97<:5:l0f1<6:21d>9>50;&0f0<5=<1e?o:51298k75a290/?o;52478j6d328>07b<7c=m4;36?>i5;m0;6)=m5;061>h4j=0::65`22a94?"4j<09985a3c6952=6?;:;o1a0?7>32e99i4?:%1a1?42=2d8n94>a:9l60e=83.8n84=549m7g2=9k10c?;m:18'7g3=:l;:0a8?j42i3:1(>l::376?k5e<3;o76a=5883>!5e=38>96`=h:<21<7*1=k54o374>5<#;k?1>8;4n2`7>76<3f8?j7>5$2`6>7323g9i87<>;:m101<72-9i97<:5:l0f1<5:21d>>750;&0f0<5=<1e?o:52298ma3=83.8n84k4:l0f1<73A9h565fd283>!5e=3n?7c=m4;38L6e>32co>7>5$2`6>a2odm3:1(>l::e68j6d32?1C?n74;hag>5<#;k?1h95a3c693>N4k010eik50;&0f0=nlm0;6)=m5;f7?k5e<330D>m6;:kgg?6=,:h>6i:4n2`7>d=O;j307djm:18'7g3=l=1e?o:5b:J0g<=h4j=0h7E=l9:9j`<<72-9i97j;;o1a0?b<@:i276gk8;29 6d22m>0b>l;:d9K7f?<3`n<6=4+3c79`1=i;k>1j6FN4k010qo:i7;2950g=83:p(>mj:334?M5c02B8h=5Uf28a=d=:805=i1;0:7cl8:09mf=<63g;9;7>4$00;>6753g;9n7>4n01`>4=i:8<1=6`=5d82?k56k3;0b>l8:09'7f2=;jn0e<=?:188m6db2900e>li:188m4562900e>m?:188m4532900e<m=:188m6e62900e<=7:188m6dc2900e<=<:188m44b2900e<<9:188m4522900e<2900e>ll:188m6e42900en<50;&0f06n?4n2`7>4=h4j=0976gme;29 6d22j;0b>l;:298mgb=83.8n84l1:l0f1<332cio7>5$2`6>f7oei3:1(>l::b38j6d32>10enl50;&0f06n?4n2`7><=h4j=0j76gl8;29 6d22j;0b>l;:c98mf1=83.8n84l1:l0f15$2`6>f7od<3:1(>l::b38j6d32o10en=50;&0f00:9jf<<72-9i97m>;o1a0?7632en47>5$2`6>`1ib=3:1(>l::d58j6d32;10ch:50;&0f06h94n2`7>1=h4j=0>76aj1;29 6d22l=0b>l;:798k`6=83.8n84j7:l0f1<032em=7>5$2`6>`1ibn3:1(>l::d58j6d32h10chk50;&0f06h94n2`7>f=h4j=0o76ajb;29 6d22l=0b>l;:d98k`g=83.8n84j7:l0f15$2`6>`14;nfe>5<#;k?1i:5a3c6954=5<#;k?1?:l4n2`7>4=5<#;k?1?:l4n2`7>6=5<#;k?1?:l4n2`7>0=6=4+3c7972d5<#;k?1?:l4n2`7>2=5<#;k?1?:l4n2`7><=5<#;k?1?:l4n2`7>g=5<#;k?1?:l4n2`7>a=5<#;k?1?:l4n2`7>c=4;h15=?6=,:h>6>9m;o1a0?7632c8::4?:%1a1?50j2d8n94>2:9j730=83.8n84<7c9m7g2=9:10e>8::18'7g3=;>h0b>l;:068?l51<3:1(>l::25a?k5e<3;>76g<6283>!5e=39=n;?81<7*1=:54i242>5<#;k?1?:l4n2`7>4><3`9=<7>5$2`6>61e3g9i87?6;:k01c<72-9i97=8b:l0f1<6i21b?8k50;&0f0<4?k1e?o:51c98m63d290/?o;536`8j6d328i07d=:b;29 6d22:=i7c=m4;3g?>o4=h0;6)=m5;14f>h4j=0:i65f34;94?"4j<08;o5a3c695c=4;h163?6=,:h>6>9m;o1a0?4632c89;4?:%1a1?50j2d8n94=2:9j703=83.8n84<7c9m7g2=::10e>;;:18'7g3=;>h0b>l;:368?l52;3:1(>l::25a?k5e<38>76g<8383>!5e=39=n;1;1<7*1>:54i2:3>5<#;k?1?:l4n2`7>7><3`95$2`6>61e3g9i87<6;:k03`<72-9i97=8b:l0f1<5i21b?:j50;&0f0<4?k1e?o:52c98m614290/?o;536`8j6d32;i07d=98;29 6d22:=i7c=m4;0g?>o4=m0;6)=m5;14f>h4j=09i65f34094?"4j<08;o5a3c696c=5<#;k?1=4=5<#;k?1=6=5<#;k?1=0=5<#;k?1=2=6=4+3c7954c5<#;k?1=<=5<#;k?1=g=5<#;k?1=a=5<#;k?1=c=4;h33g?6=,:h>62:9j55?=83.8n84>1d9m7g2=9:10e<>7:18'7g3=98o0b>l;:068?l77?3:1(>l::03f?k5e<3;>76g>0783>!5e=3;:i6`=n99?1<7*1=:54i027>5<#;k?1=4><3`;;?7>5$2`6>47b3g9i87?6;:k247<72-9i97?>e:l0f1<6i21b==?50;&0f0<69l1e?o:51c98mc`=83.8n84>1d9m7g2=9j10ekk50;&0f0<69l1e?o:51e98mcb=83.8n84>1d9m7g2=9l10ekm50;&0f0<69l1e?o:51g98mcd=83.8n84>1d9m7g2=:910eko50;&0f0<69l1e?o:52098mc?=83.8n84>1d9m7g2=:;10ek650;&0f0<69l1e?o:52298mc1=83.8n84>1d9m7g2=:=10ek850;&0f0<69l1e?o:52498m442290/?o;510g8j6d32;<07d?=4;29 6d228;n7c=m4;04?>o6::0;6)=m5;32a>h4j=09465f13094?"4j<0:=h5a3c696<=61d9m7g2=:m10e<>?:18'7g3=98o0b>l;:3g8?l`2290/?o;510g8j6d32;l07b=;a;29 6d22:>27c=m4;28?j5303:1(>l::26:?k5e<3;07b=;7;29 6d22:>27c=m4;08?j53>3:1(>l::26:?k5e<3907b=;5;29 6d22:>27c=m4;68?j53<3:1(>l::26:?k5e<3?07b=;3;29 6d22:>27c=m4;48?j53:3:1(>l::26:?k5e<3=07b=;0;29 6d22:>27c=m4;:8?j54n3:1(>l::26:?k5e<3307b=27c=m4;c8?j54l3:1(>l::26:?k5e<3h07b=27c=m4;a8?j54j3:1(>l::26:?k5e<3n07b=27c=m4;g8?j5413:1(>l::26:?k5e<3l07b=<8;29 6d22:>27c=m4;33?>i4;>0;6)=m5;17=>h4j=0:=65`32794?"4j<08845a3c6957=6>:6;o1a0?7332e8??4?:%1a1?5312d8n94>5:9l767=83.8n84<489m7g2=9?10c>=?:18'7g3=;=30b>l;:058?j55n3:1(>l::26:?k5e<3;376a<2d83>!5e=39?56`=h;;n1<7*1=l54o20`>5<#;k?1?974n2`7>4d<3f99m7>5$2`6>62>3g9i87?l;:m06<<72-9i97=;9:l0f1<6l21d??650;&0f0<4<01e?o:51d98k640290/?o;535;8j6d328l07b==6;29 6d22:>27c=m4;03?>i4:<0;6)=m5;17=>h4j=09=65`33694?"4j<08845a3c6967=6>:6;o1a0?4332e8><4?:%1a1?5312d8n94=5:9l706=83.8n84<489m7g2=:?10c>:i:18'7g3=;=30b>l;:358?j53m3:1(>l::26:?k5e<38376a<4e83>!5e=39?56`=h;=i1<7*1>l54o26a>5<#;k?1?974n2`7>7d<3f9?=7>5$2`6>62>3g9i87b;29 6d22:;j7c=m4;28?l5613:1(>l::23b?k5e<3;07d=>8;29 6d22:;j7c=m4;08?l56?3:1(>l::23b?k5e<3907d=>6;29 6d22:;j7c=m4;68?l56=3:1(>l::23b?k5e<3?07d=>4;29 6d22:;j7c=m4;48?l56;3:1(>l::23b?k5e<3=07d?;8;29 6d228><7c=m4;28?l73>3:1(>l::064?k5e<3;07d?;5;29 6d228><7c=m4;08?l73<3:1(>l::064?k5e<3907d?;3;29 6d228><7c=m4;68?l73:3:1(>l::064?k5e<3?07d?;1;29 6d228><7c=m4;48?l7383:1(>l::064?k5e<3=07d?:1;29 6d228><7c=m4;:8?l7283:1(>l::064?k5e<3307d?;f;29 6d228><7c=m4;c8?l73m3:1(>l::064?k5e<3h07d?;d;29 6d228><7c=m4;a8?l73k3:1(>l::064?k5e<3n07d?;b;29 6d228><7c=m4;g8?l73i3:1(>l::064?k5e<3l07d?;9;29 6d228><7c=m4;33?>o6;o0;6)=m5;373>h4j=0:=65`3`f94?"4j<08mn5a3c694>=h;hh1<7*1=65`3`c94?"4j<08mn5a3c696>=h;h31<7*1?65`3`:94?"4j<08mn5a3c690>=h;h=1<7*1965`3`494?"4j<08mn5a3c692>=h;h?1<7*1;65`3`194?"4j<08mn5a3c69<>=h;h81<7*1565`3`394?"4j<08mn5a3c69e>=h;h:1<7*1n65`38d94?"4j<08mn5a3c69g>=h;0o1<7*1h65`38f94?"4j<08mn5a3c69a>=h;0i1<7*1j65`38`94?"4j<08mn5a3c6955=6>ol;o1a0?7532e85:4?:%1a1?5fk2d8n94>3:9l7<0=83.8n847::18'7g3=;hi0b>l;:078?j5><3:1(>l::2c`?k5e<3;=76a<9283>!5e=39jo6`=h;081<7*1=554o2;2>5<#;k?1?lm4n2`7>4?<3f92<7>5$2`6>6gd3g9i87?n;:m0d290/?o;53`a8j6d328n07b=7b;29 6d22:kh7c=m4;3f?>i40h0;6)=m5;1bg>h4j=0:j65`39;94?"4j<08mn5a3c6965=6>ol;o1a0?4532e84;4?:%1a1?5fk2d8n94=3:9l7=3=83.8n846;:18'7g3=;hi0b>l;:378?j5e;3:1(>l::2c`?k5e<38=76a!5e=39jo6`=h;k;1<7*1>554o2`3>5<#;k?1?lm4n2`7>7?<3f9jj7>5$2`6>6gd3g9i87290/?o;53`a8j6d32;n07b=7e;29 6d22:kh7c=m4;0f?>i40:0;6)=m5;1bg>h4j=09j65f9d83>!5e=33o7c=m4;28?l?d290/?o;59e9m7g2=921b5o4?:%1a1??c3g9i87<4;h;b>5<#;k?15i5a3c697>=n100;6)=m5;;g?k5e<3>07d77:18'7g3=1m1e?o:55:9j=2<72-9i977k;o1a0?0<3`3=6=4+3c79=a=i;k>1;65fa683>!5e=33o7c=m4;:8?lg1290/?o;59e9m7g2=121bm84?:%1a1??c3g9i87o4;hc7>5<#;k?15i5a3c69f>=ni:0;6)=m5;;g?k5e<3i07do=:18'7g3=1m1e?o:5d:9je4<72-9i977k;o1a0?c<3`k;6=4+3c79=a=i;k>1j65f9g83>!5e=33o7c=m4;33?>o>=3:1(>l::8f8j6d328;07b<:6;29 6d22;?>7c=m4;28?j42<3:1(>l::376?k5e<3;07b<:3;29 6d22;?>7c=m4;08?j42:3:1(>l::376?k5e<3907b<:1;29 6d22;?>7c=m4;68?j4283:1(>l::376?k5e<3?07b<;e;29 6d22;?>7c=m4;48?j43l3:1(>l::376?k5e<3=07b<;c;29 6d22;?>7c=m4;:8?j43j3:1(>l::376?k5e<3307b<;a;29 6d22;?>7c=m4;c8?j4313:1(>l::376?k5e<3h07b<;8;29 6d22;?>7c=m4;a8?j43?3:1(>l::376?k5e<3n07b<;6;29 6d22;?>7c=m4;g8?j43=3:1(>l::376?k5e<3l07b<;3;29 6d22;?>7c=m4;33?>i5<;0;6)=m5;061>h4j=0:=65`25394?"4j<09985a3c6957=;6=4+3c796036?;:;o1a0?7332e9?h4?:%1a1?42=2d8n94>5:9l66b=83.8n84=549m7g2=9?10c?=l:18'7g3=:l;:058?j44j3:1(>l::376?k5e<3;376a=3`83>!5e=38>96`=h:1=l54o37`>5<#;k?1>8;4n2`7>4d<3f8>n7>5$2`6>7323g9i87?l;:m11d<72-9i97<:5:l0f1<6l21d>8750;&0f0<5=<1e?o:51d98k73?290/?o;52478j6d328l07b<:7;29 6d22;?>7c=m4;03?>i5h4j=09=65`25694?"4j<09985a3c6967=5<#;k?1h95a3c694>N4k010ei=50;&0f0;I1`=>=nl;0;6)=m5;f7?k5e<380D>m6;:kg5?6=,:h>6i:4n2`7>6=O;j307dj?:18'7g3=l=1e?o:54:J0g<=h4j=0>7E=l9:9jg`<72-9i97j;;o1a0?0<@:i276gld;29 6d22m>0b>l;:69K7f?<3`nn6=4+3c79`1=i;k>146F3A9h565fdb83>!5e=3n?7c=m4;c8L6e>32con7>5$2`6>a2oc?3:1(>l::e68j6d32o1C?n74;hf5>5<#;k?1h95a3c6955=O;j307dml:18'7g3=l=1e?o:5109K7f?<3th?j54?:07b>5<7s-9hi7<>7:J0`==O;m:0Vk=5bz:a>77=?m0<57?k:0a932<0k3;n6h6:>0;7)?=8;126>h6:k0;7c?8k51:l05f<63g9i;7?4$2a7>6ec3`;8<7>5;h1aa?6=3`9ij7>5;h305?6=3`9h<7>5;h300?6=3`;9j7>5;n31e?6=3`9h>7>5;h1`5?6=3`;847>5;h1a`?6=3`;8?7>5;h31a?6=3`;9:7>5;h301?6=3`;9h7>5;n31=?6=3`9io7>5;h1`7?6=3`i96=4+3c79g4=i;k>1<65fc183>!5e=3i:7c=m4;38?lda290/?o;5c09m7g2=:21bnh4?:%1a1?e63g9i87=4;h`g>5<#;k?1o<5a3c690>=njj0;6)=m5;a2?k5e<3?07dlm:18'7g3=k81e?o:56:9jfd<72-9i97m>;o1a0?1<3`ii6=4+3c79g4=i;k>1465fc`83>!5e=3i:7c=m4;;8?le>290/?o;5c09m7g2=i21bo54?:%1a1?e63g9i87l4;ha4>5<#;k?1o<5a3c69g>=nk?0;6)=m5;a2?k5e<3n07dm::18'7g3=k81e?o:5e:9jg1<72-9i97m>;o1a0?`<3`i86=4+3c79g4=i;k>1==54ic;94?"4j<0h=6`=hm10;6)=m5;g4?k5e<3:07bk9:18'7g3=m>1e?o:51:9la0<72-9i97k8;o1a0?4<3fo?6=4+3c79a2=i;k>1?65`e283>!5e=3o<7c=m4;68?jc5290/?o;5e69m7g2==21di<4?:%1a1?c03g9i8784;ng3>5<#;k?1i:5a3c693>=hn80;6)=m5;g4?k5e<3207bh?:18'7g3=m>1e?o:59:9lac<72-9i97k8;o1a0?g<3fon6=4+3c79a2=i;k>1n65`ee83>!5e=3o<7c=m4;a8?jcd290/?o;5e69m7g2=l21dio4?:%1a1?c03g9i87k4;ngb>5<#;k?1i:5a3c69b>=hm00;6)=m5;g4?k5e<3;;76akf;29 6d22l=0b>l;:038?l50k3:1(>l::25a?k5e<3:07d=8a;29 6d22:=i7c=m4;38?l5013:1(>l::25a?k5e<3807d=88;29 6d22:=i7c=m4;18?l50?3:1(>l::25a?k5e<3>07d=86;29 6d22:=i7c=m4;78?l50=3:1(>l::25a?k5e<3<07d=84;29 6d22:=i7c=m4;58?l50:3:1(>l::25a?k5e<3207d=81;29 6d22:=i7c=m4;;8?l5083:1(>l::25a?k5e<3k07d=9f;29 6d22:=i7c=m4;`8?l51m3:1(>l::25a?k5e<3i07d=9d;29 6d22:=i7c=m4;f8?l51k3:1(>l::25a?k5e<3o07d=9b;29 6d22:=i7c=m4;d8?l51i3:1(>l::25a?k5e<3;;76g<6883>!5e=39=n;?=1<7*1=?54i245>5<#;k?1?:l4n2`7>45<3`9=97>5$2`6>61e3g9i87?;;:k021<72-9i97=8b:l0f1<6=21b?;=50;&0f0<4?k1e?o:51798m605290/?o;536`8j6d328=07d=91;29 6d22:=i7c=m4;3;?>o4>90;6)=m5;14f>h4j=0:565f34d94?"4j<08;o5a3c695d=6>9m;o1a0?7d32c89o4?:%1a1?50j2d8n94>d:9j70g=83.8n84<7c9m7g2=9l10e>;6:18'7g3=;>h0b>l;:0d8?l5203:1(>l::25a?k5e<38;76g<5683>!5e=39=n;<<1<7*1>?54i276>5<#;k?1?:l4n2`7>75<3`9>87>5$2`6>61e3g9i87<;;:k016<72-9i97=8b:l0f1<5=21b?5<50;&0f0<4?k1e?o:52798m6>6290/?o;536`8j6d32;=07d=70;29 6d22:=i7c=m4;0;?>o4?o0;6)=m5;14f>h4j=09565f36g94?"4j<08;o5a3c696d=6>9m;o1a0?4d32c8:54?:%1a1?50j2d8n94=d:9j70b=83.8n84<7c9m7g2=:l10e>;=:18'7g3=;>h0b>l;:3d8?l76n3:1(>l::03f?k5e<3:07d?>d;29 6d228;n7c=m4;38?l76k3:1(>l::03f?k5e<3807d?>b;29 6d228;n7c=m4;18?l76i3:1(>l::03f?k5e<3>07d?>9;29 6d228;n7c=m4;78?l7603:1(>l::03f?k5e<3<07d?>7;29 6d228;n7c=m4;58?l76=3:1(>l::03f?k5e<3207d?>4;29 6d228;n7c=m4;;8?l76;3:1(>l::03f?k5e<3k07d?>2;29 6d228;n7c=m4;`8?l7693:1(>l::03f?k5e<3i07d?>0;29 6d228;n7c=m4;f8?l77n3:1(>l::03f?k5e<3o07d??e;29 6d228;n7c=m4;d8?l77l3:1(>l::03f?k5e<3;;76g>0b83>!5e=3;:i6`=n99k1<7*1=?54i02:>5<#;k?1=45<3`;;47>5$2`6>47b3g9i87?;;:k242<72-9i97?>e:l0f1<6=21b==850;&0f0<69l1e?o:51798m462290/?o;510g8j6d328=07d??4;29 6d228;n7c=m4;3;?>o68:0;6)=m5;32a>h4j=0:565f11094?"4j<0:=h5a3c695d=5<#;k?1=4e<3`ln6=4+3c7954c5<#;k?1=4c<3`lh6=4+3c7954c5<#;k?1=76<3`lj6=4+3c7954c5<#;k?1=74<3`l36=4+3c7954c5<#;k?1=72<3`l=6=4+3c7954c694?:%1a1?76m2d8n94=7:9j575=83.8n84>1d9m7g2=:110e<<=:18'7g3=98o0b>l;:3;8?l7593:1(>l::03f?k5e<38j76g>2183>!5e=3;:i6`=n98<1<7*1>n54i02a>5<#;k?1=7b<3`;;<7>5$2`6>47b3g9i8764?:%1a1?5312d8n949;:m007<72-9i97=;9:l0f1<032e88=4?:%1a1?5312d8n947;:m07c<72-9i97=;9:l0f1<>32e8?h4?:%1a1?5312d8n94n;:m07a<72-9i97=;9:l0f10:9l761=83.8n84<489m7g2=9810c>=::18'7g3=;=30b>l;:008?j54<3:1(>l::26:?k5e<3;876a<3283>!5e=39?56`=h;:81<7*1=854o212>5<#;k?1?974n2`7>40<3f98<7>5$2`6>62>3g9i87?8;:m06c<72-9i97=;9:l0f1<6021d??k50;&0f0<4<01e?o:51898k64c290/?o;535;8j6d328k07b==c;29 6d22:>27c=m4;3a?>i4:h0;6)=m5;17=>h4j=0:o65`33;94?"4j<08845a3c695a=6>:6;o1a0?7a32e8>;4?:%1a1?5312d8n94=0:9l773=83.8n84<489m7g2=:810c><;:18'7g3=;=30b>l;:308?j55;3:1(>l::26:?k5e<38876a<2383>!5e=39?56`=h;;;1<7*1>854o273>5<#;k?1?974n2`7>70<3f9?j7>5$2`6>62>3g9i87<8;:m00`<72-9i97=;9:l0f1<5021d?9j50;&0f0<4<01e?o:52898k62d290/?o;535;8j6d32;k07b=;b;29 6d22:>27c=m4;0a?>i4<80;6)=m5;17=>h4j=09o65`32494?"4j<08845a3c696a=6>:6;o1a0?4a32c8=o4?:%1a1?56i2d8n94?;:k05<<72-9i97=>a:l0f1<632c8=54?:%1a1?56i2d8n94=;:k052<72-9i97=>a:l0f1<432c8=;4?:%1a1?56i2d8n94;;:k050<72-9i97=>a:l0f1<232c8=94?:%1a1?56i2d8n949;:k056<72-9i97=>a:l0f1<032c:854?:%1a1?73?2d8n94?;:k203<72-9i97?;7:l0f1<632c:884?:%1a1?73?2d8n94=;:k201<72-9i97?;7:l0f1<432c:8>4?:%1a1?73?2d8n94;;:k207<72-9i97?;7:l0f1<232c:8<4?:%1a1?73?2d8n949;:k205<72-9i97?;7:l0f1<032c:9<4?:%1a1?73?2d8n947;:k215<72-9i97?;7:l0f1<>32c:8k4?:%1a1?73?2d8n94n;:k20`<72-9i97?;7:l0f10:9j56`=83.8n84>469m7g2=9810c>ok:18'7g3=;hi0b>l;:198k6ge290/?o;53`a8j6d32810c>on:18'7g3=;hi0b>l;:398k6g>290/?o;53`a8j6d32:10c>o7:18'7g3=;hi0b>l;:598k6g0290/?o;53`a8j6d32<10c>o9:18'7g3=;hi0b>l;:798k6g2290/?o;53`a8j6d32>10c>o<:18'7g3=;hi0b>l;:998k6g5290/?o;53`a8j6d32010c>o>:18'7g3=;hi0b>l;:`98k6g7290/?o;53`a8j6d32k10c>7i:18'7g3=;hi0b>l;:b98k6?b290/?o;53`a8j6d32m10c>7k:18'7g3=;hi0b>l;:d98k6?d290/?o;53`a8j6d32o10c>7m:18'7g3=;hi0b>l;:028?j5>i3:1(>l::2c`?k5e<3;:76a<9983>!5e=39jo6`=h;0=1<7*1=>54o2;5>5<#;k?1?lm4n2`7>42<3f9297>5$2`6>6gd3g9i87?:;:m0=1<72-9i97=nc:l0f1<6>21d?4=50;&0f0<4ij1e?o:51698k6?5290/?o;53`a8j6d328207b=61;29 6d22:kh7c=m4;3:?>i4190;6)=m5;1bg>h4j=0:m65`39d94?"4j<08mn5a3c695g=6>ol;o1a0?7c32e84o4?:%1a1?5fk2d8n94>e:9l7=g=83.8n8466:18'7g3=;hi0b>l;:328?j5?03:1(>l::2c`?k5e<38:76a<8683>!5e=39jo6`=h;1<1<7*1>>54o2:6>5<#;k?1?lm4n2`7>72<3f9387>5$2`6>6gd3g9i87<:;:m0f6<72-9i97=nc:l0f1<5>21d?o<50;&0f0<4ij1e?o:52698k6d6290/?o;53`a8j6d32;207b=m0;29 6d22:kh7c=m4;0:?>i4io0;6)=m5;1bg>h4j=09m65`3`g94?"4j<08mn5a3c696g=6>ol;o1a0?4c32e84h4?:%1a1?5fk2d8n94=e:9l7=5=83.8n84l2d8n94?;:k:g?6=,:h>64j4n2`7>4=h4j=0976g6a;29 6d220n0b>l;:298m5$2`6>o>>3:1(>l::8f8j6d32>10el950;&0f0<>l2d8n947;:kb2?6=,:h>64j4n2`7><=h4j=0j76gn4;29 6d220n0b>l;:c98md5=83.8n846d:l0f17>5$2`6>of83:1(>l::8f8j6d32o10e4h50;&0f0<>l2d8n94>0:9j=0<72-9i977k;o1a0?7632e99;4?:%1a1?42=2d8n94?;:m111<72-9i97<:5:l0f1<632e99>4?:%1a1?42=2d8n94=;:m117<72-9i97<:5:l0f1<432e99<4?:%1a1?42=2d8n94;;:m115<72-9i97<:5:l0f1<232e98h4?:%1a1?42=2d8n949;:m10a<72-9i97<:5:l0f1<032e98n4?:%1a1?42=2d8n947;:m10g<72-9i97<:5:l0f1<>32e98l4?:%1a1?42=2d8n94n;:m10<<72-9i97<:5:l0f14?:%1a1?42=2d8n94>0:9l614=83.8n84=549m7g2=9810c?:>:18'7g3=:l;:008?j4383:1(>l::376?k5e<3;876a=3g83>!5e=38>96`=h::o1<7*1=854o31g>5<#;k?1>8;4n2`7>40<3f88o7>5$2`6>7323g9i87?8;:m17g<72-9i97<:5:l0f1<6021d>>o50;&0f0<5=<1e?o:51898k73c290/?o;52478j6d328k07b<:c;29 6d22;?>7c=m4;3a?>i5=k0;6)=m5;061>h4j=0:o65`24c94?"4j<09985a3c695a=6?;:;o1a0?7a32e99:4?:%1a1?42=2d8n94=0:9l61`=83.8n84=549m7g2=:810c?:;:18'7g3=:l;:308?j4413:1(>l::376?k5e<38876gk5;29 6d22m>0b>l;:19K7f?<3`n86=4+3c79`1=i;k>1=6F!5e=3n?7c=m4;18L6e>32co<7>5$2`6>a2ocm3:1(>l::e68j6d3211C?n74;hfg>5<#;k?1h95a3c69=>N4k010eim50;&0f0=nlk0;6)=m5;f7?k5e<3h0D>m6;:kge?6=,:h>6i:4n2`7>f=O;j307dj6:18'7g3=l=1e?o:5d:J0g<=h4j=0n7E=l9:9j`2<72-9i97j;;o1a0?`<@:i276gk6;29 6d22m>0b>l;:028L6e>32cho7>5$2`6>a2{e2b=?00:h7?l:6593f<6m3;i68?5}%12`?71>01e5=4?;o;1>4=ij>0:7cl7:09m571=82.:>54<139m57d=82d:?n4>;o022?7h4j>0:7)=l4;1``>o6;90;66g>o4jo0;66g>3083>>o4k90;66g>3583>>o6:o0;66a>2`83>>o4k;0;66g>o6;10;66g>o6;:0;66g>2d83>>o6:?0;66g>3483>>o6:m0;66a>2883>>o4jj0;66g>od:3:1(>l::b38j6d32910en>50;&0f0;:kab?6=,:h>6n?4n2`7>7=h4j=0876gmd;29 6d22j;0b>l;:598mge=83.8n84l1:l0f1<232cin7>5$2`6>f7odj3:1(>l::b38j6d32110eno50;&0f06n?4n2`7>d=h4j=0i76gl7;29 6d22j;0b>l;:b98mf0=83.8n84l1:l0f15$2`6>f7od;3:1(>l::b38j6d328:07dl6:18'7g3=k81e?o:51098k`>=83.8n84j7:l0f1<732en:7>5$2`6>`1ib<3:1(>l::d58j6d32:10ch=50;&0f06h94n2`7>0=h4j=0=76aj0;29 6d22l=0b>l;:698kc7=83.8n84j7:l0f15$2`6>`1ibm3:1(>l::d58j6d32k10chj50;&0f06h94n2`7>a=h4j=0n76aja;29 6d22l=0b>l;:g98k`?=83.8n84j7:l0f1<6821dhk4?:%1a1?c03g9i87?>;:k03f<72-9i97=8b:l0f1<732c8;l4?:%1a1?50j2d8n94>;:k03<<72-9i97=8b:l0f1<532c8;54?:%1a1?50j2d8n94<;:k032<72-9i97=8b:l0f1<332c8;;4?:%1a1?50j2d8n94:;:k030<72-9i97=8b:l0f1<132c8;94?:%1a1?50j2d8n948;:k037<72-9i97=8b:l0f1o4><0;6)=m5;14f>h4j=0:865f37694?"4j<08;o5a3c6950=6>9m;o1a0?7032c8:<4?:%1a1?50j2d8n94>8:9j736=83.8n84<7c9m7g2=9010e>;i:18'7g3=;>h0b>l;:0c8?l52m3:1(>l::25a?k5e<3;i76g<5b83>!5e=39=n;1=i54i27b>5<#;k?1?:l4n2`7>4c<3`9>57>5$2`6>61e3g9i87?i;:k01=<72-9i97=8b:l0f1<5821b?8950;&0f0<4?k1e?o:52098m631290/?o;536`8j6d32;807d=:5;29 6d22:=i7c=m4;00?>o4==0;6)=m5;14f>h4j=09865f34194?"4j<08;o5a3c6960=6>9m;o1a0?4032c84=4?:%1a1?50j2d8n94=8:9j72`=83.8n84<7c9m7g2=:010e>9j:18'7g3=;>h0b>l;:3c8?l50l3:1(>l::25a?k5e<38i76g<7283>!5e=39=n;?21<7*1>i54i27g>5<#;k?1?:l4n2`7>7c<3`9>>7>5$2`6>61e3g9i87e:l0f1<732c:=i4?:%1a1?76m2d8n94>;:k25f<72-9i97?>e:l0f1<532c:=o4?:%1a1?76m2d8n94<;:k25d<72-9i97?>e:l0f1<332c:=44?:%1a1?76m2d8n94:;:k25=<72-9i97?>e:l0f1<132c:=:4?:%1a1?76m2d8n948;:k250<72-9i97?>e:l0f1e:l0f1e:l0f1e:l0f1e:l0f1<6821b==m50;&0f0<69l1e?o:51098m46f290/?o;510g8j6d328807d??9;29 6d228;n7c=m4;30?>o6810;6)=m5;32a>h4j=0:865f11594?"4j<0:=h5a3c6950=68:9j555=83.8n84>1d9m7g2=9010e<>=:18'7g3=98o0b>l;:0c8?l7793:1(>l::03f?k5e<3;i76gif;29 6d228;n7c=m4;3`?>oam3:1(>l::03f?k5e<3;o76gid;29 6d228;n7c=m4;3f?>oak3:1(>l::03f?k5e<3;m76gib;29 6d228;n7c=m4;03?>oai3:1(>l::03f?k5e<38:76gi9;29 6d228;n7c=m4;01?>oa03:1(>l::03f?k5e<38876gi7;29 6d228;n7c=m4;07?>oa>3:1(>l::03f?k5e<38>76g>2483>!5e=3;:i6`=n9;>1<7*1>:54i000>5<#;k?1=7><3`;9>7>5$2`6>47b3g9i87<6;:k264<72-9i97?>e:l0f1<5i21b=?>50;&0f0<69l1e?o:52c98m471290/?o;510g8j6d32;i07d??b;29 6d228;n7c=m4;0g?>o6890;6)=m5;32a>h4j=09i65ff483>!5e=3;:i6`=h;=k1<7*1<65`35:94?"4j<08845a3c695>=h;==1<7*1>65`35494?"4j<08845a3c697>=h;=?1<7*1865`35694?"4j<08845a3c691>=h;=91<7*1:65`35094?"4j<08845a3c693>=h;=:1<7*1465`32d94?"4j<08845a3c69=>=h;:o1<7*1m65`32f94?"4j<08845a3c69f>=h;:i1<7*1o65`32`94?"4j<08845a3c69`>=h;:k1<7*1i65`32;94?"4j<08845a3c69b>=h;:21<7*1==54o214>5<#;k?1?974n2`7>47<3f9897>5$2`6>62>3g9i87?=;:m071<72-9i97=;9:l0f1<6;21d?>=50;&0f0<4<01e?o:51598k655290/?o;535;8j6d328?07b=<1;29 6d22:>27c=m4;35?>i4;90;6)=m5;17=>h4j=0:;65`33d94?"4j<08845a3c695==6>:6;o1a0?7f32e8>n4?:%1a1?5312d8n94>b:9l77g=83.8n84<489m7g2=9j10c><6:18'7g3=;=30b>l;:0f8?j5503:1(>l::26:?k5e<3;n76a<2683>!5e=39?56`=h;;<1<7*1>=54o206>5<#;k?1?974n2`7>77<3f9987>5$2`6>62>3g9i87<=;:m066<72-9i97=;9:l0f1<5;21d??<50;&0f0<4<01e?o:52598k646290/?o;535;8j6d32;?07b=:0;29 6d22:>27c=m4;05?>i4h4j=09;65`35g94?"4j<08845a3c696==o6=4+3c7971?6>:6;o1a0?4f32e88o4?:%1a1?5312d8n94=b:9l717=83.8n84<489m7g2=:j10c>=9:18'7g3=;=30b>l;:3f8?j55j3:1(>l::26:?k5e<38n76a<2183>!5e=39?56`=n;8h1<7*1<65f30;94?"4j<08=l5a3c695>=n;821<7*1>65f30594?"4j<08=l5a3c697>=n;8<1<7*1865f30794?"4j<08=l5a3c691>=n;8>1<7*1:65f30194?"4j<08=l5a3c693>=n9=21<7*1<65f15494?"4j<0:8:5a3c695>=n9=?1<7*1>65f15694?"4j<0:8:5a3c697>=n9=91<7*1865f15094?"4j<0:8:5a3c691>=n9=;1<7*1:65f15294?"4j<0:8:5a3c693>=n9<;1<7*1465f14294?"4j<0:8:5a3c69=>=n9=l1<7*1m65f15g94?"4j<0:8:5a3c69f>=n9=n1<7*1o65f15a94?"4j<0:8:5a3c69`>=n9=h1<7*1i65f15c94?"4j<0:8:5a3c69b>=n9=31<7*1==54i01e>5<#;k?1=994n2`7>47<3f9jh7>5$2`6>6gd3g9i87>4;n1bf?6=,:h>6>ol;o1a0?7<3f9jm7>5$2`6>6gd3g9i87<4;n1b=?6=,:h>6>ol;o1a0?5<3f9j47>5$2`6>6gd3g9i87:4;n1b3?6=,:h>6>ol;o1a0?3<3f9j:7>5$2`6>6gd3g9i8784;n1b1?6=,:h>6>ol;o1a0?1<3f9j?7>5$2`6>6gd3g9i8764;n1b6?6=,:h>6>ol;o1a0??<3f9j=7>5$2`6>6gd3g9i87o4;n1b4?6=,:h>6>ol;o1a0?d<3f92j7>5$2`6>6gd3g9i87m4;n1:a?6=,:h>6>ol;o1a0?b<3f92h7>5$2`6>6gd3g9i87k4;n1:g?6=,:h>6>ol;o1a0?`<3f92n7>5$2`6>6gd3g9i87??;:m0=d<72-9i97=nc:l0f1<6921d?4650;&0f0<4ij1e?o:51398k6?0290/?o;53`a8j6d328907b=66;29 6d22:kh7c=m4;37?>i41<0;6)=m5;1bg>h4j=0:965`38694?"4j<08mn5a3c6953=6>ol;o1a0?7?32e85<4?:%1a1?5fk2d8n94>9:9l7<6=83.8n846i:18'7g3=;hi0b>l;:0`8?j5?l3:1(>l::2c`?k5e<3;h76a<8b83>!5e=39jo6`=h;1h1<7*1=h54o2:b>5<#;k?1?lm4n2`7>4`<3f9357>5$2`6>6gd3g9i871290/?o;53`a8j6d32;907b=75;29 6d22:kh7c=m4;07?>i40=0;6)=m5;1bg>h4j=09965`3c194?"4j<08mn5a3c6963=6>ol;o1a0?4?32e8n=4?:%1a1?5fk2d8n94=9:9l7d`=83.8n84oj:18'7g3=;hi0b>l;:3`8?j5f<3:1(>l::2c`?k5e<38h76a<9883>!5e=39jo6`=h;1o1<7*1>h54o2:0>5<#;k?1?lm4n2`7>7`<3`3n6=4+3c79=a=i;k>1<65f9b83>!5e=33o7c=m4;38?l?e290/?o;59e9m7g2=:21b5l4?:%1a1??c3g9i87=4;h;:>5<#;k?15i5a3c690>=n110;6)=m5;;g?k5e<3?07d78:18'7g3=1m1e?o:56:9j=3<72-9i977k;o1a0?1<3`k<6=4+3c79=a=i;k>1465fa783>!5e=33o7c=m4;;8?lg2290/?o;59e9m7g2=i21bm94?:%1a1??c3g9i87l4;hc0>5<#;k?15i5a3c69g>=ni;0;6)=m5;;g?k5e<3n07do>:18'7g3=1m1e?o:5e:9je5<72-9i977k;o1a0?`<3`3m6=4+3c79=a=i;k>1==54i8794?"4j<02h6`=h:<<1<7*1<65`24694?"4j<09985a3c695>=h:<91<7*1>65`24094?"4j<09985a3c697>=h:<;1<7*1865`24294?"4j<09985a3c691>=h:=o1<7*1:65`25f94?"4j<09985a3c693>=h:=i1<7*1465`25`94?"4j<09985a3c69=>=h:=k1<7*1m65`25;94?"4j<09985a3c69f>=h:=21<7*1o65`25594?"4j<09985a3c69`>=h:=<1<7*1i65`25794?"4j<09985a3c69b>=h:=91<7*1==54o361>5<#;k?1>8;4n2`7>47<3f8?=7>5$2`6>7323g9i87?=;:m105<72-9i97<:5:l0f1<6;21d>>h50;&0f0<5=<1e?o:51598k75b290/?o;52478j6d328?07b<7c=m4;35?>i5;j0;6)=m5;061>h4j=0:;65`22`94?"4j<09985a3c695==6?;:;o1a0?7f32e99n4?:%1a1?42=2d8n94>b:9l60d=83.8n84=549m7g2=9j10c?;n:18'7g3=:l;:0f8?j4213:1(>l::376?k5e<3;n76a=5983>!5e=38>96`=h:<=1<7*1>=54o36e>5<#;k?1>8;4n2`7>77<3f8?87>5$2`6>7323g9i87<=;:m17<<72-9i97<:5:l0f1<5;21bh84?:%1a1?b33g9i87>4H2a:?>oc;3:1(>l::e68j6d3281C?n74;hf1>5<#;k?1h95a3c696>N4k010ei?50;&0f0=nl90;6)=m5;f7?k5e<3>0D>m6;:k`b?6=,:h>6i:4n2`7>0=O;j307dmj:18'7g3=l=1e?o:56:J0g<=h4j=0<7E=l9:9j``<72-9i97j;;o1a0?><@:i276gkd;29 6d22m>0b>l;:89K7f?<3`nh6=4+3c79`1=i;k>1m6F!5e=3n?7c=m4;a8L6e>32co57>5$2`6>a2=nkj0;6)=m5;f7?k5e<3;:7E=l9:9~f1`f290:9l4?:1y'7fc=:8=0D>j7;I1g4>\a;3hp4o4=1;5g>2?=9m0:o798:6a95`<6j3?:6p*<1e8223?5=#9;21?<<4n00a>5=i9:i1=6`=1782?k42m3;0b>?l:09m7g1=92.8o94?50;9j7f6=831b=>:50;9j57`=831d=?o50;9j7f4=831b?n?50;9j56>=831b?oj50;9j565=831b=?k50;9j570=831b=>;50;9j57b=831d=?750;9j7ge=831b?n=50;9jg7<72-9i97m>;o1a0?6<3`i;6=4+3c79g4=i;k>1=65fbg83>!5e=3i:7c=m4;08?ldb290/?o;5c09m7g2=;21bni4?:%1a1?e63g9i87:4;h``>5<#;k?1o<5a3c691>=njk0;6)=m5;a2?k5e<3<07dln:18'7g3=k81e?o:57:9jgg<72-9i97m>;o1a0?><3`ij6=4+3c79g4=i;k>1565fc883>!5e=3i:7c=m4;c8?le?290/?o;5c09m7g2=j21bo:4?:%1a1?e63g9i87m4;ha5>5<#;k?1o<5a3c69`>=nk<0;6)=m5;a2?k5e<3o07dm;:18'7g3=k81e?o:5f:9jg6<72-9i97m>;o1a0?7732ci57>5$2`6>f75<#;k?1i:5a3c694>=hm?0;6)=m5;g4?k5e<3;07bk::18'7g3=m>1e?o:52:9la1<72-9i97k8;o1a0?5<3fo86=4+3c79a2=i;k>1865`e383>!5e=3o<7c=m4;78?jc6290/?o;5e69m7g2=>21di=4?:%1a1?c03g9i8794;nd2>5<#;k?1i:5a3c69<>=hn90;6)=m5;g4?k5e<3307bki:18'7g3=m>1e?o:5a:9la`<72-9i97k8;o1a0?d<3foo6=4+3c79a2=i;k>1o65`eb83>!5e=3o<7c=m4;f8?jce290/?o;5e69m7g2=m21dil4?:%1a1?c03g9i87h4;ng:>5<#;k?1i:5a3c6955=h4j=0:=65f36a94?"4j<08;o5a3c694>=n;>k1<7*1=65f36;94?"4j<08;o5a3c696>=n;>21<7*1?65f36594?"4j<08;o5a3c690>=n;><1<7*1965f36794?"4j<08;o5a3c692>=n;>>1<7*1;65f36094?"4j<08;o5a3c69<>=n;>;1<7*1565f36294?"4j<08;o5a3c69e>=n;?l1<7*1n65f37g94?"4j<08;o5a3c69g>=n;?n1<7*1h65f37a94?"4j<08;o5a3c69a>=n;?h1<7*1j65f37c94?"4j<08;o5a3c6955=6>9m;o1a0?7532c8:;4?:%1a1?50j2d8n94>3:9j733=83.8n84<7c9m7g2=9=10e>8;:18'7g3=;>h0b>l;:078?l51;3:1(>l::25a?k5e<3;=76g<6383>!5e=39=n;?;1<7*1=554i243>5<#;k?1?:l4n2`7>4?<3`9>j7>5$2`6>61e3g9i87?n;:k01`<72-9i97=8b:l0f1<6j21b?8m50;&0f0<4?k1e?o:51b98m63e290/?o;536`8j6d328n07d=:a;29 6d22:=i7c=m4;3f?>o4=00;6)=m5;14f>h4j=0:j65f34:94?"4j<08;o5a3c6965=6>9m;o1a0?4532c8984?:%1a1?50j2d8n94=3:9j702=83.8n84<7c9m7g2=:=10e>;<:18'7g3=;>h0b>l;:378?l5?:3:1(>l::25a?k5e<38=76g<8083>!5e=39=n;1:1<7*1>554i25e>5<#;k?1?:l4n2`7>7?<3`95$2`6>61e3g9i87o4=;0;6)=m5;14f>h4j=09j65f10d94?"4j<0:=h5a3c694>=n98n1<7*1=65f10a94?"4j<0:=h5a3c696>=n98h1<7*1?65f10c94?"4j<0:=h5a3c690>=n9831<7*1965f10:94?"4j<0:=h5a3c692>=n98=1<7*1;65f10794?"4j<0:=h5a3c69<>=n98>1<7*1565f10194?"4j<0:=h5a3c69e>=n9881<7*1n65f10394?"4j<0:=h5a3c69g>=n98:1<7*1h65f11d94?"4j<0:=h5a3c69a>=n99o1<7*1j65f11f94?"4j<0:=h5a3c6955=63:9j55>=83.8n84>1d9m7g2=9=10e<>8:18'7g3=98o0b>l;:078?l77>3:1(>l::03f?k5e<3;=76g>0483>!5e=3;:i6`=n99>1<7*1=554i020>5<#;k?1=4?<3`;;>7>5$2`6>47b3g9i87?n;:k244<72-9i97?>e:l0f1<6j21bjk4?:%1a1?76m2d8n94>c:9jb`<72-9i97?>e:l0f1<6l21bji4?:%1a1?76m2d8n94>e:9jbf<72-9i97?>e:l0f1<6n21bjo4?:%1a1?76m2d8n94=0:9jbd<72-9i97?>e:l0f1<5921bj44?:%1a1?76m2d8n94=2:9jb=<72-9i97?>e:l0f1<5;21bj:4?:%1a1?76m2d8n94=4:9jb3<72-9i97?>e:l0f1<5=21b=?;50;&0f0<69l1e?o:52798m443290/?o;510g8j6d32;=07d?=3;29 6d228;n7c=m4;0;?>o6:;0;6)=m5;32a>h4j=09565f13394?"4j<0:=h5a3c696d=61d9m7g2=:l10ek;50;&0f0<69l1e?o:52g98k62f290/?o;535;8j6d32910c>:7:18'7g3=;=30b>l;:098k620290/?o;535;8j6d32;10c>:9:18'7g3=;=30b>l;:298k622290/?o;535;8j6d32=10c>:;:18'7g3=;=30b>l;:498k624290/?o;535;8j6d32?10c>:=:18'7g3=;=30b>l;:698k627290/?o;535;8j6d32110c>=i:18'7g3=;=30b>l;:898k65b290/?o;535;8j6d32h10c>=k:18'7g3=;=30b>l;:c98k65d290/?o;535;8j6d32j10c>=m:18'7g3=;=30b>l;:e98k65f290/?o;535;8j6d32l10c>=6:18'7g3=;=30b>l;:g98k65?290/?o;535;8j6d328:07b=<7;29 6d22:>27c=m4;32?>i4;<0;6)=m5;17=>h4j=0:>65`32694?"4j<08845a3c6956=6>:6;o1a0?7232e8?<4?:%1a1?5312d8n94>6:9l766=83.8n84<489m7g2=9>10c>l;:0:8?j55m3:1(>l::26:?k5e<3;276a<2e83>!5e=39?56`=h;;i1<7*1=o54o20b>5<#;k?1?974n2`7>4e<3f9957>5$2`6>62>3g9i87?k;:m06=<72-9i97=;9:l0f1<6m21d??950;&0f0<4<01e?o:51g98k641290/?o;535;8j6d32;:07b==5;29 6d22:>27c=m4;02?>i4:=0;6)=m5;17=>h4j=09>65`33194?"4j<08845a3c6966=6>:6;o1a0?4232e89=4?:%1a1?5312d8n94=6:9l71`=83.8n84<489m7g2=:>10c>:j:18'7g3=;=30b>l;:3:8?j53l3:1(>l::26:?k5e<38276a<4b83>!5e=39?56`=h;=h1<7*1>o54o262>5<#;k?1?974n2`7>7e<3f98:7>5$2`6>62>3g9i8750;&0f0<4<01e?o:52g98m67e290/?o;530c8j6d32910e>?6:18'7g3=;8k0b>l;:098m67?290/?o;530c8j6d32;10e>?8:18'7g3=;8k0b>l;:298m671290/?o;530c8j6d32=10e>?::18'7g3=;8k0b>l;:498m673290/?o;530c8j6d32?10e>?<:18'7g3=;8k0b>l;:698m42?290/?o;51558j6d32910e<:9:18'7g3=9==0b>l;:098m422290/?o;51558j6d32;10e<:;:18'7g3=9==0b>l;:298m424290/?o;51558j6d32=10e<:=:18'7g3=9==0b>l;:498m426290/?o;51558j6d32?10e<:?:18'7g3=9==0b>l;:698m436290/?o;51558j6d32110e<;?:18'7g3=9==0b>l;:898m42a290/?o;51558j6d32h10e<:j:18'7g3=9==0b>l;:c98m42c290/?o;51558j6d32j10e<:l:18'7g3=9==0b>l;:e98m42e290/?o;51558j6d32l10e<:n:18'7g3=9==0b>l;:g98m42>290/?o;51558j6d328:07d?<7c=m4;32?>i4im0;6)=m5;1bg>h4j=0;76a!5e=39jo6`i4ih0;6)=m5;1bg>h4j=0976a!5e=39jo6`i4i10;6)=m5;1bg>h4j=0?76a!5e=39jo6`i4i?0;6)=m5;1bg>h4j=0=76a!5e=39jo6`i4i:0;6)=m5;1bg>h4j=0376a!5e=39jo6`i4i80;6)=m5;1bg>h4j=0j76a!5e=39jo6`i41o0;6)=m5;1bg>h4j=0h76a<9d83>!5e=39jo6`i41m0;6)=m5;1bg>h4j=0n76a<9b83>!5e=39jo6`i41k0;6)=m5;1bg>h4j=0:<65`38c94?"4j<08mn5a3c6954=6>ol;o1a0?7432e85;4?:%1a1?5fk2d8n94>4:9l7<3=83.8n847;:18'7g3=;hi0b>l;:048?j5>;3:1(>l::2c`?k5e<3;<76a<9383>!5e=39jo6`=h;0;1<7*1=454o2;3>5<#;k?1?lm4n2`7>4g<3f93j7>5$2`6>6gd3g9i87?m;:m0e290/?o;53`a8j6d328o07b=7a;29 6d22:kh7c=m4;3e?>i4000;6)=m5;1bg>h4j=09<65`39:94?"4j<08mn5a3c6964=6>ol;o1a0?4432e8484?:%1a1?5fk2d8n94=4:9l7=2=83.8n84l<:18'7g3=;hi0b>l;:348?j5e:3:1(>l::2c`?k5e<38<76a!5e=39jo6`=h;k:1<7*1>454o2ce>5<#;k?1?lm4n2`7>7g<3f9ji7>5$2`6>6gd3g9i87b290/?o;53`a8j6d32;o07b=73;29 6d22:kh7c=m4;0e?>o>m3:1(>l::8f8j6d32910e4m50;&0f0<>l2d8n94>;:k:f?6=,:h>64j4n2`7>7=h4j=0876g69;29 6d220n0b>l;:598m<>=83.8n846d:l0f1<232c2;7>5$2`6>of?3:1(>l::8f8j6d32110el850;&0f0<>l2d8n946;:kb1?6=,:h>64j4n2`7>d=1<7*h4j=0i76gn3;29 6d220n0b>l;:b98md4=83.8n846d:l0f15$2`6>o>n3:1(>l::8f8j6d328:07d7::18'7g3=1m1e?o:51098k731290/?o;52478j6d32910c?;;:18'7g3=:l;:098k734290/?o;52478j6d32;10c?;=:18'7g3=:l;:298k736290/?o;52478j6d32=10c?;?:18'7g3=:l;:498k72b290/?o;52478j6d32?10c?:k:18'7g3=:l;:698k72d290/?o;52478j6d32110c?:m:18'7g3=:l;:898k72f290/?o;52478j6d32h10c?:6:18'7g3=:l;:c98k72?290/?o;52478j6d32j10c?:8:18'7g3=:l;:e98k721290/?o;52478j6d32l10c?:::18'7g3=:l;:g98k724290/?o;52478j6d328:07b<;2;29 6d22;?>7c=m4;32?>i5<80;6)=m5;061>h4j=0:>65`25294?"4j<09985a3c6956=6?;:;o1a0?7232e9?i4?:%1a1?42=2d8n94>6:9l66e=83.8n84=549m7g2=9>10c?=m:18'7g3=:l;:0:8?j44i3:1(>l::376?k5e<3;276a=5e83>!5e=38>96`=h:1=o54o37a>5<#;k?1>8;4n2`7>4e<3f8>m7>5$2`6>7323g9i87?k;:m11<<72-9i97<:5:l0f1<6m21d>8650;&0f0<5=<1e?o:51g98k730290/?o;52478j6d32;:07b<;f;29 6d22;?>7c=m4;02?>i5<=0;6)=m5;061>h4j=09>65`22;94?"4j<09985a3c6966=h4j=0;7E=l9:9j`6<72-9i97j;;o1a0?7<@:i276gk2;29 6d22m>0b>l;:39K7f?<3`n:6=4+3c79`1=i;k>1?6F!5e=3n?7c=m4;78L6e>32chi7>5$2`6>a2ock3:1(>l::e68j6d32h1C?n74;hfa>5<#;k?1h95a3c69f>N4k010eio50;&0f0=nl00;6)=m5;f7?k5e<3n0D>m6;:kg6i:4n2`7>`=O;j307dj8:18'7g3=l=1e?o:5f:J0g<=h4j=0:<6Ft$2af>7703A9o46F<48d;5:>4b=9j0<;79l:0g95g<293w/?;o`4>4=ij10:7c?=7;28 44?2:;97c?=b;28j45d281e><851:l11`<63g9:o7?4n2`4>4=#;j>1?nj4i013>5<5<5<5<5<5<5<5<6=44i00g>5<5<od83:1(>l::b38j6d32810eoh50;&0f06n?4n2`7>6=h4j=0?76gmc;29 6d22j;0b>l;:498mgd=83.8n84l1:l0f1<132cim7>5$2`6>f7odi3:1(>l::b38j6d32010en750;&0f06n?4n2`7>g=h4j=0h76gl6;29 6d22j;0b>l;:e98mf3=83.8n84l1:l0f15$2`6>f7=nj00;6)=m5;a2?k5e<3;:76aj8;29 6d22l=0b>l;:198k`0=83.8n84j7:l0f1<632en97>5$2`6>`1ib;3:1(>l::d58j6d32=10ch<50;&0f06h94n2`7>3=h4j=0<76ai1;29 6d22l=0b>l;:998kc6=83.8n84j7:l0f1<>32enj7>5$2`6>`1ibl3:1(>l::d58j6d32j10chm50;&0f06h94n2`7>`=h4j=0m76aj9;29 6d22l=0b>l;:028?jba290/?o;5e69m7g2=9810e>9l:18'7g3=;>h0b>l;:198m61f290/?o;536`8j6d32810e>96:18'7g3=;>h0b>l;:398m61?290/?o;536`8j6d32:10e>98:18'7g3=;>h0b>l;:598m611290/?o;536`8j6d32<10e>9::18'7g3=;>h0b>l;:798m613290/?o;536`8j6d32>10e>9=:18'7g3=;>h0b>l;:998m616290/?o;536`8j6d32010e>9?:18'7g3=;>h0b>l;:`98m60a290/?o;536`8j6d32k10e>8j:18'7g3=;>h0b>l;:b98m60c290/?o;536`8j6d32m10e>8l:18'7g3=;>h0b>l;:d98m60e290/?o;536`8j6d32o10e>8n:18'7g3=;>h0b>l;:028?l5113:1(>l::25a?k5e<3;:76g<6683>!5e=39=n;?<1<7*1=>54i246>5<#;k?1?:l4n2`7>42<3`9=87>5$2`6>61e3g9i87?:;:k026<72-9i97=8b:l0f1<6>21b?;<50;&0f0<4?k1e?o:51698m606290/?o;536`8j6d328207d=90;29 6d22:=i7c=m4;3:?>o4=o0;6)=m5;14f>h4j=0:m65f34g94?"4j<08;o5a3c695g=6>9m;o1a0?7c32c89l4?:%1a1?50j2d8n94>e:9j70?=83.8n84<7c9m7g2=9o10e>;7:18'7g3=;>h0b>l;:328?l52?3:1(>l::25a?k5e<38:76g<5783>!5e=39=n;1>>54i277>5<#;k?1?:l4n2`7>72<3`9>?7>5$2`6>61e3g9i87<:;:k0<7<72-9i97=8b:l0f1<5>21b?5?50;&0f0<4?k1e?o:52698m6>7290/?o;536`8j6d32;207d=8f;29 6d22:=i7c=m4;0:?>o4?l0;6)=m5;14f>h4j=09m65f36f94?"4j<08;o5a3c696g=6>9m;o1a0?4c32c89i4?:%1a1?50j2d8n94=e:9j704=83.8n84<7c9m7g2=:o10el;:198m47c290/?o;510g8j6d32810el;:398m47e290/?o;510g8j6d32:10el;:598m47>290/?o;510g8j6d32<10el;:798m470290/?o;510g8j6d32>10el;:998m473290/?o;510g8j6d32010el;:`98m475290/?o;510g8j6d32k10e:18'7g3=98o0b>l;:b98m477290/?o;510g8j6d32m10e<>i:18'7g3=98o0b>l;:d98m46b290/?o;510g8j6d32o10e<>k:18'7g3=98o0b>l;:028?l77k3:1(>l::03f?k5e<3;:76g>0`83>!5e=3;:i6`=n9931<7*1=>54i02;>5<#;k?1=42<3`;;;7>5$2`6>47b3g9i87?:;:k243<72-9i97?>e:l0f1<6>21b==;50;&0f0<69l1e?o:51698m463290/?o;510g8j6d328207d??3;29 6d228;n7c=m4;3:?>o68;0;6)=m5;32a>h4j=0:m65f11394?"4j<0:=h5a3c695g=1=n54igg94?"4j<0:=h5a3c695a=1=h54iga94?"4j<0:=h5a3c695c=1>=54igc94?"4j<0:=h5a3c6964=1>?54ig:94?"4j<0:=h5a3c6966=1>954ig494?"4j<0:=h5a3c6960=6=4+3c7954c6>4?:%1a1?76m2d8n94=8:9j574=83.8n84>1d9m7g2=:010e<<>:18'7g3=98o0b>l;:3c8?l7583:1(>l::03f?k5e<38i76g>1783>!5e=3;:i6`=n99h1<7*1>i54i023>5<#;k?1=7c<3`l>6=4+3c7954c6>:6;o1a0?6<3f9?47>5$2`6>62>3g9i87?4;n173?6=,:h>6>:6;o1a0?4<3f9?:7>5$2`6>62>3g9i87=4;n171?6=,:h>6>:6;o1a0?2<3f9?87>5$2`6>62>3g9i87;4;n177?6=,:h>6>:6;o1a0?0<3f9?>7>5$2`6>62>3g9i8794;n174?6=,:h>6>:6;o1a0?><3f98j7>5$2`6>62>3g9i8774;n10a?6=,:h>6>:6;o1a0?g<3f98h7>5$2`6>62>3g9i87l4;n10g?6=,:h>6>:6;o1a0?e<3f98n7>5$2`6>62>3g9i87j4;n10e?6=,:h>6>:6;o1a0?c<3f9857>5$2`6>62>3g9i87h4;n106>:6;o1a0?7732e8?:4?:%1a1?5312d8n94>1:9l763=83.8n84<489m7g2=9;10c>=;:18'7g3=;=30b>l;:018?j54;3:1(>l::26:?k5e<3;?76a<3383>!5e=39?56`=h;:;1<7*1=;54o213>5<#;k?1?974n2`7>41<3f99j7>5$2`6>62>3g9i87?7;:m06`<72-9i97=;9:l0f1<6121d??j50;&0f0<4<01e?o:51`98k64d290/?o;535;8j6d328h07b==a;29 6d22:>27c=m4;3`?>i4:00;6)=m5;17=>h4j=0:h65`33:94?"4j<08845a3c695`=6>:6;o1a0?4732e8>84?:%1a1?5312d8n94=1:9l772=83.8n84<489m7g2=:;10c><<:18'7g3=;=30b>l;:318?j55:3:1(>l::26:?k5e<38?76a<2083>!5e=39?56`=h;<:1<7*1>;54o26e>5<#;k?1?974n2`7>71<3f9?i7>5$2`6>62>3g9i87<7;:m00a<72-9i97=;9:l0f1<5121d?9m50;&0f0<4<01e?o:52`98k62e290/?o;535;8j6d32;h07b=;1;29 6d22:>27c=m4;0`?>i4;?0;6)=m5;17=>h4j=09h65`33`94?"4j<08845a3c696`=6>?n;o1a0?6<3`9:57>5$2`6>67f3g9i87?4;h126>?n;o1a0?4<3`9:;7>5$2`6>67f3g9i87=4;h122?6=,:h>6>?n;o1a0?2<3`9:97>5$2`6>67f3g9i87;4;h120?6=,:h>6>?n;o1a0?0<3`9:?7>5$2`6>67f3g9i8794;h376<:8;o1a0?6<3`;?:7>5$2`6>4203g9i87?4;h371?6=,:h>6<:8;o1a0?4<3`;?87>5$2`6>4203g9i87=4;h377?6=,:h>6<:8;o1a0?2<3`;?>7>5$2`6>4203g9i87;4;h375?6=,:h>6<:8;o1a0?0<3`;?<7>5$2`6>4203g9i8794;h365?6=,:h>6<:8;o1a0?><3`;><7>5$2`6>4203g9i8774;h37b?6=,:h>6<:8;o1a0?g<3`;?i7>5$2`6>4203g9i87l4;h37`?6=,:h>6<:8;o1a0?e<3`;?o7>5$2`6>4203g9i87j4;h37f?6=,:h>6<:8;o1a0?c<3`;?m7>5$2`6>4203g9i87h4;h37=?6=,:h>6<:8;o1a0?7732c:?k4?:%1a1?73?2d8n94>1:9l7db=83.8n84=83.8n8421d?l;50;&0f0<4ij1e?o:57:9l7d5=83.8n8450;&0f0<4ij1e?o:5b:9l7<`=83.8n847n:18'7g3=;hi0b>l;:038?j5>03:1(>l::2c`?k5e<3;976a<9683>!5e=39jo6`=h;0<1<7*1=954o2;6>5<#;k?1?lm4n2`7>43<3f9287>5$2`6>6gd3g9i87?9;:m0=6<72-9i97=nc:l0f1<6?21d?4<50;&0f0<4ij1e?o:51998k6?6290/?o;53`a8j6d328307b=60;29 6d22:kh7c=m4;3b?>i40o0;6)=m5;1bg>h4j=0:n65`39f94?"4j<08mn5a3c695f=6>ol;o1a0?7b32e84l4?:%1a1?5fk2d8n94>f:9l7=?=83.8n8467:18'7g3=;hi0b>l;:338?j5??3:1(>l::2c`?k5e<38976a<8783>!5e=39jo6`=h;1?1<7*1>954o2:7>5<#;k?1?lm4n2`7>73<3f9i?7>5$2`6>6gd3g9i87<9;:m0f7<72-9i97=nc:l0f1<5?21d?o?50;&0f0<4ij1e?o:52998k6d7290/?o;53`a8j6d32;307b=nf;29 6d22:kh7c=m4;0b?>i4il0;6)=m5;1bg>h4j=09n65`3`694?"4j<08mn5a3c696f=6>ol;o1a0?4b32e84>4?:%1a1?5fk2d8n94=f:9j=`<72-9i977k;o1a0?6<3`3h6=4+3c79=a=i;k>1=65f9c83>!5e=33o7c=m4;08?l?f290/?o;59e9m7g2=;21b544?:%1a1??c3g9i87:4;h;;>5<#;k?15i5a3c691>=n1>0;6)=m5;;g?k5e<3<07d79:18'7g3=1m1e?o:57:9je2<72-9i977k;o1a0?><3`k=6=4+3c79=a=i;k>1565fa483>!5e=33o7c=m4;c8?lg3290/?o;59e9m7g2=j21bm>4?:%1a1??c3g9i87m4;hc1>5<#;k?15i5a3c69`>=ni80;6)=m5;;g?k5e<3o07do?:18'7g3=1m1e?o:5f:9j=c<72-9i977k;o1a0?7732c297>5$2`6>6?;:;o1a0?6<3f8>87>5$2`6>7323g9i87?4;n067?6=,:h>6?;:;o1a0?4<3f8>>7>5$2`6>7323g9i87=4;n065?6=,:h>6?;:;o1a0?2<3f8><7>5$2`6>7323g9i87;4;n07a?6=,:h>6?;:;o1a0?0<3f8?h7>5$2`6>7323g9i8794;n07g?6=,:h>6?;:;o1a0?><3f8?n7>5$2`6>7323g9i8774;n07e?6=,:h>6?;:;o1a0?g<3f8?57>5$2`6>7323g9i87l4;n076?;:;o1a0?e<3f8?;7>5$2`6>7323g9i87j4;n072?6=,:h>6?;:;o1a0?c<3f8?97>5$2`6>7323g9i87h4;n077?6=,:h>6?;:;o1a0?7732e98?4?:%1a1?42=2d8n94>1:9l617=83.8n84=549m7g2=9;10c?:?:18'7g3=:l;:018?j44n3:1(>l::376?k5e<3;?76a=3d83>!5e=38>96`=h::n1<7*1=;54o31`>5<#;k?1>8;4n2`7>41<3f88n7>5$2`6>7323g9i87?7;:m17d<72-9i97<:5:l0f1<6121d>8j50;&0f0<5=<1e?o:51`98k73d290/?o;52478j6d328h07b<:b;29 6d22;?>7c=m4;3`?>i5=h0;6)=m5;061>h4j=0:h65`24;94?"4j<09985a3c695`=6?;:;o1a0?4732e98k4?:%1a1?42=2d8n94=1:9l612=83.8n84=549m7g2=:;10c?=6:18'7g3=:l;:318?lb2290/?o;5d59m7g2=82B8o454ie194?"4j<0o86`oc93:1(>l::e68j6d32:1C?n74;hf3>5<#;k?1h95a3c690>N4k010enh50;&0f0=nkl0;6)=m5;f7?k5e<3<0D>m6;:k``?6=,:h>6i:4n2`7>2=O;j307djj:18'7g3=l=1e?o:58:J0g<=h4j=027E=l9:9j`f<72-9i97j;;o1a0?g<@:i276gkb;29 6d22m>0b>l;:c9K7f?<3`nj6=4+3c79`1=i;k>1o6F!5e=3n?7c=m4;g8L6e>32co;7>5$2`6>a25<#;k?1h95a3c6954=O;j307pl;fb83>43f290;w)=le;023>N4l11C?i>4Zg19f~>e2;;1;i489;3g>4e=?>0=92d:>:4?;%31o4?;o30g?7h49j0:7c=m7;38 6e32:io7d?<0;29?l5em3:17d=mf;29?l7493:17d=l0;29?l74<3:17d?=f;29?j75i3:17d=l2;29?l5d93:17d?<8;29?l5el3:17d?<3;29?l75m3:17d?=6;29?l74=3:17d?=d;29?j7513:17d=mc;29?l5d;3:17dm=:18'7g3=k81e?o:50:9jg5<72-9i97m>;o1a0?7<3`hm6=4+3c79g4=i;k>1>65fbd83>!5e=3i:7c=m4;18?ldc290/?o;5c09m7g2=<21bnn4?:%1a1?e63g9i87;4;h`a>5<#;k?1o<5a3c692>=njh0;6)=m5;a2?k5e<3=07dmm:18'7g3=k81e?o:58:9jgd<72-9i97m>;o1a0??<3`i26=4+3c79g4=i;k>1m65fc983>!5e=3i:7c=m4;`8?le0290/?o;5c09m7g2=k21bo;4?:%1a1?e63g9i87j4;ha6>5<#;k?1o<5a3c69a>=nk=0;6)=m5;a2?k5e<3l07dm<:18'7g3=k81e?o:51198mg?=83.8n84l1:l0f1<6921di54?:%1a1?c03g9i87>4;ng5>5<#;k?1i:5a3c695>=hm<0;6)=m5;g4?k5e<3807bk;:18'7g3=m>1e?o:53:9la6<72-9i97k8;o1a0?2<3fo96=4+3c79a2=i;k>1965`e083>!5e=3o<7c=m4;48?jc7290/?o;5e69m7g2=?21dj<4?:%1a1?c03g9i8764;nd3>5<#;k?1i:5a3c69=>=hmo0;6)=m5;g4?k5e<3k07bkj:18'7g3=m>1e?o:5b:9laa<72-9i97k8;o1a0?e<3foh6=4+3c79a2=i;k>1h65`ec83>!5e=3o<7c=m4;g8?jcf290/?o;5e69m7g2=n21di44?:%1a1?c03g9i87??;:mgb?6=,:h>6h94n2`7>47<3`95$2`6>61e3g9i87>4;h14e?6=,:h>6>9m;o1a0?7<3`9<57>5$2`6>61e3g9i87<4;h146>9m;o1a0?5<3`9<;7>5$2`6>61e3g9i87:4;h142?6=,:h>6>9m;o1a0?3<3`9<97>5$2`6>61e3g9i8784;h140?6=,:h>6>9m;o1a0?1<3`9<>7>5$2`6>61e3g9i8764;h145?6=,:h>6>9m;o1a0??<3`9<<7>5$2`6>61e3g9i87o4;h15b?6=,:h>6>9m;o1a0?d<3`9=i7>5$2`6>61e3g9i87m4;h15`?6=,:h>6>9m;o1a0?b<3`9=o7>5$2`6>61e3g9i87k4;h15f?6=,:h>6>9m;o1a0?`<3`9=m7>5$2`6>61e3g9i87??;:k02<<72-9i97=8b:l0f1<6921b?;950;&0f0<4?k1e?o:51398m601290/?o;536`8j6d328907d=95;29 6d22:=i7c=m4;37?>o4>=0;6)=m5;14f>h4j=0:965f37194?"4j<08;o5a3c6953=6>9m;o1a0?7?32c8:=4?:%1a1?50j2d8n94>9:9j70`=83.8n84<7c9m7g2=9h10e>;j:18'7g3=;>h0b>l;:0`8?l52k3:1(>l::25a?k5e<3;h76g<5c83>!5e=39=n;1=h54i27:>5<#;k?1?:l4n2`7>4`<3`9>47>5$2`6>61e3g9i87o4=:0;6)=m5;14f>h4j=09965f39094?"4j<08;o5a3c6963=6>9m;o1a0?4?32c8;k4?:%1a1?50j2d8n94=9:9j72c=83.8n84<7c9m7g2=:h10e>9k:18'7g3=;>h0b>l;:3`8?l50;3:1(>l::25a?k5e<38h76g<6983>!5e=39=n;1>h54i271>5<#;k?1?:l4n2`7>7`<3`;:j7>5$2`6>47b3g9i87>4;h32`?6=,:h>65$2`6>47b3g9i87<4;h32f?6=,:h>65$2`6>47b3g9i87:4;h32=?6=,:h>65$2`6>47b3g9i8784;h323?6=,:h>65$2`6>47b3g9i8764;h320?6=,:h>65$2`6>47b3g9i87o4;h326?6=,:h>65$2`6>47b3g9i87m4;h324?6=,:h>65$2`6>47b3g9i87k4;h33a?6=,:h>65$2`6>47b3g9i87??;:k24f<72-9i97?>e:l0f1<6921b==o50;&0f0<69l1e?o:51398m46>290/?o;510g8j6d328907d??8;29 6d228;n7c=m4;37?>o68>0;6)=m5;32a>h4j=0:965f11494?"4j<0:=h5a3c6953=6=4+3c7954c64?:%1a1?76m2d8n94>9:9j554=83.8n84>1d9m7g2=9h10e<>>:18'7g3=98o0b>l;:0`8?l`a290/?o;510g8j6d328i07dhj:18'7g3=98o0b>l;:0f8?l`c290/?o;510g8j6d328o07dhl:18'7g3=98o0b>l;:0d8?l`e290/?o;510g8j6d32;:07dhn:18'7g3=98o0b>l;:338?l`>290/?o;510g8j6d32;807dh7:18'7g3=98o0b>l;:318?l`0290/?o;510g8j6d32;>07dh9:18'7g3=98o0b>l;:378?l75=3:1(>l::03f?k5e<38=76g>2583>!5e=3;:i6`=n9;91<7*1>554i001>5<#;k?1=7?<3`;9=7>5$2`6>47b3g9i87e:l0f1<5j21b=<850;&0f0<69l1e?o:52b98m46e290/?o;510g8j6d32;n07d??0;29 6d228;n7c=m4;0f?>oa=3:1(>l::03f?k5e<38m76a<4`83>!5e=39?56`i4<10;6)=m5;17=>h4j=0:76a<4683>!5e=39?56`i4h4j=0876a<4483>!5e=39?56`i4<=0;6)=m5;17=>h4j=0>76a<4283>!5e=39?56`i4<;0;6)=m5;17=>h4j=0<76a<4183>!5e=39?56`i4;o0;6)=m5;17=>h4j=0276a<3d83>!5e=39?56`i4;m0;6)=m5;17=>h4j=0i76a<3b83>!5e=39?56`i4;k0;6)=m5;17=>h4j=0o76a<3`83>!5e=39?56`i4;00;6)=m5;17=>h4j=0m76a<3983>!5e=39?56`=h;:=1<7*1=<54o216>5<#;k?1?974n2`7>44<3f9887>5$2`6>62>3g9i87?<;:m076<72-9i97=;9:l0f1<6<21d?><50;&0f0<4<01e?o:51498k656290/?o;535;8j6d328<07b=<0;29 6d22:>27c=m4;34?>i4:o0;6)=m5;17=>h4j=0:465`33g94?"4j<08845a3c695<=6>:6;o1a0?7e32e8>l4?:%1a1?5312d8n94>c:9l77?=83.8n84<489m7g2=9m10c><7:18'7g3=;=30b>l;:0g8?j55?3:1(>l::26:?k5e<3;m76a<2783>!5e=39?56`=h;;?1<7*1><54o207>5<#;k?1?974n2`7>74<3f99?7>5$2`6>62>3g9i87<<;:m067<72-9i97=;9:l0f1<5<21d???50;&0f0<4<01e?o:52498k637290/?o;535;8j6d32;<07b=;f;29 6d22:>27c=m4;04?>i4h4j=09465`35f94?"4j<08845a3c696<=h6=4+3c7971?6>:6;o1a0?4e32e88<4?:%1a1?5312d8n94=c:9l760=83.8n84<489m7g2=:m10c>l;:3g8?j5583:1(>l::26:?k5e<38m76g<1c83>!5e=39:m6`o4900;6)=m5;12e>h4j=0:76g<1983>!5e=39:m6`o49>0;6)=m5;12e>h4j=0876g<1783>!5e=39:m6`o49<0;6)=m5;12e>h4j=0>76g<1583>!5e=39:m6`o49:0;6)=m5;12e>h4j=0<76g>4983>!5e=3;?;6`o6h4j=0:76g>4483>!5e=3;?;6`o6<=0;6)=m5;373>h4j=0876g>4283>!5e=3;?;6`o6<;0;6)=m5;373>h4j=0>76g>4083>!5e=3;?;6`o6<90;6)=m5;373>h4j=0<76g>5083>!5e=3;?;6`o6=90;6)=m5;373>h4j=0276g>4g83>!5e=3;?;6`o6h4j=0i76g>4e83>!5e=3;?;6`o6h4j=0o76g>4c83>!5e=3;?;6`o6h4j=0m76g>4883>!5e=3;?;6`=n9:l1<7*1=<54o2cg>5<#;k?1?lm4n2`7>5=5<#;k?1?lm4n2`7>7=54o2c;>5<#;k?1?lm4n2`7>1=5<#;k?1?lm4n2`7>3=6=4+3c797de5<#;k?1?lm4n2`7>==5<#;k?1?lm4n2`7>d=5<#;k?1?lm4n2`7>f=5<#;k?1?lm4n2`7>`=5<#;k?1?lm4n2`7>46<3f92m7>5$2`6>6gd3g9i87?>;:m0==<72-9i97=nc:l0f1<6:21d?4950;&0f0<4ij1e?o:51298k6?1290/?o;53`a8j6d328>07b=65;29 6d22:kh7c=m4;36?>i41=0;6)=m5;1bg>h4j=0::65`38194?"4j<08mn5a3c6952=6>ol;o1a0?7>32e85=4?:%1a1?5fk2d8n94>a:9l7=`=83.8n846k:18'7g3=;hi0b>l;:0a8?j5?k3:1(>l::2c`?k5e<3;o76a<8c83>!5e=39jo6`=h;1k1<7*1=k54o2::>5<#;k?1?lm4n2`7>76<3f9347>5$2`6>6gd3g9i87<>;:m0<2<72-9i97=nc:l0f1<5:21d?5850;&0f0<4ij1e?o:52298k6>2290/?o;53`a8j6d32;>07b=74;29 6d22:kh7c=m4;06?>i4j:0;6)=m5;1bg>h4j=09:65`3c094?"4j<08mn5a3c6962=6>ol;o1a0?4>32e8mk4?:%1a1?5fk2d8n94=a:9l7dc=83.8n84o;:18'7g3=;hi0b>l;:3a8?j5>13:1(>l::2c`?k5e<38o76a<8d83>!5e=39jo6`=h;191<7*1>k54i8g94?"4j<02h6`o>k3:1(>l::8f8j6d32810e4l50;&0f0<>l2d8n94=;:k:e?6=,:h>64j4n2`7>6=h4j=0?76g68;29 6d220n0b>l;:498m<1=83.8n846d:l0f1<132c2:7>5$2`6>of>3:1(>l::8f8j6d32010el;50;&0f0<>l2d8n94n;:kb0?6=,:h>64j4n2`7>g=h4j=0h76gn2;29 6d220n0b>l;:e98md7=83.8n846d:l0f15$2`6>=n1<0;6)=m5;;g?k5e<3;:76a=5783>!5e=38>96`i5==0;6)=m5;061>h4j=0:76a=5283>!5e=38>96`i5=;0;6)=m5;061>h4j=0876a=5083>!5e=38>96`i5=90;6)=m5;061>h4j=0>76a=4d83>!5e=38>96`i5h4j=0<76a=4b83>!5e=38>96`i5h4j=0276a=4`83>!5e=38>96`i5<00;6)=m5;061>h4j=0i76a=4983>!5e=38>96`i5<>0;6)=m5;061>h4j=0o76a=4783>!5e=38>96`i5<<0;6)=m5;061>h4j=0m76a=4283>!5e=38>96`=h:=81<7*1=<54o362>5<#;k?1>8;4n2`7>44<3f8?<7>5$2`6>7323g9i87?<;:m17c<72-9i97<:5:l0f1<6<21d>>k50;&0f0<5=<1e?o:51498k75c290/?o;52478j6d328<07b<7c=m4;34?>i5;k0;6)=m5;061>h4j=0:465`22c94?"4j<09985a3c695<=6?;:;o1a0?7e32e99o4?:%1a1?42=2d8n94>c:9l60g=83.8n84=549m7g2=9m10c?;6:18'7g3=:l;:0g8?j4203:1(>l::376?k5e<3;m76a=5683>!5e=38>96`=h:=l1<7*1><54o367>5<#;k?1>8;4n2`7>74<3f8857>5$2`6>7323g9i87<<;:kg1?6=,:h>6i:4n2`7>5=O;j307dj<:18'7g3=l=1e?o:51:J0g<=h4j=097E=l9:9j`4<72-9i97j;;o1a0?5<@:i276gk0;29 6d22m>0b>l;:59K7f?<3`im6=4+3c79`1=i;k>196F!5e=3n?7c=m4;58L6e>32coi7>5$2`6>a2oci3:1(>l::e68j6d32j1C?n74;hf:>5<#;k?1h95a3c69`>N4k010ei650;&0f0=nl>0;6)=m5;f7?k5e<3l0D>m6;:kg2?6=,:h>6i:4n2`7>46<@:i276glc;29 6d22m>0b>l;:038L6e>32wi8kj50;36e?6=8r.8oh4=169K7a><@:n;7Wh<:cy;f?462>n1;44>d;3`>21=?j0:i7?m:439y!56l3;=:45a9183?k?5281en:4>;o`;>4=i9;=1<6*>298057=i9;h1<6`>3b82?k46>3;0b?;j:09m74e=92d8n:4>;%1`0?5dl2c:?=4?::k0f`<722c8nk4?::k274<722c8o=4?::k271<722c:>k4?::m26d<722c8o?4?::k0g4<722c:?54?::k0fa<722c:?>4?::k26`<722c:>;4?::k270<722c:>i4?::m26<<722c8nn4?::k0g6<722ch>7>5$2`6>f7oen3:1(>l::b38j6d32;10eok50;&0f06n?4n2`7>1=h4j=0>76gmb;29 6d22j;0b>l;:798mgg=83.8n84l1:l0f1<032chn7>5$2`6>f7od13:1(>l::b38j6d32h10en650;&0f06n?4n2`7>f=h4j=0o76gl5;29 6d22j;0b>l;:d98mf2=83.8n84l1:l0f15$2`6>f74;h`:>5<#;k?1o<5a3c6954=h4j=0;76aj6;29 6d22l=0b>l;:098k`3=83.8n84j7:l0f1<532en87>5$2`6>`154od194?"4j<0n;6`ib:3:1(>l::d58j6d32<10ch?50;&0f06h94n2`7>2=h4j=0376ai0;29 6d22l=0b>l;:898k``=83.8n84j7:l0f15$2`6>`1ibk3:1(>l::d58j6d32m10chl50;&0f06h94n2`7>c=h4j=0:<65`dg83>!5e=3o<7c=m4;32?>o4?j0;6)=m5;14f>h4j=0;76g<7`83>!5e=39o4?00;6)=m5;14f>h4j=0976g<7983>!5e=39o4?>0;6)=m5;14f>h4j=0?76g<7783>!5e=39o4?<0;6)=m5;14f>h4j=0=76g<7583>!5e=39o4?;0;6)=m5;14f>h4j=0376g<7083>!5e=39o4?90;6)=m5;14f>h4j=0j76g<6g83>!5e=39o4>l0;6)=m5;14f>h4j=0h76g<6e83>!5e=39o4>j0;6)=m5;14f>h4j=0n76g<6c83>!5e=39o4>h0;6)=m5;14f>h4j=0:<65f37;94?"4j<08;o5a3c6954=6>9m;o1a0?7432c8:84?:%1a1?50j2d8n94>4:9j732=83.8n84<7c9m7g2=9<10e>8<:18'7g3=;>h0b>l;:048?l51:3:1(>l::25a?k5e<3;<76g<6083>!5e=39=n;?:1<7*1=454i27e>5<#;k?1?:l4n2`7>4g<3`9>i7>5$2`6>61e3g9i87?m;:k01f<72-9i97=8b:l0f1<6k21b?8l50;&0f0<4?k1e?o:51e98m63f290/?o;536`8j6d328o07d=:9;29 6d22:=i7c=m4;3e?>o4=10;6)=m5;14f>h4j=09<65f34594?"4j<08;o5a3c6964=6>9m;o1a0?4432c8994?:%1a1?50j2d8n94=4:9j705=83.8n84<7c9m7g2=:<10e>6=:18'7g3=;>h0b>l;:348?l5?93:1(>l::25a?k5e<38<76g<8183>!5e=39=n;>l1<7*1>454i25f>5<#;k?1?:l4n2`7>7g<3`95$2`6>61e3g9i87o69o0;6)=m5;32a>h4j=0;76g>1e83>!5e=3;:i6`o69j0;6)=m5;32a>h4j=0976g>1c83>!5e=3;:i6`o69h0;6)=m5;32a>h4j=0?76g>1883>!5e=3;:i6`o6910;6)=m5;32a>h4j=0=76g>1683>!5e=3;:i6`o69<0;6)=m5;32a>h4j=0376g>1583>!5e=3;:i6`o69:0;6)=m5;32a>h4j=0j76g>1383>!5e=3;:i6`o6980;6)=m5;32a>h4j=0h76g>1183>!5e=3;:i6`o68o0;6)=m5;32a>h4j=0n76g>0d83>!5e=3;:i6`o68m0;6)=m5;32a>h4j=0:<65f11a94?"4j<0:=h5a3c6954=64:9j551=83.8n84>1d9m7g2=9<10e<>9:18'7g3=98o0b>l;:048?l77=3:1(>l::03f?k5e<3;<76g>0583>!5e=3;:i6`=n9991<7*1=454i021>5<#;k?1=4g<3`;;=7>5$2`6>47b3g9i87?m;:keb?6=,:h>65$2`6>47b3g9i87?k;:ke`?6=,:h>65$2`6>47b3g9i87?i;:kef?6=,:h>65$2`6>47b3g9i87<>;:ke=?6=,:h>65$2`6>47b3g9i87<<;:ke3?6=,:h>65$2`6>47b3g9i87<:;:k260<72-9i97?>e:l0f1<5>21b=?:50;&0f0<69l1e?o:52698m444290/?o;510g8j6d32;207d?=2;29 6d228;n7c=m4;0:?>o6:80;6)=m5;32a>h4j=09m65f13294?"4j<0:=h5a3c696g=6e:l0f1<5n21d?9o50;&0f0<4<01e?o:50:9l71>=83.8n84<489m7g2=921d?9950;&0f0<4<01e?o:52:9l710=83.8n84<489m7g2=;21d?9;50;&0f0<4<01e?o:54:9l712=83.8n84<489m7g2==21d?9=50;&0f0<4<01e?o:56:9l714=83.8n84<489m7g2=?21d?9>50;&0f0<4<01e?o:58:9l76`=83.8n84<489m7g2=121d?>k50;&0f0<4<01e?o:5a:9l76b=83.8n84<489m7g2=j21d?>m50;&0f0<4<01e?o:5c:9l76d=83.8n84<489m7g2=l21d?>o50;&0f0<4<01e?o:5e:9l76?=83.8n84<489m7g2=n21d?>650;&0f0<4<01e?o:51198k650290/?o;535;8j6d328;07b=<5;29 6d22:>27c=m4;31?>i4;=0;6)=m5;17=>h4j=0:?65`32194?"4j<08845a3c6951=6>:6;o1a0?7132e8?=4?:%1a1?5312d8n94>7:9l77`=83.8n84<489m7g2=9110c>l;:0;8?j55l3:1(>l::26:?k5e<3;j76a<2b83>!5e=39?56`=h;;k1<7*1=n54o20:>5<#;k?1?974n2`7>4b<3f9947>5$2`6>62>3g9i87?j;:m062<72-9i97=;9:l0f1<6n21d??850;&0f0<4<01e?o:52198k642290/?o;535;8j6d32;;07b==4;29 6d22:>27c=m4;01?>i4::0;6)=m5;17=>h4j=09?65`33094?"4j<08845a3c6961=6>:6;o1a0?4132e88k4?:%1a1?5312d8n94=7:9l71c=83.8n84<489m7g2=:110c>:k:18'7g3=;=30b>l;:3;8?j53k3:1(>l::26:?k5e<38j76a<4c83>!5e=39?56`=h;=;1<7*1>n54o215>5<#;k?1?974n2`7>7b<3f99n7>5$2`6>62>3g9i871e?o:50:9j510=83.8n84>469m7g2=921b=9;50;&0f0<6<>1e?o:52:9j512=83.8n84>469m7g2=;21b=9=50;&0f0<6<>1e?o:54:9j514=83.8n84>469m7g2==21b=9?50;&0f0<6<>1e?o:56:9j516=83.8n84>469m7g2=?21b=8?50;&0f0<6<>1e?o:58:9j506=83.8n84>469m7g2=121b=9h50;&0f0<6<>1e?o:5a:9j51c=83.8n84>469m7g2=j21b=9j50;&0f0<6<>1e?o:5c:9j51e=83.8n84>469m7g2=l21b=9l50;&0f0<6<>1e?o:5e:9j51g=83.8n84>469m7g2=n21b=9750;&0f0<6<>1e?o:51198m45a290/?o;51558j6d328;07b=nd;29 6d22:kh7c=m4;28?j5fj3:1(>l::2c`?k5e<3;07b=na;29 6d22:kh7c=m4;08?j5f13:1(>l::2c`?k5e<3907b=n8;29 6d22:kh7c=m4;68?j5f?3:1(>l::2c`?k5e<3?07b=n6;29 6d22:kh7c=m4;48?j5f=3:1(>l::2c`?k5e<3=07b=n3;29 6d22:kh7c=m4;:8?j5f:3:1(>l::2c`?k5e<3307b=n1;29 6d22:kh7c=m4;c8?j5f83:1(>l::2c`?k5e<3h07b=6f;29 6d22:kh7c=m4;a8?j5>m3:1(>l::2c`?k5e<3n07b=6d;29 6d22:kh7c=m4;g8?j5>k3:1(>l::2c`?k5e<3l07b=6b;29 6d22:kh7c=m4;33?>i41h0;6)=m5;1bg>h4j=0:=65`38:94?"4j<08mn5a3c6957=6>ol;o1a0?7332e8584?:%1a1?5fk2d8n94>5:9l7<2=83.8n847<:18'7g3=;hi0b>l;:058?j5>:3:1(>l::2c`?k5e<3;376a<9083>!5e=39jo6`=h;0:1<7*1=l54o2:e>5<#;k?1?lm4n2`7>4d<3f93h7>5$2`6>6gd3g9i87?l;:m0f290/?o;53`a8j6d328l07b=79;29 6d22:kh7c=m4;03?>i4010;6)=m5;1bg>h4j=09=65`39594?"4j<08mn5a3c6967=6>ol;o1a0?4332e8494?:%1a1?5fk2d8n94=5:9l7g5=83.8n84l=:18'7g3=;hi0b>l;:358?j5e93:1(>l::2c`?k5e<38376a!5e=39jo6`=h;hl1<7*1>l54o2cf>5<#;k?1?lm4n2`7>7d<3f9j87>5$2`6>6gd3g9i874290/?o;53`a8j6d32;l07d7j:18'7g3=1m1e?o:50:9j=f<72-9i977k;o1a0?7<3`3i6=4+3c79=a=i;k>1>65f9`83>!5e=33o7c=m4;18?l?>290/?o;59e9m7g2=<21b554?:%1a1??c3g9i87;4;h;4>5<#;k?15i5a3c692>=n1?0;6)=m5;;g?k5e<3=07do8:18'7g3=1m1e?o:58:9je3<72-9i977k;o1a0??<3`k>6=4+3c79=a=i;k>1m65fa583>!5e=33o7c=m4;`8?lg4290/?o;59e9m7g2=k21bm?4?:%1a1??c3g9i87j4;hc2>5<#;k?15i5a3c69a>=ni90;6)=m5;;g?k5e<3l07d7i:18'7g3=1m1e?o:51198m<3=83.8n846d:l0f1<6921d>8850;&0f0<5=<1e?o:50:9l602=83.8n84=549m7g2=921d>8=50;&0f0<5=<1e?o:52:9l604=83.8n84=549m7g2=;21d>8?50;&0f0<5=<1e?o:54:9l606=83.8n84=549m7g2==21d>9k50;&0f0<5=<1e?o:56:9l61b=83.8n84=549m7g2=?21d>9m50;&0f0<5=<1e?o:58:9l61d=83.8n84=549m7g2=121d>9o50;&0f0<5=<1e?o:5a:9l61?=83.8n84=549m7g2=j21d>9650;&0f0<5=<1e?o:5c:9l611=83.8n84=549m7g2=l21d>9850;&0f0<5=<1e?o:5e:9l613=83.8n84=549m7g2=n21d>9=50;&0f0<5=<1e?o:51198k725290/?o;52478j6d328;07b<;1;29 6d22;?>7c=m4;31?>i5<90;6)=m5;061>h4j=0:?65`22d94?"4j<09985a3c6951=6?;:;o1a0?7132e9?n4?:%1a1?42=2d8n94>7:9l66d=83.8n84=549m7g2=9110c?=n:18'7g3=:l;:0;8?j42l3:1(>l::376?k5e<3;j76a=5b83>!5e=38>96`=h:1=n54o37b>5<#;k?1>8;4n2`7>4b<3f8>57>5$2`6>7323g9i87?j;:m11=<72-9i97<:5:l0f1<6n21d>8950;&0f0<5=<1e?o:52198k72a290/?o;52478j6d32;;07b<;4;29 6d22;?>7c=m4;01?>i5;00;6)=m5;061>h4j=09?65fd483>!5e=3n?7c=m4;28L6e>32co?7>5$2`6>a2odn3:1(>l::e68j6d32<1C?n74;haf>5<#;k?1h95a3c692>N4k010enj50;&0f0=nll0;6)=m5;f7?k5e<320D>m6;:kg`?6=,:h>6i:4n2`7><=O;j307djl:18'7g3=l=1e?o:5a:J0g<=h4j=0i7E=l9:9j`d<72-9i97j;;o1a0?e<@:i276gk9;29 6d22m>0b>l;:e9K7f?<3`n36=4+3c79`1=i;k>1i6F!5e=3n?7c=m4;33?M5d121bon4?:%1a1?b33g9i87?>;I1`=>=zj=ln6=4>5`83>5}#;jo1><94H2f;?M5c82Pm?7lt8c815?1c2>31=i4>c;54>2e=9l0:n7;>:|&05a<6>?30b4>50:l:6?7m51:l153<63g8>i7?4n23`>4=i;k=1=6*;o1a0?4<3`hn6=4+3c79g4=i;k>1?65fbe83>!5e=3i:7c=m4;68?ldd290/?o;5c09m7g2==21bno4?:%1a1?e63g9i8784;h`b>5<#;k?1o<5a3c693>=nkk0;6)=m5;a2?k5e<3207dmn:18'7g3=k81e?o:59:9jg<<72-9i97m>;o1a0?g<3`i36=4+3c79g4=i;k>1n65fc683>!5e=3i:7c=m4;a8?le1290/?o;5c09m7g2=l21bo84?:%1a1?e63g9i87k4;ha7>5<#;k?1o<5a3c69b>=nk:0;6)=m5;a2?k5e<3;;76gm9;29 6d22j;0b>l;:038?jc?290/?o;5e69m7g2=821di;4?:%1a1?c03g9i87?4;ng6>5<#;k?1i:5a3c696>=hm=0;6)=m5;g4?k5e<3907bk<:18'7g3=m>1e?o:54:9la7<72-9i97k8;o1a0?3<3fo:6=4+3c79a2=i;k>1:65`e183>!5e=3o<7c=m4;58?j`6290/?o;5e69m7g2=021dj=4?:%1a1?c03g9i8774;nge>5<#;k?1i:5a3c69e>=hml0;6)=m5;g4?k5e<3h07bkk:18'7g3=m>1e?o:5c:9laf<72-9i97k8;o1a0?b<3foi6=4+3c79a2=i;k>1i65`e`83>!5e=3o<7c=m4;d8?jc>290/?o;5e69m7g2=9910cih50;&0f01:9j72e=83.8n84<7c9m7g2=821b?:o50;&0f0<4?k1e?o:51:9j72?=83.8n84<7c9m7g2=:21b?:650;&0f0<4?k1e?o:53:9j721=83.8n84<7c9m7g2=<21b?:850;&0f0<4?k1e?o:55:9j723=83.8n84<7c9m7g2=>21b?::50;&0f0<4?k1e?o:57:9j724=83.8n84<7c9m7g2=021b?:?50;&0f0<4?k1e?o:59:9j726=83.8n84<7c9m7g2=i21b?;h50;&0f0<4?k1e?o:5b:9j73c=83.8n84<7c9m7g2=k21b?;j50;&0f0<4?k1e?o:5d:9j73e=83.8n84<7c9m7g2=m21b?;l50;&0f0<4?k1e?o:5f:9j73g=83.8n84<7c9m7g2=9910e>86:18'7g3=;>h0b>l;:038?l51?3:1(>l::25a?k5e<3;976g<6783>!5e=39=n;??1<7*1=954i247>5<#;k?1?:l4n2`7>43<3`9=?7>5$2`6>61e3g9i87?9;:k027<72-9i97=8b:l0f1<6?21b?;?50;&0f0<4?k1e?o:51998m607290/?o;536`8j6d328307d=:f;29 6d22:=i7c=m4;3b?>o4=l0;6)=m5;14f>h4j=0:n65f34a94?"4j<08;o5a3c695f=6>9m;o1a0?7b32c8944?:%1a1?50j2d8n94>f:9j70>=83.8n84<7c9m7g2=:910e>;8:18'7g3=;>h0b>l;:338?l52>3:1(>l::25a?k5e<38976g<5483>!5e=39=n;<>1<7*1>954i270>5<#;k?1?:l4n2`7>73<3`93>7>5$2`6>61e3g9i87<9;:k0<4<72-9i97=8b:l0f1<5?21b?5>50;&0f0<4?k1e?o:52998m61a290/?o;536`8j6d32;307d=8e;29 6d22:=i7c=m4;0b?>o4?m0;6)=m5;14f>h4j=09n65f36194?"4j<08;o5a3c696f=6>9m;o1a0?4b32c89?4?:%1a1?50j2d8n94=f:9j54`=83.8n84>1d9m7g2=821b=1d9m7g2=:21b=1d9m7g2=<21b=<750;&0f0<69l1e?o:55:9j54>=83.8n84>1d9m7g2=>21b=<950;&0f0<69l1e?o:57:9j543=83.8n84>1d9m7g2=021b=<:50;&0f0<69l1e?o:59:9j545=83.8n84>1d9m7g2=i21b=<<50;&0f0<69l1e?o:5b:9j547=83.8n84>1d9m7g2=k21b=<>50;&0f0<69l1e?o:5d:9j55`=83.8n84>1d9m7g2=m21b==k50;&0f0<69l1e?o:5f:9j55b=83.8n84>1d9m7g2=9910e<>l:18'7g3=98o0b>l;:038?l77i3:1(>l::03f?k5e<3;976g>0883>!5e=3;:i6`=n9921<7*1=954i024>5<#;k?1=43<3`;;:7>5$2`6>47b3g9i87?9;:k240<72-9i97?>e:l0f1<6?21b==:50;&0f0<69l1e?o:51998m464290/?o;510g8j6d328307d??2;29 6d228;n7c=m4;3b?>o6880;6)=m5;32a>h4j=0:n65ffg83>!5e=3;:i6`=nnl0;6)=m5;32a>h4j=0:h65ffe83>!5e=3;:i6`=nnj0;6)=m5;32a>h4j=0:j65ffc83>!5e=3;:i6`=nnh0;6)=m5;32a>h4j=09=65ff883>!5e=3;:i6`=nn10;6)=m5;32a>h4j=09?65ff683>!5e=3;:i6`=nn?0;6)=m5;32a>h4j=09965f13794?"4j<0:=h5a3c6963=6?4?:%1a1?76m2d8n94=9:9j577=83.8n84>1d9m7g2=:h10e<l;:3`8?l76>3:1(>l::03f?k5e<38h76g>0c83>!5e=3;:i6`=n99:1<7*1>h54ig794?"4j<0:=h5a3c696c=j6=4+3c7971?5<#;k?1?974n2`7>4=<6=4+3c7971?5<#;k?1?974n2`7>6=>6=4+3c7971?5<#;k?1?974n2`7>0=86=4+3c7971?5<#;k?1?974n2`7>2=;6=4+3c7971?5<#;k?1?974n2`7><=5<#;k?1?974n2`7>g=5<#;k?1?974n2`7>a=5<#;k?1?974n2`7>c=4;n103?6=,:h>6>:6;o1a0?7632e8?84?:%1a1?5312d8n94>2:9l762=83.8n84<489m7g2=9:10c>=<:18'7g3=;=30b>l;:068?j54:3:1(>l::26:?k5e<3;>76a<3083>!5e=39?56`=h;::1<7*1=:54o20e>5<#;k?1?974n2`7>4><3f99i7>5$2`6>62>3g9i87?6;:m06a<72-9i97=;9:l0f1<6i21d??m50;&0f0<4<01e?o:51c98k64f290/?o;535;8j6d328i07b==9;29 6d22:>27c=m4;3g?>i4:10;6)=m5;17=>h4j=0:i65`33594?"4j<08845a3c695c=4;n111?6=,:h>6>:6;o1a0?4632e8>94?:%1a1?5312d8n94=2:9l775=83.8n84<489m7g2=::10c><=:18'7g3=;=30b>l;:368?j5593:1(>l::26:?k5e<38>76a<5183>!5e=39?56`=h;=l1<7*1>:54o26f>5<#;k?1?974n2`7>7><3f9?h7>5$2`6>62>3g9i87<6;:m00f<72-9i97=;9:l0f1<5i21d?9l50;&0f0<4<01e?o:52c98k626290/?o;535;8j6d32;i07b=<6;29 6d22:>27c=m4;0g?>i4:k0;6)=m5;17=>h4j=09i65`33294?"4j<08845a3c696c=5<#;k?1?4=5<#;k?1?6=5<#;k?1?0=5<#;k?1?2=36=4+3c795115<#;k?1=994n2`7>4=>6=4+3c795115<#;k?1=994n2`7>6=86=4+3c795115<#;k?1=994n2`7>0=:6=4+3c795115<#;k?1=994n2`7>2=5<#;k?1=994n2`7><=m6=4+3c795115<#;k?1=994n2`7>g=o6=4+3c795115<#;k?1=994n2`7>a=i6=4+3c795115<#;k?1=994n2`7>c=26=4+3c795114;h30b?6=,:h>6<:8;o1a0?7632e8mi4?:%1a1?5fk2d8n94?;:m0eg<72-9i97=nc:l0f1<632e8ml4?:%1a1?5fk2d8n94=;:m0e<<72-9i97=nc:l0f1<432e8m54?:%1a1?5fk2d8n94;;:m0e2<72-9i97=nc:l0f1<232e8m;4?:%1a1?5fk2d8n949;:m0e0<72-9i97=nc:l0f1<032e8m>4?:%1a1?5fk2d8n947;:m0e7<72-9i97=nc:l0f1<>32e8m<4?:%1a1?5fk2d8n94n;:m0e5<72-9i97=nc:l0f10:9l777:18'7g3=;hi0b>l;:008?j5>?3:1(>l::2c`?k5e<3;876a<9783>!5e=39jo6`=h;0?1<7*1=854o2;7>5<#;k?1?lm4n2`7>40<3f92?7>5$2`6>6gd3g9i87?8;:m0=7<72-9i97=nc:l0f1<6021d?4?50;&0f0<4ij1e?o:51898k6?7290/?o;53`a8j6d328k07b=7f;29 6d22:kh7c=m4;3a?>i40m0;6)=m5;1bg>h4j=0:o65`39a94?"4j<08mn5a3c695a=6>ol;o1a0?7a32e8444?:%1a1?5fk2d8n94=0:9l7=>=83.8n8468:18'7g3=;hi0b>l;:308?j5?>3:1(>l::2c`?k5e<38876a<8483>!5e=39jo6`=h;1>1<7*1>854o2`0>5<#;k?1?lm4n2`7>70<3f9i>7>5$2`6>6gd3g9i87<8;:m0f4<72-9i97=nc:l0f1<5021d?o>50;&0f0<4ij1e?o:52898k6ga290/?o;53`a8j6d32;k07b=ne;29 6d22:kh7c=m4;0a?>i4i=0;6)=m5;1bg>h4j=09o65`38;94?"4j<08mn5a3c696a=6>ol;o1a0?4a32c2i7>5$2`6>o>j3:1(>l::8f8j6d32;10e4o50;&0f0<>l2d8n94<;:k:=?6=,:h>64j4n2`7>1=h4j=0>76g67;29 6d220n0b>l;:798m<0=83.8n846d:l0f1<032cj;7>5$2`6>of=3:1(>l::8f8j6d32h10el:50;&0f0<>l2d8n94m;:kb7?6=,:h>64j4n2`7>f=h4j=0o76gn1;29 6d220n0b>l;:d98md6=83.8n846d:l0f15$2`6>4;h;6>5<#;k?15i5a3c6954=5<#;k?1>8;4n2`7>4=5<#;k?1>8;4n2`7>6=5<#;k?1>8;4n2`7>0=n6=4+3c796035<#;k?1>8;4n2`7>2=h6=4+3c796035<#;k?1>8;4n2`7><=j6=4+3c796035<#;k?1>8;4n2`7>g=36=4+3c796035<#;k?1>8;4n2`7>a==6=4+3c796035<#;k?1>8;4n2`7>c=86=4+3c796034;n076?6=,:h>6?;:;o1a0?7632e98<4?:%1a1?42=2d8n94>2:9l616=83.8n84=549m7g2=9:10c?=i:18'7g3=:l;:068?j44m3:1(>l::376?k5e<3;>76a=3e83>!5e=38>96`=h::i1<7*1=:54o31a>5<#;k?1>8;4n2`7>4><3f88m7>5$2`6>7323g9i87?6;:m11a<72-9i97<:5:l0f1<6i21d>8m50;&0f0<5=<1e?o:51c98k73e290/?o;52478j6d328i07b<:a;29 6d22;?>7c=m4;3g?>i5=00;6)=m5;061>h4j=0:i65`24:94?"4j<09985a3c695c=4;n07b?6=,:h>6?;:;o1a0?4632e9894?:%1a1?42=2d8n94=2:9l66?=83.8n84=549m7g2=::10ei;50;&0f0=nl:0;6)=m5;f7?k5e<3;0D>m6;:kg6?6=,:h>6i:4n2`7>7=O;j307dj>:18'7g3=l=1e?o:53:J0g<=h4j=0?7E=l9:9jgc<72-9i97j;;o1a0?3<@:i276gle;29 6d22m>0b>l;:79K7f?<3`io6=4+3c79`1=i;k>1;6F!5e=3n?7c=m4;;8L6e>32coo7>5$2`6>a2oc03:1(>l::e68j6d32l1C?n74;hf4>5<#;k?1h95a3c69b>N4k010ei850;&0f00:J0g<=h4j=0:=6F50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f067290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a157=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`647<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb427>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm51794?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl:0783>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo;?7;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn8>7:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi9=750;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj<:h6=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e=9n1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg37n3:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f077290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a147=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`657<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb437>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm50794?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl:1783>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo;>7;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn8?7:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi9<750;05>74=;>qC?i>4$2af>73>:2Pm?7=t4d82=?7f2tcjm7>5$2`6>d?o6=k0;6)=m5;36e>h4j=0;76g>5883>!5e=3;>m6`o6=10;6)=m5;36e>h4j=0976g>5683>!5e=3;>m6`o6=?0;6)=m5;36e>h4j=0?76g>5483>!5e=3;>m6`o6><0;6)=m5;36e>h4j=0=76g>6583>!5e=3;>m6`o6>:0;6)=m5;36e>h4j=0376g>6083>!5e=3;>m6`o6>90;6)=m5;36e>h4j=0j76g>5g83>!5e=3;>m6`o6=l0;6)=m5;36e>h4j=0h76g>5e83>!5e=3;>m6`o6=j0;6)=m5;36e>h4j=0n76g>5583>!5e=3;>m6`o4l=0;66g>2b83>>o4k?0;66g>3983>>i6>m0;6)=m5;35g>h4j=0;76a>6c83>!5e=3;=o6`i6>h0;6)=m5;35g>h4j=0976a>6883>!5e=3;=o6`i6>10;6)=m5;35g>h4j=0?76a>6683>!5e=3;=o6`i6?>0;6)=m5;35g>h4j=0=76a>7783>!5e=3;=o6`i6?<0;6)=m5;35g>h4j=0376a>7283>!5e=3;=o6`i6?;0;6)=m5;35g>h4j=0j76a>7083>!5e=3;=o6`i6?90;6)=m5;35g>h4j=0h76a>6g83>!5e=3;=o6`i6>l0;6)=m5;35g>h4j=0n76a>6783>!5e=3;=o6`d29h0;6<4?:1y'7fc=:;=0D>j7;I1g4>i5:?0;66sm50`94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd29j0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo;>d;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f07b290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi9N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`665<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb401>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e=;91<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg35=3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn8<9:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a171=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a>54?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj<8j6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm53`94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9?m50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=;n1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a17c=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm53d94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9>>50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=:;1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a164=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm52194??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9>:50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=:?1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a160=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm52594??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9>650;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=:31<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a16g=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm52`94?5=83:p(>mj:316?M5c02B8h=5+23g9<2=n:;31<75f23c94?=h;8:1<75rs3276?6=98qUml5253`9=4=:=;i15<5253f9=4=:=;o15<5253d9=4=:=::15<525239=4=:=:815<525219=4=:=:>15<525279=4=:=:<15<525259=4=:=:215<5252;9=4=:=:k15<5rs3277?6=98qUm55253`914k525279=:8:181[72?27>?:4>3e9~w76303:1>vP>579>160=9:n0q~7}Y95<5sW;=863:32827a=z{;:?o7>52z\226=:=:81=>j4}r030a<72;qU=;?4=412>45c3ty9<9k50;0xZ40734?8<7?:0;296~X6=l169?k512f8yv47=80;6?uQ14f8904c289o7p}=04094?4|V8?h70;=c;30`>{t:9?86=4={_360>;2:k0:?i5rs3260?6=:rT8h95252`967?f;31g>;2:90:>n52533957e<5<896<>94>2b9>173=9;i018<9:00`?835?3;9o63:29826f=:=;31=?m4=40b>44d34?8n7<=a:p65312909=vP>399>14d=n=16914b=n=16914`=n=169?>5f59>177=n=169?<5f59>175=n=169?:5f59>173=n=169?85f59>171=n=169?65f59>17?=n=169?o5f59>17d=9:201864=413>45?34?8=7?<8:?677<6;1169>=512:89053289370;<5;30<>;2;?0:?552525956><5<936<=7;<70=?74027>?l4>399~w762?3:1>vP>6e9>17g=;k20q~7}Y9?h018<6:2`;?xu58<31<75<5sW;=563:2680f==z{;:>n7>52z\22==:=;<1?o64}r031f<72;qU=;94=406>6d?3ty9<8j50;0xZ41034?987=m8:p653b2909wS?86:?666<4j11v?>:f;296~X6?<169?<53c:8yv47>90;6?uQ161890462:h37p}=07394?4|V8=970;=0;1a<>{t:9<96=4={_345>;29o08n55rs3257?6=:rT:;=5250g97g>l7;|q1433=838pR<8j;<72g?5e02wx>=89:181[71>27>=o4<2<5<8h64=4=40`><2<5<8o64=4=40g><2<5<8n64=4=40f><2<5<8m64=4=40e><2<5<9;64=4=413><2<5<9:64=4=412><2<5<9964=4=411><2<5<9864=4=410><2<5<9?64=4=417><2<5<9>64=4=416><2<5<9=64=4=415><2<5<9<64=4=414><2<5<9364=4=41;><2<5<9264=4=41:><2<5<9j64=4=41b><214d=9:n01845c34?9o7=m8:p650f2909w0;>d;30`>;2:m08n55rs325f?6=:r7>=h4>3e9>17c=;k20q~7}:=8l1=>j4=40e>6d?3ty9<;j50;0x9047289o70;<0;1a<>{t:9?<4v3:23827a=:=:81?o64}r0335<72;q69?=512f890542:h37p}=06394?4|5<8?6<=k;<700?5e02wx>=9=:181835=3;8h63:3480f==z{;:52z?663<6;m169>853c:8yv47?=0;6?u2535956b<5<9<6>l7;|q1423=838p18<7:01g?834039i46s|2155>5<5s4?957?87;296~;2:h0:?i5252c97g>;2:m0:>n5253g957e<5<8m6<?<4>2b9>164=9;i018=<:00`?834<3;9o63:34826f=:=:<1=?m4=414>44d34?847?=c:?67<<6:j169>o513a8905e2:;;7psm52a94?412;81?:uG3e28 6eb2;?2>6Ti3;1x0`<613;j6pgna;29 6d22h30b>l;:198md>=83.8n84n9:l0f1<632c:9o4?:%1a1?72i2d8n94?;:k21<<72-9i97?:a:l0f1<632c:954?:%1a1?72i2d8n94=;:k212<72-9i97?:a:l0f1<432c:9;4?:%1a1?72i2d8n94;;:k210<72-9i97?:a:l0f1<232c::84?:%1a1?72i2d8n949;:k221<72-9i97?:a:l0f1<032c::>4?:%1a1?72i2d8n947;:k224<72-9i97?:a:l0f1<>32c::=4?:%1a1?72i2d8n94n;:k21c<72-9i97?:a:l0f132e:;?4?:%1a1?71k2d8n94n;:m234<72-9i97?9c:l0f1?i4?:083>5}#;jo1>?94H2f;?M5c82e9>;4?::a16c=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a?k4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj<>:6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm55094?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd2<:0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo;;4;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f022290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi99850;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`602<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb46:>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e==k1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg33k3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn8:k:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a11c=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm55d94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi98>50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=<;1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a104=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm54194??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi98:50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=n4?::k27=<722c:?i4?::m0f=<722e8n44?::a100=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm54594??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi98650;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=<31<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a10g=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm54`94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi98m50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=n4?::k27=<722c:?i4?::m0f=<722e8n44?::a10c=8391<7>t$2af>7523A9o46F1b>?750;9j67g=831d?<>50;9~w76013:1=11c=181699h5909>106=181698?5909>104=181698=5909>102=181698;5909>100=18169895909>10>=18169875909>10g=181698l5909>10e=181698j5909~w760i3:1=11c=0o1699h58g9>106=0o1698?58g9>104=0o1698=58g9>102=0o1698;58g9>100=0o1698958g9>10>=0o1698758g9>10g=0o1698l58g9>10e=0o1698j58g9~w760j3:1>vP>5c9>10b=9:n0q~7}Y9<3018;l:01g?xu58>n1<75<5sW;>;63:5`827a=z{;:52z\213=:=<31=>j4}r03<5<72;qU=8;4=47;>45c3ty9<5?50;0xZ40234?>;7?52909wS?94:?613<6;m1v?>73;296~X6>:1698;512f8yv470=0;6?uQ17389033289o7p}=09794?4|V8<;70;:3;30`>{t:92=6=4={_36b>;2=;0:?i5rs32;3?6=:rT:9h52543956b=6n:181[72<27>8h4>3e9~w76?j3:1>vP10c=:;30q~44|V88h70;;2;o0:>n52552957e<5<>:6<8>4>2b9>112=9;i018:::00`?833>3;9o63:46826f=:==21=?m4=46:>44d34??m7?=c:?60g<6:j1699m513a8902c288h70;:e;01e>{t:92o6=4=1z\27==:=:o1j95252d9b1=:==:1j9525539b1=:==81j9525519b1=:==>1j9525579b1=:==<1j9525559b1=:==21j95255;9b1=:==k1j95255`9b1=:==i1j95255f9b1=:==o1=>64=46e>45?34?><7?<8:?614<6;11698<512:89034289370;:4;30<>;2=<0:?552544956><5944>399>10g=9:2018;m:01;?832k3;8463:5e827==z{;:3i7>52z\22a=:==n1?o64}r036d?3ty9<4>50;0xZ40f34??n7=m8:p65?62909wS?99:?60d<4j11v?>62;296~X6>11699753c:8yv471:0;6?uQ1758902?2:h37p}=08694?4|V8=<70;;7;1a<>{t:93>6=4={_342>;2?6>l7;|q14<>=838pR<9=;<777?5e02wx>=76:181[70927>8?4i3:1>vP>719>117=;k20q~7}Y9?l018:?:2`;?xu580i1<75<5sW;=:63:3d80f==z{;:2i7>520y>16b=:;<018:j:818902b20>018:i:818902a20>018;?:818903720>018;>:818903620>018;=:818903520>018;<:818903420>018;;:818903320>018;::818903220>018;9:818903120>018;8:818903020>018;7:818903?20>018;6:818903>20>018;n:818903f20>018;m:818903e20>018;l:818903d20>018;k:818903c20>0q~7}:=:o1=>j4=46f>6d?3ty950;0x905a289o70;;f;1a<>{t:9k:6=4={<774?74l27>9=4v3:40827a=:=<;1?o64}r03e6<72;q699<512f890352:h37p}=0`694?4|5<>86<=k;<767?5e02wx>=o::181833<3;8h63:5580f==z{;:j:7>52z?600<6;m1698;53c:8yv47i>0;6?u2554956b<5l7;|q14d>=838p18:8:01g?832?39i46s|21c:>5<5s4??47?na;296~;2<00:?i5254;97g>11g=9:n018;n:2`;?xu58hi1<745c34?>n7=m8:p65gc2909w0;;c;30`>;2=j08n55rs32ba?6=:r7>8i4>3e9>10b=;k20q~47|5<>n6<9=4>2b9>107=9;i018;=:00`?832;3;9o63:55826f=:=44d34?>;7?=c:?61=<6:j16987513a8903f288h70;:b;31g>;2=j0:>n5254f957e<5??;|a10`=838=6?<536yK7a6<,:in6?;62:Xe7?5|6l74n2`7>5=h4j=0:76g>5c83>!5e=3;>m6`o6=00;6)=m5;36e>h4j=0:76g>5983>!5e=3;>m6`o6=>0;6)=m5;36e>h4j=0876g>5783>!5e=3;>m6`o6=<0;6)=m5;36e>h4j=0>76g>6483>!5e=3;>m6`o6>=0;6)=m5;36e>h4j=0<76g>6283>!5e=3;>m6`o6>80;6)=m5;36e>h4j=0276g>6183>!5e=3;>m6`o6=o0;6)=m5;36e>h4j=0i76g>5d83>!5e=3;>m6`o6=m0;6)=m5;36e>h4j=0o76g>5b83>!5e=3;>m6`o6==0;6)=m5;36e>h4j=0m76g>o6:j0;66g>o6;10;66a>6e83>!5e=3;=o6`i6>k0;6)=m5;35g>h4j=0:76a>6`83>!5e=3;=o6`i6>00;6)=m5;35g>h4j=0876a>6983>!5e=3;=o6`i6>>0;6)=m5;35g>h4j=0>76a>7683>!5e=3;=o6`i6??0;6)=m5;35g>h4j=0<76a>7483>!5e=3;=o6`i6?:0;6)=m5;35g>h4j=0276a>7383>!5e=3;=o6`i6?80;6)=m5;35g>h4j=0i76a>7183>!5e=3;=o6`i6>o0;6)=m5;35g>h4j=0o76a>6d83>!5e=3;=o6`i6>?0;6)=m5;35g>h4j=0m76l:6183>4<729q/?nk52358L6b?3A9o<6a=2783>>{e=?;1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg31;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn88;:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a133=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a:;4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj<<36=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm57;94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd2>h0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo;9b;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f00d290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi9;j50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`62`<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb453>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e=>;1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a124=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm56194??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9::50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=>?1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a120=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm56594??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9:650;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=>31<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a12g=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm56`94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9:m50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=>n1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a12c=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm56d94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi95>50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=1;1<7=50;2x 6eb2;9>7E=k8:J0`5=#:;o14:5f23;94?=n:;k1<75`30294?=z{;:i<7>510y]ed=:=>;15<525609=4=:=>915<525669=4=:=>?15<525649=4=:=>=15<5256:9=4=:=>315<5256c9=4=:=>h15<5256a9=4=:=>n15<5256g9=4=:=>l15<525929=4=z{;:i=7>510y]e==:=>;14k525609914k525669?14k525649=14k5256:9314k5256c9h14k5256a9n14k5256g9l14k5259297>52z\21g=:=1:1=>j4}r03f6<72;qU=874=45e>45c3ty9m6;296~X6=?169:m512f8yv47j>0;6?uQ1478901e289o7p}=0c:94?4|V8<>70;8a;30`>{t:9h26=4={_350>;2?00:?i5rs32ae?6=:rT::>5256:956b=lk:181[72n27>;84>3e9~w76em3:1>vP>5d9>122=9:n0q~7}Y95<5sW;>863:70827a=z{;:h>7>52z\0`1=:=1;1>?74}r03g6<7288pR<:?4>2b9>135=9;i0188;:00`?831=3;9o63:67826f=:=?=1=?m4=44;>44d34?=57?=c:?62d<6:j169;l513a8900d288h70;9d;31g>;2>l0:>n5257d957e<5<=;6<=m;:1815~X6;1169;?5f59>134=n=169;=5f59>132=n=169;;5f59>130=n=169;95f59>13>=n=169;75f59>13g=n=169;l5f59>13e=n=169;j5f59>13c=n=169;h5f59>126=n=169:?512:89015289370;83;30<>;2?=0:?552567956><5<==6<=7;<743?74027>;54>399>12?=9:20189n:01;?830j3;8463:7b827==:=>n1=>64=45f>45?34?l5;296~X6>m169:>53c:8yv47k?0;6?uQ17`8900a2:h37p}=0b594?4|V8{t:9i36=4={_35=>;2>m08n55rs32`=?6=:rT::55257a97g>l7;|q14fd=838pR<98;<75e?5e02wx>=ml:181[70>27>:44vP>749>13>=;k20q~7}Y9>901888:2`;?xu58jl1<739i46s|21f3>5<5sW;<=63:6480f==z{;:o=7>52z\235=:=?>1?o64}r03`7<72;qU=;h4=440>6d?3ty97=m8:p65b32909wS?96:?624<4j11v?>k5;2964}:=?:1>?84=452><5<5<=:64:4=451><5<5<=964:4=450><5<5<=864:4=457><5<5<=?64:4=456><5<5<=>64:4=455><5<5<==64:4=454><5<5<=<64:4=45;><5<5<=364:4=45:><5<5<=264:4=45b><5<5<=j64:4=45a><5<5<=i64:4=45`><5<5<=h64:4=45g><5<5<=o64:4=45f><5<5<=n64:4=45e><5<5<=m64:4=4:3><5<5<2;64:4}r03`3<72;q69;?512f890162:h37p}=0e594?4|5<<96<=k;<746?5e02wx>=j7:181831;3;8h63:7280f==z{;:o57>52z?621<6;m169::53c:8yv47lh0;6?u2577956b<5<=>6>l7;|q14ad=838p1889:01g?830>39i46s|21f`>5<5s4?=;7?kd;296~;2>10:?i5256:97g>13?=9:n01896:2`;?xu58ml1<745c34?;2?k08n55rs32f5?6=:r7>:n4>3e9>12e=;k20q~7}:=?n1=>j4=45g>6d?3ty9{t:9o?6=4={<75b?74l27>;k4v3:71827a=:=1:1?o64}r03a3<728;p189>:00`?830:3;9o63:72826f=:=>>1=?m4=456>44d34?<:7?=c:?632<6:j169:6513a8901>288h70;8a;31g>;2?k0:>n5256a957e<5<=o6<;k4>2b9>1=6=9;i0186>:233?x{e=181<7<9:30972}O;m:0(>mj:37:6>\a;39p8h4>9;3b>xofi3:1(>l::`;8j6d32910el650;&0f0;:k21g<72-9i97?:a:l0f1<732c:944?:%1a1?72i2d8n94>;:k21=<72-9i97?:a:l0f1<532c:9:4?:%1a1?72i2d8n94<;:k213<72-9i97?:a:l0f1<332c:984?:%1a1?72i2d8n94:;:k220<72-9i97?:a:l0f1<132c::94?:%1a1?72i2d8n948;:k226<72-9i97?:a:l0f1n4?::k0g3<722c:?54?::m22a<72-9i97?9c:l0f1<732e::o4?:%1a1?71k2d8n94>;:m22d<72-9i97?9c:l0f1<532e::44?:%1a1?71k2d8n94<;:m22=<72-9i97?9c:l0f1<332e:::4?:%1a1?71k2d8n94:;:m232<72-9i97?9c:l0f1<132e:;;4?:%1a1?71k2d8n948;:m230<72-9i97?9c:l0f14?:%1a1?71k2d8n946;:m237<72-9i97?9c:l0f1N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`6<0<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb4:4>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e=121<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg3?i3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn86m:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a1=e=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a4i4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj<2m6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm58294?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd2180;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo;62;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f0?4290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi94:50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=0?1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a1<0=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm58594??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi94650;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=031<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a1t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm58`94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi94m50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=0n1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a1t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm58d94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9l>50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=h;1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a1d4=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm5`194??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9l:50;194?6|,:in6?=:;I1g<>N4l91/>?k5869j67?=831b>?o50;9l746=831v?>j7;2954}Yih1694:5909>1<3=18169485909>1<1=18169465909>1111<`=18169l>5909>1d7=18169l<5909>1d5=181v?>j8;2954}Yi11694:58g9>1<3=0o1694858g9>1<1=0o1694658g9>1111<`=0o169l>58g9>1d7=0o169l<58g9>1d5=0o1v?>j9;296~X6=k169l=512f8yv47mh0;6?uQ14;890g5289o7p}=0d`94?4|V8?370;n1;30`>{t:9oh6=4={_363>;2i90:?i5rs32f`?6=:rT:9;5258d956b=h?:181[71<27>5n4>3e9~w76a93:1>vP>629>17}Y9?;0187n:01g?xu58o91<713;8h6s|21d7>5<5sW;>j63:99827a=z{;:m97>52z\21`=:=0=1=>j4}r03b3<72;qU=8j4=4;5>45c3ty9i9;296~X4l=169l:523;8yv47nh0;6<44d34?347?=c:?6<<<6:j1695o513a890>e288h70;7c;31g>;20m0:>n5259g957e<5<2m6<5<4>2b9>1<4=9;i0187<:00`?83f<389m6s|21da>5<59rT:?5525969b1=:=1?1j9525949b1=:=1=1j95259:9b1=:=131j95259c9b1=:=1h1j95259a9b1=:=1n1j95259g9b1=:=1l1j9525829b1=:=0;1j9525809b1=:=091j952586956><5<3>6<=7;<7:2?74027>5:4>399>1<>=9:201876:01;?83>i3;8463:9c827==:=0i1=>64=4;g>45?34?2i7?<8:?6=c<6;1169l>512:890g6289370;n2;30<>;2i:0:?55rs32eg?6=:rT::i5258197g>l7;|q14cc=838pR<8n;<7:5?5e02wx>=hi:181[71127>5=4vP>699>1=`=;k20q~<>0083>7}Y9?=0186j:2`;?xu59981<75<5sW;<:63:8b80f==z{;;;87>52z\230=:=1h1?o64}r0240<72;qU=:=4=4:b>6d?3ty9==850;0xZ41534?357=m8:p64602909wS?81:?6<=<4j11v???8;296~X6?91695953c:8yv46800;6?uQ17d890>12:h37p}=11c94?4|V8{t:8:i6=4={_352>;20=08n55rs333g?6=:8q695=5234890?32090187;:86890?22090187::86890?120901879:86890?020901878:86890??20901877:86890?>20901876:86890?f2090187n:86890?e2090187m:86890?d2090187l:86890?c2090187k:86890?b2090187j:86890?a2090187i:86890g7209018o?:86890g6209018o>:86890g5209018o=:86890g4209018o<:868yv468m0;6?u2596956b<5<3?6>l7;|q155c=838p186::01g?83>=39i46s|202e>5<5s4?3:7?0;296~;20>0:?i5258597g>1=>=9:n01877:2`;?xu59881<745c34?257=m8:p64742909w0;7a;30`>;21h08n55rs3320?6=:r7>4o4>3e9>11483>7}:=1i1=>j4=4;`>6d?3ty9=<850;0x90>c289o70;6d;1a<>{t:8;<6=4={<7;a?74l27>5h4v3:8g827a=:=0l1?o64}r025<<72;q694>512f890g72:h37p}=10c94?4|5<3:6<=k;<7b5?5e02wx>:3;8h63:a380f==z{;;:o7>52z?6=6<6;m169l=53c:8yv469m0;644d34?297?=c:?6=3<6:j16949513a890??288h70;69;31g>;21h0:>n5258`957e<5<3h6<5h4>2b9>1<`=9;i018o?:00`?83f93;9o63:a3826f=:=h91=?m4=4c7>6773twi9l;50;05>74=;>qC?i>4$2af>73>:2Pm?7=t4d82=?7f2tcjm7>5$2`6>d?o6=k0;6)=m5;36e>h4j=0;76g>5883>!5e=3;>m6`o6=10;6)=m5;36e>h4j=0976g>5683>!5e=3;>m6`o6=?0;6)=m5;36e>h4j=0?76g>5483>!5e=3;>m6`o6><0;6)=m5;36e>h4j=0=76g>6583>!5e=3;>m6`o6>:0;6)=m5;36e>h4j=0376g>6083>!5e=3;>m6`o6>90;6)=m5;36e>h4j=0j76g>5g83>!5e=3;>m6`o6=l0;6)=m5;36e>h4j=0h76g>5e83>!5e=3;>m6`o6=j0;6)=m5;36e>h4j=0n76g>5583>!5e=3;>m6`o4l=0;66g>2b83>>o4k?0;66g>3983>>i6>m0;6)=m5;35g>h4j=0;76a>6c83>!5e=3;=o6`i6>h0;6)=m5;35g>h4j=0976a>6883>!5e=3;=o6`i6>10;6)=m5;35g>h4j=0?76a>6683>!5e=3;=o6`i6?>0;6)=m5;35g>h4j=0=76a>7783>!5e=3;=o6`i6?<0;6)=m5;35g>h4j=0376a>7283>!5e=3;=o6`i6?;0;6)=m5;35g>h4j=0j76a>7083>!5e=3;=o6`i6?90;6)=m5;35g>h4j=0h76a>6g83>!5e=3;=o6`i6>l0;6)=m5;35g>h4j=0n76a>6783>!5e=3;=o6`d2i?0;6<4?:1y'7fc=:;=0D>j7;I1g4>i5:?0;66sm5`594?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd2i10;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo;n9;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f0gf290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi9ll50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`6ef<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb4cf>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e=hl1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg3e93:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn8l=:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a1g5=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1an94?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj>o6:j0;66g>3e83>>i4j10;66sm5c594??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9o650;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=k31<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a1gg=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm5c`94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9om50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=kn1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a1gc=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm5cd94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9n>50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=j;1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a1f4=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm5b194??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9n:50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=j?1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a1f0=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm5b594?5=83:p(>mj:316?M5c02B8h=5+23g9<2=n:;31<75f23c94?=h;8:1<75rs332a?6=98qUml525c59=4=:=k215<525c;9=4=:=kk15<525c`9=4=:=ki15<525cf9=4=:=ko15<525cd9=4=:=j:15<525b39=4=:=j815<525b19=4=:=j>15<525b79=4=:=j<15<5rs332b?6=98qUm5525c5914k525b79<4?:3y]50?<56<=k;|q1574=838pR<;7;<7`0?74l2wx><<<:181[72?27>o>4>3e9~w775<3:1>vP>579>1f4=9:n0q~<>2483>7}Y9:01g?xu59;<1<75<5sW;=863:bg827a=z{;;947>52z\226=:=ko1=>j4}r026<<72;qU=;?4=4`g>45c3ty9=?o50;0xZ40734?io7?289o7p}=13g94?4|V8?h70;m8;30`>{t:88m6=4={_360>;2j>0:?i5rs3304?6=:rT8h9525b5967?;2ij0:>n525`f957e<5n=4>2b9>1g7=9;i018l=:00`?83e;3;9o63:b5826f=:=k?1=?m4=4`5>44d34?h;7<=a:p64552909=vP>399>1d1=n=169l65f59>1d?=n=169lo5f59>1dd=n=169lm5f59>1db=n=169lk5f59>1d`=n=169o>5f59>1g7=n=169o<5f59>1g5=n=169o:5f59>1g3=n=169o85f59>1g1=9:2018l7:01;?83e13;8463:b`827==:=kh1=>64=4``>45?34?ih7?<8:?6f`<6;1169oh512:890e7289370;l1;30<>;2k;0:?5525b1956><5o;4>399~w774;3:1>vP>6e9>1g0=;k20q~<>3583>7}Y9?h018l::2`;?xu59:?1<75<5sW;=563:b280f==z{;;8;7>52z\22==:=k81?o64}r027=<72;qU=;94=4`2>6d?3ty9=>750;0xZ41034?i<7=m8:p645f2909wS?86:?6ec<4j11v??{t:89n6=4={_345>;2ik08n55rs330b?6=:rT:;=525`c97g>l7;|q1517=838pR<8j;<7b<:=:181[71>27>m:4<2<5<2<5<2<5<2<5<2<5<2<5<2<5<2<5<2<5<2<5<2<5<2<5<2<5<2<564=4=4a6><2<5<21d1=9:n018l8:2`;?xu59=?1<745c34?i47=m8:p64212909w0;n9;30`>;2j008n55rs3373?6=:r7>ml4>3e9>1gg=;k20q~<>4983>7}:=hh1=>j4=4`a>6d?3ty9=9750;0x90gd289o70;mc;1a<>{t:8>j6=4={<7b`?74l27>ni4v3:ad827a=:=ko1?o64}r020f<72;q69lh512f890da2:h37p}=15f94?4|5<:j:18183e93;8h63:c080f==z{;;?j7>52z?6f7<6;m169n<53c:8yv46=90;6?u25c1956b<5l7;|q1507=838p18l;:01g?83d<39i46s|2071>5<5s4?i97?;2j00:>n525cc957e<5ni4>2b9>1gc=9;i018li:00`?83d83;9o63:c0826f=:=j81=?m4=4a0>44d34?h87?=c:?6g0<6:j169n8513a890e02:;;7psm5b:94?412;81?:uG3e28 6eb2;?2>6Ti3;1x0`<613;j6pgna;29 6d22h30b>l;:198md>=83.8n84n9:l0f1<632c:9o4?:%1a1?72i2d8n94?;:k21<<72-9i97?:a:l0f1<632c:954?:%1a1?72i2d8n94=;:k212<72-9i97?:a:l0f1<432c:9;4?:%1a1?72i2d8n94;;:k210<72-9i97?:a:l0f1<232c::84?:%1a1?72i2d8n949;:k221<72-9i97?:a:l0f1<032c::>4?:%1a1?72i2d8n947;:k224<72-9i97?:a:l0f1<>32c::=4?:%1a1?72i2d8n94n;:k21c<72-9i97?:a:l0f132e:;?4?:%1a1?71k2d8n94n;:m234<72-9i97?9c:l0f1o44?:083>5}#;jo1>?94H2f;?M5c82e9>;4?::a1fg=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1aoo4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj>o6:j0;66g>3e83>>i4j10;66sm5bg94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd2ko0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo;k0;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f0b6290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi9i<50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`6`6<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb4f6>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e=m<1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg3c03:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn8j6:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a1ag=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm5e`94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9im50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=mn1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a1ac=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm5ed94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9h>50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=l;1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a1`4=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm5d194??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9h:50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=l?1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a1`0=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm5d594??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9h650;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=l31<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a1`g=8391<7>t$2af>7523A9o46F1b>?750;9j67g=831d?<>50;9~w772=3:1=1ag=18169il5909>1ae=18169ij5909>1ac=18169ih5909>1`6=18169h?5909>1`4=18169h=5909>1`2=18169h;5909>1`0=18169h95909>1`>=18169h75909~w772>3:1=1ag=0o169il58g9>1ae=0o169ij58g9>1ac=0o169ih58g9>1`6=0o169h?58g9>1`4=0o169h=58g9>1`2=0o169h;58g9>1`0=0o169h958g9>1`>=0o169h758g9~w772?3:1>vP>5c9>1`?=9:n0q~<>5983>7}Y9<3018k7:01g?xu59<31<75<5sW;>;63:e7827a=z{;;>n7>52z\213=:=l?1=>j4}r021f<72;qU=8;4=4g7>45c3ty9=8j50;0xZ40234?n?7?:169h?512f8yv46>90;6?uQ173890c7289o7p}=17394?4|V8<;70;kf;30`>{t:8<96=4={_36b>;2ll0:?i5rs3357?6=:rT:9h525ef956b<89:181[72<27>hl4>3e9~w771?3:1>vP1`g=:;30q~<>6983>44|V88h70;la;31g>;2kk0:>n525ba957e<5ok4>2b9>1a6=9;i018j>:00`?83c:3;9o63:d2826f=:=m>1=?m4=4f6>44d34?o:7?=c:?6`2<6:j169i6513a890b>288h70;ja;01e>{t:8<26=4=1z\27==:=jk1j9525b`9b1=:=ji1j9525bf9b1=:=jo1j9525bd9b1=:=m:1j9525e39b1=:=m81j9525e19b1=:=m>1j9525e79b1=:=m<1j9525e59b1=:=m21j9525e;9b1=:=mk1=>64=4fa>45?34?oo7?<8:?6`a<6;1169ik512:890ba289370;j0;30<>;2m80:?5525d0956><5i84>399>1`0=9:2018k8:01;?83b03;8463:e8827==z{;;=m7>52z\22a=:=m31?o64}r022g<72;qU=;l4=4f;>6d?3ty9=;m50;0xZ40f34?o;7=m8:p640c2909wS?99:?6`3<4j11v??9e;296~X6>1169i;53c:8yv46>o0;6?uQ175890b32:h37p}=16294?4|V8=<70;k3;1a<>{t:8=:6=4={_342>;2l;08n55rs3346?6=:rT:;8525e397g>4?:3y]525<5l7;|q1522=838pR<9=;<7`b?5e02wx><9::181[70927>oh43:1>vP>719>1fb=;k20q~<>7683>7}Y9?l018ml:2`;?xu59>21<75<5sW;=:63:c`80f==z{;;520y>1f?=:;<018jn:81890bf20>018jm:81890be20>018jl:81890bd20>018jk:81890bc20>018jj:81890bb20>018ji:81890ba20>018k?:81890c720>018k>:81890c620>018k=:81890c520>018k<:81890c420>018k;:81890c320>018k::81890c220>018k9:81890c120>018k8:81890c020>018k7:81890c?20>018k6:81890c>20>0q~<>7c83>7}:=jk1=>j4=4fb>6d?3ty9=:m50;0x90ee289o70;kb;1a<>{t:8=o6=4={<7`g?74l27>hn4v3:ce827a=:=mn1?o64}r023c<72;q69nk512f890bb2:h37p}=19294?4|5<6>:18183c83;8h63:e180f==z{;;3>7>52z?6`4<6;m169h?53c:8yv460:0;6?u25e0956b<5l7;|q15=2=838p18j<:01g?83b;39i46s|20:6>5<5s4?o87?1a0=9:n018k9:2`;?xu59121<745c34?n;7=m8:p64>>2909w0;k8;30`>;2m108n55rs33;e?6=:r7>h44>3e9>1`?=;k20q~<>8c83>47|5hn4>2b9>1ab=9;i018jj:00`?83cn3;9o63:e1826f=:=l;1=?m4=4g1>44d34?n?7?=c:?6a1<6:j169h;513a890c1288h70;j7;31g>;2m10:>n525d;957e<5??;|a1`d=838=6?<536yK7a6<,:in6?;62:Xe7?5|6l74n2`7>5=h4j=0:76g>5c83>!5e=3;>m6`o6=00;6)=m5;36e>h4j=0:76g>5983>!5e=3;>m6`o6=>0;6)=m5;36e>h4j=0876g>5783>!5e=3;>m6`o6=<0;6)=m5;36e>h4j=0>76g>6483>!5e=3;>m6`o6>=0;6)=m5;36e>h4j=0<76g>6283>!5e=3;>m6`o6>80;6)=m5;36e>h4j=0276g>6183>!5e=3;>m6`o6=o0;6)=m5;36e>h4j=0i76g>5d83>!5e=3;>m6`o6=m0;6)=m5;36e>h4j=0o76g>5b83>!5e=3;>m6`o6==0;6)=m5;36e>h4j=0m76g>o6:j0;66g>o6;10;66a>6e83>!5e=3;=o6`i6>k0;6)=m5;35g>h4j=0:76a>6`83>!5e=3;=o6`i6>00;6)=m5;35g>h4j=0876a>6983>!5e=3;=o6`i6>>0;6)=m5;35g>h4j=0>76a>7683>!5e=3;=o6`i6??0;6)=m5;35g>h4j=0<76a>7483>!5e=3;=o6`i6?:0;6)=m5;35g>h4j=0276a>7383>!5e=3;=o6`i6?80;6)=m5;35g>h4j=0i76a>7183>!5e=3;=o6`i6>o0;6)=m5;35g>h4j=0o76a>6d83>!5e=3;=o6`i6>?0;6)=m5;35g>h4j=0m76l:eb83>4<729q/?nk52358L6b?3A9o<6a=2783>>{e=ln1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg3bn3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn8h?:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a1c7=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1aj?4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj>o6:j0;66g>3e83>>i4j10;66sm5g794?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd2n?0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo;i7;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f0`?290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi9k750;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`6bd<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb4d`>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e=on1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a1cc=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm5gd94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:=>50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>9;1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a254=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm61194??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:=:50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>9?1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a250=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm61594??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:=650;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>931<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a25g=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm61`94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:=m50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>9n1<7=50;2x 6eb2;9>7E=k8:J0`5=#:;o14:5f23;94?=n:;k1<75`30294?=z{;;3o7>510y]ed=:=on15<525gg9=4=:=ol15<526129=4=:>9;15<526109=4=:>9915<526169=4=:>9?15<526149=4=:>9=15<5261:9=4=:>9315<5261c9=4=:>9h15<5261a9=4=z{;;3h7>510y]e==:=on14k525gg99;14k5261099914k5261699?14k5261499=14k5261:99314k5261c99h14k5261a952z\21g=:>9i1=>j4}r0245c3ty9=4>50;0xZ43?34<;m7?708?6;30`>{t:83>6=4={_350>;18<0:?i5rs33:2?6=:rT::>52616956b=838pR<8?;<436?74l2wx><76:181[72n27=<<4>3e9~w77>i3:1>vP>5d9>256=9:n0q~<>9c83>7}Y95<5sW;>863:fe827a=z{;;2i7>52z\0`1=:>9n1>?74}r02=c<7288pR<ih4>2b9>1``=9;i018h?:00`?83a93;9o63:f3826f=:=o91=?m4=4d7>44d34?m97?=c:?6b3<6:j169k9513a890`?288h70;i9;31g>;2nh0:>n525g`957e<51`c=n=169hh5f59>1c6=n=169k?5f59>1c4=n=169k=5f59>1c2=n=169k;5f59>1c0=n=169k95f59>1c>=n=169k75f59>1cg=n=169kl5f59>1ce=n=169kj512:890`b289370;if;30<>;1890:?552613956><5?:96<=7;<437?74027=<94>399>253=9:201;>9:01;?807?3;8463909827==:>931=>64=72b>45?34<;n7?<8:?54f<6;11v??n1;296~X6>m169km53c:8yv46i;0;6?uQ17`890`e2:h37p}=1`194?4|V8{t:8k?6=4={_35=>;2n008n55rs33b1?6=:rT::5525g:97g>l7;|q15d1=838pR<98;<7e2?5e02wx>27>j84vP>749>1c2=;k20q~<>a`83>7}Y9>9018h<:2`;?xu59hh1<75<5sW;<=63:f080f==z{;;jh7>52z\235=:=o:1?o64}r02e`<72;qU=;h4=4ge>6d?3ty9=lh50;0xZ40b34?ni7=m8:p64d72909wS?96:?6aa<4j11v??m1;2964}:=li1>?84=4dg><5<5<5<5<5<5<5<5?:;64:4=722><5<5?::64:4=721><5<5?:964:4=720><5<5?:864:4=727><5<5?:?64:4=726><5<5?:>64:4=725><5<5?:=64:4=724><5<5?:<64:4=72;><5<5?:364:4=72:><5<5?:264:4=72b><5<5?:j64:4=72a><5<5?:i64:4=72`><5<5?:h64:4}r02f7<72;q69hj512f890`c2:h37p}=1c194?4|552z?6b5<6;m16:=>53c:8yv46j?0;6?u25g3956b<5?::6>l7;|q15g1=838p18h=:01g?807:39i46s|20`;>5<5s4?m?7?1c3=9:n01;>::2`;?xu59kh1<745c34<;:7=m8:p64dd2909w0;i7;30`>;18>08n55rs33a`?6=:r7>j54>3e9>25>=;k20q~<>bd83>7}:=o31=>j4=72:>6d?3ty9=oh50;0x90`f289o708?a;1a<>{t:8i;6=4={<7ef?74l27=v3:fb827a=:>9i1?o64}r02g7<728;p18hk:00`?83am3;9o63:fg826f=:>9:1=?m4=722>44d34<;>7?=c:?546<6:j16:=:513a89362288h708?6;31g>;18>0:>n5261:957e<5?:26<2b9>25e=9;i01;>k:233?x{e>9o1<7<9:30972}O;m:0(>mj:37:6>\a;39p8h4>9;3b>xofi3:1(>l::`;8j6d32910el650;&0f0;:k21g<72-9i97?:a:l0f1<732c:944?:%1a1?72i2d8n94>;:k21=<72-9i97?:a:l0f1<532c:9:4?:%1a1?72i2d8n94<;:k213<72-9i97?:a:l0f1<332c:984?:%1a1?72i2d8n94:;:k220<72-9i97?:a:l0f1<132c::94?:%1a1?72i2d8n948;:k226<72-9i97?:a:l0f1n4?::k0g3<722c:?54?::m22a<72-9i97?9c:l0f1<732e::o4?:%1a1?71k2d8n94>;:m22d<72-9i97?9c:l0f1<532e::44?:%1a1?71k2d8n94<;:m22=<72-9i97?9c:l0f1<332e:::4?:%1a1?71k2d8n94:;:m232<72-9i97?9c:l0f1<132e:;;4?:%1a1?71k2d8n948;:m230<72-9i97?9c:l0f14?:%1a1?71k2d8n946;:m237<72-9i97?9c:l0f150;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`554<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb730>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e>8>1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg06>3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn;?8:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a24>=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj?;i6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm60a94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd19m0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo8>e;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f37a290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi:?>50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>;;1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a274=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm63194??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:?:50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>;?1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a270=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm63594??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:?650;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>;31<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a27g=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm63`94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:?m50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>;n1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a27c=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm63d94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:>>50;194?6|,:in6?=:;I1g<>N4l91/>?k5869j67?=831b>?o50;9l746=831v??l3;2954}Yih16:?>5909>277=1816:?<5909>275=1816:?:5909>273=1816:?85909>271=1816:?65909>27?=1816:?o5909>27d=1816:?m5909>27b=1816:?k5909>27`=181v??l4;2954}Yi116:?>58g9>277=0o16:?<58g9>275=0o16:?:58g9>273=0o16:?858g9>271=0o16:?658g9>27?=0o16:?o58g9>27d=0o16:?m58g9>27b=0o16:?k58g9>27`=0o1v??l5;296~X6=k16:?h512f8yv46k?0;6?uQ14;8934b289o7p}=1b594?4|V8?3708=d;30`>{t:8i36=4={_363>;1:j0:?i5rs33`=?6=:rT:9;5263`956b54>3e9~w77dl3:1>vP>629>271=9:n0q~<>cd83>7}Y9?;01;<9:01g?xu59jl1<75<5sW;>j63925827a=z{;;o=7>52z\21`=:>;91=>j4}r02`7<72;qU=8j4=701>45c3ty9=i=50;0xZ43d34<9=7?>523;8yv46l?0;6<881=?m4=730>44d34<:87?=c:?550<6:j16:<8513a89370288h708>8;31g>;1900:>n5260c957e<5?;i6<2b9>24c=9;i01;?i:00`?8048389m6s|20f4>5<59rT:?5526029b1=:>8;1j9526009b1=:>891j9526069b1=:>8?1j9526049b1=:>8=1j95260:9b1=:>831j95260c9b1=:>8h1j95260a9b1=:>8n1j95260g9b1=:>8l1j952632956><5?8:6<=7;<416?74027=>>4>399>272=9:201;<::01;?805>3;8463926827==:>;21=>64=70:>45?34<9m7?<8:?56g<6;116:?m512:8934c2893708=e;30<>;1:o0:?55rs33gl7;|q15ag=838pR<8n;<42`?5e02wx>vP>699>24d=;k20q~<>de83>7}Y9?=01;?n:2`;?xu59mo1<75<5sW;<:6391980f==z{;;n<7>52z\230=:>8=1?o64}r02a4<72;qU=:=4=735>6d?3ty9=h<50;0xZ41534<:97=m8:p64c42909wS?81:?551<4j11v??j4;296~X6?916:<=53c:8yv46m<0;6?uQ17d893752:h37p}=1d494?4|V81;1a<>{t:8o<6=4={_352>;19908n55rs33f:868934520901;<=:868934420901;<<:868934320901;<;:868934220901;<::868934120901;<9:868934020901;<8:868934?20901;<7:868934>20901;<6:868934f20901;l7;|q15`g=838p1;?>:01g?805939i46s|20ga>5<5s4<:>7?242=9:n01;<;:2`;?xu59lo1<745c34<997=m8:p64ca2909w08>6;30`>;1:?08n55rs33e4?6=:r7==:4>3e9>271=;k20q~<>f083>7}:>821=>j4=70;>6d?3ty9=k<50;0x937>289o708=9;1a<>{t:8l86=4={<42e?74l27=>l4v391c827a=:>;h1?o64}r02b0<72;q6:52z?55c<6;m16:?h53c:8yv46n00;644d34<9=7?=c:?567<6:j16:?=513a89343288h708=5;31g>;1:?0:>n52635957e<5?836<l4>2b9>27d=9;i01;;l1=?m4=713>6773twi:>?50;05>74=;>qC?i>4$2af>73>:2Pm?7=t4d82=?7f2tcjm7>5$2`6>d?o6=k0;6)=m5;36e>h4j=0;76g>5883>!5e=3;>m6`o6=10;6)=m5;36e>h4j=0976g>5683>!5e=3;>m6`o6=?0;6)=m5;36e>h4j=0?76g>5483>!5e=3;>m6`o6><0;6)=m5;36e>h4j=0=76g>6583>!5e=3;>m6`o6>:0;6)=m5;36e>h4j=0376g>6083>!5e=3;>m6`o6>90;6)=m5;36e>h4j=0j76g>5g83>!5e=3;>m6`o6=l0;6)=m5;36e>h4j=0h76g>5e83>!5e=3;>m6`o6=j0;6)=m5;36e>h4j=0n76g>5583>!5e=3;>m6`o4l=0;66g>2b83>>o4k?0;66g>3983>>i6>m0;6)=m5;35g>h4j=0;76a>6c83>!5e=3;=o6`i6>h0;6)=m5;35g>h4j=0976a>6883>!5e=3;=o6`i6>10;6)=m5;35g>h4j=0?76a>6683>!5e=3;=o6`i6?>0;6)=m5;35g>h4j=0=76a>7783>!5e=3;=o6`i6?<0;6)=m5;35g>h4j=0376a>7283>!5e=3;=o6`i6?;0;6)=m5;35g>h4j=0j76a>7083>!5e=3;=o6`i6?90;6)=m5;35g>h4j=0h76a>6g83>!5e=3;=o6`i6>l0;6)=m5;35g>h4j=0n76a>6783>!5e=3;=o6`d1;;0;6<4?:1y'7fc=:;=0D>j7;I1g4>i5:?0;66sm62194?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd1;=0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo8<5;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f351290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi:>950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`57=<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb71b>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e>:h1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg04l3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn;=j:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a26`=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj?>96=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm65194??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:9:50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>=?1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a210=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm65594??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:9650;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>=31<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a21g=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm65`94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:9m50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>=n1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a21c=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm65d94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:8>50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e><;1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a204=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm64194?5=83:p(>mj:316?M5c02B8h=5+23g9<2=n:;31<75f23c94?=h;8:1<75rs33ee?6=98qUml526519=4=:>=>15<526579=4=:>=<15<526559=4=:>=215<5265;9=4=:>=k15<5265`9=4=:>=i15<5265f9=4=:>=o15<5265d9=4=:><:15<526439=4=:><815<5rs33ef?6=98qUm5526519=>14k526579=<14k526559=214k5265;9=k14k5265`9=i14k5265f9=o14k5265d9<:14k526439<814k5rs33eg?6=:rT:9o52640956b3e9~w74783:1>vP>579>21c=9:n0q~<=0083>7}Y95<5sW;=86394c827a=z{;8;87>52z\226=:>=k1=>j4}r0140<72;qU=;?4=76:>45c3ty9>=850;0xZ40734{t:;:i6=4={_360>;1<:0:?i5rs303g?6=:rT8h952641967?;513a89351288h708<7;31g>;1;10:>n5262;957e<5?9j6<2b9>26b=9;i01;=j:00`?804n3;9o63941826f=:>=;1=?m4=761>44d34<>?7<=a:p676b2909=vP>399>265=n=16:>:5f59>263=n=16:>85f59>261=n=16:>65f59>26?=n=16:>o5f59>26d=n=16:>m5f59>26b=n=16:>k5f59>26`=n=16:9>5f59>217=n=16:9<5f59>215=9:201;:;:01;?803=3;8463947827==:>==1=>64=76;>45?34;1<5??;6<=7;<465?74027=9?4>399~w747n3:1>vP>6e9>214=;k20q~<=1183>7}Y9?h01;:>:2`;?xu5:8;1<75<5sW;=56393g80f==z{;8:?7>52z\22==:>:o1?o64}r0151<72;qU=;94=71g>6d?3ty9><;50;0xZ41034<8o7=m8:p67712909wS?86:?57g<4j11v?<>7;296~X6?<16:>o53c:8yv45910;6?uQ1618935>2:h37p}=20;94?4|V8=9708<8;1a<>{t:;;j6=4={_345>;1;>08n55rs302f?6=:rT:;=5262497g>6>l7;|q164b=838pR<8j;<400?5e02wx>??j:181[71>27=?>4864=4=760><2<5?>?64=4=767><2<5?>>64=4=766><2<5?>=64=4=765><2<5?><64=4=764><2<5?>364=4=76;><2<5?>264=4=76:><2<5?>j64=4=76b><2<5?>i64=4=76a><2<5?>h64=4=76`><2<5?>o64=4=76g><2<5?>n64=4=76f><2<5?>m64=4=76e><2<5??;64=4=773><2<5??:64=4=772><2<5??964=4=771><2=4?:3y>265=9:n01;:<:2`;?xu5:;;1<745c34;1<<08n55rs3017?6=:r7=?;4>3e9>210=;k20q~<=2583>7}:>:=1=>j4=764>6d?3ty9>?;50;0x935?289o708;8;1a<>{t:;8=6=4={<40=?74l27=844v393`827a=:>=k1?o64}r016=<72;q6:>l512f8932e2:h37p}=23;94?4|5?9h6<=k;<47g?5e02wx>?52z?57`<6;m16:9k53c:8yv45:j0;6?u262d956b<5?>m6>l7;|q167b=838p1;:?:01g?802839i46s|230f>5<5s4;1<<0:>n52654957e<5?><6<2b9>21g=9;i01;:m:00`?803k3;9o6394e826f=:>=o1=?m4=76e>44d34<><7?=c:?514<6:j16:8<513a893342:;;7psm64694?412;81?:uG3e28 6eb2;?2>6Ti3;1x0`<613;j6pgna;29 6d22h30b>l;:198md>=83.8n84n9:l0f1<632c:9o4?:%1a1?72i2d8n94?;:k21<<72-9i97?:a:l0f1<632c:954?:%1a1?72i2d8n94=;:k212<72-9i97?:a:l0f1<432c:9;4?:%1a1?72i2d8n94;;:k210<72-9i97?:a:l0f1<232c::84?:%1a1?72i2d8n949;:k221<72-9i97?:a:l0f1<032c::>4?:%1a1?72i2d8n947;:k224<72-9i97?:a:l0f1<>32c::=4?:%1a1?72i2d8n94n;:k21c<72-9i97?:a:l0f132e:;?4?:%1a1?71k2d8n94n;:m234<72-9i97?9c:l0f15}#;jo1>?94H2f;?M5c82e9>;4?::a200=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj??26=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm64c94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd1=k0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo8:c;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f33c290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi:8k50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`51c<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb742>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e>?81<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg01<3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn;8::187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a230=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm67594??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:;650;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>?31<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a23g=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm67`94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:;m50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>?n1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a23c=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm67d94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi::>50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>>;1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a224=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm66194??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:::50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>>?1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a220=8391<7>t$2af>7523A9o46F1b>?750;9j67g=831d?<>50;9~w74493:1=230=1816:;95909>23>=1816:;75909>23g=1816:;l5909>23e=1816:;j5909>23c=1816:;h5909>226=1816::?5909>224=1816::=5909>222=1816::;5909~w744:3:1=230=0o16:;958g9>23>=0o16:;758g9>23g=0o16:;l58g9>23e=0o16:;j58g9>23c=0o16:;h58g9>226=0o16::?58g9>224=0o16::=58g9>222=0o16::;58g9~w744;3:1>vP>5c9>223=9:n0q~<=3583>7}Y9<301;9;:01g?xu5::?1<75<5sW;>;63973827a=z{;88;7>52z\213=:>>;1=>j4}r017=<72;qU=8;4=753>45c3ty9>>750;0xZ40234<=j7?:16:;j512f8yv45;j0;6?uQ1738930d289o7p}=22f94?4|V8<;7089b;30`>{t:;9n6=4={_36b>;1>h0:?i5rs300b?6=:rT:9h5267;956b?:=:181[72<27=:;4>3e9~w743;3:1>vP220=:;30q~<=4583>44|V88h708:6;31g>;1=>0:>n5264:957e<5??26<2b9>20e=9;i01;;k:00`?802m3;9o6395g826f=:>?:1=?m4=742>44d34<=>7?=c:?526<6:j16:;:513a89302288h70886;01e>{t:;>>6=4=1z\27==:><<1j9526459b1=:><21j95264;9b1=:>?:1j9526739b1=:>?81j9526719b1=:>?>1j9526779b1=:>?<1=>64=744>45?34<=47?<8:?52<<6;116:;o512:8930e28937089c;30<>;1>m0:?55267g956><5?399>224=9:201;9<:01;?800<3;8463974827==z{;8?:7>52z\22a=:>??1?o64}r0102<72;qU=;l4=747>6d?3ty9>9650;0xZ40f34<=?7=m8:p672>2909wS?99:?527<4j11v?<;a;296~X6>116:;?53c:8yv45{t:;>o6=4={_342>;1=l08n55rs307a?6=:rT:;85264f97g>l7;|q1606=838pR<9=;<46f?5e02wx>?;>:181[70927=9l4vP>719>20?=;k20q~<=5283>7}Y9?l01;;7:2`;?xu5:<>1<75<5sW;=:6395780f==z{;8>:7>520y>203=:;<01;89:818930120>01;88:818930020>01;87:818930?20>01;86:818930>20>01;8n:818930f20>01;8m:818930e20>01;8l:818930d20>01;8k:818930c20>01;8j:818930b20>01;8i:818930a20>01;9?:818931720>01;9>:818931620>01;9=:818931520>01;9<:818931420>01;9;:818931320>01;9::818931220>0q~<=5683>7}:><<1=>j4=745>6d?3ty9>8650;0x9330289o70897;1a<>{t:;?26=4={<46v3958827a=:>?31?o64}r011g<72;q6:8o512f8930f2:h37p}=24a94?4|5??i6<=k;<45f?5e02wx>?;k:181802k3;8h6396b80f==z{;8>i7>52z?51a<6;m16:;j53c:8yv45=o0;6?u264g956b<5?l7;|q1636=838p1;;i:01g?801n39i46s|2342>5<5s4<=<7?80:?i5266397g>4?:3y>234=9:n01;9=:2`;?xu5:?>1<745c34<;1?=08n55rs3052?6=:r7=:84>3e9>223=;k20q~<=6683>47|5?<=6<2b9>23?=9;i01;8n:00`?801j3;9o6396b826f=:>?n1=?m4=74f>44d34<=j7?=c:?535<6:j16::?513a89315288h70883;31g>;1?=0:>n52667957e<5?==6>??;|a221=838=6?<536yK7a6<,:in6?;62:Xe7?5|6l74n2`7>5=h4j=0:76g>5c83>!5e=3;>m6`o6=00;6)=m5;36e>h4j=0:76g>5983>!5e=3;>m6`o6=>0;6)=m5;36e>h4j=0876g>5783>!5e=3;>m6`o6=<0;6)=m5;36e>h4j=0>76g>6483>!5e=3;>m6`o6>=0;6)=m5;36e>h4j=0<76g>6283>!5e=3;>m6`o6>80;6)=m5;36e>h4j=0276g>6183>!5e=3;>m6`o6=o0;6)=m5;36e>h4j=0i76g>5d83>!5e=3;>m6`o6=m0;6)=m5;36e>h4j=0o76g>5b83>!5e=3;>m6`o6==0;6)=m5;36e>h4j=0m76g>o6:j0;66g>o6;10;66a>6e83>!5e=3;=o6`i6>k0;6)=m5;35g>h4j=0:76a>6`83>!5e=3;=o6`i6>00;6)=m5;35g>h4j=0876a>6983>!5e=3;=o6`i6>>0;6)=m5;35g>h4j=0>76a>7683>!5e=3;=o6`i6??0;6)=m5;35g>h4j=0<76a>7483>!5e=3;=o6`i6?:0;6)=m5;35g>h4j=0276a>7383>!5e=3;=o6`i6?80;6)=m5;35g>h4j=0i76a>7183>!5e=3;=o6`i6>o0;6)=m5;35g>h4j=0o76a>6d83>!5e=3;=o6`i6>?0;6)=m5;35g>h4j=0m76l97983>4<729q/?nk52358L6b?3A9o<6a=2783>>{e>>31<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg00j3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn;9l:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a22b=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj?2;6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm69394?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd10;0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo873;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f3>3290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi:5;50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`5<3<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb7:;>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e>131<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a2=g=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm69`94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:5m50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>1n1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a2=c=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm69d94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:4>50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>0;1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a2<4=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm68194??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:4:50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>0?1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a2<0=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm68594??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:4650;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>031<7=50;2x 6eb2;9>7E=k8:J0`5=#:;o14:5f23;94?=n:;k1<75`30294?=z{;8=47>510y]ed=:>1315<5269c9=4=:>1h15<5269a9=4=:>1n15<5269g9=4=:>1l15<526829=4=:>0;15<526809=4=:>0915<526869=4=:>0?15<526849=4=:>0=15<5268:9=4=z{;8=57>510y]e==:>1314k5269c91h14k5269a91n14k5269g91l14k5268290;14k5268090914k5268690?14k5268490=14k5268:952z\21g=:>021=>j4}r012g<72;qU=874=7;4>45c3ty9>;m50;0xZ43?34<2:7?o0;6?uQ147893?4289o7p}=26294?4|V8<>70862;30`>{t:;=:6=4={_350>;1180:?i5rs3046?6=:rT::>52682956b4?:3y]537<5?2m6<=k;|q1622=838pR<8?;<4;a?74l2wx>?9::181[72n27=4i4>3e9~w740>3:1>vP>5d9>2=e=9:n0q~<=7683>7}Y921<75<5sW;>863988827a=z{;852z\0`1=:>031>?74}r013g<7288pR<2b9>22d=9;i01;9l:00`?800l3;9o6397d826f=:>>l1=?m4=7:3>44d34<3=7?=c:?5<7<6:j16:5=513a893>3288h70875;31g>;10?0:>n52695957e<5?236<?9l:1815~X6;116::75f59>22g=n=16::l5f59>22e=n=16::j5f59>22c=n=16::h5f59>2=6=n=16:5?5f59>2=4=n=16:5=5f59>2=2=n=16:5;5f59>2=0=n=16:595f59>2=>=n=16:57512:893>f28937087b;30<>;10j0:?55269f956><5?2n6<=7;<4;b?74027=5=4>399>2<7=9:201;7=:01;?80>;3;8463995827==:>0?1=>64=7;5>45?34<2;7?<8:?5==<6;11v?<8d;296~X6>m16:5653c:8yv45?l0;6?uQ17`893>02:h37p}=26d94?4|V8{t:;2;6=4={_35=>;10<08n55rs30;5?6=:rT::55269697g>l7;|q16=5=838pR<98;<4;6?5e02wx>?6;:181[70>27=4<4vP>749>2=6=;k20q~<=8783>7}Y9>901;9i:2`;?xu5:1=1<75<5sW;<=6397e80f==z{;8357>52z\235=:>>i1?o64}r016d?3ty9>5l50;0xZ40b34<d2909wS?96:?53<<4j11v?<7d;2964}:>>21>?84=7::><5<5?2264:4=7:b><5<5?2j64:4=7:a><5<5?2i64:4=7:`><5<5?2h64:4=7:g><5<5?2o64:4=7:f><5<5?2n64:4=7:e><5<5?2m64:4=7;3><5<5?3;64:4=7;2><5<5?3:64:4=7;1><5<5?3964:4=7;0><5<5?3864:4=7;7><5<5?3?64:4=7;6><5<5?3>64:4=7;5><5<5?3=64:4=7;4><5<5?3<64:4=7;;><5<5?3364:4}r01<`<72;q6::7512f893>>2:h37p}=29d94?4|5?=j6<=k;<4;e?5e02wx>?7?:181800j3;8h6398c80f==z{;82=7>52z?53f<6;m16:5m53c:8yv451;0;6?u266f956b<5?2o6>l7;|q16<5=838p1;9j:01g?80?m39i46s|23;7>5<5s4<2=7=9:n01;7>:2`;?xu5:0=1<745c34<2>7=m8:p67??2909w0873;30`>;11:08n55rs30:=?6=:r7=494>3e9>2<2=;k20q~<=9`83>7}:>1?1=>j4=7;6>6d?3ty9>4l50;0x93>1289o70866;1a<>{t:;3h6=4={<4;3?74l27=5:4l3:1>v3989827a=:>021?o64}r01=`<728;p1;66:00`?80?i3;9o6398c826f=:>1i1=?m4=7:g>44d34<3i7?=c:?5513a893?6288h70862;31g>;11:0:>n52686957e<5?3>6<2b9>2<>=9;i01;76:233?x{e>0k1<7<9:30972}O;m:0(>mj:37:6>\a;39p8h4>9;3b>xofi3:1(>l::`;8j6d32910el650;&0f0;:k21g<72-9i97?:a:l0f1<732c:944?:%1a1?72i2d8n94>;:k21=<72-9i97?:a:l0f1<532c:9:4?:%1a1?72i2d8n94<;:k213<72-9i97?:a:l0f1<332c:984?:%1a1?72i2d8n94:;:k220<72-9i97?:a:l0f1<132c::94?:%1a1?72i2d8n948;:k226<72-9i97?:a:l0f1n4?::k0g3<722c:?54?::m22a<72-9i97?9c:l0f1<732e::o4?:%1a1?71k2d8n94>;:m22d<72-9i97?9c:l0f1<532e::44?:%1a1?71k2d8n94<;:m22=<72-9i97?9c:l0f1<332e:::4?:%1a1?71k2d8n94:;:m232<72-9i97?9c:l0f1<132e:;;4?:%1a1?71k2d8n948;:m230<72-9i97?9c:l0f14?:%1a1?71k2d8n946;:m237<72-9i97?9c:l0f1N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`5=a<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb7;e>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e>h:1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg0f:3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn;o<:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a2d2=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj?k<6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm6`:94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd1i00;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo8na;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f3ge290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi:lm50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>hn1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a2dc=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm6`d94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:o>50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>k;1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a2g4=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm6c194??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:o:50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>k?1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a2g0=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm6c594??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:o650;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>k31<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a2gg=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm6c`94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:om50;194?6|,:in6?=:;I1g<>N4l91/>?k5869j67?=831b>?o50;9l746=831v?<6f;2954}Yih16:lm5909>2db=1816:lk5909>2d`=1816:o>5909>2g7=1816:o<5909>2g5=1816:o:5909>2g3=1816:o85909>2g1=1816:o65909>2g?=1816:oo5909>2gd=181v?2db=0o16:lk58g9>2d`=0o16:o>58g9>2g7=0o16:o<58g9>2g5=0o16:o:58g9>2g3=0o16:o858g9>2g1=0o16:o658g9>2g?=0o16:oo58g9>2gd=0o1v?{t:;k?6=4={_363>;1j10:?i5rs30b1?6=:rT:9;526c5956b?o7:181[71<27=n94>3e9~w74f13:1>vP>629>2g5=9:n0q~<=a`83>7}Y9?;01;l=:01g?xu5:hh1<75<5sW;>j639b1827a=z{;8jh7>52z\21`=:>hl1=>j4}r01e`<72;qU=8j4=7cf>45c3ty9>lh50;0xZ43d34k3;9o6399e826f=:>0o1=?m4=7;e>44d34;1i<0:>n526`4957e<5?k<6<2b9>2dg=9;i01;om:00`?80ek389m6s|23`0>5<59rT:?55268a9b1=:>0n1j95268g9b1=:>0l1j9526`29b1=:>h;1j9526`09b1=:>h91j9526`69b1=:>h?1j9526`49b1=:>h=1j9526`:9b1=:>h31j9526`c9b1=:>hh1j9526`a956><5?ko6<=7;<4ba?74027=mk4>399>2g6=9:201;l>:01;?80e:3;84639b2827==:>k>1=>64=7`6>45?342893708ma;30<>;1jk0:?55rs30a0?6=:rT::i526``97g>l7;|q16g0=838pR<8n;<4b=?5e02wx>?l8:181[71127=m54vP>699>2d1=;k20q~<=b883>7}Y9?=01;o9:2`;?xu5:kk1<75<5sW;<:639a580f==z{;8io7>52z\230=:>h91?o64}r01fa<72;qU=:=4=7c1>6d?3ty9>ok50;0xZ41534{t:;i86=4={_352>;11j08n55rs30`0?6=:8q6:4l5234893gd20901;ol:86893gc20901;ok:86893gb20901;oj:86893ga20901;oi:86893d720901;l?:86893d620901;l>:86893d520901;l=:86893d420901;l<:86893d320901;l;:86893d220901;l::86893d120901;l9:86893d020901;l8:86893d?20901;l7:86893d>20901;l6:86893df20901;ln:86893de20901;lm:868yv45k<0;6?u268a956b<5?kh6>l7;|q16f0=838p1;7k:01g?80fl39i46s|23a4>5<5s4<2i7?2d6=9:n01;l?:2`;?xu5:jk1<745c34;1j;08n55rs30`g?6=:r7=m>4>3e9>2g5=;k20q~<=ce83>7}:>h>1=>j4=7`7>6d?3ty9>nk50;0x93g2289o708m5;1a<>{t:;im6=4={<4b2?74l27=n;4v39a6827a=:>k=1?o64}r01`4<72;q6:l6512f893d?2:h37p}=2e094?4|5?k26<=k;<4a=?5e02wx>?j<:18180fi3;8h639b`80f==z{;8o87>52z?5eg<6;m16:ol53c:8yv45l<0;644d34;1j;0:>n526c1957e<5?h?6<2b9>2g1=9;i01;l7:00`?80e13;9o639b`826f=:>kh1=?m4=7``>6773twi:oj50;63>6>=>4$2af>402j2Pm?7;t5382b?342;81>>4ric294?"4j<0jj6`ofm3:1(>l::`d8j6d32810elm50;&0f0;:k23`<72-9i97?70:l0f1<532c:;i4?:%1a1?7?82d8n94<;:k23f<72-9i97?70:l0f1<332c:;o4?:%1a1?7?82d8n94:;:k23d<72-9i97?70:l0f1<132c:;44?:%1a1?7?82d8n948;:k2e<<72-9i97?70:l0f15$2`6>g3o4l<0;66g>2b83>>o4k?0;66g>3983>>i6j:0;6)=m5;3a6>h4j=0;76a>b083>!5e=3;i>6`i6j90;6)=m5;3a6>h4j=0976a>ag83>!5e=3;i>6`i6il0;6)=m5;3a6>h4j=0?76a>ae83>!5e=3;i>6`i6ij0;6)=m5;3a6>h4j=0=76a>ac83>!5e=3;i>6`i6lk0;6)=m5;3a6>h4j=0376a>d`83>!5e=3;i>6`i6l00;6)=m5;3a6>h4j=0j76a>d983>!5e=3;i>6`i6l>0;6)=m5;3a6>h4j=0h76a>d783>!5e=3;i>6`i6kj0;6)=m5;3a6>h4j=0n76a>c083>!5e=3;i>6`i6j?0;6)=m5;3a6>h4j=0:<65`1`c94?"4j<0:n?5a3c6954=6=4+3c795`25<#;k?1=h:4n2`7>4=5<#;k?1=h:4n2`7>6=5<#;k?1=h:4n2`7>0=5<#;k?1=h:4n2`7>2=5<#;k?1=h:4n2`7><=5<#;k?1=h:4n2`7>g=5<#;k?1=h:4n2`7>a=5<#;k?1=h:4n2`7>c=4;n3gg?6=,:h>65}#;jo1>?94H2f;?M5c82e9>;4?::a2g`=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj?i96=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm6b194?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd1k=0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo8l5;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f3e1290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi:n950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`5g=<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb7ab>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e>jh1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg0dl3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn;mj:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a2f`=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj?n96=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm6e194?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd1l=0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo8k5;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f3b1290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi:i950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`5`=<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb7fb>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e>mh1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg0cl3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn;jj:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a2a`=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj?o96=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm6d194?b=83:p(>mj:2`a?M5c02B8h=5+23g9g>o?n3:17d7>:188m<5=831b594?::k27a<722c9=?4?::k156<722c9=94?::k150<722c8h>4?::k0`1<722e8oo4?::m154<722wi:h:50;f94?6|,:in6>lm;I1g<>N4l91/>?k5c:k;b?6=3`3:6=44i8194?=n1=0;66g>3e83>>o59;0;66g=1283>>o59=0;66g=1483>>o4l:0;66g>i4kk0;66a=1083>>{e>l?1<7j50;2x 6eb2:hi7E=k8:J0`5=#:;o1j6g7f;29?l?62900e4=50;9j=1<722c:?i4?::k157<722c9=>4?::k151<722c9=84?::k0`6<722c8h94?::m0gg<722e9=<4?::a2`0=83n1<7>t$2af>6de3A9o46F5;h;2>5<>o6;m0;66g=1383>>o59:0;66g=1583>>o59<0;66g>o4l=0;66a>i5980;66sm6d594?b=83:p(>mj:2`a?M5c02B8h=5+23g9g>o?n3:17d7>:188m<5=831b594?::k27a<722c9=?4?::k156<722c9=94?::k150<722c8h>4?::k0`1<722e8oo4?::m154<722wi:h650;f94?6|,:in6>lm;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>3e83>>o59;0;66g=1283>>o59=0;66g=1483>>o4l:0;66g>i4kk0;66a=1083>>{e>l31<7j50;2x 6eb2:hi7E=k8:J0`5=#:;o1=?5f8g83>>o>93:17d7<:188m<2=831b=>j50;9j644=831b><=50;9j642=831b><;50;9j7a5=831b?i:50;9l7fd=831d>1b4k4?::k:5?6=3`386=44i8694?=n9:n1<75f20094?=n:891<75f20694?=n:8?1<75f3e194?=n;m>1<75`3b`94?=h:8;1<75rb7ga>5N4l11C?i>4$30f>a=n0o0;66g61;29?l?42900e4:50;9j56b=831b><<50;9j645=831b><:50;9j643=831b?i=50;9j7a2=831d?nl50;9l647=831vn;kl:18g>5<7s-9hi7=mb:J0`==O;m:0(?5;h;0>5<1<75f12f94?=n:881<75f20194?=n:8>1<75f20794?=n;m91<75f3e694?=h;jh1<75`20394?=zj?oo6=4k:183!5dm39in6Fj;:188k6ee2900c??>:188yg0bm3:1h7>50z&0g`<4jk1C?i64H2f3?!45m330e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a??>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo8i0;29`?6=8r.8oh4<@:n;7)<=e;;8m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<5d;294~"4kl08no5G3e:8L6b73-89i774i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg0a:3:1h7>50z&0g`<4jk1C?i64H2f3?!45m330e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a??>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo8i4;29`?6=8r.8oh4<@:n;7)<=e;;8m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<53;294~"4kl09?85G3e:8L6b73-89i768;h01=?6=3`89m7>5;n124?6=3ty9>i850;30[d734i950;30[gb34;<4f0??634;<4f2??634;<4f;<4fe??634;<4fg??634;<4fa??634;<4e4??634;<4e6??634;<4e0??63ty9>i650;30[gd34a34a34a34a34a34a34a34a34a3ty9>i750;0xZ4>634{t:;nn6=4={_34f>;1mo0:?i5rs30gb?6=:rT:;l526da956b?k=:181[7f027=io4>3e9~w74b;3:1>vP>a69>2`g=9:n0q~<=e583>7}Y9h<01;k6:01g?xu5:l?1<75<5sW;j8639e6827a=z{;8n;7>52z\2=d=:>l<1=>j4}r01a=<72;qU=5h4=7g0>45c3ty9>h750;0xZ4>334;1m?09=9526d59642<5?o36??;;<4f=?46<27=il4=159>2`d=:8>01;kl:337?80bl38:8639ed8151=:>ll1><:4=7d3>773344:?5b7<59=16:k=5206893`32;;?7p}=2da94?74sWh?708j3;027>;1m=09=>526d79645<5?o=6??<;<4f3?46;27=i54=129>2`?=:8901;kn:330?80bj38:?639eb8156=:>ln1><=4=7gf>774343:?5b5<59:16:k?5201893`52;;8708i3;027>;1n=09=>5rs30f`?6=9:qUn?526d19644<5?o?6??=;<4f1?46:27=i;4=139>2`1=:8801;k7:331?80b138:>639e`8157=:>lh1><<4=7g`>775342:?5a`<59;16:hh5200893`72;;9708i1;026>;1n;09=?526g19644<5?l?6??=;|q16`c=838pR>j:;<4e1?4512wx>?ki:1812~X6:j16:oh513a893e7288h708l1;31g>;1k;0:>n526b1957e<5?i?6<2b9>2f1=9;i01;m7:00`?80d13;9o639c`826f=:>jh1=?m4=7a`>44d34;1l;0:>n526e1957e<5?n?6<2b9>2a1=9;i01;j7:00`?80c13;9o639d`826f=:>mh1=?m4=7f`>44d34;1m;0:>n526g7967g;1m108h>526d;97a5<5?oj6>j<;<4ff?5c;27=in42`b=;m901;kj:2f0?80bn39o?639f180`6=:>o;1?i=4=7d1>6b43453c:8yv45n;0;6?uQ1c3893ea2:h37p}=2g194?4|V8h;708le;1a<>{t:;l?6=4={_3bb>;1km08n55rs30e1?6=:rT:mh526ba97g>l7;|q16c1=838pR?h7:181[7fj27=o44vP>dc9>2f>=;k20q~<=f`83>7}Y9mk01;m8:2`;?xu5:oh1<739i46s|23d`>5<5sW;o4639c480f==z{;8mh7>52z\2`2=:>j>1?o64}r01b`<72;qU=i84=7a0>6d?3ty9>kh50;0xZ4ed347=m8:p66672909wS?l1:?5g4<4j11v?=?1;296~X6j?16:n>53c:8yv448;0;6?uQ1`c893da2:h37p}=31194?4|V8o>708j2;1a<>{t:::?6=4={_3f7>;1m808n55rs3131?6=:rT:i?526d297g>l7;|q1751=838pR>>7:181[7cn27=hi4vP>dd9>2ae=;k20q~<<0`83>7}Y9mn01;jm:2`;?xu5;9h1<75<5sW8;o639d880f==z{;9;h7>52z\14g=:>m21?o64}r004`<72;qU>=o4=7f4>6d?3ty9?=h50;0xZ76>341;296~X6nl16:i:53c:8yv449;0;6?uQ1g1893b42:h37p}=30194?4|V8o3708k2;1a<>{t::;?6=4={_3gg>;1l808n55rs3121?6=:01;k<:336?80b<33?708j4;021>;1m<028639e48150=:>l<159526d49643<5?o<64:4=7g4>772342`g=:8?01;km:86893ce2;;>708jc;;7?80bk38:9639ee8:0>;1mm09=8526dg9=1=:>lo1><;4=7ge><2<5?om6??:;<4e4??3345:?5b4<><27=j<4=149>2c4=1=16:k<5207893`420>01;h<:336?80a<33?708i4;021>{t::;=6=4={<4ab?74l27=i94v39c1827a=:>l?1?nl4}r005=<72;q6:n?512f893c42:ii7p}=30;94?4|5?i96<=k;<4f2?5dj2wx>>?n:18180d;3;8h639e680gg=z{;9:n7>52z?5g1<6;m16:h653b`8yv449j0;6?u26b7956b<5?o26>mm;|q174b=838p1;m9:01g?80bi39hn6s|223f>5<5s4f;296~;1k10:?i526df97fd=4?:3y>2f?=9:n01;kj:2aa?xu5;;;1<745c34;1mo08oo5rs3117?6=:r7=on4>3e9>2c6=;jh0q~<<2583>7}:>jn1=>j4=7d2>6ee3ty9??;50;0x93eb289o708i2;1`f>{t::8=6=4={<4`b?74l27=j>4v39d1827a=:>o>1?nl4}r006=<72;q6:i?512f893c32;;:7p}=33;94?4|5?n96<=k;<4f1?4692wx>>52z?5`1<6;m16:h852038yv44:j0;6?u26e7956b<5?o<6??>;|q177b=838p1;j9:01g?80b038:=6s|220f>5<5s42a?=9:n01;km:332?xu5;:;1<745c341:p66552909w08kb;30`>;1ml09=<5rs3107?6=:r7=hn4>3e9>2`e=:8;0q~<<3583>7}:>mn1=>j4=7ge>7763ty9?>;50;0x93bb289o708i0;025>{t::9=6=4={<4gb?74l27=j<4=109~w754?3:1>v39e1827a=:>o81>>=n:1827~;1m:08h9526d697a2<5?o>6>j;;<4f2?5c<27=i:42`>=;m>01;k6:2f7?80bi39o8639ec80`1=:>li1?i:4=7gg>6b33453e6893`62:n?708i2;1g0>;1n:08h9526g697a2<5?l>6>??;|a2c0=83>;6>6564yK7a6<,:in6<8:c:Xe7?3|=;0:j7;<:30966h4j=0;76gne;29 6d22hl0b>l;:098mde=83.8n84nf:l0f1<532c:4<4?:%1a1?7?82d8n94?;:k23c<72-9i97?70:l0f1<632c:;h4?:%1a1?7?82d8n94=;:k23a<72-9i97?70:l0f1<432c:;n4?:%1a1?7?82d8n94;;:k23g<72-9i97?70:l0f1<232c:;l4?:%1a1?7?82d8n949;:k23<<72-9i97?70:l0f1<032c:m44?:%1a1?7?82d8n947;:k2e=<72-9i97?70:l0f1<>32c:m:4?:%1a1?7?82d8n94n;:k2e3<72-9i97?70:l0f10:9j52>=83.8n84>819m7g2=9810eo850;&0f06o;4n2`7>4=h4j=0976g>o6:j0;66g>o6;10;66a>b283>!5e=3;i>6`i6j80;6)=m5;3a6>h4j=0:76a>b183>!5e=3;i>6`i6io0;6)=m5;3a6>h4j=0876a>ad83>!5e=3;i>6`i6im0;6)=m5;3a6>h4j=0>76a>ab83>!5e=3;i>6`i6ik0;6)=m5;3a6>h4j=0<76a>dc83>!5e=3;i>6`i6lh0;6)=m5;3a6>h4j=0276a>d883>!5e=3;i>6`i6l10;6)=m5;3a6>h4j=0i76a>d683>!5e=3;i>6`i6l?0;6)=m5;3a6>h4j=0o76a>cb83>!5e=3;i>6`i6k80;6)=m5;3a6>h4j=0m76a>b783>!5e=3;i>6`=h9hk1<7*1=<54o0g6>5<#;k?1=h:4n2`7>5=5<#;k?1=h:4n2`7>7=54o0g3>5<#;k?1=h:4n2`7>1=5<#;k?1=h:4n2`7>3=5<#;k?1=h:4n2`7>==5<#;k?1=h:4n2`7>d=5<#;k?1=h:4n2`7>f=5<#;k?1=h:4n2`7>`=5<#;k?1=h:4n2`7>46<3f;oo7>5$2`6>4c33g9i87?>;:`5b2<7280;6=u+3bg9671<@:n37E=k0:m163<722wi:k650;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`5b<<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb7da>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e>oi1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg0am3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn;hi:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a356=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj>:86=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm71694?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd08<0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo9?6;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f260290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi;=650;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`44<<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb62a>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e?9i1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg17m3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn:>i:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a346=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj>;86=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm70694?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd09<0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo9>6;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f270290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi;<650;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`45<<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb63a>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e?8i1<7j50;2x 6eb2:hi7E=k8:J0`5=#:;o1=?5f8g83>>o>93:17d7<:188m<2=831b=>j50;9j644=831b><=50;9j642=831b><;50;9j7a5=831b?i:50;9l7fd=831d>1<75`3b`94?=h:8;1<75rb63f>5N4l11C?i>4$30f>c=n0o0;66g61;29?l?42900e4:50;9j56b=831b><<50;9j645=831b><:50;9j643=831b?i=50;9j7a2=831d?nl50;9l647=831vn:?i:18g>5<7s-9hi7=mb:J0`==O;m:0(?5;h;0>5<1<75f12f94?=n:881<75f20194?=n:8>1<75f20794?=n;m91<75f3e694?=h;jh1<75`20394?=zj>8;6=4k:183!5dm39in6F>o>93:17d7<:188m<2=831b=>j50;9j644=831b><=50;9j642=831b><;50;9j7a5=831b?i:50;9l7fd=831d>1<75`3b`94?=h:8;1<75rb601>5N4l11C?i>4$30f>46>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo9=3;29`?6=8r.8oh4<@:n;7)<=e;c8m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<5d;294~"4kl08no5G3e:8L6b73-89i7k4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg15=3:1h7>50z&0g`<4jk1C?i64H2f3?!45m3=0e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?b>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo9=7;29`?6=8r.8oh4<@:n;7)<=e;a8m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<5d;294~"4kl08no5G3e:8L6b73-89i7?>;h:e>5<>o><3:17d?3;29?l46<3:17d<>5;29?l5c;3:17d=k4;29?j5dj3:17b<>1;29?xd0:00;6i4?:1y'7fc=;kh0D>j7;I1g4>"5:l027d6i:188m<7=831b5>4?::k:0?6=3`;8h7>5;h026?6=3`8:?7>5;h020?6=3`8:97>5;h1g7?6=3`9o87>5;n1`f?6=3f8:=7>5;|`46d<72m0;6=u+3bg97gd<@:n37E=k0:&16`<>3`2m6=44i8394?=n1:0;66g64;29?l74l3:17d<>2;29?l46;3:17d<>4;29?l46=3:17d=k3;29?l5c<3:17b=lb;29?j4693:17pl82c83>a<729q/?nk53c`8L6b?3A9o<6*=2d8:?l>a2900e4?50;9j=6<722c287>5;h30`?6=3`8:>7>5;h027?6=3`8:87>5;h021?6=3`9o?7>5;h1g0?6=3f9hn7>5;n025?6=3th<>n4?:e83>5}#;jo1?ol4H2f;?M5c82.9>h46;h:e>5<>o><3:17d?3;29?l46<3:17d<>5;29?l5c;3:17d=k4;29?j5dj3:17b<>1;29?xd0:m0;6i4?:1y'7fc=;kh0D>j7;I1g4>"5:l027d6i:188m<7=831b5>4?::k:0?6=3`;8h7>5;h026?6=3`8:?7>5;h020?6=3`8:97>5;h1g7?6=3`9o87>5;n1`f?6=3f8:=7>5;|`46`<72:0;6=u+3bg9663<@:n37E=k0:&16`44?::k16d<722e8==4?::p665e290:?vPm0:?45f<>;27<=i463:?45`<>;27<=k463:?465<>;27<><463:?467<>;27<>>463:?461<>;27<>8463:?463<>;27<>:463:?46=<>;27<>4463:?46d<>;27<>o463:?46f<>;27<>i463:p665d290:?vPne:?45f<>927<=i461:?45`<>927<=k461:?465<>927<><461:?467<>927<>>461:?461<>927<>8461:?463<>927<>:461:?46=<>927<>4461:?46d<>927<>o461:?46f<>927<>i461:p665c290:?vPnc:?45f<47f:?467>47f:?461847f:?463:47f:?46=447f:?46do47f:?46fi47f:p665b2909wS?71:?46a<6;m1v?={t::>96=4={_34g>;0:00:?i5rs3177?6=:rT:;o5273:956b8>6<=k;|q1713=838pR<96;<513?74l2wx>>:9:181[7f127<>;4>3e9~w753?3:1>vP>a99>372=9:n0q~<<4983>7}Y9h=01:<<:01g?xu5;=31<75<5sW;j963820827a=z{;9?n7>52z\2e1=:?;:1=>j4}r000f<72;qU=4o4=63e>45c3ty9?9j50;0xZ4>a34=:o7?d;020>;09l09=95270d9642<5>8;6??;;<515?46<27<>?4=159>375=:8>01:<;:337?815=38:8638278151=:?;=1><:4=60;>77334=957<>4:?46d<59=16;?l52068924d2;;?709=d;020>{t::?:6=4>3z\a0>;09j09=>5270f9645<5>;n6??<;<52b?46;27<>=4=129>377=:8901:<=:330?815;38:?638258156=:?;?1><=4=605>77434=9;7<>3:?46=<59:16;?752018924f2;;8709=b;027>;0:j09=>5273f9645;h6??=;<52`?46:27<=h4=139>34`=:8801:638238157=:?;91><<4=607>77534=997<>2:?463<59;16;?952008924?2;;9709=9;026>;0:h09=?5273`9644<5>8h6??=;<51`?46:2wx>>;<:181[5c=27<>h4=289~w752<3:1>;uQ13a893`?288h708i9;31g>;1nh0:>n526g`957e<5?lh6<2b9>2c`=9;i01:>?:00`?81793;9o63803826f=:?991=?m4=627>44d34=;97?=c:?443<6:j16;=9513a8926?288h709?9;31g>;08h0:>n5271`957e<5>:h6<2b9>35`=9;i01:??:00`?81693;9o63813826f=:?891=?m4=637>44d34=:97?=c:?453<6:j16;<9513a8927?288h709>9;31g>;09h0:>n5270`957e<5>8n6?4i4:?4414i4:?451f;1g7>;0:908h>5273397a5<5>896>j<;<517?5c;27<>94373=;m901:<9:2f0?815?39o?6382980`6=:?;31?i=4=60b>6b434=9n7=k3:?46f<4l:16;?j53e18yv44=?0;6?uQ1c18926>2:h37p}=34594?4|V8h:709?8;1a<>{t::?36=4={_3a4>;08>08n55rs316=?6=:rT:mk5271497g>:>6>l7;|q170d=838pR>;l:181[7fk27<<>4vP>ac9>354=;k20q~<<5d83>7}Y9mh01:>>:2`;?xu5;5<5sW;o5639fg80f==z{;9==7>52z\2`==:>oo1?o64}r0027<72;qU=i94=7dg>6d?3ty9?;=50;0xZ4b134?0;6?uQ1c4893`>2:h37p}=37594?4|V8kj708i8;1a<>{t::<36=4={_3f1>;09k08n55rs315=?6=:rT:i>5270c97g>;26>l7;|q173d=838pR;<52>8l:181[7b827<=:4vP>dg9>340=;k20q~<<6d83>7}Y9mo01:?::2`;?xu5;?l1<75<5sW8;h6381280f==z{;9<=7>52z\14f=:?881?o64}r0037<72;qU>=l4=632>6d?3ty9?:=50;0xZ76f34=:<7=m8:p66132909wS{t::=36=4={_3f<>;08k08n55rs314=?6=:rT:hn5271c97g>c;;7?816k38:96381e8:0>;09m09=85270g9=1=:?8o1><;4=63e><2<5>;m6??:;<514??334=9<7<>5:?464<><27<><4=149>374=1=16;?<52078924420>01:<<:336?815<33?709=4;021>;0:<028638248150=:?;<159527349643<5>8<64:4=604>77234=9477;;<514464:?46<<59<16;?o5959>37g=:8?01:709=c;;7?815k38:96382e8:0>;0:m09=85rs314f?6=:r7=j54>3e9>34b=;jh0q~<<7b83>7}:>o31=>j4=63f>6ee3ty9?:j50;0x93`f289o709>c;1`f>{t::=n6=4={<4ef?74l27<=k4v39fb827a=:?;:1?nl4}r00<5<72;q6:kj512f892462:ii7p}=39394?4|5?ln6<=k;<516?5dj2wx>>6=:18180an3;8h6382280gg=z{;93?7>52z?445<6;m16;?:53b`8yv440=0;6?u2713956b<5>8=6>mm;|q17=3=838p1:>=:01g?815?39hn6s|22:5>5<5s4=;?7?353=9:n01:<6:2aa?xu5;131<745c34=9m7=lb:p66>f2909w09?7;30`>;0:k08oo5rs31;f?6=:r7<<54>3e9>37e=;jh0q~<<8b83>7}:?931=>j4=60g>6ee3ty9?5j50;0x926f289o709>d;025>{t::2n6=4={<53f?74l27<=h4=109~w75?n3:1>v380b827a=:?8i1>:n6<=k;<514?4692wx>>7=:181817n3;8h638208154=z{;92?7>52z?455<6;m16;?<52038yv441=0;6?u2703956b<5>886??>;|q17<3=838p1:?=:01g?815<38:=6s|22;5>5<5s4=:?7?343=9:n01:<::332?xu5;031<745c34=947<>1:p66?f2909w09>7;30`>;0:009=<5rs31:f?6=:r7<=54>3e9>37g=:8;0q~<<9b83>7}:?831=>j4=60a>7763ty9?4j50;0x927f289o709=c;025>{t::3n6=4={<52f?74l27<>i4=109~w75>n3:1=>u270a97a2<5>;o6>j;;<52a?5c<27<=k4376=;m>01:<>:2f7?815:39o86382280`1=:?;>1?i:4=606>6b334=9:7=k4:?462<4l=16;?653e68924>2:n?709=a;1g0>;0:k08h95273a97a2<5>8o6>j;;<51a?5682wvn:5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi;>>50;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<7>55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj>986=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e?:>1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg14>3:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f250290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a36>=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`47<<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb61a>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm72a94?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl83e83>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo9<@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn:=i:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi;9>50;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<7>55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj>>86=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e?=>1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg13>3:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f220290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a31>=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`40<<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb66a>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm75a94?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl84e83>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo9;e;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn::i:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi;8>50;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<>7>55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj>?86=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e?<>1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg12>3:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f230290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a30>=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`41<<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb67a>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm74a94?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl85e83>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo9:e;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn:;i:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi;;>50;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<7>55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj><86=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e??>1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<0;684?:1y'7fc=:;;0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg11>3:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f200290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a33>=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`42<<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb64a>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm77a94?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl86e83>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo99e;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn:8i:1874?412=;pD>j?;%1`a?71=m1Qj>4:{4095c<2;3896?=5}h`3>5<#;k?1mk5a3c694>=nil0;6)=m5;ce?k5e<3;07dol:18'7g3=io1e?o:52:9j5=7=83.8n84>819m7g2=821b=:h50;&0f0<6091e?o:51:9j52c=83.8n84>819m7g2=:21b=:j50;&0f0<6091e?o:53:9j52e=83.8n84>819m7g2=<21b=:l50;&0f0<6091e?o:55:9j52g=83.8n84>819m7g2=>21b=:750;&0f0<6091e?o:57:9j5d?=83.8n84>819m7g2=021b=l650;&0f0<6091e?o:59:9j5d1=83.8n84>819m7g2=i21b=l850;&0f0<6091e?o:5b:9j5d3=83.8n84>819m7g2=k21b=l:50;&0f0<6091e?o:5d:9j5819m7g2=m21b=5h50;&0f0<6091e?o:5f:9j5=2=83.8n84>819m7g2=9910e<97:18'7g3=91:0b>l;:038?ld1290/?o;5b49m7g2=821bn94?:%1a1?d23g9i87?4;h`1>5<#;k?1n85a3c696>=n;m?1<75f13a94?=n;j<1<75f12:94?=h9k91<7*1<65`1c394?"4j<0:n?5a3c695>=h9k:1<7*1>65`1`d94?"4j<0:n?5a3c697>=h9ho1<7*1865`1`f94?"4j<0:n?5a3c691>=h9hi1<7*1:65`1``94?"4j<0:n?5a3c693>=h9mh1<7*1465`1ec94?"4j<0:n?5a3c69=>=h9m31<7*1m65`1e:94?"4j<0:n?5a3c69f>=h9m=1<7*1o65`1e494?"4j<0:n?5a3c69`>=h9ji1<7*1i65`1b394?"4j<0:n?5a3c69b>=h9k<1<7*1==54o0cb>5<#;k?1=o<4n2`7>47<3f;n97>5$2`6>4c33g9i87>4;n3f7?6=,:h>67>5$2`6>4c33g9i87<4;n3f5?6=,:h>65$2`6>4c33g9i87:4;n3gb?6=,:h>65$2`6>4c33g9i8784;n3g`?6=,:h>65$2`6>4c33g9i8764;n03g?6=,:h>65$2`6>4c33g9i87o4;n03e?6=,:h>65$2`6>4c33g9i87m4;n0365$2`6>4c33g9i87k4;n3e7?6=,:h>65$2`6>4c33g9i87??;:m2`f<72-9i97?j4:l0f1<6921i;:>50;394?6|,:in6?<8;I1g<>N4l91d>?850;9~f216290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi;:<50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`436<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb656>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e?><1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg1003:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn:96:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a32g=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj>=o6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm76g94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd0?o0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo970;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f2>6290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi;5<50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`4<6<72m0;6=u+3bg97gd<@:n37E=k0:&16`<23`2m6=44i8394?=n1:0;66g64;29?l74l3:17d<>2;29?l46;3:17d<>4;29?l46=3:17d=k3;29?l5c<3:17b=lb;29?j4693:17pl88583>a<729q/?nk53c`8L6b?3A9o<6*=2d8g?l>a2900e4?50;9j=6<722c287>5;h30`?6=3`8:>7>5;h027?6=3`8:87>5;h021?6=3`9o?7>5;h1g0?6=3f9hn7>5;n025?6=3th<484?:e83>5}#;jo1?ol4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?3;29?l46<3:17d<>5;29?l5c;3:17d=k4;29?j5dj3:17b<>1;29?xd00?0;6i4?:1y'7fc=;kh0D>j7;I1g4>"5:l0i7d6i:188m<7=831b5>4?::k:0?6=3`;8h7>5;h026?6=3`8:?7>5;h020?6=3`8:97>5;h1g7?6=3`9o87>5;n1`f?6=3f8:=7>5;|`4<2<72m0;6=u+3bg97gd<@:n37E=k0:&16`<>3`2m6=44i8394?=n1:0;66g64;29?l74l3:17d<>2;29?l46;3:17d<>4;29?l46=3:17d=k3;29?l5c<3:17b=lb;29?j4693:17pl88983>a<729q/?nk53c`8L6b?3A9o<6*=2d85?l>a2900e4?50;9j=6<722c287>5;h30`?6=3`8:>7>5;h027?6=3`8:87>5;h021?6=3`9o?7>5;h1g0?6=3f9hn7>5;n025?6=3th<444?:e83>5}#;jo1?ol4H2f;?M5c82.9>h4>0:k;b?6=3`3:6=44i8194?=n1=0;66g>3e83>>o59;0;66g=1283>>o59=0;66g=1483>>o4l:0;66g>i4kk0;66a=1083>>{e?1k1<7j50;2x 6eb2:hi7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:?i4?::k157<722c9=>4?::k151<722c9=84?::k0`6<722c8h94?::m0gg<722e9=<4?::a3=d=83n1<7>t$2af>6de3A9o46F2c3j7>5;h;2>5<>o6;m0;66g=1383>>o59:0;66g=1583>>o59<0;66g>o4l=0;66a>i5980;66sm79a94?b=83:p(>mj:2`a?M5c02B8h=5+23g9f>o?n3:17d7>:188m<5=831b594?::k27a<722c9=?4?::k156<722c9=94?::k150<722c8h>4?::k0`1<722e8oo4?::m154<722wi;5j50;f94?6|,:in6>lm;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>3e83>>o59;0;66g=1283>>o59=0;66g=1483>>o4l:0;66g>i4kk0;66a=1083>>{e?1o1<7j50;2x 6eb2:hi7E=k8:J0`5=#:;o1h6g7f;29?l?62900e4=50;9j=1<722c:?i4?::k157<722c9=>4?::k151<722c9=84?::k0`6<722c8h94?::m0gg<722e9=<4?::a3=`=83n1<7>t$2af>6de3A9o46F5;h;2>5<>o6;m0;66g=1383>>o59:0;66g=1583>>o59<0;66g>o4l=0;66a>i5980;66sm78294?b=83:p(>mj:2`a?M5c02B8h=5+23g92>o?n3:17d7>:188m<5=831b594?::k27a<722c9=?4?::k156<722c9=94?::k150<722c8h>4?::k0`1<722e8oo4?::m154<722wi;4?50;f94?6|,:in6>lm;I1g<>N4l91/>?k5d:k;b?6=3`3:6=44i8194?=n1=0;66g>3e83>>o59;0;66g=1283>>o59=0;66g=1483>>o4l:0;66g>i4kk0;66a=1083>>{e?081<7j50;2x 6eb2:hi7E=k8:J0`5=#:;o156g7f;29?l?62900e4=50;9j=1<722c:?i4?::k157<722c9=>4?::k151<722c9=84?::k0`6<722c8h94?::m0gg<722e9=<4?::a3<5=83n1<7>t$2af>6de3A9o46F1<75`3b`94?=h:8;1<75rb6;7>5N4l11C?i>4$30f><=n0o0;66g61;29?l?42900e4:50;9j56b=831b><<50;9j645=831b><:50;9j643=831b?i=50;9j7a2=831d?nl50;9l647=831vn:7::180>5<7s-9hi7<<5:J0`==O;m:0(?2900e?45|Vk:01:6<:81892>320901:6::81892>120901:68:81892>?20901:66:81892>f20901:6m:81892>d20901:6k:81892>b20901:6i:81892?720901:7>:81892?520901:7<:81892?32090q~<45|Vho01:6<:83892>320;01:6::83892>120;01:68:83892>?20;01:66:83892>f20;01:6m:83892>d20;01:6k:83892>b20;01:6i:83892?720;01:7>:83892?520;01:7<:83892?320;0q~<45|Vhi01:6<:9d892>321l01:6::9d892>121l01:68:9d892>?21l01:66:9d892>f21l01:6m:9d892>d21l01:6k:9d892>b21l01:6i:9d892?721l01:7>:9d892?521l01:7<:9d892?321l0q~<7}Y91;01:7;:01g?xu5;h>1<7;3;8h6s|22c6>5<5sW;52z\23a=:?0;1=>j4}r00e2<72;qU=:m4=6;3>45c3ty9?l650;0xZ41e34=3j7?2909wS?8a:?4c289o7p}=3`a94?4|V8k37097b;30`>{t::ko6=4={_3b3>;00h0:?i5rs31ba?6=:rT:m;5279;956b236<=k;|q17g6=838pR>l>:181[7>i27<4;4>3e9~w75e:3:1>vP>8g9>3=5=9:n0q~<7}Y91>01:6::01g?xu5;k>1<75<6;rTi:638828151=:?1>1><:4=6:6>77334=3:7<>4:?4<2<59=16;565206892>>2;;?7097a;020>;00k09=95279a9642<5>2o6??;;<5;a?46<27<4k4=159>3<6=:8>01:7>:337?81>:38:8638928151=:?0>1><:4}r00f3<7289pRo:4=6:0>77434=387<>3:?4<0<59:16;585201892>02;;870978;027>;00009=>5279c9645<5>2i6??<;<5;g?46;27<4i4=129>3=c=:8901:6i:330?81>838:?638908156=:?081><=4=6;0>77434=287<>3:p66d0290:?vPm2:?4<6<59;16;5:5200892>22;;970976;026>;00>09=?5279:9644<5>226??=;<5;e?46:27<4o4=139>3=e=:8801:6k:331?81?m38:>6388g8157=:?0:1><<4=6;2>77534=2>7<>2:?4=6<59;16;4:52008yv44j10;6?uQ3e7892?22;827p}=3c;94?73sW;9o63870826f=:?>81=?m4=650>44d34=<87?=c:?430<6:j16;:8513a89210288h70988;31g>;0?00:>n5276c957e<5>=i6<2b9>32c=9;i01:9i:00`?81?83;9o63880826f=:?181=?m4=6;6>74f3ty9?oo50;06[74027<;<4i4:?4374i4:?43143=2=;m901:6::2f0?81?>39o?6388680`6=:?121?i=4=6::>6b434=3m7=k3:?4c2:n87097e;1g7>;00o08h>5278297a5<5>3:6>j<;<5:6?5c;27<5>43<2=;m90q~<7}Y9l?01:6=:2`;?xu5;ki1<75<5sW;n>6388180f==z{;9ii7>52z\2a4=:?>l1?o64}r00fc<72;qU=h>4=65f>6d?3ty9?n>50;0xZ4ba34={t::i>6=4={_03f>;0?108n55rs31`2?6=:rT9==6>l7;|q17f>=838pR?>7;<541?5e02wx>>m6:181[7am27<;94vP>f29>325=;k20q~<7}Y9l201:9=:2`;?xu5;ji1<75<5=r7<;=4=279>3=5=1=16;5=5207892>320>01:6;:336?81?=33?70975;021>;00?028638878150=:?1=159527959643<5>2364:4=6:;>77234=3577;;<5;=?46=27<4l464:?43=d=:8?01:6l:86892>d2;;>7097d;;7?81?l38:96388d8:0>;00l09=85279d9=1=:?1l1><;4=6;3><2<5>3;6??:;<5:5??334=2=7<>5:?4=7<><27<5?4=149>3<5=1=16;4=5207892?320>01:7;:336?xu5;jo1<745c34=387<>1:p66ea2909w0982;30`>;00<09=<5rs31g4?6=:r7<;>4>3e9>3=5=:8;0q~<7}:?>>1=>j4=6:5>7763ty9?i<50;0x9212289o70977;025>{t::n86=4={<542?74l27<454=109~w75c<3:1>v3876827a=:?131>f2;;:7p}=3e494?4|5>=26<=k;<5;f?4692wx>>j8:181810i3;8h6388e8154=z{;9o47>52z?43g<6;m16;5k52038yv44l00;6?u276a956b<5>2h6??>;|q17ag=838p1:9k:01g?81?n38:=6s|22fa>5<5s4=3=6=9:n01:7=:332?xu5;mo1<745c34=2?7<>1:p66ba2909w0972;30`>;01=09=<5rs31f4?6=9:q6;5=53e6892>32:n?70975;1g0>;00?08h95279597a2<5>236>j;;<5;=?5c<27<4l43=d=;m>01:6l:2f7?81?l39o86388d80`1=:?1l1?i:4=6;3>6b334=2=7=k4:?4=7<4l=16;4=53e6892?32:n?70965;124>{zj>3=6=4>6;31>4`|@:n;7)=le;06=6=]n:08w9k58`8;g?{nih0;6)=m5;c:?k5e<3:07do7:18'7g3=i01e?o:51:9j535=83.8n84>639m7g2=821b=;?50;&0f0<6>;1e?o:51:9j536=83.8n84>639m7g2=:21b=8h50;&0f0<6>;1e?o:53:9j50c=83.8n84>639m7g2=<21b=8j50;&0f0<6>;1e?o:55:9j50e=83.8n84>639m7g2=>21b=8:50;&0f0<6>;1e?o:57:9j7a2=831b=?m50;9j7f0=831b=>650;9l523=83.8n84>759m7g2=821d=:=50;&0f0<6?=1e?o:51:9l524=83.8n84>759m7g2=:21d=:?50;&0f0<6?=1e?o:53:9l526=83.8n84>759m7g2=<21d=;h50;&0f0<6?=1e?o:55:9l53c=83.8n84>759m7g2=>21d=;850;&0f0<6?=1e?o:57:9a3<1=83;1<7>t$2af>7403A9o46F5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a31<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj>3h6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm78f94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd01l0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo96f;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f2g729026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb6c2>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn:o=:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj>k86=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f2g329026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb6c6>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn:o9:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj>k<6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f2g?29086=4?{%1`a?44=2B8h55G3e28 74b21=0e?<6:188m74f2900c>??:188yv44m80;64uQa`9>3d6=1816;l?5909>3d4=1816;l=5909>3d2=1816;l;5909>3d0=1816;l95909~w75b:3:15vPn8:?4e547f:?4e1816;l8512f8yv44m<0;6?uQ172892g2289o7p}=3d494?4|V8?m709n4;30`>{t::o<6=4={_36a>;0i:0:?i5rs31fk:6<=k;|q17`g=838pR<;;;<5b4?74l2wx>>km:181[5c<272b9>3<>=9;i01:76:00`?81>i3;9o6389c826f=:?0i1=?m4=6;g>44d34=2i7?=c:?4=c<6:j16;l6523c8yv44mm0;603l?70969;d7?81>i3l?7096b;d7?81>k3l?7096d;d7?81>m3l?7096f;d7?81f83;84638a0827==:?h81=>64=6c0>45?34=j87?<8:?4e0<6;116;l8512:892g028937p}=3dg94?4|V8=>7096f;1a<>{t::om6=4={_347>;01l08n55rs31e4?6=:rT:;?5278f97g>3h6>l7;|q17c4=838pR<9?;<5:f?5e02wx>>h<:181[71n27<5l4vP>6d9>37}Y9?<01:77:2`;?xu5;o<1<7?>{<5:3?45>27<27<27<27463:?4e6<><27<27<27<27<2wx>>h8:18181>03;8h638a180f==z{;9m47>52z?4=<<6;m16;l?53c:8yv44n00;6?u278c956b<5>k96>l7;|q17cg=838p1:7m:01g?81f;39i46s|22da>5<5s4=2o7?345c34=j;7=m8:p66`a2902w09n0;31g>;0i80:>n527`0957e<5>k86<2b9>3d0=9;i01:o8:00`?81f039:<6srb6c:>5<6>3;965>5Uf2801c=0h03o7sfa`83>!5e=3k27c=m4;28?lg?290/?o;5a89m7g2=921b=;=50;&0f0<6>;1e?o:50:9j537=83.8n84>639m7g2=921b=;>50;&0f0<6>;1e?o:52:9j50`=83.8n84>639m7g2=;21b=8k50;&0f0<6>;1e?o:54:9j50b=83.8n84>639m7g2==21b=8m50;&0f0<6>;1e?o:56:9j502=83.8n84>639m7g2=?21b?i:50;9j57e=831b?n850;9j56>=831d=:;50;&0f0<6?=1e?o:50:9l525=83.8n84>759m7g2=921d=:<50;&0f0<6?=1e?o:52:9l527=83.8n84>759m7g2=;21d=:>50;&0f0<6?=1e?o:54:9l53`=83.8n84>759m7g2==21d=;k50;&0f0<6?=1e?o:56:9l530=83.8n84>759m7g2=?21i;lo50;394?6|,:in6?<8;I1g<>N4l91d>?850;9~f2ge290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi;lm50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`4ea<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb6ce>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e?k:1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg1e:3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn:l<:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj>h?6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f2d229026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb6`5>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn:l8:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj>h36=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f2d>29026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb6`b>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn:lm:180>5<7s-9hi7<<5:J0`==O;m:0(?2900e?<}Yih16;o=5909>3g2=1816;o;5909>3g0=1816;o95909>3g>=1816;o75909>3gg=181v?:?1;29=~Xf02747f:?4f19>=:181[71;273e9~w727;3:1>vP>609>3g?=9:n0q~<;0583>7}Y9?:01:l7:01g?xu5<9?1<75<5sW;>i638b7827a=z{;>;;7>52z\21a=:?k?1=>j4}r074=<72;qU=8m4=6`7>45c3ty98=750;0xZ43334=i?7?;0il0:>n527`d957e<5>h;6<2b9>3gd=:;k0q~<;0b83>47|V893709nb;d7?81fk3l?709nd;d7?81fm3l?709nf;d7?81e83l?709m1;d7?81e:3l?709m3;30<>;0j=0:?5527c7956><5>h=6<=7;<5a3?74027399>3g?=9:201:ln:01;?xu5<9n1<75<5sW;;j7>52z\237=:?k:1?o64}r0755<72;qU=:?4=6ce>6d?3ty983;296~X6>l16;lm53c:8yv439=0;6?uQ174892ge2:h37p}=40794?76s4=jm7<=6:?4f6<>;27464:?4f1<>;27;27;27;27;27;27;27;0j:08n55rs3623?6=:r73e9>3g2=;k20q~<;1983>7}:?hn1=>j4=6`6>6d?3ty98<750;0x92gb289o709m6;1a<>{t:=;j6=4={<5bb?74l27v38b1827a=:?k21?o64}r075f<72;q6;o?512f892d>2:h37p}=40f94?4|5>h96<=k;<5ae?5e02wx>9?j:18:81e;3;9o638b5826f=:?k?1=?m4=6`5>44d34=i;7?=c:?4f=<6:j16;o7513a892df288h709mb;124>{zj>hh6=4>6;31>4`|@:n;7)=le;06=6=]n:08w9k58`8;g?{nih0;6)=m5;c:?k5e<3:07do7:18'7g3=i01e?o:51:9j535=83.8n84>639m7g2=821b=;?50;&0f0<6>;1e?o:51:9j536=83.8n84>639m7g2=:21b=8h50;&0f0<6>;1e?o:53:9j50c=83.8n84>639m7g2=<21b=8j50;&0f0<6>;1e?o:55:9j50e=83.8n84>639m7g2=>21b=8:50;&0f0<6>;1e?o:57:9j7a2=831b=?m50;9j7f0=831b=>650;9l523=83.8n84>759m7g2=821d=:=50;&0f0<6?=1e?o:51:9l524=83.8n84>759m7g2=:21d=:?50;&0f0<6?=1e?o:53:9l526=83.8n84>759m7g2=<21d=;h50;&0f0<6?=1e?o:55:9l53c=83.8n84>759m7g2=>21d=;850;&0f0<6?=1e?o:57:9a3gb=83;1<7>t$2af>7403A9o46F5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a3g`=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj>i96=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm7b194?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd0k=0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo9l5;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f2e129026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb6a4>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn:m7:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj>i26=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f2ef29026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb6aa>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn:ml:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj>io6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f2eb29086=4?{%1`a?44=2B8h55G3e28 74b21=0e?<6:188m74f2900c>??:188yv439o0;64uQa`9>3f0=1816;n95909>3f>=1816;n75909>3fg=1816;nl5909>3fe=1816;nj5909~w72583:15vPn8:?4g3816;nm512f8yv43::0;6?uQ172892ee289o7p}=43694?4|V8?m709la;30`>{t:=8>6=4={_36a>;0k00:?i5rs3612?6=:rT:9i527b:956b:4?:3y]50e<5>i<6<=k;|q107>=838pR<;;;<5`2?74l2wx>9<6:181[5c<272b9>3gc=9;i01:li:00`?81d83;9o638c0826f=:?j81=?m4=6a0>44d34=h87?=c:?4g0<6:j16;nk523c8yv43:k0;63;84638c6827==:?j21=>64=6a:>45?34=hm7?<8:?4gg<6;116;nm512:892ec28937p}=43a94?4|V8=>709l5;1a<>{t:=8o6=4={_347>;0k=08n55rs361a?6=:rT:;?527b197g>k4?:3y]527<5>i96>l7;|q1066=838pR<9?;<5`5?5e02wx>9=>:181[71n27vP>6d9>3g`=;k20q~<;3283>7}Y9?<01:lj:2`;?xu5<:>1<7?>{<5a`?45>27<27<27<27<27<27<27<27<2wx>9=::18181em3;8h638c780f==z{;>8:7>52z?4fc<6;m16;n953c:8yv43;>0;6?u27b2956b<5>i36>l7;|q106>=838p1:m>:01g?81d139i46s|251:>5<5s4=h>7?3f2=9:n01:ml:2`;?xu5<:i1<745c34=hh7=m8:p615c2902w09l6;31g>;0k>0:>n527b:957e<5>i26<2b9>3fe=9;i01:mk:00`?81dm39:<6srb6ae>5<6>3;965>5Uf2801c=0h03o7sfa`83>!5e=3k27c=m4;28?lg?290/?o;5a89m7g2=921b=;=50;&0f0<6>;1e?o:50:9j537=83.8n84>639m7g2=921b=;>50;&0f0<6>;1e?o:52:9j50`=83.8n84>639m7g2=;21b=8k50;&0f0<6>;1e?o:54:9j50b=83.8n84>639m7g2==21b=8m50;&0f0<6>;1e?o:56:9j502=83.8n84>639m7g2=?21b?i:50;9j57e=831b?n850;9j56>=831d=:;50;&0f0<6?=1e?o:50:9l525=83.8n84>759m7g2=921d=:<50;&0f0<6?=1e?o:52:9l527=83.8n84>759m7g2=;21d=:>50;&0f0<6?=1e?o:54:9l53`=83.8n84>759m7g2==21d=;k50;&0f0<6?=1e?o:56:9l530=83.8n84>759m7g2=?21i;i>50;394?6|,:in6?<8;I1g<>N4l91d>?850;9~f2b6290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi;i<50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`4`6<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb6f6>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e?m<1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg1c03:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn:j6:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj>nj6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f2be29026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb6f`>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn:jk:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj>nn6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f2ba29026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb6g3>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn:k>:180>5<7s-9hi7<<5:J0`==O;m:0(?2900e?<}Yih16;i75909>3ag=1816;il5909>3ae=1816;ij5909>3ac=1816;ih5909>3`6=181v?:9:?:181[71;273e9~w72393:1>vP>609>3a`=9:n0q~<;4383>7}Y9?:01:jj:01g?xu5<=91<75<5sW;>i638db827a=z{;>?97>52z\21a=:?mh1=>j4}r0703<72;qU=8m4=6fb>45c3ty989950;0xZ43334=o57?;0l=0:>n527e7957e<5>n=6<2b9>3`7=:;k0q~<;4`83>47|V893709k1;d7?81c:3l?709k3;d7?81c<3l?709k5;d7?81c>3l?709k7;d7?81c03l?709k9;30<>;0lh0:?5527e`956><5>nh6<=7;<5g`?74027399>3a`=9:201:k?:01;?xu5<=h1<75<5sW;?h7>52z\237=:?m<1?o64}r070`<72;qU=:?4=6f6>6d?3ty989h50;0xZ41734=o87=m8:p61372909wS?9f:?4`6<4j11v?::1;296~X6>l16;i<53c:8yv43=;0;6?uQ174892b62:h37p}=44194?76s4=o<7<=6:?4`<<>;27;27;27;27;27;27;27;27;0l008n55rs3661?6=:r73e9>3ag=;k20q~<;5783>7}:?m91=>j4=6fa>6d?3ty988950;0x92b3289o709kc;1a<>{t:=?36=4={<5g1?74l27v38d7827a=:?mo1?o64}r071d<72;q6;i9512f892ba2:h37p}=44`94?4|5>n36<=k;<5f4?5e02wx>9;l:18:81c13;9o638d`826f=:?mh1=?m4=6f`>44d34=oh7?=c:?4``<6:j16;ih513a892c7288h709j1;124>{zj>o96=4>6;31>4`|@:n;7)=le;06=6=]n:08w9k58`8;g?{nih0;6)=m5;c:?k5e<3:07do7:18'7g3=i01e?o:51:9j535=83.8n84>639m7g2=821b=;?50;&0f0<6>;1e?o:51:9j536=83.8n84>639m7g2=:21b=8h50;&0f0<6>;1e?o:53:9j50c=83.8n84>639m7g2=<21b=8j50;&0f0<6>;1e?o:55:9j50e=83.8n84>639m7g2=>21b=8:50;&0f0<6>;1e?o:57:9j7a2=831b=?m50;9j7f0=831b=>650;9l523=83.8n84>759m7g2=821d=:=50;&0f0<6?=1e?o:51:9l524=83.8n84>759m7g2=:21d=:?50;&0f0<6?=1e?o:53:9l526=83.8n84>759m7g2=<21d=;h50;&0f0<6?=1e?o:55:9l53c=83.8n84>759m7g2=>21d=;850;&0f0<6?=1e?o:57:9a3`5=83;1<7>t$2af>7403A9o46F5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a3`3=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj>o36=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm7d;94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd0mh0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo9jb;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f2cd29026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb6gg>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn:kj:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj>om6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f2`729026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb6d2>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn:h=:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj>l86=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f2`329086=4?{%1`a?44=2B8h55G3e28 74b21=0e?<6:188m74f2900c>??:188yv43=m0;64uQa`9>3`e=1816;hj5909>3`c=1816;hh5909>3c6=1816;k?5909>3c4=1816;k=5909~w722m3:15vPn8:?4af47f:p613a2909wS?93:?4b6<6;m1v?:90;296~X6>816;k<512f8yv43>80;6?uQ172892`6289o7p}=47094?4|V8?m709i0;30`>{t:=<86=4={_36a>;0mo0:?i5rs3650?6=:rT:9i527dg956boo6<=k;|q1030=838pR<;;;<5fg?74l2wx>988:181[5c<272b9>3`2=9;i01:k::00`?81b>3;9o638e6826f=:?l21=?m4=6g:>44d34=nm7?=c:?4ag<6:j16;k:523c8yv43>00;63l?709j7;d7?81b03l?709j9;d7?81bi3l?709jb;d7?81bk3;84638ee827==:?lo1=>64=6ge>45?34=m<7?<8:?4b4<6;116;k<512:892`428937p}=47c94?4|V8=>709jb;1a<>{t:=;0mh08n55rs365g?6=:rT:;?527d;97g>o36>l7;|q103c=838pR<9?;<5f3?5e02wx>98i:181[71n27vP>6d9>3`3=;k20q~<;7083>7}Y9?<01:k;:2`;?xu5<>81<7?>{<5f7?45>27<27<27<27<27<27<27<27463:?4b6<><2wx>99<:18181b<3;8h638eb80f==z{;><87>52z?4a0<6;m16;hj53c:8yv43?<0;6?u27d4956b<5>on6>l7;|q1020=838p1:k8:01g?81bn39i46s|2554>5<5s4=n47?3`g=9:n01:h=:2`;?xu5<>k1<745c34=m?7=m8:p611e2902w09jc;31g>;0mm0:>n527dg957e<5>om6<2b9>3c4=9;i01:h<:00`?81a<39:<6srb6d6>5<6>3;965>5Uf2801c=0h03o7sfa`83>!5e=3k27c=m4;28?lg?290/?o;5a89m7g2=921b=;=50;&0f0<6>;1e?o:50:9j537=83.8n84>639m7g2=921b=;>50;&0f0<6>;1e?o:52:9j50`=83.8n84>639m7g2=;21b=8k50;&0f0<6>;1e?o:54:9j50b=83.8n84>639m7g2==21b=8m50;&0f0<6>;1e?o:56:9j502=83.8n84>639m7g2=?21b?i:50;9j57e=831b?n850;9j56>=831d=:;50;&0f0<6?=1e?o:50:9l525=83.8n84>759m7g2=921d=:<50;&0f0<6?=1e?o:52:9l527=83.8n84>759m7g2=;21d=:>50;&0f0<6?=1e?o:54:9l53`=83.8n84>759m7g2==21d=;k50;&0f0<6?=1e?o:56:9l530=83.8n84>759m7g2=?21i;k850;394?6|,:in6?<8;I1g<>N4l91d>?850;9~f2`0290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi;k650;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`4b<<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb6da>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e?oi1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg1am3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn:hi:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj1:;6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f=6629026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb921>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn5><:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj1:?6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f=6229026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb925>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn5>8:180>5<7s-9hi7<<5:J0`==O;m:0(?2900e?<}Yih16;kh5909><56=18164=?5909><54=18164==5909><52=18164=;5909><50=181v?:8d;29=~Xf02747f:?;4199j:181[71;273<;4>3e9~w720n3:1>vP>609><53=9:n0q~<;8183>7}Y9?:015>;:01g?xu5<1;1<77;3;8h6s|25:1>5<5sW;>i63703827a=z{;>3?7>52z\21a=:09;1=>j4}r07<1<72;qU=8m4=923>45c3ty985;50;0xZ43334=mj7?12909wS=k4:?;42<5:01v?:77;29e~X6:j16;k9513a892`?288h709i9;31g>;0nh0:>n527g`957e<5>lh6<2b9><51=:;k0q~<;8983>47|V893709i7;d7?81a03l?709i9;d7?81ai3l?709ib;d7?81ak3l?709id;d7?81am3l?709if;30<>;?890:?552813956><51:96<=7;<:37?740273<94>399><53=9:2015>9:01;?xu5<131<75<5sW;3n7>52z\237=:?oi1?o64}r076d?3ty985j50;0xZ41734=mm7=m8:p61>b2909wS?9f:?4b<<4j11v?:7f;296~X6>l16;k653c:8yv43190;6?uQ174892`02:h37p}=48394?76s4=m:7<=6:?4bc<>;27;273<=464:?;44<>;273<<464:?;47<>;273;273<>464:?;41<>;273<9464:?;40<>;273<8464:?;43<>;273<;464:p61?52909w09i7;30`>;0no08n55rs36:7?6=:r73e9><56=;k20q~<;9583>7}:?o31=>j4=922>6d?3ty984;50;0x92`f289o706?2;1a<>{t:=3=6=4={<5ef?74l273<>4?3:1>v38fb827a=:09>1?o64}r07==<72;q6;kj512f89=622:h37p}=48;94?4|5>ln6<=k;<:32?5e02wx>97n:18:81an3;9o63701826f=:09;1=?m4=921>44d342;?7?=c:?;41<6:j164=;513a89=61288h706?7;124>{zj1:36=4>6;31>4`|@:n;7)=le;06=6=]n:08w9k58`8;g?{nih0;6)=m5;c:?k5e<3:07do7:18'7g3=i01e?o:51:9j535=83.8n84>639m7g2=821b=;?50;&0f0<6>;1e?o:51:9j536=83.8n84>639m7g2=:21b=8h50;&0f0<6>;1e?o:53:9j50c=83.8n84>639m7g2=<21b=8j50;&0f0<6>;1e?o:55:9j50e=83.8n84>639m7g2=>21b=8:50;&0f0<6>;1e?o:57:9j7a2=831b=?m50;9j7f0=831b=>650;9l523=83.8n84>759m7g2=821d=:=50;&0f0<6?=1e?o:51:9l524=83.8n84>759m7g2=:21d=:?50;&0f0<6?=1e?o:53:9l526=83.8n84>759m7g2=<21d=;h50;&0f0<6?=1e?o:55:9l53c=83.8n84>759m7g2=>21d=;850;&0f0<6?=1e?o:57:9a<5?=83;1<7>t$2af>7403A9o46Fn:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a<5d=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj1:n6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm81d94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd?990;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo6>1;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f=7529026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb930>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn5?;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj1;>6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f=7129026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb934>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn5?7:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj1;26=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f=7f29086=4?{%1`a?44=2B8h55G3e28 74b21=0e?<6:188m74f2900c>??:188yv431k0;64uQa`9><44=18164<=5909><42=18164<;5909><40=18164<95909><4>=18164<75909~w72>k3:15vPn8:?;5747f:?;518164<6512f8yv431o0;6?uQ17289=70289o7p}=4`294?4|V8?m706>6;30`>{t:=k:6=4={_36a>;?9<0:?i5rs36b6?6=:rT:9i52806956b4?:3y]50e<51;86<=k;|q10d2=838pR<;;;<:26?74l2wx>9o::181[5c<273=l4=289~w72f>3:1mvP>2b9><5g=9;i015>m:00`?8>7k3;9o6370e826f=:09o1=?m4=92e>44d342:<7?=c:?;54<6:j1640;67i3l?706?b;d7?8>7k3l?706?d;d7?8>7m3l?706?f;d7?8>683l?706>1;d7?8>6:3;8463712827==:08>1=>64=936>45?342::7?<8:?;52<6;1164<6512:89=7>28937p}=4`:94?4|V8=>706>1;1a<>{t:=k26=4={_347>;?9908n55rs36be?6=:rT:;?5281d97g>l7;|q10de=838pR<9?;<:3`?5e02wx>9ok:181[71n273vP>6d9><5d=;k20q~<;ag83>7}Y9?<015>n:2`;?xu5{<:3=?45>273=?463:?;57<><273=>463:?;56<><273=9463:?;51<><273=8463:?;50<><273=;463:?;53<><273=:463:?;52<><273=5463:?;5=<><273=4463:?;5<<><2wx>9l>:1818>7i3;8h6371380f==z{;>i>7>52z?;4g<6;m164<=53c:8yv43j:0;6?u281a956b<51;?6>l7;|q10g2=838p15>k:01g?8>6=39i46s|25`6>5<5s42;i7?<46=9:n015?7:2`;?xu545c342:57=m8:p61d>2902w06>2;31g>;?9:0:>n52806957e<51;>6<2b9><4>=9;i015?6:00`?8>6i39:<6srb93a>5<6>3;965>5Uf2801c=0h03o7sfa`83>!5e=3k27c=m4;28?lg?290/?o;5a89m7g2=921b=;=50;&0f0<6>;1e?o:50:9j537=83.8n84>639m7g2=921b=;>50;&0f0<6>;1e?o:52:9j50`=83.8n84>639m7g2=;21b=8k50;&0f0<6>;1e?o:54:9j50b=83.8n84>639m7g2==21b=8m50;&0f0<6>;1e?o:56:9j502=83.8n84>639m7g2=?21b?i:50;9j57e=831b?n850;9j56>=831d=:;50;&0f0<6?=1e?o:50:9l525=83.8n84>759m7g2=921d=:<50;&0f0<6?=1e?o:52:9l527=83.8n84>759m7g2=;21d=:>50;&0f0<6?=1e?o:54:9l53`=83.8n84>759m7g2==21d=;k50;&0f0<6?=1e?o:56:9l530=83.8n84>759m7g2=?21i4N4l91d>?850;9~f=7c290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi4N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`;5c<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb902>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e0;81<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg>5<3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn5<::18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj18=6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f=4029026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb90;>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn5<6:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj18j6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f=4e29026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb90`>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn55<7s-9hi7<<5:J0`==O;m:0(?2900e?<}Yih164?;5909><70=18164?95909><7>=18164?75909><7g=18164?l5909><7e=181v?:mb;29=~Xf0273>847f:?;63:47f:?;6=447f:?;6do47f:?;6f9ll:181[71;273>n4>3e9~w72el3:1>vP>609><7d=9:n0q~<;bd83>7}Y9?:015513;8h6s|25a3>5<5sW;>i63729827a=z{;>h=7>52z\21a=:0;=1=>j4}r07g7<72;qU=8m4=905>45c3ty98n=50;0xZ433342997?f;31g>;?:90:>n52833957e<51896<94>2b9><7b=:;k0q~<;c783>47|V893706>d;d7?8>6m3l?706>f;d7?8>583l?706=1;d7?8>5:3l?706=3;d7?8>5<3l?706=5;30<>;?:?0:?552835956><51836<=7;<:1=?740273>l4>399><7d=9:20155<39i46s|25a;>5<5sW;h57>52z\237=:0;81?o64}r07gd<72;qU=:?4=902>6d?3ty98nl50;0xZ4173429<7=m8:p61ed2909wS?9f:?;5c<4j11v?:ld;296~X6>l164;273>8464:?;63<>;273>;464:?;62<>;273>:464:?;6=<>;273>5464:?;6<<>;273>4464:?;6d<>;273>l464:?;6g<>;273>o464:?;6f<>;273>n464:p61b72909w06>d;30`>;?:<08n55rs36g5?6=:r73=h4>3e9><70=;k20q~<;d383>7}:08l1=>j4=904>6d?3ty98i=50;0x9=47289o706=8;1a<>{t:=n?6=4={<:15?74l273>44v3723827a=:0;k1?o64}r07`3<72;q64?=512f89=4e2:h37p}=4e594?4|518?6<=k;<:1g?5e02wx>9j7:18:8>5=3;9o63727826f=:0;=1=?m4=90;>44d342957?=c:?;6d<6:j164?l513a89=4d288h706=d;124>{zj18n6=4>6;31>4`|@:n;7)=le;06=6=]n:08w9k58`8;g?{nih0;6)=m5;c:?k5e<3:07do7:18'7g3=i01e?o:51:9j535=83.8n84>639m7g2=821b=;?50;&0f0<6>;1e?o:51:9j536=83.8n84>639m7g2=:21b=8h50;&0f0<6>;1e?o:53:9j50c=83.8n84>639m7g2=<21b=8j50;&0f0<6>;1e?o:55:9j50e=83.8n84>639m7g2=>21b=8:50;&0f0<6>;1e?o:57:9j7a2=831b=?m50;9j7f0=831b=>650;9l523=83.8n84>759m7g2=821d=:=50;&0f0<6?=1e?o:51:9l524=83.8n84>759m7g2=:21d=:?50;&0f0<6?=1e?o:53:9l526=83.8n84>759m7g2=<21d=;h50;&0f0<6?=1e?o:55:9l53c=83.8n84>759m7g2=>21d=;850;&0f0<6?=1e?o:57:9a<7`=83;1<7>t$2af>7403A9o46F5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a<67=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj19?6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm82794?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd?;?0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo6<7;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f=5?29026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb91:>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn5=n:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj19i6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f=5d29026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb91g>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn5=j:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj19m6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f=2729086=4?{%1`a?44=2B8h55G3e28 74b21=0e?<6:188m74f2900c>??:188yv43l00;64uQa`9><6>=18164>75909><6g=18164>l5909><6e=18164>j5909><6c=18164>h5909~w72ci3:15vPn8:?;7=8164>k512f8yv43lm0;6?uQ17289=5c289o7p}=4eg94?4|V8?m706{t:=nm6=4={_36a>;?;k0:?i5rs36f4?6=:rT:9i5282c956b9k<:181[5c<2738=4=289~w72b<3:1mvP>2b9><66=9;i015=>:00`?8>4:3;9o63732826f=:0:>1=?m4=916>44d3428:7?=c:?;72<6:j1649>523c8yv43m<0;6483l?706<1;d7?8>4:3l?706<3;d7?8>4<3l?706<5;d7?8>4>3l?706<7;d7?8>403;8463738827==:0:k1=>64=91a>45?3428o7?<8:?;7a<6;1164>k512:89=5a28937p}=4d494?4|V8=>706<7;1a<>{t:=o<6=4={_347>;?;?08n55rs36fl7;|q10`g=838pR<9?;<:07?5e02wx>9km:181[71n273??4vP>6d9><67=;k20q~<;ee83>7}Y9?<015=?:2`;?xu5{<:1b?45>273?5463:?;7=<><273?4463:?;7<<><273?l463:?;7d<><273?o463:?;7g<><273?n463:?;7f<><273?i463:?;7a<><273?h463:?;7`<><273?k463:?;7c<><2wx>9ki:1818>483;8h6373980f==z{;>m<7>52z?;74<6;m164>753c:8yv43n80;6?u2820956b<519j6>l7;|q10c4=838p15=<:01g?8>4j39i46s|25d0>5<5s42887?<60=9:n015=j:2`;?xu545c3428j7=m8:p61`02902w06<8;31g>;?;00:>n5282c957e<519i6<2b9><6c=9;i015=i:00`?8>3839:<6srb962>5<6>3;965>5Uf2801c=0h03o7sfa`83>!5e=3k27c=m4;28?lg?290/?o;5a89m7g2=921b=;=50;&0f0<6>;1e?o:50:9j537=83.8n84>639m7g2=921b=;>50;&0f0<6>;1e?o:52:9j50`=83.8n84>639m7g2=;21b=8k50;&0f0<6>;1e?o:54:9j50b=83.8n84>639m7g2==21b=8m50;&0f0<6>;1e?o:56:9j502=83.8n84>639m7g2=?21b?i:50;9j57e=831b?n850;9j56>=831d=:;50;&0f0<6?=1e?o:50:9l525=83.8n84>759m7g2=921d=:<50;&0f0<6?=1e?o:52:9l527=83.8n84>759m7g2=;21d=:>50;&0f0<6?=1e?o:54:9l53`=83.8n84>759m7g2==21d=;k50;&0f0<6?=1e?o:56:9l530=83.8n84>759m7g2=?21i49<50;394?6|,:in6?<8;I1g<>N4l91d>?850;9~f=24290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi49:50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`;00<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb964>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e0=21<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg>3i3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn5:m:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj1>h6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f=2c29026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb96f>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn5:i:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj1?;6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f=3629026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb971>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn5;<:180>5<7s-9hi7<<5:J0`==O;m:0(?2900e?<}Yih1649l5909><1e=181649j5909><1c=181649h5909><06=181648?5909><04=181v?:i9;29=~Xf02738o47f:?;0f9hn:181[71;2739?4>3e9~w72aj3:1>vP>609><07=9:n0q~<;fb83>7}Y9?:015;?:01g?xu53n3;8h6s|25df>5<5sW;>i6374d827a=z{;>mj7>52z\21a=:0=n1=>j4}r0645<72;qU=8m4=96`>45c3ty99=?50;0xZ433342?n7?;?n52855957e<51>36<2b9><05=:;k0q~<:0583>47|V893706;3;d7?8>3<3l?706;5;d7?8>3>3l?706;7;d7?8>303l?706;9;d7?8>3i3l?706;b;30<>;?<51>n6<=7;<:7b?7402739=4>399><07=9:2015;=:01;?xu5=9?1<73i39i46s|2425>5<5sW;52z\237=:0=21?o64}r064=<72;qU=:?4=964>6d?3ty99=750;0xZ417342?:7=m8:p606f2909wS?9f:?;00<4j11v?;?b;296~X6>l1649:53c:8yv428j0;6?uQ17489=242:h37p}=51f94?76s42?>7<=6:?;0g<>;2738o464:?;0f<>;2738n464:?;0a<>;2738i464:?;0`<>;2738h464:?;0c<>;2738k464:?;15<>;2739=464:?;14<>;2739<464:?;17<>;2739?464:p606b2909w06;3;30`>;?3e9><1e=;k20q~<:1183>7}:0=?1=>j4=96g>6d?3ty99{t:<;96=4={<:73?74l2738k4v3749827a=:0<:1?o64}r0651<72;q6497512f89=362:h37p}=50794?4|51>j6<=k;<:66?5e02wx>8?9:18:8>3j3;9o6374b826f=:0=n1=?m4=96f>44d342?j7?=c:?;15<6:j1648?513a89=35288h706:3;124>{zj1??6=4>6;31>4`|@:n;7)=le;06=6=]n:08w9k58`8;g?{nih0;6)=m5;c:?k5e<3:07do7:18'7g3=i01e?o:51:9j535=83.8n84>639m7g2=821b=;?50;&0f0<6>;1e?o:51:9j536=83.8n84>639m7g2=:21b=8h50;&0f0<6>;1e?o:53:9j50c=83.8n84>639m7g2=<21b=8j50;&0f0<6>;1e?o:55:9j50e=83.8n84>639m7g2=>21b=8:50;&0f0<6>;1e?o:57:9j7a2=831b=?m50;9j7f0=831b=>650;9l523=83.8n84>759m7g2=821d=:=50;&0f0<6?=1e?o:51:9l524=83.8n84>759m7g2=:21d=:?50;&0f0<6?=1e?o:53:9l526=83.8n84>759m7g2=<21d=;h50;&0f0<6?=1e?o:55:9l53c=83.8n84>759m7g2=>21d=;850;&0f0<6?=1e?o:57:9a<03=83;1<7>t$2af>7403A9o46F5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a<01=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj1?j6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm84`94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd?=j0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo6:d;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f=3b29026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb97e>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn58?:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj1<:6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f=0529026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb940>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn58;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj1<>6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f=0129086=4?{%1`a?44=2B8h55G3e28 74b21=0e?<6:188m74f2900c>??:188yv429>0;64uQa`9><0c=181648h5909><36=18164;?5909><34=18164;=5909><32=18164;;5909~w73603:15vPn8:?;1`47f:?;212909wS?93:?;20<6;m1v?;>a;296~X6>8164;:512f8yv429k0;6?uQ17289=04289o7p}=50a94?4|V8?m70692;30`>{t:<;o6=4={_36a>;?>80:?i5rs372a?6=:rT:9i52872956b=k4?:3y]50e<51?m6<=k;|q1176=838pR<;;;<:6a?74l2wx>8<>:181[5c<273:;4=289~w735:3:1mvP>2b9><00=9;i015;8:00`?8>203;9o63758826f=:044d342>o7?=c:?;1a<6:j164;8523c8yv42::0;62>3l?706:7;d7?8>203l?706:9;d7?8>2i3l?706:b;d7?8>2k3l?706:d;d7?8>2m3;846375g827==:0?:1=>64=942>45?342=>7?<8:?;26<6;1164;:512:89=0228937p}=53694?4|V8=>706:d;1a<>{t:<8>6=4={_347>;?=j08n55rs3712?6=:rT:;?5284`97g>>:4?:3y]527<51?j6>l7;|q117>=838pR<9?;<:6=?5e02wx>8<6:181[71n273954vP>6d9><01=;k20q~<:2c83>7}Y9?<015;9:2`;?xu5=;i1<7?>{<:61?45>2739h463:?;1`<><2739k463:?;1c<><273:=463:?;25<><273:<463:?;24<><273:?463:?;27<><273:>463:?;26<><273:9463:?;21<><273:8463:?;20<><2wx>82>3;8h6375d80f==z{;?9i7>52z?;12<6;m1648h53c:8yv42:o0;6?u284:956b<51<;6>l7;|q1166=838p15;6:01g?8>1939i46s|2412>5<5s42>m7??>4?:3y><0e=9:n0158;:2`;?xu5=:>1<745c342=97=m8:p60522902w06:e;31g>;?=o0:>n52872957e<51<:6<4>2b9><32=9;i0158::00`?8>1>39:<6srb944>5<6>3;965>5Uf2801c=0h03o7sfa`83>!5e=3k27c=m4;28?lg?290/?o;5a89m7g2=921b=;=50;&0f0<6>;1e?o:50:9j537=83.8n84>639m7g2=921b=;>50;&0f0<6>;1e?o:52:9j50`=83.8n84>639m7g2=;21b=8k50;&0f0<6>;1e?o:54:9j50b=83.8n84>639m7g2==21b=8m50;&0f0<6>;1e?o:56:9j502=83.8n84>639m7g2=?21b?i:50;9j57e=831b?n850;9j56>=831d=:;50;&0f0<6?=1e?o:50:9l525=83.8n84>759m7g2=921d=:<50;&0f0<6?=1e?o:52:9l527=83.8n84>759m7g2=;21d=:>50;&0f0<6?=1e?o:54:9l53`=83.8n84>759m7g2==21d=;k50;&0f0<6?=1e?o:56:9l530=83.8n84>759m7g2=?21i4;650;394?6|,:in6?<8;I1g<>N4l91d>?850;9~f=0>290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi4;o50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`;2g<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb94g>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e0?o1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg>083:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn59>:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj1=96=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f=1429026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb957>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn59::18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj1==6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f=1029026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb95;>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn596:180>5<7s-9hi7<<5:J0`==O;m:0(?2900e?<}Yih164:?5909><24=18164:=5909><22=18164:;5909><20=18164:95909><2>=181v?;<7;29=~Xf0273;<47f:?;3747f:?;318=7:181[71;273;54>3e9~w73413:1>vP>609><21=9:n0q~<:3`83>7}Y9?:01599:01g?xu5=:h1<70=3;8h6s|241`>5<5sW;>i63775827a=z{;?8h7>52z\21a=:0>91=>j4}r067`<72;qU=8m4=951>45c3ty99>h50;0xZ433342<=7?;?>j0:>n5287f957e<512b9><2?=:;k0q~<:4383>47|V89370699;d7?8>1i3l?7069b;d7?8>1k3l?7069d;d7?8>1m3l?7069f;d7?8>083l?70681;30<>;??;0:?552861956><51=?6<=7;<:41?740273;;4>399><21=9:201597:01;?xu5==91<70839i46s|2467>5<5sW;52z\237=:0?o1?o64}r0603<72;qU=:?4=94g>6d?3ty999950;0xZ417342=o7=m8:p602?2909wS?9f:?;2g<4j11v?;;9;296~X6>l164;o53c:8yv422:h37p}=55`94?76s42=47<=6:?;34<>;273;<464:?;37<>;273;?464:?;36<>;273;>464:?;31<>;273;9464:?;30<>;273;8464:?;33<>;273;;464:?;32<>;273;:464:?;3=<>;273;5464:p602d2909w0699;30`>;??808n55rs377`?6=:r73:l4>3e9><24=;k20q~<:4d83>7}:0?h1=>j4=950>6d?3ty999h50;0x9=0d289o70684;1a<>{t:v376d827a=:0><1?o64}r0617<72;q64;h512f89=102:h37p}=54194?4|51=;6<=k;<:48;;:18:8>093;9o63773826f=:0>91=?m4=957>44d342<97?=c:?;33<6:j164:9513a89=1?288h70689;124>{zj1=j6=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e0>h1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg>0l3:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f=1b290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a<2`=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`;<5<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb9:1>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm89194?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl78583>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo675;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn569:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi45950;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj12j6=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e01h1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg>?l3:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f=>b290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a<=`=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`;=5<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb9;1>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm88194?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl79583>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo665;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn579:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi44950;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj13j6=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e00h1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg>>l3:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f=?b290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a<<`=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`;e5<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb9c1>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm8`194?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl7a583>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo6n5;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn5o9:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi4l950;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj1kj6=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e0hh1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg>fl3:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f=gb290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::at$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`;f5<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb9`1>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm8c194?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl7b583>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo6m5;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn5l9:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi4o950;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj1hj6=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e0kh1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg>el3:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f=db290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::at$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`;g5<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb9a1>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm8b194?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl7c583>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo6l5;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn5m9:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi4n950;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj1ij6=46b|@:n;7)=le;351`=]n:0>w?:51g811?452;91qdol:18'7g3=ik1e?o:50:9j5=7=83.8n84>819m7g2=821b=:h50;&0f0<6091e?o:51:9j52c=83.8n84>819m7g2=:21b=:j50;&0f0<6091e?o:53:9j52e=83.8n84>819m7g2=<21b=:l50;&0f0<6091e?o:55:9j52g=83.8n84>819m7g2=>21b=:750;&0f0<6091e?o:57:9j5d?=83.8n84>819m7g2=021b=l650;&0f0<6091e?o:59:9j5d1=83.8n84>819m7g2=i21b=l850;&0f0<6091e?o:5b:9j5d3=83.8n84>819m7g2=k21b=l:50;&0f0<6091e?o:5d:9j5819m7g2=m21b=5h50;&0f0<6091e?o:5f:9j5=2=83.8n84>819m7g2=9910e<97:18'7g3=91:0b>l;:038?ld5290/?o;5b09m7g2=821b?i;50;9j57e=831b?n850;9j56>=831d=o=50;&0f0<6j;1e?o:50:9l5g7=83.8n84>b39m7g2=921d=o>50;&0f0<6j;1e?o:52:9l5d`=83.8n84>b39m7g2=;21d=lk50;&0f0<6j;1e?o:54:9l5db=83.8n84>b39m7g2==21d=lm50;&0f0<6j;1e?o:56:9l5dd=83.8n84>b39m7g2=?21d=il50;&0f0<6j;1e?o:58:9l5ag=83.8n84>b39m7g2=121d=i750;&0f0<6j;1e?o:5a:9l5a>=83.8n84>b39m7g2=j21d=i950;&0f0<6j;1e?o:5c:9l5a0=83.8n84>b39m7g2=l21d=nm50;&0f0<6j;1e?o:5e:9l5f7=83.8n84>b39m7g2=n21d=o850;&0f0<6j;1e?o:51198k4gf290/?o;51c08j6d328;07b?j5;29 6d228o?7c=m4;28?j7b;3:1(>l::0g7?k5e<3;07b?j2;29 6d228o?7c=m4;08?j7b93:1(>l::0g7?k5e<3907b?j0;29 6d228o?7c=m4;68?j7cn3:1(>l::0g7?k5e<3?07b?ke;29 6d228o?7c=m4;48?j7cl3:1(>l::0g7?k5e<3=07bl::0g7?k5e<3307bl::0g7?k5e<3h07bl::0g7?k5e<3n07b?ie;29 6d228o?7c=m4;g8?j7a;3:1(>l::0g7?k5e<3l07b?j8;29 6d228o?7c=m4;33?>i6lj0;6)=m5;3f0>h4j=0:=65m8b`94?7=83:p(>mj:304?M5c02B8h=5`23494?=zj1ih6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm8bf94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd?kl0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo6lf;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f=b7290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi4i?50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`;`7<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb9f7>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e0m?1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg>c?3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn5j7:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj1nh6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm8ef94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd?ll0;6i4?:1y'7fc=;kh0D>j7;I1g4>"5:l0>7d6i:188m<7=831b5>4?::k:0?6=3`;8h7>5;h026?6=3`8:?7>5;h020?6=3`8:97>5;h1g7?6=3`9o87>5;n1`f?6=3f8:=7>5;|`;`c<72m0;6=u+3bg97gd<@:n37E=k0:&16`<23`2m6=44i8394?=n1:0;66g64;29?l74l3:17d<>2;29?l46;3:17d<>4;29?l46=3:17d=k3;29?l5c<3:17b=lb;29?j4693:17pl7e183>a<729q/?nk53c`8L6b?3A9o<6*=2d86?l>a2900e4?50;9j=6<722c287>5;h30`?6=3`8:>7>5;h027?6=3`8:87>5;h021?6=3`9o?7>5;h1g0?6=3f9hn7>5;n025?6=3th3i<4?:e83>5}#;jo1?ol4H2f;?M5c82.9>h4:;h:e>5<>o><3:17d?3;29?l46<3:17d<>5;29?l5c;3:17d=k4;29?j5dj3:17b<>1;29?xd?m;0;6i4?:1y'7fc=;kh0D>j7;I1g4>"5:l0>7d6i:188m<7=831b5>4?::k:0?6=3`;8h7>5;h026?6=3`8:?7>5;h020?6=3`8:97>5;h1g7?6=3`9o87>5;n1`f?6=3f8:=7>5;|`;a6<72m0;6=u+3bg97gd<@:n37E=k0:&16`<23`2m6=44i8394?=n1:0;66g64;29?l74l3:17d<>2;29?l46;3:17d<>4;29?l46=3:17d=k3;29?l5c<3:17b=lb;29?j4693:17pl7e583>a<729q/?nk53c`8L6b?3A9o<6*=2d86?l>a2900e4?50;9j=6<722c287>5;h30`?6=3`8:>7>5;h027?6=3`8:87>5;h021?6=3`9o?7>5;h1g0?6=3f9hn7>5;n025?6=3th3i84?:e83>5}#;jo1?ol4H2f;?M5c82.9>h4:;h:e>5<>o><3:17d?3;29?l46<3:17d<>5;29?l5c;3:17d=k4;29?j5dj3:17b<>1;29?xd?m?0;6i4?:1y'7fc=;kh0D>j7;I1g4>"5:l0>7d6i:188m<7=831b5>4?::k:0?6=3`;8h7>5;h026?6=3`8:?7>5;h020?6=3`8:97>5;h1g7?6=3`9o87>5;n1`f?6=3f8:=7>5;|`;a2<72m0;6=u+3bg97gd<@:n37E=k0:&16`<23`2m6=44i8394?=n1:0;66g64;29?l74l3:17d<>2;29?l46;3:17d<>4;29?l46=3:17d=k3;29?l5c<3:17b=lb;29?j4693:17pl7e983>a<729q/?nk53c`8L6b?3A9o<6*=2d86?l>a2900e4?50;9j=6<722c287>5;h30`?6=3`8:>7>5;h027?6=3`8:87>5;h021?6=3`9o?7>5;h1g0?6=3f9hn7>5;n025?6=3th3i44?:e83>5}#;jo1?ol4H2f;?M5c82.9>h4:;h:e>5<>o><3:17d?3;29?l46<3:17d<>5;29?l5c;3:17d=k4;29?j5dj3:17b<>1;29?xd?mh0;6i4?:1y'7fc=;kh0D>j7;I1g4>"5:l0>7d6i:188m<7=831b5>4?::k:0?6=3`;8h7>5;h026?6=3`8:?7>5;h020?6=3`8:97>5;h1g7?6=3`9o87>5;n1`f?6=3f8:=7>5;|`;ag<72m0;6=u+3bg97gd<@:n37E=k0:&16`<23`2m6=44i8394?=n1:0;66g64;29?l74l3:17d<>2;29?l46;3:17d<>4;29?l46=3:17d=k3;29?l5c<3:17b=lb;29?j4693:17pl7eb83>a<729q/?nk53c`8L6b?3A9o<6*=2d86?l>a2900e4?50;9j=6<722c287>5;h30`?6=3`8:>7>5;h027?6=3`8:87>5;h021?6=3`9o?7>5;h1g0?6=3f9hn7>5;n025?6=3th3ii4?:e83>5}#;jo1?ol4H2f;?M5c82.9>h4:;h:e>5<>o><3:17d?3;29?l46<3:17d<>5;29?l5c;3:17d=k4;29?j5dj3:17b<>1;29?xd?ml0;6i4?:1y'7fc=;kh0D>j7;I1g4>"5:l0>7d6i:188m<7=831b5>4?::k:0?6=3`;8h7>5;h026?6=3`8:?7>5;h020?6=3`8:97>5;h1g7?6=3`9o87>5;n1`f?6=3f8:=7>5;|`;ac<72m0;6=u+3bg97gd<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l74l3:17d<>2;29?l46;3:17d<>4;29?l46=3:17d=k3;29?l5c<3:17b=lb;29?j4693:17pl7f183>6<729q/?nk52278L6b?3A9o<6*=2d8;3>o5:00;66g=2`83>>i4990;66s|2476>5<6;rTjo637dd8;b>;?lo03j637e18;b>;?m803j637e38;b>;?m:03j637e58;b>;?m<03j637e78;b>;?m>03j637e98;b>;?m003j637e`8;b>;?mk03j637eb8;b>;?mm03j637ed8;b>;?mo03j6s|2475>5<5sW;3=637eg827a=z{;?>;7>52z\23c=:0lo1=>j4}r061=<72;qU=:k4=9gg>45c3ty998750;0xZ41c342no7?{t:;?m10:?i5rs376b?6=:rT:m5528d4956b:=4?:3y]5d1<51o>6<=k;|q1137=838pR88=:181[7f=273i>4>3e9~w731;3:1>vP>a59><`4=9:n0q~<:6583>7}Y90k015k>:01g?xu5=??1<7cm3;8h6s|2445>5<5sW;38637e1827a=z{;?=;7>52z\23==:0ml1=>j4}r062=<7289pRo<4=9ff>775342oj7<>2:?;a5<59;164h?520089=c52;;9706j3;026>;?m=09=?528d79644<51o=6??=;<:f3?46:273i54=139><`?=:88015kn:331?8>bj38:>637eb8157=:0ln1><<4=9gf>775342nj7<>2:p600>2909wS=k5:?;b5<5:01v?;9a;2951}Y9;i015ml:00`?8>dl3;9o637cd826f=:0jl1=?m4=9f3>44d342o=7?=c:?;`7<6:j164i=513a89=b3288h706k5;31g>;?l?0:>n528e5957e<51n36<2b9>cl3;9o637f1816d=z{;?=n7>524y]56><51ih6k:4=9ag>c2<51in6k:4=9ae>c2<51n;6k:4=9f2>c2<51n96k:4=9f0>c2<51n?6k:4=9f6>c2<51n=6k:4=9f4>c2<51n36k:4=9f:>c2<51nj6k:4=9fa>c2<51nh6k:4=9fg>c2<51nn6>j<;<:gb?5c;273i=4<`7=;m9015k=:2f0?8>b;39o?637e580`6=:0l?1?i=4=9g5>6b4342n;7=k3:?;a=<4l:164h753e189=cf2:n8706jb;1g7>;?mj08h>528df97a5<51on6>j<;<:fb?5c;2wx>88l:181[7b=273hi4vP>e29>7}Y9l8015jm:2`;?xu5=?l1<7ci39i46s|2453>5<5sW;n<637d880f==z{;?<=7>52z\2`c=:0m21?o64}r0637<72;qU=ik4=9f4>6d?3ty99:=50;0xZ4bc342o:7=m8:p60132909wS{t:<=36=4={_03=>;?l808n55rs374=?6=:rT9<5528e297g>;l4?:3y]5cc<51im6>l7;|q112d=838pR89l:181[7b0273oi4vP>db9>3b|51ii6?<9;<:ga??6342oi77<;<:ga??3342oi7<>3:?;``<59=164ik520789=ba20;015ji:8189=ba20>015ji:330?8>cn38:8637dg8150=:0l:15<528d29=6=:0l:159528d29645<51o;6??;;<:f4?46=273i<461:?;a4<>;273i<464:?;a4<59:164h?520689=c62;;>706j2;;2?8>b:338706j2;;7?8>b:38:?637e38151=:0l81><;4=9g0><7<51o864=4=9g0><2<51o86??<;<:f7?46<273i>4=149><`2=18164h:5929><`2=1=164h:520189=c32;;?706j4;021>;?m<02=637e48:7>;?m<028637e48156=:0l?1><:4=9g6>772342n:77>;<:f2??4342n:77;;<:f2?46;273i;4=159><`0=:8?015k8:8389=c0209015k8:8689=c02;;8706j7;020>;?m>09=8528d:9=4=:0l215>528d:9=1=:0l21><=4=9g;>773342n47<>5:?;a<<>9273i4463:?;a<<><273i44=129><`?=:8>015k6:336?8>bi33:706ja;;0?8>bi33?706ja;027>;?mh09=9528dc9643<51oi64?4=9ga><5<51oi64:4=9ga>774342nn7<>4:?;ag<59<164hm5909><`e=1:164hm5959><`e=:89015kl:337?8>bk38:9637ee8:5>;?mm02?637ee8:0>;?mm09=>528df9642<51oo6??:;<:fa??6342ni77<;<:fa??3342ni7<>3:?;a`<59=164hk520789=ca20;015ki:8189=ca20>015ki:330?8>bn38:8637eg8150=z{;?52z?;gf<6;m164ih52038yv42090;6?u28bf956b<51o;6??>;|q11=7=838p15mj:01g?8>cm38:=6s|24:1>5<5s42hj7?494?:3y>45c342n87<>1:p60>12909w06k3;30`>;?m<09=<5rs37;3?6=:r73h94>3e9><`0=:8;0q~<:8983>7}:0m?1=>j4=9g;>7763ty995750;0x9=b1289o706j9;025>{t:<2j6=4={<:g3?74l273i:4=109~w73?j3:1>v37d9827a=:0lk1>86j:1818>cj3;8h637ee8154=z{;?3j7>52z?;`f<6;m164hk52038yv42190;6?u28ef956b<51om6??>;|q11<7=83;8w06ke;1g0>;?lo08h9528d297a2<51o:6>j;;<:f6?5c<273i>4<`2=;m>015k::2f7?8>b>39o8637e680`1=:0l21?i:4=9g:>6b3342nm7=k4:?;ag<4l=164hm53e689=cc2:n?706je;1g0>;?mo08h9528g297465}#;jo1?i94H2f;?M5c82.8=i4>67;8m4502900e?=?:188k6772900qo6i2;297?6=8r.8oh4<@:n;7)=>d;352<=n9:=1<75f22294?=h;8:1<75rb9d0>5<4290;w)=le;1g3>N4l11C?i>4$23g>40112c:?:4?::k175<722e8==4?::at$2af>6b03A9o46F0;29?xd?n<0;6>4?:1y'7fc=;m=0D>j7;I1g4>"49m0::;74i014>5<5<55;294~"4kl09:=5G3e:8L6b73-9:h7?9689j561=831b><>50;9j7f3=831d?<>50;9l667=831vn5h8:187>5<7s-9hi7<91:J0`==O;m:0(>?k:045=>o6;>0;66g=1183>>o4k<0;66a=3083>>{e0o21<7:50;2x 6eb2;<:7E=k8:J0`5=#;8n1=;86;h303?6=3`8:<7>5;h1`1?6=3f88=7>5;|`;b<<72=0;6=u+3bg9637<@:n37E=k0:&05a<6>?30e<=8:188m7772900e>m::188k7562900qo6ia;290?6=8r.8oh4=609K7a><@:n;7)=>d;352<=n9:=1<75f20294?=n;j?1<75`22394?=zj1li6=4::183!5dm389=6F5<5<j?;%12`?71>01/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::at$2af>7463A9o46F=831vn5hi:186>5<7s-9hi7<=1:J0`==O;m:0(>?k:045=>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg?783:197>50z&0g`<5:81C?i64H2f3?!56l3;=:45+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl60083>0<729q/?nk52338L6b?3A9o<6*<1e8223?<,;8n6?5ff583>>o6:j0;66g>3e83>>o4jh0;66a>{e1981<7;50;2x 6eb2;8:7E=k8:J0`5=#;8n1=;86;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb820>5<2290;w)=le;015>N4l11C?i>4$23g>40112.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-9:h7?9689'67c=:2cm87>5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`:40<72<0;6=u+3bg9677<@:n37E=k0:&05a<6>?30(?n4?::k27a<722c8nl4?::m0f=<722wi5=850;794?6|,:in6?<>;I1g<>N4l91/?j50;9j7gg=831d?o650;9~f<60290>6=4?{%1`a?4592B8h55G3e28 67c28<=56*=2d81?l`32900e<ln:188k6d?2900qo7?8;291?6=8r.8oh4=209K7a><@:n;7)=>d;352<=#:;o1>6gi4;29?l75k3:17d?800;684?:1y'7fc=:;;0D>j7;I1g4>"49m0::;74$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm91c94?3=83:p(>mj:302?M5c02B8h=5+30f9530>3-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj0:i6=4::183!5dm389=6F5<5<j?;%12`?71>01/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a=5c=83?1<7>t$2af>7463A9o46F=831vn4>i:186>5<7s-9hi7<=1:J0`==O;m:0(>?k:045=>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg?683:197>50z&0g`<5:81C?i64H2f3?!56l3;=:45+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl61083>0<729q/?nk52338L6b?3A9o<6*<1e8223?<,;8n6?5ff583>>o6:j0;66g>3e83>>o4jh0;66a>{e1881<7;50;2x 6eb2;8:7E=k8:J0`5=#;8n1=;86;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb830>5<2290;w)=le;015>N4l11C?i>4$23g>40112.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-9:h7?9689'67c=:2cm87>5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`:50<72<0;6=u+3bg9677<@:n37E=k0:&05a<6>?30(?n4?::k27a<722c8nl4?::m0f=<722wi5<850;794?6|,:in6?<>;I1g<>N4l91/?j50;9j7gg=831d?o650;9~f<70290>6=4?{%1`a?4592B8h55G3e28 67c28<=56*=2d81?l`32900e<ln:188k6d?2900qo7>8;291?6=8r.8oh4=209K7a><@:n;7)=>d;352<=#:;o1>6gi4;29?l75k3:17d?900;684?:1y'7fc=:;;0D>j7;I1g4>"49m0::;74$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm90c94?3=83:p(>mj:302?M5c02B8h=5+30f9530>3-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj0;i6=4::183!5dm389=6F5<5<j?;%12`?71>01/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a=4c=83?1<7>t$2af>7463A9o46F=831vn4?i:186>5<7s-9hi7<=1:J0`==O;m:0(>?k:045=>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg?583:197>50z&0g`<5:81C?i64H2f3?!56l3;=:45+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl62083>0<729q/?nk52338L6b?3A9o<6*<1e8223?<,;8n6?5ff583>>o6:j0;66g>3e83>>o4jh0;66a>{e1;81<7;50;2x 6eb2;8:7E=k8:J0`5=#;8n1=;86;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb800>5<2290;w)=le;015>N4l11C?i>4$23g>40112.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-9:h7?9689'67c=:2cm87>5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`:60<72<0;6=u+3bg9677<@:n37E=k0:&05a<6>?30(?n4?::k27a<722c8nl4?::m0f=<722wi5?850;794?6|,:in6?<>;I1g<>N4l91/?j50;9j7gg=831d?o650;9~f<40290>6=4?{%1`a?4592B8h55G3e28 67c28<=56*=2d81?l`32900e<ln:188k6d?2900qo7=8;291?6=8r.8oh4=209K7a><@:n;7)=>d;352<=#:;o1>6gi4;29?l75k3:17d?:00;684?:1y'7fc=:;;0D>j7;I1g4>"49m0::;74$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm93c94?3=83:p(>mj:302?M5c02B8h=5+30f9530>3-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj08i6=4::183!5dm389=6F5<5<j?;%12`?71>01/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1ai4?:483>5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a=7c=83?1<7>t$2af>7463A9o46F=831vn45<7s-9hi7<=1:J0`==O;m:0(>?k:045=>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg?483:197>50z&0g`<5:81C?i64H2f3?!56l3;=:45+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl63083>0<729q/?nk52338L6b?3A9o<6*<1e8223?<,;8n6?5ff583>>o6:j0;66g>3e83>>o4jh0;66a>{e1:81<7;50;2x 6eb2;8:7E=k8:J0`5=#;8n1=;86;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb810>5<2290;w)=le;015>N4l11C?i>4$23g>40112.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-9:h7?9689'67c=:2cm87>5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`:70<72<0;6=u+3bg9677<@:n37E=k0:&05a<6>?30(?n4?::k27a<722c8nl4?::m0f=<722wi5>850;794?6|,:in6?<>;I1g<>N4l91/?j50;9j7gg=831d?o650;9~f<50290>6=4?{%1`a?4592B8h55G3e28 67c28<=56*=2d81?l`32900e<ln:188k6d?2900qo7<8;291?6=8r.8oh4=209K7a><@:n;7)=>d;352<=#:;o1>6gi4;29?l75k3:17d?;00;684?:1y'7fc=:;;0D>j7;I1g4>"49m0::;74$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm92c94?3=83:p(>mj:302?M5c02B8h=5+30f9530>3-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj09i6=4::183!5dm389=6F5<5<j?;%12`?71>01/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a=6c=83?1<7>t$2af>7463A9o46F=831vn4=i:186>5<7s-9hi7<=1:J0`==O;m:0(>?k:045=>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg?383:197>50z&0g`<5:81C?i64H2f3?!56l3;=:45+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl64083>0<729q/?nk52338L6b?3A9o<6*<1e8223?<,;8n6?5ff583>>o6:j0;66g>3e83>>o4jh0;66a>{e1=81<7;50;2x 6eb2;8:7E=k8:J0`5=#;8n1=;86;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb860>5<2290;w)=le;015>N4l11C?i>4$23g>40112.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-9:h7?9689'67c=:2cm87>5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`:00<72<0;6=u+3bg9677<@:n37E=k0:&05a<6>?30(?n4?::k27a<722c8nl4?::m0f=<722wi59850;794?6|,:in6?<>;I1g<>N4l91/?j50;9j7gg=831d?o650;9~f<20290>6=4?{%1`a?4592B8h55G3e28 67c28<=56*=2d81?l`32900e<ln:188k6d?2900qo7;8;291?6=8r.8oh4=209K7a><@:n;7)=>d;352<=#:;o1>6gi4;29?l75k3:17d?<00;684?:1y'7fc=:;;0D>j7;I1g4>"49m0::;74$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm95c94?3=83:p(>mj:302?M5c02B8h=5+30f9530>3-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj0>i6=4::183!5dm389=6F5<5<j?;%12`?71>01/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a=1c=83?1<7>t$2af>7463A9o46F=831vn4:i:186>5<7s-9hi7<=1:J0`==O;m:0(>?k:045=>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg?283:197>50z&0g`<5:81C?i64H2f3?!56l3;=:45+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl65083>0<729q/?nk52338L6b?3A9o<6*<1e8223?<,;8n6?5ff583>>o6:j0;66g>3e83>>o4jh0;66a>{e1<81<7;50;2x 6eb2;8:7E=k8:J0`5=#;8n1=;86;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb870>5<2290;w)=le;015>N4l11C?i>4$23g>40112.9>h4=;hd7>5<5<5<87>55;294~"4kl09><5G3e:8L6b73-9:h7?9689'67c=:2cm87>5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`:10<72<0;6=u+3bg9677<@:n37E=k0:&05a<6>?30(?n4?::k27a<722c8nl4?::m0f=<722wi58850;794?6|,:in6?<>;I1g<>N4l91/?j50;9j7gg=831d?o650;9~f<30290>6=4?{%1`a?4592B8h55G3e28 67c28<=56*=2d81?l`32900e<ln:188k6d?2900qo7:8;291?6=8r.8oh4=209K7a><@:n;7)=>d;352<=#:;o1>6gi4;29?l75k3:17d?=00;684?:1y'7fc=:;;0D>j7;I1g4>"49m0::;74$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm94c94?5=83:p(>mj:2f4?M5c02B8h=5+30f9530>3`;8;7>5;h004?6=3f9:<7>5;|`:1g<72:0;6=u+3bg97a1<@:n37E=k0:&05a<6>?30e<=8:188m7572900c>??:188yg?2k3:1?7>50z&0g`<4l>1C?i64H2f3?!56l3;=:45f12594?=n:::1<75`30294?=zj0?o6=4<:183!5dm39o;6Fj8;I1g<>N4l91/?0<729q/?nk52728L6b?3A9o<6*<1e8223?5<6=44o233>5<j?;%12`?71>01b=>950;9j646=831b?n;50;9l667=831vn48>:187>5<7s-9hi7<91:J0`==O;m:0(>?k:045=>o6;>0;66g=1183>>o4k<0;66a=3083>>{e1?81<7:50;2x 6eb2;<:7E=k8:J0`5=#;8n1=;86;h303?6=3`8:<7>5;h1`1?6=3f88=7>5;|`:26<72=0;6=u+3bg9637<@:n37E=k0:&05a<6>?30e<=8:188m7772900e>m::188k7562900qo794;290?6=8r.8oh4=5g9K7a><@:n;7)=>d;352<=n9:=1<75f20294?=n;j?1<75`30294?=zj0<>6=4::183!5dm388;6F44?::k16d<722c9>o4?::k16f<722e8==4?::a=30=83>1<7>t$2af>7513A9o46F?750;9j67g=831b>?l50;9l746=831vn488:186>5<7s-9hi7<<7:J0`==O;m:0(?0;29?xd>>10;684?:1y'7fc=::=0D>j7;I1g4>"5:l0356*5<1290;w)=le;00<>N4l11C?i>4$30f>4553-9i:7=j1:k16<<722c9>l4?::k16g<722c9>n4?::k16a<722e8==4?::a=3g=8391<7>t$2af>7523A9o46F?750;9j67g=831d?<>50;9~f<0e290>6=4?{%1`a?44?2B8h55G3e28 74b21n0(>l9:2g7?l4513:17d<=a;29?l45j3:17d<=c;29?j5683:17pl66b83>1<729q/?nk52248L6b?3A9o<6*=2d8;1>o5:00;66g=2`83>>o5:k0;66a<1183>>{e1?n1<7:50;2x 6eb2;9=7E=k8:J0`5=#:;o1=>k4$2`5>6c23`8957>5;h01e?6=3`89n7>5;n124?6=3th2:h4?:283>5}#;jo1>>;4H2f;?M5c82.9>h471:k16<<722c9>l4?::m055<722wi5;h50;694?6|,:in6?=9;I1g<>N4l91/>?k520a8 6d12:o87d<=9;29?l45i3:17d<=b;29?j5683:17pl67183>3<729q/?nk522:8L6b?3A9o<6*=2d87?l4513:17d<=a;29?l45j3:17d<=c;29?l45l3:17b=>0;29?xd>?80;6>4?:1y'7fc=::?0D>j7;I1g4>"5:l09m6g=2883>>o5:h0;66a<1183>>{e1>81<7=50;2x 6eb2;9>7E=k8:J0`5=#:;o1=>j4i30:>5<5<54;294~"4kl09?;5G3e:8L6b73-89i7<>9:&0f3<4m?1b>?750;9j67g=831b>?l50;9l746=831vn49;:187>5<7s-9hi7<<6:J0`==O;m:0(?2900e???:188yg?0=3:1?7>50z&0g`<5;<1C?i64H2f3?!45m32<7d<=9;29?l45i3:17b=>0;29?xd>??0;694?:1y'7fc=::<0D>j7;I1g4>"5:l0346*5;h01f?6=3`89o7>5;n124?6=3th2;54?:783>5}#;jo1>>64H2f;?M5c82.9>h4=249j67?=831b>?o50;9j67d=831b>?m50;9j67b=831d?<>50;9~f<1>290?6=4?{%1`a?44>2B8h55G3e28 74b2o80e?<6:188m74f2900e?<@:n;7)<=e;d1?l4513:17d<=a;29?l45j3:17b=>0;29?xd>?k0;6>4?:1y'7fc=::?0D>j7;I1g4>"5:l09m6g=2883>>o5:h0;66a<1183>>{e1>i1<7=50;2x 6eb2;9>7E=k8:J0`5=#:;o1>l5f23;94?=n:;k1<75`30294?=zj0=o6=4<:183!5dm38896F5<5<54;294~"4kl09?;5G3e:8L6b73-89i7;;;%1a2?5b02c9>44?::k16d<722c9>o4?::m055<722wi5:h50;194?6|,:in6?=:;I1g<>N4l91/>?k5869j67?=831b>?o50;9l746=831vn46?:180>5<7s-9hi7<<5:J0`==O;m:0(?0;29?xd>080;6>4?:1y'7fc=::?0D>j7;I1g4>"5:l03=6g=2883>>o5:h0;66a<1183>>{e1181<7=50;2x 6eb2;9>7E=k8:J0`5=#:;o14<5f23;94?=n:;k1<75`30294?=zj0286=4::183!5dm388;6F5;h01f?6=3`89o7>5;n124?6=3th2494?:483>5}#;jo1>>94H2f;?M5c82.9>h4=1c9j67?=831b>?o50;9j67d=831b>?m50;9l746=831vn46::187>5<7s-9hi7<<6:J0`==O;m:0(?2900e???:188yg??>3:1?7>50z&0g`<5;<1C?i64H2f3?!45m38:46g=2883>>o5:h0;66a<1183>>{e11=1<7:50;2x 6eb2;9=7E=k8:J0`5=#:;o14;5f23;94?=n:;k1<75f23`94?=h;8:1<75rb8:;>5<4290;w)=le;001>N4l11C?i>4$30f>=25<j?;%01a?`53`8957>5;h01e?6=3`89n7>5;n124?6=3th24l4?:283>5}#;jo1>>;4H2f;?M5c82.9>h474:k16<<722c9>l4?::m055<722wi55l50;194?6|,:in6?=:;I1g<>N4l91/>?k5859j67?=831b>?o50;9l746=831vn46l:187>5<7s-9hi7<<6:J0`==O;m:0(?2900e???:188yg??l3:187>50z&0g`<5;?1C?i64H2f3?!45m32=7d<=9;29?l45i3:17d<=b;29?j5683:17pl68d83>6<729q/?nk52278L6b?3A9o<6*=2d8;0>o5:00;66g=2`83>>i4990;66sm99d94?5=83:p(>mj:316?M5c02B8h=5+23g9<1=n:;31<75f23c94?=h;8:1<75rb8;3>5<4290;w)=le;001>N4l11C?i>4$30f>=25<j?;%01a?733`8957>5;h01e?6=3`89n7>5;n124?6=3th25?4?:283>5}#;jo1>>;4H2f;?M5c82.9>h477:k16<<722c9>l4?::m055<722wi54=50;794?6|,:in6?=8;I1g<>N4l91/>?k521d8m74>2900e?<@:n;7)<=e;017>o5:00;66g=2`83>>o5:k0;66g=2b83>>i4990;66sm98794?2=83:p(>mj:315?M5c02B8h=5+23g9<3=n:;31<75f23c94?=n:;h1<75`30294?=zj03=6=4<:183!5dm38896F5<5<53;294~"4kl09?85G3e:8L6b73-89i7<>8:k16<<722c9>l4?::m055<722wi54650;694?6|,:in6?=9;I1g<>N4l91/>?k51408m74>2900e???:188yg?>13:187>50z&0g`<5;?1C?i64H2f3?!45m38:56g=2883>>o5:h0;66g=2c83>>i4990;66sm98c94?5=83:p(>mj:316?M5c02B8h=5+23g9<1=n:;31<75f23c94?=h;8:1<75rb8;a>5<4290;w)=le;001>N4l11C?i>4$30f>=75<j?;%01a?>63`8957>5;h01e?6=3f9:<7>5;|`:=a<72:0;6=u+3bg9663<@:n37E=k0:&16`?o50;9l746=831vn47j:180>5<7s-9hi7<<5:J0`==O;m:0(?0;29?xd>1o0;6>4?:1y'7fc=::?0D>j7;I1g4>"5:l03;6*5<4290;w)=le;001>N4l11C?i>4$30f>=1<,:h=6>k6;h01=?6=3`89m7>5;n124?6=3th2m<4?:283>5}#;jo1>>;4H2f;?M5c82.9>h471:k16<<722c9>l4?::m055<722wi5l<50;794?6|,:in6?=8;I1g<>N4l91/>?k57g9j67?=831b>?o50;9j67d=831b>?m50;9l746=831vn4o<:186>5<7s-9hi7<<7:J0`==O;m:0(?2900e?<@:n;7)<=e;5e?l4513:17d<=a;29?l45j3:17d<=c;29?j5683:17pl6a483>0<729q/?nk52258L6b?3A9o<6*=2d84b>o5:00;66g=2`83>>o5:k0;66g=2b83>>i4990;66sm9`494?3=83:p(>mj:314?M5c02B8h=5+23g93c=n:;31<75f23c94?=n:;h1<75f23a94?=h;8:1<75rb8c4>5<2290;w)=le;003>N4l11C?i>4$30f>2`5<5<j?;%01a?1a3`8957>5;h01e?6=3`89n7>5;h01g?6=3f9:<7>5;|`:e<<72<0;6=u+3bg9661<@:n37E=k0:&16`<0n2c9>44?::k16d<722c9>o4?::k16f<722e8==4?::a=dg=83?1<7>t$2af>7503A9o46F?750;9j67g=831b>?l50;9j67e=831d?<>50;9~f6=4?{%1`a?44?2B8h55G3e28 74b2>l0e?<6:188m74f2900e???:188yg?fk3:197>50z&0g`<5;>1C?i64H2f3?!45m3=m7d<=9;29?l45i3:17d<=b;29?l45k3:17b=>0;29?xd>im0;684?:1y'7fc=::=0D>j7;I1g4>"5:l0>o5:h0;66g=2c83>>o5:j0;66a<1183>>{e1ho1<7;50;2x 6eb2;9<7E=k8:J0`5=#:;o1;k5f23;94?=n:;k1<75f23`94?=n:;i1<75`30294?=zj0km6=4::183!5dm388;6F5<5<5<55;294~"4kl09?:5G3e:8L6b73-89i79i;h01=?6=3`89m7>5;h01f?6=3`89o7>5;n124?6=3th2n<4?:483>5}#;jo1>>94H2f;?M5c82.9>h48f:k16<<722c9>l4?::k16g<722c9>n4?::m055<722wi5o<50;794?6|,:in6?=8;I1g<>N4l91/>?k57g9j67?=831b>?o50;9j67d=831b>?m50;9l746=831vn4l<:186>5<7s-9hi7<<7:J0`==O;m:0(?2900e?<@:n;7)<=e;5e?l4513:17d<=a;29?l45j3:17d<=c;29?j5683:17pl6b483>0<729q/?nk52258L6b?3A9o<6*=2d84b>o5:00;66g=2`83>>o5:k0;66g=2b83>>i4990;66sm9c494?3=83:p(>mj:314?M5c02B8h=5+23g93c=n:;31<75f23c94?=n:;h1<75f23a94?=h;8:1<75rb8`4>5<2290;w)=le;003>N4l11C?i>4$30f>2`5<5<j?;%01a?1a3`8957>5;h01e?6=3`89n7>5;h01g?6=3f9:<7>5;|`:f<<72<0;6=u+3bg9661<@:n37E=k0:&16`<0n2c9>44?::k16d<722c9>o4?::k16f<722e8==4?::a=gg=83?1<7>t$2af>7503A9o46F?750;9j67g=831b>?l50;9j67e=831d?<>50;9~f6=4?{%1`a?44?2B8h55G3e28 74b2>l0e?<6:188m74f2900e???:188yg?ek3:197>50z&0g`<5;>1C?i64H2f3?!45m3=m7d<=9;29?l45i3:17d<=b;29?l45k3:17b=>0;29?xd>jm0;684?:1y'7fc=::=0D>j7;I1g4>"5:l0>o5:h0;66g=2c83>>o5:j0;66a<1183>>{e1ko1<7;50;2x 6eb2;9<7E=k8:J0`5=#:;o1;k5f23;94?=n:;k1<75f23`94?=n:;i1<75`30294?=zj0hm6=4::183!5dm388;6F5<5<5<55;294~"4kl09?:5G3e:8L6b73-89i79i;h01=?6=3`89m7>5;h01f?6=3`89o7>5;n124?6=3th2o<4?:483>5}#;jo1>>94H2f;?M5c82.9>h48f:k16<<722c9>l4?::k16g<722c9>n4?::m055<722wi5n<50;794?6|,:in6?=8;I1g<>N4l91/>?k57g9j67?=831b>?o50;9j67d=831b>?m50;9l746=831vn4m<:186>5<7s-9hi7<<7:J0`==O;m:0(?2900e?<@:n;7)<=e;5e?l4513:17d<=a;29?l45j3:17d<=c;29?j5683:17pl6c483>0<729q/?nk52258L6b?3A9o<6*=2d84b>o5:00;66g=2`83>>o5:k0;66g=2b83>>i4990;66sm9b494?3=83:p(>mj:314?M5c02B8h=5+23g93c=n:;31<75f23c94?=n:;h1<75f23a94?=h;8:1<75rb8a4>5<2290;w)=le;003>N4l11C?i>4$30f>2`5<5<j?;%01a?1a3`8957>5;h01e?6=3`89n7>5;h01g?6=3f9:<7>5;|`:g<<72<0;6=u+3bg9661<@:n37E=k0:&16`<0n2c9>44?::k16d<722c9>o4?::k16f<722e8==4?::a=fg=83?1<7>t$2af>7503A9o46F?750;9j67g=831b>?l50;9j67e=831d?<>50;9~f6=4?{%1`a?44?2B8h55G3e28 74b2>l0e?<6:188m74f2900e???:188yg?dk3:197>50z&0g`<5;>1C?i64H2f3?!45m3=m7d<=9;29?l45i3:17d<=b;29?l45k3:17b=>0;29?xd>km0;684?:1y'7fc=::=0D>j7;I1g4>"5:l0>o5:h0;66g=2c83>>o5:j0;66a<1183>>{e1jo1<7;50;2x 6eb2;9<7E=k8:J0`5=#:;o1;k5f23;94?=n:;k1<75f23`94?=n:;i1<75`30294?=zj0im6=4::183!5dm388;6F5<5<5<55;294~"4kl09?:5G3e:8L6b73-89i79i;h01=?6=3`89m7>5;h01f?6=3`89o7>5;n124?6=3th2h<4?:483>5}#;jo1>>94H2f;?M5c82.9>h48f:k16<<722c9>l4?::k16g<722c9>n4?::m055<722wi5i<50;794?6|,:in6?=8;I1g<>N4l91/>?k57g9j67?=831b>?o50;9j67d=831b>?m50;9l746=831vn4j<:186>5<7s-9hi7<<7:J0`==O;m:0(?2900e?<@:n;7)<=e;5e?l4513:17d<=a;29?l45j3:17d<=c;29?j5683:17pl6d483>0<729q/?nk52258L6b?3A9o<6*=2d84b>o5:00;66g=2`83>>o5:k0;66g=2b83>>i4990;66sm9e494?3=83:p(>mj:314?M5c02B8h=5+23g93c=n:;31<75f23c94?=n:;h1<75f23a94?=h;8:1<75rb8f4>5<2290;w)=le;003>N4l11C?i>4$30f>2`5<5<j?;%01a?1a3`8957>5;h01e?6=3`89n7>5;h01g?6=3f9:<7>5;|`:`<<72<0;6=u+3bg9661<@:n37E=k0:&16`<0n2c9>44?::k16d<722c9>o4?::k16f<722e8==4?::a=ag=83?1<7>t$2af>7503A9o46F?750;9j67g=831b>?l50;9j67e=831d?<>50;9~f6=4?{%1`a?44?2B8h55G3e28 74b2>l0e?<6:188m74f2900e???:188yg?ck3:197>50z&0g`<5;>1C?i64H2f3?!45m3=m7d<=9;29?l45i3:17d<=b;29?l45k3:17b=>0;29?xd>lm0;684?:1y'7fc=::=0D>j7;I1g4>"5:l0>o5:h0;66g=2c83>>o5:j0;66a<1183>>{e1mo1<7;50;2x 6eb2;9<7E=k8:J0`5=#:;o1;k5f23;94?=n:;k1<75f23`94?=n:;i1<75`30294?=zj0nm6=4::183!5dm388;6F5<5<5<55;294~"4kl09?:5G3e:8L6b73-89i79i;h01=?6=3`89m7>5;h01f?6=3`89o7>5;n124?6=3th2i<4?:483>5}#;jo1>>94H2f;?M5c82.9>h48f:k16<<722c9>l4?::k16g<722c9>n4?::m055<722wi5h<50;794?6|,:in6?=8;I1g<>N4l91/>?k57g9j67?=831b>?o50;9j67d=831b>?m50;9l746=831vn4k<:186>5<7s-9hi7<<7:J0`==O;m:0(?2900e?<@:n;7)<=e;5e?l4513:17d<=a;29?l45j3:17d<=c;29?j5683:17pl6e483>0<729q/?nk52258L6b?3A9o<6*=2d84b>o5:00;66g=2`83>>o5:k0;66g=2b83>>i4990;66sm9d494?3=83:p(>mj:314?M5c02B8h=5+23g93c=n:;31<75f23c94?=n:;h1<75f23a94?=h;8:1<75rb8g4>5<2290;w)=le;003>N4l11C?i>4$30f>2`5<5<j?;%01a?1a3`8957>5;h01e?6=3`89n7>5;h01g?6=3f9:<7>5;|`:a<<72<0;6=u+3bg9661<@:n37E=k0:&16`<0n2c9>44?::k16d<722c9>o4?::k16f<722e8==4?::a=`g=83?1<7>t$2af>7503A9o46F?750;9j67g=831b>?l50;9j67e=831d?<>50;9~f6=4?{%1`a?44?2B8h55G3e28 74b2>l0e?<6:188m74f2900e???:188yg?bk3:197>50z&0g`<5;>1C?i64H2f3?!45m3=m7d<=9;29?l45i3:17d<=b;29?l45k3:17b=>0;29?xd>mm0;684?:1y'7fc=::=0D>j7;I1g4>"5:l0>o5:h0;66g=2c83>>o5:j0;66a<1183>>{e1lo1<7;50;2x 6eb2;9<7E=k8:J0`5=#:;o1;k5f23;94?=n:;k1<75f23`94?=n:;i1<75`30294?=zj0om6=4::183!5dm388;6F5<5<5<55;294~"4kl09?:5G3e:8L6b73-89i79i;h01=?6=3`89m7>5;h01f?6=3`89o7>5;n124?6=3th2j<4?:483>5}#;jo1>>94H2f;?M5c82.9>h48f:k16<<722c9>l4?::k16g<722c9>n4?::m055<722wi5k<50;794?6|,:in6?=8;I1g<>N4l91/>?k57g9j67?=831b>?o50;9j67d=831b>?m50;9l746=831vn4h<:186>5<7s-9hi7<<7:J0`==O;m:0(?2900e?<@:n;7)<=e;5e?l4513:17d<=a;29?l45j3:17d<=c;29?j5683:17pl6f483>0<729q/?nk52258L6b?3A9o<6*=2d84b>o5:00;66g=2`83>>o5:k0;66g=2b83>>i4990;66sm9g494?3=83:p(>mj:314?M5c02B8h=5+23g93c=n:;31<75f23c94?=n:;h1<75f23a94?=h;8:1<75rb8d4>5<2290;w)=le;003>N4l11C?i>4$30f>2`5<5<j?;%01a?1a3`8957>5;h01e?6=3`89n7>5;h01g?6=3f9:<7>5;|`:b<<72<0;6=u+3bg9661<@:n37E=k0:&16`<0n2c9>44?::k16d<722c9>o4?::k16f<722e8==4?::a=cg=83?1<7>t$2af>7503A9o46F?750;9j67g=831b>?l50;9j67e=831d?<>50;9~f<`e290>6=4?{%1`a?44?2B8h55G3e28 74b2>l0e?<6:188m74f2900e???:188yg?ak3:197>50z&0g`<5;>1C?i64H2f3?!45m3=m7d<=9;29?l45i3:17d<=b;29?l45k3:17b=>0;29?xd>nm0;684?:1y'7fc=::=0D>j7;I1g4>"5:l0>o5:h0;66g=2c83>>o5:j0;66a<1183>>{e1oo1<7;50;2x 6eb2;9<7E=k8:J0`5=#:;o1;k5f23;94?=n:;k1<75f23`94?=n:;i1<75`30294?=zj0lm6=4::183!5dm388;6F5<5<5<54;294~"4kl09?;5G3e:8L6b73-89i7?<;h01=?6=3`89m7>5;h01f?6=3f9:<7>5;|`b44<72=0;6=u+3bg9660<@:n37E=k0:&16`<58l1b>?750;9j67g=831b>?l50;9l746=831vnl>=:180>5<7s-9hi7<<5:J0`==O;m:0(?6<729q/?nk52278L6b?3A9o<6*=2d815==n:;31<75f23c94?=h;8:1<75rb`27>5<4290;w)=le;001>N4l11C?i>4$30f>77?3`8957>5;h01e?6=3f9:<7>5;|`b40<72:0;6=u+3bg9663<@:n37E=k0:&16`<5911b>?750;9j67g=831d?<>50;9~fd61290>6=4?{%1`a?4592B8h55G3e28 67c28<=56*=2d81?l`32900e<ln:188k6d?2900qoo?7;297?6=8r.8oh4=1e9K7a><@:n;7)<=e;36?l`32900e<=k:188k6d?2900qoo?8;297?6=8r.8oh4=349K7a><@:n;7)<=e;0b?l4513:17d<=a;29?j5683:17pln0883>0<729q/?nk52338L6b?3A9o<6*<1e8223?<,;8n6?5ff583>>o6:j0;66g>3e83>>o4jh0;66a>{ei9k1<7;50;2x 6eb2;8:7E=k8:J0`5=#;8n1=;86;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb`2a>5<2290;w)=le;015>N4l11C?i>4$23g>40112.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-9:h7?9689'67c=:2cm87>5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`b4a<72<0;6=u+3bg9677<@:n37E=k0:&05a<6>?30(?n4?::k27a<722c8nl4?::m0f=<722wim=k50;794?6|,:in6?<>;I1g<>N4l91/?j50;9j7gg=831d?o650;9~fd6a290>6=4?{%1`a?4592B8h55G3e28 67c28<=56*=2d81?l`32900e<ln:188k6d?2900qoo>0;291?6=8r.8oh4=209K7a><@:n;7)=>d;352<=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>oa<3:17d?j7;I1g4>"49m0::;74$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sma0194?3=83:p(>mj:302?M5c02B8h=5+30f9530>3-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zjh;?6=4::183!5dm389=6F5<5<j?;%12`?71>01/>?k5149jb1<722c:>n4?::k27a<722c8o84?::m0f=<722wim<850;694?6|,:in6?N4l91bj94?::k27a<722c8nl4?::m0f=<722wim<950;794?6|,:in6?<>;I1g<>N4l91/?j50;9j7gg=831d?o650;9~fd7?290>6=4?{%1`a?4592B8h55G3e28 67c28<=56*=2d81?l`32900e<ln:188k6d?2900qoo>9;291?6=8r.8oh4=239K7a><@:n;7)=>d;352<=#:;o1=85ff583>>o6:j0;66g>3e83>>o4k<0;66a>{ei8k1<7;50;2x 6eb2;897E=k8:J0`5=#;8n1=;86;%01a?723`l?6=44i00`>5<5<j?;%12`?71>01/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::ae4b=83?1<7>t$2af>7453A9o46Fm::188k6d?2900qoo>e;291?6=8r.8oh4=209K7a><@:n;7)=>d;352<=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"49m0::;74$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sma3294?3=83:p(>mj:302?M5c02B8h=5+30f9530>3-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zjh8:6=4::183!5dm389=6F5<5<j?;%12`?71>01/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a>4?:483>5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::ae72=83?1<7>t$2af>7463A9o46F=831vnl<::186>5<7s-9hi7<=1:J0`==O;m:0(>?k:045=>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188ygg5>3:197>50z&0g`<5:81C?i64H2f3?!56l3;=:45+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pln2683>7<729q/?nk52268L6b?3A9o<6*=2d81e>o5:00;66a<1183>>{ei;21<7=50;2x 6eb2;9>7E=k8:J0`5=#:;o1>l5f23;94?=n:;k1<75`30294?=zjh826=4=:183!5dm38886F5<j?;%01a?4f3`8957>5;n124?6=3thj>o4?:383>5}#;jo1>>:4H2f;?M5c82.9>h4=a:k16<<722e8==4?::ae7e=8381<7>t$2af>7533A9o46F?750;9l746=831vnl5<7s-9hi7<<4:J0`==O;m:0(?2900c>??:188ygg5m3:1>7>50z&0g`<5;=1C?i64H2f3?!45m38j7d<=9;29?j5683:17pln2g83>7<729q/?nk52268L6b?3A9o<6*=2d81e>o5:00;66a<1183>>{ei::1<7<50;2x 6eb2;9?7E=k8:J0`5=#:;o1>l5f23;94?=h;8:1<75rb`12>5<5290;w)=le;000>N4l11C?i>4$30f>7g5<7>52;294~"4kl09?95G3e:8L6b73-89i75;|`b76<72;0;6=u+3bg9662<@:n37E=k0:&16`<5i2c9>44?::m055<722wim>:50;094?6|,:in6?=;;I1g<>N4l91/>?k52`9j67?=831d?<>50;9~fd52290?6=4?{%1`a?4582B8h55G3e28 74b2;1bj94?::k27a<722c8nl4?::m0f=<722wim>850;694?6|,:in6?N4l91/>?k52:ke0?6=3`;8h7>5;h1ae?6=3f9i47>5;|`b72<72:0;6=u+3bg964b<@:n37E=k0:&16`<6=2cm87>5;h30`?6=3f9i47>5;|`b7=<72:0;6=u+3bg964b<@:n37E=k0:&16`<6=2cm87>5;h30`?6=3f9i47>5;|`b7<<72?0;6=u+3bg966><@:n37E=k0:&16`44?::k16d<722c9>o4?::k16f<722c9>i4?::m055<722wim>o50;694?6|,:in6?=9;I1g<>N4l91/>?k520a8m74>2900e???:188ygg4j3:187>50z&0g`<5;?1C?i64H2f3?!45m38:o6*5;h01f?6=3`89o7>5;n124?6=3thj?i4?:483>5}#;jo1>>94H2f;?M5c82.9>h4=1`9'7g0=;l:0e?<6:188m74f2900e???:188ygg4m3:197>50z&0g`<5;>1C?i64H2f3?!45m38:m6*5<1290;w)=le;00<>N4l11C?i>4$30f>7d<,:h=6>ji;h01=?6=3`89m7>5;h01f?6=3`89o7>5;h01`?6=3f9:<7>5;|`b05<72<0;6=u+3bg9661<@:n37E=k0:&16`<602.8n;4?o50;9j67d=831b>?m50;9l746=831vnl:>:185>5<7s-9hi7<<8:J0`==O;m:0(?1<729q/?nk52248L6b?3A9o<6*=2d860>"4j?08i?5f23;94?=n:;k1<75f23`94?=h;8:1<75rb`60>5<5290;w)=le;01b>N4l11C?i>4i30;>5<j?;h015;|`b00<72;0;6=u+3bg967`<@:n37E=k0:k16=<722e8==4?::ae10=8381<7>t$2af>74a3A9o46F=831d?<>50;9~fd2029096=4?{%1`a?45n2B8h55G3e28m74?2900c>??:188ygg303:1>7>50z&0g`<5:o1C?i64H2f3?l4503:17b=>0;29?xdf<00;6?4?:1y'7fc=:;l0D>j7;I1g4>o5:10;66a<1183>>{ei=k1<7<50;2x 6eb2;8m7E=k8:J0`5=n:;21<75`30294?=zjh>i6=4=:183!5dm389j6F5<52;294~"4kl09>k5G3e:8L6b73`8947>5;n124?6=3thj8i4?:383>5}#;jo1>?h4H2f;?M5c82c9>54?::m055<722wim9k50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ei=l1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thj9<4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qoo:3;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fd3329026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb`76>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ei<<1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::ae01=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qoo:a;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<n7>54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb`7`>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vnl;k:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::ae0c=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sma4d94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xdf>90;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`b24<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygg1;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vnl8;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjh<>6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sma7494??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wim;950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`b2=<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pln6883>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188ygg1i3:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjh5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fd0c290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wim;k50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ei?l1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thj;<4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qoo83;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fd1329026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb`56>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ei><1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::ae21=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qoo8a;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb`5`>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vnl9k:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::ae2c=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sma6d94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xdf090;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`b<4<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygg?;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vnl6;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjh2>6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sma9494??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wim5950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`b<=<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pln8883>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188ygg?i3:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjh2h6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fd>c290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wim5k50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ei1l1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thj5<4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qoo63;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fd?329026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb`;6>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ei0<1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::ae<1=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qoo6a;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb`;`>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vnl7k:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::aet$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sma8d94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xdfi90;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`be4<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188yggf;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vnlo;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjhk>6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sma`494??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wiml950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`be=<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plna883>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yggfi3:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjhkh6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fdgc290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wimlk50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{eihl1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thjn<4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qoom3;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fdd329026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb``6>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{eik<1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::aeg1=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qooma;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb```>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vnllk:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::aegc=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smacd94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xdfk90;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`bg4<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188yggd;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vnlm;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjhi>6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smab494??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wimn950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`bg=<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plnc883>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yggdi3:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjhih6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fdec290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wimnk50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{eijl1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thjh<4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qook3;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fdb329026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb`f6>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{eim<1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::aea1=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qooka;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb`f`>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vnljk:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::aeac=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smaed94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xdfm90;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`ba4<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188yggb;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vnlk;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjho>6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smad494??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wimh950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`ba=<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plne883>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yggbi3:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjhoh6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fdcc290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wimhk50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{eill1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thjj<4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qooi3;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fd`329026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb`d6>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{eio<1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::aec1=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qooia;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb`d`>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vnlhk:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::aecc=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smagd94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xde890;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`a44<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygd7;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vno>;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjk:>6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smb1494??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722win=950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`a4=<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plm0883>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188ygd7i3:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjk:h6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fg6c290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722win=k50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ej9l1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thi=<4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qol>3;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fg7329026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rbc36>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ej8<1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::af41=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qol>a;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rbc3`>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vno?k:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::af4c=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smb0d94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xde:90;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`a64<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygd5;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vno<;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjk8>6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smb3494??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722win?950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`a6=<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plm2883>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188ygd5i3:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjk8h6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fg4c290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722win?k50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ej;l1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thi?<4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qol<3;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fg5329026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rbc16>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ej:<1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::af61=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qol<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rbc1`>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vno=k:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::af6c=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smb2d94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xde<90;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`a04<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygd3;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vno:;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjk>>6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smb5494??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722win9950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`a0=<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plm4883>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188ygd3i3:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjk>h6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fg2c290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722win9k50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ej=l1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thi9<4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qol:3;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fg3329026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rbc76>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ej<<1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::af01=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qol:a;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<n7>54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rbc7`>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vno;k:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::af0c=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smb4d94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xde>90;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`a24<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygd1;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vno8;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjk<>6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smb7494??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722win;950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`a2=<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plm6883>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188ygd1i3:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjk5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fg0c290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722win;k50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ej?l1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thi;<4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qol83;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fg1329026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rbc56>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ej><1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::af21=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qol8a;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rbc5`>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vno9k:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::af2c=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smb6d94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xde090;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`a<4<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygd?;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vno6;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjk2>6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smb9494??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722win5950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`a<=<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plm8883>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188ygd?i3:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjk2h6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fg>c290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722win5k50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ej1l1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thi5<4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qol63;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fg?329026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rbc;6>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ej0<1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::af<1=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qol6a;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rbc;`>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vno7k:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::aft$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smb8d94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xdei90;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`ae4<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygdf;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vnoo;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjkk>6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smb`494??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722winl950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`ae=<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plma883>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188ygdfi3:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjkkh6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fggc290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722winlk50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ejhl1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thin<4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qolm3;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fgd329026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rbc`6>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ejk<1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::afg1=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qolma;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rbc``>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vnolk:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::afgc=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smbcd94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xdek90;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`ag4<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygdd;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vnom;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjki>6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smbb494??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722winn950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`ag=<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plmc883>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188ygddi3:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjkih6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fgec290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722winnk50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ejjl1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thih<4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qolk3;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fgb329026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rbcf6>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ejm<1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::afa1=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qolka;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rbcf`>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vnojk:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::afac=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smbed94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xdem90;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`aa4<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygdb;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vnok;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjko>6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smbd494??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722winh950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`aa=<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plme883>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yv71>m0;6?uQbg;89d31289o7p}>67g94?4|Vkl370o:8;30`>{t9?;f==0:?i5rs0444?6=:rTij;52a4a956b3e9~w400<3:1>vPmf39>e32=9:n0q~?97483>7}Yk9;01l8?:01g?xu6>><1<73;8h6s|1754>5<5sWhmj63n69827a=z{8<<47>52z\ab`=:i?k1=>j4}r353<<72;qUnkj4=`4`>45c3ty:::o50;0xZg`d34k<<7?66g94?4|Vkl;70o88;30`>{t9?=m6=4={_a77>;39m0:455rs04;4?6=:rTh8?5240f95=1829~w40?<3:1>vPl3e9>04b=9180q~?98483>7}Yk:i019?k:0:2?xu6>1<1<75<5sWi8m63;1e823`=z{8<347>52z\`7<=:<8n1=:j4}r35<<<72;qUo>64=53g>41d3ty::5o50;0xZf5034>:h7?8b:p53>e2909wSm<6:?75a<6?h1v<87c;296~Xd;<16869g94?4|Vj>270:>d;3b<>{t9?2m6=4={_a7<>;39m0:m:5rs04:4?6=:rTh8:5240f95d09`9~w40><3:1>vPl3g9>04b=91l0q~?99483>7}Yk:>019?k:0:7?xu6>0<1<75<5sWn9?63;1e82e6=z{8<247>52z\g67=:<8n1=l?4}r35=<<72;qUh??4=53g>4g73ty::4o50;0xZa4734>:h7?6f:p53?e2909wSj>e:?75a<61l1v<86c;296~Xc9m16868g94?4|Vm;i70:>d;3:f>{t9?3m6=4={_f2e>;39m0:545rs04b4?6=:rTo=45240f95<><5=;o6<78;|q22d4=838pRi?8;<62`?7>>2wx=;o<:181[b6>27?=i4>949~w40f<3:1>vPk149>04b=90>0q~?9a483>7}Yl;k019?k:0;0?xu6>h<1<76s|17c4>5<5sWn9463;1e82=4=z{852z\g62=:<8n1=4>4}r35e<<72;qUh?84=53g>4>b3ty::lo50;0xZa4234>:h7?7d:p53ge2909wSj=4:?75a<60j1v<8nc;296~Xc9o1686`g94?4|Vm;870:>d;3;=>{t9?km6=4>4`y]530d34>;47=l5:?74<<4jh168=o53cc8916d2:hj70:?d;1ae>;38l08nl5241d97gg<5=;;6>ln;<625?5ei27?=?4045=;kk019?;:2a6?826=39im63;1780g0=:<821?oo4=53:>6df34>:n7=ma:?75f<4jh168;28>08nl5251:97gg<5<:26>ln;<73e?5ei27>15e=;kk018>k:2`b?837m39im63:0g80fd=:=8:1?oo4=432>6df34?:>7=ma:?656<4jh169<:53cc890722:hj70;>6;1ae>;29>08nl5250:97gg<5<;26>m9;<70g?5d>27>9k41=4=;j<018o::2a5?83d039h:63:ec80g3=:>9o1?n84=712>6e134<>87=l6:?532<4k?16:4o53b4893dc2:i=708i6;1`2>;0:o08nl5272297gg<5>9:6>ln;<506?5ei274362=;kk01:=::2`b?814>39im6383680fd=:?:21?oo4=61:>6df34=8m7=ma:?47g<4jh16;>m53cc8925c2:hj709;0;o08nl5275297gg<5>>:6>ln;<576?5ei27<8>4312=;kk01::::2`b?813>39im6384680fd=:?=21?oo4=66:>6df34=?m7=ma:?40g<4jh16;9m53cc8922c2:hj709;e;1ae>;0?:6>ln;<566?5ei27<9>4302=;kk01:;::2`b?812>39im6385680fd=:?<21?oo4=67:>6df34=>m7=ma:?41g<4jh16;8m53cc8923c2:hj709:e;1ae>;0=o08nl5277297gg<5><:6>ln;<556?5ei27<:>4332=;kk01:8::2`b?811>39im6386680fd=:??21?oo4=64:>6df34==m7=ma:?42g<4jh16;;m53cc8920c2:hj7099e;1ae>;0>o08o;5278497f0<5>k26>m9;<5ag?5d>273`4=;j<01:h::2a5?8>7039h:6371c80g3=:0;o1?n84=962>6e1342>87=l6:?;22<4k?164:o53cc89=1e2:hj7068c;1ae>;??m08nl5286g97gg<51=m6>ln;<:;4?5ei2734<4<=4=;kk0156<:2`b?8>?<39im6378480fd=:01<1?oo4=9:4>6df342347=ma:?;<<<4jh1645o53cc89=>e2:hj7067c;1ae>;?0m08nl5289g97gg<512m6>ln;<::4?5ei2735<4<<4=;kk0157<:2`b?8>><39im6379480fd=:00<1?oo4=9;4>6df342247=ma:?;=<<4jh1644o53cc89=?e2:hj7066c;1ae>;?1m08nl5288g97gg<513m6>ln;<:b4?5ei273m<4f<39im637a480fd=:0h<1?oo4=9c4>6df342j47=ma:?;e<<4jh164lo53cc89=ge2:hj706nc;1ae>;?im08nl528`g97gg<51km6>ln;<:a4?5ei273n<4e<39im637b480fd=:0k<1?oo4=9`4>6df342i47=ma:?;f<<4jh164oo53cc89=de2:hj706mc;1ae>;?jm08nl528cg97gg<51hm6>ln;<:`4?5ei273o<4d<39im637c480fd=:0j<1?oo4=9a4>6df342h47=ma:?;g<<4jh164no53b489=`e2:hj706ic;1ae>;?nm08nl528gg97gg<51lm6>ln;<;34?5ei272<<4=54=;kk014><:2`b?8?7<39im6360480fd=:19<1?oo4=824>6df343;47=ma:?:4<<4jh165=o53cc89<6e2:hj707?c;1ae>;>8m08nl5291g97gg<50:m6>ln;<;24?5ei272=<4=44=;kk014?<:2`b?8?6<39im6361480fd=:18<1?oo4=834>6df343:47=ma:?:5<<4jh165c;1ae>;>9m08nl5290g97gg<50;m6>ln;<;14?5ei272><4=74=;kk014<<:2`b?8?5<39im6362480fd=:1;<1?oo4=804>6df343947=ma:?:6<<4jh165?o53cc89<4e2:hj707=c;1ae>;>:m08nl5293g97gg<508m6>ln;<;04?5ei272?<4=64=;kk014=<:2`b?8?4<39im6363480fd=:1:<1?oo4=814>6df343847=ma:?:7<<4jh165>o53cc89<5e2:hj707;>;m08nl5292g97gg<509m6>ln;<;74?5ei2728<4=14=;kk014:<:2`b?8?3<39im6364480fd=:1=<1?oo4=864>6df343?47=ma:?:0<<4jh1659o53cc89<2e2:hj707;c;1ae>;>m6>ln;<;64?5ei2729<4=04=;kk014;<:2`b?8?2<39im6365480fd=:1<<1?oo4=874>6df343>47=ma:?:1<<4jh165:=523;89;f8109>l52a1`97gg<5h:h6>ln;4e42=;kk01l?::2a6?8g6l39h963n1d80fd=:i8l1?oo4=`03>6df34k9=7=ma:?b67<4jh16m?=53cc89d432:hj70o=5;1ae>;f:?08nl52a2797gg<5h9=6>ln;ki:01;?85a83;8463;4n=0m863;4nm0:?5523gg956><5:lm6<=7;<634?74027?<54i4:?74<5f59>0=7=n=1684=5f59>0<2=n=1684;5f59>0<0=n=168495f59>0<>=n=168475f59>0000d6=n=168l?5f59>0d4=n=168l=5f59>0d2=n=168l;5f59>0d0=n=168l95f59>0d>=n=168l75f59>0dg=n=168om5f59>0gb=n=168ok5f59>0f1=n=168n65f59>0a6=n=168i?5f59>0a4=n=168i65f59>0`4=n=168k>5f59>0c7=n=168k<5f59>0c5=9:2019h;:01;?82a=3;8463;f7827==:64=5d;>45?34>m57?<8:?7bd<6;1168kl512:891`d289370:id;30<>;3nl0:?5524gd9b1=:=9:1j9525139b1=:=981j9525119b1=:=9>1j9525179b1=:=9<1j9525159b1=:=921j95251;9b1=:=9k1j95251`9b1=:=9i1j95251f9b1=:=9o1j95251d9b1=:=8:1j9525039b1=:=881j9525019b1=:=8>1j9525079b1=:=8<1j9525059b1=:=821j95250;956><5<9h6<=7;<76b?74027>4?4>399>1d3=9:2018m7:01;?83bj3;846390d827==:>:;1=>64=777>45?34<<;7?<8:?5=d<6;116:oj512:893`12893709=f;d7?81483l?709<1;d7?814:3l?709<3;d7?814<3l?709<5;d7?814>3l?709<7;d7?81403l?709<9;d7?814i3l?7093l?709;7;d7?81303l?709;9;d7?813i3l?709;b;d7?813k3l?709;d;d7?813m3l?709;f;d7?81283l?709:1;d7?812:3l?709:3;d7?812<3l?709:5;d7?812>3l?709:7;d7?81203l?709:9;d7?812i3l?709:b;d7?812k3l?709:d;d7?812m3l?709:f;d7?81183l?70991;d7?811:3l?70993;d7?811<3l?70995;d7?811>3l?70997;d7?81103l?70999;d7?811i3l?7099b;d7?811k3l?7099d;d7?811m3l?7099f;30<>;01?0:?5527`;956><5>hh6<=7;<5`b?74027399>3c3=9:2015>7:01;?8>6j3;846372d827==:0=;1=>64=977>45?342=;7?<8:?;3d4i4:?;<14i4:?;=14i4:?;e14i4:?;f14i4:?;g1=56=n=165=?5f59>=54=n=165==5f59>=52=n=165=;5f59>=50=n=165=95f59>=5>=n=165=75f59>=5g=n=165=l5f59>=5e=n=165=j5f59>=5c=n=165=h5f59>=46=n=165=44=n=165<=5f59>=42=n=165<;5f59>=40=n=165<95f59>=4>=n=165<75f59>=4g=n=165=4e=n=165=4c=n=165=76=n=165??5f59>=74=n=165?=5f59>=72=n=165?;5f59>=70=n=165?95f59>=7>=n=165?75f59>=7g=n=165?l5f59>=7e=n=165?j5f59>=7c=n=165?h5f59>=66=n=165>?5f59>=64=n=165>=5f59>=62=n=165>;5f59>=60=n=165>95f59>=6>=n=165>75f59>=6g=n=165>l5f59>=6e=n=165>j5f59>=6c=n=165>h5f59>=16=n=1659?5f59>=14=n=1659=5f59>=12=n=1659;5f59>=10=n=165995f59>=1>=n=165975f59>=1g=n=1659l5f59>=1e=n=1659j5f59>=1c=n=1659h5f59>=06=n=1658?5f59>=04=n=1658=5f59>=02=n=1658;5f59>=00=n=165895f59>=0>=n=165875f59>e50=n=16m=95f59>e5?=n=16m=o5f59>e5d=n=16m=m5f59>e5b=n=16m=k5f59>e5`=n=16m<>5f59>e47=n=16m<<5f59>e45=n=16m<:5f59>e43=n=16m<85f59>e41=n=16m<65f59>e4?=n=16me4d=n=16me4b=n=16me4`=n=16m?>5f59>e77=n=16m?<5f59>e75=n=16m?:5f59>e73=n=16m?85f59>e63=n=16m>85f59>e61=n=16m>65f59>e1c=9:201l:i:g689d37289370o:1;d7?8g2:3;8463n528e0>;f==0:?552a479b1=:i<<1=>64=`74>c2<5h?36<=7;m7?<8:?b1g399>e0b=n=16m8k512:89d3a2o>01l8?:01;?8g193l?70o92;30<>;f>:0m863n65827==:i??1j952a74956><5h<<6k:4=`4;>45?34k=57h;;e3c=9:201l8i:g689d17289370o81;d7?8g0:3;8463n728e0>;f?=0:?552a679b1=:i><1=>64=`54>c2<5h=36<=7;399>e2b=n=16m:k512:89d1a2o>01l6?:01;?8g?93l?70o72;30<>;f0:0m863n85827==:i1?1j952a94956><5h2<6k:4=`:;>45?34k357h;;e=c=9:201l6i:g689d?7289370o61;d7?8g>:3;8463n928e0>;f1=0:?552a879b1=:i0<1=>64=`;4>c2<5h336<=7;399>e01lo?:01;?8gf93l?70on2;30<>;fi:0m863na5827==:ih?1j952a`4956><5hk<6k:4=`c;>45?34kj57h;;edc=9:201loi:g689dd7289370om1;d7?8ge:3;8463nb28e0>;fj=0:?552ac79b1=:ik<1=>64=``4>c2<5hh36<=7;399>egb=n=16mok512:89dda2o>01lm?:01;?8gd93l?70ol2;30<>;fk:0m863nc5827==:ij?1j952ab4956><5hi<6k:4=`a;>45?34kh57h;;efc=9:201lmi:g689db7289370ok1;d7?8gc:3;8463nd28e0>;fl=0:?552ae79b1=:im<1=>64=`f4>c2<5hn36<=7;399>eab=n=16mik512:89dba2o>01lk?:01;?8gb93l?70oj2;30<>;fm:0m863ne5827==:il?1j952ad4956><5ho<6k:4=`g;>45?34kn57h;;e`c=9:201lki:g689d`7289370oi1;d7?8ga:3;8463nf28e0>;fn=0:?552ag79b1=:io<1=>64=`d4>c2<5hl36<=7;399>ecb=n=16mkk512:89d`a2o>01o>?:01;?8d793l?70l?2;30<>;e8:0m863m05827==:j9?1j952b14956><5k:<6k:4=c2;>45?34h;57h;;<`3e?74027if5c=9:201o>i:g689g77289370l>1;d7?8d6:3;8463m128e0>;e9=0:?552b079b1=:j8<1=>64=c34>c2<5k;36<=7;<`2=?`334h:m7?<8:?a5g399>f4b=n=16n01o;e::0m863m25827==:j;?1j952b34956><5k8<6k:4=c0;>45?34h957h;;<`1e?74027i>o4i4:?a6f<6;116n?j5f59>f7c=9:201o;e;=0:?552b279b1=:j:<1=>64=c14>c2<5k936<=7;<`0=?`334h8m7?<8:?a7g399>f6b=n=16n>k512:89g5a2o>01o:?:01;?8d393l?70l;2;30<>;e<:0m863m45827==:j=?1j952b54956><5k><6k:4=c6;>45?34h?57h;;<`7e?74027i8o4i4:?a0f<6;116n9j5f59>f1c=9:201o:i:g689g37289370l:1;d7?8d2:3;8463m528e0>;e==0:?552b479b1=:j<<1=>64=c74>c2<5k?36<=7;<`6=?`334h>m7?<8:?a1g399>f0b=n=16n8k512:89g3a2o>01o8?:01;?8d193l?70l92;30<>;e>:0m863m65827==:j??1j952b74956><5k<<6k:4=c4;>45?34h=57h;;<`5e?74027i:o4i4:?a2f<6;116n;j5f59>f3c=9:201o8i:g689g17289370l81;d7?8d0:3;8463m728e0>;e?=0:?552b679b1=:j><1=>64=c54>c2<5k=36<=7;<`4=?`334h399>f2b=n=16n:k512:89g1a2o>01o6?:01;?8d?93l?70l72;30<>;e0:0m863m85827==:j1?1j952b94956><5k2<6k:4=c:;>45?34h357h;;<`;e?74027i4o4i4:?af=c=9:201o6i:g689g?7289370l61;d7?8d>:3;8463m928e0>;e1=0:?552b879b1=:j0<1=>64=c;4>c2<5k336<=7;<`:=?`334h2m7?<8:?a=g399>f01oo?:01;?8df93l?70ln2;30<>;ei:0m863ma5827==:jh?1j952b`4956><5kk<6k:4=cc;>45?34hj57h;;<`be?74027imo4i4:?aef<6;116nlj5f59>fdc=9:201ooi:g689gd7289370lm1;d7?8de:3;8463mb28e0>;ej=0:?552bc79b1=:jk<1=>64=c`4>c2<5kh36<=7;<`a=?`334him7?<8:?afg399>fgb=n=16nok512:89gda2o>01om?:01;?8dd93l?70ll2;30<>;ek:0m863mc5827==:jj?1j952bb4956><5ki<6k:4=ca;>45?34hh57h;;<``e?74027ioo4i4:?agf<6;116nnj5f59>ffc=9:201omi:g689gb7289370lk1;d7?8dc:3;8463md28e0>;el=0:?552be79b1=:jm<1=>64=cf4>c2<5kn36<=7;<`g=?`334hom7?<8:?a`g399>fab=n=16nik512:89gba2o>01ok?:01;?8db93l?70lj2;30<>;em:0m863me5827==:jl?1j952bd4956><5ko<6k:4=cg;>45?34hn57h;;|q22g7=838hovPme`9>7``=9::01>ki:012?85bn3;8863=4=2ge>44b349nj7?<5:?0ac<6:m16?k>5122896`7289:70=i0;300>;4n90:>k523g29565<5:l;6<2e9>7cb=9::01>hk:012?85al3;8863=4=2dg>44b349mh7?<5:?0ba<6:m16?kk5122896`b289:70=ie;300>;4nl0:>k523gg9565<5:ln6<2e9>7c`=9::01>hi:012?85an3;8863=4=2de>44b349mj7?<5:?0bc<6:m168=>512289167289:70:?0;300>;3890:>k524129565<5=:;6<2e9>05>=9;i019>6:00`?827i3;9o63;0c826f=:<9i1=?m4=52g>44d34>;i7?=c:?74c<6:j168<>513a89176288h70:>2;31g>;39:0:>n52406957e<5=;>6<2b9>04>=9;i019?6:00`?826i3;9o63;1c826f=:<8i1=?m4=53g>44d34>3<7?=c:?7<4<6:j1684=513a891?3288h70:65;31g>;31?0:>n52485957e<5=336<2b9>0l3;9o63;9d826f=:<0l1=?m4=5c3>44d34>j=7?=c:?7e7<6:j168l=513a891g3288h70:n5;31g>;3i?0:>n524`5957e<5=k36<2b9>0ge=9;i019lk:00`?82em3;9o63;c6826f=:44d34>o=7?=c:?7`7<6:j168i6513a891c5288h70:i0;31g>;3n80:>n524g0957e<5=l86<=?;<6e7?74927?j>4>359>0c5=9;l019h<:010?82a;3;9i63;f28270=:45734>m87?<1:?7b1<6;=168k:513d891`3289870:i4;31a>;3n=0:?8524g6957b<5=l>6<=?;<6e1?74927?j84>359>0c3=9;l019h::010?82a=3;9i63;f48270=:45734>m:7?<1:?7b3<6;=168k8513d891`1289870:i6;31a>;3n?0:?8524g4957b<5=l<6<=?;<6e3?74927?j:4>359>0c1=9;l019h8:010?82a?3;9i63;f68270=:45734>m47?<1:?7b=<6;=168k6513d891`?289870:i8;31a>;3n10:?8524g:957b<5=l26<=?;<6e=?74927?j44>359>0c?=9;l019h6:010?82a13;9i63;f88270=:45734>mm7?<1:?7bd<6;=168ko513d891`f289870:ia;31a>;3nh0:?8524gc957b<5=li6<=?;<6ef?74927?jo4>359>0cd=9;l019hm:010?82aj3;9i63;fc8270=:45734>mo7?<1:?7bf<6;=168km513d891`d289870:ic;31a>;3nj0:?8524ga957b<5=lo6<=?;<6e`?74927?ji4>359>0cb=9;l019hk:010?82al3;9i63;fe8270=:45734>mi7?<1:?7b`<6;=168kk513d891`b289870:ie;31a>;3nl0:?8524gg957b<5=lm6<<<4>2b9>154=9;i018><:00`?837<3;9o63:04826f=:=9<1=?m4=424>44d34?;47?=c:?64<<6:j169=o513a8906e288h70;?c;31g>;28m0:>n5251g957e<5<:m6<=<4>2b9>144=9;i018?<:00`?836<3;9o63:14826f=:=8<1=?m4=434>44d34?:47?=c:?65<<6:j169>m513a8903a288h70;72;31g>;2i<0:>n525b:957e<52b9>202=9;i01;98:00`?80>i3;9o639be826f=:>o<1=?m4=64e>44d34=2:7?=c:?4e<<6:j16;om513a892ea288h709j2;31g>;0n<0:>n5281:957e<51;i6<2b9><02=9;i01588:00`?8>di3;9o637fc826f=:0oi1=?m4=9dg>44d342mi7?=c:?;bc<6:j165=>513a89<66288h707?2;31g>;>8:0:>n52916957e<50:>6<2b9>=5>=9;i014>6:00`?8?7i3;9o6360c826f=:19i1=?m4=82g>44d343;i7?=c:?:4c<6:j165<>513a89<76288h707>2;31g>;>9:0:>n52906957e<50;>6<2b9>=4>=9;i014?6:00`?8?6i3;9o6361c826f=:18i1=?m4=83g>44d343:i7?=c:?:5c<6:j165?>513a89<46288h707=2;31g>;>::0:>n52936957e<508>6<:4>2b9>=7>=9;i014<6:00`?8?5i3;9o6362c826f=:1;i1=?m4=80g>44d3439i7?=c:?:6c<6:j165>>513a89<56288h707<2;31g>;>;:0:>n52926957e<509>6<2b9>=6>=9;i014=6:00`?8?4i3;9o6363c826f=:1:i1=?m4=81g>44d3438i7?=c:?:7c<6:j1659>513a89<26288h707;2;31g>;><:0:>n52956957e<50>>6<2b9>=1>=9;i014:6:00`?8?3i3;9o6364c826f=:1=i1=?m4=86g>44d343?i7?=c:?:0c<6:j1658>513a89<36288h707:2;31g>;>=:0:>n52946957e<50?>6<2b9>=0>=9;i014;6:00`?8?1=38956366b816<=:1?n1>?74=850>74f3432h7<=9:?:=`<5:01654h523;89;f800:>n52a1c957e<5h:i6<2b9>e5c=9;i01l>i:00`?8g683;9o63n1080fd=:i881=?m4=`30>44d34k:87?=c:?b50<6:j16m<853cc89d70288h70o>8;31g>;f900:>n52a0c957e<5h;i6<2b9>e4c=9;i01l?i:00`?8g583;9o63n20826f=:i;81=?m4=`00>44d34k987?=c:?b60<6:j16m?8513a89d5>2;8i70o;f;k09>452a2a967g<5h9o6?2b9>e1`=9;i01l;?:00`?8g293;9o63n53826f=:i<91=?m4=`77>44d34k>97?=c:?b13<6:j16m89513a89d3?288h70o:9;31g>;f=h0:>n52a4`957e<5h?h6<2b9>e0`=9;i01l8?:00`?8g193;9o63n63826f=:i?91=?m4=`47>44d34k=97?=c:?b23<6:j16m;9513a89d0?288h70o99;31g>;f>h0:>n52a7`957e<5h2b9>e3`=9;i01l9?:00`?8g093;9o63n73826f=:i>91=?m4=`57>44d34k<97?=c:?b33<6:j16m:9513a89d1?288h70o89;31g>;f?h0:>n52a6`957e<5h=h6<2b9>e2`=9;i01l6?:00`?8g?93;9o63n83826f=:i191=?m4=`:7>44d34k397?=c:?b<3<6:j16m59513a89d>?288h70o79;31g>;f0h0:>n52a9`957e<5h2h6<2b9>e=`=9;i01l7?:00`?8g>93;9o63n93826f=:i091=?m4=`;7>44d34k297?=c:?b=3<6:j16m49513a89d??288h70o69;31g>;f1h0:>n52a8`957e<5h3h6<2b9>e<`=9;i01lo?:00`?8gf93;9o63na3826f=:ih91=?m4=`c7>44d34kj97?=c:?be3<6:j16ml9513a89dg?288h70on9;31g>;fih0:>n52a``957e<5hkh6<2b9>ed`=9;i01ll?:00`?8ge93;9o63nb3826f=:ik91=?m4=``7>44d34ki97?=c:?bf3<6:j16mo9513a89dd?288h70om9;31g>;fjh0:>n52ac`957e<5hhh6<2b9>eg`=9;i01lm?:00`?8gd93;9o63nc3826f=:ij91=?m4=`a7>44d34kh97?=c:?bg3<6:j16mn9513a89de?288h70ol9;31g>;fkh0:>n52ab`957e<5hih6<2b9>ef`=9;i01lj?:00`?8gc93;9o63nd3826f=:im91=?m4=`f7>44d34ko97?=c:?b`3<6:j16mi9513a89db?288h70ok9;31g>;flh0:>n52ae`957e<5hnh6<2b9>ea`=9;i01lk?:00`?8gb93;9o63m43826f=:j=91=?m4=c67>44d34h?97?=c:?a03<6:j16n99513a89g2?288h70l;9;31g>;en52b5`957e<5k>h6<2b9>f1`=9;i01o;?:00`?8d293;9o63m53826f=:j<91=?m4=c77>44d34h>97?=c:?a13<6:j16n89513a89g3?288h70l:9;31g>;e=h0:>n52b4`957e<5k?h6<2b9>f0`=9;i01o8?:00`?8d193;9o63m63826f=:j?91=?m4=c47>44d34h=97?=c:?a23<6:j16n;9513a89g0?288h70l99;31g>;e>h0:>n52b7`957e<5k2b9>f3`=9;i01o9?:00`?8d093;9o63m73826f=:j>91=?m4=c57>44d34h<97?=c:?a33<6:j16n:9513a89g1?288h70l89;31g>;e?h0:>n52b6`957e<5k=h6<2b9>f2`=9;i01o6?:00`?8d?93;9o63m83826f=:j191=?m4=c:7>44d34h397?=c:?a<3<6:j16n59513a89g>?288h70l79;31g>;e0h0:>n52b9`957e<5k2h6<2b9>f=`=9;i01o7?:00`?8d>93;9o63m93826f=:j091=?m4=c;7>44d34h297?=c:?a=3<6:j16n49513a89g??288h70l69;31g>;e1h0:>n52b8`957e<5k3h6<2b9>f<`=9;i01oo?:00`?8df93;9o63ma3826f=:jh91=?m4=cc7>44d34hj97?=c:?ae3<6:j16nl9513a89gg?288h70ln9;31g>;eih0:>n52b``957e<5kkh6<2b9>fd`=9;i01ol?:00`?8de93;9o63mb3826f=:jk91=?m4=c`7>44d34hi97?=c:?af3<6:j16no9513a89gd?288h70lm9;31g>;ejh0:>n52bc`957e<5khh6<2b9>fg`=9;i01om?:00`?8dd93;9o63mc3826f=:jj91=?m4=ca7>44d34hh97?=c:?ag3<6:j16nn9513a89ge?288h70ll9;31g>;ekh0:>n52bb`957e<5kih6<2b9>ff`=9;i01oj?:00`?8dc93;9o63md3826f=:jm91=?m4=cf7>44d34ho97?=c:?a`3<6:j16ni9513a89gb?288h70lk9;31g>;elh0:>n52be`957e<5knh6<2b9>fa`=9;i01ok?:00`?8db93;9o63me3826f=:jl91=?m4=cg7>44d34hn97?=c:?aa3<6:j16nh9513a89gc?288h70lj9;31g>{t9?h96=4n{_3522=:<8n1?i;4=84a>74f343<>7<=a:?:33<5:01654<523;89;>1<09>l52a2;967e4?:01xZf7034>247=ma:?7=<<4jh1684o53cc891?e2:hj70:na;30`>;>?>09>n5296:967b<50=j6?e5?=;kk01l>n:2`b?8g7l39im63n0d80fd=:i:l1>?j4=`63>74>34k?=7<=c:?b07<5:k1v<8m4;29b~Xd911685753b7891>c289<70:n9;30`>;>?>09>o5296:967g<50=26?==7=:;k0146<:30`?8??<389m63684816d=:i:l1>?l4=`63>74e3ty::o;50;44b~Xemk1U=a69]54g23W;:m95Q10c0?[76i;1U=;_32e5=Y983m7S?>9d9]54?c3W;:5n5Q10`2?[76j91U=ab9]54ge3W;:m;5Q10;a?[761h1U=>6<;_30<7=Y9:2:7S?<819]561a3W;8;h5Q125g?[74?k1U=>9n;_303<=Y9:=37S?<769]56113W;8;85Q1257?[74?:1U=>9=;_3035=Y9:h1U=>86;_302==Y9:<<7S?<649]56033W;8:>5Q1241?[74>81U=>8?;_301c=Y9:?n7S?<5e9]563d3W;8455Q12:4?[740?1U=>6:;_30<1=Y9:=h7S?<709]56013W;89o5Q127b?[75?;1U=?9>;_3135=Y9;01U=?87;_3122=Y9;<=7S?=649]57033W;9;45Q135;?[75?>1U=?99;_3130=Y9;=?7S?=729]570b3W;9:>5Q1341?[728:1U=8>=;_3644=Y9<:;7S?;fg9]51`b3W;?ji5Q15da?[73nh1U=9h6;_37b==Y9=l<7S?;f79]51`23W;?j95Q15d0?[73n;1U=9h?;_37ac=Y9=on7S?;ee9]51cd3W;?io5Q15gb?[73m01U=9k7;_37a2=Y9=o>7S?;e59]51c43W;?i?5Q15g2?[73m91U=9ji;_37``=Y9=no7S?;db9]506?3W;><:5Q1425?[728<1U=8>;;_37bf=Y9=l:7S?;e79]51be3W;?hl523df9670<5:om6>lj;<1fb?5en278ik47``=;j801>ki:2a2?85bn39ih636e4349nj7=8c:?0ac<4?h16?hh536;896ca2:=370=jf;143>;4mo08;;523dd9723<5:om6>9;;<1fb?50:278ik4<709>7``=;>:01>ki:24e?85bn39=i6360e349nj7=9a:?0ac<4>016?hh5375896ca2:<=70=jf;151>;4mo08:9523dd9735<5:om6>8=;<1fb?519278ik4<619>7``=;ki:27f?85bn39>o6363>349nj7=:8:?0ac<4=>16?hh5344896ca2:?>70=jf;160>;4mo089>523dd97=4<5:om6>6>;<1fb?5?8278ik4<7g9>7``=;>o01>ki:25g?85bn39635349nj7=>b:?0ac<49016?hh530:896ca2:;<70=i0;1aa>;4n908nk523g297f6<5:l;6>m=;<1e4?5d9278j=47c6=9;<01>h?:2``?85a839h?6361>349m<7=88:?0b5<4?>16?k>5364896`72:=>70=i0;140>;4n908;?523g29727<5:l;6>9?;<1e4?51n278j=4<6d9>7c6=;?n01>h?:24`?85a839=n63600349m<7=96:?0b5<4><16?k>5376896`72:<870=i0;156>;4n908:<523g29736<5:l;6>;i;<1e4?52m278j=4<5b9>7c6=;h?:27b?85a839>563631349m<7=:5:?0b5<4==16?k>5341896`72:2970=i0;1;5>;4n9084=523g2972`<5:l;6>9j;<1e4?50l278j=4<729>7c6=;?201>h?:27g?85a839>>6367?349m<7=>7:?0b4<6;>16?k<5125896`f2;;;70=ib;004>;4nj09==523gf97gc<5:lo6>li;<1e`?5d8278ji47cb=;j;01>hk:2`g?85al3;9:6347a349mh7?>d:?0ba<69j16?kj510`896`c28;j70=id;32=>;4nm0:=5523gf9541<5:lo6129>7cb=98801>hk:032?85al3;:<6346c349mh7??c:?0ba<68h16?kj511;896`c28:370=id;333>;4nm0:<;523gf9553<5:lo6<>;;<1e`?77;278ji4>039>7cb=99;01>hk:gd896`c2oo01>hk:gf896`c2oi01>hk:g`896`c2ok01>hk:g;896`c2o201>hk:g5896`c2o<01>hk:006?85al3;9863446349mh7?=0:?0ba<69?16?kj511`896`c28:;70=id;d6?85al39:n63670349mh7=>6:?0ba<6<116?kj5154896`c28>>70=id;370>;4nm0:8>523gf9514<5:lo6<:>;<1e`?738278ji4>509>7cb=9<:01>hk:06e?85al3;?i6342e349mh7?;a:?0ba<6<016?kj512d896`b2:hn70=ie;1ab>;4nl08o=523gg97f4<5:ln6>m>;<1ea?5el278jh4>279>7cc=;ki01>hj:2a0?85am3i970=ie;a3?85am3;:j6347e349mi7?>a:?0b`<69016?kk510:896`b28;<70=ie;321>;4nl0:=9523gg9545<5:ln6119>7cc=99l01>hj:02f?85am3;;h6346>349mi7??8:?0b`<68>16?kk5114896`b28:>70=ie;330>;4nl0:<>523gg9554<5:ln6<>>;<1ea?`a349mi7hj;<1ea?`c349mi7hl;<1ea?`e349mi7hn;<1ea?`>349mi7h7;<1ea?`0349mi7h9;<1ea?75=278jh4>259>7cc=9;901>hj:001?85am3;9=6346e349mi7??0:?0b`7cc=;8301>hj:23;?85am39:;63421349mi7?;5:?0b`<6<=16?kk5151896`b28>970=ie;375>;4nl0:8=523gg9507<5:ln6<;?;<1ea?73n278jh4>4d9>7cc=9=n01>hj:06`?85am3;?n6345a349mj7=me:?0bc<4jo16?kh53b2896`a2:i970=if;1`5>;4no08ni523gd9570<5:lm6>ll;<1eb?5d;278jk4>1g9>7c`=98n01>hi:03`?85an3;:n6347?349mj7?>7:?0bc<69<16?kh5106896`a28;870=if;326>;4no0:=<523gd9546<5:lm6<>i;<1eb?77m278jk4>0e9>7c`=99i01>hi:02b?85an3;;563461349mj7??5:?0bc<68=16?kh5111896`a28:970=if;335>;4no0mj63;4no0mh63;4no0mn63;4no0m563;4no0m;63;4no0:>8523gd9572<5:lm6<<<;<1eb?75:278jk4>209>7c`=9;:01>hi:035?85an3;;n63?6;<1eb?560278jk4<169>7c`=;8<01>hi:06;?85an3;?:63424349mj7?;2:?0bc<6<816?kh5152896`a28?:70=if;364>;4no0:8k523gd951c<5:lm6<:k;<1eb?73k278jk4>4c9>7c`=9=k01>hi:06:?85an3;8j63;0180f`=:<9:1?oh4=523>6e734>;<7=l2:?745<4k8168=>53cf89167288=70:?0;1ag>;38908o>524129g7=:<9:1o=52412954`<5=:;61c9>056=98k019>?:03:?82783;:463;018252=:<9:1=<;4=523>47334>;<7?>3:?745<69;168=>51038916728;;70:?0;33b>;3890:l;<634?77i27?<=4>089>056=992019>?:024?82783;;:63;018240=:<9:1==:4=523>46434>;<7??2:?745<688168=>5fg9>056=nl168=>5fe9>056=nj168=>5fc9>056=nh168=>5f89>056=n1168=>5f69>056=n?168=>513789167288?70:?0;317>;3890:>?524129577<5=:;6<27?<=4>0c9>056=99:019>?:g7891672:;i70:?0;12=>;38908=5524129741<5=:;6>?9;<634?73027?<=4>479>056=9=?019>?:067?82783;??63;018207=:<9:1=9?4=523>42734>;<7?:1:?745<6=9168=>515d8916728>n70:?0;37`>;3890:8n52412951d<5=:;6<:n;<634?73127?<=4>3g9>057=:::019>=:333?827;38:<63;058155=:<9?1><>4=525>75734>3<7=l5:?7<4<4jh168585202891>02;9;70:78;024>;30h09==5249`9666<5=2h6???;<6;`?46827?4h4=119>0=`=:::0197?:333?82>938:<63;938175=:<091?oo4=5;7>6df34>297=ma:?7=3<4jh1684953cc891gc289<70:ne;303>;3j80:?:524c09561<5=h>6<=8;<6ag?5ei27?ni40f1=;kk019m7:2a6?82c839im63;d080g0=:1><>4=5f6>75734>o:7<>0:?7`2<599168il5125891bb289<70:j1;303>;3m<0:?:524d:9561<5=oi6<=8;<6e6?5ei27?j>40c5=;kl019h<:2a3?82a;39h>63;f280g4=:44134>m?7=mc:?7b6<4k:168k=536a891`42:=j70:i3;14=>;3n:08;5524g19721<5=l86>99;<6e7?50=27?j>4<759>0c5=;>8019h<:252?82a;39<<63;f2802c=:60c34>m?7=9c:?7b6<4>k168k=537c891`42:<270:i3;153>;3n:08:;524g19733<5=l86>8;;<6e7?51;27?j>4<639>0c5=;?;019h<:243?82a;39>j63;f2801`=:63e34>m?7=:a:?7b6<4=0168k=534:891`42:?<70:i3;162>;3n:0898524g19702<5=l86>;<;<6e7?5?:27?j>4<809>0c5=;1:019h<:25e?82a;3960?34>m?7=:d:?7b6<4=;168k=530`891`42:;270:i3;12<>;3n:08=;524g19743<5=l86>?;;<6e0?5em27?j940c2=;j:019h;:2a1?82a<39h=63;f580fa=:1=?84=5d7>6dd34>m87=l3:?7b1<4?j168k:536c891`32:=270:i4;14<>;3n=08;:524g69720<5=l?6>9:;<6e0?50<27?j94<739>0c2=;>;019h;:253?82a<39=j63;f5802`=:1?;j4=5d7>60d34>m87=9b:?7b1<4>h168k:537;891`32:<<70:i4;152>;3n=08:8524g69732<5=l?6>8<;<6e0?51:27?j94<609>0c2=;?:019h;:27e?82a<39>i63;f5801f=:1?8l4=5d7>63f34>m87=:9:?7b1<4=1168k:5345891`32:?=70:i4;161>;3n=0899524g69705<5=l?6>6=;<6e0?5?927?j94<819>0c2=;>l019h;:25f?82a<391?;64=5d7>63c34>m87=:2:?7b1<49k168k:530;891`32:;370:i4;122>;3n=08=8524g69742<5=l>6>lj;<6e1?5en27?j840c3=;j8019h::2a2?82a=39ih63;f48263=:6e434>m97m=;<6e1?e734>m97=8c:?7b0<4?h168k;536;891`22:=370:i5;143>;3n<08;;524g79723<5=l>6>9;;<6e1?50:27?j84<709>0c3=;>:019h::24e?82a=39=i63;f4802a=:60e34>m97=9a:?7b0<4>0168k;5375891`22:<=70:i5;151>;3n<08:9524g79735<5=l>6>8=;<6e1?51927?j84<619>0c3=;o63;f4801g=:63>34>m97=:8:?7b0<4=>168k;5344891`22:?>70:i5;160>;3n<089>524g797=4<5=l>6>6>;<6e1?5?827?j84<7g9>0c3=;>o019h::25g?82a=3963534>m97=>b:?7b0<490168k;530:891`22:;=70:i5;121>;3n<08=9524g7951><5=l>6<:9;<6e2?5em27?j;40c0=;j:019h9:2a1?82a>39h=63;f780fa=:6dd34>m:7=l3:?7b3;3n?08;:524g49720<5=l=6>9:;<6e2?50<27?j;4<739>0c0=;>;019h9:253?82a>39=j63;f7802`=:60d34>m:7=9b:?7b3<4>h168k8537;891`12:<<70:i6;152>;3n?08:8524g49732<5=l=6>8<;<6e2?51:27?j;4<609>0c0=;?:019h9:27e?82a>39>i63;f7801f=:63f34>m:7=:9:?7b3<4=1168k85345891`12:?=70:i6;161>;3n?0899524g49705<5=l=6>6=;<6e2?5?927?j;4<819>0c0=;>l019h9:25f?82a>3963c34>m:7=:2:?7b3<49k168k8530;891`12:;370:i6;122>;3n?08=8524g49742<5=l=6<:7;<6e2?73>27?j:40c1=;kl019h8:2a3?82a?39h>63;f680g4=:44134>m;7=mc:?7b2<4k:168k9530`891`02:;270:i7;12<>;3n>08=;524g59742<5=l36>lj;<6e0c>=;j8019h7:2a2?82a039ih63;f98263=:6e434>m47=>b:?7b=<490168k6530:891`?2:;=70:i8;120>;3n008nh524g;97g`<5=l26>m?;<6e=?5d:27?j440c?=;kn019h6:005?82a139io63;f880g6=:67>34>m57=>8:?7b<<49?168k75306891`f2:hn70:ia;1ab>;3nh08o=524gc97f4<5=lj6>m>;<6ee?5el27?jl4>279>0cg=;ki019hn:2a0?82ai39:n63;f`805<=:67134>mm7=>4:?7bg<4jl168kl53cd891`e2:i;70:ib;1`6>;3nk08o<524g`97gb<5=li6<<9;<6ef?5ek27?jo40cd=k;168kl5c19>0cd=;8h019hm:23:?82aj39:463;fc8053=:42?34>mn7?;6:?7bf<4jl168km53cd891`d2:i;70:ic;1`6>;3nj08o<524ga97gb<5=lh6<<9;<6eg?5ek27?jn40ce=k;168km5c19>0ce=;8h019hl:23:?82ak39:463;fb8053=:42?34>mo7?;6:?7ba<4jl168kj53cd891`c2:i;70:id;1`6>;3nm08o<524gf97gb<5=lo6<<9;<6e`?5ek27?ji40cb=k;168kj5c19>0cb=;8h019hk:23:?82al39:463;fe8053=:42?34>mh7?;6:?7b`<4jl168kk53cd891`b2:i;70:ie;1`6>;3nl08o<524gg97gb<5=ln6<<9;<6ea?5ek27?jh40cc=k;168kk5c19>0cc=;8h019hj:23:?82am39:463;fd8053=:42?34>mi7?;6:?7bc<4jh169=>53cc890662:hj70;?2;1ae>;28:08nl5251697gg<5<:>6>ln;<732?5ei273j<4>369>32m70o:6;;2?8g2>33870o:6;;7?8g2032m70o:8;;2?8g2033870o:8;;7?8g2i32m70o:a;;2?8g2i33870o:a;;7?8g2k32m70o:c;;2?8g2k33870o:c;;7?8g2m32m70o:e;;2?8g2m33870o:e;;7?8g1832m70o90;;2?8g1833870o90;;7?8g1:32m70o92;;2?8g1:33870o92;;7?8g1<32m70o94;;2?8g1<33870o94;;7?8g1>32m70o96;;2?8g1>33870o96;;7?8g1032m70o98;;2?8g1033870o98;;7?8g1i32m70o9a;;2?8g1i33870o9a;;7?8g1k32m70o9c;;2?8g1k33870o9c;;7?8g1m32m70o9e;;2?8g1m33870o9e;;7?8g0832m70o80;;2?8g0833870o80;;7?8g0:32m70o82;;2?8g0:33870o82;;7?8g0<32m70o84;;0?8g0<33?70o86;:e?8g0>33:70o86;;0?8g0>33?70o88;:e?8g0033:70o88;;0?8g0033?70o8a;;2?8g0i33870o8a;;7?8g0k32m70o8c;;0?8g0k33?70o8e;;0?8g0m33?70o70;;2?8g?833870o70;;7?8g?:33:70o72;;0?8g?:33?70o74;;2?8g?<33870o74;;7?8g?>33:70o76;;0?8g?>33?70o78;;2?8g?033870o78;;7?8g?i33:70o7a;;0?8g?i33?70o7c;;2?8g?k33870o7c;;7?8g?m33:70o7e;;0?8g?m33?70o60;;2?8g>833870o60;;7?8g>:33:70o62;;0?8g>:33?70o64;;2?8g><33870o64;;7?8g>>33:70o66;;0?8g>>33?70o68;;2?8g>033870o68;;7?8g>i33:70o6a;;0?8g>i33?70o6c;;2?8g>k33870o6c;;7?8g>m33:70o6e;;0?8g>m33?70on0;;2?8gf833870on0;;7?8gf:33:70on2;;0?8gf:33?70on4;;2?8gf<33870on4;;7?8gf>33:70on6;;0?8gf>33?70on8;;2?8gf033870on8;;7?8gfi33:70ona;;0?8gfi33?70onc;;2?8gfk33870onc;;7?8gfm33:70one;;0?8gfm33?70om0;;2?8ge833870om0;;7?8ge:33:70om2;;0?8ge:33?70om4;;2?8ge<33870om4;;7?8ge>33:70om6;;0?8ge>33?70om8;;2?8ge033870om8;;7?8gei33:70oma;;0?8gei33?70omc;;2?8gek33870omc;;7?8gem33:70ome;;0?8gem33?70ol0;;2?8gd833870ol0;;7?8gd:33:70ol2;;0?8gd:33?70ol4;;2?8gd<33870ol4;;7?8gd>33:70ol6;;0?8gd>33?70ol8;;2?8gd033870ol8;;7?8gdi33:70ola;;0?8gdi33?70olc;;2?8gdk33870olc;;7?8gdm33:70ole;;0?8gdm33?70ok0;;2?8gc833870ok0;;7?8gc:33:70ok2;;0?8gc:33?70ok4;;2?8gc<33870ok4;;7?8gc>33:70ok6;;0?8gc>33?70ok8;;2?8gc033870ok8;;7?8gci33:70oka;;0?8gci33?70okc;:e?8gck33:70okc;;0?8gck33?70oke;;2?8gcm33870oke;;7?8gb832m70oj0;;2?8gb833870oj0;;7?8gb:32m70oj2;;0?8gb<32m70oj4;;0?8gb>32m70oj6;;0?8gb032m70oj8;;0?8gbi32m70oja;;0?8gbk32m70ojc;;0?8gbm32m70oje;;0?8ga832m70oi0;;0?8ga:32m70oi2;;0?8ga<32m70oi4;;0?8ga>32m70oi6;;0?8ga032m70oi8;;0?8gai32m70oia;;0?8gak32m70oic;;0?8gam32m70oie;;0?8d7832m70l?0;;0?8d7:32m70l?2;;0?8d7<32m70l?4;;0?8d7>32m70l?6;;0?8d7032m70l?8;;0?8d7i32m70l?a;;0?8d7k32m70l?c;;0?8d7m32m70l?e;;0?8d6832m70l>0;;0?8d6:32m70l>2;;0?8d6<32m70l>4;;0?8d6>32m70l>6;;0?8d6032m70l>8;;0?8d6i32m70l>a;;0?8d6k32m70l>c;;0?8d6m32m70l>e;;0?8d5832m70l=0;;0?8d5:32m70l=2;;0?8d5<32m70l=4;;0?8d5>32m70l=6;;0?8d5032m70l=8;;0?8d5i32m70l=a;;0?8d5k32m70l=c;;0?8d5m32m70l=e;;0?8d4832m70l<0;;0?8d4:32m70l<2;;0?8d4<32m70l<4;;0?8d4>32m70l<6;;0?8d4032m70l<8;;0?8d4i32m70l32m70l;6;;2?8d3>33870l;6;;7?8d3032m70l;8;;2?8d3033870l;8;;7?8d3i32m70l;a;;2?8d3i33870l;a;;7?8d3k32m70l;c;;2?8d3k33870l;c;;7?8d3m32m70l;e;;2?8d3m33870l;e;;7?8d2832m70l:0;;2?8d2833870l:0;;7?8d2:32m70l:2;;2?8d2:33870l:2;;7?8d2<32m70l:4;;2?8d2<33870l:4;;7?8d2>32m70l:6;;2?8d2>33870l:6;;7?8d2032m70l:8;;2?8d2033870l:8;;7?8d2i32m70l:a;;2?8d2i33870l:a;;7?8d2k32m70l:c;;2?8d2k33870l:c;;7?8d2m32m70l:e;;2?8d2m33870l:e;;7?8d1832m70l90;;2?8d1833870l90;;7?8d1:32m70l92;;2?8d1:33870l92;;7?8d1<32m70l94;;2?8d1<33870l94;;7?8d1>32m70l96;;2?8d1>33870l96;;7?8d1032m70l98;;2?8d1033870l98;;7?8d1i32m70l9a;;2?8d1i33870l9a;;7?8d1k32m70l9c;;2?8d1k33870l9c;;7?8d1m32m70l9e;;2?8d1m33870l9e;;7?8d0832m70l80;;2?8d0833870l80;;7?8d0:32m70l82;;2?8d0:33870l82;;7?8d0<32m70l84;;2?8d0<33870l84;;7?8d0>32m70l86;;2?8d0>33870l86;;7?8d0032m70l88;;2?8d0033870l88;;7?8d0i32m70l8a;;2?8d0i33870l8a;;7?8d0k32m70l8c;;2?8d0k33870l8c;;7?8d0m32m70l8e;;2?8d0m33870l8e;;7?8d?832m70l70;;2?8d?833870l70;;7?8d?:32m70l72;;2?8d?:33870l72;;7?8d?<32m70l74;;2?8d?<33870l74;;7?8d?>32m70l76;;2?8d?>33?70l78;:e?8d?033:70l78;;7?8d?i32m70l7a;;2?8d?i33?70l7c;:e?8d?k33:70l7c;;7?8d?m32m70l7e;;2?8d?m33?70l60;:e?8d>833:70l60;;7?8d>:32m70l62;;2?8d>:33?70l64;:e?8d><33:70l64;;7?8d>>32m70l66;;2?8d>>33?70l68;:e?8d>033:70l68;;7?8d>i32m70l6a;;2?8d>i33?70l6c;:e?8d>k33:70l6c;;7?8d>m32m70l6e;;2?8d>m33?70ln0;:e?8df833:70ln0;;7?8df:32m70ln2;;2?8df:33?70ln4;:e?8df<33:70ln4;;7?8df>32m70ln6;;2?8df>33?70ln8;:e?8df033:70ln8;;7?8dfi32m70lna;;2?8dfi33?70lnc;:e?8dfk33:70lnc;;7?8dfm32m70lne;;2?8dfm33?70lm0;:e?8de833:70lm0;;7?8de:32m70lm2;;2?8de:33?70lm4;:e?8de<33:70lm4;;7?8de>32m70lm6;;2?8de>33?70lm8;:e?8de033:70lm8;;7?8dei32m70lma;;2?8dei33?70lmc;:e?8dek33:70lmc;;7?8dem32m70lme;;2?8dem33?70ll0;:e?8dd833:70ll0;;7?8dd:32m70ll2;;2?8dd:33?70ll4;:e?8dd<33:70ll4;;7?8dd>32m70ll6;;2?8dd>33?70ll8;:e?8dd033:70ll8;;7?8ddi32m70lla;;2?8ddi33?70llc;:e?8ddk33:70llc;;7?8ddm32m70lle;;2?8ddm33?70lk0;:e?8dc833:70lk0;;7?8dc:32m70lk2;;2?8dc:33?70lk4;:e?8dc<33:70lk4;;7?8dc>32m70lk6;;2?8dc>33?70lk8;:e?8dc033:70lk8;;7?8dci32m70lka;;2?8dci33?70lkc;:e?8dck33:70lkc;;7?8dcm32m70lke;;2?8dcm33?70lj0;:e?8db833:70lj0;;7?8db:32m70lj2;;2?8db:33?70lj4;:e?8db<33:70lj4;;7?8db>32m70lj6;;2?8db>33870lj8;:e?8db033:70lj8;;7?xu6>k<1<7=t^cgg?8?2139i463n01816d=z{853z\f0f=:1;31?o64=8f2>74d3ty::o650;1xZ`2e343947=m8:?:gc<5:j1v<8m9;297~Xb6cc94?5|Vl>2707=6;1a<>;>kl09>n5rs04af?6=;rTn855293797g><50ih6?=75=;k2014mm:30`?xu6>ko1<7=t^d67?8?5:39i4636c8816f=z{853z\f06=:1;;1?o64=8a4>74d3ty::n>50;1xZ`253439<7=m8:?:g=<5:j1v<8l1;297~Xb<81656b094?5|Vl>;707>e;1a<>;>k=09>n5rs04`7?6=;rTn?k5290f97g><50i>6?4=2b9~w40d=3:1?vPj3e9>=4d=;k2014m>:30`?xu6>j<1<7=t^d1`?8?6i39i4636c3816f=z{853z\f7g=:1831?o64=8a3>74d3ty::n650;1xZ`5>343:47=m8:?:f`<5:j1v<8l9;297~Xb;1165<953c:896bc94?5|Vl9<707>6;1a<>;>jm09>n5rs04`f?6=;rTn?;5290797g><50hi6?=45=;k2014ln:30`?xu6>jo1<7=t^d10?8?6:39i4636b9816f=z{853z\f77=:18;1?o64=8`:>74d3ty::i>50;1xZ`56343:<7=m8:?:f2<5:j1v<8k1;297~Xb;9165=h53c:896e094?5|Vl8n707?e;1a<>;>j?09>n5rs04g7?6=;rTn>i5291f97g><50h?6?=5d=;k2014l<:30`?xu6>m<1<7=t^d0b?8?7i39i4636b0816f=z{853z\f6<=:1931?o64=8ce>74d3ty::i650;1xZ`4?343;47=m8:?:f5<5:j1v<8k9;297~Xb:>165=953c:896ec94?5|Vl8=707?6;1a<>;>ij09>n5rs04gf?6=;rTn>85291797g><50ko6?;<;30?5e0272mo4=2b9~w40cl3:1?vPj519>=55=;k2014o6:30`?xu6>mo1<7=t^d6e?8?7:39i4636a`816f=z{853z\f0`=:19;1?o64=8c;>74d3ty::h>50;1xZ`2c343;<7=m8:?:e3<5:j1v<8j1;297~Xb<<164kh53c:896d094?5|Vl9j706ie;1a<>;>i<09>n5rs04f7?6=;rTn>k528gf97g><50k86?l<1<7=t^gda?8?2039i4636fd816f=z{853z\ebd=:1<=1?o64=8de>74d3ty::h650;1xZc`>343>:7=m8:?:ba<5:j1v<8j9;297~Xan11658;53c:89<`e2;8h7p}>6dc94?5|Vol<707:4;1a<>;>nj09>n5rs04ff?6=;rTmj;5294197g><50lj6?=07=;k2014h6:30`?xu6>lo1<7=t^gd1?8?2839i4636f6816f=z{853z\eb4=:1=l1?o64=8d6>74d3ty::k>50;1xZc`7343?i7=m8:?:b3<5:j1v<8i1;297~Xamo1659j53c:89<`32;8h7p}>6g094?5|Voon707;c;1a<>;>n;09>n5rs04e7?6=;rTmii5295`97g><50l86?=1?=;k2014ki:30`?xu6>o<1<7=t^ggb?8?3039i4636f1816f=z{853z\ea==:1==1?o64=8gf>74d3ty::k650;1xZcc0343?:7=m8:?:af<5:j1v<8i9;297~Xam?1659;53c:896gc94?5|Voo>707;4;1a<>;>mk09>n5rs04ef?6=;rTmi95295197g><50o26?=17=;k2014k7:30`?xu6>oo1<7=t^gg2?8?3839i4636e7816f=z{853z\ea5=:1:l1?o64=8g4>74d3ty:;=>50;1xZcba3438i7=m8:?:a0<5:j1v<9?1;297~Xalm165>j53c:8971094?5|Vonh707;>m=09>n5rs0537?6=;rTmho5292`97g><50o96?=6?=;k2014k>:30`?xu6?9<1<7=t^gf;?8?4039i4636dg816f=z{8=;;7>53z\e`2=:1:=1?o64=8fg>74d3ty:;=650;1xZcb13438:7=m8:?:``<5:j1v<9?9;297~Xal<165>;53c:8971c94?5|Von?707<4;1a<>;>lh09>n5rs053f?6=;rT:<=>4=810>6d?343on7<=c:p526d2908wShif:?:77<4j1165i7523a8yv708m0;6>uQfgg89<562:h3707k7;01g>{t9>:n6=4<{_de`>;>;908n5529e:967el7;<;g2?45k2wx=:??:180[`a<272>h4=a2=:;i0q~?81083>6}Ynl30145<4sWloi6362b80f==:1m91>?m4}r3456<72:qUji=4=80a>6d?343o>7<=c:p52732908wShk2:?:6d<4j1165i>523a8yv709<0;6luQ174a?826<39i46366c816<=:1>81>?74=855>74e3432>7<=a:?:=6<5:k1654:523`89{t9>;=6=4={_352d=:<8=1?o64}r3452<72;qUo<74=53b>6d?3ty:;<650;33<~;4ml08=k523dd9740<5:om6>?:;<1fb?56<278ik4<129>7c6=;8<01>h?:236?85a839:863>>4=2db>6e2349mo7?<7:?747<4k<168=;53b7891602;;;70:74;004>;30?08o85249:9561<5=2j6>m:;<6;g?74?27?4h40<7=;j?019l::333?82en388<63;c88175=:1?n;4=5f5>45034>on7<>0:?7a0<599168k=5305891`42:;870:i4;123>;3n=08=>524g79741<5=l>6>?<;<6e2?56?27?j;4<129>0c1=;8=019h8:236?82a?39:?63;f98052=:67434>m57=>7:?7b<<49<168k75301891`f2:;<70:ia;121>;3nh08=>524g`9741<5=li6>?:;<6ef?56;27?jn4<169>0ce=;8?019hl:230?82al39:;63;fe8050=:67034>mi7=>5:?7b`<49:165;:520289d3720901l;=:9d89d1320;01l9n:9d89d1d20;01l9j:9d89d1b20;01l6?:9d89d>521l01l6;:9d89d>121l01l67:9d89d>f21l01l6l:9d89d>b21l01l7?:9d89d?521l01l7;:9d89d?121l01l77:9d89d?f21l01l7l:9d89d?b21l01lo?:9d89dg521l01lo;:9d89dg121l01lo7:9d89dgf21l01lol:9d89dgb21l01ll?:9d89dd521l01ll;:9d89dd121l01ll7:9d89ddf21l01lll:9d89ddb21l01lm?:9d89de521l01lm;:9d89de121l01lm7:9d89def21l01lml:9d89deb21l01lj?:9d89db521l01lj;:9d89db121l01lj7:9d89dbf21l01ljj:9d89dc520;01lk=:8689dc320;01lk;:8689dc120;01lk9:8689dc?20;01lk7:8689dcf20;01lkn:8689dcd20;01lkl:8689dcb20;01lkj:8689d`720;01lh?:8689d`520;01lh=:8689d`320;01lh;:8689d`120;01lh9:8689d`?20;01lh7:8689d`f20;01lhn:8689d`d20;01lhl:8689d`b20;01lhj:8689g6720;01o>?:8689g6520;01o>=:8689g6320;01o>;:8689g6120;01o>9:8689g6?20;01o>7:8689g6f20;01o>n:8689g6d20;01o>l:8689g6b20;01o>j:8689g7720;01o??:8689g7520;01o?=:8689g7320;01o?;:8689g7120;01o?9:8689g7?20;01o?7:8689g7f20;01o?n:8689g7d20;01o?l:8689g7b20;01o?j:8689g4720;01o120901o67:8189g>f20901o6l:8189g>b20901o7?:8189g?520901o7;:8189g?120901o77:8189g?f20901o7l:8189g?b20901oo?:8189gg520901oo;:8189gg120901oo7:8189ggf20901ool:8189ggb20901ol?:8189gd520901ol;:8189gd120901ol7:8189gdf20901oll:8189gdb20901om?:8189ge520901om;:8189ge120901om7:8189gef20901oml:8189geb20901oj?:8189gb520901oj;:8189gb120901oj7:8189gbf20901ojl:8189gbb20901ok?:8189gc520901ok;:8189gc120>01ok7:818yv70900;6?u23dd9g7=:;oo1?>o4}r345d<72;q6?hh5c19>7cc=;:30q~?81c83>7}:;ll1nk523gg976>7``=jl16?kk53258yv709m0;6?u23dd9fa=:;oo1?>;4}r345`<72;q6?hh5bb9>7cc=;:>0q~?81g83>7}:;ll1no523gg9765=4?:3y>7``=jh16?kk53208yv70:80;6?u23dd9gg=:;oo1?>?4}r3467<72;q6?hh5c`9>7cc=;::0q~?82283>7}:;ll1o4523gg977`94?:3y>7``=k116?kk533g8yv70:<0;6?u23dd9g2=:;oo1??j4}r3463<72;q6?hh5c79>7cc=;;i0q~?82683>7}:;ll1o8523gg977g54?:3y>7``=k=16?kk533;8yv70:00;6?u23dd9g6=:;oo1??64}r346d<72;q6?hh5b89>7cc=;;=0q~?82c83>45|5:om61b9>7``=98h01>ki:03b?85bn3;:563472349nj7?>4:?0ac<69:16?hh5100896ca28;:70=jf;324>;4mo0:k;<1fb?77k278ji4<369~w415k3:1>v3;4}r346a<72;q6?hh511;896`c2:9?7p}>73g94?4|5:om6<>7;<1e`?54;2wx=:52z?0ac<68?16?kj53238yv70;80;6?u23dd9553<5:lo6>=?;|q2364=838p1>ki:027?85al399j6s|1610>5<5s49nj7??3:?0ba<4:l1v<9<4;296~;4mo0:7``=99;01>hk:20`?xu6?:<1<7c`<5:lo6>ki:gg896`c2:827p}>72:94?4|5:om6kj4=2dg>64?3ty:;>750;0x96ca2oi01>hk:204?xu6?:k1<7cd<5:lo6><9;|q236d=838p1>ki:gc896`c2:8>7p}>72a94?4|5:om6k74=2dg>6433ty:;>j50;0x96ca2o201>hk:200?xu6?:o1<7c1<5:lo6><=;|q236`=838p1>ki:g4896`c2:8:7p}>75294?4|5:om6<<:;<1e`?5282wx=::>:18185bn3;98637>52z?0ac<6::16?kj535g8yv70<:0;6?u23dd9574<5:lo6>:k;|q2312=838p1>ki:002?85al39?o6s|1666>5<5s49nj7?=0:?0ba<47``=99h01>hk:215?xu6?=21<7467349mh7==b:p522>2909w0=jf;d6?85al399<6s|166b>5<5s49nj7=<7:?:b`<5:k1v<9;b;296~;4mo08?8529gd967d7``=;:>014hk:30a?xu6?=n1<7654343mn7<=b:p522b2909w0=jf;106>;>nj09>o5rs057b?6=:r78ik4<309>=cg=:;h0q~?85183>7}:;ll1?>>4=8d;>74e3ty:;8?50;0x96ca2:8m707i9;01f>{t9>?96=4={<1fb?55m272j:4=2c9~w412;3:1>v3?l4}r3411<72;q6?hh533a89<`12;8i7p}>74794?4|5:om6>;7>52z?0ac<4:1165k=523`8yv70=10;6?u23dd9771<50l:6?ki:205?8?bn389n6s|167b>5<5s49nj7==5:?:b5<5:k1v<9:b;296~;4mo08>9529dg967d7``=;;9014kl:30a?xu6?645343nh7<=b:p523b2909w0=jf;115>;>mk09>o5rs056b?6=:r78ik4<519>=`?=:;h0q~?86183>7}:;ll1?9h4=8gb>74e3ty:;;?50;0x96ca2:>n707j8;01f>{t9><96=4={<1fb?53l272i;4=2c9~w411;3:1>v3?l4}r3421<72;q6?hh535`8977794?4|5:om6>:>;<;f7?45j2wx=:89:18185bn398:636e5816g=z{8==;7>52z?0ac<4:k165h<523`8yv70>10;6?u23dd9776<50o;6?;4mo0:8;523dd9513<5:om6<:;;<1fb?73;278ik4>439>7``=9=;01>ki:063?85bn3;>=6342b349nj7?;d:?0ac<6j70=jf;37=>;4mo0:?k523dd9=`=:;ll15n523dd9=g=:;ll15l523dd9=<=:;ll155523dd9=2=:;ll15;523dd9e2=:;ll1m;523dd9e0=:;ll1m9523dd9e6=:;oo1?9>4}r342d<72;q6?hh5a39>7cc=;:l0q~?86c83>7}:;ll1m<523gg976c7``=i916?kk532f8yv70>m0;6?u23dd9=c=:;oo1?>m4}r342`<72;q6?hh5949>7cc=;:h0q~?86g83>7}:;o:1o?52412976g7c6=k9168=>532;8yv70?80;6?u23g29fc=:<9:1?>64}r3437<72;q6?k>5bd9>056=;:=0q~?87283>7}:;o:1ni5241297637c6=jj168=>53268yv70?<0;6?u23g29fg=:<9:1?>=4}r3433<72;q6?k>5b`9>056=;:80q~?87683>7}:;o:1oo5241297677c6=kh168=>53228yv70?00;6?u23g29g<=:<9:1??h4}r343d<72;q6?k>5c99>056=;;o0q~?87c83>7}:;o:1o:52412977b7c6=k?168=>533a8yv70?m0;6?u23g29g0=:<9:1??o4}r343`<72;q6?k>5c59>056=;;30q~?87g83>7}:;o:1o>52412977>7c6=j0168=>53358yv70080;6<=t=2d3>47a349m<7?>d:?0b5<69j16?k>510`896`728;j70=i0;32=>;4n90:=5523g29541<5:l;6129>7c6=98801>h?:032?85a83;:<6346c349m<7??c:?0bc<4;>1v<972;296~;4n90:4?:3y>7c6=99301>hi:217?xu6?1>1<746?349mj7=<3:p52>22909w0=i0;333>;4no08??5rs05;2?6=:r78j=4>079>7c`=;:;0q~?88683>7}:;o:1==;4=2de>6573ty:;5650;0x96`728:?70=if;11b>{t9>226=4={<1e4?77;278jk4<2d9~w41?i3:1>v35113896`a2:8h7p}>79a94?4|5:l;6kh4=2de>64f3ty:;5j50;0x96`72oo01>hi:20:?xu6?1o1<7cb<5:lm6><7;|q23=`=838p1>h?:ga896`a2:8<7p}>78294?4|5:l;6kl4=2de>6413ty:;4?50;0x96`72ok01>hi:206?xu6?081<7c?<5:lm6><;;|q23<5=838p1>h?:g:896`a2:887p}>78694?4|5:l;6k94=2de>6453ty:;4;50;0x96`72o<01>hi:202?xu6?0<1<7442349mj7=:0:p52?02909w0=i0;310>;4no088k5rs05:229>7c`=;=o0q~?89883>7}:;o:1=?<4=2de>62c3ty:;4o50;0x96`7288:70=if;17g>{t9>3i6=4={<1e4?758278jk4<4c9~w41>k3:1>v3511`896`a2:9=7p}>78g94?4|5:l;6<>?;<1eb?55j2wx=:7i:18185a83l>70=if;114>{t9>k;6=4={<1e4?54?272h<4=2c9~w41f93:1>v3?l4}r34e7<72;q6?k>5326897`194?4|5:l;6>=<;<;`a?45j2wx=:o;:18185a8398>636cb816g=z{8=j97>52z?0b5<4;8165no523`8yv70i?0;6?u23g29766<50ii6?h?:20e?8?d1389n6s|16c;>5<5s49m<7==e:?:g2<5:k1v<9n9;296~;4n908>i529b:967d7c6=;;i014m9:30a?xu6?hh1<764f343h87<=b:p52gd2909w0=i0;11=>;>k<09>o5rs05b`?6=:r78j=4<299>=f5=:;h0q~?8ad83>7}:;o:1??94=8a2>74e3ty:;lh50;0x96`72:8=707l2;01f>{t9>h;6=4={<1e4?55=272o=4=2c9~w41e93:1>v3?l4}r34f7<72;q6?k>5331897c194?4|5:l;6><=;<;a`?45j2wx=:l;:18185a8399=636bc816g=z{8=i97>52z?0b5<4=9165om523`8yv70j?0;6?u23g2971`<50hj6?h?:26f?8?e0389n6s|16`;>5<5s49m<7=;d:?:f<<5:k1v<9m9;296~;4n9088n529c5967d7c6=;=h014l::30a?xu6?kh1<7626343i:7<=b:p52dd2909w0=i0;102>;>j=09>o5rs05a`?6=:r78j=4<2c9>=g4=:;h0q~?8bd83>7}:;o:1??>4=8`0>74e3ty:;oh50;0385a83;?463423349m<7?;3:?0b5<6<;16?k>5153896`728>;70=i0;365>;4n90:9=523g2951`<5:l;6<:j;<1e4?73l278j=4>4b9>7c6=9=h01>h?:06b?85a83;?5635241297167c6=i;168=>532d8yv70k80;6?u23g29e4=:<9:1?>k4}r34g7<72;q6?k>5a19>056=;:n0q~?8c283>7}:;o:15k52412976e7c6=1<168=>532`8yv70k<0;69u23g39646<503:1?v3>>4=84b>6773ty:;n950;0x96`52:;;70o?6;30`>{t9>i36=4={<1e7?74l272:84<119~w41d13:1:v3672349mj7=>5:?745<49<165;;523a8yv70kh0;6?u23g6956b<50
??;|q23fd=83h;:2`;?85al39:863673343=o7<=b:p52ed2909w0=i5;30`>;>>m08==5rs05``?6=>r78j847cb=;8901>hj:230?85an39:?63;018056=:1?n1>?l4}r34g`<72;q6?k85125896`f2:;;7p}>7bd94?2|5:l=6???;e15=:;20q~?8d183>7}:;o<1?n;4=`60>6773ty:;i?50;0x96`12:;;70=i7;303>{t9>n96=4={<1e3?46827j884<119~w41c;3:1>v34}r34`1<72:q6?k95223896`?289<70:?9;30`>{t9>n>6=4={<1e3e9~w41c>3:1>v34}r34`2<72=q6?k752028916f2:h370784;01=>;>?<09>45rs05g=22=;8:0q~?8d883>6}:;o31?<>4=2db>450349mn7?<7:p52bf2909w0=ib;124>;38h0:?i5rs05gf?6=:r78jn4=26=;8:0q~?8db83>f}:;on1o?523gf9g5=:;on1nk523gf9f`=:;on1ni523gf9ff=:;on1no523gf9fd=:;on1oo523gf9gd=:;on1o452b9;97g>7cb=k116n5l53c:8yv70ll0;6?u23gf9g2=:j1=1?o64}r34`c<72;q6?kj5c79>f=`=;k20q~?8e183>7}:;on1o852b8397g>7cb=k=16n5j53c:8yv70m;0;6?u23gf9g6=:j091?o64}r34a6<72;q6?kj5b89>f<3=;k20q~?8e583>7}:;on1?:m4=5d;>6gc3ty:;h;50;0x96`c2:=j70:i8;1bf>{t9>o=6=4={<1e`?50127?j54v37d;94?4|5:lo6>99;<6e52z?0ba<4?=168k653`78yv70mj0;6?u23gf9724<5=l36>o<;|q23`b=838p1>hk:252?82a039j>6s|16gf>5<5s49mh7=80:?7b=<4i81v<9jf;296~;4nm08:k524g:97d67cb=;?o019h7:2;e?xu6?o;1<760c34>m47=6e:p52`52909w0=id;15g>;3n1085i5rs05e7?6=:r78ji4<6c9>0c>=;0i0q~?8f583>7}:;on1?;o4=5d;>6?e3ty:;k;50;0x96`c2:<270:i8;1:e>{t9>l=6=4={<1e`?51?27?j54<999~w41a?3:1>v37g;94?4|5:lo6>8;;<6e=2wx=:hn:18185al39=?63;f980=1=z{8=mn7>52z?0ba<4>;168k653818yv70nj0;6?u23gf9737<5=l36>7=;|q23cb=838p1>hk:243?82a0392=6s|16df>5<5s49mh7=:f:?7b=<4191v<9if;296~;4nm089h524g:97=`7cb=;63e34>m47=7c:p5=652909w0=id;16e>;3n1084o5rs0:37?6=:r78ji4<589>0c>=;1k0q~?70583>7}:;on1?864=5d;>6>>3ty:4=;50;0x96`c2:?<70:i8;1;<>{t91:=6=4={<1e`?52>27?j54<869~w4>7?3:1>v37p}>81;94?4|5:lo6>;<;<6en:18185al393>63;f980f6=z{82;n7>52z?0ba<408168k653c08yv7?8j0;6?u23gf97=6<5=l36>l>;|q2<5b=838p1>hk:25e?82a039i<6s|192f>5<5s49mh7=8e:?7b=<4io1v<6?f;296~;4nm08;i524g:97dc7cb=;>9019h7:2c7?xu608;1<760?34>m47=69:p5=752909w0=id;16`>;3n1084h5rs0:27?6=:r78ji4<539>0c>=;190q~?71583>0}:;on15h523gg9=`=:;ol15h524129=`=:0jk1=h;4}r3;50<727cc=1j16?kh59b9>056=1j164no51d18yv7?9?0;68u23gf9=g=:;oo15o523gd9=g=:<9:15o528bc95`47cb=1h16?kk59`9>7c`=1h168=>59`9>0}:;on154523gg9=<=:;ol154524129=<=:0jk1=h>4}r3;5<<727cc=1116?kh5999>056=11164no51ed8yv7?9h0;68u23gf9=2=:;oo15:523gd9=2=:<9:15:528bc95ac7cb=1?16?kk5979>7c`=1?168=>5979>0}:;on1m:523gg9e2=:;ol1m:524129e2=:0jk1>=j4}r3;5a<727cc=i?16?kh5a79>056=i?164no521a8yv7?9l0;68u23gf9e0=:;oo1m8523gd9e0=:<9:1m8528bc965d7cb=i=16?kk5a59>7c`=i=168=>5a59>0}:;on1m>523gg9e6=:;ol1m>524129e6=:0jk1>=74}r3;64<727cc=i;16?kh5a39>056=i;164no521:8yv7?:;0;68u23gf9e4=:;oo1m<523gd9e4=:<9:1m<528bc95cc>4?:4y>7cb=i916?kk5a19>7c`=i9168=>5a19>0}:;on15k523gg9=c=:;ol15k524129=c=:0jk1=h64}r3;60<727cc=1<16?kh5949>056=1<164no51ea8yv7?:?0;6?u23gg9fc=:j0=1?o64}r3;62<72;q6?kk5bd9>f7}:;oo1ni52b8f97g>44?:3y>7cc=jj16n4h53c:8yv7?:h0;6?u23gg9fg=:j0h1?o64}r3;6g<72;q6?kk5b`9>fd5=;k20q~?72b83>7}:;oo1oo52b`797g>i4?:3y>7cc=kh16nl?53c:8yv7?:l0;6?u23gg9g<=:jh31?o64}r3;6c<72;q6?kk5c99>fdd=;k20q~?73183>7}:;oo1o:52b`597g>7cc=k?16nlj53c:8yv7?;;0;6?u23gg9g0=:jhl1?o64}r3;76<72;q6?kk5c59>fg7=;k20q~?73583>7}:;oo1o>52bc197g>7cc=j016no953c:8yv7?;?0;6?u23gg972e<5=lh6>ok;|q2<61=838p1>hj:25b?82ak39jn6s|191;>5<5s49mi7=89:?7bf<4ih1v<6<9;296~;4nl08;5524ga97d?7cc=;>=019hl:2c;?xu60:h1<761134>mo7=n7:p5=5d2909w0=ie;141>;3nj08m;5rs0:0`?6=:r78jh4<759>0ce=;h?0q~?73d83>7}:;oo1?:<4=5d`>6g43ty:4>h50;0x96`b2:=:70:ic;1b6>{t91>;6=4={<1ea?50827?jn4393:1>v34}r3;07<72;q6?kk537g891`d2:3m7p}>85194?4|5:ln6>8k;<6eg?5>m2wx=5:;:18185am39=o63;fb80=a=z{82?97>52z?0b`<4>k168km538a8yv7?7m;|q2<11=838p1>hj:24:?82ak392m6s|196;>5<5s49mi7=97:?7bf<4111v<6;9;296~;4nl08:;524ga97<17cc=;??019hl:2;5?xu60=h1<760334>mo7=65:p5=2d2909w0=ie;157>;3nj08595rs0:7`?6=:r78jh4<639>0ce=;090q~?74d83>7}:;oo1?;?4=5d`>6?53ty:49h50;0x96`b2:<;70:ic;1:5>{t91?;6=4={<1ea?52n27?jn4<919~w4>293:1>v384194?4|5:ln6>;m;<6eg?5?k2wx=5;;:18185am39>m63;fb8097>52z?0b`<4=0168km539c8yv7?=?0;6?u23gg970><5=lh6>66;|q2<01=838p1>hj:274?82ak39346s|197;>5<5s49mi7=:6:?7bf<40>1v<6:9;296~;4nl0898524ga97=07cc=;<>019hl:2:6?xu6063434>mo7=74:p5=3d2909w0=ie;1;6>;3nj08n>5rs0:6`?6=:r78jh4<809>0ce=;k80q~?75d83>7}:;oo1?5>4=5d`>6d63ty:48h50;0x96`b2:=m70:ic;1a4>{t91<;6=4={<1ea?50m27?jn4193:1>v387194?4|5:ln6>87;<6eg?5>12wx=58;:18185am39>h63;fb80<`=z{82=97>52z?0b`<4=;168km53918yv7?>?0;6?u23gg9770<5k>?6<=k;|q2<31=838p1>hj:206?8d303;8h6s|194;>5<5s49mi7==4:?a0d<6;m1v<699;296~;4nl08>>52b54956b7cc=;;801o:j:01g?xu60?h1<764634h><7?;ef04=9:n0q~?76d83>7}:;oo1?9k4=c77>45c3ty:4;h50;0x96`b2:>o70l:6;30`>{t91=;6=4={<1ea?53k27i954>3e9~w4>093:1>v3j4}r3;37<72;q6?kk535389g3b289o7p}>86194?4|5:ln6>=9;<`6e?74l2wx=59;:18185am399n63m63827a=z{82<97>52z?0b`<4:916n;:512f8yv7???0;6nu23gd9g7=:;ol1o=523gd9fc=:;ol1nh523gd9fa=:;ol1nn523gd9fg=:;ol1nl523gd9gg=:;ol1ol523gd9g<=:jk31?o64}r3;32<72;q6?kh5c99>fg3=;k20q~?77983>7}:;ol1o:52bcf97g>7c`=k?16noh53c:8yv7??h0;6?u23gd9g0=:jkh1?o64}r3;3g<72;q6?kh5c59>ff5=;k20q~?77b83>7}:;ol1o>52bb797g>7c`=j016nn?53c:8yv7??l0;6?u23gd972e<5=l<6>ok;|q2<2`=838p1>hi:25b?82a?39jn6s|19:3>5<5s49mj7=89:?7b2<4ih1v<671;296~;4no08;5524g597d?7c`=;>=019h8:2c;?xu60191<761134>m;7=n7:p5=>32909w0=if;141>;3n>08m;5rs0:;1?6=:r78jk4<759>0c1=;h?0q~?78783>7}:;ol1?:<4=5d4>6g43ty:45950;0x96`a2:=:70:i7;1b6>{t91236=4={<1eb?50827?j:4?13:1>v34}r3;89`94?4|5:lm6>8k;<6e3?5>m2wx=56l:18185an39=o63;f680=a=z{823h7>52z?0bc<4>k168k9538a8yv7?0l0;6?u23gd973g<5=l<6>7m;|q2<=`=838p1>hi:24:?82a?392m6s|19;3>5<5s49mj7=97:?7b2<4111v<661;296~;4no08:;524g597<17c`=;??019h8:2;5?xu60091<760334>m;7=65:p5=?32909w0=if;157>;3n>08595rs0::1?6=:r78jk4<639>0c1=;090q~?79783>7}:;ol1?;?4=5d4>6?53ty:44950;0x96`a2:<;70:i7;1:5>{t91336=4={<1eb?52n27?j:4<919~w4>>13:1>v388`94?4|5:lm6>;m;<6e3?5?k2wx=57l:18185an39>m63;f68052z?0bc<4=0168k9539c8yv7?1l0;6?u23gd970><5=l<6>66;|q2<<`=838p1>hi:274?82a?39346s|19c3>5<5s49mj7=:6:?7b2<40>1v<6n1;296~;4no0898524g597=07c`=;<>019h8:2:6?xu60h91<763434>m;7=74:p5=g32909w0=if;1;6>;3n>08n>5rs0:b1?6=:r78jk4<809>0c1=;k80q~?7a783>7}:;ol1?5>4=5d4>6d63ty:4l950;0x96`a2:=m70:i7;1a4>{t91k36=4={<1eb?50m27?j:4f13:1>v38``94?4|5:lm6>87;<6e3?5>12wx=5ol:18185an39>h63;f680<`=z{82jh7>52z?0bc<4=;168k953918yv7?il0;6?u24129fc=:jj=1?o64}r3;ec<72;q68=>5bd9>ff?=;k20q~?7b183>7}:<9:1ni52bb`97g>056=jj16nnj53c:8yv7?j;0;6?u24129fg=:jm;1?o64}r3;f6<72;q68=>5b`9>fa5=;k20q~?7b583>7}:<9:1oo52bbd97g>056=kh16ni953c:8yv7?j?0;6?u24129g<=:jm31?o64}r3;f2<72;q68=>5c99>fa3=;k20q~?7b983>7}:<9:1o:52bef97g>056=k?16nih53c:8yv7?jh0;6?u24129g0=:jmh1?o64}r3;fg<72;q68=>5c59>f`7=;k20q~?7bb83>7}:<9:1o>52bd197g>056=j016nh;53c:8yv7?jl0;6?u2412972e<5=li6>ok;|q2?:25b?82aj39jn6s|19a3>5<5s4>;<7=89:?7bg<4ih1v<6l1;296~;38908;5524g`97d?056=;>=019hm:2c;?xu60j91<761134>mn7=n7:p5=e32909w0:?0;141>;3nk08m;5rs0:`1?6=:r7?<=4<759>0cd=;h?0q~?7c783>7}:<9:1?:<4=5da>6g43ty:4n950;0x91672:=:70:ib;1b6>{t91i36=4={<634?50827?jo4d13:1>v3;01802c=:4}r3;gd<72;q68=>537g891`e2:3m7p}>8b`94?4|5=:;6>8k;<6ef?5>m2wx=5ml:181827839=o63;fc80=a=z{82hh7>52z?745<4>k168kl538a8yv7?kl0;6?u2412973g<5=li6>7m;|q2?:24:?82aj392m6s|19f3>5<5s4>;<7=97:?7bg<4111v<6k1;296~;38908:;524g`97<1056=;??019hm:2;5?xu60m91<760334>mn7=65:p5=b32909w0:?0;157>;3nk08595rs0:g1?6=:r7?<=4<639>0cd=;090q~?7d783>7}:<9:1?;?4=5da>6?53ty:4i950;0x91672:<;70:ib;1:5>{t91n36=4={<634?52n27?jo4<919~w4>c13:1>v3;01801`=:534a891`e2:2o7p}>8e`94?4|5=:;6>;m;<6ef?5?k2wx=5jl:181827839>m63;fc8052z?745<4=0168kl539c8yv7?ll0;6?u2412970><5=li6>66;|q2?:274?82aj39346s|19g3>5<5s4>;<7=:6:?7bg<40>1v<6j1;296~;3890898524g`97=0056=;<>019hm:2:6?xu60l91<763434>mn7=74:p5=c32909w0:?0;1;6>;3nk08n>5rs0:f1?6=:r7?<=4<809>0cd=;k80q~?7e783>7}:<9:1?5>4=5da>6d63ty:4h950;0x91672:=m70:ib;1a4>{t91o36=4={<634?50m27?jo4b13:1>v3;01803a=:5361891`e2:k?7p}>8d`94?4|5=:;6>87;<6ef?5>12wx=5kl:181827839>h63;fc80<`=z{82nh7>52z?745<4=;168kl53918yv7?ml0;6?u24129770<5k<;6<=k;|q2<``=838p19>?:206?8d103;8h6s|19d3>5<5s4>;<7==4:?a2d<6;m1v<6i1;296~;38908>>52b74956b056=;;801o8j:01g?xu60o91<764634h<<7?;e>j0:?i5rs0:e1?6=:r7?<=4<4g9>f22=9:n0q~?7f783>7}:<9:1?9k4=c55>45c3ty:4k950;0x91672:>o70l82;30`>{t91l36=4={<634?53k27i;l4>3e9~w4>a13:1>v3;01800g=:j>i1=>j4}r3;bd<72;q68=>535389g1?289o7p}>8g`94?4|5=:;6>=9;<`;4?74l2wx=5hl:1818278399n63m83827a=z{82mh7>52z?745<4:916n:k512f8yv7?nl0;6>u24139561<5=:96<=8;<637?5682wx=5hi:181827939:<63;10827a=z{83;<7>56z?746<6;>168<>53c:89<0>2;827079f;01e>;>0;09>l52b50956b055=;j?0149>:233?xu61981<7:t=527>45034>:>7=m8:?:2d<5:h165;l523a8yv7>8:0;6?u241697f3<5h>?6>??;|q2=52=839p19>;:233?827=3;8;63;078272=z{83;97>52z?740<499168=951258yv7>8?0;6?u24149746<5=;86<=k;|q2=51=838p19>8:2a6?8?0:39:<6s|182;>5<5s4>;;7=>0:?751<6;m1v<7?9;2924}:<921?o64=8c1>74>343j?7<=9:?:e1<5:0165l;523;89;>i109>4529`;967?<50kj6?<6;<;bf?451272mn4=289>=db=:;3014oj:30:?8?fn3895636b1816<=:1k;1>?74=8`1>74>343i?7<=9:?:f1<5:0165o;523;89;>j109>4529c;967?<50hj6?<6;<;af?451272nn4=289>=gb=:;3014lj:30:?8?en3895636c1816<=:1j;1>?74=8a1>74>343h?7<=9:?:g1<5:0165n;523;89;>k109>4529b;967?<50ij6?<6;<;`f?451272on4=289>=fb=:;3014mj:30:?8?dn3895636d1816<=:1m;1>?74=8f1>74>343o?7<=9:?:`1<5:0165i;523;89;>l109>4529e;967?<50nj6?<6;<;gf?451272hn4=289>=ab=:;3014jj:30:?8?cn3895636e1816<=:1l;1>?74=8g1>74>343n?7<=9:?:a1<5:0165h;523;89;>m109>4529d;967?<50oj6?<6;<;ff?451272in4=289>=`b=:;3014kj:30:?8?bn3895636f1816<=:1o;1>?74=8d1>74>343m?7<=9:?:b1<5:0165k;523;89<`12;82707i7;01=>;>n109>4529g;967?<50lj6?<6;<;ef?451272jn4=289>=cb=:;3014hj:30:?8?an389563n26816<=:i=?1>?64}r3:4d<72;3k>08n5524g0956b05d=;k2019m::333?8g3i389463n4d827a=z{83;o7>53z?74f<6;m168=j512f89<0?2:;;7p}>91f94?4|5=:h6>l7;j:181827m3;8h636668055=z{83;j7>53z?74`<4j1165;9523a89<0>2;8o7p}>90294?4|5=:m6<=k;<;5=?5682wx=4?>:185827n39i463666816d=:1?21>?74=84:>74e34k8o7<=b:?b3`<6;m1v<7>2;296~;3990:?i5297d97464?:9y>047=;k201488:30a?8?10389n63668816f=:1?l1>?74=8;5>74f34k8o7<=c:?b15<6;m1v<7>4;296~;39;0:?i5297`9746045=;k20148m:30a?xu618<1<745c343=:7=>0:p5<702909w0:>5;1a<>;39m0i86s|183;>5<5s4>::7?9;296~;39?08n55240f9f7=z{83:m7>565y>041=9:n0149;:30a?8?0=389m636a3816d=:1h91>?o4=8c7>74f343j97<=a:?:e3<5:h165l9523c89;>ih09>l529``967g<50kh6?=d`=:;k014l?:30b?8?e9389m636b3816d=:1k91>?o4=8`7>74f343i97<=a:?:f3<5:h165o9523c89;>jh09>l529c`967g<50hh6?=g`=:;k014m?:30b?8?d9389m636c3816d=:1j91>?o4=8a7>74f343h97<=a:?:g3<5:h165n9523c89;>kh09>l529b`967g<50ih6?=f`=:;k014j?:30b?8?c9389m636d3816d=:1m91>?o4=8f7>74f343o97<=a:?:`3<5:h165i9523c89;>lh09>l529e`967g<50nh6?=a`=:;k014k?:30b?8?b9389m636e3816d=:1l91>?o4=8g7>74f343n97<=a:?:a3<5:h165h9523c89;>mh09>l529d`967g<50oh6?=``=:;k014h?:30b?8?a9389m636f3816d=:1o91>?o4=8d7>74f343m97<=a:?:b3<5:h165k9523c89<`?2;8j707i9;01e>;>nh09>l529g`967g<50lh6?=c`=:;k01l>?:30:?8g79389n63me680f==z{83:n7>53z?752<4jh16890a94?2|5=;36<=k;<;b4?45i27j9>4f=2=9:n0q~?61e83>7}:<821?o64=5c;>45c3ty:5289o70:>b;1a<>;f=;0:?i5rs0;2b?6=;r7?=44=30=:;h0148j:30b?xu61;:1<745c343<97=>0:p5<46290=w0:>b;30`>;39j08n552975967?<50<36?v3;1b827a=:1><1?<>4}r3:66<720ae=:8:0147>:30b?8?><389o63n1380f==z{83987>55z?75a=<7=:;h0147<:30`?8g6;39i46s|1806>5<4s4>:h7:?0;6>u240f9653<5h2;6<=k;j4}r3:6=<72:q683289o70oj8;30`>{t90826=4<{<62`?47:27j4;4>3e9>e`g=9:n0q~?62`83>6}:<8n1>=?4=`:b>45c34kno7?d;034>;f0j0:?i52adg956bn4?:2y>04b=9ol01l67:01g?8ga:3;8h6s|180g>5<4s4>:h7?id:?b=5<6;m16mk:512f8yv7>:l0;6>u240f95ce<5h396<=k;j4}r3:75<72:q68{t909:6=4<{<62`?7a127j554>3e9>ec0=9:n0q~?63383>6}:<8n1=k64=`;7>45c34kmi7?d;3e3>;f1h0:?i52b12956b04b=9o<01l7l:01g?8gak3;8h6s|1816>5<4s4>:h7?i5:?b=`<6;m16n=:512f8yv7>;?0;6>u240f95c2<5hk;6<=k;<`32?74l2wx=4=8:180826l3;m>63na5827a=:j981=>j4}r3:7=<72:q68{t90926=4<{<62`?7a827jm?4>3e9>f5e=9:n0q~?63`83>6}:<8n1=hh4=`cb>45c34h;47?d;3fa>;fij0:?i52b02956b04b=9ln01lo7:01g?8d6:3;8h6s|181g>5<4s4>:h7?jc:?bf5<6;m16n=k512f8yv7>;l0;6>u240f95`d<5hh96<=k;<`22?74l2wx=4=i:180826l3;nm63nad827a=:j821=>j4}r3:05<72:q684;30`>{t90>:6=4<{<62`?7b?27jn;4>3e9>f4g=9:n0q~?64383>6}:<8n1=h84=``;>45c34h:o7?d;3f1>;fjh0:?i52b0g956b04b=9l901llj:01g?8d583;8h6s|1866>5<4s4>:h7?j2:?bg5<6;m16n?:512f8yv7>u240f95`7<5hhh6<=k;<`12?74l2wx=4:8:180826l3;n<63nc5827a=:j;81=>j4}r3:0=<72:q68{t90>26=4<{<62`?7cm27jo?4>3e9>f7e=9:n0q~?64`83>6}:<8n1=ij4=`ab>45c34h947?d;03`>;fkj0:?i52b22956b04b=:9i01lm7:01g?8d4:3;8h6s|186g>5<4s4>:h7u240f965g<5hn;6<=k;<`00?74l2wx=4:i:180826l38;563nd3827a=:j:<1=>j4}r3:15<72:q68{t90?:6=4<{<62`?7am27jh54>3e9>f6g=9:n0q~?65383>6}:<8n1=k=4=`fb>45c34h8i7?d;3f<>;fl?0:?i52b52956b04b=9mi01ljj:01g?8d4k3;8h6s|1876>5<5s4>3<7?:01g?82?;388=63;858272=z{83>47>54z?7<4<4j11685<5125891?c289o70o;6;01<>{t90?26=4:{<6;6?46827?5:4>3e9>0gb=9:n019mi:014?82c839i46s|187b>5<5s4>3>7=l5:?:3<<4991v<7:b;296~;30;08==5249195610=5=:8:019m>:333?82d:38:<63;d080f==z{83>h7>52z?7<6<4k<165:o53028yv7>=l0;6?u24979561<5=236>??;|q2=0`=83039i463679816f=:1191>?o4=8:7>74d343397<=9:p5<072909w0:75;1`1>;>?o08==5rs0;55?6=;r7?484<119>0=0=9:=01968:014?xu61?81<767734>247?;>?k08==5rs0;50?6=:r7?444>369>0=e=;8:0q~?66483>2}:<131><>4=5;:>6d?343<47<=b:?:3c<5:h1655=523`89<>32;8i70775;01f>{t90<=6=4<{<6;=?56827?4l4>369>0=d=9:=0q~?66683>7}:<1h1?<>4=5;:>45c3ty:5;650;0x91>d2:i>7078c;124>{t90<26=4={<6;`?5d=27j>54<119~w4?1i3:1?v3;8e8055=:<1o1=>94=5:e>4503ty:5;l50;0x91>b2:;;70:60;303>{t903e9~w4?1l3:1>v3;9180g0=:1>n1?<>4}r3:2`<72:q684>5302891?6289<70:62;303>{t903e9~w4?083:1>v3;92827a=:7p}>96094?4|5=3?6<=k;<6b3?5e02wx=49<:18082><39i463:04827a=:>kn1?i;4}r3:31<72;q684;512f89<102:;;7p}>96794??|5=3>6>l7;<6:g?74l272;:4=289>=2?=:;h0149n:30b?8g4n389563n41816f=:i=;1>?j4=`61>74f3ty:5:850;0x91?1289o70788;124>{t90=<6=48{<6:2?5e0272;:4=2`9>=2>=:;301496:30:?8?0m389n63n3g816d=:i=:1>?o4}r3:3=<72;q684953c:89=ef2hi0q~?67883>7}:<0i1?o64=8::>74e3ty:5:o50;0x91?c2:h370:l0;024>{t90=i6=4:{<6:a?74l27?m=4==g=:;k0146i:30b?8g4l389n6s|185`>5<4s4>2i7=m8:?:523c8yv7>?m0;68u248d956b<5=k?6>l7;<;;3?45127j?h4=2c9>e17=:;k0q~?67d83>7}:<0l1?o64=8:;>74>3ty:5:h50;`x91g7289o70:n8;1a<>;>0>09>l5299:967g<50226?==e=:;k0146j:30b?8g3?389463n4e816==z{833<7>52z?7e4<6;m16m9?53028yv7>080;6>u24`397g><502h6?<6;<;;`?45i2wx=46=:18182f:3;8h636818055=z{833?7>58z?7e7<4j116557523;89<>d2;8i7077d;01=>;>0l09>45299d967?<503;6?<6;52z?7e6<4j1168nl52028yv7>0?0;6?u24`6956b<5h9m6>??;|q2==1=838p19o::01g?8g3839:<6s|18:;>5<5s4>j:7?52z?7e<<4j1168om512f8yv7>0j0;65u24`c97g><50=j6?<6;<;;4?45i2724;4=289>e6g=:;k01l=i:30`?8g39389n63n43816<=z{833h7>53z?7eg<6;>168lm5125891gb2;9:7p}>99g94?5|5=ki6?=?;<6bg?5d=27j>44<119~w4??n3:1>v3;ac8055=:i931=>j4}r3:=5<72;f:109>452a3;967?0db=:::019oj:2a6?8??939:<6s|18;1>5<5s4>jh7=>0:?b4d<6;m1v<763;291~;3il09==5296a967g<502:6?<6;54=2`9~w4?><3:1?v3;ag8272=:94=5`1>7563ty:54;50;1x91ga2;9;70:m0;1`1>;f:h08==5rs0;:2?6=:r7?mk4<119>e5d=9:n0q~?69683>1}:<>4=852>74>34k;n7=m8:?b6d<5:01v<768;297~;3j809?=524c097f3<50296>??;|q2=:233?8g7k3;8h6s|18;b>5<3s4>i>7<>0:?:34<5:h1655<523;89d6d2:h37p}>98`94?5|5=h86<=8;<6a1?56827?n:4>369~w4?>k3:1?v3;b28175=:6773ty:54j50;0x91d42:;;70o?e;30`>{t903n6=4<{<6a0?74?27?n;4>369>0g1=::;0q~?69g83>6}:1>>>4=5`5>6e2343387=>0:p5;f8m0:?i5rs0;b5?6=:r7?n84==3=;8:0q~?6a383>0}:<>4=85a>74f343i:0;68u24c59646<50=i6?<6;<;4`?45i2724>4=289>e5c=;k20q~?6a583>6}:94=5`:>45034>io7=m8:p5w0:m8;024>;3no0:?i526cf9ef=:i9l1?o64=`0a>74>3ty:5l850;1x91d?2:i>70:m9;004>;f:k08==5rs0;b3?6=;r7?n54=309>0gg=9:=019lm:014?xu61h21<767734k;j7?290>w0:ma;024>;2890:?i526cf9e`=:i8:1?o64=`0`>74>3ty:5lo50;1x91df2:i>70:mb;004>;f:j08==5rs0;bf?6=:r7?no4<119>e46=9:n0q~?6ab83>6}:45c3439:<6s|18cf>5<4s4>ii7=m8:?b4c<4jh16m<>53cc8yv7>io0;6>u24cd9561<5=i:6?=>;<6`3?74l2wx=4l?:18182en39:<63;c9827a=z{83i=7>52z?7g5<6;>168n<53028yv7>j;0;6?u24b297f3<50236>??;|q2=g5=838p19m?:233?82d93;8;6s|18`7>5<5s4>h=7=l5:?:<2<4991v<7m5;296~;3k;0:?:524b197460f4=;j?01466:233?xu61k=1<745034>h87=>0:p5;f4e11=;8:0q~?6b`83>7}:1=>94=5a6>6773ty:5ol50;0x91e32:i>7077a;124>{t90hh6=4={<6`1?74?27?o;4<119~w4?el3:1>v3;c480g0=:11h1?<>4}r3:f`<72;q68n8520289d2e2:;;7p}>9cd94?4|5=i=6>m:;?m4}r3:g4<72:q68n75125891ef2;9:70:k0;30`>{t90i96=4={<6`=?56827?h<4>3e9~w4?d;3:1>v3;c`8272=:4}r3:g1<72;q68no53b789<>c2:;;7p}>9b794?4|5=ii6<=8;<6`g?5682wx=4m9:18182dj39h96368b8055=z{83h;7>52z?7gf<6;>168nj53028yv7>k10;69u24ba9646<5h936>l7;v3;cb80g0=:11o1?<>4}r3:gd<72;q68nj5125891eb2:;;7p}>9b`94?4|5=io6>m:;<;;b?5682wx=4ml:18182dm3;8;63;cg8055=z{83hh7>52z?7g`<59916m9o53028yv7>kl0;6?u24bg97f3<503;6>??;|q2=f`=83>p19mi:333?8g4?39i463n3e816<=:i=h1>?64}r3:`5<72;q68nh53b789d2?2:;;7p}>9e394?4|5=n96<=k;<6g1?5682wx=4j=:18782c:39i463;d28155=:1><1>?o4=`1:>74f3ty:5i=50;0x91b4289<70:k6;124>{t90n?6=4={<6g7?5d=2725?4<119~w4?c=3:1?v3;d28055=:1=>94=5f6>4503ty:5i850;0x91b32:;;70:k7;303>{t90n<6=4={<6g2?5d=2725<4<119~w4?c03:1>v3;d680g0=:i;n1?<>4}r3:`<<72;q68i95223891b?289o7p}>9ec94?2|5=n36>l7;<;:7?45i272594=2`9>=<3=:;30q~?6dc83>6}:94=5fa>67734>oh7?<7:p5;3lm08o85298197460a?=;8:01l?<:01g?xu61mo1<7=t=5fb>45034>oo7?<7:?7`a<5;81v<7kf;297~;3lh09?=524ea97f3<503?6>??;|q2=`6=838p19jn:233?8g6:3;8h6s|18g2>5<5s4>on7=l5:?:=0<4991v<7j2;297~;3ll09?=524d397f3<5h8n6>??;|q2=`5=838p19jj:233?8g6=3;8h6s|18g7>5<4s4>oj7?<7:?7a5<6;>168h?52238yv7>m<0;6>u24ed9666<5=o;6>m:;<;:2?5682wx=4k9:18182cn39:<63n15827a=z{83n;7>54z?7a5<599165;8523;894;1a<>{t90o36=4:{<6f5?468272:;4=2`9>=3c=:;301l?::2`;?8g5m38956s|18g:>5<5s4>n>7?0`5=9:=019k::233?82b?3;8;6s|18g`>5<4s4>n?7<<0:?7a2<4k<1654653028yv7>mm0;6?u24d19746<5h;36<=k;|q2=`c=839p19k;:014?82b>3;8;63;e68174=z{83nj7>53z?7a1<5;9168h853b7892:;;7p}>9g294?4|5=o?6>??;:18182b=39h96369`8055=z{83m>7>58z?7a3<599169<>512f893562hk01;98:`c89=4b2hk015;;:`c892;8270o>7;1a<>{t90l86=47{<6f3?46827>=<4>3e9>267=i116::95a99><7c=i11648:5a99>=<>=:;301l?7:2`;?xu61o>1<7=t=5g;>75734>nn7=l5:?:=g<4991v<7i5;296~;3m108==52a0c956b0`?=9:=019kn:014?82bj388=6s|18d4>5<4s4>n57<<0:?7ad<4k<1654m53028yv7>n10;6?u24d;9746<5h;26<=k;|q2=c?=832p19kn:333?836?3;8h639558be>;11h0jm637408be>;?>>0jm6369b816<=:i831?o64}r3:bd<721q68hl52028907?289o708:4;c;?80>i3k3706;1;c;?8>1?3k37076b;01=>;f9h08n55rs0;ef?6=;r7?in4>369>0`b=9:=019h?:2`;?xu61oi1<7;t=5g`>77734?::7?e7`=:;30q~?6fe83>6}:75734k9j7=>0:p5<`b2908w0:jc;005>;3ml0:?:524dd95610`b=;8:01l?m:01g?xu6i9:1<7;t=5gf>77734?:97?e66=:;30q~?n0083>6}:75734k8<7=>0:p5d652909w0:jf;124>;f9j0:?i5rs0c37?6=?r7?j=4>3e9>=<>=:;h01476:30b?8?>i38956369c816d=:10i1>?o4=`5e>6d?3ty:m=:50;4x91`6289o70o>7;1ae>;f9108nl52a0;97f3<5h;j6>m:;::18082a939i463n1c80fd=:i8i1?oo4}r3b43<72:q68k<53c:89073289o708md;`5?xu6i9=1<7mt=5d0>f4<5=l86n>4=5d0>g`<5=l86ok4=5d0>gb<5=l86om4=5d0>gd<5=l86oo4=5d0>fd<5=l86no4=5d0>f?<51>:6<9:;|q2e5>=838p19h<:b:89=2628=87p}>a1;94?4|5=l86n94=962>4153ty:m=o50;0x91`42j<015:>:052?xu6i9h1<7f3<51>:6<9?;|q2e5e=838p19h<:b689=2628a1f94?4|5=l86n=4=962>40b3ty:m=k50;0x91`42k3015:>:045?xu6i9l1<7jt=5d0>42?34>m?7?;6:?7b6<6<<168k=5156891`428>870:i3;376>;3n:0:8<524g19516<5=l86<;>;<6e7?72827?j>4>4g9>3`4=9?901542b34=n>7?91:?;6`<6?:1v1;297~;3n:0:8i527d09536<518n6<9=;|q2e44=839p19h<:06`?81b:3;>j6372d8234=z{8k:?7>53z?7b6<6a0694?5|5=l86<:n;<5f6?72l273>h4>6g9~w4g6=3:1?v3;f2820<=:?l81=8m4=90f>40b3ty:m<850;1x91`4289m709j2;360>;?:l0::;5rs0c23?6=:r7?j>40c?=;>i0q~?n1983>7}:61f3ty:m<750;0x91`42:kj70:i9;14=>{t9h;j6=4={<6e7?5f127?j44<799~w4g6j3:1>v3;f280e==:2:==7p}>a0f94?4|5=l86>o9;<6e=?50=2wx=l?j:18182a;39j963;f88031=z{8k:j7>52z?7b6<4i:168k753608yv7f:90;6?u24g197d4<5=l26>9>;|q2e77=838p19h<:2c2?82a139<<6s|1`01>5<5s4>m?7=n0:?7b<<4>o1v94?:3y>0c5=;0o019h6:24g?xu6i;?1<76?c34>m57=9c:p5d412909w0:i3;1:g>;3n008:o5rs0c13?6=:r7?j>4<9c9>0c?=;?k0q~?n2983>7}:60>3ty:m?750;0x91`42:3370:i9;153>{t9h8j6=4={<6e7?5>?27?j44<679~w4g5j3:1>v3;f280=3=:2:a3f94?4|5=l86>7;;<6e=?51;2wx=l52z?7b6<41;168k753738yv7f;90;6?u24g197<7<5=l26>8?;|q2e67=838p19h<:2;3?82a139>j6s|1`11>5<5s4>m?7=7f:?7b<<4=l1v0c5=;1i019h6:27a?xu6i:?1<76>e34>m57=:a:p5d512909w0:i3;1;e>;3n008945rs0c03?6=:r7?j>4<889>0c?=;<20q~?n3983>7}:6303ty:m>750;0x91`42:2<70:i9;162>{t9h9j6=4={<6e7?5?>27?j44<549~w4g4j3:1>v3;f280<0=:2:?87p}>a2f94?4|5=l86>l<;<6e=?5?:2wx=l=j:18182a;39i>63;f880<4=z{8k8j7>52z?7b6<4j8168k753928yv7f<90;6?u24g197g6<5=l26>9i;|q2e17=838p19h<:2ce?82a1395<5s4>m?7=ne:?7b<<4?m1v0c5=;03019h6:24;?xu6i=?1<76>b34>m57=:d:p5d212909w0:i3;1;7>;3n0089?5rs0c73?6==r7?j>46e:?7b1<>m27?j846e:?7b3<>m27=ni4>e49~w4g303:19v3;f28:g>;3n=02o63;f48:g>;3n?02o639be82a6=z{8k?57>55z?7b6<>j27?j946b:?7b0<>j27?j;46b:?5fa<6m;1v;3n<02m63;f78:e>;1jm0:i<5rs0c7f?6==r7?j>469:?7b1<>127?j8469:?7b3<>127=ni4>e19~w4g3k3:19v3;f28:<>;3n=02463;f48:<>;3n?024639be82`c=z{8k?h7>55z?7b6<>?27?j9467:?7b0<>?27?j;467:?5fa<6ll1v;3n<02:63;f78:2>;1jm0:hi5rs0c7b?6==r7?j>4n7:?7b1;3n=0j:63;f48b2>;3n?0j:639be814f=z{8k>=7>55z?7b6;3n<0j863;f78b0>;1jm094n3:?7b1;3n=0j>63;f48b6>;3n?0j>639be814==z{8k>97>55z?7b6;3n<0j<63;f78b4>;1jm0:j>5rs0c63?6==r7?j>46f:?7b1<>n27?j846f:?7b3<>n27=ni4>e99~w4g203:19v3;f28:1>;3n=02963;f48:1>;3n?029639be82`f=z{8k>57>5cz?7b1749~w4g2i3:1>v3;f58`<>;?>>0:;>5rs0c6f?6=:r7?j94l7:?;22<6?;1vh7>52z?7b1719~w4g2m3:1>v3;f58`0>;?>>0::k5rs0c6b?6=:r7?j94l3:?;22<6>l1v5dz?7b1<6<1168k:5154891`328>>70:i4;370>;3n=0:8>524g69514<5=l?6<:>;<6e0?73827?j94>509>0c2=9<:019h;:06e?8>703;=?637558230=z{8k=>7>53z?7b1<6a7194?5|5=l?6<:k;<:3739~w4g1<3:1?v3;f5820f=:0921=8h4=977>4163ty:m;;50;1x91`328>i706?8;36a>;?==0:;=5rs0c52?6=;r7?j94>4`9><5>=942>342;47?:c:?;11<6>l1v5<5s4>m87=nb:?7bd<4?h1v0c2=;h3019hn:25;?xu6i?n1<76g?34>mm7=87:p5d0b2909w0:i4;1b3>;3nh08;;5rs0c5b?6=:r7?j940cg=;>?0q~?n7183>7}:1?l;4=5db>6133ty:m:?50;0x91`32:k870:ia;146>{t9h=96=4={<6e0?5f:27?jl4<709~w4g0;3:1>v3;f580e4=:4}r3b31<72;q68k:53`2891`f2:a6794?4|5=l?6>7i;<6ee?51m2wx=l99:18182a<392i63;f`802a=z{8k<;7>52z?7b1<41m168ko537a8yv7f?10;6?u24g6978m;|q2e2?=838p19h;:2;a?82ai39=m6s|1`5b>5<5s4>m87=6a:?7bd<4>01v0c2=;0=019hn:245?xu6i>n1<76?134>mm7=95:p5d1b2909w0:i4;1:1>;3nh08:95rs0c4b?6=:r7?j94<959>0cg=;?90q~?n8183>7}:1?4=4=5db>6053ty:m5?50;0x91`32:3970:ia;155>{t9h296=4={<6e0?5>927?jl4<619~w4g?;3:1>v3;f580=5=:a9794?4|5=l?6>6k;<6ee?52k2wx=l69:18182a<393o63;f`801g=z{8k3;7>52z?7b1<40k168ko534c8yv7f010;6?u24g697=g<5=lj6>;6;|q2e=?=838p19h;:2::?82ai39>46s|1`:b>5<5s4>m87=78:?7bd<4=>1v0c2=;1<019hn:276?xu6i1n1<76>234>mm7=:4:p5d>b2909w0:i4;1;0>;3nh089>5rs0c;b?6=:r7?j940cg=;180q~?n9183>7}:1?o<4=5db>6>63ty:m4?50;0x91`32:h:70:ia;1;4>{t9h396=4={<6e0?5e827?jl4<7g9~w4g>;3:1>v3;f580ec=:a8794?4|5=l?6>o;;<6ee?50;2wx=l79:18182a<392563;f`802==z{8k2;7>52z?7b1<40l168ko534f8yv7f110;6?u24g697=5<5=lj6>;=;|q2ea8c94?4|5=l>6ok4=777>40e3ty:m4l50;0x91`22kn01;;;:04b?xu6i0i1<7ge<5???6<86;|q2ea8g94?4|5=l>6oo4=777>4003ty:m4h50;0x91`22jh01;;;:054?xu6ih:1<7fg<5???6<99;|q2ed7=838p19h::b;8933328=>7p}>a`094?4|5=l>6n64=777>4143ty:ml=50;0x91`22j=01;;;:051?xu6ih>1<7f0<5???6<9>;|q2ed3=838p19h::b78933328=;7p}>a`494?4|5=l>6n:4=777>40a3ty:ml950;0x91`22j901;;;:04f?xu6ih21<7g?<5???6<89;|q2ed?=839p19h::066?83f=3;>n63930822a=z{8kjm7>53z?7b0<6<=169l;514;8935628a``94?5|5=l>6<:<;<7b1?72027=?<4>6`9~w4gfk3:1?v3;f48207=:=h?1=894=712>40>3ty:mlj50;1x91`228>:70;n5;362>;1;80::55rs0cba?6=;r7?j84>419>1d3=9:044?xu6ihl1<7=t=5d6>43634?j97?95:?574<6?>1v7>53z?7b0<6ac194?5|5=l>6<:k;<7b1?71827=?<4>739~w4ge<3:1?v3;f4820f=:=h?1=8h4=712>4163ty:mo;50;1x91`228>i70;n5;36a>;1;80:;=5rs0ca2?6=;r7?j84>4`9>1d3=9:04e?xu6ik=1<7=t=5d6>42>34?j97?:c:?574<6>l1v5<5s4>m97=nb:?7ba<4?h1v0c3=;h3019hk:25;?xu6ikn1<76g?34>mh7=87:p5ddb2909w0:i5;1b3>;3nm08;;5rs0cab?6=:r7?j840cb=;>?0q~?nc183>7}:6133ty:mn?50;0x91`22:k870:id;146>{t9hi96=4={<6e1?5f:27?ji4<709~w4gd;3:1>v3;f480e4=:4}r3bg1<72;q68k;53`2891`c2:ab794?4|5=l>6>7i;<6e`?51m2wx=lm9:18182a=392i63;fe802a=z{8kh;7>52z?7b0<41m168kj537a8yv7fk10;6?u24g7978m;|q2ef?=838p19h::2;a?82al39=m6s|1`ab>5<5s4>m97=6a:?7ba<4>01v0c3=;0=019hk:245?xu6ijn1<76?134>mh7=95:p5deb2909w0:i5;1:1>;3nm08:95rs0c`b?6=:r7?j84<959>0cb=;?90q~?nd183>7}:6053ty:mi?50;0x91`22:3970:id;155>{t9hn96=4={<6e1?5>927?ji4<619~w4gc;3:1>v3;f480=5=:ae794?4|5=l>6>6k;<6e`?52k2wx=lj9:18182a=393o63;fe801g=z{8ko;7>52z?7b0<40k168kj534c8yv7fl10;6?u24g797=g<5=lo6>;6;|q2ea?=838p19h::2::?82al39>46s|1`fb>5<5s4>m97=78:?7ba<4=>1v0c3=;1<019hk:276?xu6imn1<76>234>mh7=:4:p5dbb2909w0:i5;1;0>;3nm089>5rs0cgb?6=:r7?j840cb=;180q~?ne183>7}:6>63ty:mh?50;0x91`22:h:70:id;1;4>{t9ho96=4={<6e1?5e827?ji4<7g9~w4gb;3:1>v3;f480ec=:ad794?4|5=l>6>o;;<6e`?50;2wx=lk9:18182a=392563;fe802==z{8kn;7>52z?7b0<40l168kj534f8yv7fm10;6?u24g797=5<5=lo6>;=;|q2e`?=838p19h9:cd893?f28adc94?4|5=l=6ok4=7;b>40e3ty:mhl50;0x91`12kn01;7n:04b?xu6ili1<7ge<5?3j6<86;|q2e`b=838p19h9:c`893?f28<37p}>adg94?4|5=l=6oo4=7;b>4003ty:mhh50;0x91`12jh01;7n:054?xu6io:1<7fg<5?3j6<99;|q2ec7=838p19h9:b;893?f28=>7p}>ag094?4|5=l=6n64=7;b>4143ty:mk=50;0x91`12j=01;7n:051?xu6io>1<7f0<5?3j6<9>;|q2ec3=838p19h9:b7893?f28=;7p}>ag494?4|5=l=6n:4=7;b>40a3ty:mk950;0x91`12j901;7n:04f?xu6io21<7g?<5?3j6<89;|q2ec?=839p19h9:066?83bj3;>n63976822a=z{8kmm7>53z?7b3<6<=169hl514;8931028ag`94?5|5=l=6<:<;<7ff?72027=;:4>6`9~w4gak3:1?v3;f78207=:=lh1=894=754>40>3ty:mkj50;1x91`128>:70;jb;362>;1?>0::55rs0cea?6=;r7?j;4>419>1`d=943634?nn7?95:?532<6?>1v7>53z?7b3<6b1194?5|5=l=6<:k;<7ff?71827=;:4>739~w4d7<3:1?v3;f7820f=:=lh1=8h4=754>4163ty:n=;50;1x91`128>i70;jb;36a>;1?>0:;=5rs0`32?6=;r7?j;4>4`9>1`d=942>34?nn7?:c:?532<6>l1v5<5s4>m:7=nb:?7b`<4?h1v0c0=;h3019hj:25;?xu6j9n1<76g?34>mi7=87:p5g6b2909w0:i6;1b3>;3nl08;;5rs0`3b?6=:r7?j;40cc=;>?0q~?m1183>7}:6133ty:n{t9k;96=4={<6e2?5f:27?jh4<709~w4d6;3:1>v3;f780e4=:4}r3a51<72;q68k853`2891`b2:b0794?4|5=l=6>7i;<6ea?51m2wx=o?9:18182a>392i63;fd802a=z{8h:;7>52z?7b3<41m168kk537a8yv7e910;6?u24g4978m;|q2f4?=838p19h9:2;a?82am39=m6s|1c3b>5<5s4>m:7=6a:?7b`<4>01vb;296~;3n?0855524gg97310c0=;0=019hj:245?xu6j8n1<76?134>mi7=95:p5g7b2909w0:i6;1:1>;3nl08:95rs0`2b?6=:r7?j;4<959>0cc=;?90q~?m2183>7}:6053ty:n??50;0x91`12:3970:ie;155>{t9k896=4={<6e2?5>927?jh4<619~w4d5;3:1>v3;f780=5=:b3794?4|5=l=6>6k;<6ea?52k2wx=o<9:18182a>393o63;fd801g=z{8h9;7>52z?7b3<40k168kk534c8yv7e:10;6?u24g497=g<5=ln6>;6;|q2f7?=838p19h9:2::?82am39>46s|1c0b>5<5s4>m:7=78:?7b`<4=>1vn4?:3y>0c0=;1<019hj:276?xu6j;n1<76>234>mi7=:4:p5g4b2909w0:i6;1;0>;3nl089>5rs0`1b?6=:r7?j;40cc=;180q~?m3183>7}:6>63ty:n>?50;0x91`12:h:70:ie;1;4>{t9k996=4={<6e2?5e827?jh4<7g9~w4d4;3:1>v3;f780ec=:b2794?4|5=l=6>o;;<6ea?50;2wx=o=9:18182a>392563;fd802==z{8h8;7>52z?7b3<40l168kk534f8yv7e;10;6?u24g497=5<5=ln6>;=;|q2f6?=83np19h8:b0891`02j:019h8:cd891`02ko019h8:cf891`02ki019h8:c`891`02kk019h8:b`891`02jk019h8:b;892g>28=>7067a;30`>{t9k9j6=4<{<6e3?e?34=j57?83:?;0h;638a88237=:01i1=>j4}r3a7f<72:q68k95c79>3d?=9>;0156k:01g?xu6j:n1<7=t=5d4>f3<5>k26<9?;<:;a?74l2wx=o=j:18082a?3i?709n9;35b>;?0o0:?i5rs0`0b?6=;r7?j:4l3:?4e<<6>l1644>512f8yv7e<90;6>u24g59f<=:?h31=;84=9;2>45c3ty:n9?50;0x91`02:=h70:i9;1b`>{t9k>96=4={<6e3?50i27?j44v3;f6803<=:2:k27p}>b5794?4|5=l<6>98;<6e=?5f02wx=o:9:18182a?39<:63;f880e2=z{8h?;7>52z?7b2<4?<168k753`48yv7e<10;6?u24g59722<5=l26>o:;|q2f1?=838p19h8:251?82a139j?6s|1c6b>5<5s4>m;7=81:?7b<<4i;1v08;=524g;97d70c1=;?l019h6:2c3?xu6j=n1<760b34>m57=6f:p5g2b2909w0:i7;15`>;3n0085h5rs0`7b?6=:r7?j:4<6b9>0c?=;0n0q~?m5183>7}:6?d3ty:n8?50;0x91`02:{t9k?96=4={<6e3?51127?j44<9`9~w4d2;3:1>v3;f68022=:2:3<7p}>b4794?4|5=l<6>8:;<6e=?5>>2wx=o;9:18182a?39=863;f880=0=z{8h>;7>52z?7b2<4>:168k753868yv7e=10;6?u24g59734<5=l26>7<;|q2f0?=838p19h8:242?82a1392>6s|1c7b>5<5s4>m;7=90:?7b<<4181v089k524g;97<60c1=;63d34>m57=7d:p5g3b2909w0:i7;16f>;3n0084n5rs0`6b?6=:r7?j:4<5`9>0c?=;1h0q~?m6183>7}:6>f3ty:n;?50;0x91`02:?370:i9;1;=>{t9k<96=4={<6e3?52?27?j44<899~w4d1;3:1>v3;f68013=:2:2=7p}>b7794?4|5=l<6>;;;<6e=?5?=2wx=o89:18182a?39>?63;f880<1=z{8h=;7>52z?7b2<40;168k753c18yv7e>10;6?u24g597=7<5=l26>l=;|q2f3?=838p19h8:2:3?82a139i=6s|1c4b>5<5s4>m;7=8f:?7b<<4j91v08;h524g;97d`0c1=;>n019h6:2cf?xu6j?n1<761434>m57=n4:p5g0b2909w0:i7;15<>;3n008545rs0`5b?6=:r7?j:4<5e9>0c?=;1o0q~?m7183>7}:6>43ty:n:?50;fx91`028>370:i7;372>;3n>0:88524g59512<5=l<6<:<;<6e3?73:27?j:4>409>0c1=9=:019h8:072?82a?3;><63;f6820c=:?0<1=:;4=9`1>45c3ty:n:<50;1x91`028>n70966;347>;?j:0:?i5rs0`47?6=;r7?j:4>4e9>3<0=9>8015l;:01g?xu6j>>1<7=t=5d4>42d34=2:7?81:?;f0<6;m1v0:8o527849526<51h=6<=k;|q2f20=839p19h8:06b?81>>3;=j637b6827a=z{8h<;7>53z?7b2<6<016;48517g89=d?289o7p}>b6:94?5|5=l<6<=i;<5:2?71>273n44>3e9~w4d013:19v3;f68:a>;3n102i63;fc8:a>;3nj02i6386g82a0=z{8h55z?7b2<>k27?j546c:?7bg<>k27?jn46c:?42c<6m:1v02n63;f98:f>;3nk02n63;fb8:f>;0>o0:i?5rs0`4g?6==r7?j:46a:?7b=<>i27?jo46a:?7bf<>i27<:k4>e09~w4d0l3:19v3;f68:=>;3n102563;fc8:=>;3nj0256386g82a5=z{8h55z?7b2<>027?j5468:?7bg<>027?jn468:?42c<6lo1v02;63;f98:3>;3nk02;63;fb8:3>;0>o0:hh5rs0`;4?6==r7?j:466:?7b=<>>27?jo466:?7bf<>>27<:k4>de9~w4d?93:19v3;f68b3>;3n10j;63;fc8b3>;3nj0j;6386g814a=z{8h3>7>55z?7b227?j54n6:?7bg27?jn4n6:?42c<58j1v0j963;f98b1>;3nk0j963;fb8b1>;0>o09;3n10j?63;fc8b7>;3nj0j?6386g814<=z{8h3:7>55z?7b20j=63;f98b5>;3nk0j=63;fb8b5>;0>o0:jh5rs0`;f29~w4d?13:19v3;f68:b>;3n102j63;fc8:b>;3nj02j6386g82a==z{8h3m7>55z?7b2<>=27?j5465:?7bg<>=27?jn465:?42c<6lj1v63;f98`4>;3n10ij63;f98aa>;3n10ih63;f98ag>;3n10in63;f98ae>;3n10hn63;f98`e>;3n10h5638cg8230=:0>k1=>j4}r3a3f`=9>90159m:01g?xu6j1n1<7=t=5d;>f1<5>im6<9=;<:4g?74l2wx=o6j:18082a03i=709lf;345>;??m0:?i5rs0`;b?6=;r7?j54l5:?4gc<6?9164:k512f8yv7e190;6>u24g:9g1=:?jl1=;h4=95e>45c3ty:n4?50;1x91`?2j901:mi:04f?8>?83;8h6s|1c;1>5<4s4>m47l6;<5`b?71>2734<4>3e9~w4d>;3:1>v3;f9803f=:b8794?4|5=l36>96;<6ee?5fi2wx=o79:18182a039<463;f`80e<=z{8h2;7>52z?7b=<4?>168ko53`:8yv7e110;6?u24g:9720<5=lj6>o8;|q2f5<5s4>m47=84:?7bd<4i<1v0c>=;>;019hn:2c1?xu6j0n1<761734>mm7=n1:p5g?b2909w0:i8;15b>;3nh08m=5rs0`:b?6=:r7?j54<6d9>0cg=;0l0q~?ma183>7}:6?b3ty:nl?50;0x91`?2:{t9kk96=4={<6ev3;f9802d=:b`794?4|5=l36>88;<6ee?5>02wx=oo9:18182a039=:63;f`80=2=z{8hj;7>52z?7b=<4><168ko53848yv7ei10;6?u24g:9732<5=lj6>7:;|q2fd?=838p19h7:240?82ai39286s|1ccb>5<5s4>m47=92:?7bd<41:1v0c>=;?:019hn:2;2?xu6jhn1<763a34>mm7=60:p5ggb2909w0:i8;16a>;3nh084k5rs0`bb?6=:r7?j54<5b9>0cg=;1n0q~?mb183>7}:6>d3ty:no?50;0x91`?2:?j70:ia;1;f>{t9kh96=4={<6ev3;f9801==:bc794?4|5=l36>;9;<6ee?5??2wx=ol9:18182a039>963;f`80<3=z{8hi;7>52z?7b=<4==168ko53978yv7ej10;6?u24g:9705<5=lj6>6;;|q2fg?=838p19h7:2:1?82ai39i?6s|1c`b>5<5s4>m47=71:?7bd<4j;1v0c>=;>l019hn:2`3?xu6jkn1<761b34>mm7=nf:p5gdb2909w0:i8;14`>;3nh08mh5rs0`ab?6=:r7?j54<729>0cg=;h>0q~?mc183>7}:6?>3ty:nn?50;0x91`?2:?o70:ia;1;a>{t9ki96=4={<6e42234>m47?;4:?7b=<6<:168k65150891`?28>:70:i8;374>;3n10:9<524g:9506<5=l36<:i;<5ag?70=2735l4>3e9~w4dd<3:1?v3;f9820`=:?ki1=:=4=9;a>45c3ty:nn;50;1x91`?28>o709mc;346>;?1j0:?i5rs0``2?6=;r7?j54>4b9>3ge=9>;0157k:01g?xu6jj=1<7=t=5d;>42e34=io7?80:?;=`<6;m1v53z?7b=<6;o16;om517489=g6289o7p}>bb`94?b|5=l26n<4=5d:>f6<5=l26oh4=5d:>gc<5=l26oj4=5d:>ge<5=l26ol4=5d:>gg<5=l26nl4=5d:>fg<5=l26n74=6d6>4123422>7?53z?7b<739><<2=9:n0q~?mcd83>6}:6<=k;|q2ff`=839p19h6:b7892`228=;70666;30`>{t9kn;6=4<{<6e=?e334=m97?9f:?;=2<6;m1vj4}r3a`7<72:q68k75b89>3c3=9?<01576:01g?xu6jm91<7jt=5d:>42?34>m57?;6:?7b<<6<<168k75156891`>28>870:i9;376>;3n00:8<524g;9516<5=l26<;>;<6e=?72827?j44>4g9>3<0=9?901:k=:056?xu6jm>1<7=t=5d:>42b34=2:7?91:?4a7<6?:1vo96<9=;|q2fa0=839p19h6:06`?81>>3;>j638e38234=z{8ho;7>53z?7b<<6be:94?5|5=l26<:n;<5:2?72l276g9~w4dc13:1?v3;f8820<=:?0<1=8m4=6g1>40b3ty:nio50;1x91`>289m70966;360>;0m;0::;5rs0`gf?6==r7?j446e:?7bd<>m27?ji46e:?7b`<>m27=j;4>e49~w4dck3:19v3;f88:g>;3nh02o63;fe8:g>;3nl02o639f782a6=z{8hoh7>55z?7b<<>j27?jl46b:?7ba<>j27?jh46b:?5b3<6m;1v;3nm02m63;fd8:e>;1n?0:i<5rs0`gb?6==r7?j4469:?7bd<>127?ji469:?7b`<>127=j;4>e19~w4db83:19v3;f88:<>;3nh02463;fe8:<>;3nl024639f782`c=z{8hn=7>55z?7b<<>?27?jl467:?7ba<>?27?jh467:?5b3<6ll1v;3nm02:63;fd8:2>;1n?0:hi5rs0`f7?6==r7?j44n7:?7bd;3nh0j:63;fe8b2>;3nl0j:639f7814f=z{8hn97>55z?7b<;3nm0j863;fd8b0>;1n?09;3nh0j>63;fe8b6>;3nl0j>639f7814==z{8hn57>55z?7b<;3nm0j<63;fd8b4>;1n?0:j>5rs0`ff?6==r7?j446f:?7bd<>n27?ji46f:?7b`<>n27=j;4>e99~w4dbk3:19v3;f88:1>;3nh02963;fe8:1>;3nl029639f782`f=z{8hnh7>5dz?7bd749><=4=9:n0q~?med83>6}:{t9kl;6=4<{<6ee?e1342:n7?81:?;<0<6;m1vj4}r3ab7<72:q68ko5c59><4d=9?l01568:01g?xu6jo91<7=t=5db>f5<51;i6<8j;<:;b;352>;?000:?i5rs0`e1?6=lr7?jl4>499>0cg=9=<019hn:066?82ai3;?863;f`8206=:42634>mm7?;0:?7bd<6=8168ko5142891`f28>m709mc;357>;?810:;85rs0`e2?6=;r7?jl4>4d9>3ge=9?;015>7:050?xu6jo=1<7=t=5db>42c34=io7?90:?;4=<6?;1v;|q2fc?=839p19hn:06a?81ek3;>i637098235=z{8hmm7>53z?7bd<6bg`94?5|5=lj6<:6;<5ag?72k273<54>6d9~w4dak3:1?v3;f`827c=:?ki1=8:4=92;>4013ty:nkj50;1x91`e2kl018=l:04g?813n3;8h6s|1cdf>5<4s4>mn7lj;<70g?71j27<9=4>3e9~w4dan3:1?v3;fc8a`>;2;j0::l52743956b0cd=jj169>m517;89235289o7p}>c1394?5|5=li6ol4=41`>40?34=>?7?53z?7bg?n4>769>303=9:n0q~?l0583>6}:?=6<=k;|q2g53=839p19hm:b;8905d28=>709:7;30`>{t9j:=6=4<{<6ef?e?34?8o7?83:?41=<6;m1vj4}r3`4=<72:q68kl5c79>16e=9>;01:;n:01g?xu6k931<7=t=5da>f3<5<9h6<9?;<56f?74l2wx=n>n:18082aj3i?70;;0=j0:?i5rs0a3f?6=;r7?jo4l3:?67f<6>l16;8j512f8yv7d8j0;6>u24g`9f<=:=:i1=;84=67f>45c3ty:o=j50;0x91`e2:=h70:id;1b`>{t9j:n6=4={<6ef?50i27?ji4v3;fc803<=:c0394?4|5=li6>98;<6e`?5f02wx=n?=:18182aj39<:63;fe80e2=z{8i:?7>52z?7bg<4?<168kj53`48yv7d9=0;6?u24g`9722<5=lo6>o:;|q2g43=838p19hm:251?82al39j?6s|1b35>5<5s4>mn7=81:?7ba<4i;1v7;296~;3nk08;=524gf97d70cd=;?l019hk:2c3?xu6k831<760b34>mh7=6f:p5f7f2909w0:ib;15`>;3nm085h5rs0a2f?6=:r7?jo4<6b9>0cb=;0n0q~?l1b83>7}:6?d3ty:o{t9j;n6=4={<6ef?51127?ji4<9`9~w4e6n3:1>v3;fc8022=:c3394?4|5=li6>8:;<6e`?5>>2wx=n<=:18182aj39=863;fe80=0=z{8i9?7>52z?7bg<4>:168kj53868yv7d:=0;6?u24g`9734<5=lo6>7<;|q2g73=838p19hm:242?82al392>6s|1b05>5<5s4>mn7=90:?7ba<4181v54?:3y>0cd=;63d34>mh7=7d:p5f4f2909w0:ib;16f>;3nm084n5rs0a1f?6=:r7?jo4<5`9>0cb=;1h0q~?l2b83>7}:6>f3ty:o?j50;0x91`e2:?370:id;1;=>{t9j8n6=4={<6ef?52?27?ji4<899~w4e5n3:1>v3;fc8013=:c2394?4|5=li6>;;;<6e`?5?=2wx=n==:18182aj39>?63;fe80<1=z{8i8?7>52z?7bg<40;168kj53c18yv7d;=0;6?u24g`97=7<5=lo6>l=;|q2g63=838p19hm:2:3?82al39i=6s|1b15>5<5s4>mn7=8f:?7ba<4j91v0cd=;>n019hk:2cf?xu6k:31<761434>mh7=n4:p5f5f2909w0:ib;15<>;3nm08545rs0a0f?6=:r7?jo4<5e9>0cb=;1o0q~?l3b83>7}:6>43ty:o>j50;1x91`e28>>70;>9;35`>;?jh0:?i5rs0a0a?6=;r7?jo4>459>14?=9?h015lm:01g?xu6k:l1<7=t=5da>42434?:57?9a:?;ff<6;m1v7>53z?7bg<6<9169<7517589=da289o7p}>c5194?5|5=li6<;>;<72=?70?273o=4>3e9~w4e3<3:1?v3;fc8215=:=831=:84=9a2>45c3ty:o9;50;1x91`e28>m70;>9;341>;?k;0:?i5rs0a72?6=;r7?jo4>4d9>14?=9>9015m<:01g?xu6k==1<7=t=5da>42c34?:57?82:?;g1<6;m1v6<=k;|q2g1?=839p19hm:06a?83613;<<637c7827a=z{8i?m7>53z?7bg<6c5`94?5|5=li6<:6;<72=?71m273o54>3e9~w4e3k3:1?v3;fc827c=:=831=;84=9a:>45c3ty:o9j50;1x91`d2kl0186=:04g?815n3;8h6s|1b6f>5<4s4>mo7lj;<7;6?71j273e9~w4e3n3:1?v3;fb8a`>;20;0::l52723956b0ce=jj1695<517;89255289o7p}>c4394?5|5=lh6ol4=4:1>40?34=8?7??7>53z?7bf4?4>769>363=9:n0q~?l5583>6}:9=6<=k;|q2g03=839p19hl:b;890>528=>709<7;30`>{t9j?=6=4<{<6eg?e?34?3>7?83:?47=<6;m1vj4}r3`1=<72:q68km5c79>1=4=9>;01:=n:01g?xu6k<31<7=t=5d`>f3<5<296<9?;<50f?74l2wx=n;n:18082ak3i?70;72;35b>;0;j0:?i5rs0a6f?6=;r7?jn4l3:?6<7<6>l16;>j512f8yv7d=j0;6>u24ga9f<=:=181=;84=61f>45c3ty:o8j50;0x91`d2:=h70:ie;1b`>{t9j?n6=4={<6eg?50i27?jh4v3;fb803<=:c7394?4|5=lh6>98;<6ea?5f02wx=n8=:18182ak39<:63;fd80e2=z{8i=?7>52z?7bf<4?<168kk53`48yv7d>=0;6?u24ga9722<5=ln6>o:;|q2g33=838p19hl:251?82am39j?6s|1b45>5<5s4>mo7=81:?7b`<4i;1v0ce=;?l019hj:2c3?xu6k?31<760b34>mi7=6f:p5f0f2909w0:ic;15`>;3nl085h5rs0a5f?6=:r7?jn4<6b9>0cc=;0n0q~?l6b83>7}:6?d3ty:o;j50;0x91`d2:{t9jv3;fb8022=:c6394?4|5=lh6>8:;<6ea?5>>2wx=n9=:18182ak39=863;fd80=0=z{8i52z?7bf<4>:168kk53868yv7d?=0;6?u24ga9734<5=ln6>7<;|q2g23=838p19hl:242?82am392>6s|1b55>5<5s4>mo7=90:?7b`<4181v0ce=;31<763d34>mi7=7d:p5f1f2909w0:ic;16f>;3nl084n5rs0a4f?6=:r7?jn4<5`9>0cc=;1h0q~?l7b83>7}:6>f3ty:o:j50;0x91`d2:?370:ie;1;=>{t9j=n6=4={<6eg?52?27?jh4<899~w4e0n3:1>v3;fb8013=:c9394?4|5=lh6>;;;<6ea?5?=2wx=n6=:18182ak39>?63;fd80<1=z{8i3?7>52z?7bf<40;168kk53c18yv7d0=0;6?u24ga97=7<5=ln6>l=;|q2g=3=838p19hl:2:3?82am39i=6s|1b:5>5<5s4>mo7=8f:?7b`<4j91v0ce=;>n019hj:2cf?xu6k131<761434>mi7=n4:p5f>f2909w0:ic;15<>;3nl08545rs0a;f?6=:r7?jn4<5e9>0cc=;1o0q~?l8b83>7}:6>43ty:o5j50;1x91`d28>>70;:f;35`>;?i;0:?i5rs0a;a?6=;r7?jn4>459>10`=9?h015o<:01g?xu6k1l1<7=t=5d`>42434?>j7?9a:?;e1<6;m1v6<=k;|q2g<7=839p19hl:062?832n3;=4637a7827a=z{8i2>7>53z?7bf<6<91698h517589=g0289o7p}>c8194?5|5=lh6<;>;<76b?70?273m54>3e9~w4e><3:1?v3;fb8215=:=45c3ty:o4;50;1x91`d28>m70;:f;341>;?ih0:?i5rs0a:2?6=;r7?jn4>4d9>10`=9>9015om:01g?xu6k0=1<7=t=5d`>42c34?>j7?82:?;ef<6;m1v53z?7bf<6c8`94?5|5=lh6<:6;<76b?71m273n=4>3e9~w4e>k3:1?v3;fb827c=:=45c3ty:o4j50;1x91`c2kl018m7:04g?812n3;8h6s|1b;f>5<4s4>mh7lj;<7`3e9~w4e>n3:1?v3;fe8a`>;2k10::l52773956b0cb=jj169n6517;89205289o7p}>c`394?5|5=lo6ol4=4a;>40?34==?7?53z?7bao54>769>333=9:n0q~?la583>6}:<=6<=k;|q2gd3=839p19hk:b;890e?28=>70997;30`>{t9jk=6=4<{<6e`?e?34?h47?83:?42=<6;m1vj4}r3`e=<72:q68kj5c79>1f>=9>;01:8n:01g?xu6kh31<7=t=5dg>f3<5;0>j0:?i5rs0abf?6=;r7?ji4l3:?6g=<6>l16;;j512f8yv7dij0;6>u24gf9f<=:=j21=;84=64f>45c3ty:olj50;1x91`c28>>70;>9;36f>;2i<0::i5rs0aba?6=;r7?ji4>459>14?=9<3018o::04a?xu6khl1<7=t=5dg>42434?:57?:8:?6e0<6>h1v6<86;|q2gg7=839p19hk:062?83613;>:63:a4822==z{8ii>7>53z?7ba<6<9169<75147890g228<<7p}>cc194?5|5=lo6<;>;<72=?71=27>m84>769~w4ee<3:1?v3;fe8215=:=831=;:4=4c6>4113ty:oo;50;1x91`c28>m70;>9;357>;2i<0:;85rs0aa2?6=;r7?ji4>4d9>14?=9?;018o::050?xu6kk=1<7=t=5dg>42c34?:57?90:?6e0<6?;1v6<9>;|q2gg?=839p19hk:06a?83613;>i63:a48235=z{8iim7>53z?7ba<6cc`94?5|5=lo6<:6;<72=?72k27>m84>6d9~w4eek3:1?v3;fe827c=:=831=8:4=4c6>4013ty:ooj50;1x91`b2kl01;>j:04g?814n3;8h6s|1b`f>5<4s4>mi7lj;<43a?71j27<8=4>3e9~w4een3:1?v3;fd8a`>;18l0::l52753956b0cc=jj16:=k517;89225289o7p}>cb394?5|5=ln6ol4=72f>40?34=??7?53z?7b`769>313=9:n0q~?lc583>6}:>=6<=k;|q2gf3=839p19hj:b;8936b28=>709;7;30`>{t9ji=6=4<{<6ea?e?34<;i7?83:?40=<6;m1vj4}r3`g=<72:q68kk5c79>25c=9>;01::n:01g?xu6kj31<7=t=5df>f3<5?:n6<9?;<57f?74l2wx=nmn:18082am3i?708?e;35b>;0l16;9j512f8yv7dkj0;6>u24gg9f<=:>9o1=;84=66f>45c3ty:onj50;1x91`b28>>70;:f;36f>;2mk0::i5rs0a`a?6=;r7?jh4>459>10`=9<3018km:04a?xu6kjl1<7=t=5df>42434?>j7?:8:?6ag<6>h1v:63:ec822==z{8io>7>53z?7b`<6<91698h5147890ce28<<7p}>ce194?5|5=ln6<;>;<76b?71=27>io4>769~w4ec<3:1?v3;fd8215=:=4113ty:oi;50;1x91`b28>m70;:f;357>;2mk0:;85rs0ag2?6=;r7?jh4>4d9>10`=9?;018km:050?xu6km=1<7=t=5df>42c34?>j7?90:?6ag<6?;1v;|q2ga?=839p19hj:06a?832n3;>i63:ec8235=z{8iom7>53z?7b`<6ce`94?5|5=ln6<:6;<76b?72k27>io4>6d9~w4eck3:1?v3;fd827c=:=4013ty:oij50;1x91`a2:h370;?2;30`>;1n?0jo6s|1bff>5<4s4?;<7=m8:?646<6;m16:k85ad9~w4ecn3:1?v3:0080f==:=9>1=>j4=7d5>g6154=;k201:8i:`a8yv7dm80;6?u251197g><5>6}:=9?1?o64=425>45c34;0>o08h85rs0af1?6=>r7><:4>3e9>144=;k2018m7:2f7?807m39o8638f480`1=:08h1?i:4}r3`a3<72?q69=953c:8905d2:n?70;72;1g0>;0i008h9527bd97a2<503m6?7:01g?836;39i463:a480`1=:=lh1?i:4=6g1>6b3342;47=k4:?ba5<6;m1vj;;<5:2?5c<27eae=9:n0q~?le883>6}:=931=>j4=437>6d?346:2`;?811n3h=7p}>cd`94?5|5<:j6<=k;<721?5e027=j;4m4:p5fcd2909w0;?a;1a<>;0>o0i86s|1bgg>5<4s4?;n7?v3:0c80f==:??l1n?5rs0afb?6=>r7>3e9>141=;k2018m7:`c8936b2hk01:h::`c89=7e2hk0q~?lf183>0}:=9i1?o64=41`>dg<5<296lo4=6c:>dg<5>im6lo4}r3`b4<72?q69=j512f8907?2:h370;l8;c;?807m3k3709i5;c;?8>6j3k37p}>cg094?3|5<:o6>l7;<70g?g?34?3>7o7;<5b=?g?34=hj7o7;|q2gc5=83j:01g?836839i463:a48be>;2mk0jm638e38be>;?810jm6s|1bd7>5<2s4?;i7=m8:?65<9k4na:?4=3;29808n5525`79e==:=lh1m5527d09e==:0921m55rs0ae2?6==r7>14?=i11698h5a99>3<0=i116;om5a99~w4ea?3:1;v3:13827a=:><>1?i:4=7;b>6b3342?=7=k4:?;22<4l=16m8?53c:89gc1289o7p}>cg:94?1|5<;86<=k;<405?5c<27=;:4<7c=;m>015;;:2f7?8d?=39i463me9827a=z{8im57>52z?67f<6=k164oo53c:8yv7dnh0;6?u252a950?<51hi6>l7;|q2gcd=838p18=l:07;?8>ek39i46s|1bd`>5<5s4?8o7?:7:?;fa<4j11v16e=9402342h<7=m8:p5a672909w0;;?k808n55rs0f35?6=:r7>?n4>629>7}:=:i1=;?4=9a0>6d?3ty:h==50;0x905d28<;706l4;1a<>{t9m:?6=4={<70g?72n273o84v3:3b821`=:0j<1?o64}r3g43<72;q69>m514f89=e02:h37p}>d1594?4|5<9h6<;l;<:`7:181834k3;>8637c880f==z{8n;57>52z?6<7<6=k164l<53c:8yv7c8h0;6?u2590950?<51k86>l7;|q2`5d=838p186=:07;?8>f<39i46s|1e2`>5<5s4?3>7?:7:?;e0<4j11v1=4=9402342j47=m8:p5a772909w0;72;350>;?i008n55rs0f25?6=:r7>4?4>629>7}:=181=;?4=9ca>6d?3ty:h<=50;0x90>528<;706nc;1a<>{t9m;?6=4={<7;6?72n273mi4v3:83821`=:0ho1?o64}r3g53<72;q695<514f89=ga2:h37p}>d0594?4|5<296<;l;<:a4?5e02wx=i?7:18183?:3;>8637b080f==z{8n:57>52z?6g=<6=k16;9h53c:8yv7c9h0;6?u25b:950?<5>?;6>l7;|q2`4d=838p18m7:07;?812939i46s|1e3`>5<5s4?h47?:7:?417<4j11vd;296~;2k10:9;5274197g>1f>=940234=>97=m8:p5a472909w0;l8;350>;0=?08n55rs0f15?6=:r7>o54>629>301=;k20q~?k2383>7}:=j21=;?4=67;>6d?3ty:h?=50;0x90e?28<;709:9;1a<>{t9m8?6=4={<7`v3:c9821`=:?d3594?4|586385d80f==z{8n957>52z?54`<6=k16;?h53c:8yv7c:h0;6?u261g950?<5>9;6>l7;|q2`7d=838p1;>j:07;?814939i46s|1e0`>5<5s4<;i7?:7:?477<4j11vh4?:3y>25c=940234=897=m8:p5a572909w08?e;350>;0;?08n55rs0f05?6=:r7=

629>361=;k20q~?k3383>7}:>9o1=;?4=61;>6d?3ty:h>=50;0x936b28<;709<9;1a<>{t9m9?6=4={<43a?72n27v390d821`=:?:h1?o64}r3g73<72;q6:=k514f8925d2:h37p}>d2594?4|5?:n6<;l;<50`?5e02wx=i=7:181807m3;>86383d80f==z{8n857>52z?574<6=k16mn?53c:8yv7c;h0;6?u2623950?<5hho6>l7;|q2`6d=838p1;=>:07;?8gd=39i46s|1e1`>5<5s4<8=7?:7:?bg2<4j11v267=940234khh7=m8:p5a272909w08<1;350>;fk008n55rs0f75?6=:r7=?<4>629>ef`=;k20q~?k4383>7}:>:;1=;?4=`f2>6d?3ty:h9=50;0x935628<;70ok3;1a<>{t9m>?6=4={<405?72n27jh84v3930821`=:im31?o64}r3g03<72;q6:>?514f89dbe2:h37p}>d5594?4|5?9:6<;l;863ndg80f==z{8n?57>52z?511<6=k16;8h53c:8yv7c<;6>l7;|q2`1d=838p1;;;:07;?811939i46s|1e6`>5<5s4<>87?:7:?427<4j11v202=940234==97=m8:p5a372909w08:4;350>;0>?08n55rs0f65?6=:r7=994>629>331=;k20q~?k5383>7}:><>1=;?4=64;>6d?3ty:h8=50;0x933328<;70999;1a<>{t9m??6=4={<460?72n27<:l4v3955821`=:??h1?o64}r3g13<72;q6:8:514f8920d2:h37p}>d4594?4|5???6<;l;<55`?5e02wx=i;7:181802<3;>86386d80f==z{8n>57>52z?532<6=k16m4?53c:8yv7c=h0;6?u2665950?<5h386>l7;|q2`0d=838p1;98:07;?8g?n39i46s|1e7`>5<5s4<<;7?:7:?b=2<4j11v0:9;52a8;97g>221=940234k2n7=m8:p5a072909w0887;350>;f1m08n55rs0f55?6=:r7=;:4>629>e<`=;k20q~?k6383>7}:>>=1=;?4=`c2>6d?3ty:h;=50;0x931028<;70on5;1a<>{t9mv3976821`=:ih91?o64}r3g23<72;q6::9514f89dge2:h37p}>d7594?4|5?=<6<;l;863na880f==z{8n=57>52z?5=d<6=k16;>h53c:8yv7c>h0;6?u268c950?<5>>;6>l7;|q2`3d=838p1;7n:07;?813939i46s|1e4`>5<5s4<2m7?:7:?407<4j11v240234=?97=m8:p5a172909w086a;350>;0629>311=;k20q~?k7383>7}:>0k1=;?4=66;>6d?3ty:h:=50;0x93?f28<;709;9;1a<>{t9m=?6=4={<4:e?72n27<8l4v399`821`=:?=h1?o64}r3g33<72;q6:4o514f8922d2:h37p}>d6594?4|5?3j6<;l;<57`?5e02wx=i97:18180>i3;>86384d80f==z{8n<57>53z?5fa<608164no519389d302:h37p}>d6c94?5|5?ho6<9i;<:`e?70n27j9446d?3ty:h:m50;1x93dc28=o706la;34`>;f=m08n55rs0f4`?6=;r7=ni4>7b9>i01l;i:2`;?xu6l>o1<7=t=7`g>41e342hm7?8b:?b1g<4j11vl7;|q2`=6=839p1;lk:05:?8>di3;<563n6480f==z{8n3=7>53z?5fa<6i0164no51`;89d062:h37p}>d9094?5|5?ho66d?3ty:h5:50;1x93dc28k=706la;3b2>;f>k08n55rs0f;1?6=;r7=ni4>a49>4g3342hm7?n4:?b34<4j11vl7;|q2`=>=839p1;lk:0:e?8>di3;3j63n6g80f==z{8n357>53z?5fa<60=164no519689d102:h37p}>d9c94?5|5?ho6<97;<:`e?70027j;44v39be82f6=:>o<1=5?4}r3gd9f94?4|5?ho652z?5fa<6il16:k8516a8yv7c190;6?u26cf95db<5?l=6<9m;|q2`<7=838p1;lk:0c`?80a>3;5<5s42gb=9mk01;h9:0c;?xu6l0?1<74b>34;1n?0:m;5rs0f:3?6=:r7=ni4>d69>2c0=9h?0q~?k9983>7}:>kn1=i84=7d5>4g33ty:h4750;0x93dc28ih708i6;3:e>{t9m3j6=4={<4a`?7d927=j;4>8g9~w4b>j3:1>v39be82f3=:>o<1=5:4}r3g=f<72;q6:oj51`c893`128=37p}>d8f94?4|5?l=63;i=6386g823c=z{8n2j7>52z?5b3<6j916;;h516g8yv7ci90;6?u26g495d`<5>5<5s42c0=9hh01:8i:05:?xu6lh?1<74be34==j7?n9:p5ag12909w08i6;3ge>;0>o0:m55rs0fb3?6=:r7=j;4>d89>33`=9h=0q~?ka983>7}:>o<1=i64=64e>4g13ty:hl750;0x93`128n<7099f;3b1>{t9mkj6=4={<4e2?7c>27<:k4>a59~w4bfj3:1>v39f782gf=:??l1=4o4}r3gef<72;q6:k851b38920a282m7p}>d`f94?4|5?l=63;jm6386g823==z{8njj7>530y>37`=9;i01:=?:00`?81493;9o63833826f=:?:91=?m4=617>44d34=897?=c:?473<6:j16;>9513a8925?288h709<9;31g>;0;h0:>n5272`957e<5>9h6<2b9>31`=9;i01:;?:00`?81293;9o63853826f=:?<91=?m4=677>44d34=>97?=c:?413<6:j16;89513a8923?288h709:9;31g>;0=h0:>n5274`957e<5>?h6<2b9><2g=9;i0159m:00`?8>0k3;9o6377e826f=:0>o1=?m4=95e>44d3423<7?=c:?;<4<6:j1645o513a89=>e288h7067c;31g>;?0m0:>n5289g957e<512m6<2b9>=67|5>9m6<2b9>314=9;i01::<:00`?813<3;9o63844826f=:?=<1=?m4=664>44d34=?47?=c:?40<<6:j16;9o513a8922e288h709;c;31g>;0n5275g957e<5>?m6<2b9>334=9;i01:8<:00`?811<3;9o63864826f=:??<1=?m4=644>44d34==47?=c:?42<<6:j16;;o513a8920e288h7099c;31g>;0>m0:>n5277g957e<51296<2b9><=3=9;i01569:00`?8>??3;9o63789826f=:0131=?m4=9;1>44d3422?7?=c:?;=1<6:j1644;513a89=?1288h70667;31g>;?110:>n5288;957e<503n6>??;|q2`g7=838p1:o6:040?8>e:39i46s|1e`1>5<5s4=j57?91:?;f6<4j11v3d?=943b342i:7=m8:p5ad12909w09n9;36`>;?j>08n55rs0fa3?6=:r75b9>=;k20q~?kb983>7}:?h31=8:4=9`:>6d?3ty:ho750;0x92ea28<87066a;1a<>{t9mhj6=4={<5`b?7192735o4v38cg8225=:00i1?o64}r3gff<72;q6;nh514d89=?c2:h37p}>dcf94?4|5>im6<;j;<::a?5e02wx=ilj:18181dn3;>h6379g80f==z{8nij7>52z?4gc<6=j164l>53c:8yv7ck90;6?u27bd9502<51k:6>l7;|q2`f7=838p1:h::040?8>?i39i46s|1ea1>5<5s4=m97?91:?;3c3=943b3423i7=m8:p5ae12909w09i5;36`>;?0o08n55rs0f`3?6=:r75b9><<6=;k20q~?kc983>7}:?o?1=8:4=9;2>6d?3ty:hn750;0x9=7e28<87068a;1a<>{t9mij6=4={<:2f?719273;o4v371c8225=:0>i1?o64}r3ggf<72;q64dbf94?4|51;i6<;j;<:4a?5e02wx=imj:1818>6j3;>h6377g80f==z{8nhj7>52z?;5g<6=j1645>53c:8yv7cl90;6?u280`9502<512:6>l7;|q2`a7=838p155<5s429i7?91:?bf6<4j11v<7c=943b34ki;7=m8:p5ab12909w06=e;36`>;fj008n55rs0fg3?6=:r73>h4>5b9>egd=;k20q~?kd983>7}:0;o1=8:4=``e>6d?3ty:hi750;0x9=2628<870662;1a<>{t9mnj6=4={<:75?7192735>4v37408225=:00>1?o64}r3g`f<72;q649?514d89=?22:h37p}>def94?4|51>:6<;j;<::2?5e02wx=ijj:1818>393;>h6379680f==z{8noj7>52z?;04<6=j1644653c:8yv7cm90;6?u28539502<51326>l7;|q2``7=838p15;;:040?8g0j39i46s|1eg1>5<5s42>87?91:?b<4<4j11v<02=943b34k3;7=m8:p5ac12909w06:4;36`>;f0k08n55rs0ff3?6=:r73994>5b9>e=b=;k20q~?ke983>7}:0<>1=8:4=`::>6d?3ty:hh750;0x9=0028<870672;1a<>{t9moj6=4={<:53?7192734>4v37668225=:01>1?o64}r3gaf<72;q64;9514d89=>22:h37p}>ddf94?4|51<<6<;j;<:;2?5e02wx=ikj:1818>1?3;>h6378680f==z{8nnj7>52z?;22<6=j1645653c:8yv7cn90;6?u28759502<51226>l7;|q2`c7=839:w066a;31g>;?1k0:>n5288a957e<513o6<2b9>:00`?8>f:3;9o637a2826f=:0h>1=?m4=9c6>44d342j:7?=c:?;e2<6:j164l6513a89=g>288h706na;31g>;?ik0:>n528`a957e<51ko6<2b9>:00`?8>e:3;9o637b2826f=:0k>1=?m4=9`6>44d342i:7?=c:?;f2<6:j164o6513a89=d>288h706ma;31g>;?jk0:>n528ca957e<51ho6<2b9>:00`?8>d:3;9o637c2826f=:0j>1=?m4=9a6>44d342h:7?=c:?;g2<6:j164n6513a89=e>288h7076f;124>{t9ml96=4={<:`e?d534k?j7=m8:p5a`42908w06i1;004>;?nh08o8529`39746:01g?xu6lo?1<7=t=9d1>450342m:7?<7:?;b2<5;81v??;|q2`c1=838p15h=:233?8g6l3;8h6s|1ed;>5<4s42m?7?<7:?;b2<6;>164k652238yv7cn00;6>u28g19666<51l<6>m:;a;39:<63n1d827a=z{8nmn7>53z?;b1<6;>164k6512589=`>2;9:7p}>dga94?5|51l?6?=?;<:e4<119~w4bal3:1>v37f58055=:i8l1=>j4}r3gb`<72:q64k;512589=`>289<706ia;005>{t9mlm6=4<{<:e1?448273j44e62=;8:0q~?j0183>7}:0o?1?<>4=`03>45c3ty:i=?50;fx9=`12;;;70783;01f>;>?=09>l529`3967g<5h:;6?e55=:;k01l>;:30b?8g7=389m63n1e80f==:i:;1>?74=`6:>74?3ty:i=<50;1x9=`02;;;70o>e;1a<>;f;;09>45rs0g37?6=;r73j54=119>e4`=;k201l=<:30:?xu6m9>1<7=t=9d:>77734k9<7=m8:?b71<5:01vl7;|q2a50=838p15hm:01g?8?f:39:<6s|1d24>5<5s42mo7?45c343j;7=>0:p5`6e2909w07?0;30`>;>i?08==5rs0g3g?6=:r72<<4>3e9>=d>=;8:0q~?j0e83>7}:1981=>j4=8cb>6773ty:i=k50;0x9<64289o707n9;124>{t9l:m6=4={<;30?74l272mo4<119~w4c683:1>v3604827a=:1hn1?<>4}r3f54<72;q65=8512f89e0094?4|50:<6<=k;<;ba?5682wx=h?<:1818?703;8h636b18055=z{8o:87>52z?:4<<6;m165lh53028yv7b9<0;6?u291c956b<50h:6>??;|q2a40=838p14>m:01g?8?e;39:<6s|1d34>5<5s43;o7?8;296~;>8m0:?i529c69746=5c=9:n014l9:233?xu6m8k1<745c343i97=>0:p5`7e2909w07>0;30`>;>j>08==5rs0g2g?6=:r72=<4>3e9>=g?=;8:0q~?j1e83>7}:1881=>j4=8`;>6773ty:i{t9l;m6=4={<;20?74l272nn4<119~w4c583:1>v3614827a=:1kh1?<>4}r3f64<72;q65<8512f89e3094?4|50;<6<=k;<;ab?5682wx=h<<:1818?603;8h636bd8055=z{8o987>52z?:5<<6;m165n>53028yv7b:<0;6?u290c956b<50i96>??;|q2a70=838p14?m:01g?8?d939:<6s|1d04>5<5s43:o7?9m0:?i529b7974644?:3y>=4c=9:n014m;:233?xu6m;k1<745c343h:7=>0:p5`4e2909w07=0;30`>;>k108==5rs0g1g?6=:r72><4>3e9>=f1=;8:0q~?j2e83>7}:1;81=>j4=8a:>6773ty:i?k50;0x9<44289o707lb;124>{t9l8m6=4={<;10?74l272ol4<119~w4c483:1>v3624827a=:1ji1?<>4}r3f74<72;q65?8512f89e2094?4|508<6<=k;<;``?5682wx=h=<:1818?503;8h636cg8055=z{8o887>52z?:6<<6;m165i?53028yv7b;<0;6?u293c956b<50n;6>??;|q2a60=838p145<5s439o7?:m0:?i529e79746=7c=9:n014j;:233?xu6m:k1<745c343o:7=>0:p5`5e2909w07<0;30`>;>l108==5rs0g0g?6=:r72?<4>3e9>=a1=;8:0q~?j3e83>7}:1:81=>j4=8f:>6773ty:i>k50;0x9<54289o707kb;124>{t9l9m6=4={<;00?74l272hl4<119~w4c383:1>v3634827a=:1mi1?<>4}r3f04<72;q65>8512f89e5094?4|509<6<=k;<;g`?5682wx=h:<:1818?403;8h636dg8055=z{8o?87>52z?:7<<6;m165h?53028yv7b<<0;6?u292c956b<50o;6>??;|q2a10=838p14=m:01g?8?b:39:<6s|1d64>5<5s438o7?;m0:?i529d19746=6c=9:n014k::233?xu6m=k1<745c343n;7=>0:p5`2e2909w07;0;30`>;>m?08==5rs0g7g?6=:r728<4>3e9>=`>=;8:0q~?j4e83>7}:1=81=>j4=8gb>6773ty:i9k50;0x9<24289o707j9;124>{t9l>m6=4={<;70?74l272io4<119~w4c283:1>v3644827a=:1ln1?<>4}r3f14<72;q6598512f89e4094?4|50><6<=k;<;fa?5682wx=h;<:1818?303;8h636f18055=z{8o>87>52z?:0<<6;m165hh53028yv7b=<0;6?u295c956b<50l:6>??;|q2a00=838p14:m:01g?8?a;39:<6s|1d74>5<5s43?o7?=1c=9:n014h9:233?xu6m45c343m97=>0:p5`3e2909w07:0;30`>;>n>08==5rs0g6g?6=:r729<4>3e9>=c?=;8:0q~?j5e83>7}:1<81=>j4=8d;>6773ty:i8k50;0x9<34289o707ia;124>{t9l?m6=4={<;60?74l272jn4<119~w4c183:1>v3654827a=:1oh1?<>4}r3f24<72;q6588512f89<`c2:;;7p}>e7094?4|50?<6<=k;<;eb?5682wx=h8<:1818?203;8h636fd8055=z{8o=87>52z?:1<<6;m16m=>53028yv7b><0;6>u294c9561<50?m6<=8;<;54?4492wx=h89:1808?2i388<6365g80g0=:i9?1?<>4}r3f22<72;q658o530289d45289o7p}>e7:94?5|50?i6<=8;<;54?74?272:<4=309~w4c113:1?v365c8175=:1?:1?n;4=`27>6773ty:i;o50;0x9<3e2:;;70o=3;30`>{t9l369>=34=::;0q~?j6b83>6}:1>>4=842>6e234k;?7=>0:p5`0c2909w07:c;124>;f:=0:?i5rs0g5a?6=;r729i4>369>=34=9:=0148<:312?xu6m?l1<7=t=87g>757343=>7=l5:?b47<4991v=m08==52a37956b=0c=9:=0148<:014?8?1<39:<6s|1d51>5<4s43>i7<<0:?:26<4k<16m=?53028yv7b?:0;6?u294g9746<5h8=6<=k;|q2a22=83>p14;i:333?8?08389563n04816<=:i;81?o64}r3f30<72=q65;>520289<172;8j70o?4;01=>;f::08n55rs0g42?6==26=:;h01l><:30:?8g5<39i46s|1d54>5<3s43=>7<>0:?:35<5:j16m=<523;89d422:h37p}>e6:94?2|50<86???;<;44?45l27j<<4=289>e70=;k20q~?j7883>7}:1?>1?n;4=`6:>6773ty:i:o50;6x9<022;8j7079c;01e>;>>m09>l52bd;97g>=33=:;h01o:<:2`;?xu6m>i1<7=t=84;>74f34k897=m8:?b7f<5:01v0?09>l52a0397g><5h9j6?p147>:30:?8g5l389563n3780f==:i:31>?74}r3f3c<72:q6549523c89d712:h370o{t9l2;6=4;{<;:=74f34koh7=m8:p5`>52909w076e;01e>;fm808n55rs0g;7?6=>8q65l>530289dc5288h70oj3;31g>;fm=0:>n52ad7957e<5ho=6<2b9>e`?=9;i01lkn:00`?8gbj3;9o63neb826f=:iln1=?m4=`gf>44d34knj7?=c:?bb5<6:j16mk?513a89d`5288h70oi3;31g>;fn=0:>n52ag7957e<5hl=6<2b9>ec?=9;i01lhn:00`?8gaj3;9o63nfb826f=:ion1=?m4=`df>44d34kmj7?=c:?a45<6:j16n=?513a89g65288h70l?3;31g>;e8=0:>n52b17957e<5k:=6<2b9>f5?=9;i01o>n:00`?8d7j3;9o63m0b826f=:j9n1=?m4=c2f>44d34h;j7?=c:?a55<6:j16n3;31g>;e9=0:>n52b07957e<5k;=6<2b9>f4?=9;i01o?n:00`?8d6j3;9o63m1b826f=:j8n1=?m4=c3f>44d34h:j7?=c:?a65<6:j16n??513a89g45288h70l=3;31g>;e:=0:>n52b37957e<5k8=6<54>2b9>f7?=9;i01o44d34h9j7?=c:?a75<6:j16n>?513a89g55288h70l<3;31g>;e;=0:>n52b27957e<5k9=6<2b9>f6?=9;i01o=n:00`?8d4j3;9o63m3b826f=:j:n1=?m4=c1f>44d34h8j7?=c:?a05<6:j16n9?513a8yv7b0=0;6?u29`0967d<5k=m6>l7;|q2a=3=838p14o<:30a?8d?939i46s|1d:5>5<5s43j87<=b:?a<6<4j11vi<09>o52b6;97g>=d0=:;h01o9m:2`;?xu6m131<774e34hf2909w07n8;01f>;e?:08n55rs0g;f?6=:r72m44=2c9>f23=;k20q~?j8b83>7}:1hk1>?l4=c54>6d?3ty:i5j50;0x9{t9l2n6=4={<;bg?45j27i:k4v36ae816g=:j>;1?o64}r3f=5<72;q65lk523`89g002:h37p}>e8394?4|50km6?52z?:f4<5:k16n;?53c:8yv7b1=0;6?u29e2967d<5k<>6>l7;|q2a<3=838p14j=:30a?8d1;39i46s|1d;5>5<5s43o?7<=b:?a1g<4j11vl=09>o52b4f97g>=a3=:;h01o;i:2`;?xu6m031<774e34h>57=m8:p5`?f2909w07k7;01f>;e=<08n55rs0g:f?6=:r72h54=2c9>f01=;k20q~?j9b83>7}:1m31>?l4=c70>6d?3ty:i4j50;0x9{t9l3n6=4={<;gf?45j27i8i4n3:1>v36db816g=:j=l1?o64}r3fe5<72;q65ij523`89g2e2:h37p}>e`394?4|50nn6?52z?:a4<5:k16n9;53c:8yv7bi=0;6?u2a15956b<5h:36>??;|q2ad3=838p1l?>:01g?8g4i39:<6s|1dc5>5<5s4k::7?e60=9:n01l=6:233?xu6mh31<745c34k8h7=>0:p5`gf2909w0o<8;30`>;f;l08==5rs0gbf?6=:r7j8h4e1`=9:n0q~?jab83>7}:i<:1?o64=`72>45c3ty:ilj50;0x9d352:h370o:3;30`>{t9lkn6=4={3e9~w4cfn3:1>v3n5780f==:i<=1=>j4}r3ff5<72;q6m8653c:89d3>289o7p}>ec394?4|5h?j6>l7;52z?b1`<4j116m8h512f8yv7bj=0;6?u2a7297g><5h<:6<=k;|q2ag3=838p1l8=:2`;?8g1;3;8h6s|1d`5>5<5s4k=87=m8:?b20<6;m1v?08n552a75956be3>=;k201l86:01g?xu6mk31<76d?34k=n7?;f>m0:?i5rs0gaf?6=:r7j:h4e3`=9:n0q~?jbb83>7}:i>:1?o64=`52>45c3ty:ioj50;0x9d152:h370o83;30`>{t9lhn6=4={3e9~w4cen3:1>v3n7780f==:i>=1=>j4}r3fg5<72;q6m:653c:89d1>289o7p}>eb394?4|5h=j6>l7;52z?b3`<4j116m:h512f8yv7bk=0;6?u2a9297g><5h2:6<=k;|q2af3=838p1l6=:2`;?8g?;3;8h6s|1da5>5<5s4k387=m8:?b<0<6;m1ve=>=;k201l66:01g?xu6mj31<76d?34k3n7?;f0m0:?i5rs0g`f?6=:r7j4h4e=`=9:n0q~?jcb83>7}:i0:1?o64=`;2>45c3ty:inj50;0x9d?52:h370o63;30`>{t9lin6=4={3e9~w4cdn3:1>v3n9780f==:i0=1=>j4}r3f`5<72;q6m4653c:89d?>289o7p}>ee394?4|5h3j6>l7;k39i463n9e827a=z{8oo?7>52z?b=`<4j116m4h512f8yv7bl=0;6?u2a`297g><5hk:6<=k;|q2aa3=838p1lo=:2`;?8gf;3;8h6s|1df5>5<5s4kj87=m8:?be0<6;m1ved>=;k201lo6:01g?xu6mm31<76d?34kjn7?;fim0:?i5rs0ggf?6=:r7jmh4ed`=9:n0q~?jdb83>7}:ik:1?o64=``2>45c3ty:iij50;0x9dd52:h370om3;30`>{t9lnn6=4={3e9~w4ccn3:1>v3nb780f==:ik=1=>j4}r3fa5<72;q6mo653c:89dd>289o7p}>ed394?4|5hhj6>l7;52z?bf`<4j116moh512f8yv7bm=0;6?u2ab297g><5hi:6<=k;|q2a`3=838p1lm=:2`;?8gd;3;8h6s|1dg5>5<5s4kh87=m8:?bg0<6;m1vef>=;k201lm6:01g?xu6ml31<76d?34khn7?;fkm0:?i5rs0gff?6=:r7joh4ef`=9:n0q~?jeb83>7}:im:1?o64=`f2>45c3ty:ihj50;0x9db52:h370ok3;30`>{t9lon6=4={3e9~w4cbn3:1>v3nd780f==:im=1=>j4}r3fb5<72;q6mi653c:89db>289o7p}>eg394?4|5hnj6>l7;52z?b``<4j116mih512f8yv7bn=0;6?u2ad297g><5ho:6<=k;|q2ac3=838p1lk=:2`;?8gb;3;8h6s|1dd5>5<5s4kn?7=m8:?a<3<6;m1ve`3=;k201o67:01g?xu6mo31<76d?34kn;7?;e0h0:?i5rs0gef?6=:r7ji54e`?=9:n0q~?jfb83>7}:il31?o64=c:f>45c3ty:ikj50;0x9dcf2:h370ojb;30`>{t9lln6=4={3e9~w4can3:1>v3neb80f==:iln1=>j4}r3e45<72;q6mhj53c:89g>d289o7p}>f1394?4|5hon6>l7;=:1818gbn39i463m93827a=z{8l;?7>52z?bb5<4j116mk?512f8yv7a8=0;6?u2ag397g><5k336<=k;|q2b53=838p1lh=:2`;?8ga;3;8h6s|1g25>5<5s4km?7=m8:?a=1<6;m1vec3=;k201o79:01g?xu6n931<76d?34km;7?;e1h0:?i5rs0d3f?6=:r7jj54ec?=9:n0q~?i0b83>7}:io31?o64=c;`>45c3ty:j=j50;0x9d`f2:h370oib;30`>{t9o:n6=4={3e9~w4`7n3:1>v3nfb80f==:ion1=>j4}r3e55<72;q6mkj53c:89gg7289o7p}>f0394?4|5hln6>l7;52z?a45<4j116n=?512f8yv7a9=0;6?u2b1397g><5kk?6<=k;|q2b43=838p1o>=:2`;?8d7;3;8h6s|1g35>5<5s4h;?7=m8:?ae3<6;m1v7;296~;e8=08n552b17956bf53=;k201oo7:01g?xu6n831<76d?34h;;7?;eih0:?i5rs0d2f?6=:r7i<54f5?=9:n0q~?i1b83>7}:j931?o64=c`3>45c3ty:j{t9o;n6=4={<`3f?5e027imn4>3e9~w4`6n3:1>v3m0b80f==:j9n1=>j4}r3e65<72;q6n=j53c:89ggb289o7p}>f3394?4|5k:n6>l7;<`3b?74l2wx=k<=:1818d7n39i463mb9827a=z{8l9?7>52z?a55<4j116n<5kh96<=k;|q2b73=838p1o?=:2`;?8d6;3;8h6s|1g05>5<5s4h:?7=m8:?af3<6;m1v54?:3y>f43=;k201olj:01g?xu6n;31<76d?34h:;7?7;1a<>;ej=0:?i5rs0d1f?6=:r7i=54f4?=9:n0q~?i2b83>7}:j831?o64=c``>45c3ty:j?j50;0x9g7f2:h370l>b;30`>{t9o8n6=4={<`2f?5e027inl4>3e9~w4`5n3:1>v3m1b80f==:j8n1=>j4}r3e75<72;q6nf2394?4|5k;n6>l7;<`2b?74l2wx=k==:1818d6n39i463mc5827a=z{8l8?7>52z?a65<4j116n??512f8yv7a;=0;6?u2b3397g><5ki;6<=k;|q2b63=838p1o<=:2`;?8d5;3;8h6s|1g15>5<5s4h9?7=m8:?agd<6;m1vf73=;k201om9:01g?xu6n:31<76d?34h9;7?;ek10:?i5rs0d0f?6=:r7i>54f7?=9:n0q~?i3b83>7}:j;31?o64=cf1>45c3ty:j>j50;0x9g4f2:h370l=b;30`>{t9o9n6=4={<`1f?5e027ion4>3e9~w4`4n3:1>v3m2b80f==:j;n1=>j4}r3e05<72;q6n?j53c:89gb7289o7p}>f5394?4|5k8n6>l7;<`1b?74l2wx=k:=:1818d5n39i463md9827a=z{8l??7>52z?a75<4j116n>?512f8yv7a<=0;6?u2b2397g><5kin6<=k;|q2b13=838p1o==:2`;?8d4;3;8h6s|1g65>5<5s4h8?7=m8:?a`3<6;m1vf63=;k201oj;:01g?xu6n=31<76d?34h8;7?;elj0:?i5rs0d7f?6=:r7i?54f6?=9:n0q~?i4b83>7}:j:31?o64=cff>45c3ty:j9j50;0x9g5f2:h370l{t9o>n6=4={<`0f?5e027ihl4>3e9~w4`3n3:1>v3m3b80f==:j:n1=>j4}r3e15<72;q6n>j53c:89gc3289o7p}>f4394?4|5k9n6>l7;<`0b?74l2wx=k;=:1818d4n39i463me1827a=z{8l>?7>52z?a05<4j116n9?512f8yv7a==0;6?u2b5397g><5ko96<=k;|q2b03=838p1o:=:2`;?8d3;3;8h6s|1g75>5<5s4h?87=m8:?a00<6;m1vf1>=;k201o:6:01g?xu6n<31<76d?34h?n7?;ef1`=9:n0q~?i5b83>7}:j<:1?o64=c72>45c3ty:j8j50;0x9g352:h370l:3;30`>{t9o?n6=4={<`60?5e027i984>3e9~w4`2n3:1>v3m5780f==:j<=1=>j4}r3e25<72;q6n8653c:89g3>289o7p}>f7394?4|5k?j6>l7;<`6f?74l2wx=k8=:1818d2k39i463m5e827a=z{8l=?7>52z?a1`<4j116n8h512f8yv7a>=0;6?u2b7297g><5k<:6<=k;|q2b33=838p1o8=:2`;?8d1;3;8h6s|1g45>5<5s4h=87=m8:?a20<6;m1v?08n552b75956bf3>=;k201o86:01g?xu6n?31<76d?34h=n7?;e>m0:?i5rs0d5f?6=:r7i:h4f3`=9:n0q~?i6b83>7}:j>:1?o64=c52>45c3ty:j;j50;0x9g152:h370l83;30`>{t9o3e9~w4`1n3:1>v3m7780f==:j>=1=>j4}r3e35<72;q6n:653c:89g1>289o7p}>f6394?4|5k=j6>l7;<`4f?74l2wx=k9=:1818d0k39i463m7e827a=z{8l52z?a3`<4j116n:h512f8yv7a?=0;6?u2b9297g><5k2:6<=k;|q2b23=838p1o6=:2`;?8d?;3;8h6s|1g55>5<5s4h387=m8:?a<0<6;m1vf=>=;k201o66:01g?xu6n>31<76d?34h3n7?;e0m0:?i5rs0d4f?6=:r7i4h4f=`=9:n0q~?i7b83>7}:j0:1?o64=c;2>45c3ty:j:j50;0x9g?52:h370l63;30`>{t9o=n6=4={<`:0?5e027i584>3e9~w4`0n3:1>v3m9780f==:j0=1=>j4}r3e<5<72;q6n4653c:89g?>289o7p}>f9394?4|5k3j6>l7;<`:f?74l2wx=k6=:1818d>k39i463m9e827a=z{8l3?7>52z?a=`<4j116n4h512f8yv7a0=0;6?u2b`297g><5kk:6<=k;|q2b=3=838p1oo=:2`;?8df;3;8h6s|1g:5>5<5s4hj87=m8:?ae0<6;m1vfd>=;k201oo6:01g?xu6n131<76d?34hjn7?f2909w0lnc;1a<>;eim0:?i5rs0d;f?6=:r7imh4fd`=9:n0q~?i8b83>7}:jk:1?o64=c`2>45c3ty:j5j50;0x9gd52:h370lm3;30`>{t9o2n6=4={<`a0?5e027in84>3e9~w4`?n3:1>v3mb780f==:jk=1=>j4}r3e=5<72;q6no653c:89gd>289o7p}>f8394?4|5khj6>l7;<`af?74l2wx=k7=:1818dek39i463mbe827a=z{8l2?7>52z?af`<4j116noh512f8yv7a1=0;6?u2bb297g><5ki:6<=k;|q2b<3=838p1om=:2`;?8dd;3;8h6s|1g;5>5<5s4hh87=m8:?ag0<6;m1vff>=;k201om6:01g?xu6n031<76d?34hhn7?;ekm0:?i5rs0d:f?6=:r7ioh4ff`=9:n0q~?i9b83>7}:jm:1?o64=cf2>45c3ty:j4j50;0x9gb52:h370lk3;30`>{t9o3n6=4={<`g0?5e027ih84>3e9~w4`>n3:1>v3md780f==:jm=1=>j4}r3ee5<72;q6ni653c:89gb>289o7p}>f`394?4|5knj6>l7;<`gf?74l2wx=ko=:1818dck39i463mde827a=z{8lj?7>52z?a``<4j116nih512f8yv7ai=0;6?u2bd297g><5ko:6<=k;|q2bd3=838p1ok=:2`;?8db;3;8h6s|1gc5>5<5s4hn87=m8:?aa0<6;m1vf`>=;k201ok6:01g?x{t9?7}Y9?<<70=jc;3522=#;jl1>ll4}ra23?6=:rTh=:523da9g41<,:im6?h=;|q`5=<72;qUo<64=2g`>f7?3-9hj7=?b:pg5d=838pRoh6;<1fg?da12.8ok4<0b9~wf6>2909wSli8:?0afvPmf69>7`e=jo=0(>mi:346?xud8>0;6?uQbg4896cd2kl=7)=lf;052>{tk9<1<76=4={_`e0>;4mj0ij95+3bd963>52z\ab6=:;li1nk=4$2ae>70>3tyh<>4?:3y]fc4<5:oh6oh=;%1`b?41i2wxo<:50;0xZf66349no7m?1:&0gc<5>k1vn?<:181[e78278in4l019'7f`=:>;0q~m>2;296~Xeno16?hm5bgd8 6ea2;=h7p}l1083>7}Yjoo01>kl:cdf?!5dn38346s|c0294?4|Vklo70=jc;`e`>"4ko095>5rsb2e>5<5sWhmo634k4}ra3a?6=:rTijo523da9fcd<,:im6?o=;|q`4a<72;qUnko4=2g`>g`f3-9hj7;<1fg?da92.8ok4=a59~wf652909wSli0:?0afk0;6?uQ174a?85bk3;=:o5+3bd96d09;296~Xd9016?hm5c0;8 6ea2;k27p}k0`83>7}Yk=901>kl:b60?!5dn38=o6s|d1:94?4|Vj>970=jc;a76>"4ko09:i5rse24>5<5sWi?=63;k4}rf32?6=:rTh8=523da9g16<,:im6?8i;|qg41<72;qUo>k4=2g`>f5b3-9hj7<80:p`55=838pRn=k;<1fg?e4l2.8ok4=739~wa652909wSmvPl3c9>7`e=k:h0(>mi:357?xuc890;6?uQc2c896cd2j9j7)=lf;041>{tkol1<7;4mj0h?55+3bd962152z\`72=:;li1o>94$2ae>71?3tyhjn4?:3y]g60<5:oh6n=9;%1`b?4012wxokl50;0xZf52349no7m<5:&0gc<5?h1vi?=:181[e3i278in4l4`9'7f`=:>h0q~j>1;296~Xd<016?hm5c5;8 6ea2;=o7p}k1183>7}Yk=201>kl:b6;?!5dn38<70=jc;a73>"4ko09;k5rse2f>5<5sWi?:635>4}rf3`?6=:rTh88523da9g13<,:im6?6>;|qg4f<72;qUo9:4=2g`>f233-9hj7<72:p`5d=838pRn=i;<1fg?e4n2.8ok4=829~wa622909wSm<4:?0afvPl329>7`e=k:90(>mi:3:6?xuc;k0;6?uQd31896cd2m887)=lf;0;2>{tl:31<76*;4mj0o><5+3bd96=g52z\g65=:;li1h?>4$2ae>7>e3tyo?84?:3y]`4c<5:oh6i?j;%1`b?4?k2wxh>:50;0xZa7c349no7j>d:&0gc<50m1vi=<:181[b6k278in4k1b9'7f`=:1o0q~j<2;296~Xc9k16?hm5d0`8 6ea2;2m7p}k3083>7}Yl8k01>kl:e3b?!5dn382<6s|d2294?4|Vm;270=jc;f2=>"4ko095<5rse0e>5<5sWn:4634<4}rf1a?6=:rTo=:523da9`41<,:im6?7;;|qg6a<72;qUh<84=2g`>a713-9hj7<65:p`7e=838pRi?:;<1fg?b6=2.8ok4=979~wa242909wSj=a:?0afvPk289>7`e=l;30(>mi:3;;?xuc<80;6?uQd3:896cd2m837)=lf;0:=>{tl=:1<7;4mj0o>;5+3bd9652z\g60=:;li1h?;4$2ae>7?d3tyo?i4?:3y]`72<5:oh6i<;;%1`b?4>l2wxh>m50;0xZa7a349no7j>f:&0gc<51o1vi=9:181[b6<278in4k159'7f`=:h:0q~j=b;296~Xc9:16?hm5d018 6ea2;k:7p}icc83>7}Ym=i01>kl:d6`?!5dn38jo6s|fb;94?4|Vl>i70=jc;g7f>"4ko09mi5rsga;>5<5sWo?m63lk4}rd`3?6=:rTn84523da9a1?<,:im6?oi;|qeg3<72;qUi964=2g`>`2?3-9hj7vPj459>7`e=m=>0(>mi:3`0?xuak80;6?uQe51896cd2l>87)=lf;0a0>{tnj:1<76*;4mj0n8<5+3bd96g052z\f05=:;li1i9>4$2ae>7d03tymni4?:3y]a6`<5:oh6h=i;%1`b?4e02wxjom50;0xZ`5b349no7k7}Ym:h01>kl:d1a?!5dn38io6s|fc594?4|Vl9270=jc;g0=>"4ko09ni5rsg`5>5<5sWo8463ok4}rda1?6=:rTn?:523da9a61<,:im6?li;|qef1<72;qUi>84=2g`>`513-9hj7vPj329>7`e=m:90(>mi:3a0?xuaj90;6?uQe20896cd2l997)=lf;0`0>{tnhl1<7;4mj0n?=5+3bd96f052z\f6`=:;li1i?k4$2ae>7e03tymmo4?:3y]a7b<5:oh6h7}Ym;301>kl:d0:?!5dn38ho6s|f`494?4|Vl8370=jc;g1<>"4ko09oi5rsgc6>5<5sWo9;63nk4}rdb0?6=:rTn>;523da9a70<,:im6?mi;|qee6<72;qUi?;4=2g`>`423-9hj7;<1fg?c292.8ok4=d09~wcb72909wSk:0:?0afvPj4g9>7`e=m=l0(>mi:3f0?xuakl0;6?uQe5g896cd2l>n7)=lf;0g0>{tnjn1<7;4mj0n885+3bd96a052z\f7d=:;li1i>o4$2ae>7b03tymn54?:3y]a7`<5:oh6h7}Ynoh01>kl:gda?!5dn38on6s|110;>5<5sWlmm63im4}r3362<72;qUjk74=2g`>c`>3-9hj7{t998?6=4={_de2>;4mj0mj;5+3bd96`6>4?:3y]bc3<5:oh6kh:;%1`b?4b92wx==<>:181[`a;278in4if29'7f`=:l80q~??2183>7}Yno801>kl:gd1?!5dn38n?6s|113e>5<5sWlm=63h:4}r335`<72;qUjk>4=2g`>c`73-9hj7{t99;i6=4={_df`>;4mj0mii5+3bd96`>7}Ynlk01>kl:ggb?!5dn38nn6s|1135>5<5sWln463hm4}r3350<72;qUjh94=2g`>cc03-9hj77)=lf;0fb>{t99;96=4={_df0>;4mj0mi95+3bd96c67}Ynl;01>kl:gg2?!5dn38m86s|112f>5<5sWln<63k;4}r334a<72;qUjih4=2g`>cba3-9hj7{t99:26=4={_dgf>;4mj0mho5+3bd96c?8:181[`c1278in4id89'7f`=:oh0q~??0783>7}Ynm201>kl:gf;?!5dn38mo6s|1126>5<5sWlo;63kj4}r3341<72;qUji84=2g`>cb13-9hj7{t999;6=4={_3345=:;li1==>?;%1`b?5792wx==7}Ynoo01>kl:gdf?!5dn39;?6s|110g>5<5sWlmh63c`d3-9hj7=?5:p554e2909wShi4:?0af{t99;<6=4={_dga>;4mj0mhh5+3bd975>>:181[`c:278in4id39'7f`=;9k0qp`9c3c94?4|@:n;7p`9c3`94?7asA9o<6sa6b0`>5<6nrB8h=5rn7a1`?6=;rB8h=5rn7a1a?6=:rB8h=5rn7a1b?6=:rB8h=5rn7a04?6=:rB8h=5rn7a05?6=:rB8h=5rn7a06?6=:rB8h=5rn7a07?6=:rB8h=5rn7a00?6=:rB8h=5rn7a01?6=:rB8h=5rn7a02?6=:rB8h=5rn7a03?6=:rB8h=5rn7a050;3xL6b73td=:=?50;3xL6b73td=:=<50;3xL6b73td=:==50;3xL6b73td=:=:50;3xL6b73td=:=;50;3xL6b73td=:=850;3xL6b73td=:=950;3xL6b73td=:=650;3xL6b73td=:=750;3xL6b73td=:=o50;3xL6b73td=:=l50;3xL6b73td=:=m50;3xL6b73td=:=j50;3xL6b73td=:=k50;3xL6b73td=:=h50;3xL6b73td=:<>50;3xL6b73td=:50;3xL6b73td=:??50;3xL6b73td=:?<50;3xL6b73td=:?=50;3xL6b73td=:?:50;3xL6b73td=:?;50;3xL6b73td=:?850;0xL66a3A9o<6sa6704>5<6sA9o<6sa670;>5<6sA9o<6sa670:>5<6sA9o<6sa670b>5<5sA9;j6FvF<0g9K7a6h4?:0yK7a6k4?:0yK7a64?:0yK7a64?:0yK7a64?:0yK7a64?:0yK7a64?:4yK7a65290:wE=k0:m23>4290:wE=k0:m23>3290:wE=k0:m23>2290:wE=k0:m23>1290:wE=k0:m23>02909wE=?f:J0`5=zf?<347>52zJ0`5=zf?<357>52zJ0`5=zf?<3m7>52zJ0`5=zf?<3n7>52zJ0`5=zf?<3o7>52zJ0`5=zf?<3h7>52zJ0`5=zf?<3i7>52zJ0`5=zf?<3j7>52zJ0`5=zf?<2<7>53zJ0`5=zf?<2=7>53zJ0`5=zf?<2>7>53zJ0`5=zf?<2?7>53zJ0`5=zf?<287>53zJ0`5=zf?<297>53zJ0`5=zf?<2:7>53zJ0`5=zf?<2;7>53zJ0`5=zf?<247>51zJ0`5=zf?<257>51zJ0`5=zf?<2m7>51zJ0`5=zf?<2n7>51zJ0`5=zf?<2o7>51zJ0`5=zf?<2h7>51zJ0`5=zf?<2i7>51zJ0`5=zf?<2j7>51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?7>51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?7>51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?7>51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?7>52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?7>53zJ0`5=zf?53zJ0`5=zf?53zJ0`5=zf?53zJ0`5=zf?53zJ0`5=zf?53zJ0`5=zf?53zJ0`5=zf?53zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?7>51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?=;<7>51zJ0`5=zf?=;=7>51zJ0`5=zf?=;>7>51zJ0`5=zf?=;?7>51zJ0`5=zf?=;87>51zJ0`5=zf?=;97>51zJ0`5=zf?=;:7>51zJ0`5=zf?=;;7>51zJ0`5=zf?=;47>51zJ0`5=zf?=;57>51zJ0`5=zf?=;m7>51zJ0`5=zf?=;n7>51zJ0`5=zf?=;o7>51zJ0`5=zf?=;h7>51zJ0`5=zf?=;i7>51zJ0`5=zf?=;j7>51zJ0`5=zf?=:<7>51zJ0`5=zf?=:=7>51zJ0`5=zf?=:>7>51zJ0`5=zf?=:?7>51zJ0`5=zf?=:87>51zJ0`5=zf?=:97>51zJ0`5=zf?=::7>51zJ0`5=zf?=:;7>51zJ0`5=zf?=:47>51zJ0`5=zf?=:57>51zJ0`5=zf?=:m7>52zJ0`5=zf?=:n7>52zJ0`5=zf?=:o7>52zJ0`5=zf?=:h7>52zJ0`5=zf?=:i7>52zJ0`5=zf?=:j7>52zJ0`5=zf?=9<7>52zJ0`5=zf?=9=7>52zJ0`5=zf?=9>7>52zJ0`5=zf?=9?7>52zJ0`5=zf?=987>52zJ0`5=zf?=997>52zJ0`5=zf?=9:7>52zJ0`5=zf?=9;7>52zJ0`5=zf?=947>52zJ0`5=zf?=957>52zJ0`5=zf?=9m7>53zJ0`5=zf?=9n7>53zJ0`5=zf?=9o7>53zJ0`5=zf?=9h7>53zJ0`5=zf?=9i7>53zJ0`5=zf?=9j7>53zJ0`5=zf?=8<7>53zJ0`5=zf?=8=7>53zJ0`5=zf?=8>7>53zJ0`5=zf?=8?7>53zJ0`5=zf?=887>53zJ0`5=zf?=897>53zJ0`5=zf?=8:7>53zJ0`5=zf?=8;7>53zJ0`5=zf?=847>53zJ0`5=zf?=857>53zJ0`5=zf?=8m7>51zJ0`5=zf?=8n7>51zJ0`5=zf?=8o7>51zJ0`5=zf?=8h7>51zJ0`5=zf?=8i7>51zJ0`5=zf?=8j7>51zJ0`5=zf?=?<7>51zJ0`5=zf?=?=7>51zJ0`5=zf?=?>7>51zJ0`5=zf?=??7>51zJ0`5=zf?=?87>51zJ0`5=zf?=?97>51zJ0`5=zf?=?:7>51zJ0`5=zf?=?;7>51zJ0`5=zf?=?47>51zJ0`5=zf?=?57>51zJ0`5=zf?=?m7>51zJ0`5=zf?=?n7>51zJ0`5=zf?=?o7>51zJ0`5=zf?=?h7>51zJ0`5=zf?=?i7>51zJ0`5=zf?=?j7>51zJ0`5=zf?=><7>51zJ0`5=zf?=>=7>51zJ0`5=zf?=>>7>51zJ0`5=zf?=>?7>51zJ0`5=zf?=>87>51zJ0`5=zf?=>97>51zJ0`5=zf?=>:7>51zJ0`5=zf?=>;7>51zJ0`5=zf?=>47>51zJ0`5=zf?=>57>51zJ0`5=zf?=>m7>51zJ0`5=zf?=>n7>51zJ0`5=zf?=>o7>51zJ0`5=zf?=>h7>51zJ0`5=zf?=>i7>51zJ0`5=zf?=>j7>51zJ0`5=zf?==<7>51zJ0`5=zf?===7>51zJ0`5=zf?==>7>51zJ0`5=zf?==?7>51zJ0`5=zf?==87>51zJ0`5=zf?==97>51zJ0`5=zf?==:7>51zJ0`5=zf?==;7>51zJ0`5=zf?==47>51zJ0`5=zf?==57>51zJ0`5=zf?==m7>52zJ0`5=zf?==n7>52zJ0`5=zf?==o7>52zJ0`5=zf?==h7>52zJ0`5=zf?==i7>52zJ0`5=zf?==j7>52zJ0`5=zf?=<<7>52zJ0`5=zf?=<=7>52zJ0`5=zf?=<>7>52zJ0`5=zf?=52zJ0`5=zf?=<87>52zJ0`5=zf?=<97>52zJ0`5=zf?=<:7>52zJ0`5=zf?=<;7>52zJ0`5=zf?=<47>52zJ0`5=zf?=<57>52zJ0`5=zf?=53zJ0`5=zf?=53zJ0`5=zf?=53zJ0`5=zf?=53zJ0`5=zf?=53zJ0`5=zf?=53zJ0`5=zf?=3<7>53zJ0`5=zf?=3=7>53zJ0`5=zf?=3>7>53zJ0`5=zf?=3?7>53zJ0`5=zf?=387>53zJ0`5=zf?=397>53zJ0`5=zf?=3:7>53zJ0`5=zf?=3;7>53zJ0`5=zf?=347>53zJ0`5=zf?=357>53zJ0`5=zf?=3m7>51zJ0`5=zf?=3n7>51zJ0`5=zf?=3o7>51zJ0`5=zf?=3h7>51zJ0`5=zf?=3i7>51zJ0`5=zf?=3j7>51zJ0`5=zf?=2<7>51zJ0`5=zf?=2=7>51zJ0`5=zf?=2>7>51zJ0`5=zf?=2?7>51zJ0`5=zf?=287>51zJ0`5=zf?=297>51zJ0`5=zf?=2:7>51zJ0`5=zf?=2;7>51zJ0`5=zf?=247>51zJ0`5=zf?=257>51zJ0`5=zf?=2m7>51zJ0`5=zf?=2n7>51zJ0`5=zf?=2o7>51zJ0`5=zf?=2h7>51zJ0`5=zf?=2i7>51zJ0`5=zf?=2j7>51zJ0`5=zf?=j<7>51zJ0`5=zf?=j=7>51zJ0`5=zf?=j>7>51zJ0`5=zf?=j?7>51zJ0`5=zf?=j87>51zJ0`5=zf?=j97>51zJ0`5=zf?=j:7>51zJ0`5=zf?=j;7>51zJ0`5=zf?=j47>51zJ0`5=zf?=j57>51zJ0`5=zf?=jm7>51zJ0`5=zf?=jn7>51zJ0`5=zf?=jo7>51zJ0`5=zf?=jh7>51zJ0`5=zf?=ji7>51zJ0`5=zf?=jj7>51zJ0`5=zf?=i<7>51zJ0`5=zf?=i=7>51zJ0`5=zf?=i>7>51zJ0`5=zf?=i?7>51zJ0`5=zf?=i87>51zJ0`5=zf?=i97>51zJ0`5=zf?=i:7>51zJ0`5=zf?=i;7>51zJ0`5=zf?=i47>51zJ0`5=zf?=i57>51zJ0`5=zf?=im7>52zJ0`5=zf?=in7>52zJ0`5=zf?=io7>52zJ0`5=zf?=ih7>52zJ0`5=zf?=ii7>52zJ0`5=zf?=ij7>52zJ0`5=zf?=h<7>52zJ0`5=zf?=h=7>52zJ0`5=zf?=h>7>51zJ0`5=zf?=h?7>51zJ0`5=zf?=h87>51zJ0`5=zf?=h97>51zJ0`5=zf?=h:7>51zJ0`5=zf?=h;7>51zJ0`5=zf?=h47>51zJ0`5=zf?=h57>51zJ0`5=zf?=hm7>51zJ0`5=zf?=hn7>51zJ0`5=zf?=ho7>51zJ0`5=zf?=hh7>51zJ0`5=zf?=hi7>51zJ0`5=zf?=hj7>51zJ0`5=zf?=o<7>51zJ0`5=zf?=o=7>51zJ0`5=zf?=o>7>51zJ0`5=zf?=o?7>51zJ0`5=zf?=o87>51zJ0`5=zf?=o97>51zJ0`5=zf?=o:7>51zJ0`5=zf?=o;7>51zJ0`5=zf?=o47>51zJ0`5=zf?=o57>51zJ0`5=zf?=om7>51zJ0`5=zf?=on7>51zJ0`5=zf?=oo7>51zJ0`5=zf?=oh7>51zJ0`5=zf?=oi7>51zJ0`5=zf?=oj7>51zJ0`5=zf?=n<7>51zJ0`5=zf?=n=7>51zJ0`5=zf?=n>7>51zJ0`5=zf?=n?7>51zJ0`5=zf?=n87>51zJ0`5=zf?=n97>51zJ0`5=zf?=n:7>51zJ0`5=zf?=n;7>51zJ0`5=zf?=n47>51zJ0`5=zf?=n57>51zJ0`5=zf?=nm7>51zJ0`5=zf?=nn7>51zJ0`5=zf?=no7>51zJ0`5=zf?=nh7>51zJ0`5=zf?=ni7>51zJ0`5=zf?=nj7>51zJ0`5=zf?=m<7>51zJ0`5=zf?=m=7>51zJ0`5=zf?=m>7>52zJ0`5=zf?=m?7>52zJ0`5=zf?=m87>52zJ0`5=zf?=m97>52zJ0`5=zf?=m:7>52zJ0`5=zf?=m;7>52zJ0`5=zf?=m47>52zJ0`5=zf?=m57>52zJ0`5=zf?=mm7>52zJ0`5=zf?=mn7>52zJ0`5=zf?=mo7>52zJ0`5=zf?=mh7>52zJ0`5=zf?=mi7>52zJ0`5=zf?=mj7>52zJ0`5=zf?2;<7>52zJ0`5=zf?2;=7>52zJ0`5=zf?2;>7>52zJ0`5=zf?2;?7>52zJ0`5=zf?2;87>52zJ0`5=zf?2;97>52zJ0`5=zf?2;:7>52zJ0`5=zf?2;;7>52zJ0`5=zf?2;47>52zJ0`5=zf?2;57>52zJ0`5=zf?2;m7>52zJ0`5=zf?2;n7>52zJ0`5=zf?2;o7>52zJ0`5=zf?2;h7>52zJ0`5=zf?2;i7>52zJ0`5=zf?2;j7>52zJ0`5=zf?2:<7>52zJ0`5=zf?2:=7>52zJ0`5=zf?2:>7>52zJ0`5=zf?2:?7>52zJ0`5=zf?2:87>51zJ0`5=zf?2:97>51zJ0`5=zf?2::7>51zJ0`5=zf?2:;7>51zJ0`5=zf?2:47>51zJ0`5=zf?2:57>51zJ0`5=zf?2:m7>51zJ0`5=zf?2:n7>51zJ0`5=zf?2:o7>51zJ0`5=zf?2:h7>51zJ0`5=zf?2:i7>51zJ0`5=zf?2:j7>51zJ0`5=zf?29<7>51zJ0`5=zf?29=7>51zJ0`5=zf?29>7>51zJ0`5=zf?29?7>51zJ0`5=zf?2987>51zJ0`5=zf?2997>51zJ0`5=zf?29:7>51zJ0`5=zf?29;7>51zJ0`5=zf?2947>51zJ0`5=zf?2957>51zJ0`5=zf?29m7>51zJ0`5=zf?29n7>51zJ0`5=zf?29o7>51zJ0`5=zf?29h7>51zJ0`5=zf?29i7>51zJ0`5=zf?29j7>51zJ0`5=zf?28<7>51zJ0`5=zf?28=7>51zJ0`5=zf?28>7>51zJ0`5=zf?28?7>51zJ0`5=zf?2887>51zJ0`5=zf?2897>51zJ0`5=zf?28:7>51zJ0`5=zf?28;7>51zJ0`5=zf?2847>51zJ0`5=zf?2857>51zJ0`5=zf?28m7>51zJ0`5=zf?28n7>51zJ0`5=zf?28o7>51zJ0`5=zf?28h7>51zJ0`5=zf?28i7>51zJ0`5=zf?28j7>51zJ0`5=zf?2?<7>51zJ0`5=zf?2?=7>51zJ0`5=zf?2?>7>51zJ0`5=zf?2??7>51zJ0`5=zf?2?87>52zJ0`5=zf?2?97>52zJ0`5=zf?2?:7>52zJ0`5=zf?2?;7>52zJ0`5=zf?2?47>52zJ0`5=zf?2?57>52zJ0`5=zf?2?m7>52zJ0`5=zf?2?n7>52zJ0`5=zf?2?o7>52zJ0`5=zf?2?h7>52zJ0`5=zf?2?i7>52zJ0`5=zf?2?j7>52zJ0`5=zf?2><7>52zJ0`5=zf?2>=7>52zJ0`5=zf?2>>7>52zJ0`5=zf?2>?7>52zJ0`5=zf?2>87>53zJ0`5=zf?2>97>53zJ0`5=zf?2>:7>53zJ0`5=zf?2>;7>53zJ0`5=zf?2>47>53zJ0`5=zf?2>57>53zJ0`5=zf?2>m7>53zJ0`5=zf?2>n7>53zJ0`5=zf?2>o7>52zJ0`5=zf?2>h7>52zJ0`5=zf?2>i7>52zJ0`5=zf?2>j7>52zJ0`5=zf?2=<7>52zJ0`5=zf?2==7>52zJ0`5=zf?2=>7>52zJ0`5=zf?2=?7>52zJ0`5=zf?2=87>52zJ0`5=zf?2=97>52zJ0`5=zf?2=:7>52zJ0`5=zf?2=;7>52zJ0`5=zf?2=47>52zJ0`5=zf?2=57>52zJ0`5=zf?2=m7>52zJ0`5=zf?2=n7>52zJ0`5=zf?2=o7>52zJ0`5=zf?2=h7>52zJ0`5=zf?2=i7>52zJ0`5=zf?2=j7>52zJ0`5=zf?2<<7>52zJ0`5=zf?2<=7>52zJ0`5=zf?2<>7>52zJ0`5=zf?252zJ0`5=zf?2<87>52zJ0`5=zf?2<97>52zJ0`5=zf?2<:7>53zJ0`5=zf?2<;7>53zJ0`5=zf?2<47>53zJ0`5=zf?2<57>53zJ0`5=zf?253zJ0`5=zf?253zJ0`5=zf?253zJ0`5=zf?253zJ0`5=zf?252zJ0`5=zf?252zJ0`5=zf?23<7>52zJ0`5=zf?23=7>52zJ0`5=zf?23>7>52zJ0`5=zf?23?7>52zJ0`5=zf?2387>52zJ0`5=zf?2397>52zJ0`5=zf?23:7>52zJ0`5=zf?23;7>52zJ0`5=zf?2347>52zJ0`5=zf?2357>52zJ0`5=zf?23m7>52zJ0`5=zf?23n7>52zJ0`5=zf?23o7>52zJ0`5=zf?23h7>52zJ0`5=zf?23i7>51zJ0`5=zf?23j7>51zJ0`5=zf?22<7>51zJ0`5=zf?22=7>51zJ0`5=zf?22>7>51zJ0`5=zf?22?7>51zJ0`5=zf?2287>51zJ0`5=zf?2297>51zJ0`5=zf?22:7>51zJ0`5=zf?22;7>51zJ0`5=zf?2247>51zJ0`5=zf?2257>51zJ0`5=zf?22m7>51zJ0`5=zf?22n7>51zJ0`5=zf?22o7>51zJ0`5=zf?22h7>51zJ0`5=zf?22i7>51zJ0`5=zf?22j7>51zJ0`5=zf?2j<7>51zJ0`5=zf?2j=7>51zJ0`5=zf?2j>7>51zJ0`5=zf?2j?7>51zJ0`5=zf?2j87>51zJ0`5=zf?2j97>51zJ0`5=zf?2j:7>51zJ0`5=zf?2j;7>51zJ0`5=zf?2j47>51zJ0`5=zf?2j57>51zJ0`5=zf?2jm7>51zJ0`5=zf?2jn7>51zJ0`5=zf?2jo7>51zJ0`5=zf?2jh7>51zJ0`5=zf?2ji7>51zJ0`5=zf?2jj7>51zJ0`5=zf?2i<7>51zJ0`5=zf?2i=7>51zJ0`5=zf?2i>7>51zJ0`5=zf?2i?7>51zJ0`5=zf?2i87>51zJ0`5=zf?2i97>51zJ0`5=zf?2i:7>51zJ0`5=zf?2i;7>51zJ0`5=zf?2i47>51zJ0`5=zf?2i57>51zJ0`5=zf?2im7>51zJ0`5=zf?2in7>51zJ0`5=zf?2io7>51zJ0`5=zf?2ih7>51zJ0`5=zf?2ii7>52zJ0`5=zf?2ij7>52zJ0`5=zf?2h<7>52zJ0`5=zf?2h=7>52zJ0`5=zf?2h>7>52zJ0`5=zf?2h?7>52zJ0`5=zf?2h87>52zJ0`5=zf?2h97>52zJ0`5=zf?2h:7>52zJ0`5=zf?2h;7>52zJ0`5=zf?2h47>52zJ0`5=zf?2h57>52zJ0`5=zf?2hm7>52zJ0`5=zf?2hn7>52zJ0`5=zf?2ho7>52zJ0`5=zf?2hh7>52zJ0`5=zf?2hi7>52zJ0`5=zf?2hj7>52zJ0`5=zf?2o<7>52zJ0`5=zf?2o=7>52zJ0`5=zf?2o>7>52zJ0`5=zf?2o?7>52zJ0`5=zf?2o87>52zJ0`5=zf?2o97>52zJ0`5=zf?2o:7>52zJ0`5=zf?2o;7>52zJ0`5=zf?2o47>52zJ0`5=zf?2o57>52zJ0`5=zf?2om7>52zJ0`5=zf?2on7>52zJ0`5=zf?2oo7>52zJ0`5=zf?2oh7>52zJ0`5=zf?2oi7>51zJ0`5=zf?2oj7>51zJ0`5=zf?2n<7>51zJ0`5=zf?2n=7>51zJ0`5=zf?2n>7>51zJ0`5=zf?2n?7>51zJ0`5=zf?2n87>51zJ0`5=zf?2n97>51zJ0`5=zf?2n:7>51zJ0`5=zf?2n;7>51zJ0`5=zf?2n47>51zJ0`5=zf?2n57>51zJ0`5=zf?2nm7>51zJ0`5=zf?2nn7>51zJ0`5=zf?2no7>51zJ0`5=zf?2nh7>51zJ0`5=zf?2ni7>51zJ0`5=zf?2nj7>51zJ0`5=zf?2m<7>51zJ0`5=zf?2m=7>51zJ0`5=zf?2m>7>51zJ0`5=zf?2m?7>51zJ0`5=zf?2m87>51zJ0`5=zf?2m97>51zJ0`5=zf?2m:7>51zJ0`5=zf?2m;7>51zJ0`5=zf?2m47>51zJ0`5=zf?2m57>51zJ0`5=zf?2mm7>51zJ0`5=zf?2mn7>51zJ0`5=zf?2mo7>51zJ0`5=zf?2mh7>51zJ0`5=zf?2mi7>51zJ0`5=zf?2mj7>51zJ0`5=zf?3;<7>51zJ0`5=zf?3;=7>51zJ0`5=zf?3;>7>51zJ0`5=zf?3;?7>51zJ0`5=zf?3;87>51zJ0`5=zf?3;97>51zJ0`5=zf?3;:7>51zJ0`5=zf?3;;7>51zJ0`5=zf?3;47>51zJ0`5=zf?3;57>51zJ0`5=zf?3;m7>51zJ0`5=zf?3;n7>51zJ0`5=zf?3;o7>51zJ0`5=zf?3;h7>51zJ0`5=zf?3;i7>52zJ0`5=zf?3;j7>52zJ0`5=zf?3:<7>52zJ0`5=zf?3:=7>52zJ0`5=zf?3:>7>52zJ0`5=zf?3:?7>52zJ0`5=zf?3:87>52zJ0`5=zf?3:97>52zJ0`5=zf?3::7>52zJ0`5=zf?3:;7>52zJ0`5=zf?3:47>52zJ0`5=zf?3:57>52zJ0`5=zf?3:m7>52zJ0`5=zf?3:n7>52zJ0`5=zf?3:o7>52zJ0`5=zf?3:h7>52zJ0`5=zf?3:i7>52zJ0`5=zf?3:j7>52zJ0`5=zf?39<7>52zJ0`5=zf?39=7>52zJ0`5=zf?39>7>52zJ0`5=zf?39?7>52zJ0`5=zf?3987>52zJ0`5=zf?3997>52zJ0`5=zf?39:7>52zJ0`5=zf?39;7>52zJ0`5=zf?3947>52zJ0`5=zf?3957>52zJ0`5=zf?39m7>52zJ0`5=zf?39n7>52zJ0`5=zf?39o7>52zJ0`5=zf?39h7>52zJ0`5=zf?39i7>53zJ0`5=zf?39j7>53zJ0`5=zf?38<7>53zJ0`5=zf?38=7>53zJ0`5=zf?38>7>53zJ0`5=zf?38?7>53zJ0`5=zf?3887>53zJ0`5=zf?3897>53zJ0`5=zf?38:7>53zJ0`5=zf?38;7>53zJ0`5=zf?3847>53zJ0`5=zf?3857>53zJ0`5=zf?38m7>53zJ0`5=zf?38n7>53zJ0`5=zf?38o7>53zJ0`5=zf?38h7>53zJ0`5=zf?38i7>52zJ0`5=zf?38j7>52zJ0`5=zf?3?<7>52zJ0`5=zf?3?=7>52zJ0`5=zf?3?>7>52zJ0`5=zf?3??7>52zJ0`5=zf?3?87>52zJ0`5=zf?3?97>52zJ0`5=zf?3?:7>52zJ0`5=zf?3?;7>52zJ0`5=zf?3?47>52zJ0`5=zf?3?57>52zJ0`5=zf?3?m7>52zJ0`5=zf?3?n7>52zJ0`5=zf?3?o7>52zJ0`5=zf?3?h7>52zJ0`5=zf?3?i7>53zJ0`5=zf?3?j7>53zJ0`5=zf?3><7>53zJ0`5=zf?3>=7>53zJ0`5=zf?3>>7>53zJ0`5=zf?3>?7>53zJ0`5=zf?3>87>53zJ0`5=zf?3>97>53zJ0`5=zf?3>:7>53zJ0`5=zf?3>;7>53zJ0`5=zf?3>47>53zJ0`5=zf?3>57>53zJ0`5=zf?3>m7>53zJ0`5=zf?3>n7>53zJ0`5=zf?3>o7>53zJ0`5=zf?3>h7>53zJ0`5=zf?3>i7>52zJ0`5=zf?3>j7>52zJ0`5=zf?3=<7>52zJ0`5=zf?3==7>52zJ0`5=zf?3=>7>52zJ0`5=zf?3=?7>52zJ0`5=zf?3=87>52zJ0`5=zf?3=97>52zJ0`5=zf?3=:7>55zJ0`5=zf?3=;7>55zJ0`5=zf?3=47>55zJ0`5=zf?3=57>55zJ0`5=zf?3=m7>52zJ0`5=zf?3=n7>52zJ0`5=zf?3=o7>52zJ0`5=zf?3=h7>52zJ0`5=zf?3=i7>52zJ0`5=zf?3=j7>52zJ0`5=zf?3<<7>55zJ0`5=zf?3<=7>55zJ0`5=zf?3<>7>55zJ0`5=zf?355zJ0`5=zf?3<87>55zJ0`5=zf?3<97>55zJ0`5=zf?3<:7>55zJ0`5=zf?3<;7>55zJ0`5=zf?3<47>55zJ0`5=zf?3<57>55zJ0`5=zf?352zJ0`5=zf?352zJ0`5=zf?352zJ0`5=zf?352zJ0`5=zf?352zJ0`5=zf?352zJ0`5=zf?33<7>52zJ0`5=zf?33=7>52zJ0`5=zf?33>7>52zJ0`5=zf?33?7>52zJ0`5=zf?3387>52zJ0`5=zf?3397>52zJ0`5=zf?33:7>52zJ0`5=zf?33;7>52zJ0`5=zf?3347>52zJ0`5=zf?3357>52zJ0`5=zf?33m7>52zJ0`5=zf?33n7>52zJ0`5=zf?33o7>52zJ0`5=zf?33h7>52zJ0`5=zf?33i7>52zJ0`5=zf?33j7>52zJ0`5=zf?32<7>52zJ0`5=zf?32=7>52zJ0`5=zf?32>7>52zJ0`5=zf?32?7>52zJ0`5=zf?3287>52zJ0`5=zf?3297>52zJ0`5=zf?32:7>52zJ0`5=zf?32;7>52zJ0`5=zf?3247>52zJ0`5=zf?3257>52zJ0`5=zf?32m7>52zJ0`5=zf?32n7>52zJ0`5=zf?32o7>52zJ0`5=zf?32h7>52zJ0`5=zf?32i7>52zJ0`5=zf?32j7>52zJ0`5=zf?3j<7>52zJ0`5=zf?3j=7>52zJ0`5=zf?3j>7>52zJ0`5=zf?3j?7>52zJ0`5=zf?3j87>52zJ0`5=zf?3j97>52zJ0`5=zf?3j:7>52zJ0`5=zf?3j;7>52zJ0`5=zf?3j47>52zJ0`5=zf?3j57>52zJ0`5=zf?3jm7>52zJ0`5=zf?3jn7>52zJ0`5=zf?3jo7>52zJ0`5=zf?3jh7>52zJ0`5=zf?3ji7>52zJ0`5=zf?3jj7>52zJ0`5=zf?3i<7>52zJ0`5=zf?3i=7>52zJ0`5=zf?3i>7>52zJ0`5=zf?3i?7>52zJ0`5=zf?3i87>52zJ0`5=zf?3i97>52zJ0`5=zf?3i:7>52zJ0`5=zf?3i;7>52zJ0`5=zf?3i47>52zJ0`5=zf?3i57>52zJ0`5=zf?3im7>52zJ0`5=zf?3in7>52zJ0`5=zf?3io7>52zJ0`5=zf?3ih7>52zJ0`5=zf?3ii7>52zJ0`5=zf?3ij7>52zJ0`5=zf?3h<7>52zJ0`5=zf?3h=7>52zJ0`5=zf?3h>7>52zJ0`5=zf?3h?7>52zJ0`5=zf?3h87>52zJ0`5=zf?3h97>52zJ0`5=zf?3h:7>52zJ0`5=zf?3h;7>52zJ0`5=zf?3h47>52zJ0`5=zf?3h57>52zJ0`5=zf?3hm7>52zJ0`5=zf?3hn7>52zJ0`5=zf?3ho7>52zJ0`5=zf?3hh7>52zJ0`5=zf?3hi7>52zJ0`5=zf?3hj7>52zJ0`5=zf?3o<7>52zJ0`5=zf?3o=7>52zJ0`5=zf?3o>7>52zJ0`5=zf?3o?7>52zJ0`5=zf?3o87>52zJ0`5=zf?3o97>52zJ0`5=zf?3o:7>52zJ0`5=zf?3o;7>52zJ0`5=zf?3o47>52zJ0`5=zf?3o57>52zJ0`5=zf?3om7>52zJ0`5=zf?3on7>52zJ0`5=zf?3oo7>52zJ0`5=zf?3oh7>52zJ0`5=zf?3oi7>52zJ0`5=zf?3oj7>52zJ0`5=zf?3n<7>52zJ0`5=zf?3n=7>52zJ0`5=zf?3n>7>52zJ0`5=zf?3n?7>52zJ0`5=zf?3n87>52zJ0`5=zf?3n97>52zJ0`5=zf?3n:7>52zJ0`5=zf?3n;7>52zJ0`5=zf?3n47>52zJ0`5=zf?3n57>52zJ0`5=zf?3nm7>52zJ0`5=zf?3nn7>52zJ0`5=zf?3no7>52zJ0`5=zf?3nh7>52zJ0`5=zf?3ni7>52zJ0`5=zf?3nj7>52zJ0`5=zf?3m<7>52zJ0`5=zf?3m=7>52zJ0`5=zf?3m>7>52zJ0`5=zf?3m?7>52zJ0`5=zf?3m87>52zJ0`5=zf?3m97>52zJ0`5=zf?3m:7>52zJ0`5=zf?3m;7>52zJ0`5=zf?3m47>52zJ0`5=zf?3m57>52zJ0`5=zf?3mm7>53zJ0`5=zf?3mn7>53zJ0`5=zf?3mo7>53zJ0`5=zf?3mh7>53zJ0`5=zf?3mi7>53zJ0`5=zf?3mj7>53zJ0`5=zf?k;<7>53zJ0`5=zf?k;=7>53zJ0`5=zf?k;>7>53zJ0`5=zf?k;?7>53zJ0`5=zf?k;87>53zJ0`5=zf?k;97>53zJ0`5=zf?k;:7>53zJ0`5=zf?k;;7>53zJ0`5=zf?k;47>53zJ0`5=zf?k;57>53zJ0`5=zf?k;m7>53zJ0`5=zf?k;n7>53zJ0`5=zf?k;o7>53zJ0`5=zf?k;h7>53zJ0`5=zf?k;i7>53zJ0`5=zf?k;j7>53zJ0`5=zf?k:<7>53zJ0`5=zf?k:=7>53zJ0`5=zf?k:>7>53zJ0`5=zf?k:?7>53zJ0`5=zf?k:87>53zJ0`5=zf?k:97>53zJ0`5=zf?k::7>53zJ0`5=zf?k:;7>53zJ0`5=zf?k:47>53zJ0`5=zf?k:57>53zJ0`5=zf?k:m7>53zJ0`5=zf?k:n7>53zJ0`5=zf?k:o7>53zJ0`5=zf?k:h7>53zJ0`5=zf?k:i7>53zJ0`5=zf?k:j7>53zJ0`5=zf?k9<7>53zJ0`5=zf?k9=7>53zJ0`5=zf?k9>7>53zJ0`5=zf?k9?7>53zJ0`5=zf?k987>53zJ0`5=zf?k997>53zJ0`5=zf?k9:7>53zJ0`5=zf?k9;7>53zJ0`5=zf?k947>53zJ0`5=zf?k957>53zJ0`5=zf?k9m7>53zJ0`5=zf?k9n7>53zJ0`5=zf?k9o7>53zJ0`5=zf?k9h7>53zJ0`5=zf?k9i7>53zJ0`5=zf?k9j7>53zJ0`5=zf?k8<7>51zJ0`5=zf?k8=7>51zJ0`5=zf?k8>7>52zJ0`5=zf?k8?7>52zJ0`5=zf?k887>52zJ0`5=zf?k897>52zJ0`5=zf?k8:7>52zJ0`5=zf?k8;7>52zJ0`5=zf?k847>52zJ0`5=zf?k857>52zJ0`5=zf?k8m7>52zJ0`5=zf?k8n7>52zJ0`5=zf?k8o7>52zJ0`5=zf?k8h7>52zJ0`5=zf?k8i7>52zJ0`5=zf?k8j7>52zJ0`5=zf?k?<7>52zJ0`5=zf?k?=7>52zJ0`5=zf?k?>7>52zJ0`5=zf?k??7>52zJ0`5=zf?k?87>52zJ0`5=zf?k?97>52zJ0`5=zf?k?:7>52zJ0`5=zf?k?;7>52zJ0`5=zf?k?47>52zJ0`5=zf?k?57>52zJ0`5=zf?k?m7>52zJ0`5=zf?k?n7>52zJ0`5=zf?k?o7>52zJ0`5=zf?k?h7>52zJ0`5=zf?k?i7>52zJ0`5=zf?k?j7>52zJ0`5=zf?k><7>52zJ0`5=zf?k>=7>52zJ0`5=zf?k>>7>52zJ0`5=zf?k>?7>52zJ0`5=zf?k>87>52zJ0`5=zf?k>97>52zJ0`5=zf?k>:7>52zJ0`5=zf?k>;7>52zJ0`5=zf?k>47>52zJ0`5=zf?k>57>52zJ0`5=zf?k>m7>52zJ0`5=zf?k>n7>52zJ0`5=zf?k>o7>52zJ0`5=zf?k>h7>52zJ0`5=zf?k>i7>52zJ0`5=zf?k>j7>52zJ0`5=zf?k=<7>52zJ0`5=zf?k==7>52zJ0`5=zf?k=>7>52zJ0`5=zf?k=?7>52zJ0`5=zf?k=87>52zJ0`5=zf?k=97>52zJ0`5=zf?k=:7>52zJ0`5=zf?k=;7>52zJ0`5=zf?k=47>52zJ0`5=zf?k=57>52zJ0`5=zf?k=m7>52zJ0`5=zf?k=n7>52zJ0`5=zf?k=o7>52zJ0`5=zf?k=h7>52zJ0`5=zf?k=i7>52zJ0`5=zf?k=j7>52zJ0`5=zf?k<<7>52zJ0`5=zf?k<=7>52zJ0`5=zf?k<>7>51zJ0`5=zf?k52zJ0`5=zf?k<87>51zJ0`5=zf?k<97>51zJ0`5=zf?k<:7>51zJ0`5=zf?k<;7>51zJ0`5=zf?k<47>51zJ0`5=zf?k<57>51zJ0`5=zf?k51zJ0`5=zf?k51zJ0`5=zf?k51zJ0`5=zf?k51zJ0`5=zf?k51zJ0`5=zf?k51zJ0`5=zf?k3<7>51zJ0`5=zf?k3=7>51zJ0`5=zf?k3>7>51zJ0`5=zf?k3?7>51zJ0`5=zf?k387>51zJ0`5=zf?k397>51zJ0`5=zf?k3:7>51zJ0`5=zf?k3;7>51zJ0`5=zf?k347>51zJ0`5=zf?k357>51zJ0`5=zf?k3m7>51zJ0`5=zf?k3n7>51zJ0`5=zf?k3o7>51zJ0`5=zf?k3h7>51zJ0`5=zf?k3i7>51zJ0`5=zf?k3j7>51zJ0`5=zf?k2<7>51zJ0`5=zf?k2=7>51zJ0`5=zf?k2>7>51zJ0`5=zf?k2?7>51zJ0`5=zf?k287>51zJ0`5=zf?k297>51zJ0`5=zf?k2:7>51zJ0`5=zf?k2;7>51zJ0`5=zf?k247>51zJ0`5=zf?k257>51zJ0`5=zf?k2m7>51zJ0`5=zf?k2n7>51zJ0`5=zf?k2o7>51zJ0`5=zf?k2h7>51zJ0`5=zf?k2i7>51zJ0`5=zf?k2j7>51zJ0`5=zf?kj<7>51zJ0`5=zf?kj=7>51zJ0`5=zf?kj>7>51zJ0`5=zf?kj?7>51zJ0`5=zf?kj87>51zJ0`5=zf?kj97>51zJ0`5=zf?kj:7>51zJ0`5=zf?kj;7>51zJ0`5=zf?kj47>51zJ0`5=zf?kj57>51zJ0`5=zf?kjm7>51zJ0`5=zf?kjn7>51zJ0`5=zf?kjo7>51zJ0`5=zf?kjh7>51zJ0`5=zf?kji7>51zJ0`5=zf?kjj7>51zJ0`5=zf?ki<7>51zJ0`5=zf?ki=7>51zJ0`5=zf?ki>7>51zJ0`5=zf?ki?7>51zJ0`5=zf?ki87>51zJ0`5=zf?ki97>51zJ0`5=zf?ki:7>51zJ0`5=zf?ki;7>51zJ0`5=zf?ki47>51zJ0`5=zf?ki57>51zJ0`5=zf?kim7>51zJ0`5=zf?kin7>51zJ0`5=zf?kio7>51zJ0`5=zf?kih7>51zJ0`5=zf?kii7>51zJ0`5=zf?kij7>51zJ0`5=zf?kh<7>51zJ0`5=zf?kh=7>51zJ0`5=zf?kh>7>51zJ0`5=zf?kh?7>51zJ0`5=zf?kh87>51zJ0`5=zf?kh97>51zJ0`5=zf?kh:7>51zJ0`5=zf?kh;7>51zJ0`5=zf?kh47>51zJ0`5=zf?kh57>51zJ0`5=zf?khm7>51zJ0`5=zf?khn7>51zJ0`5=zf?kho7>51zJ0`5=zf?khh7>51zJ0`5=zf?khi7>51zJ0`5=zf?khj7>51zJ0`5=zf?ko<7>51zJ0`5=zf?ko=7>51zJ0`5=zf?ko>7>51zJ0`5=zf?ko?7>51zJ0`5=zf?ko87>51zJ0`5=zf?ko97>51zJ0`5=zf?ko:7>51zJ0`5=zf?ko;7>51zJ0`5=zf?ko47>51zJ0`5=zf?ko57>51zJ0`5=zf?kom7>51zJ0`5=zf?kon7>51zJ0`5=zf?koo7>51zJ0`5=zf?koh7>51zJ0`5=zf?koi7>51zJ0`5=zf?koj7>51zJ0`5=zf?kn<7>51zJ0`5=zf?kn=7>51zJ0`5=zf?kn>7>51zJ0`5=zf?kn?7>51zJ0`5=zf?kn87>51zJ0`5=zf?kn97>51zJ0`5=zf?kn:7>51zJ0`5=zf?kn;7>51zJ0`5=zf?kn47>51zJ0`5=zf?kn57>51zJ0`5=zf?knm7>51zJ0`5=zf?knn7>51zJ0`5=zf?kno7>51zJ0`5=zf?knh7>51zJ0`5=zf?kni7>51zJ0`5=zf?knj7>51zJ0`5=zf?km<7>51zJ0`5=zf?km=7>51zJ0`5=zf?km>7>51zJ0`5=zf?km?7>51zJ0`5=zf?km87>51zJ0`5=zf?km97>51zJ0`5=zf?km:7>51zJ0`5=zf?km;7>51zJ0`5=zf?km47>51zJ0`5=zf?km57>51zJ0`5=zf?kmm7>51zJ0`5=zf?kmn7>51zJ0`5=zf?kmo7>51zJ0`5=zf?kmh7>51zJ0`5=zf?kmi7>51zJ0`5=zf?kmj7>51zJ0`5=zf?h;<7>51zJ0`5=zf?h;=7>51zJ0`5=zf?h;>7>51zJ0`5=zf?h;?7>51zJ0`5=zf?h;87>51zJ0`5=zf?h;97>51zJ0`5=zf?h;:7>51zJ0`5=zf?h;;7>51zJ0`5=zf?h;47>51zJ0`5=zf?h;57>51zJ0`5=zf?h;m7>51zJ0`5=zf?h;n7>51zJ0`5=zf?h;o7>51zJ0`5=zf?h;h7>51zJ0`5=zf?h;i7>51zJ0`5=zf?h;j7>51zJ0`5=zf?h:<7>51zJ0`5=zf?h:=7>51zJ0`5=zf?h:>7>51zJ0`5=zf?h:?7>51zJ0`5=zf?h:87>51zJ0`5=zf?h:97>51zJ0`5=zf?h::7>51zJ0`5=zf?h:;7>51zJ0`5=zf?h:47>51zJ0`5=zf?h:57>51zJ0`5=zf?h:m7>51zJ0`5=zf?h:n7>51zJ0`5=zf?h:o7>51zJ0`5=zf?h:h7>51zJ0`5=zf?h:i7>51zJ0`5=zf?h:j7>51zJ0`5=zf?h9<7>51zJ0`5=zf?h9=7>51zJ0`5=zf?h9>7>51zJ0`5=zf?h9?7>51zJ0`5=zf?h987>51zJ0`5=zf?h997>51zJ0`5=zf?h9:7>51zJ0`5=zf?h9;7>51zJ0`5=zf?h947>51zJ0`5=zf?h957>51zJ0`5=zf?h9m7>51zJ0`5=zf?h9n7>51zJ0`5=zf?h9o7>51zJ0`5=zf?h9h7>51zJ0`5=zf?h9i7>51zJ0`5=zf?h9j7>51zJ0`5=zf?h8<7>51zJ0`5=zf?h8=7>51zJ0`5=zf?h8>7>51zJ0`5=zf?h8?7>51zJ0`5=zf?h887>51zJ0`5=zf?h897>51zJ0`5=zf?h8:7>51zJ0`5=zf?h8;7>51zJ0`5=zf?h847>51zJ0`5=zf?h857>51zJ0`5=zf?h8m7>51zJ0`5=zf?h8n7>51zJ0`5=zf?h8o7>51zJ0`5=zf?h8h7>51zJ0`5=zf?h8i7>51zJ0`5=zf?h8j7>51zJ0`5=zf?h?<7>51zJ0`5=zf?h?=7>51zJ0`5=zf?h?>7>51zJ0`5=zf?h??7>51zJ0`5=zf?h?87>51zJ0`5=zf?h?97>51zJ0`5=zf?h?:7>51zJ0`5=zf?h?;7>51zJ0`5=zf?h?47>51zJ0`5=zf?h?57>51zJ0`5=zf?h?m7>51zJ0`5=zf?h?n7>51zJ0`5=zf?h?o7>51zJ0`5=zf?h?h7>51zJ0`5=zf?h?i7>51zJ0`5=zf?h?j7>51zJ0`5=zf?h><7>51zJ0`5=zf?h>=7>51zJ0`5=zf?h>>7>51zJ0`5=zf?h>?7>51zJ0`5=zf?h>87>51zJ0`5=zf?h>97>51zJ0`5=zf?h>:7>51zJ0`5=zf?h>;7>51zJ0`5=zf?h>47>51zJ0`5=zf?h>57>51zJ0`5=zf?h>m7>51zJ0`5=zf?h>n7>51zJ0`5=zf?h>o7>51zJ0`5=zf?h>h7>51zJ0`5=zf?h>i7>51zJ0`5=zf?h>j7>51zJ0`5=zf?h=<7>51zJ0`5=zf?h==7>51zJ0`5=zf?h=>7>51zJ0`5=zf?h=?7>51zJ0`5=zf?h=87>51zJ0`5=zf?h=97>51zJ0`5=zf?h=:7>51zJ0`5=zf?h=;7>51zJ0`5=zf?h=47>51zJ0`5=zf?h=57>51zJ0`5=zf?h=m7>51zJ0`5=zf?h=n7>51zJ0`5=zf?h=o7>51zJ0`5=zf?h=h7>51zJ0`5=zf?h=i7>51zJ0`5=zf?h=j7>51zJ0`5=zf?h<<7>51zJ0`5=zf?h<=7>51zJ0`5=zf?h<>7>51zJ0`5=zf?h51zJ0`5=zf?h<87>51zJ0`5=zf?h<97>51zJ0`5=zf?h<:7>51zJ0`5=zf?h<;7>51zJ0`5=zf?h<47>51zJ0`5=zf?h<57>51zJ0`5=zf?h51zJ0`5=zf?h51zJ0`5=zf?h51zJ0`5=zf?h51zJ0`5=zf?h51zJ0`5=zf?h51zJ0`5=zf?h3<7>51zJ0`5=zf?h3=7>51zJ0`5=zf?h3>7>51zJ0`5=zf?h3?7>51zJ0`5=zf?h387>51zJ0`5=zf?h397>51zJ0`5=zf?h3:7>51zJ0`5=zf?h3;7>51zJ0`5=zf?h347>51zJ0`5=zf?h357>51zJ0`5=zf?h3m7>51zJ0`5=zf?h3n7>51zJ0`5=zf?h3o7>51zJ0`5=zf?h3h7>51zJ0`5=zf?h3i7>51zJ0`5=zf?h3j7>51zJ0`5=zf?h2<7>51zJ0`5=zf?h2=7>51zJ0`5=zf?h2>7>51zJ0`5=zf?h2?7>51zJ0`5=zf?h287>51zJ0`5=zf?h297>51zJ0`5=zf?h2:7>51zJ0`5=zf?h2;7>51zJ0`5=zf?h247>51zJ0`5=zf?h257>51zJ0`5=zf?h2m7>51zJ0`5=zf?h2n7>51zJ0`5=zf?h2o7>51zJ0`5=zf?h2h7>51zJ0`5=zf?h2i7>51zJ0`5=zf?h2j7>51zJ0`5=zf?hj<7>51zJ0`5=zf?hj=7>51zJ0`5=zf?hj>7>51zJ0`5=zf?hj?7>51zJ0`5=zf?hj87>51zJ0`5=zf?hj97>51zJ0`5=zf?hj:7>51zJ0`5=zf?hj;7>51zJ0`5=zf?hj47>51zJ0`5=zf?hj57>51zJ0`5=zf?hjm7>51zJ0`5=zf?hjn7>51zJ0`5=zf?hjo7>51zJ0`5=zf?hjh7>51zJ0`5=zf?hji7>51zJ0`5=zf?hjj7>51zJ0`5=zf?hi<7>51zJ0`5=zf?hi=7>51zJ0`5=zf?hi>7>51zJ0`5=zf?hi?7>51zJ0`5=zf?hi87>51zJ0`5=zf?hi97>51zJ0`5=zf?hi:7>51zJ0`5=zf?hi;7>51zJ0`5=zf?hi47>51zJ0`5=zf?hi57>51zJ0`5=zf?him7>51zJ0`5=zf?hin7>51zJ0`5=zf?hio7>51zJ0`5=zf?hih7>51zJ0`5=zf?hii7>51zJ0`5=zf?hij7>51zJ0`5=zf?hh<7>51zJ0`5=zf?hh=7>51zJ0`5=zf?hh>7>51zJ0`5=zf?hh?7>51zJ0`5=zf?hh87>51zJ0`5=zf?hh97>51zJ0`5=zf?hh:7>51zJ0`5=zf?hh;7>51zJ0`5=zf?hh47>51zJ0`5=zf?hh57>51zJ0`5=zf?hhm7>51zJ0`5=zf?hhn7>51zJ0`5=zf?hho7>51zJ0`5=zf?hhh7>51zJ0`5=zf?hhi7>51zJ0`5=zf?hhj7>51zJ0`5=zf?ho<7>51zJ0`5=zf?ho=7>51zJ0`5=zf?ho>7>51zJ0`5=zf?ho?7>51zJ0`5=zf?ho87>51zJ0`5=zf?ho97>51zJ0`5=zf?ho:7>51zJ0`5=zf?ho;7>51zJ0`5=zf?ho47>51zJ0`5=zf?ho57>51zJ0`5=zf?hom7>51zJ0`5=zf?hon7>51zJ0`5=zf?hoo7>51zJ0`5=zf?hoh7>51zJ0`5=zf?hoi7>51zJ0`5=zf?hoj7>51zJ0`5=zf?hn<7>51zJ0`5=zf?hn=7>51zJ0`5=zf?hn>7>51zJ0`5=zf?hn?7>51zJ0`5=zf?hn87>51zJ0`5=zf?hn97>51zJ0`5=zf?hn:7>51zJ0`5=zf?hn;7>51zJ0`5=zf?hn47>51zJ0`5=zf?hn57>51zJ0`5=zf?hnm7>51zJ0`5=zf?hnn7>51zJ0`5=zf?hno7>51zJ0`5=zf?hnh7>51zJ0`5=zf?hni7>51zJ0`5=zf?hnj7>51zJ0`5=zf?hm<7>51zJ0`5=zf?hm=7>51zJ0`5=zf?hm>7>51zJ0`5=zf?hm?7>51zJ0`5=zf?hm87>51zJ0`5=zf?hm97>51zJ0`5=zf?hm:7>51zJ0`5=zf?hm;7>51zJ0`5=zf?hm47>51zJ0`5=zf?hm57>51zJ0`5=zf?hmm7>51zJ0`5=zf?hmn7>51zJ0`5=zf?hmo7>51zJ0`5=zf?hmh7>51zJ0`5=zf?hmi7>51zJ0`5=zf?hmj7>51zJ0`5=zf?i;<7>51zJ0`5=zf?i;=7>51zJ0`5=zf?i;>7>51zJ0`5=zf?i;?7>51zJ0`5=zf?i;87>51zJ0`5=zf?i;97>51zJ0`5=zf?i;:7>51zJ0`5=zf?i;;7>51zJ0`5=zf?i;47>51zJ0`5=zf?i;57>51zJ0`5=zf?i;m7>51zJ0`5=zf?i;n7>51zJ0`5=zf?i;o7>51zJ0`5=zf?i;h7>51zJ0`5=zf?i;i7>51zJ0`5=zf?i;j7>51zJ0`5=zf?i:<7>51zJ0`5=zf?i:=7>51zJ0`5=zf?i:>7>51zJ0`5=zf?i:?7>51zJ0`5=zf?i:87>51zJ0`5=zf?i:97>51zJ0`5=zf?i::7>51zJ0`5=zf?i:;7>51zJ0`5=zf?i:47>51zJ0`5=zf?i:57>51zJ0`5=zf?i:m7>51zJ0`5=zf?i:n7>51zJ0`5=zf?i:o7>51zJ0`5=zf?i:h7>51zJ0`5=zf?i:i7>51zJ0`5=zf?i:j7>51zJ0`5=zf?i9<7>51zJ0`5=zf?i9=7>51zJ0`5=zf?i9>7>51zJ0`5=zf?i9?7>51zJ0`5=zf?i987>51zJ0`5=zf?i997>51zJ0`5=zf?i9:7>51zJ0`5=zf?i9;7>51zJ0`5=zf?i947>51zJ0`5=zf?i957>51zJ0`5=zf8=oj7>51zJ0`5=zf8=n<7>51zJ0`5=zf8=n=7>51zJ0`5=zf8=n>7>51zJ0`5=zf8=n?7>51zJ0`5=zf8=n87>51zJ0`5=zf8=n97>51zJ0`5=zf8=n:7>51zJ0`5=zf8=n;7>51zJ0`5=zf8=n47>51zJ0`5=zf8=n57>51zJ0`5=zf8=nm7>51zJ0`5=zf8=nn7>51zJ0`5=zf8=no7>51zJ0`5=zf8=nh7>51zJ0`5=zf8=ni7>51zJ0`5=zf8=nj7>51zJ0`5=zf8=m<7>51zJ0`5=zf8=m=7>51zJ0`5=zf8=m>7>51zJ0`5=zf8=m?7>51zJ0`5=zf8=m87>51zJ0`5=zf8=m97>51zJ0`5=zf8=m:7>51zJ0`5=zf8=m;7>51zJ0`5=zf8=m47>51zJ0`5=zf8=m57>51zJ0`5=zf8=mm7>51zJ0`5=zf8=mn7>51zJ0`5=zf8=mo7>51zJ0`5=zf8=mh7>51zJ0`5=zf8=mi7>51zJ0`5=zf8=mj7>51zJ0`5=zf82;<7>51zJ0`5=zf82;=7>51zJ0`5=zf82;>7>51zJ0`5=zf82;?7>51zJ0`5=zf82;87>51zJ0`5=zf82;97>51zJ0`5=zf82;:7>51zJ0`5=zf82;;7>51zJ0`5=zf82;47>51zJ0`5=zf82;57>51zJ0`5=zf82;m7>51zJ0`5=zf82;n7>51zJ0`5=zf82;o7>51zJ0`5=zf82;h7>51zJ0`5=zf82;i7>51zJ0`5=zf82;j7>51zJ0`5=zf82:<7>51zJ0`5=zf;i3h7>51zJ0`5=zf;i3i7>51zJ0`5=zf;i3j7>51zJ0`5=zf;i2<7>51zJ0`5=zf;i2=7>51zJ0`5=zf;i2>7>51zJ0`5=zf;i2?7>51zJ0`5=zf;i287>51zJ0`5=zf;i297>51zJ0`5=zf;i2:7>51zJ0`5=zf;i2;7>51zJ0`5=zf;i247>51zJ0`5=zf;i257>51zJ0`5=zf;i2m7>51zJ0`5=zf;i2n7>51zJ0`5=zf;i2o7>51zJ0`5=zf;i2h7>51zJ0`5=zf;i2i7>51zJ0`5=zf;nn=7>51zJ0`5=zf;nn>7>51zJ0`5=zf;nn?7>51zJ0`5=zf;nn87>51zJ0`5=zf;nn97>51zJ0`5=zf;nn:7>51zJ0`5=zf;nn;7>51zJ0`5=zf;nn47>51zJ0`5=zf;nn57>51zJ0`5=zf;nnm7>51zJ0`5=zf;nnn7>51zJ0`5=zf;nno7>51zJ0`5=zf;nnh7>51zJ0`5=zf;nni7>51zJ0`5=zf;nnj7>51zJ0`5=zf;nm<7>51zJ0`5=zf;nm=7>51zJ0`5=zf;nm>7>51zJ0`5=zf;l897>51zJ0`5=zf;l8:7>51zJ0`5=zf;l8;7>51zJ0`5=zf;l847>51zJ0`5=zf;l857>51zJ0`5=zf;l8m7>51zJ0`5=zf;l8n7>51zJ0`5=zf;l8o7>51zJ0`5=zf;l8h7>51zJ0`5=zf;l8i7>51zJ0`5=zf;l8j7>51zJ0`5=zf;l?<7>51zJ0`5=zf;l?=7>51zJ0`5=zf;l?>7>51zJ0`5=zf;l??7>51zJ0`5=zf;l?87>51zJ0`5=zf;l?97>51zJ0`5=zf;l?:7>51zJ0`5=zf::357>51zJ0`5=zf::3m7>51zJ0`5=zf::3n7>51zJ0`5=zf::3o7>51zJ0`5=zf::3h7>51zJ0`5=zf::3i7>51zJ0`5=zf::3j7>51zJ0`5=zf::2<7>51zJ0`5=zf::2=7>51zJ0`5=zf::2>7>51zJ0`5=zf::2?7>51zJ0`5=zf::287>51zJ0`5=zf::297>51zJ0`5=zf::2:7>51zJ0`5=zf::2;7>51zJ0`5=zf::247>51zJ0`5=zf::257>51zJ0`5=zf::2m7>51zJ0`5=zf:;oh7>51zJ0`5=zf:;oi7>51zJ0`5=zf:;oj7>51zJ0`5=zf:;n<7>51zJ0`5=zf:;n=7>51zJ0`5=zf:;n>7>51zJ0`5=zf:;n?7>51zJ0`5=zf:;n87>51zJ0`5=zf:;n97>51zJ0`5=zf:;n:7>51zJ0`5=zf:;n;7>51zJ0`5=zf:;n47>51zJ0`5=zf:;n57>51zJ0`5=zf:;nm7>51zJ0`5=zf:;nn7>51zJ0`5=zf:;no7>51zJ0`5=zf:;nh7>51zJ0`5=zf:;ni7>51zJ0`5=zf:98=7>51zJ0`5=zf:98>7>51zJ0`5=zf:98?7>51zJ0`5=zf:9887>51zJ0`5=zf:9897>51zJ0`5=zf:98:7>51zJ0`5=zf:98;7>51zJ0`5=zf:9847>51zJ0`5=zf:9857>51zJ0`5=zf:98m7>51zJ0`5=zf:98n7>51zJ0`5=zf:98o7>51zJ0`5=zf:98h7>51zJ0`5=zf:98i7>51zJ0`5=zf:98j7>51zJ0`5=zf:9?<7>51zJ0`5=zf:9?=7>51zJ0`5=zf:9?>7>51zJ0`5=zf:>397>51zJ0`5=zf:>3:7>51zJ0`5=zf:>3;7>51zJ0`5=zf:>347>51zJ0`5=zf:>357>51zJ0`5=zf:>3m7>51zJ0`5=zf:>3n7>51zJ0`5=zf:>3o7>51zJ0`5=zf:>3h7>51zJ0`5=zf:>3i7>51zJ0`5=zf:>3j7>51zJ0`5=zf:>2<7>51zJ0`5=zf:>2=7>51zJ0`5=zf:>2>7>51zJ0`5=zf:>2?7>51zJ0`5=zf:>287>51zJ0`5=zf:>297>51zJ0`5=zf:>2:7>51zJ0`5=zf:?o57>51zJ0`5=zf:?om7>51zJ0`5=zf:?on7>51zJ0`5=zf:?oo7>51zJ0`5=zf:?oh7>51zJ0`5=zf:?oi7>51zJ0`5=zf:?oj7>51zJ0`5=zf:?n<7>51zJ0`5=zf:?n=7>51zJ0`5=zf:?n>7>51zJ0`5=zf:?n?7>51zJ0`5=zf:?n87>51zJ0`5=zf:?n97>51zJ0`5=zf:?n:7>51zJ0`5=zf:?n;7>51zJ0`5=zf:?n47>51zJ0`5=zf:?n57>51zJ0`5=zf:?nm7>51zJ0`5=zf:=9h7>51zJ0`5=zf:=9i7>51zJ0`5=zf:=9j7>51zJ0`5=zf:=8<7>51zJ0`5=zf:=8=7>51zJ0`5=zf:=8>7>51zJ0`5=zf:=8?7>51zJ0`5=zf:=887>51zJ0`5=zf:=897>51zJ0`5=zf:=8:7>51zJ0`5=zf:=8;7>51zJ0`5=zf:=847>51zJ0`5=zf:=857>51zJ0`5=zf:=8m7>51zJ0`5=zf:=8n7>51zJ0`5=zf:=8o7>51zJ0`5=zf:=8h7>51zJ0`5=zf:=8i7>51zJ0`5=zf:23=7>51zJ0`5=zf:23>7>51zJ0`5=zf:23?7>51zJ0`5=zf:2387>51zJ0`5=zf:2397>51zJ0`5=zf:23:7>51zJ0`5=zf:23;7>51zJ0`5=zf:2347>51zJ0`5=zf:2357>51zJ0`5=zf:23m7>51zJ0`5=zf:23n7>51zJ0`5=zf:23o7>51zJ0`5=zf:23h7>51zJ0`5=zf:23i7>51zJ0`5=zf:23j7>51zJ0`5=zf:22<7>51zJ0`5=zf:22=7>51zJ0`5=zf:22>7>51zJ0`5=zf:3o97>51zJ0`5=zf:3o:7>51zJ0`5=zf:3o;7>51zJ0`5=zf:3o47>51zJ0`5=zf:3o57>51zJ0`5=zf:3om7>51zJ0`5=zf:3on7>51zJ0`5=zf:3oo7>51zJ0`5=zf:3oh7>51zJ0`5=zf:3oi7>51zJ0`5=zf:3oj7>51zJ0`5=zf:3n<7>51zJ0`5=zf:3n=7>51zJ0`5=zf:3n>7>51zJ0`5=zf:3n?7>51zJ0`5=zf:3n87>51zJ0`5=zf:3n97>51zJ0`5=zf:3n:7>51zJ0`5=zf:h957>51zJ0`5=zf:h9m7>51zJ0`5=zf:h9n7>51zJ0`5=zf:h9o7>51zJ0`5=zf:h9h7>51zJ0`5=zf:h9i7>51zJ0`5=zf:h9j7>51zJ0`5=zf:h8<7>51zJ0`5=zf:h8=7>51zJ0`5=zf:h8>7>51zJ0`5=zf:h8?7>51zJ0`5=zf:h887>51zJ0`5=zf:h897>51zJ0`5=zf:h8:7>51zJ0`5=zf:h8;7>51zJ0`5=zf:h847>51zJ0`5=zf:h857>51zJ0`5=zf:h8m7>51zJ0`5=zf:n<=7>51zJ0`5=zf:n<>7>51zJ0`5=zf:n51zJ0`5=zf:n<87>51zJ0`5=zf:n<97>51zJ0`5=zf:n<:7>51zJ0`5=zf:n<;7>51zJ0`5=zf:n<47>51zJ0`5=zf:n<57>51zJ0`5=zf:n51zJ0`5=zf:n51zJ0`5=zf:n51zJ0`5=zf:n51zJ0`5=zf:n51zJ0`5=zf:n51zJ0`5=zf:n3<7>51zJ0`5=zf:n3=7>51zJ0`5=zf:n3>7>51zJ0`5=zf:n3?7>51zJ0`5=zf:n387>51zJ0`5=zf:n397>51zJ0`5=zf:n3:7>51zJ0`5=zf:n3;7>51zJ0`5=zf:n347>51zJ0`5=zf:n357>51zJ0`5=zf:n3m7>51zJ0`5=zf:n3n7>51zJ0`5=zf:n3o7>51zJ0`5=zf:n3h7>51zJ0`5=zf:n3i7>51zJ0`5=zf:n3j7>51zJ0`5=zf:n2<7>51zJ0`5=zf:n2=7>51zJ0`5=zf:n2>7>51zJ0`5=zf:n2?7>51zJ0`5=zf:n287>51zJ0`5=zf:n297>51zJ0`5=zf:n2:7>51zJ0`5=zf:lhh7>51zJ0`5=zf:lhi7>51zJ0`5=zf:lhj7>51zJ0`5=zf:lo<7>51zJ0`5=zf:lo=7>51zJ0`5=zf:lo>7>51zJ0`5=zf:lo?7>51zJ0`5=zf:lo87>51zJ0`5=zf:lo97>51zJ0`5=zf:lo:7>51zJ0`5=zf:lo;7>51zJ0`5=zf:lo47>51zJ0`5=zf:lo57>51zJ0`5=zf:lom7>51zJ0`5=zf:lon7>51zJ0`5=zf:loo7>51zJ0`5=zf:loh7>51zJ0`5=zf:loi7>51zJ0`5=zf:loj7>51zJ0`5=zf:ln<7>51zJ0`5=zf:ln=7>51zJ0`5=zf:ln>7>51zJ0`5=zf:ln?7>51zJ0`5=zf:ln87>51zJ0`5=zf:ln97>51zJ0`5=zf:ln:7>51zJ0`5=zf:ln;7>51zJ0`5=zf:ln47>51zJ0`5=zf:ln57>51zJ0`5=zf:lnm7>51zJ0`5=zf:lnn7>51zJ0`5=zf:lno7>51zJ0`5=zf:lnh7>51zJ0`5=zf:lni7>51zJ0`5=zf:lnj7>51zJ0`5=zf:lm<7>51zJ0`5=zf:lm=7>51zJ0`5=zf:lm>7>51zJ0`5=zf=98h7>51zJ0`5=zf=98i7>51zJ0`5=zf=98j7>51zJ0`5=zf=9?<7>51zJ0`5=zf=9?=7>51zJ0`5=zf=9?>7>51zJ0`5=zf=9??7>51zJ0`5=zf=9?87>51zJ0`5=zf=9?97>51zJ0`5=zf=9?:7>51zJ0`5=zf=9?;7>51zJ0`5=zf=9?47>51zJ0`5=zf=9?57>51zJ0`5=zf=9?m7>51zJ0`5=zf=9?n7>51zJ0`5=zf=9?o7>51zJ0`5=zf=9?h7>51zJ0`5=zf=9?i7>51zJ0`5=zf=9?j7>51zJ0`5=zf=9><7>51zJ0`5=zf=9mn7>51zJ0`5=zf=9mo7>51zJ0`5=zf=9mh7>51zJ0`5=zf=9mi7>51zJ0`5=zf=9mj7>51zJ0`5=zf=>;<7>51zJ0`5=zf=>;=7>51zJ0`5=zf=>;>7>51zJ0`5=zf=>;?7>51zJ0`5=zf=>;87>51zJ0`5=zf=>jj7>51zJ0`5=zf=>i<7>51zJ0`5=zf=>i=7>51zJ0`5=zf=>i>7>51zJ0`5=zf=>i?7>51zJ0`5=zf=>i87>51zJ0`5=zf=>i97>51zJ0`5=zf=>i:7>51zJ0`5=zf=>i;7>51zJ0`5=zf=>i47>51zJ0`5=zf=?=?7>51zJ0`5=zf=?=87>51zJ0`5=zf=?=97>51zJ0`5=zf=?=:7>51zJ0`5=zf=?=;7>51zJ0`5=zf=?=47>51zJ0`5=zf=?=57>51zJ0`5=zf=?=m7>51zJ0`5=zf=?=n7>51zJ0`5=zf=?=o7>51zJ0`5=zf=<:;7>51zJ0`5=zf=<:47>51zJ0`5=zf=<:57>51zJ0`5=zf=<:m7>51zJ0`5=zf=<:n7>51zJ0`5=zf=<:o7>51zJ0`5=zf=<:h7>51zJ0`5=zf=<:i7>51zJ0`5=zf=<:j7>51zJ0`5=zf=<9<7>51zJ0`5=zf=51zJ0`5=zf=51zJ0`5=zf=51zJ0`5=zf=51zJ0`5=zf=51zJ0`5=zf=51zJ0`5=zf=51zJ0`5=zf=7>51zJ0`5=zf=51zJ0`5=zf=51zJ0`5=zf==51zJ0`5=zf==3<7>51zJ0`5=zf==3=7>51zJ0`5=zf==3>7>51zJ0`5=zf==3?7>51zJ0`5=zf==387>51zJ0`5=zf==397>51zJ0`5=zf==3:7>51zJ0`5=zf==3;7>51zJ0`5=zf==347>51zJ0`5=zf=28?7>51zJ0`5=zf=2887>51zJ0`5=zf=2897>51zJ0`5=zf=28:7>51zJ0`5=zf=28;7>51zJ0`5=zf=2847>51zJ0`5=zf=2857>51zJ0`5=zf=28m7>51zJ0`5=zf=28n7>51zJ0`5=zf=28o7>51zJ0`5=zf=2n;7>51zJ0`5=zf=2n47>51zJ0`5=zf=2n57>51zJ0`5=zf=2nm7>51zJ0`5=zf=2nn7>51zJ0`5=zf=2no7>51zJ0`5=zf=2nh7>51zJ0`5=zf=2ni7>51zJ0`5=zf=2nj7>51zJ0`5=zf=2m<7>51zJ0`5=zf=32n7>51zJ0`5=zf=32o7>51zJ0`5=zf=32h7>51zJ0`5=zf=32i7>51zJ0`5=zf=32j7>51zJ0`5=zf=3j<7>51zJ0`5=zf=3j=7>51zJ0`5=zf=3j>7>51zJ0`5=zf=3j?7>51zJ0`5=zf=3j87>51zJ0`5=zf=k?j7>51zJ0`5=zf=k><7>51zJ0`5=zf=k>=7>51zJ0`5=zf=k>>7>51zJ0`5=zf=k>?7>51zJ0`5=zf=k>87>51zJ0`5=zf=k>97>51zJ0`5=zf=k>:7>51zJ0`5=zf=k>;7>51zJ0`5=zf=k>47>51zJ0`5=zf=h;?7>51zJ0`5=zf=h;87>51zJ0`5=zf=h;97>51zJ0`5=zf=h;:7>51zJ0`5=zf=h;;7>51zJ0`5=zf=h;47>51zJ0`5=zf=h;57>51zJ0`5=zf=h;m7>51zJ0`5=zf=h;n7>51zJ0`5=zf=h;o7>51zJ0`5=zf=hi;7>51zJ0`5=zf=hi47>51zJ0`5=zf=hi57>51zJ0`5=zf=him7>51zJ0`5=zf=hin7>51zJ0`5=zf=hio7>51zJ0`5=zf=hih7>51zJ0`5=zf=hii7>51zJ0`5=zf=hij7>51zJ0`5=zf=hh<7>51zJ0`5=zf=l=?7>51zJ0`5=zf=l=87>51zJ0`5=zf=l=97>51zJ0`5=zf=l=:7>51zJ0`5=zf=l=;7>51zJ0`5=zf=l=47>51zJ0`5=zf=l=57>51zJ0`5=zf=l=m7>51zJ0`5=zf=l=n7>51zJ0`5=zf=l=o7>51zJ0`5=zf=l=h7>51zJ0`5=zf=l=i7>51zJ0`5=zf=l=j7>51zJ0`5=zf=l<<7>51zJ0`5=zf=l<=7>51zJ0`5=zf=l<>7>51zJ0`5=zf=l51zJ0`5=zf=l<87>51zJ0`5=zf=l<97>51zJ0`5=zf=l<:7>51zJ0`5=zutwKLNu88179`2`f<:8=qMNM{1CDU}zHI \ No newline at end of file diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.v b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.v new file mode 100644 index 000000000..5d7fb7317 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.v @@ -0,0 +1,27912 @@ +//////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. +//////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor: Xilinx +// \ \ \/ Version: P.20131013 +// \ \ Application: netgen +// / / Filename: hbdec2.v +// /___/ /\ Timestamp: Tue Jun 9 16:48:59 2015 +// \ \ / \ +// \___\/\___\ +// +// Command : -intstyle ise -w -sim -ofmt verilog ./tmp/_cg/hbdec2.ngc ./tmp/_cg/hbdec2.v +// Device : 6slx75fgg484-3 +// Input file : ./tmp/_cg/hbdec2.ngc +// Output file : ./tmp/_cg/hbdec2.v +// # of Modules : 1 +// Design Name : hbdec2 +// Xilinx : /opt/Xilinx/14.7/ISE_DS/ISE/ +// +// Purpose: +// This verilog netlist is a verification model and uses simulation +// primitives which may not represent the true implementation of the +// device, however the netlist is functionally correct and should not +// be modified. This file cannot be synthesized and should only be used +// with supported simulation tools. +// +// Reference: +// Command Line Tools User Guide, Chapter 23 and Synthesis and Simulation Design Guide, Chapter 6 +// +//////////////////////////////////////////////////////////////////////////////// + +`timescale 1 ns/1 ps + +module hbdec2 ( + sclr, ce, rfd, rdy, data_valid, coef_we, nd, clk, coef_ld, dout_1, dout_2, din_1, din_2, coef_din +)/* synthesis syn_black_box syn_noprune=1 */; + input sclr; + input ce; + output rfd; + output rdy; + output data_valid; + input coef_we; + input nd; + input clk; + input coef_ld; + output [46 : 0] dout_1; + output [46 : 0] dout_2; + input [23 : 0] din_1; + input [23 : 0] din_2; + input [17 : 0] coef_din; + + // synthesis translate_off + + wire NlwRenamedSig_OI_rfd; + wire \blk00000003/sig0000093c ; + wire \blk00000003/sig0000093b ; + wire \blk00000003/sig0000093a ; + wire \blk00000003/sig00000939 ; + wire \blk00000003/sig00000938 ; + wire \blk00000003/sig00000937 ; + wire \blk00000003/sig00000936 ; + wire \blk00000003/sig00000935 ; + wire \blk00000003/sig00000934 ; + wire \blk00000003/sig00000933 ; + wire \blk00000003/sig00000932 ; + wire \blk00000003/sig00000931 ; + wire \blk00000003/sig00000930 ; + wire \blk00000003/sig0000092f ; + wire \blk00000003/sig0000092e ; + wire \blk00000003/sig0000092d ; + wire \blk00000003/sig0000092c ; + wire \blk00000003/sig0000092b ; + wire \blk00000003/sig0000092a ; + wire \blk00000003/sig00000929 ; + wire \blk00000003/sig00000928 ; + wire \blk00000003/sig00000927 ; + wire \blk00000003/sig00000926 ; + wire \blk00000003/sig00000925 ; + wire \blk00000003/sig00000924 ; + wire \blk00000003/sig00000923 ; + wire \blk00000003/sig00000922 ; + wire \blk00000003/sig00000921 ; + wire \blk00000003/sig00000920 ; + wire \blk00000003/sig0000091f ; + wire \blk00000003/sig0000091e ; + wire \blk00000003/sig0000091d ; + wire \blk00000003/sig0000091c ; + wire \blk00000003/sig0000091b ; + wire \blk00000003/sig0000091a ; + wire \blk00000003/sig00000919 ; + wire \blk00000003/sig00000918 ; + wire \blk00000003/sig00000917 ; + wire \blk00000003/sig00000916 ; + wire \blk00000003/sig00000915 ; + wire \blk00000003/sig00000914 ; + wire \blk00000003/sig00000913 ; + wire \blk00000003/sig00000912 ; + wire \blk00000003/sig00000911 ; + wire \blk00000003/sig00000910 ; + wire \blk00000003/sig0000090f ; + wire \blk00000003/sig0000090e ; + wire \blk00000003/sig0000090d ; + wire \blk00000003/sig0000090c ; + wire \blk00000003/sig0000090b ; + wire \blk00000003/sig0000090a ; + wire \blk00000003/sig00000909 ; + wire \blk00000003/sig00000908 ; + wire \blk00000003/sig00000907 ; + wire \blk00000003/sig00000906 ; + wire \blk00000003/sig00000905 ; + wire \blk00000003/sig00000904 ; + wire \blk00000003/sig00000903 ; + wire \blk00000003/sig00000902 ; + wire \blk00000003/sig00000901 ; + wire \blk00000003/sig00000900 ; + wire \blk00000003/sig000008ff ; + wire \blk00000003/sig000008fe ; + wire \blk00000003/sig000008fd ; + wire \blk00000003/sig000008fc ; + wire \blk00000003/sig000008fb ; + wire \blk00000003/sig000008fa ; + wire \blk00000003/sig000008f9 ; + wire \blk00000003/sig000008f8 ; + wire \blk00000003/sig000008f7 ; + wire \blk00000003/sig000008f6 ; + wire \blk00000003/sig000008f5 ; + wire \blk00000003/sig000008f4 ; + wire \blk00000003/sig000008f3 ; + wire \blk00000003/sig000008f2 ; + wire \blk00000003/sig000008f1 ; + wire \blk00000003/sig000008f0 ; + wire \blk00000003/sig000008ef ; + wire \blk00000003/sig000008ee ; + wire \blk00000003/sig000008ed ; + wire \blk00000003/sig000008ec ; + wire \blk00000003/sig000008eb ; + wire \blk00000003/sig000008ea ; + wire \blk00000003/sig000008e9 ; + wire \blk00000003/sig000008e8 ; + wire \blk00000003/sig000008e7 ; + wire \blk00000003/sig000008e6 ; + wire \blk00000003/sig000008e5 ; + wire \blk00000003/sig000008e4 ; + wire \blk00000003/sig000008e3 ; + wire \blk00000003/sig000008e2 ; + wire \blk00000003/sig000008e1 ; + wire \blk00000003/sig000008e0 ; + wire \blk00000003/sig000008df ; + wire \blk00000003/sig000008de ; + wire \blk00000003/sig000008dd ; + wire \blk00000003/sig000008dc ; + wire \blk00000003/sig000008db ; + wire \blk00000003/sig000008da ; + wire \blk00000003/sig000008d9 ; + wire \blk00000003/sig000008d8 ; + wire \blk00000003/sig000008d7 ; + wire \blk00000003/sig000008d6 ; + wire \blk00000003/sig000008d5 ; + wire \blk00000003/sig000008d4 ; + wire \blk00000003/sig000008d3 ; + wire \blk00000003/sig000008d2 ; + wire \blk00000003/sig000008d1 ; + wire \blk00000003/sig000008d0 ; + wire \blk00000003/sig000008cf ; + wire \blk00000003/sig000008ce ; + wire \blk00000003/sig000008cd ; + wire \blk00000003/sig000008cc ; + wire \blk00000003/sig000008cb ; + wire \blk00000003/sig000008ca ; + wire \blk00000003/sig000008c9 ; + wire \blk00000003/sig000008c8 ; + wire \blk00000003/sig000008c7 ; + wire \blk00000003/sig000008c6 ; + wire \blk00000003/sig000008c5 ; + wire \blk00000003/sig000008c4 ; + wire \blk00000003/sig000008c3 ; + wire \blk00000003/sig000008c2 ; + wire \blk00000003/sig000008c1 ; + wire \blk00000003/sig000008c0 ; + wire \blk00000003/sig000008bf ; + wire \blk00000003/sig000008be ; + wire \blk00000003/sig000008bd ; + wire \blk00000003/sig000008bc ; + wire \blk00000003/sig000008bb ; + wire \blk00000003/sig000008ba ; + wire \blk00000003/sig000008b9 ; + wire \blk00000003/sig000008b8 ; + wire \blk00000003/sig000008b7 ; + wire \blk00000003/sig000008b6 ; + wire \blk00000003/sig000008b5 ; + wire \blk00000003/sig000008b4 ; + wire \blk00000003/sig000008b3 ; + wire \blk00000003/sig000008b2 ; + wire \blk00000003/sig000008b1 ; + wire \blk00000003/sig000008b0 ; + wire \blk00000003/sig000008af ; + wire \blk00000003/sig000008ae ; + wire \blk00000003/sig000008ad ; + wire \blk00000003/sig000008ac ; + wire \blk00000003/sig000008ab ; + wire \blk00000003/sig000008aa ; + wire \blk00000003/sig000008a9 ; + wire \blk00000003/sig000008a8 ; + wire \blk00000003/sig000008a7 ; + wire \blk00000003/sig000008a6 ; + wire \blk00000003/sig000008a5 ; + wire \blk00000003/sig000008a4 ; + wire \blk00000003/sig000008a3 ; + wire \blk00000003/sig000008a2 ; + wire \blk00000003/sig000008a1 ; + wire \blk00000003/sig000008a0 ; + wire \blk00000003/sig0000089f ; + wire \blk00000003/sig0000089e ; + wire \blk00000003/sig0000089d ; + wire \blk00000003/sig0000089c ; + wire \blk00000003/sig0000089b ; + wire \blk00000003/sig0000089a ; + wire \blk00000003/sig00000899 ; + wire \blk00000003/sig00000898 ; + wire \blk00000003/sig00000897 ; + wire \blk00000003/sig00000896 ; + wire \blk00000003/sig00000895 ; + wire \blk00000003/sig00000894 ; + wire \blk00000003/sig00000893 ; + wire \blk00000003/sig00000892 ; + wire \blk00000003/sig00000891 ; + wire \blk00000003/sig00000890 ; + wire \blk00000003/sig0000088f ; + wire \blk00000003/sig0000088e ; + wire \blk00000003/sig0000088d ; + wire \blk00000003/sig0000088c ; + wire \blk00000003/sig0000088b ; + wire \blk00000003/sig0000088a ; + wire \blk00000003/sig00000889 ; + wire \blk00000003/sig00000888 ; + wire \blk00000003/sig00000887 ; + wire \blk00000003/sig00000886 ; + wire \blk00000003/sig00000885 ; + wire \blk00000003/sig00000884 ; + wire \blk00000003/sig00000883 ; + wire \blk00000003/sig00000882 ; + wire \blk00000003/sig00000881 ; + wire \blk00000003/sig00000880 ; + wire \blk00000003/sig0000087f ; + wire \blk00000003/sig0000087e ; + wire \blk00000003/sig0000087d ; + wire \blk00000003/sig0000087c ; + wire \blk00000003/sig0000087b ; + wire \blk00000003/sig0000087a ; + wire \blk00000003/sig00000879 ; + wire \blk00000003/sig00000878 ; + wire \blk00000003/sig00000877 ; + wire \blk00000003/sig00000876 ; + wire \blk00000003/sig00000875 ; + wire \blk00000003/sig00000874 ; + wire \blk00000003/sig00000873 ; + wire \blk00000003/sig00000872 ; + wire \blk00000003/sig00000871 ; + wire \blk00000003/sig00000870 ; + wire \blk00000003/sig0000086f ; + wire \blk00000003/sig0000086e ; + wire \blk00000003/sig0000086d ; + wire \blk00000003/sig0000086c ; + wire \blk00000003/sig0000086b ; + wire \blk00000003/sig0000086a ; + wire \blk00000003/sig00000869 ; + wire \blk00000003/sig00000868 ; + wire \blk00000003/sig00000867 ; + wire \blk00000003/sig00000866 ; + wire \blk00000003/sig00000865 ; + wire \blk00000003/sig00000864 ; + wire \blk00000003/sig00000863 ; + wire \blk00000003/sig00000862 ; + wire \blk00000003/sig00000861 ; + wire \blk00000003/sig00000860 ; + wire \blk00000003/sig0000085f ; + wire \blk00000003/sig0000085e ; + wire \blk00000003/sig0000085d ; + wire \blk00000003/sig0000085c ; + wire \blk00000003/sig0000085b ; + wire \blk00000003/sig0000085a ; + wire \blk00000003/sig00000859 ; + wire \blk00000003/sig00000858 ; + wire \blk00000003/sig00000857 ; + wire \blk00000003/sig00000856 ; + wire \blk00000003/sig00000855 ; + wire \blk00000003/sig00000854 ; + wire \blk00000003/sig00000853 ; + wire \blk00000003/sig00000852 ; + wire \blk00000003/sig00000851 ; + wire \blk00000003/sig00000850 ; + wire \blk00000003/sig0000084f ; + wire \blk00000003/sig0000084e ; + wire \blk00000003/sig0000084d ; + wire \blk00000003/sig0000084c ; + wire \blk00000003/sig0000084b ; + wire \blk00000003/sig0000084a ; + wire \blk00000003/sig00000849 ; + wire \blk00000003/sig00000848 ; + wire \blk00000003/sig00000847 ; + wire \blk00000003/sig00000846 ; + wire \blk00000003/sig00000845 ; + wire \blk00000003/sig00000844 ; + wire \blk00000003/sig00000843 ; + wire \blk00000003/sig00000842 ; + wire \blk00000003/sig00000841 ; + wire \blk00000003/sig00000840 ; + wire \blk00000003/sig0000083f ; + wire \blk00000003/sig0000083e ; + wire \blk00000003/sig0000083d ; + wire \blk00000003/sig0000083c ; + wire \blk00000003/sig0000083b ; + wire \blk00000003/sig0000083a ; + wire \blk00000003/sig00000839 ; + wire \blk00000003/sig00000838 ; + wire \blk00000003/sig00000837 ; + wire \blk00000003/sig00000836 ; + wire \blk00000003/sig00000835 ; + wire \blk00000003/sig00000834 ; + wire \blk00000003/sig00000833 ; + wire \blk00000003/sig00000832 ; + wire \blk00000003/sig00000831 ; + wire \blk00000003/sig00000830 ; + wire \blk00000003/sig0000082f ; + wire \blk00000003/sig0000082e ; + wire \blk00000003/sig0000082d ; + wire \blk00000003/sig0000082c ; + wire \blk00000003/sig0000082b ; + wire \blk00000003/sig0000082a ; + wire \blk00000003/sig00000829 ; + wire \blk00000003/sig00000828 ; + wire \blk00000003/sig00000827 ; + wire \blk00000003/sig00000826 ; + wire \blk00000003/sig00000825 ; + wire \blk00000003/sig00000824 ; + wire \blk00000003/sig00000823 ; + wire \blk00000003/sig00000822 ; + wire \blk00000003/sig00000821 ; + wire \blk00000003/sig00000820 ; + wire \blk00000003/sig0000081f ; + wire \blk00000003/sig0000081e ; + wire \blk00000003/sig0000081d ; + wire \blk00000003/sig0000081c ; + wire \blk00000003/sig0000081b ; + wire \blk00000003/sig0000081a ; + wire \blk00000003/sig00000819 ; + wire \blk00000003/sig00000818 ; + wire \blk00000003/sig00000817 ; + wire \blk00000003/sig00000816 ; + wire \blk00000003/sig00000815 ; + wire \blk00000003/sig00000814 ; + wire \blk00000003/sig00000813 ; + wire \blk00000003/sig00000812 ; + wire \blk00000003/sig00000811 ; + wire \blk00000003/sig00000810 ; + wire \blk00000003/sig0000080f ; + wire \blk00000003/sig0000080e ; + wire \blk00000003/sig0000080d ; + wire \blk00000003/sig0000080c ; + wire \blk00000003/sig0000080b ; + wire \blk00000003/sig0000080a ; + wire \blk00000003/sig00000809 ; + wire \blk00000003/sig00000808 ; + wire \blk00000003/sig00000807 ; + wire \blk00000003/sig00000806 ; + wire \blk00000003/sig00000805 ; + wire \blk00000003/sig00000804 ; + wire \blk00000003/sig00000803 ; + wire \blk00000003/sig00000802 ; + wire \blk00000003/sig00000801 ; + wire \blk00000003/sig00000800 ; + wire \blk00000003/sig000007ff ; + wire \blk00000003/sig000007fe ; + wire \blk00000003/sig000007fd ; + wire \blk00000003/sig000007fc ; + wire \blk00000003/sig000007fb ; + wire \blk00000003/sig000007fa ; + wire \blk00000003/sig000007f9 ; + wire \blk00000003/sig000007f8 ; + wire \blk00000003/sig000007f7 ; + wire \blk00000003/sig000007f6 ; + wire \blk00000003/sig000007f5 ; + wire \blk00000003/sig000007f4 ; + wire \blk00000003/sig000007f3 ; + wire \blk00000003/sig000007f2 ; + wire \blk00000003/sig000007f1 ; + wire \blk00000003/sig000007f0 ; + wire \blk00000003/sig000007ef ; + wire \blk00000003/sig000007ee ; + wire \blk00000003/sig000007ed ; + wire \blk00000003/sig000007ec ; + wire \blk00000003/sig000007eb ; + wire \blk00000003/sig000007ea ; + wire \blk00000003/sig000007e9 ; + wire \blk00000003/sig000007e8 ; + wire \blk00000003/sig000007e7 ; + wire \blk00000003/sig000007e6 ; + wire \blk00000003/sig000007e5 ; + wire \blk00000003/sig000007e4 ; + wire \blk00000003/sig000007e3 ; + wire \blk00000003/sig000007e2 ; + wire \blk00000003/sig000007e1 ; + wire \blk00000003/sig000007e0 ; + wire \blk00000003/sig000007df ; + wire \blk00000003/sig000007de ; + wire \blk00000003/sig000007dd ; + wire \blk00000003/sig000007dc ; + wire \blk00000003/sig000007db ; + wire \blk00000003/sig000007da ; + wire \blk00000003/sig000007d9 ; + wire \blk00000003/sig000007d8 ; + wire \blk00000003/sig000007d7 ; + wire \blk00000003/sig000007d6 ; + wire \blk00000003/sig000007d5 ; + wire \blk00000003/sig000007d4 ; + wire \blk00000003/sig000007d3 ; + wire \blk00000003/sig000007d2 ; + wire \blk00000003/sig000007d1 ; + wire \blk00000003/sig000007d0 ; + wire \blk00000003/sig000007cf ; + wire \blk00000003/sig000007ce ; + wire \blk00000003/sig000007cd ; + wire \blk00000003/sig000007cc ; + wire \blk00000003/sig000007cb ; + wire \blk00000003/sig000007ca ; + wire \blk00000003/sig000007c9 ; + wire \blk00000003/sig000007c8 ; + wire \blk00000003/sig000007c7 ; + wire \blk00000003/sig000007c6 ; + wire \blk00000003/sig000007c5 ; + wire \blk00000003/sig000007c4 ; + wire \blk00000003/sig000007c3 ; + wire \blk00000003/sig000007c2 ; + wire \blk00000003/sig000007c1 ; + wire \blk00000003/sig000007c0 ; + wire \blk00000003/sig000007bf ; + wire \blk00000003/sig000007be ; + wire \blk00000003/sig000007bd ; + wire \blk00000003/sig000007bc ; + wire \blk00000003/sig000007bb ; + wire \blk00000003/sig000007ba ; + wire \blk00000003/sig000007b9 ; + wire \blk00000003/sig000007b8 ; + wire \blk00000003/sig000007b7 ; + wire \blk00000003/sig000007b6 ; + wire \blk00000003/sig000007b5 ; + wire \blk00000003/sig000007b4 ; + wire \blk00000003/sig000007b3 ; + wire \blk00000003/sig000007b2 ; + wire \blk00000003/sig000007b1 ; + wire \blk00000003/sig000007b0 ; + wire \blk00000003/sig000007af ; + wire \blk00000003/sig000007ae ; + wire \blk00000003/sig000007ad ; + wire \blk00000003/sig000007ac ; + wire \blk00000003/sig000007ab ; + wire \blk00000003/sig000007aa ; + wire \blk00000003/sig000007a9 ; + wire \blk00000003/sig000007a8 ; + wire \blk00000003/sig000007a7 ; + wire \blk00000003/sig000007a6 ; + wire \blk00000003/sig000007a5 ; + wire \blk00000003/sig000007a4 ; + wire \blk00000003/sig000007a3 ; + wire \blk00000003/sig000007a2 ; + wire \blk00000003/sig000007a1 ; + wire \blk00000003/sig000007a0 ; + wire \blk00000003/sig0000079f ; + wire \blk00000003/sig0000079e ; + wire \blk00000003/sig0000079d ; + wire \blk00000003/sig0000079c ; + wire \blk00000003/sig0000079b ; + wire \blk00000003/sig0000079a ; + wire \blk00000003/sig00000799 ; + wire \blk00000003/sig00000798 ; + wire \blk00000003/sig00000797 ; + wire \blk00000003/sig00000796 ; + wire \blk00000003/sig00000795 ; + wire \blk00000003/sig00000794 ; + wire \blk00000003/sig00000793 ; + wire \blk00000003/sig00000792 ; + wire \blk00000003/sig00000791 ; + wire \blk00000003/sig00000790 ; + wire \blk00000003/sig0000078f ; + wire \blk00000003/sig0000078e ; + wire \blk00000003/sig0000078d ; + wire \blk00000003/sig0000078c ; + wire \blk00000003/sig0000078b ; + wire \blk00000003/sig0000078a ; + wire \blk00000003/sig00000789 ; + wire \blk00000003/sig00000788 ; + wire \blk00000003/sig00000787 ; + wire \blk00000003/sig00000786 ; + wire \blk00000003/sig00000785 ; + wire \blk00000003/sig00000784 ; + wire \blk00000003/sig00000783 ; + wire \blk00000003/sig00000782 ; + wire \blk00000003/sig00000781 ; + wire \blk00000003/sig00000780 ; + wire \blk00000003/sig0000077f ; + wire \blk00000003/sig0000077e ; + wire \blk00000003/sig0000077d ; + wire \blk00000003/sig0000077c ; + wire \blk00000003/sig0000077b ; + wire \blk00000003/sig0000077a ; + wire \blk00000003/sig00000779 ; + wire \blk00000003/sig00000778 ; + wire \blk00000003/sig00000777 ; + wire \blk00000003/sig00000776 ; + wire \blk00000003/sig00000775 ; + wire \blk00000003/sig00000774 ; + wire \blk00000003/sig00000773 ; + wire \blk00000003/sig00000772 ; + wire \blk00000003/sig00000771 ; + wire \blk00000003/sig00000770 ; + wire \blk00000003/sig0000076f ; + wire \blk00000003/sig0000076e ; + wire \blk00000003/sig0000076d ; + wire \blk00000003/sig0000076c ; + wire \blk00000003/sig0000076b ; + wire \blk00000003/sig0000076a ; + wire \blk00000003/sig00000769 ; + wire \blk00000003/sig00000768 ; + wire \blk00000003/sig00000767 ; + wire \blk00000003/sig00000766 ; + wire \blk00000003/sig00000765 ; + wire \blk00000003/sig00000764 ; + wire \blk00000003/sig00000763 ; + wire \blk00000003/sig00000762 ; + wire \blk00000003/sig00000761 ; + wire \blk00000003/sig00000760 ; + wire \blk00000003/sig0000075f ; + wire \blk00000003/sig0000075e ; + wire \blk00000003/sig0000075d ; + wire \blk00000003/sig0000075c ; + wire \blk00000003/sig0000075b ; + wire \blk00000003/sig0000075a ; + wire \blk00000003/sig00000759 ; + wire \blk00000003/sig00000758 ; + wire \blk00000003/sig00000757 ; + wire \blk00000003/sig00000756 ; + wire \blk00000003/sig00000755 ; + wire \blk00000003/sig00000754 ; + wire \blk00000003/sig00000753 ; + wire \blk00000003/sig00000752 ; + wire \blk00000003/sig00000751 ; + wire \blk00000003/sig00000750 ; + wire \blk00000003/sig0000074f ; + wire \blk00000003/sig0000074e ; + wire \blk00000003/sig0000074d ; + wire \blk00000003/sig0000074c ; + wire \blk00000003/sig0000074b ; + wire \blk00000003/sig0000074a ; + wire \blk00000003/sig00000749 ; + wire \blk00000003/sig00000748 ; + wire \blk00000003/sig00000747 ; + wire \blk00000003/sig00000746 ; + wire \blk00000003/sig00000745 ; + wire \blk00000003/sig00000744 ; + wire \blk00000003/sig00000743 ; + wire \blk00000003/sig00000742 ; + wire \blk00000003/sig00000741 ; + wire \blk00000003/sig00000740 ; + wire \blk00000003/sig0000073f ; + wire \blk00000003/sig0000073e ; + wire \blk00000003/sig0000073d ; + wire \blk00000003/sig0000073c ; + wire \blk00000003/sig0000073b ; + wire \blk00000003/sig0000073a ; + wire \blk00000003/sig00000739 ; + wire \blk00000003/sig00000738 ; + wire \blk00000003/sig00000737 ; + wire \blk00000003/sig00000736 ; + wire \blk00000003/sig00000735 ; + wire \blk00000003/sig00000734 ; + wire \blk00000003/sig00000733 ; + wire \blk00000003/sig00000732 ; + wire \blk00000003/sig00000731 ; + wire \blk00000003/sig00000730 ; + wire \blk00000003/sig0000072f ; + wire \blk00000003/sig0000072e ; + wire \blk00000003/sig0000072d ; + wire \blk00000003/sig0000072c ; + wire \blk00000003/sig0000072b ; + wire \blk00000003/sig0000072a ; + wire \blk00000003/sig00000729 ; + wire \blk00000003/sig00000728 ; + wire \blk00000003/sig00000727 ; + wire \blk00000003/sig00000726 ; + wire \blk00000003/sig00000725 ; + wire \blk00000003/sig00000724 ; + wire \blk00000003/sig00000723 ; + wire \blk00000003/sig00000722 ; + wire \blk00000003/sig00000721 ; + wire \blk00000003/sig00000720 ; + wire \blk00000003/sig0000071f ; + wire \blk00000003/sig0000071e ; + wire \blk00000003/sig0000071d ; + wire \blk00000003/sig0000071c ; + wire \blk00000003/sig0000071b ; + wire \blk00000003/sig0000071a ; + wire \blk00000003/sig00000719 ; + wire \blk00000003/sig00000718 ; + wire \blk00000003/sig00000717 ; + wire \blk00000003/sig00000716 ; + wire \blk00000003/sig00000715 ; + wire \blk00000003/sig00000714 ; + wire \blk00000003/sig00000713 ; + wire \blk00000003/sig00000712 ; + wire \blk00000003/sig00000711 ; + wire \blk00000003/sig00000710 ; + wire \blk00000003/sig0000070f ; + wire \blk00000003/sig0000070e ; + wire \blk00000003/sig0000070d ; + wire \blk00000003/sig0000070c ; + wire \blk00000003/sig0000070b ; + wire \blk00000003/sig0000070a ; + wire \blk00000003/sig00000709 ; + wire \blk00000003/sig00000708 ; + wire \blk00000003/sig00000707 ; + wire \blk00000003/sig00000706 ; + wire \blk00000003/sig00000705 ; + wire \blk00000003/sig00000704 ; + wire \blk00000003/sig00000703 ; + wire \blk00000003/sig00000702 ; + wire \blk00000003/sig00000701 ; + wire \blk00000003/sig00000700 ; + wire \blk00000003/sig000006ff ; + wire \blk00000003/sig000006fe ; + wire \blk00000003/sig000006fd ; + wire \blk00000003/sig000006fc ; + wire \blk00000003/sig000006fb ; + wire \blk00000003/sig000006fa ; + wire \blk00000003/sig000006f9 ; + wire \blk00000003/sig000006f8 ; + wire \blk00000003/sig000006f7 ; + wire \blk00000003/sig000006f6 ; + wire \blk00000003/sig000006f5 ; + wire \blk00000003/sig000006f4 ; + wire \blk00000003/sig000006f3 ; + wire \blk00000003/sig000006f2 ; + wire \blk00000003/sig000006f1 ; + wire \blk00000003/sig000006f0 ; + wire \blk00000003/sig000006ef ; + wire \blk00000003/sig000006ee ; + wire \blk00000003/sig000006ed ; + wire \blk00000003/sig000006ec ; + wire \blk00000003/sig000006eb ; + wire \blk00000003/sig000006ea ; + wire \blk00000003/sig000006e9 ; + wire \blk00000003/sig000006e8 ; + wire \blk00000003/sig000006e7 ; + wire \blk00000003/sig000006e6 ; + wire \blk00000003/sig000006e5 ; + wire \blk00000003/sig000006e4 ; + wire \blk00000003/sig000006e3 ; + wire \blk00000003/sig000006e2 ; + wire \blk00000003/sig000006e1 ; + wire \blk00000003/sig000006e0 ; + wire \blk00000003/sig000006df ; + wire \blk00000003/sig000006de ; + wire \blk00000003/sig000006dd ; + wire \blk00000003/sig000006dc ; + wire \blk00000003/sig000006db ; + wire \blk00000003/sig000006da ; + wire \blk00000003/sig000006d9 ; + wire \blk00000003/sig000006d8 ; + wire \blk00000003/sig000006d7 ; + wire \blk00000003/sig000006d6 ; + wire \blk00000003/sig000006d5 ; + wire \blk00000003/sig000006d4 ; + wire \blk00000003/sig000006d3 ; + wire \blk00000003/sig000006d2 ; + wire \blk00000003/sig000006d1 ; + wire \blk00000003/sig000006d0 ; + wire \blk00000003/sig000006cf ; + wire \blk00000003/sig000006ce ; + wire \blk00000003/sig000006cd ; + wire \blk00000003/sig000006cc ; + wire \blk00000003/sig000006cb ; + wire \blk00000003/sig000006ca ; + wire \blk00000003/sig000006c9 ; + wire \blk00000003/sig000006c8 ; + wire \blk00000003/sig000006c7 ; + wire \blk00000003/sig000006c6 ; + wire \blk00000003/sig000006c5 ; + wire \blk00000003/sig000006c4 ; + wire \blk00000003/sig000006c3 ; + wire \blk00000003/sig000006c2 ; + wire \blk00000003/sig000006c1 ; + wire \blk00000003/sig000006c0 ; + wire \blk00000003/sig000006bf ; + wire \blk00000003/sig000006be ; + wire \blk00000003/sig000006bd ; + wire \blk00000003/sig000006bc ; + wire \blk00000003/sig000006bb ; + wire \blk00000003/sig000006ba ; + wire \blk00000003/sig000006b9 ; + wire \blk00000003/sig000006b8 ; + wire \blk00000003/sig000006b7 ; + wire \blk00000003/sig000006b6 ; + wire \blk00000003/sig000006b5 ; + wire \blk00000003/sig000006b4 ; + wire \blk00000003/sig000006b3 ; + wire \blk00000003/sig000006b2 ; + wire \blk00000003/sig000006b1 ; + wire \blk00000003/sig000006b0 ; + wire \blk00000003/sig000006af ; + wire \blk00000003/sig000006ae ; + wire \blk00000003/sig000006ad ; + wire \blk00000003/sig000006ac ; + wire \blk00000003/sig000006ab ; + wire \blk00000003/sig000006aa ; + wire \blk00000003/sig000006a9 ; + wire \blk00000003/sig000006a8 ; + wire \blk00000003/sig000006a7 ; + wire \blk00000003/sig000006a6 ; + wire \blk00000003/sig000006a5 ; + wire \blk00000003/sig000006a4 ; + wire \blk00000003/sig000006a3 ; + wire \blk00000003/sig000006a2 ; + wire \blk00000003/sig000006a1 ; + wire \blk00000003/sig000006a0 ; + wire \blk00000003/sig0000069f ; + wire \blk00000003/sig0000069e ; + wire \blk00000003/sig0000069d ; + wire \blk00000003/sig0000069c ; + wire \blk00000003/sig0000069b ; + wire \blk00000003/sig0000069a ; + wire \blk00000003/sig00000699 ; + wire \blk00000003/sig00000698 ; + wire \blk00000003/sig00000697 ; + wire \blk00000003/sig00000696 ; + wire \blk00000003/sig00000695 ; + wire \blk00000003/sig00000694 ; + wire \blk00000003/sig00000693 ; + wire \blk00000003/sig00000692 ; + wire \blk00000003/sig00000691 ; + wire \blk00000003/sig00000690 ; + wire \blk00000003/sig0000068f ; + wire \blk00000003/sig0000068e ; + wire \blk00000003/sig0000068d ; + wire \blk00000003/sig0000068c ; + wire \blk00000003/sig0000068b ; + wire \blk00000003/sig0000068a ; + wire \blk00000003/sig00000689 ; + wire \blk00000003/sig00000688 ; + wire \blk00000003/sig00000687 ; + wire \blk00000003/sig00000686 ; + wire \blk00000003/sig00000685 ; + wire \blk00000003/sig00000684 ; + wire \blk00000003/sig00000683 ; + wire \blk00000003/sig00000682 ; + wire \blk00000003/sig00000681 ; + wire \blk00000003/sig00000680 ; + wire \blk00000003/sig0000067f ; + wire \blk00000003/sig0000067e ; + wire \blk00000003/sig0000067d ; + wire \blk00000003/sig0000067c ; + wire \blk00000003/sig0000067b ; + wire \blk00000003/sig0000067a ; + wire \blk00000003/sig00000679 ; + wire \blk00000003/sig00000678 ; + wire \blk00000003/sig00000677 ; + wire \blk00000003/sig00000676 ; + wire \blk00000003/sig00000675 ; + wire \blk00000003/sig00000674 ; + wire \blk00000003/sig00000673 ; + wire \blk00000003/sig00000672 ; + wire \blk00000003/sig00000671 ; + wire \blk00000003/sig00000670 ; + wire \blk00000003/sig0000066f ; + wire \blk00000003/sig0000066e ; + wire \blk00000003/sig0000066d ; + wire \blk00000003/sig0000066c ; + wire \blk00000003/sig0000066b ; + wire \blk00000003/sig0000066a ; + wire \blk00000003/sig00000669 ; + wire \blk00000003/sig00000668 ; + wire \blk00000003/sig00000667 ; + wire \blk00000003/sig00000666 ; + wire \blk00000003/sig00000665 ; + wire \blk00000003/sig00000664 ; + wire \blk00000003/sig00000663 ; + wire \blk00000003/sig00000662 ; + wire \blk00000003/sig00000661 ; + wire \blk00000003/sig00000660 ; + wire \blk00000003/sig0000065f ; + wire \blk00000003/sig0000065e ; + wire \blk00000003/sig0000065d ; + wire \blk00000003/sig0000065c ; + wire \blk00000003/sig0000065b ; + wire \blk00000003/sig0000065a ; + wire \blk00000003/sig00000659 ; + wire \blk00000003/sig00000658 ; + wire \blk00000003/sig00000657 ; + wire \blk00000003/sig00000656 ; + wire \blk00000003/sig00000655 ; + wire \blk00000003/sig00000654 ; + wire \blk00000003/sig00000653 ; + wire \blk00000003/sig00000652 ; + wire \blk00000003/sig00000651 ; + wire \blk00000003/sig00000650 ; + wire \blk00000003/sig0000064f ; + wire \blk00000003/sig0000064e ; + wire \blk00000003/sig0000064d ; + wire \blk00000003/sig0000064c ; + wire \blk00000003/sig0000064b ; + wire \blk00000003/sig0000064a ; + wire \blk00000003/sig00000649 ; + wire \blk00000003/sig00000648 ; + wire \blk00000003/sig00000647 ; + wire \blk00000003/sig00000646 ; + wire \blk00000003/sig00000645 ; + wire \blk00000003/sig00000644 ; + wire \blk00000003/sig00000643 ; + wire \blk00000003/sig00000642 ; + wire \blk00000003/sig00000641 ; + wire \blk00000003/sig00000640 ; + wire \blk00000003/sig0000063f ; + wire \blk00000003/sig0000063e ; + wire \blk00000003/sig0000063d ; + wire \blk00000003/sig0000063c ; + wire \blk00000003/sig0000063b ; + wire \blk00000003/sig0000063a ; + wire \blk00000003/sig00000639 ; + wire \blk00000003/sig00000638 ; + wire \blk00000003/sig00000637 ; + wire \blk00000003/sig00000636 ; + wire \blk00000003/sig00000635 ; + wire \blk00000003/sig00000634 ; + wire \blk00000003/sig00000633 ; + wire \blk00000003/sig00000632 ; + wire \blk00000003/sig00000631 ; + wire \blk00000003/sig00000630 ; + wire \blk00000003/sig0000062f ; + wire \blk00000003/sig0000062e ; + wire \blk00000003/sig0000062d ; + wire \blk00000003/sig0000062c ; + wire \blk00000003/sig0000062b ; + wire \blk00000003/sig0000062a ; + wire \blk00000003/sig00000629 ; + wire \blk00000003/sig00000628 ; + wire \blk00000003/sig00000627 ; + wire \blk00000003/sig00000626 ; + wire \blk00000003/sig00000625 ; + wire \blk00000003/sig00000624 ; + wire \blk00000003/sig00000623 ; + wire \blk00000003/sig00000622 ; + wire \blk00000003/sig00000621 ; + wire \blk00000003/sig00000620 ; + wire \blk00000003/sig0000061f ; + wire \blk00000003/sig0000061e ; + wire \blk00000003/sig0000061d ; + wire \blk00000003/sig0000061c ; + wire \blk00000003/sig0000061b ; + wire \blk00000003/sig0000061a ; + wire \blk00000003/sig00000619 ; + wire \blk00000003/sig00000618 ; + wire \blk00000003/sig00000617 ; + wire \blk00000003/sig00000616 ; + wire \blk00000003/sig00000615 ; + wire \blk00000003/sig00000614 ; + wire \blk00000003/sig00000613 ; + wire \blk00000003/sig00000612 ; + wire \blk00000003/sig00000611 ; + wire \blk00000003/sig00000610 ; + wire \blk00000003/sig0000060f ; + wire \blk00000003/sig0000060e ; + wire \blk00000003/sig0000060d ; + wire \blk00000003/sig0000060c ; + wire \blk00000003/sig0000060b ; + wire \blk00000003/sig0000060a ; + wire \blk00000003/sig00000609 ; + wire \blk00000003/sig00000608 ; + wire \blk00000003/sig00000607 ; + wire \blk00000003/sig00000606 ; + wire \blk00000003/sig00000605 ; + wire \blk00000003/sig00000604 ; + wire \blk00000003/sig00000603 ; + wire \blk00000003/sig00000602 ; + wire \blk00000003/sig00000601 ; + wire \blk00000003/sig00000600 ; + wire \blk00000003/sig000005ff ; + wire \blk00000003/sig000005fe ; + wire \blk00000003/sig000005fd ; + wire \blk00000003/sig000005fc ; + wire \blk00000003/sig000005fb ; + wire \blk00000003/sig000005fa ; + wire \blk00000003/sig000005f9 ; + wire \blk00000003/sig000005f8 ; + wire \blk00000003/sig000005f7 ; + wire \blk00000003/sig000005f6 ; + wire \blk00000003/sig000005f5 ; + wire \blk00000003/sig000005f4 ; + wire \blk00000003/sig000005f3 ; + wire \blk00000003/sig000005f2 ; + wire \blk00000003/sig000005f1 ; + wire \blk00000003/sig000005f0 ; + wire \blk00000003/sig000005ef ; + wire \blk00000003/sig000005ee ; + wire \blk00000003/sig000005ed ; + wire \blk00000003/sig000005ec ; + wire \blk00000003/sig000005eb ; + wire \blk00000003/sig000005ea ; + wire \blk00000003/sig000005e9 ; + wire \blk00000003/sig000005e8 ; + wire \blk00000003/sig000005e7 ; + wire \blk00000003/sig000005e6 ; + wire \blk00000003/sig000005e5 ; + wire \blk00000003/sig000005e4 ; + wire \blk00000003/sig000005e3 ; + wire \blk00000003/sig000005e2 ; + wire \blk00000003/sig000005e1 ; + wire \blk00000003/sig000005e0 ; + wire \blk00000003/sig000005df ; + wire \blk00000003/sig000005de ; + wire \blk00000003/sig000005dd ; + wire \blk00000003/sig000005dc ; + wire \blk00000003/sig000005db ; + wire \blk00000003/sig000005da ; + wire \blk00000003/sig000005d9 ; + wire \blk00000003/sig000005d8 ; + wire \blk00000003/sig000005d7 ; + wire \blk00000003/sig000005d6 ; + wire \blk00000003/sig000005d5 ; + wire \blk00000003/sig000005d4 ; + wire \blk00000003/sig000005d3 ; + wire \blk00000003/sig000005d2 ; + wire \blk00000003/sig000005d1 ; + wire \blk00000003/sig000005d0 ; + wire \blk00000003/sig000005cf ; + wire \blk00000003/sig000005ce ; + wire \blk00000003/sig000005cd ; + wire \blk00000003/sig000005cc ; + wire \blk00000003/sig000005cb ; + wire \blk00000003/sig000005ca ; + wire \blk00000003/sig000005c9 ; + wire \blk00000003/sig000005c8 ; + wire \blk00000003/sig000005c7 ; + wire \blk00000003/sig000005c6 ; + wire \blk00000003/sig000005c5 ; + wire \blk00000003/sig000005c4 ; + wire \blk00000003/sig000005c3 ; + wire \blk00000003/sig000005c2 ; + wire \blk00000003/sig000005c1 ; + wire \blk00000003/sig000005c0 ; + wire \blk00000003/sig000005bf ; + wire \blk00000003/sig000005be ; + wire \blk00000003/sig000005bd ; + wire \blk00000003/sig000005bc ; + wire \blk00000003/sig000005bb ; + wire \blk00000003/sig000005ba ; + wire \blk00000003/sig000005b9 ; + wire \blk00000003/sig000005b8 ; + wire \blk00000003/sig000005b7 ; + wire \blk00000003/sig000005b6 ; + wire \blk00000003/sig000005b5 ; + wire \blk00000003/sig000005b4 ; + wire \blk00000003/sig000005b3 ; + wire \blk00000003/sig000005b2 ; + wire \blk00000003/sig000005b1 ; + wire \blk00000003/sig000005b0 ; + wire \blk00000003/sig000005af ; + wire \blk00000003/sig000005ae ; + wire \blk00000003/sig000005ad ; + wire \blk00000003/sig000005ac ; + wire \blk00000003/sig000005ab ; + wire \blk00000003/sig000005aa ; + wire \blk00000003/sig000005a9 ; + wire \blk00000003/sig000005a8 ; + wire \blk00000003/sig000005a7 ; + wire \blk00000003/sig000005a6 ; + wire \blk00000003/sig000005a5 ; + wire \blk00000003/sig000005a4 ; + wire \blk00000003/sig000005a3 ; + wire \blk00000003/sig000005a2 ; + wire \blk00000003/sig000005a1 ; + wire \blk00000003/sig000005a0 ; + wire \blk00000003/sig0000059f ; + wire \blk00000003/sig0000059e ; + wire \blk00000003/sig0000059d ; + wire \blk00000003/sig0000059c ; + wire \blk00000003/sig0000059b ; + wire \blk00000003/sig0000059a ; + wire \blk00000003/sig00000599 ; + wire \blk00000003/sig00000598 ; + wire \blk00000003/sig00000597 ; + wire \blk00000003/sig00000596 ; + wire \blk00000003/sig00000595 ; + wire \blk00000003/sig00000594 ; + wire \blk00000003/sig00000593 ; + wire \blk00000003/sig00000592 ; + wire \blk00000003/sig00000591 ; + wire \blk00000003/sig00000590 ; + wire \blk00000003/sig0000058f ; + wire \blk00000003/sig0000058e ; + wire \blk00000003/sig0000058d ; + wire \blk00000003/sig0000058c ; + wire \blk00000003/sig0000058b ; + wire \blk00000003/sig0000058a ; + wire \blk00000003/sig00000589 ; + wire \blk00000003/sig00000588 ; + wire \blk00000003/sig00000587 ; + wire \blk00000003/sig00000586 ; + wire \blk00000003/sig00000585 ; + wire \blk00000003/sig00000584 ; + wire \blk00000003/sig00000583 ; + wire \blk00000003/sig00000582 ; + wire \blk00000003/sig00000581 ; + wire \blk00000003/sig00000580 ; + wire \blk00000003/sig0000057f ; + wire \blk00000003/sig0000057e ; + wire \blk00000003/sig0000057d ; + wire \blk00000003/sig0000057c ; + wire \blk00000003/sig0000057b ; + wire \blk00000003/sig0000057a ; + wire \blk00000003/sig00000579 ; + wire \blk00000003/sig00000578 ; + wire \blk00000003/sig00000577 ; + wire \blk00000003/sig00000576 ; + wire \blk00000003/sig00000575 ; + wire \blk00000003/sig00000574 ; + wire \blk00000003/sig00000573 ; + wire \blk00000003/sig00000572 ; + wire \blk00000003/sig00000571 ; + wire \blk00000003/sig00000570 ; + wire \blk00000003/sig0000056f ; + wire \blk00000003/sig0000056e ; + wire \blk00000003/sig0000056d ; + wire \blk00000003/sig0000056c ; + wire \blk00000003/sig0000056b ; + wire \blk00000003/sig0000056a ; + wire \blk00000003/sig00000569 ; + wire \blk00000003/sig00000568 ; + wire \blk00000003/sig00000567 ; + wire \blk00000003/sig00000566 ; + wire \blk00000003/sig00000565 ; + wire \blk00000003/sig00000564 ; + wire \blk00000003/sig00000563 ; + wire \blk00000003/sig00000562 ; + wire \blk00000003/sig00000561 ; + wire \blk00000003/sig00000560 ; + wire \blk00000003/sig0000055f ; + wire \blk00000003/sig0000055e ; + wire \blk00000003/sig0000055d ; + wire \blk00000003/sig0000055c ; + wire \blk00000003/sig0000055b ; + wire \blk00000003/sig0000055a ; + wire \blk00000003/sig00000559 ; + wire \blk00000003/sig00000558 ; + wire \blk00000003/sig00000557 ; + wire \blk00000003/sig00000556 ; + wire \blk00000003/sig00000555 ; + wire \blk00000003/sig00000554 ; + wire \blk00000003/sig00000553 ; + wire \blk00000003/sig00000552 ; + wire \blk00000003/sig00000551 ; + wire \blk00000003/sig00000550 ; + wire \blk00000003/sig0000054f ; + wire \blk00000003/sig0000054e ; + wire \blk00000003/sig0000054d ; + wire \blk00000003/sig0000054c ; + wire \blk00000003/sig0000054b ; + wire \blk00000003/sig0000054a ; + wire \blk00000003/sig00000549 ; + wire \blk00000003/sig00000548 ; + wire \blk00000003/sig00000547 ; + wire \blk00000003/sig00000546 ; + wire \blk00000003/sig00000545 ; + wire \blk00000003/sig00000544 ; + wire \blk00000003/sig00000543 ; + wire \blk00000003/sig00000542 ; + wire \blk00000003/sig00000541 ; + wire \blk00000003/sig00000540 ; + wire \blk00000003/sig0000053f ; + wire \blk00000003/sig0000053e ; + wire \blk00000003/sig0000053d ; + wire \blk00000003/sig0000053c ; + wire \blk00000003/sig0000053b ; + wire \blk00000003/sig0000053a ; + wire \blk00000003/sig00000539 ; + wire \blk00000003/sig00000538 ; + wire \blk00000003/sig00000537 ; + wire \blk00000003/sig00000536 ; + wire \blk00000003/sig00000535 ; + wire \blk00000003/sig00000534 ; + wire \blk00000003/sig00000533 ; + wire \blk00000003/sig00000532 ; + wire \blk00000003/sig00000531 ; + wire \blk00000003/sig00000530 ; + wire \blk00000003/sig0000052f ; + wire \blk00000003/sig0000052e ; + wire \blk00000003/sig0000052d ; + wire \blk00000003/sig0000052c ; + wire \blk00000003/sig0000052b ; + wire \blk00000003/sig0000052a ; + wire \blk00000003/sig00000529 ; + wire \blk00000003/sig00000528 ; + wire \blk00000003/sig00000527 ; + wire \blk00000003/sig00000526 ; + wire \blk00000003/sig00000525 ; + wire \blk00000003/sig00000524 ; + wire \blk00000003/sig00000523 ; + wire \blk00000003/sig00000522 ; + wire \blk00000003/sig00000521 ; + wire \blk00000003/sig00000520 ; + wire \blk00000003/sig0000051f ; + wire \blk00000003/sig0000051e ; + wire \blk00000003/sig0000051d ; + wire \blk00000003/sig0000051c ; + wire \blk00000003/sig0000051b ; + wire \blk00000003/sig0000051a ; + wire \blk00000003/sig00000519 ; + wire \blk00000003/sig00000518 ; + wire \blk00000003/sig00000517 ; + wire \blk00000003/sig00000516 ; + wire \blk00000003/sig00000515 ; + wire \blk00000003/sig00000514 ; + wire \blk00000003/sig00000513 ; + wire \blk00000003/sig00000512 ; + wire \blk00000003/sig00000511 ; + wire \blk00000003/sig00000510 ; + wire \blk00000003/sig0000050f ; + wire \blk00000003/sig0000050e ; + wire \blk00000003/sig0000050d ; + wire \blk00000003/sig0000050c ; + wire \blk00000003/sig0000050b ; + wire \blk00000003/sig0000050a ; + wire \blk00000003/sig00000509 ; + wire \blk00000003/sig00000508 ; + wire \blk00000003/sig00000507 ; + wire \blk00000003/sig00000506 ; + wire \blk00000003/sig00000505 ; + wire \blk00000003/sig00000504 ; + wire \blk00000003/sig00000503 ; + wire \blk00000003/sig00000502 ; + wire \blk00000003/sig00000501 ; + wire \blk00000003/sig00000500 ; + wire \blk00000003/sig000004ff ; + wire \blk00000003/sig000004fe ; + wire \blk00000003/sig000004fd ; + wire \blk00000003/sig000004fc ; + wire \blk00000003/sig000004fb ; + wire \blk00000003/sig000004fa ; + wire \blk00000003/sig000004f9 ; + wire \blk00000003/sig000004f8 ; + wire \blk00000003/sig000004f7 ; + wire \blk00000003/sig000004f6 ; + wire \blk00000003/sig000004f5 ; + wire \blk00000003/sig000004f4 ; + wire \blk00000003/sig000004f3 ; + wire \blk00000003/sig000004f2 ; + wire \blk00000003/sig000004f1 ; + wire \blk00000003/sig000004f0 ; + wire \blk00000003/sig000004ef ; + wire \blk00000003/sig000004ee ; + wire \blk00000003/sig000004ed ; + wire \blk00000003/sig000004ec ; + wire \blk00000003/sig000004eb ; + wire \blk00000003/sig000004ea ; + wire \blk00000003/sig000004e9 ; + wire \blk00000003/sig000004e8 ; + wire \blk00000003/sig000004e7 ; + wire \blk00000003/sig000004e6 ; + wire \blk00000003/sig000004e5 ; + wire \blk00000003/sig000004e4 ; + wire \blk00000003/sig000004e3 ; + wire \blk00000003/sig000004e2 ; + wire \blk00000003/sig000004e1 ; + wire \blk00000003/sig000004e0 ; + wire \blk00000003/sig000004df ; + wire \blk00000003/sig000004de ; + wire \blk00000003/sig000004dd ; + wire \blk00000003/sig000004dc ; + wire \blk00000003/sig000004db ; + wire \blk00000003/sig000004da ; + wire \blk00000003/sig000004d9 ; + wire \blk00000003/sig000004d8 ; + wire \blk00000003/sig000004d7 ; + wire \blk00000003/sig000004d6 ; + wire \blk00000003/sig000004d5 ; + wire \blk00000003/sig000004d4 ; + wire \blk00000003/sig000004d3 ; + wire \blk00000003/sig000004d2 ; + wire \blk00000003/sig000004d1 ; + wire \blk00000003/sig000004d0 ; + wire \blk00000003/sig000004cf ; + wire \blk00000003/sig000004ce ; + wire \blk00000003/sig000004cd ; + wire \blk00000003/sig000004cc ; + wire \blk00000003/sig000004cb ; + wire \blk00000003/sig000004ca ; + wire \blk00000003/sig000004c9 ; + wire \blk00000003/sig000004c8 ; + wire \blk00000003/sig000004c7 ; + wire \blk00000003/sig000004c6 ; + wire \blk00000003/sig000004c5 ; + wire \blk00000003/sig000004c4 ; + wire \blk00000003/sig000004c3 ; + wire \blk00000003/sig000004c2 ; + wire \blk00000003/sig000004c1 ; + wire \blk00000003/sig000004c0 ; + wire \blk00000003/sig000004bf ; + wire \blk00000003/sig000004be ; + wire \blk00000003/sig000004bd ; + wire \blk00000003/sig000004bc ; + wire \blk00000003/sig000004bb ; + wire \blk00000003/sig000004ba ; + wire \blk00000003/sig000004b9 ; + wire \blk00000003/sig000004b8 ; + wire \blk00000003/sig000004b7 ; + wire \blk00000003/sig000004b6 ; + wire \blk00000003/sig000004b5 ; + wire \blk00000003/sig000004b4 ; + wire \blk00000003/sig000004b3 ; + wire \blk00000003/sig000004b2 ; + wire \blk00000003/sig000004b1 ; + wire \blk00000003/sig000004b0 ; + wire \blk00000003/sig000004af ; + wire \blk00000003/sig000004ae ; + wire \blk00000003/sig000004ad ; + wire \blk00000003/sig000004ac ; + wire \blk00000003/sig000004ab ; + wire \blk00000003/sig000004aa ; + wire \blk00000003/sig000004a9 ; + wire \blk00000003/sig000004a8 ; + wire \blk00000003/sig000004a7 ; + wire \blk00000003/sig000004a6 ; + wire \blk00000003/sig000004a5 ; + wire \blk00000003/sig000004a4 ; + wire \blk00000003/sig000004a3 ; + wire \blk00000003/sig000004a2 ; + wire \blk00000003/sig000004a1 ; + wire \blk00000003/sig000004a0 ; + wire \blk00000003/sig0000049f ; + wire \blk00000003/sig0000049e ; + wire \blk00000003/sig0000049d ; + wire \blk00000003/sig0000049c ; + wire \blk00000003/sig0000049b ; + wire \blk00000003/sig0000049a ; + wire \blk00000003/sig00000499 ; + wire \blk00000003/sig00000498 ; + wire \blk00000003/sig00000497 ; + wire \blk00000003/sig00000496 ; + wire \blk00000003/sig00000495 ; + wire \blk00000003/sig00000494 ; + wire \blk00000003/sig00000493 ; + wire \blk00000003/sig00000492 ; + wire \blk00000003/sig00000491 ; + wire \blk00000003/sig00000490 ; + wire \blk00000003/sig0000048f ; + wire \blk00000003/sig0000048e ; + wire \blk00000003/sig0000048d ; + wire \blk00000003/sig0000048c ; + wire \blk00000003/sig0000048b ; + wire \blk00000003/sig0000048a ; + wire \blk00000003/sig00000489 ; + wire \blk00000003/sig00000488 ; + wire \blk00000003/sig00000487 ; + wire \blk00000003/sig00000486 ; + wire \blk00000003/sig00000485 ; + wire \blk00000003/sig00000484 ; + wire \blk00000003/sig00000483 ; + wire \blk00000003/sig00000482 ; + wire \blk00000003/sig00000481 ; + wire \blk00000003/sig00000480 ; + wire \blk00000003/sig0000047f ; + wire \blk00000003/sig0000047e ; + wire \blk00000003/sig0000047d ; + wire \blk00000003/sig0000047c ; + wire \blk00000003/sig0000047b ; + wire \blk00000003/sig0000047a ; + wire \blk00000003/sig00000479 ; + wire \blk00000003/sig00000478 ; + wire \blk00000003/sig00000477 ; + wire \blk00000003/sig00000476 ; + wire \blk00000003/sig00000475 ; + wire \blk00000003/sig00000474 ; + wire \blk00000003/sig00000473 ; + wire \blk00000003/sig00000472 ; + wire \blk00000003/sig00000471 ; + wire \blk00000003/sig00000470 ; + wire \blk00000003/sig0000046f ; + wire \blk00000003/sig0000046e ; + wire \blk00000003/sig0000046d ; + wire \blk00000003/sig0000046c ; + wire \blk00000003/sig0000046b ; + wire \blk00000003/sig0000046a ; + wire \blk00000003/sig00000469 ; + wire \blk00000003/sig00000468 ; + wire \blk00000003/sig00000467 ; + wire \blk00000003/sig00000466 ; + wire \blk00000003/sig00000465 ; + wire \blk00000003/sig00000464 ; + wire \blk00000003/sig00000463 ; + wire \blk00000003/sig00000462 ; + wire \blk00000003/sig00000461 ; + wire \blk00000003/sig00000460 ; + wire \blk00000003/sig0000045f ; + wire \blk00000003/sig0000045e ; + wire \blk00000003/sig0000045d ; + wire \blk00000003/sig0000045c ; + wire \blk00000003/sig0000045b ; + wire \blk00000003/sig0000045a ; + wire \blk00000003/sig00000459 ; + wire \blk00000003/sig00000458 ; + wire \blk00000003/sig00000457 ; + wire \blk00000003/sig00000456 ; + wire \blk00000003/sig00000455 ; + wire \blk00000003/sig00000454 ; + wire \blk00000003/sig00000453 ; + wire \blk00000003/sig00000452 ; + wire \blk00000003/sig00000451 ; + wire \blk00000003/sig00000450 ; + wire \blk00000003/sig0000044f ; + wire \blk00000003/sig0000044e ; + wire \blk00000003/sig0000044d ; + wire \blk00000003/sig0000044c ; + wire \blk00000003/sig0000044b ; + wire \blk00000003/sig0000044a ; + wire \blk00000003/sig00000449 ; + wire \blk00000003/sig00000448 ; + wire \blk00000003/sig00000447 ; + wire \blk00000003/sig00000446 ; + wire \blk00000003/sig00000445 ; + wire \blk00000003/sig00000444 ; + wire \blk00000003/sig00000443 ; + wire \blk00000003/sig00000442 ; + wire \blk00000003/sig00000441 ; + wire \blk00000003/sig00000440 ; + wire \blk00000003/sig0000043f ; + wire \blk00000003/sig0000043e ; + wire \blk00000003/sig0000043d ; + wire \blk00000003/sig0000043c ; + wire \blk00000003/sig0000043b ; + wire \blk00000003/sig0000043a ; + wire \blk00000003/sig00000439 ; + wire \blk00000003/sig00000438 ; + wire \blk00000003/sig00000437 ; + wire \blk00000003/sig00000436 ; + wire \blk00000003/sig00000435 ; + wire \blk00000003/sig00000434 ; + wire \blk00000003/sig00000433 ; + wire \blk00000003/sig00000432 ; + wire \blk00000003/sig00000431 ; + wire \blk00000003/sig00000430 ; + wire \blk00000003/sig0000042f ; + wire \blk00000003/sig0000042e ; + wire \blk00000003/sig0000042d ; + wire \blk00000003/sig0000042c ; + wire \blk00000003/sig0000042b ; + wire \blk00000003/sig0000042a ; + wire \blk00000003/sig00000429 ; + wire \blk00000003/sig00000428 ; + wire \blk00000003/sig00000427 ; + wire \blk00000003/sig00000426 ; + wire \blk00000003/sig00000425 ; + wire \blk00000003/sig00000424 ; + wire \blk00000003/sig00000423 ; + wire \blk00000003/sig00000422 ; + wire \blk00000003/sig00000421 ; + wire \blk00000003/sig00000420 ; + wire \blk00000003/sig0000041f ; + wire \blk00000003/sig0000041e ; + wire \blk00000003/sig0000041d ; + wire \blk00000003/sig0000041c ; + wire \blk00000003/sig0000041b ; + wire \blk00000003/sig0000041a ; + wire \blk00000003/sig00000419 ; + wire \blk00000003/sig00000418 ; + wire \blk00000003/sig00000417 ; + wire \blk00000003/sig00000416 ; + wire \blk00000003/sig00000415 ; + wire \blk00000003/sig00000414 ; + wire \blk00000003/sig00000413 ; + wire \blk00000003/sig00000412 ; + wire \blk00000003/sig00000411 ; + wire \blk00000003/sig00000410 ; + wire \blk00000003/sig0000040f ; + wire \blk00000003/sig0000040e ; + wire \blk00000003/sig0000040d ; + wire \blk00000003/sig0000040c ; + wire \blk00000003/sig0000040b ; + wire \blk00000003/sig0000040a ; + wire \blk00000003/sig00000409 ; + wire \blk00000003/sig00000408 ; + wire \blk00000003/sig00000407 ; + wire \blk00000003/sig00000406 ; + wire \blk00000003/sig00000405 ; + wire \blk00000003/sig00000404 ; + wire \blk00000003/sig00000403 ; + wire \blk00000003/sig00000402 ; + wire \blk00000003/sig00000401 ; + wire \blk00000003/sig00000400 ; + wire \blk00000003/sig000003ff ; + wire \blk00000003/sig000003fe ; + wire \blk00000003/sig000003fd ; + wire \blk00000003/sig000003fc ; + wire \blk00000003/sig000003fb ; + wire \blk00000003/sig000003fa ; + wire \blk00000003/sig000003f9 ; + wire \blk00000003/sig000003f8 ; + wire \blk00000003/sig000003f7 ; + wire \blk00000003/sig000003f6 ; + wire \blk00000003/sig000003f5 ; + wire \blk00000003/sig000003f4 ; + wire \blk00000003/sig000003f3 ; + wire \blk00000003/sig000003f2 ; + wire \blk00000003/sig000003f1 ; + wire \blk00000003/sig000003f0 ; + wire \blk00000003/sig000003ef ; + wire \blk00000003/sig000003ee ; + wire \blk00000003/sig000003ed ; + wire \blk00000003/sig000003ec ; + wire \blk00000003/sig000003eb ; + wire \blk00000003/sig000003ea ; + wire \blk00000003/sig000003e9 ; + wire \blk00000003/sig000003e8 ; + wire \blk00000003/sig000003e7 ; + wire \blk00000003/sig000003e6 ; + wire \blk00000003/sig000003e5 ; + wire \blk00000003/sig000003e4 ; + wire \blk00000003/sig000003e3 ; + wire \blk00000003/sig000003e2 ; + wire \blk00000003/sig000003e1 ; + wire \blk00000003/sig000003e0 ; + wire \blk00000003/sig000003df ; + wire \blk00000003/sig000003de ; + wire \blk00000003/sig000003dd ; + wire \blk00000003/sig000003dc ; + wire \blk00000003/sig000003db ; + wire \blk00000003/sig000003da ; + wire \blk00000003/sig000003d9 ; + wire \blk00000003/sig000003d8 ; + wire \blk00000003/sig000003d7 ; + wire \blk00000003/sig000003d6 ; + wire \blk00000003/sig000003d5 ; + wire \blk00000003/sig000003d4 ; + wire \blk00000003/sig000003d3 ; + wire \blk00000003/sig000003d2 ; + wire \blk00000003/sig000003d1 ; + wire \blk00000003/sig000003d0 ; + wire \blk00000003/sig000003cf ; + wire \blk00000003/sig000003ce ; + wire \blk00000003/sig000003cd ; + wire \blk00000003/sig000003cc ; + wire \blk00000003/sig000003cb ; + wire \blk00000003/sig000003ca ; + wire \blk00000003/sig000003c9 ; + wire \blk00000003/sig000003c8 ; + wire \blk00000003/sig000003c7 ; + wire \blk00000003/sig000003c6 ; + wire \blk00000003/sig000003c5 ; + wire \blk00000003/sig000003c4 ; + wire \blk00000003/sig000003c3 ; + wire \blk00000003/sig000003c2 ; + wire \blk00000003/sig000003c1 ; + wire \blk00000003/sig000003c0 ; + wire \blk00000003/sig000003bf ; + wire \blk00000003/sig000003be ; + wire \blk00000003/sig000003bd ; + wire \blk00000003/sig000003bc ; + wire \blk00000003/sig000003bb ; + wire \blk00000003/sig000003ba ; + wire \blk00000003/sig000003b9 ; + wire \blk00000003/sig000003b8 ; + wire \blk00000003/sig000003b7 ; + wire \blk00000003/sig000003b6 ; + wire \blk00000003/sig000003b5 ; + wire \blk00000003/sig000003b4 ; + wire \blk00000003/sig000003b3 ; + wire \blk00000003/sig000003b2 ; + wire \blk00000003/sig000003b1 ; + wire \blk00000003/sig000003b0 ; + wire \blk00000003/sig000003af ; + wire \blk00000003/sig000003ae ; + wire \blk00000003/sig000003ad ; + wire \blk00000003/sig000003ac ; + wire \blk00000003/sig000003ab ; + wire \blk00000003/sig000003aa ; + wire \blk00000003/sig000003a9 ; + wire \blk00000003/sig000003a8 ; + wire \blk00000003/sig000003a7 ; + wire \blk00000003/sig000003a6 ; + wire \blk00000003/sig000003a5 ; + wire \blk00000003/sig000003a4 ; + wire \blk00000003/sig000003a3 ; + wire \blk00000003/sig000003a2 ; + wire \blk00000003/sig000003a1 ; + wire \blk00000003/sig000003a0 ; + wire \blk00000003/sig0000039f ; + wire \blk00000003/sig0000039e ; + wire \blk00000003/sig0000039d ; + wire \blk00000003/sig0000039c ; + wire \blk00000003/sig0000039b ; + wire \blk00000003/sig0000039a ; + wire \blk00000003/sig00000399 ; + wire \blk00000003/sig00000398 ; + wire \blk00000003/sig00000397 ; + wire \blk00000003/sig00000396 ; + wire \blk00000003/sig00000395 ; + wire \blk00000003/sig00000394 ; + wire \blk00000003/sig00000393 ; + wire \blk00000003/sig00000392 ; + wire \blk00000003/sig00000391 ; + wire \blk00000003/sig00000390 ; + wire \blk00000003/sig0000038f ; + wire \blk00000003/sig0000038e ; + wire \blk00000003/sig0000038d ; + wire \blk00000003/sig0000038c ; + wire \blk00000003/sig0000038b ; + wire \blk00000003/sig0000038a ; + wire \blk00000003/sig00000389 ; + wire \blk00000003/sig00000388 ; + wire \blk00000003/sig00000387 ; + wire \blk00000003/sig00000386 ; + wire \blk00000003/sig00000385 ; + wire \blk00000003/sig00000384 ; + wire \blk00000003/sig00000383 ; + wire \blk00000003/sig00000382 ; + wire \blk00000003/sig00000381 ; + wire \blk00000003/sig00000380 ; + wire \blk00000003/sig0000037f ; + wire \blk00000003/sig0000037e ; + wire \blk00000003/sig0000037d ; + wire \blk00000003/sig0000037c ; + wire \blk00000003/sig0000037b ; + wire \blk00000003/sig0000037a ; + wire \blk00000003/sig00000379 ; + wire \blk00000003/sig00000378 ; + wire \blk00000003/sig00000377 ; + wire \blk00000003/sig00000376 ; + wire \blk00000003/sig00000375 ; + wire \blk00000003/sig00000374 ; + wire \blk00000003/sig00000373 ; + wire \blk00000003/sig00000372 ; + wire \blk00000003/sig00000371 ; + wire \blk00000003/sig00000370 ; + wire \blk00000003/sig0000036f ; + wire \blk00000003/sig0000036e ; + wire \blk00000003/sig0000036d ; + wire \blk00000003/sig0000036c ; + wire \blk00000003/sig0000036b ; + wire \blk00000003/sig0000036a ; + wire \blk00000003/sig00000369 ; + wire \blk00000003/sig00000368 ; + wire \blk00000003/sig00000367 ; + wire \blk00000003/sig00000366 ; + wire \blk00000003/sig00000365 ; + wire \blk00000003/sig00000364 ; + wire \blk00000003/sig00000363 ; + wire \blk00000003/sig00000362 ; + wire \blk00000003/sig00000361 ; + wire \blk00000003/sig00000360 ; + wire \blk00000003/sig0000035f ; + wire \blk00000003/sig0000035e ; + wire \blk00000003/sig0000035d ; + wire \blk00000003/sig0000035c ; + wire \blk00000003/sig0000035b ; + wire \blk00000003/sig0000035a ; + wire \blk00000003/sig00000359 ; + wire \blk00000003/sig00000358 ; + wire \blk00000003/sig00000357 ; + wire \blk00000003/sig00000356 ; + wire \blk00000003/sig00000355 ; + wire \blk00000003/sig00000354 ; + wire \blk00000003/sig00000353 ; + wire \blk00000003/sig00000352 ; + wire \blk00000003/sig00000351 ; + wire \blk00000003/sig00000350 ; + wire \blk00000003/sig0000034f ; + wire \blk00000003/sig0000034e ; + wire \blk00000003/sig0000034d ; + wire \blk00000003/sig0000034c ; + wire \blk00000003/sig0000034b ; + wire \blk00000003/sig0000034a ; + wire \blk00000003/sig00000349 ; + wire \blk00000003/sig00000348 ; + wire \blk00000003/sig00000347 ; + wire \blk00000003/sig00000346 ; + wire \blk00000003/sig00000345 ; + wire \blk00000003/sig00000344 ; + wire \blk00000003/sig00000343 ; + wire \blk00000003/sig00000342 ; + wire \blk00000003/sig00000341 ; + wire \blk00000003/sig00000340 ; + wire \blk00000003/sig0000033f ; + wire \blk00000003/sig0000033e ; + wire \blk00000003/sig0000033d ; + wire \blk00000003/sig0000033c ; + wire \blk00000003/sig0000033b ; + wire \blk00000003/sig0000033a ; + wire \blk00000003/sig00000339 ; + wire \blk00000003/sig00000338 ; + wire \blk00000003/sig00000337 ; + wire \blk00000003/sig00000336 ; + wire \blk00000003/sig00000335 ; + wire \blk00000003/sig00000334 ; + wire \blk00000003/sig00000333 ; + wire \blk00000003/sig00000332 ; + wire \blk00000003/sig00000331 ; + wire \blk00000003/sig00000330 ; + wire \blk00000003/sig0000032f ; + wire \blk00000003/sig0000032e ; + wire \blk00000003/sig0000032d ; + wire \blk00000003/sig0000032c ; + wire \blk00000003/sig0000032b ; + wire \blk00000003/sig0000032a ; + wire \blk00000003/sig00000329 ; + wire \blk00000003/sig00000328 ; + wire \blk00000003/sig00000327 ; + wire \blk00000003/sig00000326 ; + wire \blk00000003/sig00000325 ; + wire \blk00000003/sig00000324 ; + wire \blk00000003/sig00000323 ; + wire \blk00000003/sig00000322 ; + wire \blk00000003/sig00000321 ; + wire \blk00000003/sig00000320 ; + wire \blk00000003/sig0000031f ; + wire \blk00000003/sig0000031e ; + wire \blk00000003/sig0000031d ; + wire \blk00000003/sig0000031c ; + wire \blk00000003/sig0000031b ; + wire \blk00000003/sig0000031a ; + wire \blk00000003/sig00000319 ; + wire \blk00000003/sig00000318 ; + wire \blk00000003/sig00000317 ; + wire \blk00000003/sig00000316 ; + wire \blk00000003/sig00000315 ; + wire \blk00000003/sig00000314 ; + wire \blk00000003/sig00000313 ; + wire \blk00000003/sig00000312 ; + wire \blk00000003/sig00000311 ; + wire \blk00000003/sig00000310 ; + wire \blk00000003/sig0000030f ; + wire \blk00000003/sig0000030e ; + wire \blk00000003/sig0000030d ; + wire \blk00000003/sig0000030c ; + wire \blk00000003/sig0000030b ; + wire \blk00000003/sig0000030a ; + wire \blk00000003/sig00000309 ; + wire \blk00000003/sig00000308 ; + wire \blk00000003/sig00000307 ; + wire \blk00000003/sig00000306 ; + wire \blk00000003/sig00000305 ; + wire \blk00000003/sig00000304 ; + wire \blk00000003/sig00000303 ; + wire \blk00000003/sig00000302 ; + wire \blk00000003/sig00000301 ; + wire \blk00000003/sig00000300 ; + wire \blk00000003/sig000002ff ; + wire \blk00000003/sig000002fe ; + wire \blk00000003/sig000002fd ; + wire \blk00000003/sig000002fc ; + wire \blk00000003/sig000002fb ; + wire \blk00000003/sig000002fa ; + wire \blk00000003/sig000002f9 ; + wire \blk00000003/sig000002f8 ; + wire \blk00000003/sig000002f7 ; + wire \blk00000003/sig000002f6 ; + wire \blk00000003/sig000002f5 ; + wire \blk00000003/sig000002f4 ; + wire \blk00000003/sig000002f3 ; + wire \blk00000003/sig000002f2 ; + wire \blk00000003/sig000002f1 ; + wire \blk00000003/sig000002f0 ; + wire \blk00000003/sig000002ef ; + wire \blk00000003/sig000002ee ; + wire \blk00000003/sig000002ed ; + wire \blk00000003/sig000002ec ; + wire \blk00000003/sig000002eb ; + wire \blk00000003/sig000002ea ; + wire \blk00000003/sig000002e9 ; + wire \blk00000003/sig000002e8 ; + wire \blk00000003/sig000002e7 ; + wire \blk00000003/sig000002e6 ; + wire \blk00000003/sig000002e5 ; + wire \blk00000003/sig000002e4 ; + wire \blk00000003/sig000002e3 ; + wire \blk00000003/sig000002e2 ; + wire \blk00000003/sig000002e1 ; + wire \blk00000003/sig000002e0 ; + wire \blk00000003/sig000002df ; + wire \blk00000003/sig000002de ; + wire \blk00000003/sig000002dd ; + wire \blk00000003/sig000002dc ; + wire \blk00000003/sig000002db ; + wire \blk00000003/sig000002da ; + wire \blk00000003/sig000002d9 ; + wire \blk00000003/sig000002d8 ; + wire \blk00000003/sig000002d7 ; + wire \blk00000003/sig000002d6 ; + wire \blk00000003/sig000002d5 ; + wire \blk00000003/sig000002d4 ; + wire \blk00000003/sig000002d3 ; + wire \blk00000003/sig000002d2 ; + wire \blk00000003/sig000002d1 ; + wire \blk00000003/sig000002d0 ; + wire \blk00000003/sig000002cf ; + wire \blk00000003/sig000002ce ; + wire \blk00000003/sig000002cd ; + wire \blk00000003/sig000002cc ; + wire \blk00000003/sig000002cb ; + wire \blk00000003/sig000002ca ; + wire \blk00000003/sig000002c9 ; + wire \blk00000003/sig000002c8 ; + wire \blk00000003/sig000002c7 ; + wire \blk00000003/sig000002c6 ; + wire \blk00000003/sig000002c5 ; + wire \blk00000003/sig000002c4 ; + wire \blk00000003/sig000002c3 ; + wire \blk00000003/sig000002c2 ; + wire \blk00000003/sig000002c1 ; + wire \blk00000003/sig000002c0 ; + wire \blk00000003/sig000002bf ; + wire \blk00000003/sig000002be ; + wire \blk00000003/sig000002bd ; + wire \blk00000003/sig000002bc ; + wire \blk00000003/sig000002bb ; + wire \blk00000003/sig000002ba ; + wire \blk00000003/sig000002b9 ; + wire \blk00000003/sig000002b8 ; + wire \blk00000003/sig000002b7 ; + wire \blk00000003/sig000002b6 ; + wire \blk00000003/sig000002b5 ; + wire \blk00000003/sig000002b4 ; + wire \blk00000003/sig000002b3 ; + wire \blk00000003/sig000002b2 ; + wire \blk00000003/sig000002b1 ; + wire \blk00000003/sig000002b0 ; + wire \blk00000003/sig000002af ; + wire \blk00000003/sig000002ae ; + wire \blk00000003/sig000002ad ; + wire \blk00000003/sig000002ac ; + wire \blk00000003/sig000002ab ; + wire \blk00000003/sig000002aa ; + wire \blk00000003/sig000002a9 ; + wire \blk00000003/sig000002a8 ; + wire \blk00000003/sig000002a7 ; + wire \blk00000003/sig000002a6 ; + wire \blk00000003/sig000002a5 ; + wire \blk00000003/sig000002a4 ; + wire \blk00000003/sig000002a3 ; + wire \blk00000003/sig000002a2 ; + wire \blk00000003/sig000002a1 ; + wire \blk00000003/sig000002a0 ; + wire \blk00000003/sig0000029f ; + wire \blk00000003/sig0000029e ; + wire \blk00000003/sig0000029d ; + wire \blk00000003/sig0000029c ; + wire \blk00000003/sig0000029b ; + wire \blk00000003/sig0000029a ; + wire \blk00000003/sig00000299 ; + wire \blk00000003/sig00000298 ; + wire \blk00000003/sig00000297 ; + wire \blk00000003/sig00000296 ; + wire \blk00000003/sig00000295 ; + wire \blk00000003/sig00000294 ; + wire \blk00000003/sig00000293 ; + wire \blk00000003/sig00000292 ; + wire \blk00000003/sig00000291 ; + wire \blk00000003/sig00000290 ; + wire \blk00000003/sig0000028f ; + wire \blk00000003/sig0000028e ; + wire \blk00000003/sig0000028d ; + wire \blk00000003/sig0000028c ; + wire \blk00000003/sig0000028b ; + wire \blk00000003/sig0000028a ; + wire \blk00000003/sig00000289 ; + wire \blk00000003/sig00000288 ; + wire \blk00000003/sig00000287 ; + wire \blk00000003/sig00000286 ; + wire \blk00000003/sig00000285 ; + wire \blk00000003/sig00000284 ; + wire \blk00000003/sig00000283 ; + wire \blk00000003/sig00000282 ; + wire \blk00000003/sig00000281 ; + wire \blk00000003/sig00000280 ; + wire \blk00000003/sig0000027f ; + wire \blk00000003/sig0000027e ; + wire \blk00000003/sig0000027d ; + wire \blk00000003/sig0000027c ; + wire \blk00000003/sig0000027b ; + wire \blk00000003/sig0000027a ; + wire \blk00000003/sig00000279 ; + wire \blk00000003/sig00000278 ; + wire \blk00000003/sig00000277 ; + wire \blk00000003/sig00000276 ; + wire \blk00000003/sig00000275 ; + wire \blk00000003/sig00000274 ; + wire \blk00000003/sig00000273 ; + wire \blk00000003/sig00000272 ; + wire \blk00000003/sig00000271 ; + wire \blk00000003/sig00000270 ; + wire \blk00000003/sig0000026f ; + wire \blk00000003/sig0000026e ; + wire \blk00000003/sig0000026d ; + wire \blk00000003/sig0000026c ; + wire \blk00000003/sig0000026b ; + wire \blk00000003/sig0000026a ; + wire \blk00000003/sig00000269 ; + wire \blk00000003/sig00000268 ; + wire \blk00000003/sig00000267 ; + wire \blk00000003/sig00000266 ; + wire \blk00000003/sig00000265 ; + wire \blk00000003/sig00000264 ; + wire \blk00000003/sig00000263 ; + wire \blk00000003/sig00000262 ; + wire \blk00000003/sig00000261 ; + wire \blk00000003/sig00000260 ; + wire \blk00000003/sig0000025f ; + wire \blk00000003/sig0000025e ; + wire \blk00000003/sig0000025d ; + wire \blk00000003/sig0000025c ; + wire \blk00000003/sig0000025b ; + wire \blk00000003/sig0000025a ; + wire \blk00000003/sig00000259 ; + wire \blk00000003/sig00000258 ; + wire \blk00000003/sig00000257 ; + wire \blk00000003/sig00000256 ; + wire \blk00000003/sig00000255 ; + wire \blk00000003/sig00000254 ; + wire \blk00000003/sig00000253 ; + wire \blk00000003/sig00000252 ; + wire \blk00000003/sig00000251 ; + wire \blk00000003/sig00000250 ; + wire \blk00000003/sig0000024f ; + wire \blk00000003/sig0000024e ; + wire \blk00000003/sig0000024d ; + wire \blk00000003/sig0000024c ; + wire \blk00000003/sig0000024b ; + wire \blk00000003/sig0000024a ; + wire \blk00000003/sig00000249 ; + wire \blk00000003/sig00000248 ; + wire \blk00000003/sig00000247 ; + wire \blk00000003/sig00000246 ; + wire \blk00000003/sig00000245 ; + wire \blk00000003/sig00000244 ; + wire \blk00000003/sig00000243 ; + wire \blk00000003/sig00000242 ; + wire \blk00000003/sig00000241 ; + wire \blk00000003/sig00000240 ; + wire \blk00000003/sig0000023f ; + wire \blk00000003/sig0000023e ; + wire \blk00000003/sig0000023d ; + wire \blk00000003/sig0000023c ; + wire \blk00000003/sig0000023b ; + wire \blk00000003/sig0000023a ; + wire \blk00000003/sig00000239 ; + wire \blk00000003/sig00000238 ; + wire \blk00000003/sig00000237 ; + wire \blk00000003/sig00000236 ; + wire \blk00000003/sig00000235 ; + wire \blk00000003/sig00000234 ; + wire \blk00000003/sig00000233 ; + wire \blk00000003/sig00000232 ; + wire \blk00000003/sig00000231 ; + wire \blk00000003/sig00000230 ; + wire \blk00000003/sig0000022f ; + wire \blk00000003/sig0000022e ; + wire \blk00000003/sig0000022d ; + wire \blk00000003/sig0000022c ; + wire \blk00000003/sig0000022b ; + wire \blk00000003/sig0000022a ; + wire \blk00000003/sig00000229 ; + wire \blk00000003/sig00000228 ; + wire \blk00000003/sig00000227 ; + wire \blk00000003/sig00000226 ; + wire \blk00000003/sig00000225 ; + wire \blk00000003/sig00000224 ; + wire \blk00000003/sig00000223 ; + wire \blk00000003/sig00000222 ; + wire \blk00000003/sig00000221 ; + wire \blk00000003/sig00000220 ; + wire \blk00000003/sig0000021f ; + wire \blk00000003/sig0000021e ; + wire \blk00000003/sig0000021d ; + wire \blk00000003/sig0000021c ; + wire \blk00000003/sig0000021b ; + wire \blk00000003/sig0000021a ; + wire \blk00000003/sig00000219 ; + wire \blk00000003/sig00000218 ; + wire \blk00000003/sig00000217 ; + wire \blk00000003/sig00000216 ; + wire \blk00000003/sig00000215 ; + wire \blk00000003/sig00000214 ; + wire \blk00000003/sig00000213 ; + wire \blk00000003/sig00000212 ; + wire \blk00000003/sig00000211 ; + wire \blk00000003/sig00000210 ; + wire \blk00000003/sig0000020f ; + wire \blk00000003/sig0000020e ; + wire \blk00000003/sig0000020d ; + wire \blk00000003/sig0000020c ; + wire \blk00000003/sig0000020b ; + wire \blk00000003/sig0000020a ; + wire \blk00000003/sig00000209 ; + wire \blk00000003/sig00000208 ; + wire \blk00000003/sig00000207 ; + wire \blk00000003/sig00000206 ; + wire \blk00000003/sig00000205 ; + wire \blk00000003/sig00000204 ; + wire \blk00000003/sig00000203 ; + wire \blk00000003/sig00000202 ; + wire \blk00000003/sig00000201 ; + wire \blk00000003/sig00000200 ; + wire \blk00000003/sig000001ff ; + wire \blk00000003/sig000001fe ; + wire \blk00000003/sig000001fd ; + wire \blk00000003/sig000001fc ; + wire \blk00000003/sig000001fb ; + wire \blk00000003/sig000001fa ; + wire \blk00000003/sig000001f9 ; + wire \blk00000003/sig000001f8 ; + wire \blk00000003/sig000001f7 ; + wire \blk00000003/sig000001f6 ; + wire \blk00000003/sig000001f5 ; + wire \blk00000003/sig000001f4 ; + wire \blk00000003/sig000001f3 ; + wire \blk00000003/sig000001f2 ; + wire \blk00000003/sig000001f1 ; + wire \blk00000003/sig000001f0 ; + wire \blk00000003/sig000001ef ; + wire \blk00000003/sig000001ee ; + wire \blk00000003/sig000001ed ; + wire \blk00000003/sig000001ec ; + wire \blk00000003/sig000001eb ; + wire \blk00000003/sig000001ea ; + wire \blk00000003/sig000001e9 ; + wire \blk00000003/sig000001e8 ; + wire \blk00000003/sig000001e7 ; + wire \blk00000003/sig000001e6 ; + wire \blk00000003/sig000001e5 ; + wire \blk00000003/sig000001e4 ; + wire \blk00000003/sig000001e3 ; + wire \blk00000003/sig000001e2 ; + wire \blk00000003/sig000001e1 ; + wire \blk00000003/sig000001e0 ; + wire \blk00000003/sig000001df ; + wire \blk00000003/sig000001de ; + wire \blk00000003/sig000001dd ; + wire \blk00000003/sig000001dc ; + wire \blk00000003/sig000001db ; + wire \blk00000003/sig000001da ; + wire \blk00000003/sig000001d9 ; + wire \blk00000003/sig000001d8 ; + wire \blk00000003/sig000001d7 ; + wire \blk00000003/sig000001d6 ; + wire \blk00000003/sig000001d5 ; + wire \blk00000003/sig000001d4 ; + wire \blk00000003/sig000001d3 ; + wire \blk00000003/sig000001d2 ; + wire \blk00000003/sig000001d1 ; + wire \blk00000003/sig000001d0 ; + wire \blk00000003/sig000001cf ; + wire \blk00000003/sig000001ce ; + wire \blk00000003/sig000001cd ; + wire \blk00000003/sig000001cc ; + wire \blk00000003/sig000001cb ; + wire \blk00000003/sig000001ca ; + wire \blk00000003/sig000001c9 ; + wire \blk00000003/sig000001c8 ; + wire \blk00000003/sig000001c7 ; + wire \blk00000003/sig000001c6 ; + wire \blk00000003/sig000001c5 ; + wire \blk00000003/sig000001c4 ; + wire \blk00000003/sig000001c3 ; + wire \blk00000003/sig000001c2 ; + wire \blk00000003/sig000001c1 ; + wire \blk00000003/sig000001c0 ; + wire \blk00000003/sig000001bf ; + wire \blk00000003/sig000001be ; + wire \blk00000003/sig000001bd ; + wire \blk00000003/sig000001bc ; + wire \blk00000003/sig000001bb ; + wire \blk00000003/sig000001ba ; + wire \blk00000003/sig000001b9 ; + wire \blk00000003/sig000001b8 ; + wire \blk00000003/sig000001b7 ; + wire \blk00000003/sig000001b6 ; + wire \blk00000003/sig000001b5 ; + wire \blk00000003/sig000001b4 ; + wire \blk00000003/sig000001b3 ; + wire \blk00000003/sig000001b2 ; + wire \blk00000003/sig000001b1 ; + wire \blk00000003/sig000001b0 ; + wire \blk00000003/sig000001af ; + wire \blk00000003/sig000001ae ; + wire \blk00000003/sig000001ad ; + wire \blk00000003/sig000001ac ; + wire \blk00000003/sig000001ab ; + wire \blk00000003/sig000001aa ; + wire \blk00000003/sig000001a9 ; + wire \blk00000003/sig000001a8 ; + wire \blk00000003/sig000001a7 ; + wire \blk00000003/sig000001a6 ; + wire \blk00000003/sig000001a5 ; + wire \blk00000003/sig000001a4 ; + wire \blk00000003/sig000001a3 ; + wire \blk00000003/sig000001a2 ; + wire \blk00000003/sig000001a1 ; + wire \blk00000003/sig000001a0 ; + wire \blk00000003/sig0000019f ; + wire \blk00000003/sig0000019e ; + wire \blk00000003/sig0000019d ; + wire \blk00000003/sig0000019c ; + wire \blk00000003/sig0000019b ; + wire \blk00000003/sig0000019a ; + wire \blk00000003/sig00000199 ; + wire \blk00000003/sig00000198 ; + wire \blk00000003/sig00000197 ; + wire \blk00000003/sig00000196 ; + wire \blk00000003/sig00000195 ; + wire \blk00000003/sig00000194 ; + wire \blk00000003/sig00000193 ; + wire \blk00000003/sig00000192 ; + wire \blk00000003/sig00000191 ; + wire \blk00000003/sig00000190 ; + wire \blk00000003/sig0000018f ; + wire \blk00000003/sig0000018e ; + wire \blk00000003/sig0000018d ; + wire \blk00000003/sig0000018c ; + wire \blk00000003/sig0000018b ; + wire \blk00000003/sig0000018a ; + wire \blk00000003/sig00000189 ; + wire \blk00000003/sig00000188 ; + wire \blk00000003/sig00000187 ; + wire \blk00000003/sig00000186 ; + wire \blk00000003/sig00000185 ; + wire \blk00000003/sig00000184 ; + wire \blk00000003/sig00000183 ; + wire \blk00000003/sig00000182 ; + wire \blk00000003/sig00000181 ; + wire \blk00000003/sig00000180 ; + wire \blk00000003/sig0000017f ; + wire \blk00000003/sig0000017e ; + wire \blk00000003/sig0000017d ; + wire \blk00000003/sig0000017c ; + wire \blk00000003/sig0000017b ; + wire \blk00000003/sig0000017a ; + wire \blk00000003/sig00000179 ; + wire \blk00000003/sig00000178 ; + wire \blk00000003/sig00000177 ; + wire \blk00000003/sig00000176 ; + wire \blk00000003/sig00000175 ; + wire \blk00000003/sig00000174 ; + wire \blk00000003/sig00000173 ; + wire \blk00000003/sig00000172 ; + wire \blk00000003/sig00000171 ; + wire \blk00000003/sig00000170 ; + wire \blk00000003/sig0000016f ; + wire \blk00000003/sig0000016e ; + wire \blk00000003/sig0000016d ; + wire \blk00000003/sig0000016c ; + wire \blk00000003/sig0000016b ; + wire \blk00000003/sig0000016a ; + wire \blk00000003/sig00000169 ; + wire \blk00000003/sig00000168 ; + wire \blk00000003/sig00000167 ; + wire \blk00000003/sig00000166 ; + wire \blk00000003/sig00000165 ; + wire \blk00000003/sig00000164 ; + wire \blk00000003/sig00000163 ; + wire \blk00000003/sig00000162 ; + wire \blk00000003/sig00000161 ; + wire \blk00000003/sig00000160 ; + wire \blk00000003/sig0000015f ; + wire \blk00000003/sig0000015e ; + wire \blk00000003/sig0000015d ; + wire \blk00000003/sig0000015c ; + wire \blk00000003/sig0000015b ; + wire \blk00000003/sig0000015a ; + wire \blk00000003/sig00000159 ; + wire \blk00000003/sig00000158 ; + wire \blk00000003/sig00000157 ; + wire \blk00000003/sig00000156 ; + wire \blk00000003/sig00000155 ; + wire \blk00000003/sig00000154 ; + wire \blk00000003/sig00000153 ; + wire \blk00000003/sig00000152 ; + wire \blk00000003/sig00000151 ; + wire \blk00000003/sig00000150 ; + wire \blk00000003/sig0000014f ; + wire \blk00000003/sig0000014e ; + wire \blk00000003/sig0000014d ; + wire \blk00000003/sig0000014c ; + wire \blk00000003/sig0000014b ; + wire \blk00000003/sig0000014a ; + wire \blk00000003/sig00000149 ; + wire \blk00000003/sig00000148 ; + wire \blk00000003/sig00000147 ; + wire \blk00000003/sig00000146 ; + wire \blk00000003/sig00000145 ; + wire \blk00000003/sig00000144 ; + wire \blk00000003/sig00000143 ; + wire \blk00000003/sig00000142 ; + wire \blk00000003/sig00000141 ; + wire \blk00000003/sig00000140 ; + wire \blk00000003/sig0000013f ; + wire \blk00000003/sig0000013e ; + wire \blk00000003/sig0000013d ; + wire \blk00000003/sig0000013c ; + wire \blk00000003/sig0000013b ; + wire \blk00000003/sig0000013a ; + wire \blk00000003/sig00000139 ; + wire \blk00000003/sig00000138 ; + wire \blk00000003/sig00000137 ; + wire \blk00000003/sig00000136 ; + wire \blk00000003/sig00000135 ; + wire \blk00000003/sig00000134 ; + wire \blk00000003/sig00000133 ; + wire \blk00000003/sig00000132 ; + wire \blk00000003/sig00000131 ; + wire \blk00000003/sig00000130 ; + wire \blk00000003/sig0000012f ; + wire \blk00000003/sig0000012e ; + wire \blk00000003/sig0000012d ; + wire \blk00000003/sig0000012c ; + wire \blk00000003/sig0000012b ; + wire \blk00000003/sig0000012a ; + wire \blk00000003/sig00000129 ; + wire \blk00000003/sig00000128 ; + wire \blk00000003/sig00000127 ; + wire \blk00000003/sig00000126 ; + wire \blk00000003/sig00000125 ; + wire \blk00000003/sig00000124 ; + wire \blk00000003/sig00000123 ; + wire \blk00000003/sig00000122 ; + wire \blk00000003/sig00000121 ; + wire \blk00000003/sig00000120 ; + wire \blk00000003/sig0000011f ; + wire \blk00000003/sig0000011e ; + wire \blk00000003/sig0000011d ; + wire \blk00000003/sig0000011c ; + wire \blk00000003/sig0000011b ; + wire \blk00000003/sig0000011a ; + wire \blk00000003/sig00000119 ; + wire \blk00000003/sig00000118 ; + wire \blk00000003/sig00000117 ; + wire \blk00000003/sig00000116 ; + wire \blk00000003/sig00000115 ; + wire \blk00000003/sig00000114 ; + wire \blk00000003/sig00000113 ; + wire \blk00000003/sig00000112 ; + wire \blk00000003/sig00000111 ; + wire \blk00000003/sig00000110 ; + wire \blk00000003/sig0000010f ; + wire \blk00000003/sig0000010e ; + wire \blk00000003/sig0000010d ; + wire \blk00000003/sig0000010c ; + wire \blk00000003/sig0000010b ; + wire \blk00000003/sig0000010a ; + wire \blk00000003/sig00000109 ; + wire \blk00000003/sig00000108 ; + wire \blk00000003/sig00000107 ; + wire \blk00000003/sig00000106 ; + wire \blk00000003/sig00000105 ; + wire \blk00000003/sig00000104 ; + wire \blk00000003/sig00000103 ; + wire \blk00000003/sig00000102 ; + wire \blk00000003/sig00000101 ; + wire \blk00000003/sig00000100 ; + wire \blk00000003/sig000000ff ; + wire \blk00000003/sig000000fe ; + wire \blk00000003/sig000000fd ; + wire \blk00000003/sig000000fc ; + wire \blk00000003/sig000000fb ; + wire \blk00000003/sig000000fa ; + wire \blk00000003/sig000000f9 ; + wire \blk00000003/sig000000f8 ; + wire \blk00000003/sig000000f7 ; + wire \blk00000003/sig000000f6 ; + wire \blk00000003/sig000000f5 ; + wire \blk00000003/sig000000f4 ; + wire \blk00000003/sig000000f3 ; + wire \blk00000003/sig000000f2 ; + wire \blk00000003/sig000000f1 ; + wire \blk00000003/sig000000f0 ; + wire \blk00000003/sig000000ef ; + wire \blk00000003/sig000000ee ; + wire \blk00000003/sig000000ed ; + wire \blk00000003/sig000000ec ; + wire \blk00000003/sig000000eb ; + wire \blk00000003/sig000000ea ; + wire \blk00000003/sig000000e9 ; + wire \blk00000003/sig000000e8 ; + wire \blk00000003/sig000000e7 ; + wire \blk00000003/sig000000e6 ; + wire \blk00000003/sig000000e5 ; + wire \blk00000003/sig000000e4 ; + wire \blk00000003/sig000000e3 ; + wire \blk00000003/sig000000e2 ; + wire \blk00000003/sig000000e1 ; + wire \blk00000003/sig000000e0 ; + wire \blk00000003/sig000000df ; + wire \blk00000003/sig000000de ; + wire \blk00000003/sig000000dd ; + wire \blk00000003/sig000000dc ; + wire \blk00000003/sig000000db ; + wire \blk00000003/sig000000da ; + wire \blk00000003/sig000000d9 ; + wire \blk00000003/sig000000d8 ; + wire \blk00000003/sig000000d7 ; + wire \blk00000003/sig000000d6 ; + wire \blk00000003/sig000000d5 ; + wire \blk00000003/sig000000d4 ; + wire \blk00000003/sig000000d3 ; + wire \blk00000003/sig000000d2 ; + wire \blk00000003/sig000000d1 ; + wire \blk00000003/sig000000d0 ; + wire \blk00000003/sig000000cf ; + wire \blk00000003/sig000000ce ; + wire \blk00000003/sig000000cd ; + wire \blk00000003/sig000000cc ; + wire \blk00000003/sig000000cb ; + wire \blk00000003/sig000000ca ; + wire \blk00000003/sig000000c9 ; + wire \blk00000003/sig000000c8 ; + wire \blk00000003/sig000000c7 ; + wire \blk00000003/sig000000c6 ; + wire \blk00000003/sig000000c5 ; + wire \blk00000003/sig000000c4 ; + wire \blk00000003/sig000000c3 ; + wire \blk00000003/sig000000c2 ; + wire \blk00000003/sig000000c1 ; + wire \blk00000003/sig000000c0 ; + wire \blk00000003/sig000000bf ; + wire \blk00000003/sig000000be ; + wire \blk00000003/sig000000bd ; + wire \blk00000003/sig000000bc ; + wire \blk00000003/sig000000bb ; + wire \blk00000003/sig000000ba ; + wire \blk00000003/sig000000b9 ; + wire \blk00000003/sig000000b8 ; + wire \blk00000003/sig000000b7 ; + wire \blk00000003/sig000000b6 ; + wire \blk00000003/sig000000b5 ; + wire \blk00000003/sig000000b4 ; + wire \blk00000003/sig000000b3 ; + wire \blk00000003/sig000000b2 ; + wire \blk00000003/sig000000b1 ; + wire \blk00000003/sig000000b0 ; + wire \blk00000003/sig000000af ; + wire \blk00000003/sig000000ae ; + wire \blk00000003/sig000000ad ; + wire \blk00000003/sig000000ac ; + wire \blk00000003/sig0000004a ; + wire \blk00000003/sig00000049 ; + wire \blk00000003/blk00000034/sig000009d5 ; + wire \blk00000003/blk00000034/sig000009d4 ; + wire \blk00000003/blk00000034/sig000009d3 ; + wire \blk00000003/blk00000034/sig000009d2 ; + wire \blk00000003/blk00000034/sig000009d1 ; + wire \blk00000003/blk00000034/sig000009d0 ; + wire \blk00000003/blk00000034/sig000009cf ; + wire \blk00000003/blk00000034/sig000009ce ; + wire \blk00000003/blk00000034/sig000009cd ; + wire \blk00000003/blk00000034/sig000009cc ; + wire \blk00000003/blk00000034/sig000009cb ; + wire \blk00000003/blk00000034/sig000009ca ; + wire \blk00000003/blk00000034/sig000009c9 ; + wire \blk00000003/blk00000034/sig000009c8 ; + wire \blk00000003/blk00000034/sig000009c7 ; + wire \blk00000003/blk00000034/sig000009c6 ; + wire \blk00000003/blk00000034/sig000009c5 ; + wire \blk00000003/blk00000034/sig000009c4 ; + wire \blk00000003/blk00000034/sig000009c3 ; + wire \blk00000003/blk00000034/sig000009c2 ; + wire \blk00000003/blk00000034/sig000009c1 ; + wire \blk00000003/blk00000034/sig000009c0 ; + wire \blk00000003/blk00000034/sig000009bf ; + wire \blk00000003/blk00000034/sig000009be ; + wire \blk00000003/blk00000034/sig000009bd ; + wire \blk00000003/blk00000034/sig000009bc ; + wire \blk00000003/blk00000034/sig000009bb ; + wire \blk00000003/blk00000034/sig000009ba ; + wire \blk00000003/blk00000034/sig000009b9 ; + wire \blk00000003/blk00000034/sig000009b8 ; + wire \blk00000003/blk00000034/sig000009b7 ; + wire \blk00000003/blk00000034/sig000009b6 ; + wire \blk00000003/blk00000034/sig000009b5 ; + wire \blk00000003/blk00000034/sig000009b4 ; + wire \blk00000003/blk00000034/sig000009b3 ; + wire \blk00000003/blk00000034/sig000009b2 ; + wire \blk00000003/blk00000034/sig000009b1 ; + wire \blk00000003/blk00000034/sig000009b0 ; + wire \blk00000003/blk00000034/sig000009af ; + wire \blk00000003/blk00000034/sig000009ae ; + wire \blk00000003/blk00000034/sig000009ad ; + wire \blk00000003/blk00000034/sig000009ac ; + wire \blk00000003/blk00000034/sig000009ab ; + wire \blk00000003/blk00000034/sig000009aa ; + wire \blk00000003/blk00000034/sig000009a9 ; + wire \blk00000003/blk00000034/sig000009a8 ; + wire \blk00000003/blk00000034/sig000009a7 ; + wire \blk00000003/blk00000034/sig000009a6 ; + wire \blk00000003/blk00000034/sig000009a5 ; + wire \blk00000003/blk00000034/sig000009a4 ; + wire \blk00000003/blk00000130/sig00000a0c ; + wire \blk00000003/blk00000130/sig00000a0b ; + wire \blk00000003/blk00000130/sig00000a0a ; + wire \blk00000003/blk00000130/sig00000a09 ; + wire \blk00000003/blk00000130/sig00000a08 ; + wire \blk00000003/blk00000130/sig00000a07 ; + wire \blk00000003/blk00000130/sig00000a06 ; + wire \blk00000003/blk00000130/sig00000a05 ; + wire \blk00000003/blk00000130/sig00000a04 ; + wire \blk00000003/blk00000130/sig00000a03 ; + wire \blk00000003/blk00000130/sig00000a02 ; + wire \blk00000003/blk00000130/sig00000a01 ; + wire \blk00000003/blk00000130/sig00000a00 ; + wire \blk00000003/blk00000130/sig000009ff ; + wire \blk00000003/blk00000130/sig000009fe ; + wire \blk00000003/blk00000130/sig000009fd ; + wire \blk00000003/blk00000130/sig000009fc ; + wire \blk00000003/blk00000130/sig000009fb ; + wire \blk00000003/blk00000153/sig00000a43 ; + wire \blk00000003/blk00000153/sig00000a42 ; + wire \blk00000003/blk00000153/sig00000a41 ; + wire \blk00000003/blk00000153/sig00000a40 ; + wire \blk00000003/blk00000153/sig00000a3f ; + wire \blk00000003/blk00000153/sig00000a3e ; + wire \blk00000003/blk00000153/sig00000a3d ; + wire \blk00000003/blk00000153/sig00000a3c ; + wire \blk00000003/blk00000153/sig00000a3b ; + wire \blk00000003/blk00000153/sig00000a3a ; + wire \blk00000003/blk00000153/sig00000a39 ; + wire \blk00000003/blk00000153/sig00000a38 ; + wire \blk00000003/blk00000153/sig00000a37 ; + wire \blk00000003/blk00000153/sig00000a36 ; + wire \blk00000003/blk00000153/sig00000a35 ; + wire \blk00000003/blk00000153/sig00000a34 ; + wire \blk00000003/blk00000153/sig00000a33 ; + wire \blk00000003/blk00000153/sig00000a32 ; + wire \blk00000003/blk00000176/sig00000a7a ; + wire \blk00000003/blk00000176/sig00000a79 ; + wire \blk00000003/blk00000176/sig00000a78 ; + wire \blk00000003/blk00000176/sig00000a77 ; + wire \blk00000003/blk00000176/sig00000a76 ; + wire \blk00000003/blk00000176/sig00000a75 ; + wire \blk00000003/blk00000176/sig00000a74 ; + wire \blk00000003/blk00000176/sig00000a73 ; + wire \blk00000003/blk00000176/sig00000a72 ; + wire \blk00000003/blk00000176/sig00000a71 ; + wire \blk00000003/blk00000176/sig00000a70 ; + wire \blk00000003/blk00000176/sig00000a6f ; + wire \blk00000003/blk00000176/sig00000a6e ; + wire \blk00000003/blk00000176/sig00000a6d ; + wire \blk00000003/blk00000176/sig00000a6c ; + wire \blk00000003/blk00000176/sig00000a6b ; + wire \blk00000003/blk00000176/sig00000a6a ; + wire \blk00000003/blk00000176/sig00000a69 ; + wire \blk00000003/blk00000199/sig00000ab1 ; + wire \blk00000003/blk00000199/sig00000ab0 ; + wire \blk00000003/blk00000199/sig00000aaf ; + wire \blk00000003/blk00000199/sig00000aae ; + wire \blk00000003/blk00000199/sig00000aad ; + wire \blk00000003/blk00000199/sig00000aac ; + wire \blk00000003/blk00000199/sig00000aab ; + wire \blk00000003/blk00000199/sig00000aaa ; + wire \blk00000003/blk00000199/sig00000aa9 ; + wire \blk00000003/blk00000199/sig00000aa8 ; + wire \blk00000003/blk00000199/sig00000aa7 ; + wire \blk00000003/blk00000199/sig00000aa6 ; + wire \blk00000003/blk00000199/sig00000aa5 ; + wire \blk00000003/blk00000199/sig00000aa4 ; + wire \blk00000003/blk00000199/sig00000aa3 ; + wire \blk00000003/blk00000199/sig00000aa2 ; + wire \blk00000003/blk00000199/sig00000aa1 ; + wire \blk00000003/blk00000199/sig00000aa0 ; + wire \blk00000003/blk000001bc/sig00000ae8 ; + wire \blk00000003/blk000001bc/sig00000ae7 ; + wire \blk00000003/blk000001bc/sig00000ae6 ; + wire \blk00000003/blk000001bc/sig00000ae5 ; + wire \blk00000003/blk000001bc/sig00000ae4 ; + wire \blk00000003/blk000001bc/sig00000ae3 ; + wire \blk00000003/blk000001bc/sig00000ae2 ; + wire \blk00000003/blk000001bc/sig00000ae1 ; + wire \blk00000003/blk000001bc/sig00000ae0 ; + wire \blk00000003/blk000001bc/sig00000adf ; + wire \blk00000003/blk000001bc/sig00000ade ; + wire \blk00000003/blk000001bc/sig00000add ; + wire \blk00000003/blk000001bc/sig00000adc ; + wire \blk00000003/blk000001bc/sig00000adb ; + wire \blk00000003/blk000001bc/sig00000ada ; + wire \blk00000003/blk000001bc/sig00000ad9 ; + wire \blk00000003/blk000001bc/sig00000ad8 ; + wire \blk00000003/blk000001bc/sig00000ad7 ; + wire \blk00000003/blk000001df/sig00000b1f ; + wire \blk00000003/blk000001df/sig00000b1e ; + wire \blk00000003/blk000001df/sig00000b1d ; + wire \blk00000003/blk000001df/sig00000b1c ; + wire \blk00000003/blk000001df/sig00000b1b ; + wire \blk00000003/blk000001df/sig00000b1a ; + wire \blk00000003/blk000001df/sig00000b19 ; + wire \blk00000003/blk000001df/sig00000b18 ; + wire \blk00000003/blk000001df/sig00000b17 ; + wire \blk00000003/blk000001df/sig00000b16 ; + wire \blk00000003/blk000001df/sig00000b15 ; + wire \blk00000003/blk000001df/sig00000b14 ; + wire \blk00000003/blk000001df/sig00000b13 ; + wire \blk00000003/blk000001df/sig00000b12 ; + wire \blk00000003/blk000001df/sig00000b11 ; + wire \blk00000003/blk000001df/sig00000b10 ; + wire \blk00000003/blk000001df/sig00000b0f ; + wire \blk00000003/blk000001df/sig00000b0e ; + wire \blk00000003/blk00000202/sig00000b56 ; + wire \blk00000003/blk00000202/sig00000b55 ; + wire \blk00000003/blk00000202/sig00000b54 ; + wire \blk00000003/blk00000202/sig00000b53 ; + wire \blk00000003/blk00000202/sig00000b52 ; + wire \blk00000003/blk00000202/sig00000b51 ; + wire \blk00000003/blk00000202/sig00000b50 ; + wire \blk00000003/blk00000202/sig00000b4f ; + wire \blk00000003/blk00000202/sig00000b4e ; + wire \blk00000003/blk00000202/sig00000b4d ; + wire \blk00000003/blk00000202/sig00000b4c ; + wire \blk00000003/blk00000202/sig00000b4b ; + wire \blk00000003/blk00000202/sig00000b4a ; + wire \blk00000003/blk00000202/sig00000b49 ; + wire \blk00000003/blk00000202/sig00000b48 ; + wire \blk00000003/blk00000202/sig00000b47 ; + wire \blk00000003/blk00000202/sig00000b46 ; + wire \blk00000003/blk00000202/sig00000b45 ; + wire \blk00000003/blk00000225/sig00000b8d ; + wire \blk00000003/blk00000225/sig00000b8c ; + wire \blk00000003/blk00000225/sig00000b8b ; + wire \blk00000003/blk00000225/sig00000b8a ; + wire \blk00000003/blk00000225/sig00000b89 ; + wire \blk00000003/blk00000225/sig00000b88 ; + wire \blk00000003/blk00000225/sig00000b87 ; + wire \blk00000003/blk00000225/sig00000b86 ; + wire \blk00000003/blk00000225/sig00000b85 ; + wire \blk00000003/blk00000225/sig00000b84 ; + wire \blk00000003/blk00000225/sig00000b83 ; + wire \blk00000003/blk00000225/sig00000b82 ; + wire \blk00000003/blk00000225/sig00000b81 ; + wire \blk00000003/blk00000225/sig00000b80 ; + wire \blk00000003/blk00000225/sig00000b7f ; + wire \blk00000003/blk00000225/sig00000b7e ; + wire \blk00000003/blk00000225/sig00000b7d ; + wire \blk00000003/blk00000225/sig00000b7c ; + wire \blk00000003/blk00000248/sig00000bc4 ; + wire \blk00000003/blk00000248/sig00000bc3 ; + wire \blk00000003/blk00000248/sig00000bc2 ; + wire \blk00000003/blk00000248/sig00000bc1 ; + wire \blk00000003/blk00000248/sig00000bc0 ; + wire \blk00000003/blk00000248/sig00000bbf ; + wire \blk00000003/blk00000248/sig00000bbe ; + wire \blk00000003/blk00000248/sig00000bbd ; + wire \blk00000003/blk00000248/sig00000bbc ; + wire \blk00000003/blk00000248/sig00000bbb ; + wire \blk00000003/blk00000248/sig00000bba ; + wire \blk00000003/blk00000248/sig00000bb9 ; + wire \blk00000003/blk00000248/sig00000bb8 ; + wire \blk00000003/blk00000248/sig00000bb7 ; + wire \blk00000003/blk00000248/sig00000bb6 ; + wire \blk00000003/blk00000248/sig00000bb5 ; + wire \blk00000003/blk00000248/sig00000bb4 ; + wire \blk00000003/blk00000248/sig00000bb3 ; + wire \blk00000003/blk0000026b/sig00000bfb ; + wire \blk00000003/blk0000026b/sig00000bfa ; + wire \blk00000003/blk0000026b/sig00000bf9 ; + wire \blk00000003/blk0000026b/sig00000bf8 ; + wire \blk00000003/blk0000026b/sig00000bf7 ; + wire \blk00000003/blk0000026b/sig00000bf6 ; + wire \blk00000003/blk0000026b/sig00000bf5 ; + wire \blk00000003/blk0000026b/sig00000bf4 ; + wire \blk00000003/blk0000026b/sig00000bf3 ; + wire \blk00000003/blk0000026b/sig00000bf2 ; + wire \blk00000003/blk0000026b/sig00000bf1 ; + wire \blk00000003/blk0000026b/sig00000bf0 ; + wire \blk00000003/blk0000026b/sig00000bef ; + wire \blk00000003/blk0000026b/sig00000bee ; + wire \blk00000003/blk0000026b/sig00000bed ; + wire \blk00000003/blk0000026b/sig00000bec ; + wire \blk00000003/blk0000026b/sig00000beb ; + wire \blk00000003/blk0000026b/sig00000bea ; + wire \blk00000003/blk0000028e/sig00000c32 ; + wire \blk00000003/blk0000028e/sig00000c31 ; + wire \blk00000003/blk0000028e/sig00000c30 ; + wire \blk00000003/blk0000028e/sig00000c2f ; + wire \blk00000003/blk0000028e/sig00000c2e ; + wire \blk00000003/blk0000028e/sig00000c2d ; + wire \blk00000003/blk0000028e/sig00000c2c ; + wire \blk00000003/blk0000028e/sig00000c2b ; + wire \blk00000003/blk0000028e/sig00000c2a ; + wire \blk00000003/blk0000028e/sig00000c29 ; + wire \blk00000003/blk0000028e/sig00000c28 ; + wire \blk00000003/blk0000028e/sig00000c27 ; + wire \blk00000003/blk0000028e/sig00000c26 ; + wire \blk00000003/blk0000028e/sig00000c25 ; + wire \blk00000003/blk0000028e/sig00000c24 ; + wire \blk00000003/blk0000028e/sig00000c23 ; + wire \blk00000003/blk0000028e/sig00000c22 ; + wire \blk00000003/blk0000028e/sig00000c21 ; + wire \blk00000003/blk000002b1/sig00000c69 ; + wire \blk00000003/blk000002b1/sig00000c68 ; + wire \blk00000003/blk000002b1/sig00000c67 ; + wire \blk00000003/blk000002b1/sig00000c66 ; + wire \blk00000003/blk000002b1/sig00000c65 ; + wire \blk00000003/blk000002b1/sig00000c64 ; + wire \blk00000003/blk000002b1/sig00000c63 ; + wire \blk00000003/blk000002b1/sig00000c62 ; + wire \blk00000003/blk000002b1/sig00000c61 ; + wire \blk00000003/blk000002b1/sig00000c60 ; + wire \blk00000003/blk000002b1/sig00000c5f ; + wire \blk00000003/blk000002b1/sig00000c5e ; + wire \blk00000003/blk000002b1/sig00000c5d ; + wire \blk00000003/blk000002b1/sig00000c5c ; + wire \blk00000003/blk000002b1/sig00000c5b ; + wire \blk00000003/blk000002b1/sig00000c5a ; + wire \blk00000003/blk000002b1/sig00000c59 ; + wire \blk00000003/blk000002b1/sig00000c58 ; + wire \blk00000003/blk000002d4/sig00000cce ; + wire \blk00000003/blk000002d4/sig00000ccd ; + wire \blk00000003/blk000002d4/sig00000ccc ; + wire \blk00000003/blk000002d4/sig00000ccb ; + wire \blk00000003/blk000002d4/sig00000cca ; + wire \blk00000003/blk000002d4/sig00000cc9 ; + wire \blk00000003/blk000002d4/sig00000cc8 ; + wire \blk00000003/blk000002d4/sig00000cc7 ; + wire \blk00000003/blk000002d4/sig00000cc6 ; + wire \blk00000003/blk000002d4/sig00000cc5 ; + wire \blk00000003/blk000002d4/sig00000cc4 ; + wire \blk00000003/blk000002d4/sig00000cc3 ; + wire \blk00000003/blk000002d4/sig00000cc2 ; + wire \blk00000003/blk000002d4/sig00000cc1 ; + wire \blk00000003/blk000002d4/sig00000cc0 ; + wire \blk00000003/blk000002d4/sig00000cbf ; + wire \blk00000003/blk000002d4/sig00000cbe ; + wire \blk00000003/blk000002d4/sig00000cbd ; + wire \blk00000003/blk000002d4/sig00000cbc ; + wire \blk00000003/blk000002d4/sig00000cbb ; + wire \blk00000003/blk000002d4/sig00000cba ; + wire \blk00000003/blk000002d4/sig00000cb9 ; + wire \blk00000003/blk000002d4/sig00000cb8 ; + wire \blk00000003/blk000002d4/sig00000cb7 ; + wire \blk00000003/blk000002d4/sig00000cb6 ; + wire \blk00000003/blk000002d4/sig00000cb5 ; + wire \blk00000003/blk000002d4/sig00000cb4 ; + wire \blk00000003/blk000002d4/sig00000cb3 ; + wire \blk00000003/blk000002d4/sig00000cb2 ; + wire \blk00000003/blk000002d4/sig00000cb1 ; + wire \blk00000003/blk000002d4/sig00000cb0 ; + wire \blk00000003/blk000002d4/sig00000caf ; + wire \blk00000003/blk000002d4/sig00000cae ; + wire \blk00000003/blk000002d4/sig00000cad ; + wire \blk00000003/blk000002d4/sig00000cac ; + wire \blk00000003/blk000002d4/sig00000cab ; + wire \blk00000003/blk000002d4/sig00000caa ; + wire \blk00000003/blk000002d4/sig00000ca9 ; + wire \blk00000003/blk0000030d/sig00000d33 ; + wire \blk00000003/blk0000030d/sig00000d32 ; + wire \blk00000003/blk0000030d/sig00000d31 ; + wire \blk00000003/blk0000030d/sig00000d30 ; + wire \blk00000003/blk0000030d/sig00000d2f ; + wire \blk00000003/blk0000030d/sig00000d2e ; + wire \blk00000003/blk0000030d/sig00000d2d ; + wire \blk00000003/blk0000030d/sig00000d2c ; + wire \blk00000003/blk0000030d/sig00000d2b ; + wire \blk00000003/blk0000030d/sig00000d2a ; + wire \blk00000003/blk0000030d/sig00000d29 ; + wire \blk00000003/blk0000030d/sig00000d28 ; + wire \blk00000003/blk0000030d/sig00000d27 ; + wire \blk00000003/blk0000030d/sig00000d26 ; + wire \blk00000003/blk0000030d/sig00000d25 ; + wire \blk00000003/blk0000030d/sig00000d24 ; + wire \blk00000003/blk0000030d/sig00000d23 ; + wire \blk00000003/blk0000030d/sig00000d22 ; + wire \blk00000003/blk0000030d/sig00000d21 ; + wire \blk00000003/blk0000030d/sig00000d20 ; + wire \blk00000003/blk0000030d/sig00000d1f ; + wire \blk00000003/blk0000030d/sig00000d1e ; + wire \blk00000003/blk0000030d/sig00000d1d ; + wire \blk00000003/blk0000030d/sig00000d1c ; + wire \blk00000003/blk0000030d/sig00000d1b ; + wire \blk00000003/blk0000030d/sig00000d1a ; + wire \blk00000003/blk0000030d/sig00000d19 ; + wire \blk00000003/blk0000030d/sig00000d18 ; + wire \blk00000003/blk0000030d/sig00000d17 ; + wire \blk00000003/blk0000030d/sig00000d16 ; + wire \blk00000003/blk0000030d/sig00000d15 ; + wire \blk00000003/blk0000030d/sig00000d14 ; + wire \blk00000003/blk0000030d/sig00000d13 ; + wire \blk00000003/blk0000030d/sig00000d12 ; + wire \blk00000003/blk0000030d/sig00000d11 ; + wire \blk00000003/blk0000030d/sig00000d10 ; + wire \blk00000003/blk0000030d/sig00000d0f ; + wire \blk00000003/blk0000030d/sig00000d0e ; + wire \blk00000003/blk00000386/sig00000d74 ; + wire \blk00000003/blk00000386/sig00000d73 ; + wire \blk00000003/blk00000386/sig00000d72 ; + wire \blk00000003/blk00000386/sig00000d71 ; + wire \blk00000003/blk00000386/sig00000d70 ; + wire \blk00000003/blk00000386/sig00000d6f ; + wire \blk00000003/blk00000386/sig00000d6e ; + wire \blk00000003/blk00000386/sig00000d6d ; + wire \blk00000003/blk00000386/sig00000d6c ; + wire \blk00000003/blk00000386/sig00000d6b ; + wire \blk00000003/blk00000386/sig00000d6a ; + wire \blk00000003/blk00000386/sig00000d69 ; + wire \blk00000003/blk00000386/sig00000d68 ; + wire \blk00000003/blk00000386/sig00000d67 ; + wire \blk00000003/blk00000386/sig00000d66 ; + wire \blk00000003/blk00000386/sig00000d65 ; + wire \blk00000003/blk00000386/sig00000d64 ; + wire \blk00000003/blk00000386/sig00000d63 ; + wire \blk00000003/blk00000386/sig00000d62 ; + wire \blk00000003/blk00000386/sig00000d61 ; + wire \blk00000003/blk000003ad/sig00000d93 ; + wire \blk00000003/blk000003ad/sig00000d92 ; + wire \blk00000003/blk000003ad/sig00000d91 ; + wire \blk00000003/blk000003ad/sig00000d90 ; + wire \blk00000003/blk000003ad/sig00000d8f ; + wire \blk00000003/blk000003ad/sig00000d8e ; + wire \blk00000003/blk000003ad/sig00000d8d ; + wire \blk00000003/blk000003ad/sig00000d8c ; + wire \blk00000003/blk000003ad/sig00000d8b ; + wire \blk00000003/blk000003ad/sig00000d8a ; + wire \blk00000003/blk000003c0/sig00000db2 ; + wire \blk00000003/blk000003c0/sig00000db1 ; + wire \blk00000003/blk000003c0/sig00000db0 ; + wire \blk00000003/blk000003c0/sig00000daf ; + wire \blk00000003/blk000003c0/sig00000dae ; + wire \blk00000003/blk000003c0/sig00000dad ; + wire \blk00000003/blk000003c0/sig00000dac ; + wire \blk00000003/blk000003c0/sig00000dab ; + wire \blk00000003/blk000003c0/sig00000daa ; + wire \blk00000003/blk000003c0/sig00000da9 ; + wire \blk00000003/blk000003d3/sig00000dd1 ; + wire \blk00000003/blk000003d3/sig00000dd0 ; + wire \blk00000003/blk000003d3/sig00000dcf ; + wire \blk00000003/blk000003d3/sig00000dce ; + wire \blk00000003/blk000003d3/sig00000dcd ; + wire \blk00000003/blk000003d3/sig00000dcc ; + wire \blk00000003/blk000003d3/sig00000dcb ; + wire \blk00000003/blk000003d3/sig00000dca ; + wire \blk00000003/blk000003d3/sig00000dc9 ; + wire \blk00000003/blk000003d3/sig00000dc8 ; + wire \blk00000003/blk000003e6/sig00000df0 ; + wire \blk00000003/blk000003e6/sig00000def ; + wire \blk00000003/blk000003e6/sig00000dee ; + wire \blk00000003/blk000003e6/sig00000ded ; + wire \blk00000003/blk000003e6/sig00000dec ; + wire \blk00000003/blk000003e6/sig00000deb ; + wire \blk00000003/blk000003e6/sig00000dea ; + wire \blk00000003/blk000003e6/sig00000de9 ; + wire \blk00000003/blk000003e6/sig00000de8 ; + wire \blk00000003/blk000003e6/sig00000de7 ; + wire \blk00000003/blk000003f9/sig00000e0f ; + wire \blk00000003/blk000003f9/sig00000e0e ; + wire \blk00000003/blk000003f9/sig00000e0d ; + wire \blk00000003/blk000003f9/sig00000e0c ; + wire \blk00000003/blk000003f9/sig00000e0b ; + wire \blk00000003/blk000003f9/sig00000e0a ; + wire \blk00000003/blk000003f9/sig00000e09 ; + wire \blk00000003/blk000003f9/sig00000e08 ; + wire \blk00000003/blk000003f9/sig00000e07 ; + wire \blk00000003/blk000003f9/sig00000e06 ; + wire \blk00000003/blk0000040c/sig00000e2e ; + wire \blk00000003/blk0000040c/sig00000e2d ; + wire \blk00000003/blk0000040c/sig00000e2c ; + wire \blk00000003/blk0000040c/sig00000e2b ; + wire \blk00000003/blk0000040c/sig00000e2a ; + wire \blk00000003/blk0000040c/sig00000e29 ; + wire \blk00000003/blk0000040c/sig00000e28 ; + wire \blk00000003/blk0000040c/sig00000e27 ; + wire \blk00000003/blk0000040c/sig00000e26 ; + wire \blk00000003/blk0000040c/sig00000e25 ; + wire \blk00000003/blk0000041f/sig00000e4d ; + wire \blk00000003/blk0000041f/sig00000e4c ; + wire \blk00000003/blk0000041f/sig00000e4b ; + wire \blk00000003/blk0000041f/sig00000e4a ; + wire \blk00000003/blk0000041f/sig00000e49 ; + wire \blk00000003/blk0000041f/sig00000e48 ; + wire \blk00000003/blk0000041f/sig00000e47 ; + wire \blk00000003/blk0000041f/sig00000e46 ; + wire \blk00000003/blk0000041f/sig00000e45 ; + wire \blk00000003/blk0000041f/sig00000e44 ; + wire \blk00000003/blk00000432/sig00000e6c ; + wire \blk00000003/blk00000432/sig00000e6b ; + wire \blk00000003/blk00000432/sig00000e6a ; + wire \blk00000003/blk00000432/sig00000e69 ; + wire \blk00000003/blk00000432/sig00000e68 ; + wire \blk00000003/blk00000432/sig00000e67 ; + wire \blk00000003/blk00000432/sig00000e66 ; + wire \blk00000003/blk00000432/sig00000e65 ; + wire \blk00000003/blk00000432/sig00000e64 ; + wire \blk00000003/blk00000432/sig00000e63 ; + wire \blk00000003/blk00000445/sig00000e8b ; + wire \blk00000003/blk00000445/sig00000e8a ; + wire \blk00000003/blk00000445/sig00000e89 ; + wire \blk00000003/blk00000445/sig00000e88 ; + wire \blk00000003/blk00000445/sig00000e87 ; + wire \blk00000003/blk00000445/sig00000e86 ; + wire \blk00000003/blk00000445/sig00000e85 ; + wire \blk00000003/blk00000445/sig00000e84 ; + wire \blk00000003/blk00000445/sig00000e83 ; + wire \blk00000003/blk00000445/sig00000e82 ; + wire \blk00000003/blk00000458/sig00000eaa ; + wire \blk00000003/blk00000458/sig00000ea9 ; + wire \blk00000003/blk00000458/sig00000ea8 ; + wire \blk00000003/blk00000458/sig00000ea7 ; + wire \blk00000003/blk00000458/sig00000ea6 ; + wire \blk00000003/blk00000458/sig00000ea5 ; + wire \blk00000003/blk00000458/sig00000ea4 ; + wire \blk00000003/blk00000458/sig00000ea3 ; + wire \blk00000003/blk00000458/sig00000ea2 ; + wire \blk00000003/blk00000458/sig00000ea1 ; + wire \blk00000003/blk0000046b/sig00000ec9 ; + wire \blk00000003/blk0000046b/sig00000ec8 ; + wire \blk00000003/blk0000046b/sig00000ec7 ; + wire \blk00000003/blk0000046b/sig00000ec6 ; + wire \blk00000003/blk0000046b/sig00000ec5 ; + wire \blk00000003/blk0000046b/sig00000ec4 ; + wire \blk00000003/blk0000046b/sig00000ec3 ; + wire \blk00000003/blk0000046b/sig00000ec2 ; + wire \blk00000003/blk0000046b/sig00000ec1 ; + wire \blk00000003/blk0000046b/sig00000ec0 ; + wire \blk00000003/blk0000047e/sig00000ee8 ; + wire \blk00000003/blk0000047e/sig00000ee7 ; + wire \blk00000003/blk0000047e/sig00000ee6 ; + wire \blk00000003/blk0000047e/sig00000ee5 ; + wire \blk00000003/blk0000047e/sig00000ee4 ; + wire \blk00000003/blk0000047e/sig00000ee3 ; + wire \blk00000003/blk0000047e/sig00000ee2 ; + wire \blk00000003/blk0000047e/sig00000ee1 ; + wire \blk00000003/blk0000047e/sig00000ee0 ; + wire \blk00000003/blk0000047e/sig00000edf ; + wire \blk00000003/blk000004e1/sig00000f25 ; + wire \blk00000003/blk000004e1/sig00000f24 ; + wire \blk00000003/blk000004e1/sig00000f23 ; + wire \blk00000003/blk000004e1/sig00000f22 ; + wire \blk00000003/blk000004e1/sig00000f21 ; + wire \blk00000003/blk000004e1/sig00000f20 ; + wire \blk00000003/blk000004e1/sig00000f1f ; + wire \blk00000003/blk000004e1/sig00000f1e ; + wire \blk00000003/blk000004e1/sig00000f1d ; + wire \blk00000003/blk000004e1/sig00000f1c ; + wire \blk00000003/blk000004e1/sig00000f1b ; + wire \blk00000003/blk000004e1/sig00000f1a ; + wire \blk00000003/blk000004e1/sig00000f19 ; + wire \blk00000003/blk000004e1/sig00000f18 ; + wire \blk00000003/blk000004e1/sig00000f17 ; + wire \blk00000003/blk000004e1/sig00000f16 ; + wire \blk00000003/blk000004e1/sig00000f15 ; + wire \blk00000003/blk000004e1/sig00000f14 ; + wire \blk00000003/blk000004e1/sig00000f13 ; + wire \blk00000003/blk000004e1/sig00000f12 ; + wire NLW_blk00000001_P_UNCONNECTED; + wire NLW_blk00000002_G_UNCONNECTED; + wire \NLW_blk00000003/blk000007ff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007fd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007fb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007f9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007f7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007f5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007f3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007f1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007ef_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007ed_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007eb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007e9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007e7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007e5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007e3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007cd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007cb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007bf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007bd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007bb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007b9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007b7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007b5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007b3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007b1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000079f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000079d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000079b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000799_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000797_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000795_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000793_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000791_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000078f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000078d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000078b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000789_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000787_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000785_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000783_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000781_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000779_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000777_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000775_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000773_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000771_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000769_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000767_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000765_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000763_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000761_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000759_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000757_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000755_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000753_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000751_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000074f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000074d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000074b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000749_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000747_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000745_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000743_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000741_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000073f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000073d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000073b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000739_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000737_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000735_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000733_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000731_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000072f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000072d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000072b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000729_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000727_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000725_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000723_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000721_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000719_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000717_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000715_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000713_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000711_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000709_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000707_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000703_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000701_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006fd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006fb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ef_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ed_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006eb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006cd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006cb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006bf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006bd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006bb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006b9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006b7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006b5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006b3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006b1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000699_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000697_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000695_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000693_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000691_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000068f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000068d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000068b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000689_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000687_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000685_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000683_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000681_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000067f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000067d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000067b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000679_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000677_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000675_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000673_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000671_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000066f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000066d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000066b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000669_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000667_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000665_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000576_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000576_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000050d_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000050d_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f3_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f3_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e6_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e5_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e4_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e3_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e2_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e1_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000dd_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000dc_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000db_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000da_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d9_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d8_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d7_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d1_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d1_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cd_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cd_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c7_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c7_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c3_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c3_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b2_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b1_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a8_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a7_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a4_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a3_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a1_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a0_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009f_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009d_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009c_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009a_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000099_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000024_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk00000020_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001a_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000019_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000013_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000d_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000008_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000008_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000095_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000094_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000093_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000092_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000091_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000090_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000008f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000008e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000008d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000008c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000008b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000008a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000089_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000088_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000087_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000086_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000085_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000084_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000083_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000082_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000081_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000080_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000007f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000007e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000007d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000007c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000007b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000007a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000079_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000078_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000077_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000076_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000075_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000074_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000073_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000072_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000071_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000070_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000006f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000006e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000006d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000006c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000006b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000006a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000069_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000068_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000067_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000066_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000151_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000150_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk0000014f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk0000014e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk0000014d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk0000014c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk0000014b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk0000014a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000149_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000148_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000147_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000146_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000145_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000144_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000143_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000142_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000174_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000173_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000172_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000171_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000170_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000016f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000016e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000016d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000016c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000016b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000016a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000169_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000168_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000167_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000166_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000165_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000197_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000196_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000195_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000194_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000193_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000192_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000191_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000190_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk0000018f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk0000018e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk0000018d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk0000018c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk0000018b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk0000018a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000189_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000188_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001ba_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001ce_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk00000200_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001ff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001fe_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001fd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001fc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001fb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001fa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001f9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001f8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001f7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001f6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001f5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001f4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001f3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001f2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001f1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000223_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000222_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000221_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000220_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk0000021f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk0000021e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk0000021d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk0000021c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk0000021b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk0000021a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000219_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000218_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000217_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000216_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000215_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000214_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000246_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000245_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000244_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000243_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000242_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000241_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000240_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk0000023f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk0000023e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk0000023d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk0000023c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk0000023b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk0000023a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000239_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000238_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000237_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000269_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000268_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000267_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000266_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000265_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000264_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000263_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000262_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000261_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000260_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk0000025f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk0000025e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk0000025d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk0000025c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk0000025b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk0000025a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk0000028c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk0000028b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk0000028a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000289_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000288_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000287_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000286_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000285_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000284_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000283_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000282_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000281_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000280_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk0000027f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk0000027e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk0000027d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002ce_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002cd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002cc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002cb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002ca_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002c9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002c8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002c7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002c6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002c5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002c4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002c3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003ab_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003aa_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a9_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a8_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a7_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a6_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a5_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a4_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a3_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a2_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a1_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a0_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk0000039f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk0000039e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk0000039d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk0000039c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk0000039b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk0000039a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ad/blk000003be_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ad/blk000003bd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ad/blk000003bc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ad/blk000003bb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ad/blk000003ba_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ad/blk000003b9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ad/blk000003b8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ad/blk000003b7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003c0/blk000003d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003c0/blk000003d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003c0/blk000003cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003c0/blk000003ce_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003c0/blk000003cd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003c0/blk000003cc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003c0/blk000003cb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003c0/blk000003ca_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d3/blk000003e4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d3/blk000003e3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d3/blk000003e2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d3/blk000003e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d3/blk000003e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d3/blk000003df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d3/blk000003de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d3/blk000003dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003e6/blk000003f7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003e6/blk000003f6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003e6/blk000003f5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003e6/blk000003f4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003e6/blk000003f3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003e6/blk000003f2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003e6/blk000003f1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003e6/blk000003f0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk0000040a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000409_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000408_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000407_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000406_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000405_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000404_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000403_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000040c/blk0000041d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000040c/blk0000041c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000040c/blk0000041b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000040c/blk0000041a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000040c/blk00000419_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000040c/blk00000418_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000040c/blk00000417_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000040c/blk00000416_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041f/blk00000430_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041f/blk0000042f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041f/blk0000042e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041f/blk0000042d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041f/blk0000042c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041f/blk0000042b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041f/blk0000042a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041f/blk00000429_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000432/blk00000443_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000432/blk00000442_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000432/blk00000441_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000432/blk00000440_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000432/blk0000043f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000432/blk0000043e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000432/blk0000043d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000432/blk0000043c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000445/blk00000456_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000445/blk00000455_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000445/blk00000454_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000445/blk00000453_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000445/blk00000452_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000445/blk00000451_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000445/blk00000450_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000445/blk0000044f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000458/blk00000469_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000458/blk00000468_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000458/blk00000467_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000458/blk00000466_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000458/blk00000465_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000458/blk00000464_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000458/blk00000463_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000458/blk00000462_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000046b/blk0000047c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000046b/blk0000047b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000046b/blk0000047a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000046b/blk00000479_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000046b/blk00000478_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000046b/blk00000477_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000046b/blk00000476_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000046b/blk00000475_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000047e/blk0000048f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000047e/blk0000048e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000047e/blk0000048d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000047e/blk0000048c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000047e/blk0000048b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000047e/blk0000048a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000047e/blk00000489_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000047e/blk00000488_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk00000506_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk00000505_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk00000504_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk00000503_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk00000502_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk00000501_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk00000500_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004ff_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004fe_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004fd_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004fc_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004fb_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004fa_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004f9_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004f8_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004f7_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004f6_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004f5_SPO_UNCONNECTED ; + wire [17 : 0] coef_din_0; + wire [23 : 0] din_1_1; + wire [23 : 0] din_2_2; + wire [46 : 0] NlwRenamedSig_OI_dout_1; + wire [46 : 0] NlwRenamedSig_OI_dout_2; + assign + rfd = NlwRenamedSig_OI_rfd, + dout_1[46] = NlwRenamedSig_OI_dout_1[46], + dout_1[45] = NlwRenamedSig_OI_dout_1[45], + dout_1[44] = NlwRenamedSig_OI_dout_1[44], + dout_1[43] = NlwRenamedSig_OI_dout_1[43], + dout_1[42] = NlwRenamedSig_OI_dout_1[42], + dout_1[41] = NlwRenamedSig_OI_dout_1[41], + dout_1[40] = NlwRenamedSig_OI_dout_1[40], + dout_1[39] = NlwRenamedSig_OI_dout_1[39], + dout_1[38] = NlwRenamedSig_OI_dout_1[38], + dout_1[37] = NlwRenamedSig_OI_dout_1[37], + dout_1[36] = NlwRenamedSig_OI_dout_1[36], + dout_1[35] = NlwRenamedSig_OI_dout_1[35], + dout_1[34] = NlwRenamedSig_OI_dout_1[34], + dout_1[33] = NlwRenamedSig_OI_dout_1[33], + dout_1[32] = NlwRenamedSig_OI_dout_1[32], + dout_1[31] = NlwRenamedSig_OI_dout_1[31], + dout_1[30] = NlwRenamedSig_OI_dout_1[30], + dout_1[29] = NlwRenamedSig_OI_dout_1[29], + dout_1[28] = NlwRenamedSig_OI_dout_1[28], + dout_1[27] = NlwRenamedSig_OI_dout_1[27], + dout_1[26] = NlwRenamedSig_OI_dout_1[26], + dout_1[25] = NlwRenamedSig_OI_dout_1[25], + dout_1[24] = NlwRenamedSig_OI_dout_1[24], + dout_1[23] = NlwRenamedSig_OI_dout_1[23], + dout_1[22] = NlwRenamedSig_OI_dout_1[22], + dout_1[21] = NlwRenamedSig_OI_dout_1[21], + dout_1[20] = NlwRenamedSig_OI_dout_1[20], + dout_1[19] = NlwRenamedSig_OI_dout_1[19], + dout_1[18] = NlwRenamedSig_OI_dout_1[18], + dout_1[17] = NlwRenamedSig_OI_dout_1[17], + dout_1[16] = NlwRenamedSig_OI_dout_1[16], + dout_1[15] = NlwRenamedSig_OI_dout_1[15], + dout_1[14] = NlwRenamedSig_OI_dout_1[14], + dout_1[13] = NlwRenamedSig_OI_dout_1[13], + dout_1[12] = NlwRenamedSig_OI_dout_1[12], + dout_1[11] = NlwRenamedSig_OI_dout_1[11], + dout_1[10] = NlwRenamedSig_OI_dout_1[10], + dout_1[9] = NlwRenamedSig_OI_dout_1[9], + dout_1[8] = NlwRenamedSig_OI_dout_1[8], + dout_1[7] = NlwRenamedSig_OI_dout_1[7], + dout_1[6] = NlwRenamedSig_OI_dout_1[6], + dout_1[5] = NlwRenamedSig_OI_dout_1[5], + dout_1[4] = NlwRenamedSig_OI_dout_1[4], + dout_1[3] = NlwRenamedSig_OI_dout_1[3], + dout_1[2] = NlwRenamedSig_OI_dout_1[2], + dout_1[1] = NlwRenamedSig_OI_dout_1[1], + dout_1[0] = NlwRenamedSig_OI_dout_1[0], + dout_2[46] = NlwRenamedSig_OI_dout_2[46], + dout_2[45] = NlwRenamedSig_OI_dout_2[45], + dout_2[44] = NlwRenamedSig_OI_dout_2[44], + dout_2[43] = NlwRenamedSig_OI_dout_2[43], + dout_2[42] = NlwRenamedSig_OI_dout_2[42], + dout_2[41] = NlwRenamedSig_OI_dout_2[41], + dout_2[40] = NlwRenamedSig_OI_dout_2[40], + dout_2[39] = NlwRenamedSig_OI_dout_2[39], + dout_2[38] = NlwRenamedSig_OI_dout_2[38], + dout_2[37] = NlwRenamedSig_OI_dout_2[37], + dout_2[36] = NlwRenamedSig_OI_dout_2[36], + dout_2[35] = NlwRenamedSig_OI_dout_2[35], + dout_2[34] = NlwRenamedSig_OI_dout_2[34], + dout_2[33] = NlwRenamedSig_OI_dout_2[33], + dout_2[32] = NlwRenamedSig_OI_dout_2[32], + dout_2[31] = NlwRenamedSig_OI_dout_2[31], + dout_2[30] = NlwRenamedSig_OI_dout_2[30], + dout_2[29] = NlwRenamedSig_OI_dout_2[29], + dout_2[28] = NlwRenamedSig_OI_dout_2[28], + dout_2[27] = NlwRenamedSig_OI_dout_2[27], + dout_2[26] = NlwRenamedSig_OI_dout_2[26], + dout_2[25] = NlwRenamedSig_OI_dout_2[25], + dout_2[24] = NlwRenamedSig_OI_dout_2[24], + dout_2[23] = NlwRenamedSig_OI_dout_2[23], + dout_2[22] = NlwRenamedSig_OI_dout_2[22], + dout_2[21] = NlwRenamedSig_OI_dout_2[21], + dout_2[20] = NlwRenamedSig_OI_dout_2[20], + dout_2[19] = NlwRenamedSig_OI_dout_2[19], + dout_2[18] = NlwRenamedSig_OI_dout_2[18], + dout_2[17] = NlwRenamedSig_OI_dout_2[17], + dout_2[16] = NlwRenamedSig_OI_dout_2[16], + dout_2[15] = NlwRenamedSig_OI_dout_2[15], + dout_2[14] = NlwRenamedSig_OI_dout_2[14], + dout_2[13] = NlwRenamedSig_OI_dout_2[13], + dout_2[12] = NlwRenamedSig_OI_dout_2[12], + dout_2[11] = NlwRenamedSig_OI_dout_2[11], + dout_2[10] = NlwRenamedSig_OI_dout_2[10], + dout_2[9] = NlwRenamedSig_OI_dout_2[9], + dout_2[8] = NlwRenamedSig_OI_dout_2[8], + dout_2[7] = NlwRenamedSig_OI_dout_2[7], + dout_2[6] = NlwRenamedSig_OI_dout_2[6], + dout_2[5] = NlwRenamedSig_OI_dout_2[5], + dout_2[4] = NlwRenamedSig_OI_dout_2[4], + dout_2[3] = NlwRenamedSig_OI_dout_2[3], + dout_2[2] = NlwRenamedSig_OI_dout_2[2], + dout_2[1] = NlwRenamedSig_OI_dout_2[1], + dout_2[0] = NlwRenamedSig_OI_dout_2[0], + din_1_1[23] = din_1[23], + din_1_1[22] = din_1[22], + din_1_1[21] = din_1[21], + din_1_1[20] = din_1[20], + din_1_1[19] = din_1[19], + din_1_1[18] = din_1[18], + din_1_1[17] = din_1[17], + din_1_1[16] = din_1[16], + din_1_1[15] = din_1[15], + din_1_1[14] = din_1[14], + din_1_1[13] = din_1[13], + din_1_1[12] = din_1[12], + din_1_1[11] = din_1[11], + din_1_1[10] = din_1[10], + din_1_1[9] = din_1[9], + din_1_1[8] = din_1[8], + din_1_1[7] = din_1[7], + din_1_1[6] = din_1[6], + din_1_1[5] = din_1[5], + din_1_1[4] = din_1[4], + din_1_1[3] = din_1[3], + din_1_1[2] = din_1[2], + din_1_1[1] = din_1[1], + din_1_1[0] = din_1[0], + din_2_2[23] = din_2[23], + din_2_2[22] = din_2[22], + din_2_2[21] = din_2[21], + din_2_2[20] = din_2[20], + din_2_2[19] = din_2[19], + din_2_2[18] = din_2[18], + din_2_2[17] = din_2[17], + din_2_2[16] = din_2[16], + din_2_2[15] = din_2[15], + din_2_2[14] = din_2[14], + din_2_2[13] = din_2[13], + din_2_2[12] = din_2[12], + din_2_2[11] = din_2[11], + din_2_2[10] = din_2[10], + din_2_2[9] = din_2[9], + din_2_2[8] = din_2[8], + din_2_2[7] = din_2[7], + din_2_2[6] = din_2[6], + din_2_2[5] = din_2[5], + din_2_2[4] = din_2[4], + din_2_2[3] = din_2[3], + din_2_2[2] = din_2[2], + din_2_2[1] = din_2[1], + din_2_2[0] = din_2[0], + coef_din_0[17] = coef_din[17], + coef_din_0[16] = coef_din[16], + coef_din_0[15] = coef_din[15], + coef_din_0[14] = coef_din[14], + coef_din_0[13] = coef_din[13], + coef_din_0[12] = coef_din[12], + coef_din_0[11] = coef_din[11], + coef_din_0[10] = coef_din[10], + coef_din_0[9] = coef_din[9], + coef_din_0[8] = coef_din[8], + coef_din_0[7] = coef_din[7], + coef_din_0[6] = coef_din[6], + coef_din_0[5] = coef_din[5], + coef_din_0[4] = coef_din[4], + coef_din_0[3] = coef_din[3], + coef_din_0[2] = coef_din[2], + coef_din_0[1] = coef_din[1], + coef_din_0[0] = coef_din[0]; + VCC blk00000001 ( + .P(NLW_blk00000001_P_UNCONNECTED) + ); + GND blk00000002 ( + .G(NLW_blk00000002_G_UNCONNECTED) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000800 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000093c ), + .Q(\blk00000003/sig0000080e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007ff ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000068c ), + .Q(\blk00000003/sig0000093c ), + .Q15(\NLW_blk00000003/blk000007ff_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000093b ), + .Q(\blk00000003/sig000002ae ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007fd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000068b ), + .Q(\blk00000003/sig0000093b ), + .Q15(\NLW_blk00000003/blk000007fd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000093a ), + .Q(\blk00000003/sig00000251 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007fb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008e4 ), + .Q(\blk00000003/sig0000093a ), + .Q15(\NLW_blk00000003/blk000007fb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000939 ), + .Q(\blk00000003/sig00000250 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007f9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008e8 ), + .Q(\blk00000003/sig00000939 ), + .Q15(\NLW_blk00000003/blk000007f9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000938 ), + .Q(\blk00000003/sig0000024f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007f7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008e6 ), + .Q(\blk00000003/sig00000938 ), + .Q15(\NLW_blk00000003/blk000007f7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000937 ), + .Q(\blk00000003/sig0000024d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007f5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008e0 ), + .Q(\blk00000003/sig00000937 ), + .Q15(\NLW_blk00000003/blk000007f5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000936 ), + .Q(\blk00000003/sig0000024c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007f3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008de ), + .Q(\blk00000003/sig00000936 ), + .Q15(\NLW_blk00000003/blk000007f3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000935 ), + .Q(\blk00000003/sig0000024e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007f1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008e2 ), + .Q(\blk00000003/sig00000935 ), + .Q15(\NLW_blk00000003/blk000007f1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000934 ), + .Q(\blk00000003/sig0000024a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007ef ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008d6 ), + .Q(\blk00000003/sig00000934 ), + .Q15(\NLW_blk00000003/blk000007ef_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000933 ), + .Q(\blk00000003/sig00000249 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007ed ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008da ), + .Q(\blk00000003/sig00000933 ), + .Q15(\NLW_blk00000003/blk000007ed_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000932 ), + .Q(\blk00000003/sig0000024b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007eb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008dc ), + .Q(\blk00000003/sig00000932 ), + .Q15(\NLW_blk00000003/blk000007eb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000931 ), + .Q(\blk00000003/sig00000247 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007e9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008d0 ), + .Q(\blk00000003/sig00000931 ), + .Q15(\NLW_blk00000003/blk000007e9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000930 ), + .Q(\blk00000003/sig00000246 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007e7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008d4 ), + .Q(\blk00000003/sig00000930 ), + .Q15(\NLW_blk00000003/blk000007e7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000092f ), + .Q(\blk00000003/sig00000248 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007e5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008d8 ), + .Q(\blk00000003/sig0000092f ), + .Q15(\NLW_blk00000003/blk000007e5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000092e ), + .Q(\blk00000003/sig00000245 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007e3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008d2 ), + .Q(\blk00000003/sig0000092e ), + .Q15(\NLW_blk00000003/blk000007e3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000092d ), + .Q(\blk00000003/sig00000244 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007e1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008ca ), + .Q(\blk00000003/sig0000092d ), + .Q15(\NLW_blk00000003/blk000007e1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000092c ), + .Q(\blk00000003/sig00000243 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007df ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008ce ), + .Q(\blk00000003/sig0000092c ), + .Q15(\NLW_blk00000003/blk000007df_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007de ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000092b ), + .Q(\blk00000003/sig00000242 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007dd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008cc ), + .Q(\blk00000003/sig0000092b ), + .Q15(\NLW_blk00000003/blk000007dd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000092a ), + .Q(\blk00000003/sig00000210 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007db ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008c6 ), + .Q(\blk00000003/sig0000092a ), + .Q15(\NLW_blk00000003/blk000007db_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007da ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000929 ), + .Q(\blk00000003/sig0000020f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007d9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008c4 ), + .Q(\blk00000003/sig00000929 ), + .Q15(\NLW_blk00000003/blk000007d9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000928 ), + .Q(\blk00000003/sig00000211 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007d7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008c8 ), + .Q(\blk00000003/sig00000928 ), + .Q15(\NLW_blk00000003/blk000007d7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000927 ), + .Q(\blk00000003/sig0000020d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007d5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008bc ), + .Q(\blk00000003/sig00000927 ), + .Q15(\NLW_blk00000003/blk000007d5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000926 ), + .Q(\blk00000003/sig0000020c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007d3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008c0 ), + .Q(\blk00000003/sig00000926 ), + .Q15(\NLW_blk00000003/blk000007d3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000925 ), + .Q(\blk00000003/sig0000020e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007d1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008c2 ), + .Q(\blk00000003/sig00000925 ), + .Q15(\NLW_blk00000003/blk000007d1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000924 ), + .Q(\blk00000003/sig0000020a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007cf ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008b6 ), + .Q(\blk00000003/sig00000924 ), + .Q15(\NLW_blk00000003/blk000007cf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000923 ), + .Q(\blk00000003/sig000001c9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007cd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008ba ), + .Q(\blk00000003/sig00000923 ), + .Q15(\NLW_blk00000003/blk000007cd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000922 ), + .Q(\blk00000003/sig0000020b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007cb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008be ), + .Q(\blk00000003/sig00000922 ), + .Q15(\NLW_blk00000003/blk000007cb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000921 ), + .Q(\blk00000003/sig000001c8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007c9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008b8 ), + .Q(\blk00000003/sig00000921 ), + .Q15(\NLW_blk00000003/blk000007c9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000920 ), + .Q(\blk00000003/sig000001c7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007c7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008b0 ), + .Q(\blk00000003/sig00000920 ), + .Q15(\NLW_blk00000003/blk000007c7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000091f ), + .Q(\blk00000003/sig000001c6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007c5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008b4 ), + .Q(\blk00000003/sig0000091f ), + .Q15(\NLW_blk00000003/blk000007c5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000091e ), + .Q(\blk00000003/sig000001c5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007c3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008b2 ), + .Q(\blk00000003/sig0000091e ), + .Q15(\NLW_blk00000003/blk000007c3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000091d ), + .Q(\blk00000003/sig000001c3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007c1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008ae ), + .Q(\blk00000003/sig0000091d ), + .Q15(\NLW_blk00000003/blk000007c1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000091c ), + .Q(\blk00000003/sig000001c2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007bf ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008ac ), + .Q(\blk00000003/sig0000091c ), + .Q15(\NLW_blk00000003/blk000007bf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007be ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000091b ), + .Q(\blk00000003/sig000001c4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007bd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008aa ), + .Q(\blk00000003/sig0000091b ), + .Q15(\NLW_blk00000003/blk000007bd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000091a ), + .Q(\blk00000003/sig000001c0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007bb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008a8 ), + .Q(\blk00000003/sig0000091a ), + .Q15(\NLW_blk00000003/blk000007bb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000919 ), + .Q(\blk00000003/sig000001bf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007b9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008a6 ), + .Q(\blk00000003/sig00000919 ), + .Q15(\NLW_blk00000003/blk000007b9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000918 ), + .Q(\blk00000003/sig000001c1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007b7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008a4 ), + .Q(\blk00000003/sig00000918 ), + .Q15(\NLW_blk00000003/blk000007b7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000917 ), + .Q(\blk00000003/sig000001bd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007b5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008a2 ), + .Q(\blk00000003/sig00000917 ), + .Q15(\NLW_blk00000003/blk000007b5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000916 ), + .Q(\blk00000003/sig000001bc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007b3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008a0 ), + .Q(\blk00000003/sig00000916 ), + .Q15(\NLW_blk00000003/blk000007b3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000915 ), + .Q(\blk00000003/sig000001be ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007b1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000089e ), + .Q(\blk00000003/sig00000915 ), + .Q15(\NLW_blk00000003/blk000007b1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000914 ), + .Q(\blk00000003/sig000001bb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007af ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000898 ), + .Q(\blk00000003/sig00000914 ), + .Q15(\NLW_blk00000003/blk000007af_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000913 ), + .Q(\blk00000003/sig000001ba ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007ad ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000089c ), + .Q(\blk00000003/sig00000913 ), + .Q15(\NLW_blk00000003/blk000007ad_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000912 ), + .Q(\blk00000003/sig00000177 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007ab ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000089a ), + .Q(\blk00000003/sig00000912 ), + .Q15(\NLW_blk00000003/blk000007ab_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000911 ), + .Q(\blk00000003/sig00000176 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007a9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000896 ), + .Q(\blk00000003/sig00000911 ), + .Q15(\NLW_blk00000003/blk000007a9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000910 ), + .Q(\blk00000003/sig00000174 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007a7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000892 ), + .Q(\blk00000003/sig00000910 ), + .Q15(\NLW_blk00000003/blk000007a7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000090f ), + .Q(\blk00000003/sig00000173 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007a5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000890 ), + .Q(\blk00000003/sig0000090f ), + .Q15(\NLW_blk00000003/blk000007a5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000090e ), + .Q(\blk00000003/sig00000175 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007a3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000894 ), + .Q(\blk00000003/sig0000090e ), + .Q15(\NLW_blk00000003/blk000007a3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000090d ), + .Q(\blk00000003/sig00000171 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007a1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000088e ), + .Q(\blk00000003/sig0000090d ), + .Q15(\NLW_blk00000003/blk000007a1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000090c ), + .Q(\blk00000003/sig00000170 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000079f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000088c ), + .Q(\blk00000003/sig0000090c ), + .Q15(\NLW_blk00000003/blk0000079f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000090b ), + .Q(\blk00000003/sig00000172 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000079d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000088a ), + .Q(\blk00000003/sig0000090b ), + .Q15(\NLW_blk00000003/blk0000079d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000090a ), + .Q(\blk00000003/sig0000068c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000079b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002b0 ), + .Q(\blk00000003/sig0000090a ), + .Q15(\NLW_blk00000003/blk0000079b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000909 ), + .Q(\blk00000003/sig0000081a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000799 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000290 ), + .Q(\blk00000003/sig00000909 ), + .Q15(\NLW_blk00000003/blk00000799_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000798 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000908 ), + .Q(\blk00000003/sig00000819 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000797 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028f ), + .Q(\blk00000003/sig00000908 ), + .Q15(\NLW_blk00000003/blk00000797_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000796 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000907 ), + .Q(\blk00000003/sig00000818 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000795 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000291 ), + .Q(\blk00000003/sig00000907 ), + .Q15(\NLW_blk00000003/blk00000795_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000794 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000906 ), + .Q(\blk00000003/sig0000081d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000793 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028d ), + .Q(\blk00000003/sig00000906 ), + .Q15(\NLW_blk00000003/blk00000793_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000792 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000905 ), + .Q(\blk00000003/sig0000081c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000791 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028c ), + .Q(\blk00000003/sig00000905 ), + .Q15(\NLW_blk00000003/blk00000791_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000790 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000904 ), + .Q(\blk00000003/sig0000081b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000078f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028e ), + .Q(\blk00000003/sig00000904 ), + .Q15(\NLW_blk00000003/blk0000078f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000078e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000903 ), + .Q(\blk00000003/sig00000820 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000078d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028a ), + .Q(\blk00000003/sig00000903 ), + .Q15(\NLW_blk00000003/blk0000078d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000078c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000902 ), + .Q(\blk00000003/sig0000081f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000078b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000289 ), + .Q(\blk00000003/sig00000902 ), + .Q15(\NLW_blk00000003/blk0000078b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000078a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000901 ), + .Q(\blk00000003/sig0000081e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000789 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028b ), + .Q(\blk00000003/sig00000901 ), + .Q15(\NLW_blk00000003/blk00000789_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000788 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000900 ), + .Q(\blk00000003/sig00000823 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000787 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000287 ), + .Q(\blk00000003/sig00000900 ), + .Q15(\NLW_blk00000003/blk00000787_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000786 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008ff ), + .Q(\blk00000003/sig00000822 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000785 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000286 ), + .Q(\blk00000003/sig000008ff ), + .Q15(\NLW_blk00000003/blk00000785_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000784 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008fe ), + .Q(\blk00000003/sig00000821 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000783 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000288 ), + .Q(\blk00000003/sig000008fe ), + .Q15(\NLW_blk00000003/blk00000783_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000782 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008fd ), + .Q(\blk00000003/sig00000826 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000781 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000284 ), + .Q(\blk00000003/sig000008fd ), + .Q15(\NLW_blk00000003/blk00000781_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000780 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008fc ), + .Q(\blk00000003/sig00000825 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000283 ), + .Q(\blk00000003/sig000008fc ), + .Q15(\NLW_blk00000003/blk0000077f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008fb ), + .Q(\blk00000003/sig00000824 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000285 ), + .Q(\blk00000003/sig000008fb ), + .Q15(\NLW_blk00000003/blk0000077d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008fa ), + .Q(\blk00000003/sig00000828 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000209 ), + .Q(\blk00000003/sig000008fa ), + .Q15(\NLW_blk00000003/blk0000077b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f9 ), + .Q(\blk00000003/sig00000829 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000779 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000208 ), + .Q(\blk00000003/sig000008f9 ), + .Q15(\NLW_blk00000003/blk00000779_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000778 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f8 ), + .Q(\blk00000003/sig00000827 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000777 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000282 ), + .Q(\blk00000003/sig000008f8 ), + .Q15(\NLW_blk00000003/blk00000777_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000776 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f7 ), + .Q(\blk00000003/sig0000082c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000775 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000206 ), + .Q(\blk00000003/sig000008f7 ), + .Q15(\NLW_blk00000003/blk00000775_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000774 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f6 ), + .Q(\blk00000003/sig0000082b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000773 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000205 ), + .Q(\blk00000003/sig000008f6 ), + .Q15(\NLW_blk00000003/blk00000773_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000772 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f5 ), + .Q(\blk00000003/sig0000082a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000771 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000207 ), + .Q(\blk00000003/sig000008f5 ), + .Q15(\NLW_blk00000003/blk00000771_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000770 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f4 ), + .Q(\blk00000003/sig0000082d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000204 ), + .Q(\blk00000003/sig000008f4 ), + .Q15(\NLW_blk00000003/blk0000076f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f3 ), + .Q(\blk00000003/sig0000082f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000203 ), + .Q(\blk00000003/sig000008f3 ), + .Q15(\NLW_blk00000003/blk0000076d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f2 ), + .Q(\blk00000003/sig0000082e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000202 ), + .Q(\blk00000003/sig000008f2 ), + .Q15(\NLW_blk00000003/blk0000076b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f1 ), + .Q(\blk00000003/sig00000830 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000769 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000201 ), + .Q(\blk00000003/sig000008f1 ), + .Q15(\NLW_blk00000003/blk00000769_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000768 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f0 ), + .Q(\blk00000003/sig00000831 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000767 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ff ), + .Q(\blk00000003/sig000008f0 ), + .Q15(\NLW_blk00000003/blk00000767_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000766 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008ef ), + .Q(\blk00000003/sig00000833 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000765 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fe ), + .Q(\blk00000003/sig000008ef ), + .Q15(\NLW_blk00000003/blk00000765_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000764 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008ee ), + .Q(\blk00000003/sig00000832 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000763 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000200 ), + .Q(\blk00000003/sig000008ee ), + .Q15(\NLW_blk00000003/blk00000763_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000762 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008ed ), + .Q(\blk00000003/sig00000834 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000761 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fc ), + .Q(\blk00000003/sig000008ed ), + .Q15(\NLW_blk00000003/blk00000761_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000760 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008ec ), + .Q(\blk00000003/sig00000836 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000075f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fb ), + .Q(\blk00000003/sig000008ec ), + .Q15(\NLW_blk00000003/blk0000075f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008eb ), + .Q(\blk00000003/sig00000835 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000075d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fd ), + .Q(\blk00000003/sig000008eb ), + .Q15(\NLW_blk00000003/blk0000075d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008ea ), + .Q(\blk00000003/sig00000837 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000075b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fa ), + .Q(\blk00000003/sig000008ea ), + .Q15(\NLW_blk00000003/blk0000075b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008e9 ), + .Q(\blk00000003/sig0000080f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000759 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000294 ), + .Q(\blk00000003/sig000008e9 ), + .Q15(\NLW_blk00000003/blk00000759_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008e7 ), + .Q(\blk00000003/sig000008e8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000757 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002e7 ), + .Q(\blk00000003/sig000008e7 ), + .Q15(\NLW_blk00000003/blk00000757_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000756 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008e5 ), + .Q(\blk00000003/sig000008e6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000755 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002e6 ), + .Q(\blk00000003/sig000008e5 ), + .Q15(\NLW_blk00000003/blk00000755_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000754 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008e3 ), + .Q(\blk00000003/sig000008e4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000753 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002e8 ), + .Q(\blk00000003/sig000008e3 ), + .Q15(\NLW_blk00000003/blk00000753_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000752 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008e1 ), + .Q(\blk00000003/sig000008e2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000751 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002e5 ), + .Q(\blk00000003/sig000008e1 ), + .Q15(\NLW_blk00000003/blk00000751_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000750 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008df ), + .Q(\blk00000003/sig000008e0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000074f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002e4 ), + .Q(\blk00000003/sig000008df ), + .Q15(\NLW_blk00000003/blk0000074f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000074e ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008dd ), + .Q(\blk00000003/sig000008de ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000074d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002e3 ), + .Q(\blk00000003/sig000008dd ), + .Q15(\NLW_blk00000003/blk0000074d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000074c ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008db ), + .Q(\blk00000003/sig000008dc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000074b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002e2 ), + .Q(\blk00000003/sig000008db ), + .Q15(\NLW_blk00000003/blk0000074b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000074a ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008d9 ), + .Q(\blk00000003/sig000008da ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000749 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002e0 ), + .Q(\blk00000003/sig000008d9 ), + .Q15(\NLW_blk00000003/blk00000749_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000748 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008d7 ), + .Q(\blk00000003/sig000008d8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000747 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002df ), + .Q(\blk00000003/sig000008d7 ), + .Q15(\NLW_blk00000003/blk00000747_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000746 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008d5 ), + .Q(\blk00000003/sig000008d6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000745 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002e1 ), + .Q(\blk00000003/sig000008d5 ), + .Q15(\NLW_blk00000003/blk00000745_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000744 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008d3 ), + .Q(\blk00000003/sig000008d4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000743 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002dd ), + .Q(\blk00000003/sig000008d3 ), + .Q15(\NLW_blk00000003/blk00000743_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000742 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008d1 ), + .Q(\blk00000003/sig000008d2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000741 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002dc ), + .Q(\blk00000003/sig000008d1 ), + .Q15(\NLW_blk00000003/blk00000741_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000740 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008cf ), + .Q(\blk00000003/sig000008d0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000073f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002de ), + .Q(\blk00000003/sig000008cf ), + .Q15(\NLW_blk00000003/blk0000073f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000073e ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008cd ), + .Q(\blk00000003/sig000008ce ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000073d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002da ), + .Q(\blk00000003/sig000008cd ), + .Q15(\NLW_blk00000003/blk0000073d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000073c ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008cb ), + .Q(\blk00000003/sig000008cc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000073b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d9 ), + .Q(\blk00000003/sig000008cb ), + .Q15(\NLW_blk00000003/blk0000073b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000073a ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008c9 ), + .Q(\blk00000003/sig000008ca ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000739 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002db ), + .Q(\blk00000003/sig000008c9 ), + .Q15(\NLW_blk00000003/blk00000739_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000738 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008c7 ), + .Q(\blk00000003/sig000008c8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000737 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d8 ), + .Q(\blk00000003/sig000008c7 ), + .Q15(\NLW_blk00000003/blk00000737_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000736 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008c5 ), + .Q(\blk00000003/sig000008c6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000735 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d7 ), + .Q(\blk00000003/sig000008c5 ), + .Q15(\NLW_blk00000003/blk00000735_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000734 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008c3 ), + .Q(\blk00000003/sig000008c4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000733 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d6 ), + .Q(\blk00000003/sig000008c3 ), + .Q15(\NLW_blk00000003/blk00000733_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008c1 ), + .Q(\blk00000003/sig000008c2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000731 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d5 ), + .Q(\blk00000003/sig000008c1 ), + .Q15(\NLW_blk00000003/blk00000731_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000730 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008bf ), + .Q(\blk00000003/sig000008c0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000072f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d3 ), + .Q(\blk00000003/sig000008bf ), + .Q15(\NLW_blk00000003/blk0000072f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000072e ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008bd ), + .Q(\blk00000003/sig000008be ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000072d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d2 ), + .Q(\blk00000003/sig000008bd ), + .Q15(\NLW_blk00000003/blk0000072d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000072c ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008bb ), + .Q(\blk00000003/sig000008bc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000072b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d4 ), + .Q(\blk00000003/sig000008bb ), + .Q15(\NLW_blk00000003/blk0000072b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000072a ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008b9 ), + .Q(\blk00000003/sig000008ba ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000729 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d0 ), + .Q(\blk00000003/sig000008b9 ), + .Q15(\NLW_blk00000003/blk00000729_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000728 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008b7 ), + .Q(\blk00000003/sig000008b8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000727 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002cf ), + .Q(\blk00000003/sig000008b7 ), + .Q15(\NLW_blk00000003/blk00000727_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000726 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008b5 ), + .Q(\blk00000003/sig000008b6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000725 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d1 ), + .Q(\blk00000003/sig000008b5 ), + .Q15(\NLW_blk00000003/blk00000725_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000724 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008b3 ), + .Q(\blk00000003/sig000008b4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000723 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002cd ), + .Q(\blk00000003/sig000008b3 ), + .Q15(\NLW_blk00000003/blk00000723_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000722 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008b1 ), + .Q(\blk00000003/sig000008b2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000721 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002cc ), + .Q(\blk00000003/sig000008b1 ), + .Q15(\NLW_blk00000003/blk00000721_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000720 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008af ), + .Q(\blk00000003/sig000008b0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002ce ), + .Q(\blk00000003/sig000008af ), + .Q15(\NLW_blk00000003/blk0000071f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071e ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008ad ), + .Q(\blk00000003/sig000008ae ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002ca ), + .Q(\blk00000003/sig000008ad ), + .Q15(\NLW_blk00000003/blk0000071d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071c ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008ab ), + .Q(\blk00000003/sig000008ac ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c9 ), + .Q(\blk00000003/sig000008ab ), + .Q15(\NLW_blk00000003/blk0000071b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071a ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008a9 ), + .Q(\blk00000003/sig000008aa ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000719 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002cb ), + .Q(\blk00000003/sig000008a9 ), + .Q15(\NLW_blk00000003/blk00000719_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000718 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008a7 ), + .Q(\blk00000003/sig000008a8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000717 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c7 ), + .Q(\blk00000003/sig000008a7 ), + .Q15(\NLW_blk00000003/blk00000717_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000716 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008a5 ), + .Q(\blk00000003/sig000008a6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000715 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c6 ), + .Q(\blk00000003/sig000008a5 ), + .Q15(\NLW_blk00000003/blk00000715_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000714 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008a3 ), + .Q(\blk00000003/sig000008a4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000713 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c8 ), + .Q(\blk00000003/sig000008a3 ), + .Q15(\NLW_blk00000003/blk00000713_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000712 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008a1 ), + .Q(\blk00000003/sig000008a2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000711 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c4 ), + .Q(\blk00000003/sig000008a1 ), + .Q15(\NLW_blk00000003/blk00000711_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000710 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig0000089f ), + .Q(\blk00000003/sig000008a0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c3 ), + .Q(\blk00000003/sig0000089f ), + .Q15(\NLW_blk00000003/blk0000070f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070e ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig0000089d ), + .Q(\blk00000003/sig0000089e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c5 ), + .Q(\blk00000003/sig0000089d ), + .Q15(\NLW_blk00000003/blk0000070d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070c ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig0000089b ), + .Q(\blk00000003/sig0000089c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c1 ), + .Q(\blk00000003/sig0000089b ), + .Q15(\NLW_blk00000003/blk0000070b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070a ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig00000899 ), + .Q(\blk00000003/sig0000089a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000709 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c0 ), + .Q(\blk00000003/sig00000899 ), + .Q15(\NLW_blk00000003/blk00000709_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000708 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig00000897 ), + .Q(\blk00000003/sig00000898 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000707 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c2 ), + .Q(\blk00000003/sig00000897 ), + .Q15(\NLW_blk00000003/blk00000707_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000706 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig00000895 ), + .Q(\blk00000003/sig00000896 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000705 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002bf ), + .Q(\blk00000003/sig00000895 ), + .Q15(\NLW_blk00000003/blk00000705_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000704 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig00000893 ), + .Q(\blk00000003/sig00000894 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000703 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002be ), + .Q(\blk00000003/sig00000893 ), + .Q15(\NLW_blk00000003/blk00000703_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000702 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig00000891 ), + .Q(\blk00000003/sig00000892 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000701 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002bd ), + .Q(\blk00000003/sig00000891 ), + .Q15(\NLW_blk00000003/blk00000701_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000700 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig0000088f ), + .Q(\blk00000003/sig00000890 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ff ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002bc ), + .Q(\blk00000003/sig0000088f ), + .Q15(\NLW_blk00000003/blk000006ff_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006fe ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig0000088d ), + .Q(\blk00000003/sig0000088e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006fd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002ba ), + .Q(\blk00000003/sig0000088d ), + .Q15(\NLW_blk00000003/blk000006fd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006fc ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig0000088b ), + .Q(\blk00000003/sig0000088c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006fb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002b9 ), + .Q(\blk00000003/sig0000088b ), + .Q15(\NLW_blk00000003/blk000006fb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006fa ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig00000889 ), + .Q(\blk00000003/sig0000088a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002bb ), + .Q(\blk00000003/sig00000889 ), + .Q15(\NLW_blk00000003/blk000006f9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000888 ), + .Q(\blk00000003/sig00000816 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000067b ), + .Q(\blk00000003/sig00000888 ), + .Q15(\NLW_blk00000003/blk000006f7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000887 ), + .Q(\blk00000003/sig000006dc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f5 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e8 ), + .Q(\blk00000003/sig00000887 ), + .Q15(\NLW_blk00000003/blk000006f5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000886 ), + .Q(\blk00000003/sig00000815 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000067c ), + .Q(\blk00000003/sig00000886 ), + .Q15(\NLW_blk00000003/blk000006f3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000885 ), + .Q(\blk00000003/sig000006da ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f1 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e6 ), + .Q(\blk00000003/sig00000885 ), + .Q15(\NLW_blk00000003/blk000006f1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000884 ), + .Q(\blk00000003/sig000006d9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ef ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e5 ), + .Q(\blk00000003/sig00000884 ), + .Q15(\NLW_blk00000003/blk000006ef_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000883 ), + .Q(\blk00000003/sig000006db ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ed ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e7 ), + .Q(\blk00000003/sig00000883 ), + .Q15(\NLW_blk00000003/blk000006ed_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000882 ), + .Q(\blk00000003/sig000006d8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006eb ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e4 ), + .Q(\blk00000003/sig00000882 ), + .Q15(\NLW_blk00000003/blk000006eb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000881 ), + .Q(\blk00000003/sig000006d7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e9 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e3 ), + .Q(\blk00000003/sig00000881 ), + .Q15(\NLW_blk00000003/blk000006e9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000880 ), + .Q(\blk00000003/sig000006d6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e7 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e2 ), + .Q(\blk00000003/sig00000880 ), + .Q15(\NLW_blk00000003/blk000006e7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000087f ), + .Q(\blk00000003/sig000006d5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e5 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e1 ), + .Q(\blk00000003/sig0000087f ), + .Q15(\NLW_blk00000003/blk000006e5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000087e ), + .Q(\blk00000003/sig000006d3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e3 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002df ), + .Q(\blk00000003/sig0000087e ), + .Q15(\NLW_blk00000003/blk000006e3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000087d ), + .Q(\blk00000003/sig000006d2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e1 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002de ), + .Q(\blk00000003/sig0000087d ), + .Q15(\NLW_blk00000003/blk000006e1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000087c ), + .Q(\blk00000003/sig000006d4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006df ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e0 ), + .Q(\blk00000003/sig0000087c ), + .Q15(\NLW_blk00000003/blk000006df_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006de ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000087b ), + .Q(\blk00000003/sig000006d0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006dd ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002dc ), + .Q(\blk00000003/sig0000087b ), + .Q15(\NLW_blk00000003/blk000006dd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000087a ), + .Q(\blk00000003/sig000006cf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006db ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002db ), + .Q(\blk00000003/sig0000087a ), + .Q15(\NLW_blk00000003/blk000006db_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006da ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000879 ), + .Q(\blk00000003/sig000006d1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d9 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002dd ), + .Q(\blk00000003/sig00000879 ), + .Q15(\NLW_blk00000003/blk000006d9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000878 ), + .Q(\blk00000003/sig000006cd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d7 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d9 ), + .Q(\blk00000003/sig00000878 ), + .Q15(\NLW_blk00000003/blk000006d7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000877 ), + .Q(\blk00000003/sig0000076c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d5 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d8 ), + .Q(\blk00000003/sig00000877 ), + .Q15(\NLW_blk00000003/blk000006d5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000876 ), + .Q(\blk00000003/sig000006ce ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d3 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002da ), + .Q(\blk00000003/sig00000876 ), + .Q15(\NLW_blk00000003/blk000006d3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000875 ), + .Q(\blk00000003/sig0000076b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d1 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d7 ), + .Q(\blk00000003/sig00000875 ), + .Q15(\NLW_blk00000003/blk000006d1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000874 ), + .Q(\blk00000003/sig0000076a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006cf ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d6 ), + .Q(\blk00000003/sig00000874 ), + .Q15(\NLW_blk00000003/blk000006cf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000873 ), + .Q(\blk00000003/sig00000769 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006cd ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d5 ), + .Q(\blk00000003/sig00000873 ), + .Q15(\NLW_blk00000003/blk000006cd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000872 ), + .Q(\blk00000003/sig00000768 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006cb ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d4 ), + .Q(\blk00000003/sig00000872 ), + .Q15(\NLW_blk00000003/blk000006cb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000871 ), + .Q(\blk00000003/sig00000766 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c9 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d2 ), + .Q(\blk00000003/sig00000871 ), + .Q15(\NLW_blk00000003/blk000006c9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000870 ), + .Q(\blk00000003/sig00000765 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c7 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d1 ), + .Q(\blk00000003/sig00000870 ), + .Q15(\NLW_blk00000003/blk000006c7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000086f ), + .Q(\blk00000003/sig00000767 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c5 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d3 ), + .Q(\blk00000003/sig0000086f ), + .Q15(\NLW_blk00000003/blk000006c5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000086e ), + .Q(\blk00000003/sig000006fb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c3 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002cf ), + .Q(\blk00000003/sig0000086e ), + .Q15(\NLW_blk00000003/blk000006c3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000086d ), + .Q(\blk00000003/sig000006fa ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c1 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002ce ), + .Q(\blk00000003/sig0000086d ), + .Q15(\NLW_blk00000003/blk000006c1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000086c ), + .Q(\blk00000003/sig000006fc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006bf ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d0 ), + .Q(\blk00000003/sig0000086c ), + .Q15(\NLW_blk00000003/blk000006bf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006be ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000086b ), + .Q(\blk00000003/sig000006f8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006bd ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002cc ), + .Q(\blk00000003/sig0000086b ), + .Q15(\NLW_blk00000003/blk000006bd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000086a ), + .Q(\blk00000003/sig000006f7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006bb ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002cb ), + .Q(\blk00000003/sig0000086a ), + .Q15(\NLW_blk00000003/blk000006bb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000869 ), + .Q(\blk00000003/sig000006f9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006b9 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002cd ), + .Q(\blk00000003/sig00000869 ), + .Q15(\NLW_blk00000003/blk000006b9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000868 ), + .Q(\blk00000003/sig000006f6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006b7 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002ca ), + .Q(\blk00000003/sig00000868 ), + .Q15(\NLW_blk00000003/blk000006b7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000867 ), + .Q(\blk00000003/sig000006f5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006b5 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c9 ), + .Q(\blk00000003/sig00000867 ), + .Q15(\NLW_blk00000003/blk000006b5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000866 ), + .Q(\blk00000003/sig000006f4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006b3 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c8 ), + .Q(\blk00000003/sig00000866 ), + .Q15(\NLW_blk00000003/blk000006b3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000865 ), + .Q(\blk00000003/sig000006f3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006b1 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c7 ), + .Q(\blk00000003/sig00000865 ), + .Q15(\NLW_blk00000003/blk000006b1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000864 ), + .Q(\blk00000003/sig000006f1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006af ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c5 ), + .Q(\blk00000003/sig00000864 ), + .Q15(\NLW_blk00000003/blk000006af_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000863 ), + .Q(\blk00000003/sig000006f0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ad ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c4 ), + .Q(\blk00000003/sig00000863 ), + .Q15(\NLW_blk00000003/blk000006ad_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000862 ), + .Q(\blk00000003/sig000006f2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ab ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c6 ), + .Q(\blk00000003/sig00000862 ), + .Q15(\NLW_blk00000003/blk000006ab_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000861 ), + .Q(\blk00000003/sig000006ee ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006a9 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c2 ), + .Q(\blk00000003/sig00000861 ), + .Q15(\NLW_blk00000003/blk000006a9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000860 ), + .Q(\blk00000003/sig000006ed ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006a7 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c1 ), + .Q(\blk00000003/sig00000860 ), + .Q15(\NLW_blk00000003/blk000006a7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000085f ), + .Q(\blk00000003/sig000006ef ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006a5 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c3 ), + .Q(\blk00000003/sig0000085f ), + .Q15(\NLW_blk00000003/blk000006a5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000085e ), + .Q(\blk00000003/sig0000077b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006a3 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002bf ), + .Q(\blk00000003/sig0000085e ), + .Q15(\NLW_blk00000003/blk000006a3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000085d ), + .Q(\blk00000003/sig0000077a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006a1 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002be ), + .Q(\blk00000003/sig0000085d ), + .Q15(\NLW_blk00000003/blk000006a1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000085c ), + .Q(\blk00000003/sig0000077c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069f ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c0 ), + .Q(\blk00000003/sig0000085c ), + .Q15(\NLW_blk00000003/blk0000069f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000085b ), + .Q(\blk00000003/sig00000779 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069d ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002bd ), + .Q(\blk00000003/sig0000085b ), + .Q15(\NLW_blk00000003/blk0000069d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000085a ), + .Q(\blk00000003/sig00000778 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069b ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002bc ), + .Q(\blk00000003/sig0000085a ), + .Q15(\NLW_blk00000003/blk0000069b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000859 ), + .Q(\blk00000003/sig00000777 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000699 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002bb ), + .Q(\blk00000003/sig00000859 ), + .Q15(\NLW_blk00000003/blk00000699_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000698 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000858 ), + .Q(\blk00000003/sig00000776 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000697 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002ba ), + .Q(\blk00000003/sig00000858 ), + .Q15(\NLW_blk00000003/blk00000697_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000696 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000857 ), + .Q(\blk00000003/sig00000397 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000695 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002a5 ), + .Q(\blk00000003/sig00000857 ), + .Q15(\NLW_blk00000003/blk00000695_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000694 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000856 ), + .Q(\blk00000003/sig00000398 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000693 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000037e ), + .Q(\blk00000003/sig00000856 ), + .Q15(\NLW_blk00000003/blk00000693_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000692 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000855 ), + .Q(\blk00000003/sig00000775 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000691 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002b9 ), + .Q(\blk00000003/sig00000855 ), + .Q15(\NLW_blk00000003/blk00000691_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000690 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000854 ), + .Q(\blk00000003/sig0000071e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000068f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[0]), + .Q(\blk00000003/sig00000854 ), + .Q15(\NLW_blk00000003/blk0000068f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000068e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000853 ), + .Q(\blk00000003/sig0000071d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000068d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[1]), + .Q(\blk00000003/sig00000853 ), + .Q15(\NLW_blk00000003/blk0000068d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000068c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000852 ), + .Q(\blk00000003/sig00000814 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000068b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002a1 ), + .Q(\blk00000003/sig00000852 ), + .Q15(\NLW_blk00000003/blk0000068b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000068a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000851 ), + .Q(\blk00000003/sig0000071b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000689 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[3]), + .Q(\blk00000003/sig00000851 ), + .Q15(\NLW_blk00000003/blk00000689_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000688 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000850 ), + .Q(\blk00000003/sig0000071a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000687 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[4]), + .Q(\blk00000003/sig00000850 ), + .Q15(\NLW_blk00000003/blk00000687_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000686 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000084f ), + .Q(\blk00000003/sig0000071c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000685 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[2]), + .Q(\blk00000003/sig0000084f ), + .Q15(\NLW_blk00000003/blk00000685_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000684 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000084e ), + .Q(\blk00000003/sig00000719 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000683 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[5]), + .Q(\blk00000003/sig0000084e ), + .Q15(\NLW_blk00000003/blk00000683_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000682 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000084d ), + .Q(\blk00000003/sig00000718 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000681 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[6]), + .Q(\blk00000003/sig0000084d ), + .Q15(\NLW_blk00000003/blk00000681_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000680 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000084c ), + .Q(\blk00000003/sig00000717 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000067f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[7]), + .Q(\blk00000003/sig0000084c ), + .Q15(\NLW_blk00000003/blk0000067f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000067e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000084b ), + .Q(\blk00000003/sig00000716 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000067d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[8]), + .Q(\blk00000003/sig0000084b ), + .Q15(\NLW_blk00000003/blk0000067d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000067c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000084a ), + .Q(\blk00000003/sig00000714 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000067b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[10]), + .Q(\blk00000003/sig0000084a ), + .Q15(\NLW_blk00000003/blk0000067b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000067a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000849 ), + .Q(\blk00000003/sig00000713 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000679 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[11]), + .Q(\blk00000003/sig00000849 ), + .Q15(\NLW_blk00000003/blk00000679_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000678 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000848 ), + .Q(\blk00000003/sig00000715 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000677 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[9]), + .Q(\blk00000003/sig00000848 ), + .Q15(\NLW_blk00000003/blk00000677_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000676 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000847 ), + .Q(\blk00000003/sig00000711 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000675 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[13]), + .Q(\blk00000003/sig00000847 ), + .Q15(\NLW_blk00000003/blk00000675_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000674 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000846 ), + .Q(\blk00000003/sig00000710 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000673 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[14]), + .Q(\blk00000003/sig00000846 ), + .Q15(\NLW_blk00000003/blk00000673_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000672 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000845 ), + .Q(\blk00000003/sig00000712 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000671 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[12]), + .Q(\blk00000003/sig00000845 ), + .Q15(\NLW_blk00000003/blk00000671_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000670 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000844 ), + .Q(\blk00000003/sig0000070e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000066f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[16]), + .Q(\blk00000003/sig00000844 ), + .Q15(\NLW_blk00000003/blk0000066f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000066e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000843 ), + .Q(\blk00000003/sig0000070d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000066d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[17]), + .Q(\blk00000003/sig00000843 ), + .Q15(\NLW_blk00000003/blk0000066d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000066c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000842 ), + .Q(\blk00000003/sig0000070f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000066b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[15]), + .Q(\blk00000003/sig00000842 ), + .Q15(\NLW_blk00000003/blk0000066b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000066a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000841 ), + .Q(\blk00000003/sig000002b0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000669 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002b2 ), + .Q(\blk00000003/sig00000841 ), + .Q15(\NLW_blk00000003/blk00000669_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000668 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000840 ), + .Q(\blk00000003/sig0000068b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000667 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002a7 ), + .Q(\blk00000003/sig00000840 ), + .Q15(\NLW_blk00000003/blk00000667_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000666 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000083f ), + .Q(\blk00000003/sig00000786 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000665 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000029f ), + .Q(\blk00000003/sig0000083f ), + .Q15(\NLW_blk00000003/blk00000665_Q15_UNCONNECTED ) + ); + INV \blk00000003/blk00000664 ( + .I(\blk00000003/sig00000313 ), + .O(\blk00000003/sig00000353 ) + ); + INV \blk00000003/blk00000663 ( + .I(\blk00000003/sig0000035c ), + .O(\blk00000003/sig0000034c ) + ); + INV \blk00000003/blk00000662 ( + .I(\blk00000003/sig00000363 ), + .O(\blk00000003/sig00000352 ) + ); + INV \blk00000003/blk00000661 ( + .I(\blk00000003/sig0000029f ), + .O(\blk00000003/sig00000361 ) + ); + INV \blk00000003/blk00000660 ( + .I(\blk00000003/sig00000795 ), + .O(\blk00000003/sig0000080d ) + ); + INV \blk00000003/blk0000065f ( + .I(\blk00000003/sig00000317 ), + .O(\blk00000003/sig00000364 ) + ); + INV \blk00000003/blk0000065e ( + .I(\blk00000003/sig00000313 ), + .O(\blk00000003/sig0000034d ) + ); + INV \blk00000003/blk0000065d ( + .I(\blk00000003/sig000002ec ), + .O(\blk00000003/sig00000318 ) + ); + INV \blk00000003/blk0000065c ( + .I(\blk00000003/sig0000029d ), + .O(\blk00000003/sig00000166 ) + ); + INV \blk00000003/blk0000065b ( + .I(\blk00000003/sig00000159 ), + .O(\blk00000003/sig00000297 ) + ); + INV \blk00000003/blk0000065a ( + .I(\blk00000003/sig00000163 ), + .O(\blk00000003/sig00000164 ) + ); + LUT3 #( + .INIT ( 8'h40 )) + \blk00000003/blk00000659 ( + .I0(\blk00000003/sig00000320 ), + .I1(\blk00000003/sig00000309 ), + .I2(coef_ld), + .O(\blk00000003/sig0000031c ) + ); + LUT5 #( + .INIT ( 32'h4F444444 )) + \blk00000003/blk00000658 ( + .I0(\blk00000003/sig0000031d ), + .I1(\blk00000003/sig00000311 ), + .I2(\blk00000003/sig00000320 ), + .I3(coef_ld), + .I4(\blk00000003/sig00000309 ), + .O(\blk00000003/sig00000314 ) + ); + LUT4 #( + .INIT ( 16'h1000 )) + \blk00000003/blk00000657 ( + .I0(coef_ld), + .I1(\blk00000003/sig0000030b ), + .I2(coef_we), + .I3(\blk00000003/sig00000309 ), + .O(\blk00000003/sig0000031b ) + ); + LUT5 #( + .INIT ( 32'h20AA2020 )) + \blk00000003/blk00000656 ( + .I0(\blk00000003/sig00000309 ), + .I1(\blk00000003/sig0000030b ), + .I2(coef_we), + .I3(\blk00000003/sig00000320 ), + .I4(coef_ld), + .O(\blk00000003/sig0000031a ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk00000655 ( + .I0(\blk00000003/sig0000035c ), + .I1(ce), + .I2(\blk00000003/sig00000311 ), + .I3(\blk00000003/sig000002ea ), + .O(\blk00000003/sig0000083e ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk00000654 ( + .I0(\blk00000003/sig00000363 ), + .I1(ce), + .I2(\blk00000003/sig0000030f ), + .I3(\blk00000003/sig00000354 ), + .O(\blk00000003/sig0000083d ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk00000653 ( + .I0(\blk00000003/sig00000810 ), + .I1(ce), + .I2(\blk00000003/sig000002a5 ), + .I3(\blk00000003/sig000002a7 ), + .O(\blk00000003/sig0000083b ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk00000652 ( + .I0(ce), + .I1(sclr), + .I2(\blk00000003/sig00000813 ), + .O(\blk00000003/sig0000083a ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk00000651 ( + .I0(ce), + .I1(\blk00000003/sig00000320 ), + .I2(\blk00000003/sig00000811 ), + .O(\blk00000003/sig00000839 ) + ); + LUT5 #( + .INIT ( 32'h6AAAAAAA )) + \blk00000003/blk00000650 ( + .I0(\blk00000003/sig00000812 ), + .I1(\blk00000003/sig00000366 ), + .I2(ce), + .I3(nd), + .I4(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig0000083c ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk0000064f ( + .C(clk), + .D(\blk00000003/sig0000083e ), + .Q(\blk00000003/sig0000035c ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk0000064e ( + .C(clk), + .D(\blk00000003/sig0000083d ), + .Q(\blk00000003/sig00000363 ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064d ( + .C(clk), + .D(\blk00000003/sig0000083c ), + .R(sclr), + .Q(\blk00000003/sig00000812 ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064c ( + .C(clk), + .D(\blk00000003/sig0000083b ), + .R(sclr), + .Q(\blk00000003/sig00000810 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000064b ( + .I0(\blk00000003/sig00000798 ), + .O(\blk00000003/sig00000793 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000064a ( + .I0(\blk00000003/sig00000797 ), + .O(\blk00000003/sig00000790 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000649 ( + .I0(\blk00000003/sig00000796 ), + .O(\blk00000003/sig0000078d ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000648 ( + .I0(\blk00000003/sig00000795 ), + .O(\blk00000003/sig0000078a ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000647 ( + .I0(\blk00000003/sig00000394 ), + .O(\blk00000003/sig00000395 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000646 ( + .I0(\blk00000003/sig00000390 ), + .O(\blk00000003/sig00000391 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000645 ( + .I0(\blk00000003/sig0000037c ), + .O(\blk00000003/sig00000376 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000644 ( + .I0(\blk00000003/sig00000812 ), + .O(\blk00000003/sig0000036c ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000643 ( + .I0(\blk00000003/sig0000033d ), + .O(\blk00000003/sig0000033e ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000642 ( + .I0(\blk00000003/sig00000339 ), + .O(\blk00000003/sig0000033a ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000641 ( + .I0(\blk00000003/sig0000032b ), + .O(\blk00000003/sig00000329 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000640 ( + .I0(\blk00000003/sig00000324 ), + .O(\blk00000003/sig00000322 ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk0000063f ( + .I0(\blk00000003/sig00000324 ), + .I1(\blk00000003/sig00000327 ), + .O(\blk00000003/sig000002fd ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000063e ( + .I0(\blk00000003/sig0000029d ), + .O(\blk00000003/sig00000167 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000807 ), + .R(sclr), + .Q(\blk00000003/sig0000080c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000804 ), + .R(sclr), + .Q(\blk00000003/sig0000080b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000801 ), + .R(sclr), + .Q(\blk00000003/sig0000080a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007fe ), + .R(sclr), + .Q(\blk00000003/sig00000809 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000639 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007fb ), + .R(sclr), + .Q(\blk00000003/sig00000808 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000638 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000788 ), + .R(sclr), + .Q(\blk00000003/sig00000799 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000637 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000794 ), + .R(sclr), + .Q(\blk00000003/sig00000798 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000636 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000791 ), + .R(sclr), + .Q(\blk00000003/sig00000797 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000635 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000078e ), + .R(sclr), + .Q(\blk00000003/sig00000796 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000634 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000078b ), + .S(sclr), + .Q(\blk00000003/sig00000795 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000633 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000396 ), + .R(\blk00000003/sig00000399 ), + .Q(\blk00000003/sig00000394 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000632 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000393 ), + .R(\blk00000003/sig00000399 ), + .Q(\blk00000003/sig00000390 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000631 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000389 ), + .S(\blk00000003/sig00000398 ), + .Q(\blk00000003/sig0000038e ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000630 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000038c ), + .S(\blk00000003/sig00000398 ), + .Q(\blk00000003/sig0000038d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000062f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000381 ), + .R(\blk00000003/sig00000398 ), + .Q(\blk00000003/sig00000387 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000062e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000384 ), + .R(\blk00000003/sig00000398 ), + .Q(\blk00000003/sig00000386 ) + ); + FDR \blk00000003/blk0000062d ( + .C(clk), + .D(\blk00000003/sig0000083a ), + .R(ce), + .Q(\blk00000003/sig00000813 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk0000062c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000377 ), + .S(sclr), + .Q(\blk00000003/sig0000037c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000062b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000037a ), + .R(sclr), + .Q(\blk00000003/sig0000037b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000062a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000371 ), + .R(sclr), + .Q(\blk00000003/sig000002b8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000629 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000374 ), + .R(sclr), + .Q(\blk00000003/sig000002b7 ) + ); + FDR \blk00000003/blk00000628 ( + .C(clk), + .D(\blk00000003/sig00000839 ), + .R(ce), + .Q(\blk00000003/sig00000811 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000627 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000033f ), + .R(\blk00000003/sig00000342 ), + .Q(\blk00000003/sig0000033d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000626 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000033c ), + .R(\blk00000003/sig00000342 ), + .Q(\blk00000003/sig00000339 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000625 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000331 ), + .R(coef_ld), + .Q(\blk00000003/sig00000337 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000624 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000334 ), + .R(coef_ld), + .Q(\blk00000003/sig00000336 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000623 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000032d ), + .R(sclr), + .Q(\blk00000003/sig0000032e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000622 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000032a ), + .R(sclr), + .Q(\blk00000003/sig0000032b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000326 ), + .R(coef_ld), + .Q(\blk00000003/sig00000327 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000620 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000323 ), + .R(coef_ld), + .Q(\blk00000003/sig00000324 ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk0000061f ( + .I0(\blk00000003/sig00000163 ), + .I1(sclr), + .O(\blk00000003/sig00000838 ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk0000061e ( + .C(clk), + .D(\blk00000003/sig00000838 ), + .Q(\blk00000003/sig00000163 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000061d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000015b ), + .R(sclr), + .Q(\blk00000003/sig00000159 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk0000061c ( + .I0(\blk00000003/sig00000808 ), + .I1(\blk00000003/sig00000795 ), + .O(\blk00000003/sig000007fa ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk0000061b ( + .I0(\blk00000003/sig00000809 ), + .I1(\blk00000003/sig00000795 ), + .O(\blk00000003/sig000007fd ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk0000061a ( + .I0(\blk00000003/sig0000080a ), + .I1(\blk00000003/sig00000795 ), + .O(\blk00000003/sig00000800 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk00000619 ( + .I0(\blk00000003/sig0000080b ), + .I1(\blk00000003/sig00000795 ), + .O(\blk00000003/sig00000803 ) + ); + LUT3 #( + .INIT ( 8'hDE )) + \blk00000003/blk00000618 ( + .I0(\blk00000003/sig0000080c ), + .I1(\blk00000003/sig00000795 ), + .I2(\blk00000003/sig000002ae ), + .O(\blk00000003/sig00000806 ) + ); + LUT3 #( + .INIT ( 8'h04 )) + \blk00000003/blk00000617 ( + .I0(\blk00000003/sig000002ae ), + .I1(\blk00000003/sig0000004a ), + .I2(\blk00000003/sig00000795 ), + .O(\blk00000003/sig000007f8 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000616 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000df ), + .I3(NlwRenamedSig_OI_dout_2[45]), + .O(\blk00000003/sig000007f6 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000615 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000de ), + .I3(NlwRenamedSig_OI_dout_2[46]), + .O(\blk00000003/sig000007f7 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000614 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e0 ), + .I3(NlwRenamedSig_OI_dout_2[44]), + .O(\blk00000003/sig000007f5 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000613 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e2 ), + .I3(NlwRenamedSig_OI_dout_2[42]), + .O(\blk00000003/sig000007f3 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000612 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e1 ), + .I3(NlwRenamedSig_OI_dout_2[43]), + .O(\blk00000003/sig000007f4 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000611 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e3 ), + .I3(NlwRenamedSig_OI_dout_2[41]), + .O(\blk00000003/sig000007f2 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000610 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e5 ), + .I3(NlwRenamedSig_OI_dout_2[39]), + .O(\blk00000003/sig000007f0 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000060f ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e4 ), + .I3(NlwRenamedSig_OI_dout_2[40]), + .O(\blk00000003/sig000007f1 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000060e ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e6 ), + .I3(NlwRenamedSig_OI_dout_2[38]), + .O(\blk00000003/sig000007ef ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000060d ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e8 ), + .I3(NlwRenamedSig_OI_dout_2[36]), + .O(\blk00000003/sig000007ed ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000060c ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e7 ), + .I3(NlwRenamedSig_OI_dout_2[37]), + .O(\blk00000003/sig000007ee ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000060b ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e9 ), + .I3(NlwRenamedSig_OI_dout_2[35]), + .O(\blk00000003/sig000007ec ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000060a ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000eb ), + .I3(NlwRenamedSig_OI_dout_2[33]), + .O(\blk00000003/sig000007ea ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000609 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000ea ), + .I3(NlwRenamedSig_OI_dout_2[34]), + .O(\blk00000003/sig000007eb ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000608 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000ec ), + .I3(NlwRenamedSig_OI_dout_2[32]), + .O(\blk00000003/sig000007e9 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000607 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000ee ), + .I3(NlwRenamedSig_OI_dout_2[30]), + .O(\blk00000003/sig000007e7 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000606 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000ed ), + .I3(NlwRenamedSig_OI_dout_2[31]), + .O(\blk00000003/sig000007e8 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000605 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000ef ), + .I3(NlwRenamedSig_OI_dout_2[29]), + .O(\blk00000003/sig000007e6 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000604 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f1 ), + .I3(NlwRenamedSig_OI_dout_2[27]), + .O(\blk00000003/sig000007e4 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000603 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f0 ), + .I3(NlwRenamedSig_OI_dout_2[28]), + .O(\blk00000003/sig000007e5 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000602 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f2 ), + .I3(NlwRenamedSig_OI_dout_2[26]), + .O(\blk00000003/sig000007e3 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000601 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f4 ), + .I3(NlwRenamedSig_OI_dout_2[24]), + .O(\blk00000003/sig000007e1 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000600 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f3 ), + .I3(NlwRenamedSig_OI_dout_2[25]), + .O(\blk00000003/sig000007e2 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ff ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f5 ), + .I3(NlwRenamedSig_OI_dout_2[23]), + .O(\blk00000003/sig000007e0 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005fe ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f7 ), + .I3(NlwRenamedSig_OI_dout_2[21]), + .O(\blk00000003/sig000007de ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005fd ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f6 ), + .I3(NlwRenamedSig_OI_dout_2[22]), + .O(\blk00000003/sig000007df ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005fc ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f8 ), + .I3(NlwRenamedSig_OI_dout_2[20]), + .O(\blk00000003/sig000007dd ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005fb ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000fa ), + .I3(NlwRenamedSig_OI_dout_2[18]), + .O(\blk00000003/sig000007db ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005fa ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f9 ), + .I3(NlwRenamedSig_OI_dout_2[19]), + .O(\blk00000003/sig000007dc ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f9 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000fb ), + .I3(NlwRenamedSig_OI_dout_2[17]), + .O(\blk00000003/sig000007da ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f8 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000837 ), + .I3(NlwRenamedSig_OI_dout_2[15]), + .O(\blk00000003/sig000007d8 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f7 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000fc ), + .I3(NlwRenamedSig_OI_dout_2[16]), + .O(\blk00000003/sig000007d9 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f6 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000836 ), + .I3(NlwRenamedSig_OI_dout_2[14]), + .O(\blk00000003/sig000007d7 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f5 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000835 ), + .I3(NlwRenamedSig_OI_dout_2[12]), + .O(\blk00000003/sig000007d5 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f4 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000834 ), + .I3(NlwRenamedSig_OI_dout_2[13]), + .O(\blk00000003/sig000007d6 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f3 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000833 ), + .I3(NlwRenamedSig_OI_dout_2[11]), + .O(\blk00000003/sig000007d4 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f2 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000832 ), + .I3(NlwRenamedSig_OI_dout_2[9]), + .O(\blk00000003/sig000007d2 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f1 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000831 ), + .I3(NlwRenamedSig_OI_dout_2[10]), + .O(\blk00000003/sig000007d3 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f0 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000830 ), + .I3(NlwRenamedSig_OI_dout_2[8]), + .O(\blk00000003/sig000007d1 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ef ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000082f ), + .I3(NlwRenamedSig_OI_dout_2[6]), + .O(\blk00000003/sig000007cf ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ee ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000082e ), + .I3(NlwRenamedSig_OI_dout_2[7]), + .O(\blk00000003/sig000007d0 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ed ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000082d ), + .I3(NlwRenamedSig_OI_dout_2[5]), + .O(\blk00000003/sig000007ce ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ec ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000082c ), + .I3(NlwRenamedSig_OI_dout_2[3]), + .O(\blk00000003/sig000007cc ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005eb ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000082b ), + .I3(NlwRenamedSig_OI_dout_2[4]), + .O(\blk00000003/sig000007cd ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ea ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000082a ), + .I3(NlwRenamedSig_OI_dout_2[2]), + .O(\blk00000003/sig000007cb ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e9 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000829 ), + .I3(NlwRenamedSig_OI_dout_2[1]), + .O(\blk00000003/sig000007ca ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e8 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000134 ), + .I3(NlwRenamedSig_OI_dout_1[46]), + .O(\blk00000003/sig000007c8 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e7 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000828 ), + .I3(NlwRenamedSig_OI_dout_2[0]), + .O(\blk00000003/sig000007c9 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e6 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000135 ), + .I3(NlwRenamedSig_OI_dout_1[45]), + .O(\blk00000003/sig000007c7 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e5 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000137 ), + .I3(NlwRenamedSig_OI_dout_1[43]), + .O(\blk00000003/sig000007c5 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e4 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000136 ), + .I3(NlwRenamedSig_OI_dout_1[44]), + .O(\blk00000003/sig000007c6 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e3 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000138 ), + .I3(NlwRenamedSig_OI_dout_1[42]), + .O(\blk00000003/sig000007c4 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e2 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000013a ), + .I3(NlwRenamedSig_OI_dout_1[40]), + .O(\blk00000003/sig000007c2 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e1 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000139 ), + .I3(NlwRenamedSig_OI_dout_1[41]), + .O(\blk00000003/sig000007c3 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e0 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000013b ), + .I3(NlwRenamedSig_OI_dout_1[39]), + .O(\blk00000003/sig000007c1 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005df ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000013d ), + .I3(NlwRenamedSig_OI_dout_1[37]), + .O(\blk00000003/sig000007bf ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005de ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000013c ), + .I3(NlwRenamedSig_OI_dout_1[38]), + .O(\blk00000003/sig000007c0 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005dd ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000013e ), + .I3(NlwRenamedSig_OI_dout_1[36]), + .O(\blk00000003/sig000007be ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005dc ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000140 ), + .I3(NlwRenamedSig_OI_dout_1[34]), + .O(\blk00000003/sig000007bc ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005db ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000013f ), + .I3(NlwRenamedSig_OI_dout_1[35]), + .O(\blk00000003/sig000007bd ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005da ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000141 ), + .I3(NlwRenamedSig_OI_dout_1[33]), + .O(\blk00000003/sig000007bb ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d9 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000143 ), + .I3(NlwRenamedSig_OI_dout_1[31]), + .O(\blk00000003/sig000007b9 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d8 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000142 ), + .I3(NlwRenamedSig_OI_dout_1[32]), + .O(\blk00000003/sig000007ba ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d7 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000144 ), + .I3(NlwRenamedSig_OI_dout_1[30]), + .O(\blk00000003/sig000007b8 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d6 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000146 ), + .I3(NlwRenamedSig_OI_dout_1[28]), + .O(\blk00000003/sig000007b6 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d5 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000145 ), + .I3(NlwRenamedSig_OI_dout_1[29]), + .O(\blk00000003/sig000007b7 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d4 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000147 ), + .I3(NlwRenamedSig_OI_dout_1[27]), + .O(\blk00000003/sig000007b5 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d3 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000149 ), + .I3(NlwRenamedSig_OI_dout_1[25]), + .O(\blk00000003/sig000007b3 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d2 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000148 ), + .I3(NlwRenamedSig_OI_dout_1[26]), + .O(\blk00000003/sig000007b4 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d1 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000014a ), + .I3(NlwRenamedSig_OI_dout_1[24]), + .O(\blk00000003/sig000007b2 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d0 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000014c ), + .I3(NlwRenamedSig_OI_dout_1[22]), + .O(\blk00000003/sig000007b0 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005cf ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000014b ), + .I3(NlwRenamedSig_OI_dout_1[23]), + .O(\blk00000003/sig000007b1 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ce ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000014d ), + .I3(NlwRenamedSig_OI_dout_1[21]), + .O(\blk00000003/sig000007af ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005cd ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000014f ), + .I3(NlwRenamedSig_OI_dout_1[19]), + .O(\blk00000003/sig000007ad ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005cc ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000014e ), + .I3(NlwRenamedSig_OI_dout_1[20]), + .O(\blk00000003/sig000007ae ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005cb ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000150 ), + .I3(NlwRenamedSig_OI_dout_1[18]), + .O(\blk00000003/sig000007ac ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ca ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000152 ), + .I3(NlwRenamedSig_OI_dout_1[16]), + .O(\blk00000003/sig000007aa ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c9 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000151 ), + .I3(NlwRenamedSig_OI_dout_1[17]), + .O(\blk00000003/sig000007ab ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c8 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000827 ), + .I3(NlwRenamedSig_OI_dout_1[15]), + .O(\blk00000003/sig000007a9 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c7 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000826 ), + .I3(NlwRenamedSig_OI_dout_1[13]), + .O(\blk00000003/sig000007a7 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c6 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000825 ), + .I3(NlwRenamedSig_OI_dout_1[14]), + .O(\blk00000003/sig000007a8 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c5 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000824 ), + .I3(NlwRenamedSig_OI_dout_1[12]), + .O(\blk00000003/sig000007a6 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c4 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000823 ), + .I3(NlwRenamedSig_OI_dout_1[10]), + .O(\blk00000003/sig000007a4 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c3 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000822 ), + .I3(NlwRenamedSig_OI_dout_1[11]), + .O(\blk00000003/sig000007a5 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c2 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000821 ), + .I3(NlwRenamedSig_OI_dout_1[9]), + .O(\blk00000003/sig000007a3 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c1 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000820 ), + .I3(NlwRenamedSig_OI_dout_1[7]), + .O(\blk00000003/sig000007a1 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c0 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000081f ), + .I3(NlwRenamedSig_OI_dout_1[8]), + .O(\blk00000003/sig000007a2 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005bf ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000081e ), + .I3(NlwRenamedSig_OI_dout_1[6]), + .O(\blk00000003/sig000007a0 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005be ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000081d ), + .I3(NlwRenamedSig_OI_dout_1[4]), + .O(\blk00000003/sig0000079e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005bd ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000081c ), + .I3(NlwRenamedSig_OI_dout_1[5]), + .O(\blk00000003/sig0000079f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005bc ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000081b ), + .I3(NlwRenamedSig_OI_dout_1[3]), + .O(\blk00000003/sig0000079d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005bb ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000081a ), + .I3(NlwRenamedSig_OI_dout_1[1]), + .O(\blk00000003/sig0000079b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ba ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000819 ), + .I3(NlwRenamedSig_OI_dout_1[2]), + .O(\blk00000003/sig0000079c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005b9 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000818 ), + .I3(NlwRenamedSig_OI_dout_1[0]), + .O(\blk00000003/sig0000079a ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk000005b8 ( + .I0(\blk00000003/sig00000799 ), + .I1(\blk00000003/sig00000795 ), + .O(\blk00000003/sig00000787 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005b7 ( + .I0(ce), + .I1(\blk00000003/sig000002b0 ), + .O(\blk00000003/sig00000817 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005b6 ( + .I0(ce), + .I1(\blk00000003/sig0000067a ), + .O(\blk00000003/sig00000785 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005b5 ( + .I0(ce), + .I1(\blk00000003/sig00000816 ), + .O(\blk00000003/sig00000744 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005b4 ( + .I0(ce), + .I1(\blk00000003/sig00000815 ), + .O(\blk00000003/sig00000743 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk000005b3 ( + .I0(\blk00000003/sig0000038d ), + .I1(\blk00000003/sig00000397 ), + .O(\blk00000003/sig0000038b ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk000005b2 ( + .I0(\blk00000003/sig0000038e ), + .I1(\blk00000003/sig00000397 ), + .O(\blk00000003/sig00000388 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk000005b1 ( + .I0(\blk00000003/sig00000397 ), + .I1(\blk00000003/sig00000814 ), + .O(\blk00000003/sig00000385 ) + ); + LUT3 #( + .INIT ( 8'hEA )) + \blk00000003/blk000005b0 ( + .I0(\blk00000003/sig00000386 ), + .I1(\blk00000003/sig00000397 ), + .I2(\blk00000003/sig00000814 ), + .O(\blk00000003/sig00000383 ) + ); + LUT3 #( + .INIT ( 8'hDA )) + \blk00000003/blk000005af ( + .I0(\blk00000003/sig00000387 ), + .I1(\blk00000003/sig00000814 ), + .I2(\blk00000003/sig00000397 ), + .O(\blk00000003/sig00000380 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000005ae ( + .I0(sclr), + .I1(\blk00000003/sig00000813 ), + .O(\blk00000003/sig0000037d ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk000005ad ( + .I0(\blk00000003/sig0000037b ), + .I1(\blk00000003/sig000002a7 ), + .O(\blk00000003/sig00000379 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk000005ac ( + .I0(\blk00000003/sig0000036e ), + .I1(nd), + .I2(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig00000375 ) + ); + LUT4 #( + .INIT ( 16'hFF80 )) + \blk00000003/blk000005ab ( + .I0(nd), + .I1(\blk00000003/sig0000036e ), + .I2(NlwRenamedSig_OI_rfd), + .I3(\blk00000003/sig000002b7 ), + .O(\blk00000003/sig00000373 ) + ); + LUT4 #( + .INIT ( 16'hDFA0 )) + \blk00000003/blk000005aa ( + .I0(nd), + .I1(\blk00000003/sig0000036e ), + .I2(NlwRenamedSig_OI_rfd), + .I3(\blk00000003/sig000002b8 ), + .O(\blk00000003/sig00000370 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005a9 ( + .I0(nd), + .I1(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig00000368 ) + ); + LUT3 #( + .INIT ( 8'h09 )) + \blk00000003/blk000005a8 ( + .I0(\blk00000003/sig00000812 ), + .I1(\blk00000003/sig000002b7 ), + .I2(\blk00000003/sig000002b8 ), + .O(\blk00000003/sig0000036b ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk000005a7 ( + .I0(\blk00000003/sig00000317 ), + .I1(\blk00000003/sig00000310 ), + .O(\blk00000003/sig00000362 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk000005a6 ( + .I0(\blk00000003/sig00000317 ), + .I1(\blk00000003/sig0000030f ), + .O(\blk00000003/sig0000035f ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk000005a5 ( + .I0(\blk00000003/sig00000317 ), + .I1(\blk00000003/sig00000313 ), + .O(\blk00000003/sig0000035d ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk000005a4 ( + .I0(\blk00000003/sig00000317 ), + .I1(\blk00000003/sig00000315 ), + .I2(\blk00000003/sig0000031d ), + .O(\blk00000003/sig00000358 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk000005a3 ( + .I0(\blk00000003/sig00000315 ), + .I1(\blk00000003/sig00000313 ), + .I2(\blk00000003/sig00000317 ), + .O(\blk00000003/sig0000035a ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk000005a2 ( + .I0(\blk00000003/sig00000313 ), + .I1(\blk00000003/sig00000310 ), + .O(\blk00000003/sig00000351 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk000005a1 ( + .I0(\blk00000003/sig00000313 ), + .I1(\blk00000003/sig0000030f ), + .O(\blk00000003/sig0000034f ) + ); + LUT3 #( + .INIT ( 8'hBF )) + \blk00000003/blk000005a0 ( + .I0(\blk00000003/sig00000317 ), + .I1(\blk00000003/sig00000313 ), + .I2(\blk00000003/sig0000030d ), + .O(\blk00000003/sig0000034a ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000059f ( + .I0(\blk00000003/sig00000312 ), + .I1(\blk00000003/sig00000313 ), + .O(\blk00000003/sig00000346 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk0000059e ( + .I0(\blk00000003/sig00000311 ), + .I1(\blk00000003/sig00000313 ), + .I2(\blk00000003/sig0000031d ), + .O(\blk00000003/sig00000348 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk0000059d ( + .I0(\blk00000003/sig00000320 ), + .I1(\blk00000003/sig00000811 ), + .O(\blk00000003/sig00000341 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk0000059c ( + .I0(\blk00000003/sig000002f3 ), + .I1(coef_we), + .I2(\blk00000003/sig000002f9 ), + .O(\blk00000003/sig00000335 ) + ); + LUT4 #( + .INIT ( 16'hEAAA )) + \blk00000003/blk0000059b ( + .I0(\blk00000003/sig00000336 ), + .I1(coef_we), + .I2(\blk00000003/sig000002f9 ), + .I3(\blk00000003/sig000002f3 ), + .O(\blk00000003/sig00000333 ) + ); + LUT4 #( + .INIT ( 16'hDAAA )) + \blk00000003/blk0000059a ( + .I0(\blk00000003/sig00000337 ), + .I1(\blk00000003/sig000002f3 ), + .I2(\blk00000003/sig000002f9 ), + .I3(coef_we), + .O(\blk00000003/sig00000330 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000599 ( + .I0(\blk00000003/sig0000032e ), + .I1(\blk00000003/sig00000294 ), + .O(\blk00000003/sig0000032c ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000598 ( + .I0(\blk00000003/sig00000327 ), + .I1(coef_we), + .O(\blk00000003/sig00000325 ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000597 ( + .I0(coef_ld), + .I1(\blk00000003/sig00000320 ), + .O(\blk00000003/sig00000316 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000596 ( + .I0(coef_we), + .I1(\blk00000003/sig000002f9 ), + .O(\blk00000003/sig000002f4 ) + ); + LUT3 #( + .INIT ( 8'h40 )) + \blk00000003/blk00000595 ( + .I0(coef_ld), + .I1(coef_we), + .I2(\blk00000003/sig0000030b ), + .O(\blk00000003/sig0000031e ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000594 ( + .I0(\blk00000003/sig00000336 ), + .I1(\blk00000003/sig00000337 ), + .O(\blk00000003/sig00000301 ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000593 ( + .I0(\blk00000003/sig00000324 ), + .I1(\blk00000003/sig00000327 ), + .O(\blk00000003/sig000002fc ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000592 ( + .I0(\blk00000003/sig00000337 ), + .I1(\blk00000003/sig00000336 ), + .O(\blk00000003/sig000002f7 ) + ); + LUT3 #( + .INIT ( 8'hBF )) + \blk00000003/blk00000591 ( + .I0(\blk00000003/sig00000320 ), + .I1(\blk00000003/sig00000309 ), + .I2(coef_ld), + .O(\blk00000003/sig000002f1 ) + ); + LUT3 #( + .INIT ( 8'hBF )) + \blk00000003/blk00000590 ( + .I0(\blk00000003/sig0000030b ), + .I1(coef_we), + .I2(\blk00000003/sig00000309 ), + .O(\blk00000003/sig000002ee ) + ); + LUT5 #( + .INIT ( 32'hFFFF2AAA )) + \blk00000003/blk0000058f ( + .I0(\blk00000003/sig0000030b ), + .I1(coef_we), + .I2(\blk00000003/sig000002f9 ), + .I3(\blk00000003/sig000002f3 ), + .I4(coef_ld), + .O(\blk00000003/sig0000030a ) + ); + LUT4 #( + .INIT ( 16'hFF8A )) + \blk00000003/blk0000058e ( + .I0(\blk00000003/sig00000309 ), + .I1(\blk00000003/sig0000030b ), + .I2(coef_we), + .I3(coef_ld), + .O(\blk00000003/sig00000308 ) + ); + LUT3 #( + .INIT ( 8'h80 )) + \blk00000003/blk0000058d ( + .I0(nd), + .I1(\blk00000003/sig00000366 ), + .I2(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig000002b6 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000058c ( + .I0(\blk00000003/sig0000016b ), + .I1(\blk00000003/sig000002ae ), + .O(\blk00000003/sig000002b4 ) + ); + LUT3 #( + .INIT ( 8'h10 )) + \blk00000003/blk0000058b ( + .I0(\blk00000003/sig0000016b ), + .I1(\blk00000003/sig00000795 ), + .I2(\blk00000003/sig000002ae ), + .O(\blk00000003/sig0000016c ) + ); + LUT3 #( + .INIT ( 8'hEA )) + \blk00000003/blk0000058a ( + .I0(sclr), + .I1(ce), + .I2(\blk00000003/sig00000795 ), + .O(\blk00000003/sig000002af ) + ); + LUT2 #( + .INIT ( 4'hD )) + \blk00000003/blk00000589 ( + .I0(NlwRenamedSig_OI_rfd), + .I1(nd), + .O(\blk00000003/sig0000029b ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000588 ( + .I0(\blk00000003/sig0000032b ), + .I1(\blk00000003/sig0000032e ), + .O(\blk00000003/sig00000295 ) + ); + LUT5 #( + .INIT ( 32'h00002000 )) + \blk00000003/blk00000587 ( + .I0(\blk00000003/sig00000808 ), + .I1(\blk00000003/sig00000809 ), + .I2(\blk00000003/sig0000080a ), + .I3(\blk00000003/sig0000080b ), + .I4(\blk00000003/sig0000080c ), + .O(\blk00000003/sig0000016f ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk00000586 ( + .I0(\blk00000003/sig000002a7 ), + .I1(\blk00000003/sig00000294 ), + .I2(\blk00000003/sig000002b5 ), + .O(\blk00000003/sig000002a6 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000585 ( + .I0(\blk00000003/sig0000037c ), + .I1(\blk00000003/sig000002b3 ), + .O(\blk00000003/sig000002ac ) + ); + LUT3 #( + .INIT ( 8'hD8 )) + \blk00000003/blk00000584 ( + .I0(ce), + .I1(\blk00000003/sig0000080e ), + .I2(\blk00000003/sig00000161 ), + .O(\blk00000003/sig00000160 ) + ); + LUT3 #( + .INIT ( 8'h72 )) + \blk00000003/blk00000583 ( + .I0(ce), + .I1(\blk00000003/sig0000080e ), + .I2(\blk00000003/sig0000015f ), + .O(\blk00000003/sig0000015e ) + ); + LUT4 #( + .INIT ( 16'h8F88 )) + \blk00000003/blk00000582 ( + .I0(NlwRenamedSig_OI_rfd), + .I1(nd), + .I2(\blk00000003/sig000002a9 ), + .I3(\blk00000003/sig00000296 ), + .O(\blk00000003/sig000002a8 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000581 ( + .I0(\blk00000003/sig00000159 ), + .I1(\blk00000003/sig00000296 ), + .O(\blk00000003/sig0000015a ) + ); + LUT5 #( + .INIT ( 32'hCEEE8AAA )) + \blk00000003/blk00000580 ( + .I0(\blk00000003/sig00000294 ), + .I1(\blk00000003/sig000002b5 ), + .I2(\blk00000003/sig000002a5 ), + .I3(\blk00000003/sig000002a7 ), + .I4(\blk00000003/sig000002a3 ), + .O(\blk00000003/sig000002a4 ) + ); + LUT4 #( + .INIT ( 16'h8808 )) + \blk00000003/blk0000057f ( + .I0(\blk00000003/sig000002a5 ), + .I1(\blk00000003/sig00000810 ), + .I2(\blk00000003/sig000002a7 ), + .I3(\blk00000003/sig000002b5 ), + .O(\blk00000003/sig000002a0 ) + ); + LUT4 #( + .INIT ( 16'h5540 )) + \blk00000003/blk0000057e ( + .I0(\blk00000003/sig000002b5 ), + .I1(\blk00000003/sig000002a5 ), + .I2(\blk00000003/sig000002a7 ), + .I3(\blk00000003/sig000002a3 ), + .O(\blk00000003/sig000002a2 ) + ); + LUT3 #( + .INIT ( 8'h9A )) + \blk00000003/blk0000057d ( + .I0(\blk00000003/sig0000037b ), + .I1(\blk00000003/sig0000037c ), + .I2(\blk00000003/sig000002b3 ), + .O(\blk00000003/sig000002aa ) + ); + LUT4 #( + .INIT ( 16'hFDA8 )) + \blk00000003/blk0000057c ( + .I0(ce), + .I1(\blk00000003/sig0000080e ), + .I2(\blk00000003/sig0000080f ), + .I3(\blk00000003/sig0000015d ), + .O(\blk00000003/sig0000015c ) + ); + MUXCY \blk00000003/blk0000057b ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ac ), + .S(\blk00000003/sig0000080d ), + .O(\blk00000003/sig00000805 ) + ); + MUXCY_L \blk00000003/blk0000057a ( + .CI(\blk00000003/sig00000805 ), + .DI(\blk00000003/sig0000080c ), + .S(\blk00000003/sig00000806 ), + .LO(\blk00000003/sig00000802 ) + ); + MUXCY_L \blk00000003/blk00000579 ( + .CI(\blk00000003/sig00000802 ), + .DI(\blk00000003/sig0000080b ), + .S(\blk00000003/sig00000803 ), + .LO(\blk00000003/sig000007ff ) + ); + MUXCY_L \blk00000003/blk00000578 ( + .CI(\blk00000003/sig000007ff ), + .DI(\blk00000003/sig0000080a ), + .S(\blk00000003/sig00000800 ), + .LO(\blk00000003/sig000007fc ) + ); + MUXCY_L \blk00000003/blk00000577 ( + .CI(\blk00000003/sig000007fc ), + .DI(\blk00000003/sig00000809 ), + .S(\blk00000003/sig000007fd ), + .LO(\blk00000003/sig000007f9 ) + ); + MUXCY_D \blk00000003/blk00000576 ( + .CI(\blk00000003/sig000007f9 ), + .DI(\blk00000003/sig00000808 ), + .S(\blk00000003/sig000007fa ), + .O(\NLW_blk00000003/blk00000576_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk00000576_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000575 ( + .CI(\blk00000003/sig00000805 ), + .LI(\blk00000003/sig00000806 ), + .O(\blk00000003/sig00000807 ) + ); + XORCY \blk00000003/blk00000574 ( + .CI(\blk00000003/sig00000802 ), + .LI(\blk00000003/sig00000803 ), + .O(\blk00000003/sig00000804 ) + ); + XORCY \blk00000003/blk00000573 ( + .CI(\blk00000003/sig000007ff ), + .LI(\blk00000003/sig00000800 ), + .O(\blk00000003/sig00000801 ) + ); + XORCY \blk00000003/blk00000572 ( + .CI(\blk00000003/sig000007fc ), + .LI(\blk00000003/sig000007fd ), + .O(\blk00000003/sig000007fe ) + ); + XORCY \blk00000003/blk00000571 ( + .CI(\blk00000003/sig000007f9 ), + .LI(\blk00000003/sig000007fa ), + .O(\blk00000003/sig000007fb ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000570 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007f8 ), + .R(sclr), + .Q(\blk00000003/sig0000004a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000056f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007f7 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[46]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000056e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007f6 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[45]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000056d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007f5 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[44]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000056c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007f4 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[43]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000056b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007f3 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[42]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000056a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007f2 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[41]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007f1 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[40]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000568 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007f0 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[39]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000567 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ef ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[38]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000566 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ee ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[37]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000565 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ed ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[36]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000564 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ec ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[35]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000563 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007eb ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[34]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000562 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ea ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[33]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000561 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e9 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[32]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000560 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e8 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[31]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000055f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e7 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[30]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000055e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e6 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[29]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000055d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e5 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[28]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000055c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e4 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[27]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000055b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e3 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[26]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000055a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e2 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[25]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000559 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e1 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[24]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000558 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e0 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[23]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000557 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007df ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[22]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000556 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007de ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[21]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000555 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007dd ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[20]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000554 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007dc ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[19]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000553 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007db ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[18]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000552 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007da ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[17]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000551 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d9 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[16]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000550 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d8 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[15]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d7 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[14]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d6 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[13]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d5 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[12]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d4 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[11]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d3 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[10]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d2 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[9]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000549 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d1 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[8]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000548 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d0 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[7]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000547 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007cf ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[6]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000546 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ce ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[5]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000545 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007cd ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[4]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000544 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007cc ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[3]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000543 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007cb ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[2]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000542 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ca ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[1]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000541 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c9 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[0]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000540 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c8 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[46]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000053f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c7 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[45]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000053e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c6 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[44]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000053d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c5 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[43]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000053c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c4 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[42]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000053b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c3 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[41]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000053a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c2 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[40]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000539 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c1 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[39]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000538 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c0 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[38]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000537 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007bf ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[37]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000536 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007be ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[36]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000535 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007bd ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[35]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000534 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007bc ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[34]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000533 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007bb ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[33]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000532 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ba ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[32]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000531 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b9 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[31]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b8 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[30]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b7 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[29]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b6 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[28]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b5 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[27]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b4 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[26]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b3 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[25]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b2 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[24]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000529 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b1 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[23]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000528 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b0 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[22]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000527 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007af ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[21]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000526 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ae ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[20]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000525 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ad ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[19]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000524 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ac ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[18]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000523 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ab ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[17]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000522 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007aa ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[16]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000521 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a9 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[15]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000520 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a8 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[14]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a7 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[13]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a6 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[12]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a5 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[11]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a4 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[10]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a3 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[9]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a2 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[8]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000519 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a1 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[7]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000518 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a0 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[6]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000517 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000079f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[5]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000516 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000079e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[4]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000515 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000079d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[3]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000514 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000079c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[2]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000513 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000079b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[1]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000512 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000079a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[0]) + ); + MUXCY_L \blk00000003/blk00000511 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000799 ), + .S(\blk00000003/sig00000787 ), + .LO(\blk00000003/sig00000792 ) + ); + MUXCY_L \blk00000003/blk00000510 ( + .CI(\blk00000003/sig00000792 ), + .DI(\blk00000003/sig00000798 ), + .S(\blk00000003/sig00000793 ), + .LO(\blk00000003/sig0000078f ) + ); + MUXCY_L \blk00000003/blk0000050f ( + .CI(\blk00000003/sig0000078f ), + .DI(\blk00000003/sig00000797 ), + .S(\blk00000003/sig00000790 ), + .LO(\blk00000003/sig0000078c ) + ); + MUXCY_L \blk00000003/blk0000050e ( + .CI(\blk00000003/sig0000078c ), + .DI(\blk00000003/sig00000796 ), + .S(\blk00000003/sig0000078d ), + .LO(\blk00000003/sig00000789 ) + ); + MUXCY_D \blk00000003/blk0000050d ( + .CI(\blk00000003/sig00000789 ), + .DI(\blk00000003/sig00000795 ), + .S(\blk00000003/sig0000078a ), + .O(\NLW_blk00000003/blk0000050d_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk0000050d_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk0000050c ( + .CI(\blk00000003/sig00000792 ), + .LI(\blk00000003/sig00000793 ), + .O(\blk00000003/sig00000794 ) + ); + XORCY \blk00000003/blk0000050b ( + .CI(\blk00000003/sig0000078f ), + .LI(\blk00000003/sig00000790 ), + .O(\blk00000003/sig00000791 ) + ); + XORCY \blk00000003/blk0000050a ( + .CI(\blk00000003/sig0000078c ), + .LI(\blk00000003/sig0000078d ), + .O(\blk00000003/sig0000078e ) + ); + XORCY \blk00000003/blk00000509 ( + .CI(\blk00000003/sig00000789 ), + .LI(\blk00000003/sig0000078a ), + .O(\blk00000003/sig0000078b ) + ); + XORCY \blk00000003/blk00000508 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000787 ), + .O(\blk00000003/sig00000788 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e0 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005e0 ), + .R(sclr), + .Q(\blk00000003/sig0000069c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004df ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005df ), + .R(sclr), + .Q(\blk00000003/sig0000069b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004de ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005de ), + .R(sclr), + .Q(\blk00000003/sig0000069a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004dd ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005dd ), + .R(sclr), + .Q(\blk00000003/sig00000699 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004dc ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005dc ), + .R(sclr), + .Q(\blk00000003/sig00000698 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004db ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005db ), + .R(sclr), + .Q(\blk00000003/sig00000697 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004da ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005da ), + .R(sclr), + .Q(\blk00000003/sig00000696 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d9 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005d9 ), + .R(sclr), + .Q(\blk00000003/sig00000695 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d8 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005d8 ), + .R(sclr), + .Q(\blk00000003/sig00000694 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d7 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005d7 ), + .R(sclr), + .Q(\blk00000003/sig00000693 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d6 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005d6 ), + .R(sclr), + .Q(\blk00000003/sig00000692 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d5 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005d5 ), + .R(sclr), + .Q(\blk00000003/sig00000691 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d4 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005d4 ), + .R(sclr), + .Q(\blk00000003/sig00000690 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d3 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005d3 ), + .R(sclr), + .Q(\blk00000003/sig0000068f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d2 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005d2 ), + .R(sclr), + .Q(\blk00000003/sig0000068e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d1 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005d1 ), + .R(sclr), + .Q(\blk00000003/sig0000068d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d0 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000050c ), + .R(sclr), + .Q(\blk00000003/sig0000074c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004cf ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000050b ), + .R(sclr), + .Q(\blk00000003/sig0000074b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ce ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000050a ), + .R(sclr), + .Q(\blk00000003/sig0000074a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004cd ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000509 ), + .R(sclr), + .Q(\blk00000003/sig00000749 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004cc ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000508 ), + .R(sclr), + .Q(\blk00000003/sig00000748 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004cb ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000507 ), + .R(sclr), + .Q(\blk00000003/sig00000747 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ca ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000506 ), + .R(sclr), + .Q(\blk00000003/sig00000746 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c9 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000505 ), + .R(sclr), + .Q(\blk00000003/sig00000745 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c8 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000630 ), + .R(sclr), + .Q(\blk00000003/sig000006ac ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c7 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000062f ), + .R(sclr), + .Q(\blk00000003/sig000006ab ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c6 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000062e ), + .R(sclr), + .Q(\blk00000003/sig000006aa ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c5 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000062d ), + .R(sclr), + .Q(\blk00000003/sig000006a9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c4 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000062c ), + .R(sclr), + .Q(\blk00000003/sig000006a8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c3 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000062b ), + .R(sclr), + .Q(\blk00000003/sig000006a7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c2 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000062a ), + .R(sclr), + .Q(\blk00000003/sig000006a6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c1 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000629 ), + .R(sclr), + .Q(\blk00000003/sig000006a5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c0 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000628 ), + .R(sclr), + .Q(\blk00000003/sig000006a4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004bf ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000627 ), + .R(sclr), + .Q(\blk00000003/sig000006a3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000626 ), + .R(sclr), + .Q(\blk00000003/sig000006a2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004bd ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000625 ), + .R(sclr), + .Q(\blk00000003/sig000006a1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004bc ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000624 ), + .R(sclr), + .Q(\blk00000003/sig000006a0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004bb ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000623 ), + .R(sclr), + .Q(\blk00000003/sig0000069f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ba ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000622 ), + .R(sclr), + .Q(\blk00000003/sig0000069e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b9 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000621 ), + .R(sclr), + .Q(\blk00000003/sig0000069d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b8 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000055e ), + .R(sclr), + .Q(\blk00000003/sig00000754 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b7 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000055d ), + .R(sclr), + .Q(\blk00000003/sig00000753 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000055c ), + .R(sclr), + .Q(\blk00000003/sig00000752 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b5 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000055b ), + .R(sclr), + .Q(\blk00000003/sig00000751 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b4 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000055a ), + .R(sclr), + .Q(\blk00000003/sig00000750 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b3 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000559 ), + .R(sclr), + .Q(\blk00000003/sig0000074f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b2 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000558 ), + .R(sclr), + .Q(\blk00000003/sig0000074e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b1 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000557 ), + .R(sclr), + .Q(\blk00000003/sig0000074d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b0 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000566 ), + .R(sclr), + .Q(\blk00000003/sig00000774 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004af ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000565 ), + .R(sclr), + .Q(\blk00000003/sig00000773 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ae ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000564 ), + .R(sclr), + .Q(\blk00000003/sig00000772 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ad ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000563 ), + .R(sclr), + .Q(\blk00000003/sig00000771 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ac ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000562 ), + .R(sclr), + .Q(\blk00000003/sig00000770 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ab ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000561 ), + .R(sclr), + .Q(\blk00000003/sig0000076f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004aa ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000560 ), + .R(sclr), + .Q(\blk00000003/sig0000076e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a9 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000055f ), + .R(sclr), + .Q(\blk00000003/sig0000076d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a8 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000004d4 ), + .R(sclr), + .Q(\blk00000003/sig0000075c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a7 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000004d3 ), + .R(sclr), + .Q(\blk00000003/sig0000075b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a6 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000004d2 ), + .R(sclr), + .Q(\blk00000003/sig0000075a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a5 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000004d1 ), + .R(sclr), + .Q(\blk00000003/sig00000759 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000004d0 ), + .R(sclr), + .Q(\blk00000003/sig00000758 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a3 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000004cf ), + .R(sclr), + .Q(\blk00000003/sig00000757 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a2 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000004ce ), + .R(sclr), + .Q(\blk00000003/sig00000756 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a1 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000004cd ), + .R(sclr), + .Q(\blk00000003/sig00000755 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a0 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000588 ), + .R(sclr), + .Q(\blk00000003/sig00000784 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049f ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000587 ), + .R(sclr), + .Q(\blk00000003/sig00000783 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049e ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000586 ), + .R(sclr), + .Q(\blk00000003/sig00000782 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049d ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000585 ), + .R(sclr), + .Q(\blk00000003/sig00000781 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049c ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000584 ), + .R(sclr), + .Q(\blk00000003/sig00000780 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049b ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000583 ), + .R(sclr), + .Q(\blk00000003/sig0000077f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049a ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000582 ), + .R(sclr), + .Q(\blk00000003/sig0000077e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000499 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000581 ), + .R(sclr), + .Q(\blk00000003/sig0000077d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000498 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000526 ), + .R(sclr), + .Q(\blk00000003/sig00000764 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000497 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000525 ), + .R(sclr), + .Q(\blk00000003/sig00000763 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000496 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000524 ), + .R(sclr), + .Q(\blk00000003/sig00000762 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000495 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000523 ), + .R(sclr), + .Q(\blk00000003/sig00000761 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000494 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000522 ), + .R(sclr), + .Q(\blk00000003/sig00000760 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000493 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000521 ), + .R(sclr), + .Q(\blk00000003/sig0000075f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000492 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000520 ), + .R(sclr), + .Q(\blk00000003/sig0000075e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000491 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig0000051f ), + .R(sclr), + .Q(\blk00000003/sig0000075d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000385 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000640 ), + .R(sclr), + .Q(\blk00000003/sig000006ec ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000063f ), + .R(sclr), + .Q(\blk00000003/sig000006eb ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000383 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000063e ), + .R(sclr), + .Q(\blk00000003/sig000006ea ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000382 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000063d ), + .R(sclr), + .Q(\blk00000003/sig000006e9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000381 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000063c ), + .R(sclr), + .Q(\blk00000003/sig000006e8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000380 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000063b ), + .R(sclr), + .Q(\blk00000003/sig000006e7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037f ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000063a ), + .R(sclr), + .Q(\blk00000003/sig000006e6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037e ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000639 ), + .R(sclr), + .Q(\blk00000003/sig000006e5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037d ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000638 ), + .R(sclr), + .Q(\blk00000003/sig000006e4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037c ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000637 ), + .R(sclr), + .Q(\blk00000003/sig000006e3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000636 ), + .R(sclr), + .Q(\blk00000003/sig000006e2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037a ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000635 ), + .R(sclr), + .Q(\blk00000003/sig000006e1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000379 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000634 ), + .R(sclr), + .Q(\blk00000003/sig000006e0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000378 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000633 ), + .R(sclr), + .Q(\blk00000003/sig000006df ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000377 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000632 ), + .R(sclr), + .Q(\blk00000003/sig000006de ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000376 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000631 ), + .R(sclr), + .Q(\blk00000003/sig000006dd ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000375 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005a0 ), + .R(sclr), + .Q(\blk00000003/sig000006bc ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000374 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig0000059f ), + .R(sclr), + .Q(\blk00000003/sig000006bb ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000373 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig0000059e ), + .R(sclr), + .Q(\blk00000003/sig000006ba ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000372 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig0000059d ), + .R(sclr), + .Q(\blk00000003/sig000006b9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig0000059c ), + .R(sclr), + .Q(\blk00000003/sig000006b8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000370 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig0000059b ), + .R(sclr), + .Q(\blk00000003/sig000006b7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036f ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig0000059a ), + .R(sclr), + .Q(\blk00000003/sig000006b6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036e ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000599 ), + .R(sclr), + .Q(\blk00000003/sig000006b5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000598 ), + .R(sclr), + .Q(\blk00000003/sig000006b4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036c ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000597 ), + .R(sclr), + .Q(\blk00000003/sig000006b3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036b ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000596 ), + .R(sclr), + .Q(\blk00000003/sig000006b2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036a ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000595 ), + .R(sclr), + .Q(\blk00000003/sig000006b1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000369 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000594 ), + .R(sclr), + .Q(\blk00000003/sig000006b0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000368 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000593 ), + .R(sclr), + .Q(\blk00000003/sig000006af ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000367 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000592 ), + .R(sclr), + .Q(\blk00000003/sig000006ae ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000366 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000591 ), + .R(sclr), + .Q(\blk00000003/sig000006ad ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000365 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000660 ), + .R(sclr), + .Q(\blk00000003/sig0000070c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000364 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000065f ), + .R(sclr), + .Q(\blk00000003/sig0000070b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000363 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000065e ), + .R(sclr), + .Q(\blk00000003/sig0000070a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000362 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000065d ), + .R(sclr), + .Q(\blk00000003/sig00000709 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000361 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000065c ), + .R(sclr), + .Q(\blk00000003/sig00000708 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000360 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000065b ), + .R(sclr), + .Q(\blk00000003/sig00000707 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035f ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000065a ), + .R(sclr), + .Q(\blk00000003/sig00000706 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035e ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000659 ), + .R(sclr), + .Q(\blk00000003/sig00000705 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035d ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000658 ), + .R(sclr), + .Q(\blk00000003/sig00000704 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035c ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000657 ), + .R(sclr), + .Q(\blk00000003/sig00000703 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035b ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000656 ), + .R(sclr), + .Q(\blk00000003/sig00000702 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035a ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000655 ), + .R(sclr), + .Q(\blk00000003/sig00000701 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000359 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000654 ), + .R(sclr), + .Q(\blk00000003/sig00000700 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000358 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000653 ), + .R(sclr), + .Q(\blk00000003/sig000006ff ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000357 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000652 ), + .R(sclr), + .Q(\blk00000003/sig000006fe ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000356 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000651 ), + .R(sclr), + .Q(\blk00000003/sig000006fd ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000355 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005f0 ), + .R(sclr), + .Q(\blk00000003/sig000006cc ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000354 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005ef ), + .R(sclr), + .Q(\blk00000003/sig000006cb ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000353 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005ee ), + .R(sclr), + .Q(\blk00000003/sig000006ca ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000352 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005ed ), + .R(sclr), + .Q(\blk00000003/sig000006c9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005ec ), + .R(sclr), + .Q(\blk00000003/sig000006c8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000350 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005eb ), + .R(sclr), + .Q(\blk00000003/sig000006c7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034f ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005ea ), + .R(sclr), + .Q(\blk00000003/sig000006c6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034e ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005e9 ), + .R(sclr), + .Q(\blk00000003/sig000006c5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034d ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005e8 ), + .R(sclr), + .Q(\blk00000003/sig000006c4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034c ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005e7 ), + .R(sclr), + .Q(\blk00000003/sig000006c3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034b ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005e6 ), + .R(sclr), + .Q(\blk00000003/sig000006c2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005e5 ), + .R(sclr), + .Q(\blk00000003/sig000006c1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000349 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005e4 ), + .R(sclr), + .Q(\blk00000003/sig000006c0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005e3 ), + .R(sclr), + .Q(\blk00000003/sig000006bf ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000347 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005e2 ), + .R(sclr), + .Q(\blk00000003/sig000006be ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000346 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005e1 ), + .R(sclr), + .Q(\blk00000003/sig000006bd ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000038e ), + .R(sclr), + .Q(\blk00000003/sig00000685 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000038d ), + .R(sclr), + .Q(\blk00000003/sig00000683 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000390 ), + .R(sclr), + .Q(\blk00000003/sig00000681 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000394 ), + .R(sclr), + .Q(\blk00000003/sig0000067f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000039a ), + .R(sclr), + .Q(\blk00000003/sig0000067d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000068c ), + .R(sclr), + .Q(\blk00000003/sig0000067b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000129 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000068b ), + .R(sclr), + .Q(\blk00000003/sig00000679 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000128 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000387 ), + .R(sclr), + .Q(\blk00000003/sig00000689 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000127 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000386 ), + .R(sclr), + .Q(\blk00000003/sig00000687 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000126 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000689 ), + .R(sclr), + .Q(\blk00000003/sig0000068a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000125 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000687 ), + .R(sclr), + .Q(\blk00000003/sig00000688 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000124 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000685 ), + .R(sclr), + .Q(\blk00000003/sig00000686 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000123 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000683 ), + .R(sclr), + .Q(\blk00000003/sig00000684 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000122 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000681 ), + .R(sclr), + .Q(\blk00000003/sig00000682 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000121 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000067f ), + .R(sclr), + .Q(\blk00000003/sig00000680 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000067d ), + .R(sclr), + .Q(\blk00000003/sig0000067e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000067b ), + .R(sclr), + .Q(\blk00000003/sig0000067c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000679 ), + .R(sclr), + .Q(\blk00000003/sig0000067a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000677 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000678 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000307 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000677 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000673 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000676 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000672 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000675 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000671 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000674 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000118 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000340 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000673 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000033d ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000672 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000116 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000339 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000671 ) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000115 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000115_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000115_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000651 , \blk00000003/sig00000652 , \blk00000003/sig00000653 , +\blk00000003/sig00000654 , \blk00000003/sig00000655 , \blk00000003/sig00000656 , \blk00000003/sig00000657 , \blk00000003/sig00000658 , +\blk00000003/sig00000659 , \blk00000003/sig0000065a , \blk00000003/sig0000065b , \blk00000003/sig0000065c , \blk00000003/sig0000065d , +\blk00000003/sig0000065e , \blk00000003/sig0000065f , \blk00000003/sig00000660 }), + .BCOUT({\NLW_blk00000003/blk00000115_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000115_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000115_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000115_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000115_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000115_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000115_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000115_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000115_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000115_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000115_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000115_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000115_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000115_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000115_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000115_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000115_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000115_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig0000049d , \blk00000003/sig0000049e , \blk00000003/sig0000049f , \blk00000003/sig000004a0 , \blk00000003/sig000004a1 , +\blk00000003/sig000004a2 , \blk00000003/sig000004a3 , \blk00000003/sig000004a4 , \blk00000003/sig000004a5 , \blk00000003/sig000004a6 , +\blk00000003/sig000004a7 , \blk00000003/sig000004a8 , \blk00000003/sig000004a9 , \blk00000003/sig000004aa , \blk00000003/sig000004ab , +\blk00000003/sig000004ac , \blk00000003/sig000004ad , \blk00000003/sig000004ae , \blk00000003/sig000004af , \blk00000003/sig000004b0 , +\blk00000003/sig000004b1 , \blk00000003/sig000004b2 , \blk00000003/sig000004b3 , \blk00000003/sig000004b4 , \blk00000003/sig000004b5 , +\blk00000003/sig000004b6 , \blk00000003/sig000004b7 , \blk00000003/sig000004b8 , \blk00000003/sig000004b9 , \blk00000003/sig000004ba , +\blk00000003/sig000004bb , \blk00000003/sig000004bc , \blk00000003/sig000004bd , \blk00000003/sig000004be , \blk00000003/sig000004bf , +\blk00000003/sig000004c0 , \blk00000003/sig000004c1 , \blk00000003/sig000004c2 , \blk00000003/sig000004c3 , \blk00000003/sig000004c4 , +\blk00000003/sig000004c5 , \blk00000003/sig000004c6 , \blk00000003/sig000004c7 , \blk00000003/sig000004c8 , \blk00000003/sig000004c9 , +\blk00000003/sig000004ca , \blk00000003/sig000004cb , \blk00000003/sig000004cc }), + .C({\NLW_blk00000003/blk00000115_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000115_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000661 , \blk00000003/sig00000662 , \blk00000003/sig00000663 , +\blk00000003/sig00000664 , \blk00000003/sig00000665 , \blk00000003/sig00000666 , \blk00000003/sig00000667 , \blk00000003/sig00000668 , +\blk00000003/sig00000669 , \blk00000003/sig0000066a , \blk00000003/sig0000066b , \blk00000003/sig0000066c , \blk00000003/sig0000066d , +\blk00000003/sig0000066e , \blk00000003/sig0000066f , \blk00000003/sig00000670 }), + .PCOUT({\blk00000003/sig000005f1 , \blk00000003/sig000005f2 , \blk00000003/sig000005f3 , \blk00000003/sig000005f4 , \blk00000003/sig000005f5 , +\blk00000003/sig000005f6 , \blk00000003/sig000005f7 , \blk00000003/sig000005f8 , \blk00000003/sig000005f9 , \blk00000003/sig000005fa , +\blk00000003/sig000005fb , \blk00000003/sig000005fc , \blk00000003/sig000005fd , \blk00000003/sig000005fe , \blk00000003/sig000005ff , +\blk00000003/sig00000600 , \blk00000003/sig00000601 , \blk00000003/sig00000602 , \blk00000003/sig00000603 , \blk00000003/sig00000604 , +\blk00000003/sig00000605 , \blk00000003/sig00000606 , \blk00000003/sig00000607 , \blk00000003/sig00000608 , \blk00000003/sig00000609 , +\blk00000003/sig0000060a , \blk00000003/sig0000060b , \blk00000003/sig0000060c , \blk00000003/sig0000060d , \blk00000003/sig0000060e , +\blk00000003/sig0000060f , \blk00000003/sig00000610 , \blk00000003/sig00000611 , \blk00000003/sig00000612 , \blk00000003/sig00000613 , +\blk00000003/sig00000614 , \blk00000003/sig00000615 , \blk00000003/sig00000616 , \blk00000003/sig00000617 , \blk00000003/sig00000618 , +\blk00000003/sig00000619 , \blk00000003/sig0000061a , \blk00000003/sig0000061b , \blk00000003/sig0000061c , \blk00000003/sig0000061d , +\blk00000003/sig0000061e , \blk00000003/sig0000061f , \blk00000003/sig00000620 }), + .A({\blk00000003/sig0000056f , \blk00000003/sig00000570 , \blk00000003/sig00000571 , \blk00000003/sig00000572 , \blk00000003/sig00000573 , +\blk00000003/sig00000574 , \blk00000003/sig00000575 , \blk00000003/sig00000576 , \blk00000003/sig00000577 , \blk00000003/sig00000578 , +\blk00000003/sig00000579 , \blk00000003/sig0000057a , \blk00000003/sig0000057b , \blk00000003/sig0000057c , \blk00000003/sig0000057d , +\blk00000003/sig0000057e , \blk00000003/sig0000057f , \blk00000003/sig00000580 }), + .M({\NLW_blk00000003/blk00000115_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000114 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000114_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000114_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000631 , \blk00000003/sig00000632 , \blk00000003/sig00000633 , +\blk00000003/sig00000634 , \blk00000003/sig00000635 , \blk00000003/sig00000636 , \blk00000003/sig00000637 , \blk00000003/sig00000638 , +\blk00000003/sig00000639 , \blk00000003/sig0000063a , \blk00000003/sig0000063b , \blk00000003/sig0000063c , \blk00000003/sig0000063d , +\blk00000003/sig0000063e , \blk00000003/sig0000063f , \blk00000003/sig00000640 }), + .BCOUT({\NLW_blk00000003/blk00000114_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000114_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000114_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000114_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000114_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000114_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000114_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000114_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000114_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000114_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000114_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000114_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000114_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000114_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000114_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000114_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000114_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000114_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig0000044d , \blk00000003/sig0000044e , \blk00000003/sig0000044f , \blk00000003/sig00000450 , \blk00000003/sig00000451 , +\blk00000003/sig00000452 , \blk00000003/sig00000453 , \blk00000003/sig00000454 , \blk00000003/sig00000455 , \blk00000003/sig00000456 , +\blk00000003/sig00000457 , \blk00000003/sig00000458 , \blk00000003/sig00000459 , \blk00000003/sig0000045a , \blk00000003/sig0000045b , +\blk00000003/sig0000045c , \blk00000003/sig0000045d , \blk00000003/sig0000045e , \blk00000003/sig0000045f , \blk00000003/sig00000460 , +\blk00000003/sig00000461 , \blk00000003/sig00000462 , \blk00000003/sig00000463 , \blk00000003/sig00000464 , \blk00000003/sig00000465 , +\blk00000003/sig00000466 , \blk00000003/sig00000467 , \blk00000003/sig00000468 , \blk00000003/sig00000469 , \blk00000003/sig0000046a , +\blk00000003/sig0000046b , \blk00000003/sig0000046c , \blk00000003/sig0000046d , \blk00000003/sig0000046e , \blk00000003/sig0000046f , +\blk00000003/sig00000470 , \blk00000003/sig00000471 , \blk00000003/sig00000472 , \blk00000003/sig00000473 , \blk00000003/sig00000474 , +\blk00000003/sig00000475 , \blk00000003/sig00000476 , \blk00000003/sig00000477 , \blk00000003/sig00000478 , \blk00000003/sig00000479 , +\blk00000003/sig0000047a , \blk00000003/sig0000047b , \blk00000003/sig0000047c }), + .C({\NLW_blk00000003/blk00000114_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000114_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000641 , \blk00000003/sig00000642 , \blk00000003/sig00000643 , +\blk00000003/sig00000644 , \blk00000003/sig00000645 , \blk00000003/sig00000646 , \blk00000003/sig00000647 , \blk00000003/sig00000648 , +\blk00000003/sig00000649 , \blk00000003/sig0000064a , \blk00000003/sig0000064b , \blk00000003/sig0000064c , \blk00000003/sig0000064d , +\blk00000003/sig0000064e , \blk00000003/sig0000064f , \blk00000003/sig00000650 }), + .PCOUT({\blk00000003/sig000005a1 , \blk00000003/sig000005a2 , \blk00000003/sig000005a3 , \blk00000003/sig000005a4 , \blk00000003/sig000005a5 , +\blk00000003/sig000005a6 , \blk00000003/sig000005a7 , \blk00000003/sig000005a8 , \blk00000003/sig000005a9 , \blk00000003/sig000005aa , +\blk00000003/sig000005ab , \blk00000003/sig000005ac , \blk00000003/sig000005ad , \blk00000003/sig000005ae , \blk00000003/sig000005af , +\blk00000003/sig000005b0 , \blk00000003/sig000005b1 , \blk00000003/sig000005b2 , \blk00000003/sig000005b3 , \blk00000003/sig000005b4 , +\blk00000003/sig000005b5 , \blk00000003/sig000005b6 , \blk00000003/sig000005b7 , \blk00000003/sig000005b8 , \blk00000003/sig000005b9 , +\blk00000003/sig000005ba , \blk00000003/sig000005bb , \blk00000003/sig000005bc , \blk00000003/sig000005bd , \blk00000003/sig000005be , +\blk00000003/sig000005bf , \blk00000003/sig000005c0 , \blk00000003/sig000005c1 , \blk00000003/sig000005c2 , \blk00000003/sig000005c3 , +\blk00000003/sig000005c4 , \blk00000003/sig000005c5 , \blk00000003/sig000005c6 , \blk00000003/sig000005c7 , \blk00000003/sig000005c8 , +\blk00000003/sig000005c9 , \blk00000003/sig000005ca , \blk00000003/sig000005cb , \blk00000003/sig000005cc , \blk00000003/sig000005cd , +\blk00000003/sig000005ce , \blk00000003/sig000005cf , \blk00000003/sig000005d0 }), + .A({\blk00000003/sig0000056f , \blk00000003/sig00000570 , \blk00000003/sig00000571 , \blk00000003/sig00000572 , \blk00000003/sig00000573 , +\blk00000003/sig00000574 , \blk00000003/sig00000575 , \blk00000003/sig00000576 , \blk00000003/sig00000577 , \blk00000003/sig00000578 , +\blk00000003/sig00000579 , \blk00000003/sig0000057a , \blk00000003/sig0000057b , \blk00000003/sig0000057c , \blk00000003/sig0000057d , +\blk00000003/sig0000057e , \blk00000003/sig0000057f , \blk00000003/sig00000580 }), + .M({\NLW_blk00000003/blk00000114_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000113 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000113_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000113_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000005e1 , \blk00000003/sig000005e2 , \blk00000003/sig000005e3 , +\blk00000003/sig000005e4 , \blk00000003/sig000005e5 , \blk00000003/sig000005e6 , \blk00000003/sig000005e7 , \blk00000003/sig000005e8 , +\blk00000003/sig000005e9 , \blk00000003/sig000005ea , \blk00000003/sig000005eb , \blk00000003/sig000005ec , \blk00000003/sig000005ed , +\blk00000003/sig000005ee , \blk00000003/sig000005ef , \blk00000003/sig000005f0 }), + .BCOUT({\NLW_blk00000003/blk00000113_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000113_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000113_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000113_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000113_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000113_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000113_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000113_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000113_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000113_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000113_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000113_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000113_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000113_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000113_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000113_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000113_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000113_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000005f1 , \blk00000003/sig000005f2 , \blk00000003/sig000005f3 , \blk00000003/sig000005f4 , \blk00000003/sig000005f5 , +\blk00000003/sig000005f6 , \blk00000003/sig000005f7 , \blk00000003/sig000005f8 , \blk00000003/sig000005f9 , \blk00000003/sig000005fa , +\blk00000003/sig000005fb , \blk00000003/sig000005fc , \blk00000003/sig000005fd , \blk00000003/sig000005fe , \blk00000003/sig000005ff , +\blk00000003/sig00000600 , \blk00000003/sig00000601 , \blk00000003/sig00000602 , \blk00000003/sig00000603 , \blk00000003/sig00000604 , +\blk00000003/sig00000605 , \blk00000003/sig00000606 , \blk00000003/sig00000607 , \blk00000003/sig00000608 , \blk00000003/sig00000609 , +\blk00000003/sig0000060a , \blk00000003/sig0000060b , \blk00000003/sig0000060c , \blk00000003/sig0000060d , \blk00000003/sig0000060e , +\blk00000003/sig0000060f , \blk00000003/sig00000610 , \blk00000003/sig00000611 , \blk00000003/sig00000612 , \blk00000003/sig00000613 , +\blk00000003/sig00000614 , \blk00000003/sig00000615 , \blk00000003/sig00000616 , \blk00000003/sig00000617 , \blk00000003/sig00000618 , +\blk00000003/sig00000619 , \blk00000003/sig0000061a , \blk00000003/sig0000061b , \blk00000003/sig0000061c , \blk00000003/sig0000061d , +\blk00000003/sig0000061e , \blk00000003/sig0000061f , \blk00000003/sig00000620 }), + .C({\NLW_blk00000003/blk00000113_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000113_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000621 , \blk00000003/sig00000622 , \blk00000003/sig00000623 , +\blk00000003/sig00000624 , \blk00000003/sig00000625 , \blk00000003/sig00000626 , \blk00000003/sig00000627 , \blk00000003/sig00000628 , +\blk00000003/sig00000629 , \blk00000003/sig0000062a , \blk00000003/sig0000062b , \blk00000003/sig0000062c , \blk00000003/sig0000062d , +\blk00000003/sig0000062e , \blk00000003/sig0000062f , \blk00000003/sig00000630 }), + .PCOUT({\blk00000003/sig000001ca , \blk00000003/sig000001cb , \blk00000003/sig000001cc , \blk00000003/sig000001cd , \blk00000003/sig000001ce , +\blk00000003/sig000001cf , \blk00000003/sig000001d0 , \blk00000003/sig000001d1 , \blk00000003/sig000001d2 , \blk00000003/sig000001d3 , +\blk00000003/sig000001d4 , \blk00000003/sig000001d5 , \blk00000003/sig000001d6 , \blk00000003/sig000001d7 , \blk00000003/sig000001d8 , +\blk00000003/sig000001d9 , \blk00000003/sig000001da , \blk00000003/sig000001db , \blk00000003/sig000001dc , \blk00000003/sig000001dd , +\blk00000003/sig000001de , \blk00000003/sig000001df , \blk00000003/sig000001e0 , \blk00000003/sig000001e1 , \blk00000003/sig000001e2 , +\blk00000003/sig000001e3 , \blk00000003/sig000001e4 , \blk00000003/sig000001e5 , \blk00000003/sig000001e6 , \blk00000003/sig000001e7 , +\blk00000003/sig000001e8 , \blk00000003/sig000001e9 , \blk00000003/sig000001ea , \blk00000003/sig000001eb , \blk00000003/sig000001ec , +\blk00000003/sig000001ed , \blk00000003/sig000001ee , \blk00000003/sig000001ef , \blk00000003/sig000001f0 , \blk00000003/sig000001f1 , +\blk00000003/sig000001f2 , \blk00000003/sig000001f3 , \blk00000003/sig000001f4 , \blk00000003/sig000001f5 , \blk00000003/sig000001f6 , +\blk00000003/sig000001f7 , \blk00000003/sig000001f8 , \blk00000003/sig000001f9 }), + .A({\blk00000003/sig0000050d , \blk00000003/sig0000050e , \blk00000003/sig0000050f , \blk00000003/sig00000510 , \blk00000003/sig00000511 , +\blk00000003/sig00000512 , \blk00000003/sig00000513 , \blk00000003/sig00000514 , \blk00000003/sig00000515 , \blk00000003/sig00000516 , +\blk00000003/sig00000517 , \blk00000003/sig00000518 , \blk00000003/sig00000519 , \blk00000003/sig0000051a , \blk00000003/sig0000051b , +\blk00000003/sig0000051c , \blk00000003/sig0000051d , \blk00000003/sig0000051e }), + .M({\NLW_blk00000003/blk00000113_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000112 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000112_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000112_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000591 , \blk00000003/sig00000592 , \blk00000003/sig00000593 , +\blk00000003/sig00000594 , \blk00000003/sig00000595 , \blk00000003/sig00000596 , \blk00000003/sig00000597 , \blk00000003/sig00000598 , +\blk00000003/sig00000599 , \blk00000003/sig0000059a , \blk00000003/sig0000059b , \blk00000003/sig0000059c , \blk00000003/sig0000059d , +\blk00000003/sig0000059e , \blk00000003/sig0000059f , \blk00000003/sig000005a0 }), + .BCOUT({\NLW_blk00000003/blk00000112_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000112_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000112_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000112_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000112_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000112_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000112_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000112_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000112_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000112_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000112_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000112_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000112_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000112_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000112_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000112_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000112_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000112_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000005a1 , \blk00000003/sig000005a2 , \blk00000003/sig000005a3 , \blk00000003/sig000005a4 , \blk00000003/sig000005a5 , +\blk00000003/sig000005a6 , \blk00000003/sig000005a7 , \blk00000003/sig000005a8 , \blk00000003/sig000005a9 , \blk00000003/sig000005aa , +\blk00000003/sig000005ab , \blk00000003/sig000005ac , \blk00000003/sig000005ad , \blk00000003/sig000005ae , \blk00000003/sig000005af , +\blk00000003/sig000005b0 , \blk00000003/sig000005b1 , \blk00000003/sig000005b2 , \blk00000003/sig000005b3 , \blk00000003/sig000005b4 , +\blk00000003/sig000005b5 , \blk00000003/sig000005b6 , \blk00000003/sig000005b7 , \blk00000003/sig000005b8 , \blk00000003/sig000005b9 , +\blk00000003/sig000005ba , \blk00000003/sig000005bb , \blk00000003/sig000005bc , \blk00000003/sig000005bd , \blk00000003/sig000005be , +\blk00000003/sig000005bf , \blk00000003/sig000005c0 , \blk00000003/sig000005c1 , \blk00000003/sig000005c2 , \blk00000003/sig000005c3 , +\blk00000003/sig000005c4 , \blk00000003/sig000005c5 , \blk00000003/sig000005c6 , \blk00000003/sig000005c7 , \blk00000003/sig000005c8 , +\blk00000003/sig000005c9 , \blk00000003/sig000005ca , \blk00000003/sig000005cb , \blk00000003/sig000005cc , \blk00000003/sig000005cd , +\blk00000003/sig000005ce , \blk00000003/sig000005cf , \blk00000003/sig000005d0 }), + .C({\NLW_blk00000003/blk00000112_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000112_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000005d1 , \blk00000003/sig000005d2 , \blk00000003/sig000005d3 , +\blk00000003/sig000005d4 , \blk00000003/sig000005d5 , \blk00000003/sig000005d6 , \blk00000003/sig000005d7 , \blk00000003/sig000005d8 , +\blk00000003/sig000005d9 , \blk00000003/sig000005da , \blk00000003/sig000005db , \blk00000003/sig000005dc , \blk00000003/sig000005dd , +\blk00000003/sig000005de , \blk00000003/sig000005df , \blk00000003/sig000005e0 }), + .PCOUT({\blk00000003/sig00000252 , \blk00000003/sig00000253 , \blk00000003/sig00000254 , \blk00000003/sig00000255 , \blk00000003/sig00000256 , +\blk00000003/sig00000257 , \blk00000003/sig00000258 , \blk00000003/sig00000259 , \blk00000003/sig0000025a , \blk00000003/sig0000025b , +\blk00000003/sig0000025c , \blk00000003/sig0000025d , \blk00000003/sig0000025e , \blk00000003/sig0000025f , \blk00000003/sig00000260 , +\blk00000003/sig00000261 , \blk00000003/sig00000262 , \blk00000003/sig00000263 , \blk00000003/sig00000264 , \blk00000003/sig00000265 , +\blk00000003/sig00000266 , \blk00000003/sig00000267 , \blk00000003/sig00000268 , \blk00000003/sig00000269 , \blk00000003/sig0000026a , +\blk00000003/sig0000026b , \blk00000003/sig0000026c , \blk00000003/sig0000026d , \blk00000003/sig0000026e , \blk00000003/sig0000026f , +\blk00000003/sig00000270 , \blk00000003/sig00000271 , \blk00000003/sig00000272 , \blk00000003/sig00000273 , \blk00000003/sig00000274 , +\blk00000003/sig00000275 , \blk00000003/sig00000276 , \blk00000003/sig00000277 , \blk00000003/sig00000278 , \blk00000003/sig00000279 , +\blk00000003/sig0000027a , \blk00000003/sig0000027b , \blk00000003/sig0000027c , \blk00000003/sig0000027d , \blk00000003/sig0000027e , +\blk00000003/sig0000027f , \blk00000003/sig00000280 , \blk00000003/sig00000281 }), + .A({\blk00000003/sig0000050d , \blk00000003/sig0000050e , \blk00000003/sig0000050f , \blk00000003/sig00000510 , \blk00000003/sig00000511 , +\blk00000003/sig00000512 , \blk00000003/sig00000513 , \blk00000003/sig00000514 , \blk00000003/sig00000515 , \blk00000003/sig00000516 , +\blk00000003/sig00000517 , \blk00000003/sig00000518 , \blk00000003/sig00000519 , \blk00000003/sig0000051a , \blk00000003/sig0000051b , +\blk00000003/sig0000051c , \blk00000003/sig0000051d , \blk00000003/sig0000051e }), + .M({\NLW_blk00000003/blk00000112_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000111 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000111_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000111_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000581 , \blk00000003/sig00000581 , \blk00000003/sig00000581 , \blk00000003/sig00000581 , \blk00000003/sig00000581 , +\blk00000003/sig00000581 , \blk00000003/sig00000581 , \blk00000003/sig00000581 , \blk00000003/sig00000581 , \blk00000003/sig00000581 , +\blk00000003/sig00000581 , \blk00000003/sig00000582 , \blk00000003/sig00000583 , \blk00000003/sig00000584 , \blk00000003/sig00000585 , +\blk00000003/sig00000586 , \blk00000003/sig00000587 , \blk00000003/sig00000588 }), + .BCOUT({\NLW_blk00000003/blk00000111_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000111_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000111_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000111_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000111_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000111_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000111_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000111_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000111_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000111_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000111_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000111_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000111_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000111_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000111_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000111_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000111_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000111_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000003fd , \blk00000003/sig000003fe , \blk00000003/sig000003ff , \blk00000003/sig00000400 , \blk00000003/sig00000401 , +\blk00000003/sig00000402 , \blk00000003/sig00000403 , \blk00000003/sig00000404 , \blk00000003/sig00000405 , \blk00000003/sig00000406 , +\blk00000003/sig00000407 , \blk00000003/sig00000408 , \blk00000003/sig00000409 , \blk00000003/sig0000040a , \blk00000003/sig0000040b , +\blk00000003/sig0000040c , \blk00000003/sig0000040d , \blk00000003/sig0000040e , \blk00000003/sig0000040f , \blk00000003/sig00000410 , +\blk00000003/sig00000411 , \blk00000003/sig00000412 , \blk00000003/sig00000413 , \blk00000003/sig00000414 , \blk00000003/sig00000415 , +\blk00000003/sig00000416 , \blk00000003/sig00000417 , \blk00000003/sig00000418 , \blk00000003/sig00000419 , \blk00000003/sig0000041a , +\blk00000003/sig0000041b , \blk00000003/sig0000041c , \blk00000003/sig0000041d , \blk00000003/sig0000041e , \blk00000003/sig0000041f , +\blk00000003/sig00000420 , \blk00000003/sig00000421 , \blk00000003/sig00000422 , \blk00000003/sig00000423 , \blk00000003/sig00000424 , +\blk00000003/sig00000425 , \blk00000003/sig00000426 , \blk00000003/sig00000427 , \blk00000003/sig00000428 , \blk00000003/sig00000429 , +\blk00000003/sig0000042a , \blk00000003/sig0000042b , \blk00000003/sig0000042c }), + .C({\NLW_blk00000003/blk00000111_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000111_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000589 , \blk00000003/sig00000589 , \blk00000003/sig00000589 , \blk00000003/sig00000589 , \blk00000003/sig00000589 , +\blk00000003/sig00000589 , \blk00000003/sig00000589 , \blk00000003/sig00000589 , \blk00000003/sig00000589 , \blk00000003/sig00000589 , +\blk00000003/sig00000589 , \blk00000003/sig0000058a , \blk00000003/sig0000058b , \blk00000003/sig0000058c , \blk00000003/sig0000058d , +\blk00000003/sig0000058e , \blk00000003/sig0000058f , \blk00000003/sig00000590 }), + .PCOUT({\blk00000003/sig00000527 , \blk00000003/sig00000528 , \blk00000003/sig00000529 , \blk00000003/sig0000052a , \blk00000003/sig0000052b , +\blk00000003/sig0000052c , \blk00000003/sig0000052d , \blk00000003/sig0000052e , \blk00000003/sig0000052f , \blk00000003/sig00000530 , +\blk00000003/sig00000531 , \blk00000003/sig00000532 , \blk00000003/sig00000533 , \blk00000003/sig00000534 , \blk00000003/sig00000535 , +\blk00000003/sig00000536 , \blk00000003/sig00000537 , \blk00000003/sig00000538 , \blk00000003/sig00000539 , \blk00000003/sig0000053a , +\blk00000003/sig0000053b , \blk00000003/sig0000053c , \blk00000003/sig0000053d , \blk00000003/sig0000053e , \blk00000003/sig0000053f , +\blk00000003/sig00000540 , \blk00000003/sig00000541 , \blk00000003/sig00000542 , \blk00000003/sig00000543 , \blk00000003/sig00000544 , +\blk00000003/sig00000545 , \blk00000003/sig00000546 , \blk00000003/sig00000547 , \blk00000003/sig00000548 , \blk00000003/sig00000549 , +\blk00000003/sig0000054a , \blk00000003/sig0000054b , \blk00000003/sig0000054c , \blk00000003/sig0000054d , \blk00000003/sig0000054e , +\blk00000003/sig0000054f , \blk00000003/sig00000550 , \blk00000003/sig00000551 , \blk00000003/sig00000552 , \blk00000003/sig00000553 , +\blk00000003/sig00000554 , \blk00000003/sig00000555 , \blk00000003/sig00000556 }), + .A({\blk00000003/sig0000056f , \blk00000003/sig00000570 , \blk00000003/sig00000571 , \blk00000003/sig00000572 , \blk00000003/sig00000573 , +\blk00000003/sig00000574 , \blk00000003/sig00000575 , \blk00000003/sig00000576 , \blk00000003/sig00000577 , \blk00000003/sig00000578 , +\blk00000003/sig00000579 , \blk00000003/sig0000057a , \blk00000003/sig0000057b , \blk00000003/sig0000057c , \blk00000003/sig0000057d , +\blk00000003/sig0000057e , \blk00000003/sig0000057f , \blk00000003/sig00000580 }), + .M({\NLW_blk00000003/blk00000111_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000110 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000110_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000110_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig0000055f , \blk00000003/sig0000055f , \blk00000003/sig0000055f , \blk00000003/sig0000055f , \blk00000003/sig0000055f , +\blk00000003/sig0000055f , \blk00000003/sig0000055f , \blk00000003/sig0000055f , \blk00000003/sig0000055f , \blk00000003/sig0000055f , +\blk00000003/sig0000055f , \blk00000003/sig00000560 , \blk00000003/sig00000561 , \blk00000003/sig00000562 , \blk00000003/sig00000563 , +\blk00000003/sig00000564 , \blk00000003/sig00000565 , \blk00000003/sig00000566 }), + .BCOUT({\NLW_blk00000003/blk00000110_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000110_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000110_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000110_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000110_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000110_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000110_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000110_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000110_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000110_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000110_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000110_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000110_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000110_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000110_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000110_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000110_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000110_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000003ab , \blk00000003/sig000003ac , \blk00000003/sig000003ad , \blk00000003/sig000003ae , \blk00000003/sig000003af , +\blk00000003/sig000003b0 , \blk00000003/sig000003b1 , \blk00000003/sig000003b2 , \blk00000003/sig000003b3 , \blk00000003/sig000003b4 , +\blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 , \blk00000003/sig000003b8 , \blk00000003/sig000003b9 , +\blk00000003/sig000003ba , \blk00000003/sig000003bb , \blk00000003/sig000003bc , \blk00000003/sig000003bd , \blk00000003/sig000003be , +\blk00000003/sig000003bf , \blk00000003/sig000003c0 , \blk00000003/sig000003c1 , \blk00000003/sig000003c2 , \blk00000003/sig000003c3 , +\blk00000003/sig000003c4 , \blk00000003/sig000003c5 , \blk00000003/sig000003c6 , \blk00000003/sig000003c7 , \blk00000003/sig000003c8 , +\blk00000003/sig000003c9 , \blk00000003/sig000003ca , \blk00000003/sig000003cb , \blk00000003/sig000003cc , \blk00000003/sig000003cd , +\blk00000003/sig000003ce , \blk00000003/sig000003cf , \blk00000003/sig000003d0 , \blk00000003/sig000003d1 , \blk00000003/sig000003d2 , +\blk00000003/sig000003d3 , \blk00000003/sig000003d4 , \blk00000003/sig000003d5 , \blk00000003/sig000003d6 , \blk00000003/sig000003d7 , +\blk00000003/sig000003d8 , \blk00000003/sig000003d9 , \blk00000003/sig000003da }), + .C({\NLW_blk00000003/blk00000110_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000110_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000567 , \blk00000003/sig00000567 , \blk00000003/sig00000567 , \blk00000003/sig00000567 , \blk00000003/sig00000567 , +\blk00000003/sig00000567 , \blk00000003/sig00000567 , \blk00000003/sig00000567 , \blk00000003/sig00000567 , \blk00000003/sig00000567 , +\blk00000003/sig00000567 , \blk00000003/sig00000568 , \blk00000003/sig00000569 , \blk00000003/sig0000056a , \blk00000003/sig0000056b , +\blk00000003/sig0000056c , \blk00000003/sig0000056d , \blk00000003/sig0000056e }), + .PCOUT({\blk00000003/sig000004d5 , \blk00000003/sig000004d6 , \blk00000003/sig000004d7 , \blk00000003/sig000004d8 , \blk00000003/sig000004d9 , +\blk00000003/sig000004da , \blk00000003/sig000004db , \blk00000003/sig000004dc , \blk00000003/sig000004dd , \blk00000003/sig000004de , +\blk00000003/sig000004df , \blk00000003/sig000004e0 , \blk00000003/sig000004e1 , \blk00000003/sig000004e2 , \blk00000003/sig000004e3 , +\blk00000003/sig000004e4 , \blk00000003/sig000004e5 , \blk00000003/sig000004e6 , \blk00000003/sig000004e7 , \blk00000003/sig000004e8 , +\blk00000003/sig000004e9 , \blk00000003/sig000004ea , \blk00000003/sig000004eb , \blk00000003/sig000004ec , \blk00000003/sig000004ed , +\blk00000003/sig000004ee , \blk00000003/sig000004ef , \blk00000003/sig000004f0 , \blk00000003/sig000004f1 , \blk00000003/sig000004f2 , +\blk00000003/sig000004f3 , \blk00000003/sig000004f4 , \blk00000003/sig000004f5 , \blk00000003/sig000004f6 , \blk00000003/sig000004f7 , +\blk00000003/sig000004f8 , \blk00000003/sig000004f9 , \blk00000003/sig000004fa , \blk00000003/sig000004fb , \blk00000003/sig000004fc , +\blk00000003/sig000004fd , \blk00000003/sig000004fe , \blk00000003/sig000004ff , \blk00000003/sig00000500 , \blk00000003/sig00000501 , +\blk00000003/sig00000502 , \blk00000003/sig00000503 , \blk00000003/sig00000504 }), + .A({\blk00000003/sig0000056f , \blk00000003/sig00000570 , \blk00000003/sig00000571 , \blk00000003/sig00000572 , \blk00000003/sig00000573 , +\blk00000003/sig00000574 , \blk00000003/sig00000575 , \blk00000003/sig00000576 , \blk00000003/sig00000577 , \blk00000003/sig00000578 , +\blk00000003/sig00000579 , \blk00000003/sig0000057a , \blk00000003/sig0000057b , \blk00000003/sig0000057c , \blk00000003/sig0000057d , +\blk00000003/sig0000057e , \blk00000003/sig0000057f , \blk00000003/sig00000580 }), + .M({\NLW_blk00000003/blk00000110_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010f ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010f_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010f_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig0000051f , \blk00000003/sig0000051f , \blk00000003/sig0000051f , \blk00000003/sig0000051f , \blk00000003/sig0000051f , +\blk00000003/sig0000051f , \blk00000003/sig0000051f , \blk00000003/sig0000051f , \blk00000003/sig0000051f , \blk00000003/sig0000051f , +\blk00000003/sig0000051f , \blk00000003/sig00000520 , \blk00000003/sig00000521 , \blk00000003/sig00000522 , \blk00000003/sig00000523 , +\blk00000003/sig00000524 , \blk00000003/sig00000525 , \blk00000003/sig00000526 }), + .BCOUT({\NLW_blk00000003/blk0000010f_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000527 , \blk00000003/sig00000528 , \blk00000003/sig00000529 , \blk00000003/sig0000052a , \blk00000003/sig0000052b , +\blk00000003/sig0000052c , \blk00000003/sig0000052d , \blk00000003/sig0000052e , \blk00000003/sig0000052f , \blk00000003/sig00000530 , +\blk00000003/sig00000531 , \blk00000003/sig00000532 , \blk00000003/sig00000533 , \blk00000003/sig00000534 , \blk00000003/sig00000535 , +\blk00000003/sig00000536 , \blk00000003/sig00000537 , \blk00000003/sig00000538 , \blk00000003/sig00000539 , \blk00000003/sig0000053a , +\blk00000003/sig0000053b , \blk00000003/sig0000053c , \blk00000003/sig0000053d , \blk00000003/sig0000053e , \blk00000003/sig0000053f , +\blk00000003/sig00000540 , \blk00000003/sig00000541 , \blk00000003/sig00000542 , \blk00000003/sig00000543 , \blk00000003/sig00000544 , +\blk00000003/sig00000545 , \blk00000003/sig00000546 , \blk00000003/sig00000547 , \blk00000003/sig00000548 , \blk00000003/sig00000549 , +\blk00000003/sig0000054a , \blk00000003/sig0000054b , \blk00000003/sig0000054c , \blk00000003/sig0000054d , \blk00000003/sig0000054e , +\blk00000003/sig0000054f , \blk00000003/sig00000550 , \blk00000003/sig00000551 , \blk00000003/sig00000552 , \blk00000003/sig00000553 , +\blk00000003/sig00000554 , \blk00000003/sig00000555 , \blk00000003/sig00000556 }), + .C({\NLW_blk00000003/blk0000010f_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010f_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000557 , \blk00000003/sig00000557 , \blk00000003/sig00000557 , \blk00000003/sig00000557 , \blk00000003/sig00000557 , +\blk00000003/sig00000557 , \blk00000003/sig00000557 , \blk00000003/sig00000557 , \blk00000003/sig00000557 , \blk00000003/sig00000557 , +\blk00000003/sig00000557 , \blk00000003/sig00000558 , \blk00000003/sig00000559 , \blk00000003/sig0000055a , \blk00000003/sig0000055b , +\blk00000003/sig0000055c , \blk00000003/sig0000055d , \blk00000003/sig0000055e }), + .PCOUT({\blk00000003/sig00000178 , \blk00000003/sig00000179 , \blk00000003/sig0000017a , \blk00000003/sig0000017b , \blk00000003/sig0000017c , +\blk00000003/sig0000017d , \blk00000003/sig0000017e , \blk00000003/sig0000017f , \blk00000003/sig00000180 , \blk00000003/sig00000181 , +\blk00000003/sig00000182 , \blk00000003/sig00000183 , \blk00000003/sig00000184 , \blk00000003/sig00000185 , \blk00000003/sig00000186 , +\blk00000003/sig00000187 , \blk00000003/sig00000188 , \blk00000003/sig00000189 , \blk00000003/sig0000018a , \blk00000003/sig0000018b , +\blk00000003/sig0000018c , \blk00000003/sig0000018d , \blk00000003/sig0000018e , \blk00000003/sig0000018f , \blk00000003/sig00000190 , +\blk00000003/sig00000191 , \blk00000003/sig00000192 , \blk00000003/sig00000193 , \blk00000003/sig00000194 , \blk00000003/sig00000195 , +\blk00000003/sig00000196 , \blk00000003/sig00000197 , \blk00000003/sig00000198 , \blk00000003/sig00000199 , \blk00000003/sig0000019a , +\blk00000003/sig0000019b , \blk00000003/sig0000019c , \blk00000003/sig0000019d , \blk00000003/sig0000019e , \blk00000003/sig0000019f , +\blk00000003/sig000001a0 , \blk00000003/sig000001a1 , \blk00000003/sig000001a2 , \blk00000003/sig000001a3 , \blk00000003/sig000001a4 , +\blk00000003/sig000001a5 , \blk00000003/sig000001a6 , \blk00000003/sig000001a7 }), + .A({\blk00000003/sig0000050d , \blk00000003/sig0000050e , \blk00000003/sig0000050f , \blk00000003/sig00000510 , \blk00000003/sig00000511 , +\blk00000003/sig00000512 , \blk00000003/sig00000513 , \blk00000003/sig00000514 , \blk00000003/sig00000515 , \blk00000003/sig00000516 , +\blk00000003/sig00000517 , \blk00000003/sig00000518 , \blk00000003/sig00000519 , \blk00000003/sig0000051a , \blk00000003/sig0000051b , +\blk00000003/sig0000051c , \blk00000003/sig0000051d , \blk00000003/sig0000051e }), + .M({\NLW_blk00000003/blk0000010f_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010e ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010e_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010e_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig000004cd , \blk00000003/sig000004cd , \blk00000003/sig000004cd , \blk00000003/sig000004cd , \blk00000003/sig000004cd , +\blk00000003/sig000004cd , \blk00000003/sig000004cd , \blk00000003/sig000004cd , \blk00000003/sig000004cd , \blk00000003/sig000004cd , +\blk00000003/sig000004cd , \blk00000003/sig000004ce , \blk00000003/sig000004cf , \blk00000003/sig000004d0 , \blk00000003/sig000004d1 , +\blk00000003/sig000004d2 , \blk00000003/sig000004d3 , \blk00000003/sig000004d4 }), + .BCOUT({\NLW_blk00000003/blk0000010e_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000004d5 , \blk00000003/sig000004d6 , \blk00000003/sig000004d7 , \blk00000003/sig000004d8 , \blk00000003/sig000004d9 , +\blk00000003/sig000004da , \blk00000003/sig000004db , \blk00000003/sig000004dc , \blk00000003/sig000004dd , \blk00000003/sig000004de , +\blk00000003/sig000004df , \blk00000003/sig000004e0 , \blk00000003/sig000004e1 , \blk00000003/sig000004e2 , \blk00000003/sig000004e3 , +\blk00000003/sig000004e4 , \blk00000003/sig000004e5 , \blk00000003/sig000004e6 , \blk00000003/sig000004e7 , \blk00000003/sig000004e8 , +\blk00000003/sig000004e9 , \blk00000003/sig000004ea , \blk00000003/sig000004eb , \blk00000003/sig000004ec , \blk00000003/sig000004ed , +\blk00000003/sig000004ee , \blk00000003/sig000004ef , \blk00000003/sig000004f0 , \blk00000003/sig000004f1 , \blk00000003/sig000004f2 , +\blk00000003/sig000004f3 , \blk00000003/sig000004f4 , \blk00000003/sig000004f5 , \blk00000003/sig000004f6 , \blk00000003/sig000004f7 , +\blk00000003/sig000004f8 , \blk00000003/sig000004f9 , \blk00000003/sig000004fa , \blk00000003/sig000004fb , \blk00000003/sig000004fc , +\blk00000003/sig000004fd , \blk00000003/sig000004fe , \blk00000003/sig000004ff , \blk00000003/sig00000500 , \blk00000003/sig00000501 , +\blk00000003/sig00000502 , \blk00000003/sig00000503 , \blk00000003/sig00000504 }), + .C({\NLW_blk00000003/blk0000010e_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010e_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000505 , \blk00000003/sig00000505 , \blk00000003/sig00000505 , \blk00000003/sig00000505 , \blk00000003/sig00000505 , +\blk00000003/sig00000505 , \blk00000003/sig00000505 , \blk00000003/sig00000505 , \blk00000003/sig00000505 , \blk00000003/sig00000505 , +\blk00000003/sig00000505 , \blk00000003/sig00000506 , \blk00000003/sig00000507 , \blk00000003/sig00000508 , \blk00000003/sig00000509 , +\blk00000003/sig0000050a , \blk00000003/sig0000050b , \blk00000003/sig0000050c }), + .PCOUT({\blk00000003/sig00000212 , \blk00000003/sig00000213 , \blk00000003/sig00000214 , \blk00000003/sig00000215 , \blk00000003/sig00000216 , +\blk00000003/sig00000217 , \blk00000003/sig00000218 , \blk00000003/sig00000219 , \blk00000003/sig0000021a , \blk00000003/sig0000021b , +\blk00000003/sig0000021c , \blk00000003/sig0000021d , \blk00000003/sig0000021e , \blk00000003/sig0000021f , \blk00000003/sig00000220 , +\blk00000003/sig00000221 , \blk00000003/sig00000222 , \blk00000003/sig00000223 , \blk00000003/sig00000224 , \blk00000003/sig00000225 , +\blk00000003/sig00000226 , \blk00000003/sig00000227 , \blk00000003/sig00000228 , \blk00000003/sig00000229 , \blk00000003/sig0000022a , +\blk00000003/sig0000022b , \blk00000003/sig0000022c , \blk00000003/sig0000022d , \blk00000003/sig0000022e , \blk00000003/sig0000022f , +\blk00000003/sig00000230 , \blk00000003/sig00000231 , \blk00000003/sig00000232 , \blk00000003/sig00000233 , \blk00000003/sig00000234 , +\blk00000003/sig00000235 , \blk00000003/sig00000236 , \blk00000003/sig00000237 , \blk00000003/sig00000238 , \blk00000003/sig00000239 , +\blk00000003/sig0000023a , \blk00000003/sig0000023b , \blk00000003/sig0000023c , \blk00000003/sig0000023d , \blk00000003/sig0000023e , +\blk00000003/sig0000023f , \blk00000003/sig00000240 , \blk00000003/sig00000241 }), + .A({\blk00000003/sig0000050d , \blk00000003/sig0000050e , \blk00000003/sig0000050f , \blk00000003/sig00000510 , \blk00000003/sig00000511 , +\blk00000003/sig00000512 , \blk00000003/sig00000513 , \blk00000003/sig00000514 , \blk00000003/sig00000515 , \blk00000003/sig00000516 , +\blk00000003/sig00000517 , \blk00000003/sig00000518 , \blk00000003/sig00000519 , \blk00000003/sig0000051a , \blk00000003/sig0000051b , +\blk00000003/sig0000051c , \blk00000003/sig0000051d , \blk00000003/sig0000051e }), + .M({\NLW_blk00000003/blk0000010e_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010d ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010d_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010d_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig0000047d , \blk00000003/sig0000047e , \blk00000003/sig0000047f , +\blk00000003/sig00000480 , \blk00000003/sig00000481 , \blk00000003/sig00000482 , \blk00000003/sig00000483 , \blk00000003/sig00000484 , +\blk00000003/sig00000485 , \blk00000003/sig00000486 , \blk00000003/sig00000487 , \blk00000003/sig00000488 , \blk00000003/sig00000489 , +\blk00000003/sig0000048a , \blk00000003/sig0000048b , \blk00000003/sig0000048c }), + .BCOUT({\NLW_blk00000003/blk0000010d_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\NLW_blk00000003/blk0000010d_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010d_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig0000048d , \blk00000003/sig0000048e , \blk00000003/sig0000048f , +\blk00000003/sig00000490 , \blk00000003/sig00000491 , \blk00000003/sig00000492 , \blk00000003/sig00000493 , \blk00000003/sig00000494 , +\blk00000003/sig00000495 , \blk00000003/sig00000496 , \blk00000003/sig00000497 , \blk00000003/sig00000498 , \blk00000003/sig00000499 , +\blk00000003/sig0000049a , \blk00000003/sig0000049b , \blk00000003/sig0000049c }), + .PCOUT({\blk00000003/sig0000049d , \blk00000003/sig0000049e , \blk00000003/sig0000049f , \blk00000003/sig000004a0 , \blk00000003/sig000004a1 , +\blk00000003/sig000004a2 , \blk00000003/sig000004a3 , \blk00000003/sig000004a4 , \blk00000003/sig000004a5 , \blk00000003/sig000004a6 , +\blk00000003/sig000004a7 , \blk00000003/sig000004a8 , \blk00000003/sig000004a9 , \blk00000003/sig000004aa , \blk00000003/sig000004ab , +\blk00000003/sig000004ac , \blk00000003/sig000004ad , \blk00000003/sig000004ae , \blk00000003/sig000004af , \blk00000003/sig000004b0 , +\blk00000003/sig000004b1 , \blk00000003/sig000004b2 , \blk00000003/sig000004b3 , \blk00000003/sig000004b4 , \blk00000003/sig000004b5 , +\blk00000003/sig000004b6 , \blk00000003/sig000004b7 , \blk00000003/sig000004b8 , \blk00000003/sig000004b9 , \blk00000003/sig000004ba , +\blk00000003/sig000004bb , \blk00000003/sig000004bc , \blk00000003/sig000004bd , \blk00000003/sig000004be , \blk00000003/sig000004bf , +\blk00000003/sig000004c0 , \blk00000003/sig000004c1 , \blk00000003/sig000004c2 , \blk00000003/sig000004c3 , \blk00000003/sig000004c4 , +\blk00000003/sig000004c5 , \blk00000003/sig000004c6 , \blk00000003/sig000004c7 , \blk00000003/sig000004c8 , \blk00000003/sig000004c9 , +\blk00000003/sig000004ca , \blk00000003/sig000004cb , \blk00000003/sig000004cc }), + .A({\blk00000003/sig000003db , \blk00000003/sig000003dc , \blk00000003/sig000003dd , \blk00000003/sig000003de , \blk00000003/sig000003df , +\blk00000003/sig000003e0 , \blk00000003/sig000003e1 , \blk00000003/sig000003e2 , \blk00000003/sig000003e3 , \blk00000003/sig000003e4 , +\blk00000003/sig000003e5 , \blk00000003/sig000003e6 , \blk00000003/sig000003e7 , \blk00000003/sig000003e8 , \blk00000003/sig000003e9 , +\blk00000003/sig000003ea , \blk00000003/sig000003eb , \blk00000003/sig000003ec }), + .M({\NLW_blk00000003/blk0000010d_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010c ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010c_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010c_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig0000042d , \blk00000003/sig0000042e , \blk00000003/sig0000042f , +\blk00000003/sig00000430 , \blk00000003/sig00000431 , \blk00000003/sig00000432 , \blk00000003/sig00000433 , \blk00000003/sig00000434 , +\blk00000003/sig00000435 , \blk00000003/sig00000436 , \blk00000003/sig00000437 , \blk00000003/sig00000438 , \blk00000003/sig00000439 , +\blk00000003/sig0000043a , \blk00000003/sig0000043b , \blk00000003/sig0000043c }), + .BCOUT({\NLW_blk00000003/blk0000010c_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\NLW_blk00000003/blk0000010c_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010c_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig0000043d , \blk00000003/sig0000043e , \blk00000003/sig0000043f , +\blk00000003/sig00000440 , \blk00000003/sig00000441 , \blk00000003/sig00000442 , \blk00000003/sig00000443 , \blk00000003/sig00000444 , +\blk00000003/sig00000445 , \blk00000003/sig00000446 , \blk00000003/sig00000447 , \blk00000003/sig00000448 , \blk00000003/sig00000449 , +\blk00000003/sig0000044a , \blk00000003/sig0000044b , \blk00000003/sig0000044c }), + .PCOUT({\blk00000003/sig0000044d , \blk00000003/sig0000044e , \blk00000003/sig0000044f , \blk00000003/sig00000450 , \blk00000003/sig00000451 , +\blk00000003/sig00000452 , \blk00000003/sig00000453 , \blk00000003/sig00000454 , \blk00000003/sig00000455 , \blk00000003/sig00000456 , +\blk00000003/sig00000457 , \blk00000003/sig00000458 , \blk00000003/sig00000459 , \blk00000003/sig0000045a , \blk00000003/sig0000045b , +\blk00000003/sig0000045c , \blk00000003/sig0000045d , \blk00000003/sig0000045e , \blk00000003/sig0000045f , \blk00000003/sig00000460 , +\blk00000003/sig00000461 , \blk00000003/sig00000462 , \blk00000003/sig00000463 , \blk00000003/sig00000464 , \blk00000003/sig00000465 , +\blk00000003/sig00000466 , \blk00000003/sig00000467 , \blk00000003/sig00000468 , \blk00000003/sig00000469 , \blk00000003/sig0000046a , +\blk00000003/sig0000046b , \blk00000003/sig0000046c , \blk00000003/sig0000046d , \blk00000003/sig0000046e , \blk00000003/sig0000046f , +\blk00000003/sig00000470 , \blk00000003/sig00000471 , \blk00000003/sig00000472 , \blk00000003/sig00000473 , \blk00000003/sig00000474 , +\blk00000003/sig00000475 , \blk00000003/sig00000476 , \blk00000003/sig00000477 , \blk00000003/sig00000478 , \blk00000003/sig00000479 , +\blk00000003/sig0000047a , \blk00000003/sig0000047b , \blk00000003/sig0000047c }), + .A({\blk00000003/sig000003db , \blk00000003/sig000003dc , \blk00000003/sig000003dd , \blk00000003/sig000003de , \blk00000003/sig000003df , +\blk00000003/sig000003e0 , \blk00000003/sig000003e1 , \blk00000003/sig000003e2 , \blk00000003/sig000003e3 , \blk00000003/sig000003e4 , +\blk00000003/sig000003e5 , \blk00000003/sig000003e6 , \blk00000003/sig000003e7 , \blk00000003/sig000003e8 , \blk00000003/sig000003e9 , +\blk00000003/sig000003ea , \blk00000003/sig000003eb , \blk00000003/sig000003ec }), + .M({\NLW_blk00000003/blk0000010c_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010b ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010b_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010b_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig000003ed , \blk00000003/sig000003ed , \blk00000003/sig000003ed , \blk00000003/sig000003ed , \blk00000003/sig000003ed , +\blk00000003/sig000003ed , \blk00000003/sig000003ed , \blk00000003/sig000003ed , \blk00000003/sig000003ed , \blk00000003/sig000003ed , +\blk00000003/sig000003ed , \blk00000003/sig000003ee , \blk00000003/sig000003ef , \blk00000003/sig000003f0 , \blk00000003/sig000003f1 , +\blk00000003/sig000003f2 , \blk00000003/sig000003f3 , \blk00000003/sig000003f4 }), + .BCOUT({\NLW_blk00000003/blk0000010b_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\NLW_blk00000003/blk0000010b_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010b_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig000003f5 , \blk00000003/sig000003f5 , \blk00000003/sig000003f5 , \blk00000003/sig000003f5 , \blk00000003/sig000003f5 , +\blk00000003/sig000003f5 , \blk00000003/sig000003f5 , \blk00000003/sig000003f5 , \blk00000003/sig000003f5 , \blk00000003/sig000003f5 , +\blk00000003/sig000003f5 , \blk00000003/sig000003f6 , \blk00000003/sig000003f7 , \blk00000003/sig000003f8 , \blk00000003/sig000003f9 , +\blk00000003/sig000003fa , \blk00000003/sig000003fb , \blk00000003/sig000003fc }), + .PCOUT({\blk00000003/sig000003fd , \blk00000003/sig000003fe , \blk00000003/sig000003ff , \blk00000003/sig00000400 , \blk00000003/sig00000401 , +\blk00000003/sig00000402 , \blk00000003/sig00000403 , \blk00000003/sig00000404 , \blk00000003/sig00000405 , \blk00000003/sig00000406 , +\blk00000003/sig00000407 , \blk00000003/sig00000408 , \blk00000003/sig00000409 , \blk00000003/sig0000040a , \blk00000003/sig0000040b , +\blk00000003/sig0000040c , \blk00000003/sig0000040d , \blk00000003/sig0000040e , \blk00000003/sig0000040f , \blk00000003/sig00000410 , +\blk00000003/sig00000411 , \blk00000003/sig00000412 , \blk00000003/sig00000413 , \blk00000003/sig00000414 , \blk00000003/sig00000415 , +\blk00000003/sig00000416 , \blk00000003/sig00000417 , \blk00000003/sig00000418 , \blk00000003/sig00000419 , \blk00000003/sig0000041a , +\blk00000003/sig0000041b , \blk00000003/sig0000041c , \blk00000003/sig0000041d , \blk00000003/sig0000041e , \blk00000003/sig0000041f , +\blk00000003/sig00000420 , \blk00000003/sig00000421 , \blk00000003/sig00000422 , \blk00000003/sig00000423 , \blk00000003/sig00000424 , +\blk00000003/sig00000425 , \blk00000003/sig00000426 , \blk00000003/sig00000427 , \blk00000003/sig00000428 , \blk00000003/sig00000429 , +\blk00000003/sig0000042a , \blk00000003/sig0000042b , \blk00000003/sig0000042c }), + .A({\blk00000003/sig000003db , \blk00000003/sig000003dc , \blk00000003/sig000003dd , \blk00000003/sig000003de , \blk00000003/sig000003df , +\blk00000003/sig000003e0 , \blk00000003/sig000003e1 , \blk00000003/sig000003e2 , \blk00000003/sig000003e3 , \blk00000003/sig000003e4 , +\blk00000003/sig000003e5 , \blk00000003/sig000003e6 , \blk00000003/sig000003e7 , \blk00000003/sig000003e8 , \blk00000003/sig000003e9 , +\blk00000003/sig000003ea , \blk00000003/sig000003eb , \blk00000003/sig000003ec }), + .M({\NLW_blk00000003/blk0000010b_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010a ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010a_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010a_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig0000039b , \blk00000003/sig0000039b , \blk00000003/sig0000039b , \blk00000003/sig0000039b , \blk00000003/sig0000039b , +\blk00000003/sig0000039b , \blk00000003/sig0000039b , \blk00000003/sig0000039b , \blk00000003/sig0000039b , \blk00000003/sig0000039b , +\blk00000003/sig0000039b , \blk00000003/sig0000039c , \blk00000003/sig0000039d , \blk00000003/sig0000039e , \blk00000003/sig0000039f , +\blk00000003/sig000003a0 , \blk00000003/sig000003a1 , \blk00000003/sig000003a2 }), + .BCOUT({\NLW_blk00000003/blk0000010a_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\NLW_blk00000003/blk0000010a_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010a_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig000003a3 , \blk00000003/sig000003a3 , \blk00000003/sig000003a3 , \blk00000003/sig000003a3 , \blk00000003/sig000003a3 , +\blk00000003/sig000003a3 , \blk00000003/sig000003a3 , \blk00000003/sig000003a3 , \blk00000003/sig000003a3 , \blk00000003/sig000003a3 , +\blk00000003/sig000003a3 , \blk00000003/sig000003a4 , \blk00000003/sig000003a5 , \blk00000003/sig000003a6 , \blk00000003/sig000003a7 , +\blk00000003/sig000003a8 , \blk00000003/sig000003a9 , \blk00000003/sig000003aa }), + .PCOUT({\blk00000003/sig000003ab , \blk00000003/sig000003ac , \blk00000003/sig000003ad , \blk00000003/sig000003ae , \blk00000003/sig000003af , +\blk00000003/sig000003b0 , \blk00000003/sig000003b1 , \blk00000003/sig000003b2 , \blk00000003/sig000003b3 , \blk00000003/sig000003b4 , +\blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 , \blk00000003/sig000003b8 , \blk00000003/sig000003b9 , +\blk00000003/sig000003ba , \blk00000003/sig000003bb , \blk00000003/sig000003bc , \blk00000003/sig000003bd , \blk00000003/sig000003be , +\blk00000003/sig000003bf , \blk00000003/sig000003c0 , \blk00000003/sig000003c1 , \blk00000003/sig000003c2 , \blk00000003/sig000003c3 , +\blk00000003/sig000003c4 , \blk00000003/sig000003c5 , \blk00000003/sig000003c6 , \blk00000003/sig000003c7 , \blk00000003/sig000003c8 , +\blk00000003/sig000003c9 , \blk00000003/sig000003ca , \blk00000003/sig000003cb , \blk00000003/sig000003cc , \blk00000003/sig000003cd , +\blk00000003/sig000003ce , \blk00000003/sig000003cf , \blk00000003/sig000003d0 , \blk00000003/sig000003d1 , \blk00000003/sig000003d2 , +\blk00000003/sig000003d3 , \blk00000003/sig000003d4 , \blk00000003/sig000003d5 , \blk00000003/sig000003d6 , \blk00000003/sig000003d7 , +\blk00000003/sig000003d8 , \blk00000003/sig000003d9 , \blk00000003/sig000003da }), + .A({\blk00000003/sig000003db , \blk00000003/sig000003dc , \blk00000003/sig000003dd , \blk00000003/sig000003de , \blk00000003/sig000003df , +\blk00000003/sig000003e0 , \blk00000003/sig000003e1 , \blk00000003/sig000003e2 , \blk00000003/sig000003e3 , \blk00000003/sig000003e4 , +\blk00000003/sig000003e5 , \blk00000003/sig000003e6 , \blk00000003/sig000003e7 , \blk00000003/sig000003e8 , \blk00000003/sig000003e9 , +\blk00000003/sig000003ea , \blk00000003/sig000003eb , \blk00000003/sig000003ec }), + .M({\NLW_blk00000003/blk0000010a_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<0>_UNCONNECTED }) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000109 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029e ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000039a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000108 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000398 ), + .Q(\blk00000003/sig00000399 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000107 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000397 ), + .Q(\blk00000003/sig0000038f ) + ); + XORCY \blk00000003/blk00000106 ( + .CI(\blk00000003/sig00000392 ), + .LI(\blk00000003/sig00000395 ), + .O(\blk00000003/sig00000396 ) + ); + MUXCY_D \blk00000003/blk00000105 ( + .CI(\blk00000003/sig00000392 ), + .DI(\blk00000003/sig00000394 ), + .S(\blk00000003/sig00000395 ), + .O(\NLW_blk00000003/blk00000105_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk00000105_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000104 ( + .CI(\blk00000003/sig0000038f ), + .LI(\blk00000003/sig00000391 ), + .O(\blk00000003/sig00000393 ) + ); + MUXCY_L \blk00000003/blk00000103 ( + .CI(\blk00000003/sig0000038f ), + .DI(\blk00000003/sig00000390 ), + .S(\blk00000003/sig00000391 ), + .LO(\blk00000003/sig00000392 ) + ); + MUXCY_L \blk00000003/blk00000102 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig0000038e ), + .S(\blk00000003/sig00000388 ), + .LO(\blk00000003/sig0000038a ) + ); + MUXCY_D \blk00000003/blk00000101 ( + .CI(\blk00000003/sig0000038a ), + .DI(\blk00000003/sig0000038d ), + .S(\blk00000003/sig0000038b ), + .O(\NLW_blk00000003/blk00000101_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk00000101_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000100 ( + .CI(\blk00000003/sig0000038a ), + .LI(\blk00000003/sig0000038b ), + .O(\blk00000003/sig0000038c ) + ); + XORCY \blk00000003/blk000000ff ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000388 ), + .O(\blk00000003/sig00000389 ) + ); + MUXCY_L \blk00000003/blk000000fe ( + .CI(\blk00000003/sig0000037f ), + .DI(\blk00000003/sig00000387 ), + .S(\blk00000003/sig00000380 ), + .LO(\blk00000003/sig00000382 ) + ); + MUXCY_D \blk00000003/blk000000fd ( + .CI(\blk00000003/sig00000382 ), + .DI(\blk00000003/sig00000386 ), + .S(\blk00000003/sig00000383 ), + .O(\NLW_blk00000003/blk000000fd_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000fd_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000fc ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ac ), + .S(\blk00000003/sig00000385 ), + .O(\blk00000003/sig0000037f ) + ); + XORCY \blk00000003/blk000000fb ( + .CI(\blk00000003/sig00000382 ), + .LI(\blk00000003/sig00000383 ), + .O(\blk00000003/sig00000384 ) + ); + XORCY \blk00000003/blk000000fa ( + .CI(\blk00000003/sig0000037f ), + .LI(\blk00000003/sig00000380 ), + .O(\blk00000003/sig00000381 ) + ); + FDE \blk00000003/blk000000f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000037d ), + .Q(\blk00000003/sig0000037e ) + ); + MUXCY_L \blk00000003/blk000000f8 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig0000037c ), + .S(\blk00000003/sig00000376 ), + .LO(\blk00000003/sig00000378 ) + ); + MUXCY_D \blk00000003/blk000000f7 ( + .CI(\blk00000003/sig00000378 ), + .DI(\blk00000003/sig0000037b ), + .S(\blk00000003/sig00000379 ), + .O(\NLW_blk00000003/blk000000f7_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000f7_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000f6 ( + .CI(\blk00000003/sig00000378 ), + .LI(\blk00000003/sig00000379 ), + .O(\blk00000003/sig0000037a ) + ); + XORCY \blk00000003/blk000000f5 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000376 ), + .O(\blk00000003/sig00000377 ) + ); + MUXCY_L \blk00000003/blk000000f4 ( + .CI(\blk00000003/sig0000036f ), + .DI(\blk00000003/sig000002b8 ), + .S(\blk00000003/sig00000370 ), + .LO(\blk00000003/sig00000372 ) + ); + MUXCY_D \blk00000003/blk000000f3 ( + .CI(\blk00000003/sig00000372 ), + .DI(\blk00000003/sig000002b7 ), + .S(\blk00000003/sig00000373 ), + .O(\NLW_blk00000003/blk000000f3_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000f3_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000f2 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ac ), + .S(\blk00000003/sig00000375 ), + .O(\blk00000003/sig0000036f ) + ); + XORCY \blk00000003/blk000000f1 ( + .CI(\blk00000003/sig00000372 ), + .LI(\blk00000003/sig00000373 ), + .O(\blk00000003/sig00000374 ) + ); + XORCY \blk00000003/blk000000f0 ( + .CI(\blk00000003/sig0000036f ), + .LI(\blk00000003/sig00000370 ), + .O(\blk00000003/sig00000371 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000036d ), + .R(sclr), + .Q(\blk00000003/sig0000036e ) + ); + MUXCY_D \blk00000003/blk000000ee ( + .CI(\blk00000003/sig0000036a ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig0000036c ), + .O(\NLW_blk00000003/blk000000ee_O_UNCONNECTED ), + .LO(\blk00000003/sig0000036d ) + ); + MUXCY_D \blk00000003/blk000000ed ( + .CI(\blk00000003/sig000000ac ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig0000036b ), + .O(\blk00000003/sig00000367 ), + .LO(\NLW_blk00000003/blk000000ed_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000ec ( + .CI(\blk00000003/sig00000369 ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig00000365 ) + ); + MUXCY \blk00000003/blk000000eb ( + .CI(\blk00000003/sig00000369 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000ac ), + .O(\blk00000003/sig0000036a ) + ); + MUXCY_D \blk00000003/blk000000ea ( + .CI(\blk00000003/sig00000367 ), + .DI(\blk00000003/sig00000366 ), + .S(\blk00000003/sig00000368 ), + .O(\blk00000003/sig00000369 ), + .LO(\NLW_blk00000003/blk000000ea_LO_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000365 ), + .R(sclr), + .Q(\blk00000003/sig00000366 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000000e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000356 ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002f0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000355 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002ed ) + ); + MUXCY_D \blk00000003/blk000000e6 ( + .CI(\blk00000003/sig000002ed ), + .DI(\blk00000003/sig00000363 ), + .S(\blk00000003/sig00000364 ), + .O(\blk00000003/sig00000360 ), + .LO(\NLW_blk00000003/blk000000e6_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000e5 ( + .CI(\blk00000003/sig00000360 ), + .DI(\blk00000003/sig00000361 ), + .S(\blk00000003/sig00000362 ), + .O(\blk00000003/sig0000035e ), + .LO(\NLW_blk00000003/blk000000e5_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000e4 ( + .CI(\blk00000003/sig0000035e ), + .DI(\blk00000003/sig00000354 ), + .S(\blk00000003/sig0000035f ), + .O(\blk00000003/sig0000035b ), + .LO(\NLW_blk00000003/blk000000e4_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000e3 ( + .CI(\blk00000003/sig0000035b ), + .DI(\blk00000003/sig0000035c ), + .S(\blk00000003/sig0000035d ), + .O(\blk00000003/sig00000359 ), + .LO(\NLW_blk00000003/blk000000e3_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000e2 ( + .CI(\blk00000003/sig00000359 ), + .DI(\blk00000003/sig00000319 ), + .S(\blk00000003/sig0000035a ), + .O(\blk00000003/sig00000357 ), + .LO(\NLW_blk00000003/blk000000e2_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000e1 ( + .CI(\blk00000003/sig00000357 ), + .DI(\blk00000003/sig000002ea ), + .S(\blk00000003/sig00000358 ), + .O(\NLW_blk00000003/blk000000e1_O_UNCONNECTED ), + .LO(\blk00000003/sig00000355 ) + ); + XORCY \blk00000003/blk000000e0 ( + .CI(\blk00000003/sig00000355 ), + .LI(\blk00000003/sig000000ac ), + .O(\blk00000003/sig00000356 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000000df ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000344 ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000354 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000de ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000343 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000029e ) + ); + MUXCY_D \blk00000003/blk000000dd ( + .CI(\blk00000003/sig0000029e ), + .DI(\blk00000003/sig00000352 ), + .S(\blk00000003/sig00000353 ), + .O(\blk00000003/sig00000350 ), + .LO(\NLW_blk00000003/blk000000dd_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000dc ( + .CI(\blk00000003/sig00000350 ), + .DI(\blk00000003/sig0000029f ), + .S(\blk00000003/sig00000351 ), + .O(\blk00000003/sig0000034e ), + .LO(\NLW_blk00000003/blk000000dc_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000db ( + .CI(\blk00000003/sig0000034e ), + .DI(\blk00000003/sig0000029e ), + .S(\blk00000003/sig0000034f ), + .O(\blk00000003/sig0000034b ), + .LO(\NLW_blk00000003/blk000000db_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000da ( + .CI(\blk00000003/sig0000034b ), + .DI(\blk00000003/sig0000034c ), + .S(\blk00000003/sig0000034d ), + .O(\blk00000003/sig00000349 ), + .LO(\NLW_blk00000003/blk000000da_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d9 ( + .CI(\blk00000003/sig00000349 ), + .DI(\blk00000003/sig000002f0 ), + .S(\blk00000003/sig0000034a ), + .O(\blk00000003/sig00000345 ), + .LO(\NLW_blk00000003/blk000000d9_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d8 ( + .CI(\blk00000003/sig00000347 ), + .DI(\blk00000003/sig000002f0 ), + .S(\blk00000003/sig00000348 ), + .O(\NLW_blk00000003/blk000000d8_O_UNCONNECTED ), + .LO(\blk00000003/sig00000343 ) + ); + MUXCY_D \blk00000003/blk000000d7 ( + .CI(\blk00000003/sig00000345 ), + .DI(\blk00000003/sig0000030e ), + .S(\blk00000003/sig00000346 ), + .O(\blk00000003/sig00000347 ), + .LO(\NLW_blk00000003/blk000000d7_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000d6 ( + .CI(\blk00000003/sig00000343 ), + .LI(\blk00000003/sig000000ac ), + .O(\blk00000003/sig00000344 ) + ); + FDE \blk00000003/blk000000d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000341 ), + .Q(\blk00000003/sig00000342 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ed ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000340 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000d3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000031f ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000338 ) + ); + XORCY \blk00000003/blk000000d2 ( + .CI(\blk00000003/sig0000033b ), + .LI(\blk00000003/sig0000033e ), + .O(\blk00000003/sig0000033f ) + ); + MUXCY_D \blk00000003/blk000000d1 ( + .CI(\blk00000003/sig0000033b ), + .DI(\blk00000003/sig0000033d ), + .S(\blk00000003/sig0000033e ), + .O(\NLW_blk00000003/blk000000d1_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000d1_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000d0 ( + .CI(\blk00000003/sig00000338 ), + .LI(\blk00000003/sig0000033a ), + .O(\blk00000003/sig0000033c ) + ); + MUXCY_L \blk00000003/blk000000cf ( + .CI(\blk00000003/sig00000338 ), + .DI(\blk00000003/sig00000339 ), + .S(\blk00000003/sig0000033a ), + .LO(\blk00000003/sig0000033b ) + ); + MUXCY_L \blk00000003/blk000000ce ( + .CI(\blk00000003/sig0000032f ), + .DI(\blk00000003/sig00000337 ), + .S(\blk00000003/sig00000330 ), + .LO(\blk00000003/sig00000332 ) + ); + MUXCY_D \blk00000003/blk000000cd ( + .CI(\blk00000003/sig00000332 ), + .DI(\blk00000003/sig00000336 ), + .S(\blk00000003/sig00000333 ), + .O(\NLW_blk00000003/blk000000cd_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000cd_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000cc ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ac ), + .S(\blk00000003/sig00000335 ), + .O(\blk00000003/sig0000032f ) + ); + XORCY \blk00000003/blk000000cb ( + .CI(\blk00000003/sig00000332 ), + .LI(\blk00000003/sig00000333 ), + .O(\blk00000003/sig00000334 ) + ); + XORCY \blk00000003/blk000000ca ( + .CI(\blk00000003/sig0000032f ), + .LI(\blk00000003/sig00000330 ), + .O(\blk00000003/sig00000331 ) + ); + MUXCY_L \blk00000003/blk000000c9 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig0000032e ), + .S(\blk00000003/sig0000032c ), + .LO(\blk00000003/sig00000328 ) + ); + XORCY \blk00000003/blk000000c8 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig0000032c ), + .O(\blk00000003/sig0000032d ) + ); + MUXCY_D \blk00000003/blk000000c7 ( + .CI(\blk00000003/sig00000328 ), + .DI(\blk00000003/sig0000032b ), + .S(\blk00000003/sig00000329 ), + .O(\NLW_blk00000003/blk000000c7_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000c7_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000c6 ( + .CI(\blk00000003/sig00000328 ), + .LI(\blk00000003/sig00000329 ), + .O(\blk00000003/sig0000032a ) + ); + MUXCY_L \blk00000003/blk000000c5 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000327 ), + .S(\blk00000003/sig00000325 ), + .LO(\blk00000003/sig00000321 ) + ); + XORCY \blk00000003/blk000000c4 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000325 ), + .O(\blk00000003/sig00000326 ) + ); + MUXCY_D \blk00000003/blk000000c3 ( + .CI(\blk00000003/sig00000321 ), + .DI(\blk00000003/sig00000324 ), + .S(\blk00000003/sig00000322 ), + .O(\NLW_blk00000003/blk000000c3_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000c3_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000c2 ( + .CI(\blk00000003/sig00000321 ), + .LI(\blk00000003/sig00000322 ), + .O(\blk00000003/sig00000323 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c1 ( + .C(clk), + .CE(ce), + .D(coef_ld), + .Q(\blk00000003/sig00000320 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c0 ( + .C(clk), + .CE(ce), + .D(coef_we), + .Q(\blk00000003/sig0000031f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b1 ), + .Q(\blk00000003/sig00000313 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000be ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000031e ), + .Q(\blk00000003/sig00000306 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000031c ), + .Q(\blk00000003/sig0000031d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000031b ), + .Q(\blk00000003/sig00000304 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000031a ), + .Q(\blk00000003/sig00000311 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000318 ), + .Q(\blk00000003/sig00000319 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000316 ), + .Q(\blk00000003/sig00000317 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000314 ), + .Q(\blk00000003/sig00000315 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000313 ), + .Q(\blk00000003/sig0000030f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000311 ), + .Q(\blk00000003/sig00000312 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000030f ), + .Q(\blk00000003/sig00000310 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ec ), + .Q(\blk00000003/sig0000030e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000309 ), + .Q(\blk00000003/sig0000030d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000303 ), + .R(coef_ld), + .Q(\NLW_blk00000003/blk000000b2_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000300 ), + .R(coef_ld), + .Q(\NLW_blk00000003/blk000000b1_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002fb ), + .R(coef_ld), + .Q(\blk00000003/sig000002f9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000af ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002f6 ), + .R(coef_ld), + .Q(\blk00000003/sig000002f3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ed ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000030c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000030a ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000030b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000308 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000309 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000306 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000307 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000304 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000305 ) + ); + XORCY \blk00000003/blk000000a9 ( + .CI(\blk00000003/sig00000302 ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig00000303 ) + ); + MUXCY \blk00000003/blk000000a8 ( + .CI(\blk00000003/sig00000302 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000ac ), + .O(\NLW_blk00000003/blk000000a8_O_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000a7 ( + .CI(\blk00000003/sig000002ff ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000301 ), + .O(\blk00000003/sig00000302 ), + .LO(\NLW_blk00000003/blk000000a7_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000a6 ( + .CI(\blk00000003/sig000002fe ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig00000300 ) + ); + MUXCY \blk00000003/blk000000a5 ( + .CI(\blk00000003/sig000002fe ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000ac ), + .O(\blk00000003/sig000002ff ) + ); + MUXCY_D \blk00000003/blk000000a4 ( + .CI(coef_we), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000002fd ), + .O(\blk00000003/sig000002fe ), + .LO(\NLW_blk00000003/blk000000a4_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000a3 ( + .CI(\blk00000003/sig000000ac ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000002fc ), + .O(\blk00000003/sig000002f8 ), + .LO(\NLW_blk00000003/blk000000a3_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000a2 ( + .CI(\blk00000003/sig000002fa ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig000002fb ) + ); + MUXCY \blk00000003/blk000000a1 ( + .CI(\blk00000003/sig000002fa ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000ac ), + .O(\NLW_blk00000003/blk000000a1_O_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000a0 ( + .CI(\blk00000003/sig000002f8 ), + .DI(\blk00000003/sig000002f9 ), + .S(coef_we), + .O(\blk00000003/sig000002fa ), + .LO(\NLW_blk00000003/blk000000a0_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk0000009f ( + .CI(\blk00000003/sig000000ac ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000002f7 ), + .O(\blk00000003/sig000002f2 ), + .LO(\NLW_blk00000003/blk0000009f_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk0000009e ( + .CI(\blk00000003/sig000002f5 ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig000002f6 ) + ); + MUXCY \blk00000003/blk0000009d ( + .CI(\blk00000003/sig000002f5 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000ac ), + .O(\NLW_blk00000003/blk0000009d_O_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk0000009c ( + .CI(\blk00000003/sig000002f2 ), + .DI(\blk00000003/sig000002f3 ), + .S(\blk00000003/sig000002f4 ), + .O(\blk00000003/sig000002f5 ), + .LO(\NLW_blk00000003/blk0000009c_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk0000009b ( + .CI(\blk00000003/sig000002eb ), + .LI(\blk00000003/sig000000ac ), + .O(\blk00000003/sig000002e9 ) + ); + MUXCY_D \blk00000003/blk0000009a ( + .CI(\blk00000003/sig000002ef ), + .DI(\blk00000003/sig000002f0 ), + .S(\blk00000003/sig000002f1 ), + .O(\NLW_blk00000003/blk0000009a_O_UNCONNECTED ), + .LO(\blk00000003/sig000002eb ) + ); + MUXCY_D \blk00000003/blk00000099 ( + .CI(\blk00000003/sig000002ec ), + .DI(\blk00000003/sig000002ed ), + .S(\blk00000003/sig000002ee ), + .O(\blk00000003/sig000002ef ), + .LO(\NLW_blk00000003/blk00000099_LO_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000098 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002eb ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002ec ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000097 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002e9 ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002ea ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000033 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b6 ), + .R(sclr), + .Q(\blk00000003/sig000002b5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000032 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b5 ), + .R(sclr), + .Q(\blk00000003/sig000002b2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000031 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b4 ), + .R(\blk00000003/sig000002af ), + .Q(data_valid) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000030 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b2 ), + .R(sclr), + .Q(\blk00000003/sig000002b3 ) + ); + FDRE \blk00000003/blk0000002f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b0 ), + .R(sclr), + .Q(\blk00000003/sig000002b1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ae ), + .R(\blk00000003/sig000002af ), + .Q(rdy) + ); + FDSE \blk00000003/blk0000002d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ac ), + .S(sclr), + .Q(\blk00000003/sig000002ad ) + ); + FDRE \blk00000003/blk0000002c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002aa ), + .R(sclr), + .Q(\blk00000003/sig000002ab ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk0000002b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029c ), + .S(sclr), + .Q(NlwRenamedSig_OI_rfd) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029a ), + .R(sclr), + .Q(\blk00000003/sig000002a9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000029 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a8 ), + .R(sclr), + .Q(\blk00000003/sig00000296 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000028 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000293 ), + .R(sclr), + .Q(\blk00000003/sig000002a7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000027 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a6 ), + .R(sclr), + .Q(\blk00000003/sig00000294 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000026 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a4 ), + .R(sclr), + .Q(\blk00000003/sig000002a5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000025 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a2 ), + .R(sclr), + .Q(\blk00000003/sig000002a3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000024 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a0 ), + .R(sclr), + .Q(\NLW_blk00000003/blk00000024_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000023 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a0 ), + .R(sclr), + .Q(\blk00000003/sig000002a1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000022 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029e ), + .Q(\blk00000003/sig0000029f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000021 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000016e ), + .R(sclr), + .Q(\blk00000003/sig0000016b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000020 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000168 ), + .R(sclr), + .Q(\NLW_blk00000003/blk00000020_Q_UNCONNECTED ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk0000001f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000169 ), + .S(sclr), + .Q(\blk00000003/sig0000029d ) + ); + MUXCY \blk00000003/blk0000001e ( + .CI(\blk00000003/sig00000299 ), + .DI(\blk00000003/sig000000ac ), + .S(\blk00000003/sig0000029b ), + .O(\blk00000003/sig0000029c ) + ); + XORCY \blk00000003/blk0000001d ( + .CI(\blk00000003/sig00000298 ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig0000029a ) + ); + MUXCY \blk00000003/blk0000001c ( + .CI(\blk00000003/sig00000298 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000ac ), + .O(\blk00000003/sig00000299 ) + ); + MUXCY_D \blk00000003/blk0000001b ( + .CI(\blk00000003/sig00000296 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000297 ), + .O(\blk00000003/sig00000298 ), + .LO(\NLW_blk00000003/blk0000001b_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk0000001a ( + .CI(\blk00000003/sig00000294 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000295 ), + .O(\blk00000003/sig00000292 ), + .LO(\NLW_blk00000003/blk0000001a_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk00000019 ( + .CI(\blk00000003/sig00000292 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000ac ), + .O(\NLW_blk00000003/blk00000019_O_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000018 ( + .CI(\blk00000003/sig00000292 ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig00000293 ) + ); + DSP48A1 #( + .A0REG ( 1 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000017 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000017_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000017_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000242 , \blk00000003/sig00000243 , \blk00000003/sig00000244 , +\blk00000003/sig00000245 , \blk00000003/sig00000246 , \blk00000003/sig00000247 , \blk00000003/sig00000248 , \blk00000003/sig00000249 , +\blk00000003/sig0000024a , \blk00000003/sig0000024b , \blk00000003/sig0000024c , \blk00000003/sig0000024d , \blk00000003/sig0000024e , +\blk00000003/sig0000024f , \blk00000003/sig00000250 , \blk00000003/sig00000251 }), + .BCOUT({\NLW_blk00000003/blk00000017_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000017_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000017_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000017_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000017_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000017_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000017_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000017_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000017_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000017_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000017_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000017_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000017_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000017_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000017_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000017_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000017_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000017_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000252 , \blk00000003/sig00000253 , \blk00000003/sig00000254 , \blk00000003/sig00000255 , \blk00000003/sig00000256 , +\blk00000003/sig00000257 , \blk00000003/sig00000258 , \blk00000003/sig00000259 , \blk00000003/sig0000025a , \blk00000003/sig0000025b , +\blk00000003/sig0000025c , \blk00000003/sig0000025d , \blk00000003/sig0000025e , \blk00000003/sig0000025f , \blk00000003/sig00000260 , +\blk00000003/sig00000261 , \blk00000003/sig00000262 , \blk00000003/sig00000263 , \blk00000003/sig00000264 , \blk00000003/sig00000265 , +\blk00000003/sig00000266 , \blk00000003/sig00000267 , \blk00000003/sig00000268 , \blk00000003/sig00000269 , \blk00000003/sig0000026a , +\blk00000003/sig0000026b , \blk00000003/sig0000026c , \blk00000003/sig0000026d , \blk00000003/sig0000026e , \blk00000003/sig0000026f , +\blk00000003/sig00000270 , \blk00000003/sig00000271 , \blk00000003/sig00000272 , \blk00000003/sig00000273 , \blk00000003/sig00000274 , +\blk00000003/sig00000275 , \blk00000003/sig00000276 , \blk00000003/sig00000277 , \blk00000003/sig00000278 , \blk00000003/sig00000279 , +\blk00000003/sig0000027a , \blk00000003/sig0000027b , \blk00000003/sig0000027c , \blk00000003/sig0000027d , \blk00000003/sig0000027e , +\blk00000003/sig0000027f , \blk00000003/sig00000280 , \blk00000003/sig00000281 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk00000017_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000017_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000017_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000017_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000017_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000017_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000017_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000017_P<40>_UNCONNECTED , +\blk00000003/sig00000153 , \blk00000003/sig00000154 , \blk00000003/sig00000155 , \blk00000003/sig00000156 , \blk00000003/sig00000157 , +\blk00000003/sig00000158 , \blk00000003/sig00000103 , \blk00000003/sig00000104 , \blk00000003/sig00000105 , \blk00000003/sig00000106 , +\blk00000003/sig00000107 , \blk00000003/sig00000108 , \blk00000003/sig00000109 , \blk00000003/sig0000010a , \blk00000003/sig0000010b , +\blk00000003/sig0000010c , \blk00000003/sig0000010d , \blk00000003/sig0000010e , \blk00000003/sig0000010f , \blk00000003/sig00000110 , +\blk00000003/sig00000111 , \blk00000003/sig00000112 , \blk00000003/sig00000113 , \blk00000003/sig00000114 , \blk00000003/sig00000282 , +\blk00000003/sig00000283 , \blk00000003/sig00000284 , \blk00000003/sig00000285 , \blk00000003/sig00000286 , \blk00000003/sig00000287 , +\blk00000003/sig00000288 , \blk00000003/sig00000289 , \blk00000003/sig0000028a , \blk00000003/sig0000028b , \blk00000003/sig0000028c , +\blk00000003/sig0000028d , \blk00000003/sig0000028e , \blk00000003/sig0000028f , \blk00000003/sig00000290 , \blk00000003/sig00000291 }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig0000015d , \blk00000003/sig0000015f , \blk00000003/sig00000161 }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .PCOUT({\NLW_blk00000003/blk00000017_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000001a8 , \blk00000003/sig000001a9 , \blk00000003/sig000001aa , \blk00000003/sig000001ab , \blk00000003/sig000001ac , +\blk00000003/sig000001ad , \blk00000003/sig000001ae , \blk00000003/sig000001af , \blk00000003/sig000001b0 , \blk00000003/sig000001b1 , +\blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , \blk00000003/sig000001b5 , \blk00000003/sig000001b6 , +\blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 }), + .M({\NLW_blk00000003/blk00000017_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 1 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000016 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000016_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000016_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig0000020a , \blk00000003/sig0000020a , \blk00000003/sig0000020a , \blk00000003/sig0000020a , \blk00000003/sig0000020a , +\blk00000003/sig0000020a , \blk00000003/sig0000020a , \blk00000003/sig0000020a , \blk00000003/sig0000020a , \blk00000003/sig0000020a , +\blk00000003/sig0000020a , \blk00000003/sig0000020b , \blk00000003/sig0000020c , \blk00000003/sig0000020d , \blk00000003/sig0000020e , +\blk00000003/sig0000020f , \blk00000003/sig00000210 , \blk00000003/sig00000211 }), + .BCOUT({\NLW_blk00000003/blk00000016_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000212 , \blk00000003/sig00000213 , \blk00000003/sig00000214 , \blk00000003/sig00000215 , \blk00000003/sig00000216 , +\blk00000003/sig00000217 , \blk00000003/sig00000218 , \blk00000003/sig00000219 , \blk00000003/sig0000021a , \blk00000003/sig0000021b , +\blk00000003/sig0000021c , \blk00000003/sig0000021d , \blk00000003/sig0000021e , \blk00000003/sig0000021f , \blk00000003/sig00000220 , +\blk00000003/sig00000221 , \blk00000003/sig00000222 , \blk00000003/sig00000223 , \blk00000003/sig00000224 , \blk00000003/sig00000225 , +\blk00000003/sig00000226 , \blk00000003/sig00000227 , \blk00000003/sig00000228 , \blk00000003/sig00000229 , \blk00000003/sig0000022a , +\blk00000003/sig0000022b , \blk00000003/sig0000022c , \blk00000003/sig0000022d , \blk00000003/sig0000022e , \blk00000003/sig0000022f , +\blk00000003/sig00000230 , \blk00000003/sig00000231 , \blk00000003/sig00000232 , \blk00000003/sig00000233 , \blk00000003/sig00000234 , +\blk00000003/sig00000235 , \blk00000003/sig00000236 , \blk00000003/sig00000237 , \blk00000003/sig00000238 , \blk00000003/sig00000239 , +\blk00000003/sig0000023a , \blk00000003/sig0000023b , \blk00000003/sig0000023c , \blk00000003/sig0000023d , \blk00000003/sig0000023e , +\blk00000003/sig0000023f , \blk00000003/sig00000240 , \blk00000003/sig00000241 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk00000016_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000016_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000016_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000016_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000016_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000016_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<31>_UNCONNECTED , +\blk00000003/sig00000115 , \blk00000003/sig00000116 , \blk00000003/sig00000117 , \blk00000003/sig00000118 , \blk00000003/sig00000119 , +\blk00000003/sig0000011a , \blk00000003/sig0000011b , \blk00000003/sig0000011c , \blk00000003/sig0000011d , \blk00000003/sig0000011e , +\blk00000003/sig0000011f , \blk00000003/sig00000120 , \blk00000003/sig00000121 , \blk00000003/sig00000122 , \blk00000003/sig00000123 , +\blk00000003/sig00000124 , \blk00000003/sig00000125 , \blk00000003/sig00000126 , \blk00000003/sig00000127 , \blk00000003/sig00000128 , +\blk00000003/sig00000129 , \blk00000003/sig0000012a , \blk00000003/sig0000012b , \blk00000003/sig0000012c , \blk00000003/sig0000012d , +\blk00000003/sig0000012e , \blk00000003/sig0000012f , \blk00000003/sig00000130 , \blk00000003/sig00000131 , \blk00000003/sig00000132 , +\blk00000003/sig00000133 }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig0000015d , \blk00000003/sig0000015f , \blk00000003/sig00000161 }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .PCOUT({\NLW_blk00000003/blk00000016_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000001a8 , \blk00000003/sig000001a9 , \blk00000003/sig000001aa , \blk00000003/sig000001ab , \blk00000003/sig000001ac , +\blk00000003/sig000001ad , \blk00000003/sig000001ae , \blk00000003/sig000001af , \blk00000003/sig000001b0 , \blk00000003/sig000001b1 , +\blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , \blk00000003/sig000001b5 , \blk00000003/sig000001b6 , +\blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 }), + .M({\NLW_blk00000003/blk00000016_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 1 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000015 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000015_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000015_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000001ba , \blk00000003/sig000001bb , \blk00000003/sig000001bc , +\blk00000003/sig000001bd , \blk00000003/sig000001be , \blk00000003/sig000001bf , \blk00000003/sig000001c0 , \blk00000003/sig000001c1 , +\blk00000003/sig000001c2 , \blk00000003/sig000001c3 , \blk00000003/sig000001c4 , \blk00000003/sig000001c5 , \blk00000003/sig000001c6 , +\blk00000003/sig000001c7 , \blk00000003/sig000001c8 , \blk00000003/sig000001c9 }), + .BCOUT({\NLW_blk00000003/blk00000015_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000001ca , \blk00000003/sig000001cb , \blk00000003/sig000001cc , \blk00000003/sig000001cd , \blk00000003/sig000001ce , +\blk00000003/sig000001cf , \blk00000003/sig000001d0 , \blk00000003/sig000001d1 , \blk00000003/sig000001d2 , \blk00000003/sig000001d3 , +\blk00000003/sig000001d4 , \blk00000003/sig000001d5 , \blk00000003/sig000001d6 , \blk00000003/sig000001d7 , \blk00000003/sig000001d8 , +\blk00000003/sig000001d9 , \blk00000003/sig000001da , \blk00000003/sig000001db , \blk00000003/sig000001dc , \blk00000003/sig000001dd , +\blk00000003/sig000001de , \blk00000003/sig000001df , \blk00000003/sig000001e0 , \blk00000003/sig000001e1 , \blk00000003/sig000001e2 , +\blk00000003/sig000001e3 , \blk00000003/sig000001e4 , \blk00000003/sig000001e5 , \blk00000003/sig000001e6 , \blk00000003/sig000001e7 , +\blk00000003/sig000001e8 , \blk00000003/sig000001e9 , \blk00000003/sig000001ea , \blk00000003/sig000001eb , \blk00000003/sig000001ec , +\blk00000003/sig000001ed , \blk00000003/sig000001ee , \blk00000003/sig000001ef , \blk00000003/sig000001f0 , \blk00000003/sig000001f1 , +\blk00000003/sig000001f2 , \blk00000003/sig000001f3 , \blk00000003/sig000001f4 , \blk00000003/sig000001f5 , \blk00000003/sig000001f6 , +\blk00000003/sig000001f7 , \blk00000003/sig000001f8 , \blk00000003/sig000001f9 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk00000015_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000015_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000015_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000015_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000015_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000015_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000015_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000015_P<40>_UNCONNECTED , +\blk00000003/sig000000fd , \blk00000003/sig000000fe , \blk00000003/sig000000ff , \blk00000003/sig00000100 , \blk00000003/sig00000101 , +\blk00000003/sig00000102 , \blk00000003/sig000000ad , \blk00000003/sig000000ae , \blk00000003/sig000000af , \blk00000003/sig000000b0 , +\blk00000003/sig000000b1 , \blk00000003/sig000000b2 , \blk00000003/sig000000b3 , \blk00000003/sig000000b4 , \blk00000003/sig000000b5 , +\blk00000003/sig000000b6 , \blk00000003/sig000000b7 , \blk00000003/sig000000b8 , \blk00000003/sig000000b9 , \blk00000003/sig000000ba , +\blk00000003/sig000000bb , \blk00000003/sig000000bc , \blk00000003/sig000000bd , \blk00000003/sig000000be , \blk00000003/sig000001fa , +\blk00000003/sig000001fb , \blk00000003/sig000001fc , \blk00000003/sig000001fd , \blk00000003/sig000001fe , \blk00000003/sig000001ff , +\blk00000003/sig00000200 , \blk00000003/sig00000201 , \blk00000003/sig00000202 , \blk00000003/sig00000203 , \blk00000003/sig00000204 , +\blk00000003/sig00000205 , \blk00000003/sig00000206 , \blk00000003/sig00000207 , \blk00000003/sig00000208 , \blk00000003/sig00000209 }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig0000015d , \blk00000003/sig0000015f , \blk00000003/sig00000161 }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .PCOUT({\NLW_blk00000003/blk00000015_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000001a8 , \blk00000003/sig000001a9 , \blk00000003/sig000001aa , \blk00000003/sig000001ab , \blk00000003/sig000001ac , +\blk00000003/sig000001ad , \blk00000003/sig000001ae , \blk00000003/sig000001af , \blk00000003/sig000001b0 , \blk00000003/sig000001b1 , +\blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , \blk00000003/sig000001b5 , \blk00000003/sig000001b6 , +\blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 }), + .M({\NLW_blk00000003/blk00000015_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 1 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000014 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000014_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000014_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000170 , \blk00000003/sig00000170 , \blk00000003/sig00000170 , \blk00000003/sig00000170 , \blk00000003/sig00000170 , +\blk00000003/sig00000170 , \blk00000003/sig00000170 , \blk00000003/sig00000170 , \blk00000003/sig00000170 , \blk00000003/sig00000170 , +\blk00000003/sig00000170 , \blk00000003/sig00000171 , \blk00000003/sig00000172 , \blk00000003/sig00000173 , \blk00000003/sig00000174 , +\blk00000003/sig00000175 , \blk00000003/sig00000176 , \blk00000003/sig00000177 }), + .BCOUT({\NLW_blk00000003/blk00000014_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000014_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000014_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000014_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000014_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000014_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000014_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000014_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000014_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000014_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000014_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000014_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000014_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000014_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000014_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000014_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000014_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000014_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000178 , \blk00000003/sig00000179 , \blk00000003/sig0000017a , \blk00000003/sig0000017b , \blk00000003/sig0000017c , +\blk00000003/sig0000017d , \blk00000003/sig0000017e , \blk00000003/sig0000017f , \blk00000003/sig00000180 , \blk00000003/sig00000181 , +\blk00000003/sig00000182 , \blk00000003/sig00000183 , \blk00000003/sig00000184 , \blk00000003/sig00000185 , \blk00000003/sig00000186 , +\blk00000003/sig00000187 , \blk00000003/sig00000188 , \blk00000003/sig00000189 , \blk00000003/sig0000018a , \blk00000003/sig0000018b , +\blk00000003/sig0000018c , \blk00000003/sig0000018d , \blk00000003/sig0000018e , \blk00000003/sig0000018f , \blk00000003/sig00000190 , +\blk00000003/sig00000191 , \blk00000003/sig00000192 , \blk00000003/sig00000193 , \blk00000003/sig00000194 , \blk00000003/sig00000195 , +\blk00000003/sig00000196 , \blk00000003/sig00000197 , \blk00000003/sig00000198 , \blk00000003/sig00000199 , \blk00000003/sig0000019a , +\blk00000003/sig0000019b , \blk00000003/sig0000019c , \blk00000003/sig0000019d , \blk00000003/sig0000019e , \blk00000003/sig0000019f , +\blk00000003/sig000001a0 , \blk00000003/sig000001a1 , \blk00000003/sig000001a2 , \blk00000003/sig000001a3 , \blk00000003/sig000001a4 , +\blk00000003/sig000001a5 , \blk00000003/sig000001a6 , \blk00000003/sig000001a7 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk00000014_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000014_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000014_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000014_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000014_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000014_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<31>_UNCONNECTED , +\blk00000003/sig000000bf , \blk00000003/sig000000c0 , \blk00000003/sig000000c1 , \blk00000003/sig000000c2 , \blk00000003/sig000000c3 , +\blk00000003/sig000000c4 , \blk00000003/sig000000c5 , \blk00000003/sig000000c6 , \blk00000003/sig000000c7 , \blk00000003/sig000000c8 , +\blk00000003/sig000000c9 , \blk00000003/sig000000ca , \blk00000003/sig000000cb , \blk00000003/sig000000cc , \blk00000003/sig000000cd , +\blk00000003/sig000000ce , \blk00000003/sig000000cf , \blk00000003/sig000000d0 , \blk00000003/sig000000d1 , \blk00000003/sig000000d2 , +\blk00000003/sig000000d3 , \blk00000003/sig000000d4 , \blk00000003/sig000000d5 , \blk00000003/sig000000d6 , \blk00000003/sig000000d7 , +\blk00000003/sig000000d8 , \blk00000003/sig000000d9 , \blk00000003/sig000000da , \blk00000003/sig000000db , \blk00000003/sig000000dc , +\blk00000003/sig000000dd }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig0000015d , \blk00000003/sig0000015f , \blk00000003/sig00000161 }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .PCOUT({\NLW_blk00000003/blk00000014_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000001a8 , \blk00000003/sig000001a9 , \blk00000003/sig000001aa , \blk00000003/sig000001ab , \blk00000003/sig000001ac , +\blk00000003/sig000001ad , \blk00000003/sig000001ae , \blk00000003/sig000001af , \blk00000003/sig000001b0 , \blk00000003/sig000001b1 , +\blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , \blk00000003/sig000001b5 , \blk00000003/sig000001b6 , +\blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 }), + .M({\NLW_blk00000003/blk00000014_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<0>_UNCONNECTED }) + ); + MUXCY_D \blk00000003/blk00000013 ( + .CI(\blk00000003/sig000000ac ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig0000016f ), + .O(\blk00000003/sig0000016a ), + .LO(\NLW_blk00000003/blk00000013_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000012 ( + .CI(\blk00000003/sig0000016d ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig0000016e ) + ); + MUXCY \blk00000003/blk00000011 ( + .CI(\blk00000003/sig0000016d ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000ac ), + .O(\blk00000003/sig00000162 ) + ); + MUXCY_D \blk00000003/blk00000010 ( + .CI(\blk00000003/sig0000016a ), + .DI(\blk00000003/sig0000016b ), + .S(\blk00000003/sig0000016c ), + .O(\blk00000003/sig0000016d ), + .LO(\NLW_blk00000003/blk00000010_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk0000000f ( + .CI(\blk00000003/sig00000168 ), + .LI(\blk00000003/sig000000ac ), + .O(\blk00000003/sig00000169 ) + ); + MUXCY_D \blk00000003/blk0000000e ( + .CI(\blk00000003/sig00000165 ), + .DI(\blk00000003/sig00000166 ), + .S(\blk00000003/sig00000167 ), + .O(\NLW_blk00000003/blk0000000e_O_UNCONNECTED ), + .LO(\blk00000003/sig00000168 ) + ); + MUXCY_D \blk00000003/blk0000000d ( + .CI(\blk00000003/sig00000162 ), + .DI(\blk00000003/sig00000163 ), + .S(\blk00000003/sig00000164 ), + .O(\blk00000003/sig00000165 ), + .LO(\NLW_blk00000003/blk0000000d_LO_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk0000000c ( + .C(clk), + .D(\blk00000003/sig00000160 ), + .Q(\blk00000003/sig00000161 ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk0000000b ( + .C(clk), + .D(\blk00000003/sig0000015e ), + .Q(\blk00000003/sig0000015f ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk0000000a ( + .C(clk), + .D(\blk00000003/sig0000015c ), + .Q(\blk00000003/sig0000015d ) + ); + XORCY \blk00000003/blk00000009 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig0000015a ), + .O(\blk00000003/sig0000015b ) + ); + MUXCY_D \blk00000003/blk00000008 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000159 ), + .S(\blk00000003/sig0000015a ), + .O(\NLW_blk00000003/blk00000008_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk00000008_LO_UNCONNECTED ) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 0 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000007 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000007_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000007_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000103 , \blk00000003/sig00000104 , \blk00000003/sig00000105 , \blk00000003/sig00000106 , \blk00000003/sig00000107 , +\blk00000003/sig00000108 , \blk00000003/sig00000109 , \blk00000003/sig0000010a , \blk00000003/sig0000010b , \blk00000003/sig0000010c , +\blk00000003/sig0000010d , \blk00000003/sig0000010e , \blk00000003/sig0000010f , \blk00000003/sig00000110 , \blk00000003/sig00000111 , +\blk00000003/sig00000112 , \blk00000003/sig00000113 , \blk00000003/sig00000114 }), + .BCOUT({\NLW_blk00000003/blk00000007_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , +\blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , +\blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , +\blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000116 , \blk00000003/sig00000117 , +\blk00000003/sig00000118 , \blk00000003/sig00000119 , \blk00000003/sig0000011a , \blk00000003/sig0000011b , \blk00000003/sig0000011c , +\blk00000003/sig0000011d , \blk00000003/sig0000011e , \blk00000003/sig0000011f , \blk00000003/sig00000120 , \blk00000003/sig00000121 , +\blk00000003/sig00000122 , \blk00000003/sig00000123 , \blk00000003/sig00000124 , \blk00000003/sig00000125 , \blk00000003/sig00000126 , +\blk00000003/sig00000127 , \blk00000003/sig00000128 , \blk00000003/sig00000129 , \blk00000003/sig0000012a , \blk00000003/sig0000012b , +\blk00000003/sig0000012c , \blk00000003/sig0000012d , \blk00000003/sig0000012e , \blk00000003/sig0000012f , \blk00000003/sig00000130 , +\blk00000003/sig00000131 , \blk00000003/sig00000132 , \blk00000003/sig00000133 }), + .P({\NLW_blk00000003/blk00000007_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<31>_UNCONNECTED , +\blk00000003/sig00000134 , \blk00000003/sig00000135 , \blk00000003/sig00000136 , \blk00000003/sig00000137 , \blk00000003/sig00000138 , +\blk00000003/sig00000139 , \blk00000003/sig0000013a , \blk00000003/sig0000013b , \blk00000003/sig0000013c , \blk00000003/sig0000013d , +\blk00000003/sig0000013e , \blk00000003/sig0000013f , \blk00000003/sig00000140 , \blk00000003/sig00000141 , \blk00000003/sig00000142 , +\blk00000003/sig00000143 , \blk00000003/sig00000144 , \blk00000003/sig00000145 , \blk00000003/sig00000146 , \blk00000003/sig00000147 , +\blk00000003/sig00000148 , \blk00000003/sig00000149 , \blk00000003/sig0000014a , \blk00000003/sig0000014b , \blk00000003/sig0000014c , +\blk00000003/sig0000014d , \blk00000003/sig0000014e , \blk00000003/sig0000014f , \blk00000003/sig00000150 , \blk00000003/sig00000151 , +\blk00000003/sig00000152 }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , +\blk00000003/sig000000ac , \blk00000003/sig000000ac , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , +\blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , +\blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , +\blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 }), + .PCOUT({\NLW_blk00000003/blk00000007_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , +\blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , +\blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000154 , \blk00000003/sig00000155 , +\blk00000003/sig00000156 , \blk00000003/sig00000157 , \blk00000003/sig00000158 }), + .M({\NLW_blk00000003/blk00000007_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 0 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000006 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000006_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000006_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig000000ad , \blk00000003/sig000000ae , \blk00000003/sig000000af , \blk00000003/sig000000b0 , \blk00000003/sig000000b1 , +\blk00000003/sig000000b2 , \blk00000003/sig000000b3 , \blk00000003/sig000000b4 , \blk00000003/sig000000b5 , \blk00000003/sig000000b6 , +\blk00000003/sig000000b7 , \blk00000003/sig000000b8 , \blk00000003/sig000000b9 , \blk00000003/sig000000ba , \blk00000003/sig000000bb , +\blk00000003/sig000000bc , \blk00000003/sig000000bd , \blk00000003/sig000000be }), + .BCOUT({\NLW_blk00000003/blk00000006_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , +\blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , +\blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , +\blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000c0 , \blk00000003/sig000000c1 , +\blk00000003/sig000000c2 , \blk00000003/sig000000c3 , \blk00000003/sig000000c4 , \blk00000003/sig000000c5 , \blk00000003/sig000000c6 , +\blk00000003/sig000000c7 , \blk00000003/sig000000c8 , \blk00000003/sig000000c9 , \blk00000003/sig000000ca , \blk00000003/sig000000cb , +\blk00000003/sig000000cc , \blk00000003/sig000000cd , \blk00000003/sig000000ce , \blk00000003/sig000000cf , \blk00000003/sig000000d0 , +\blk00000003/sig000000d1 , \blk00000003/sig000000d2 , \blk00000003/sig000000d3 , \blk00000003/sig000000d4 , \blk00000003/sig000000d5 , +\blk00000003/sig000000d6 , \blk00000003/sig000000d7 , \blk00000003/sig000000d8 , \blk00000003/sig000000d9 , \blk00000003/sig000000da , +\blk00000003/sig000000db , \blk00000003/sig000000dc , \blk00000003/sig000000dd }), + .P({\NLW_blk00000003/blk00000006_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<31>_UNCONNECTED , +\blk00000003/sig000000de , \blk00000003/sig000000df , \blk00000003/sig000000e0 , \blk00000003/sig000000e1 , \blk00000003/sig000000e2 , +\blk00000003/sig000000e3 , \blk00000003/sig000000e4 , \blk00000003/sig000000e5 , \blk00000003/sig000000e6 , \blk00000003/sig000000e7 , +\blk00000003/sig000000e8 , \blk00000003/sig000000e9 , \blk00000003/sig000000ea , \blk00000003/sig000000eb , \blk00000003/sig000000ec , +\blk00000003/sig000000ed , \blk00000003/sig000000ee , \blk00000003/sig000000ef , \blk00000003/sig000000f0 , \blk00000003/sig000000f1 , +\blk00000003/sig000000f2 , \blk00000003/sig000000f3 , \blk00000003/sig000000f4 , \blk00000003/sig000000f5 , \blk00000003/sig000000f6 , +\blk00000003/sig000000f7 , \blk00000003/sig000000f8 , \blk00000003/sig000000f9 , \blk00000003/sig000000fa , \blk00000003/sig000000fb , +\blk00000003/sig000000fc }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , +\blk00000003/sig000000ac , \blk00000003/sig000000ac , \blk00000003/sig000000ac }), + .D({\blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , +\blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , +\blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , +\blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd }), + .PCOUT({\NLW_blk00000003/blk00000006_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , +\blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , +\blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fe , \blk00000003/sig000000ff , +\blk00000003/sig00000100 , \blk00000003/sig00000101 , \blk00000003/sig00000102 }), + .M({\NLW_blk00000003/blk00000006_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<0>_UNCONNECTED }) + ); + VCC \blk00000003/blk00000005 ( + .P(\blk00000003/sig000000ac ) + ); + GND \blk00000003/blk00000004 ( + .G(\blk00000003/sig00000049 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000034/blk00000096 ( + .I0(nd), + .I1(ce), + .O(\blk00000003/blk00000034/sig000009d5 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000095 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[22]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000095_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009d3 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000094 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[21]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000094_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009d2 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000093 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[23]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000093_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009d4 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000092 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[19]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000092_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009d0 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000091 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[18]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000091_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009cf ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000090 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[20]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000090_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009d1 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000008f ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[16]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000008f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009cd ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000008e ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[15]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000008e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009cc ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000008d ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[17]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000008d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009ce ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000008c ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[13]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000008c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009ca ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000008b ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[12]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000008b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c9 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000008a ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[14]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000008a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009cb ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000089 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[10]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000089_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c7 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000088 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[9]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000088_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c6 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000087 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[11]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000087_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c8 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000086 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[7]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000086_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c4 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000085 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[6]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000085_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c3 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000084 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[8]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000084_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c5 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000083 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[4]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000083_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c1 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000082 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[3]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000082_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c0 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000081 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[5]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000081_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c2 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000080 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[1]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000080_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009be ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000007f ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[0]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000007f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009bd ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000007e ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[2]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000007e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009bf ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000007d ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[22]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000007d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009bb ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000007c ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[21]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000007c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009ba ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000007b ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[23]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000007b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009bc ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000007a ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[19]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000007a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b8 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000079 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[18]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000079_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b7 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000078 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[20]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000078_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b9 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000077 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[16]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000077_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b5 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000076 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[15]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000076_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b4 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000075 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[17]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000075_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b6 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000074 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[13]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000074_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b2 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000073 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[12]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000073_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b1 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000072 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[14]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000072_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b3 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000071 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[10]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000071_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009af ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000070 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[9]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000070_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009ae ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000006f ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[11]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000006f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b0 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000006e ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[7]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000006e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009ac ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000006d ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[6]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000006d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009ab ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000006c ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[8]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000006c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009ad ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000006b ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[4]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000006b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009a9 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000006a ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[3]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000006a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009a8 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000069 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[5]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000069_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009aa ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000068 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[1]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000068_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009a6 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000067 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[0]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000067_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009a5 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000066 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[2]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000066_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009a7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000065 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009d4 ), + .Q(\blk00000003/sig000002b9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000064 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009d3 ), + .Q(\blk00000003/sig000002ba ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000063 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009d2 ), + .Q(\blk00000003/sig000002bb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000062 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009d1 ), + .Q(\blk00000003/sig000002bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000061 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009d0 ), + .Q(\blk00000003/sig000002bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000060 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009cf ), + .Q(\blk00000003/sig000002be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000005f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009ce ), + .Q(\blk00000003/sig000002bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000005e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009cd ), + .Q(\blk00000003/sig000002c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000005d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009cc ), + .Q(\blk00000003/sig000002c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000005c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009cb ), + .Q(\blk00000003/sig000002c2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000005b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009ca ), + .Q(\blk00000003/sig000002c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000005a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c9 ), + .Q(\blk00000003/sig000002c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000059 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c8 ), + .Q(\blk00000003/sig000002c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000058 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c7 ), + .Q(\blk00000003/sig000002c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000057 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c6 ), + .Q(\blk00000003/sig000002c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000056 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c5 ), + .Q(\blk00000003/sig000002c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000055 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c4 ), + .Q(\blk00000003/sig000002c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000054 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c3 ), + .Q(\blk00000003/sig000002ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000053 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c2 ), + .Q(\blk00000003/sig000002cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000052 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c1 ), + .Q(\blk00000003/sig000002cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000051 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c0 ), + .Q(\blk00000003/sig000002cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000050 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009bf ), + .Q(\blk00000003/sig000002ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000004f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009be ), + .Q(\blk00000003/sig000002cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000004e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009bd ), + .Q(\blk00000003/sig000002d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000004d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009bc ), + .Q(\blk00000003/sig000002d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000004c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009bb ), + .Q(\blk00000003/sig000002d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000004b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009ba ), + .Q(\blk00000003/sig000002d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000004a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b9 ), + .Q(\blk00000003/sig000002d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000049 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b8 ), + .Q(\blk00000003/sig000002d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000048 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b7 ), + .Q(\blk00000003/sig000002d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000047 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b6 ), + .Q(\blk00000003/sig000002d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000046 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b5 ), + .Q(\blk00000003/sig000002d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000045 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b4 ), + .Q(\blk00000003/sig000002d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000044 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b3 ), + .Q(\blk00000003/sig000002da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000043 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b2 ), + .Q(\blk00000003/sig000002db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000042 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b1 ), + .Q(\blk00000003/sig000002dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000041 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b0 ), + .Q(\blk00000003/sig000002dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000040 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009af ), + .Q(\blk00000003/sig000002de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000003f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009ae ), + .Q(\blk00000003/sig000002df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000003e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009ad ), + .Q(\blk00000003/sig000002e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000003d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009ac ), + .Q(\blk00000003/sig000002e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000003c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009ab ), + .Q(\blk00000003/sig000002e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000003b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009aa ), + .Q(\blk00000003/sig000002e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000003a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009a9 ), + .Q(\blk00000003/sig000002e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000039 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009a8 ), + .Q(\blk00000003/sig000002e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000038 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009a7 ), + .Q(\blk00000003/sig000002e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000037 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009a6 ), + .Q(\blk00000003/sig000002e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000036 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009a5 ), + .Q(\blk00000003/sig000002e8 ) + ); + GND \blk00000003/blk00000034/blk00000035 ( + .G(\blk00000003/blk00000034/sig000009a4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000130/blk00000152 ( + .I0(\blk00000003/sig0000067c ), + .I1(ce), + .O(\blk00000003/blk00000130/sig00000a0c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000151 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000641 ), + .Q(\blk00000003/blk00000130/sig00000a0b ), + .Q15(\NLW_blk00000003/blk00000130/blk00000151_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000150 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000642 ), + .Q(\blk00000003/blk00000130/sig00000a0a ), + .Q15(\NLW_blk00000003/blk00000130/blk00000150_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk0000014f ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000643 ), + .Q(\blk00000003/blk00000130/sig00000a09 ), + .Q15(\NLW_blk00000003/blk00000130/blk0000014f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk0000014e ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000644 ), + .Q(\blk00000003/blk00000130/sig00000a08 ), + .Q15(\NLW_blk00000003/blk00000130/blk0000014e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk0000014d ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000645 ), + .Q(\blk00000003/blk00000130/sig00000a07 ), + .Q15(\NLW_blk00000003/blk00000130/blk0000014d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk0000014c ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000646 ), + .Q(\blk00000003/blk00000130/sig00000a06 ), + .Q15(\NLW_blk00000003/blk00000130/blk0000014c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk0000014b ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000647 ), + .Q(\blk00000003/blk00000130/sig00000a05 ), + .Q15(\NLW_blk00000003/blk00000130/blk0000014b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk0000014a ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000648 ), + .Q(\blk00000003/blk00000130/sig00000a04 ), + .Q15(\NLW_blk00000003/blk00000130/blk0000014a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000149 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000649 ), + .Q(\blk00000003/blk00000130/sig00000a03 ), + .Q15(\NLW_blk00000003/blk00000130/blk00000149_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000148 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig0000064a ), + .Q(\blk00000003/blk00000130/sig00000a02 ), + .Q15(\NLW_blk00000003/blk00000130/blk00000148_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000147 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig0000064b ), + .Q(\blk00000003/blk00000130/sig00000a01 ), + .Q15(\NLW_blk00000003/blk00000130/blk00000147_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000146 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig0000064c ), + .Q(\blk00000003/blk00000130/sig00000a00 ), + .Q15(\NLW_blk00000003/blk00000130/blk00000146_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000145 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig0000064d ), + .Q(\blk00000003/blk00000130/sig000009ff ), + .Q15(\NLW_blk00000003/blk00000130/blk00000145_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000144 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig0000064e ), + .Q(\blk00000003/blk00000130/sig000009fe ), + .Q15(\NLW_blk00000003/blk00000130/blk00000144_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000143 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig0000064f ), + .Q(\blk00000003/blk00000130/sig000009fd ), + .Q15(\NLW_blk00000003/blk00000130/blk00000143_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000142 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000650 ), + .Q(\blk00000003/blk00000130/sig000009fc ), + .Q15(\NLW_blk00000003/blk00000130/blk00000142_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000141 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a0b ), + .Q(\blk00000003/sig000005d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000140 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a0a ), + .Q(\blk00000003/sig000005d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk0000013f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a09 ), + .Q(\blk00000003/sig000005d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk0000013e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a08 ), + .Q(\blk00000003/sig000005d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk0000013d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a07 ), + .Q(\blk00000003/sig000005d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk0000013c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a06 ), + .Q(\blk00000003/sig000005d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk0000013b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a05 ), + .Q(\blk00000003/sig000005d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk0000013a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a04 ), + .Q(\blk00000003/sig000005d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000139 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a03 ), + .Q(\blk00000003/sig000005d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000138 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a02 ), + .Q(\blk00000003/sig000005da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000137 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a01 ), + .Q(\blk00000003/sig000005db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000136 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a00 ), + .Q(\blk00000003/sig000005dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000135 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig000009ff ), + .Q(\blk00000003/sig000005dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000134 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig000009fe ), + .Q(\blk00000003/sig000005de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000133 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig000009fd ), + .Q(\blk00000003/sig000005df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000132 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig000009fc ), + .Q(\blk00000003/sig000005e0 ) + ); + GND \blk00000003/blk00000130/blk00000131 ( + .G(\blk00000003/blk00000130/sig000009fb ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000153/blk00000175 ( + .I0(\blk00000003/sig0000067a ), + .I1(ce), + .O(\blk00000003/blk00000153/sig00000a43 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000174 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig0000068d ), + .Q(\blk00000003/blk00000153/sig00000a42 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000174_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000173 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig0000068e ), + .Q(\blk00000003/blk00000153/sig00000a41 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000173_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000172 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig0000068f ), + .Q(\blk00000003/blk00000153/sig00000a40 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000172_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000171 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000690 ), + .Q(\blk00000003/blk00000153/sig00000a3f ), + .Q15(\NLW_blk00000003/blk00000153/blk00000171_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000170 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000691 ), + .Q(\blk00000003/blk00000153/sig00000a3e ), + .Q15(\NLW_blk00000003/blk00000153/blk00000170_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000016f ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000692 ), + .Q(\blk00000003/blk00000153/sig00000a3d ), + .Q15(\NLW_blk00000003/blk00000153/blk0000016f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000016e ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000693 ), + .Q(\blk00000003/blk00000153/sig00000a3c ), + .Q15(\NLW_blk00000003/blk00000153/blk0000016e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000016d ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000694 ), + .Q(\blk00000003/blk00000153/sig00000a3b ), + .Q15(\NLW_blk00000003/blk00000153/blk0000016d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000016c ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000695 ), + .Q(\blk00000003/blk00000153/sig00000a3a ), + .Q15(\NLW_blk00000003/blk00000153/blk0000016c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000016b ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000696 ), + .Q(\blk00000003/blk00000153/sig00000a39 ), + .Q15(\NLW_blk00000003/blk00000153/blk0000016b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000016a ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000697 ), + .Q(\blk00000003/blk00000153/sig00000a38 ), + .Q15(\NLW_blk00000003/blk00000153/blk0000016a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000169 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000698 ), + .Q(\blk00000003/blk00000153/sig00000a37 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000169_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000168 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000699 ), + .Q(\blk00000003/blk00000153/sig00000a36 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000168_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000167 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig0000069a ), + .Q(\blk00000003/blk00000153/sig00000a35 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000167_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000166 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig0000069b ), + .Q(\blk00000003/blk00000153/sig00000a34 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000166_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000165 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig0000069c ), + .Q(\blk00000003/blk00000153/sig00000a33 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000165_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000164 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a42 ), + .Q(\blk00000003/sig00000591 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000163 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a41 ), + .Q(\blk00000003/sig00000592 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000162 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a40 ), + .Q(\blk00000003/sig00000593 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000161 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a3f ), + .Q(\blk00000003/sig00000594 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000160 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a3e ), + .Q(\blk00000003/sig00000595 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a3d ), + .Q(\blk00000003/sig00000596 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a3c ), + .Q(\blk00000003/sig00000597 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a3b ), + .Q(\blk00000003/sig00000598 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a3a ), + .Q(\blk00000003/sig00000599 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a39 ), + .Q(\blk00000003/sig0000059a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a38 ), + .Q(\blk00000003/sig0000059b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000159 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a37 ), + .Q(\blk00000003/sig0000059c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000158 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a36 ), + .Q(\blk00000003/sig0000059d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000157 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a35 ), + .Q(\blk00000003/sig0000059e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000156 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a34 ), + .Q(\blk00000003/sig0000059f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000155 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a33 ), + .Q(\blk00000003/sig000005a0 ) + ); + GND \blk00000003/blk00000153/blk00000154 ( + .G(\blk00000003/blk00000153/sig00000a32 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000176/blk00000198 ( + .I0(\blk00000003/sig0000067c ), + .I1(ce), + .O(\blk00000003/blk00000176/sig00000a7a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000197 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000661 ), + .Q(\blk00000003/blk00000176/sig00000a79 ), + .Q15(\NLW_blk00000003/blk00000176/blk00000197_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000196 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000662 ), + .Q(\blk00000003/blk00000176/sig00000a78 ), + .Q15(\NLW_blk00000003/blk00000176/blk00000196_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000195 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000663 ), + .Q(\blk00000003/blk00000176/sig00000a77 ), + .Q15(\NLW_blk00000003/blk00000176/blk00000195_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000194 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000664 ), + .Q(\blk00000003/blk00000176/sig00000a76 ), + .Q15(\NLW_blk00000003/blk00000176/blk00000194_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000193 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000665 ), + .Q(\blk00000003/blk00000176/sig00000a75 ), + .Q15(\NLW_blk00000003/blk00000176/blk00000193_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000192 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000666 ), + .Q(\blk00000003/blk00000176/sig00000a74 ), + .Q15(\NLW_blk00000003/blk00000176/blk00000192_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000191 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000667 ), + .Q(\blk00000003/blk00000176/sig00000a73 ), + .Q15(\NLW_blk00000003/blk00000176/blk00000191_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000190 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000668 ), + .Q(\blk00000003/blk00000176/sig00000a72 ), + .Q15(\NLW_blk00000003/blk00000176/blk00000190_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk0000018f ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000669 ), + .Q(\blk00000003/blk00000176/sig00000a71 ), + .Q15(\NLW_blk00000003/blk00000176/blk0000018f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk0000018e ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig0000066a ), + .Q(\blk00000003/blk00000176/sig00000a70 ), + .Q15(\NLW_blk00000003/blk00000176/blk0000018e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk0000018d ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig0000066b ), + .Q(\blk00000003/blk00000176/sig00000a6f ), + .Q15(\NLW_blk00000003/blk00000176/blk0000018d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk0000018c ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig0000066c ), + .Q(\blk00000003/blk00000176/sig00000a6e ), + .Q15(\NLW_blk00000003/blk00000176/blk0000018c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk0000018b ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig0000066d ), + .Q(\blk00000003/blk00000176/sig00000a6d ), + .Q15(\NLW_blk00000003/blk00000176/blk0000018b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk0000018a ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig0000066e ), + .Q(\blk00000003/blk00000176/sig00000a6c ), + .Q15(\NLW_blk00000003/blk00000176/blk0000018a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000189 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig0000066f ), + .Q(\blk00000003/blk00000176/sig00000a6b ), + .Q15(\NLW_blk00000003/blk00000176/blk00000189_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000188 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000670 ), + .Q(\blk00000003/blk00000176/sig00000a6a ), + .Q15(\NLW_blk00000003/blk00000176/blk00000188_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000187 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a79 ), + .Q(\blk00000003/sig00000621 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000186 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a78 ), + .Q(\blk00000003/sig00000622 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000185 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a77 ), + .Q(\blk00000003/sig00000623 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000184 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a76 ), + .Q(\blk00000003/sig00000624 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000183 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a75 ), + .Q(\blk00000003/sig00000625 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000182 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a74 ), + .Q(\blk00000003/sig00000626 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000181 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a73 ), + .Q(\blk00000003/sig00000627 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000180 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a72 ), + .Q(\blk00000003/sig00000628 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk0000017f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a71 ), + .Q(\blk00000003/sig00000629 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk0000017e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a70 ), + .Q(\blk00000003/sig0000062a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk0000017d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a6f ), + .Q(\blk00000003/sig0000062b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk0000017c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a6e ), + .Q(\blk00000003/sig0000062c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk0000017b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a6d ), + .Q(\blk00000003/sig0000062d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk0000017a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a6c ), + .Q(\blk00000003/sig0000062e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000179 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a6b ), + .Q(\blk00000003/sig0000062f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000178 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a6a ), + .Q(\blk00000003/sig00000630 ) + ); + GND \blk00000003/blk00000176/blk00000177 ( + .G(\blk00000003/blk00000176/sig00000a69 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000199/blk000001bb ( + .I0(\blk00000003/sig0000067a ), + .I1(ce), + .O(\blk00000003/blk00000199/sig00000ab1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001ba ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig0000069d ), + .Q(\blk00000003/blk00000199/sig00000ab0 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001ba_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b9 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig0000069e ), + .Q(\blk00000003/blk00000199/sig00000aaf ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b8 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig0000069f ), + .Q(\blk00000003/blk00000199/sig00000aae ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b7 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a0 ), + .Q(\blk00000003/blk00000199/sig00000aad ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b6 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a1 ), + .Q(\blk00000003/blk00000199/sig00000aac ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b5 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a2 ), + .Q(\blk00000003/blk00000199/sig00000aab ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b4 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a3 ), + .Q(\blk00000003/blk00000199/sig00000aaa ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b3 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a4 ), + .Q(\blk00000003/blk00000199/sig00000aa9 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b2 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a5 ), + .Q(\blk00000003/blk00000199/sig00000aa8 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b1 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a6 ), + .Q(\blk00000003/blk00000199/sig00000aa7 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b0 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a7 ), + .Q(\blk00000003/blk00000199/sig00000aa6 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001af ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a8 ), + .Q(\blk00000003/blk00000199/sig00000aa5 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001af_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001ae ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a9 ), + .Q(\blk00000003/blk00000199/sig00000aa4 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001ae_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001ad ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006aa ), + .Q(\blk00000003/blk00000199/sig00000aa3 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001ad_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001ac ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006ab ), + .Q(\blk00000003/blk00000199/sig00000aa2 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001ac_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001ab ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006ac ), + .Q(\blk00000003/blk00000199/sig00000aa1 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001ab_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000ab0 ), + .Q(\blk00000003/sig000005e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aaf ), + .Q(\blk00000003/sig000005e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aae ), + .Q(\blk00000003/sig000005e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aad ), + .Q(\blk00000003/sig000005e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aac ), + .Q(\blk00000003/sig000005e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aab ), + .Q(\blk00000003/sig000005e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aaa ), + .Q(\blk00000003/sig000005e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aa9 ), + .Q(\blk00000003/sig000005e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aa8 ), + .Q(\blk00000003/sig000005e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aa7 ), + .Q(\blk00000003/sig000005ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aa6 ), + .Q(\blk00000003/sig000005eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk0000019f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aa5 ), + .Q(\blk00000003/sig000005ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk0000019e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aa4 ), + .Q(\blk00000003/sig000005ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk0000019d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aa3 ), + .Q(\blk00000003/sig000005ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk0000019c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aa2 ), + .Q(\blk00000003/sig000005ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk0000019b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aa1 ), + .Q(\blk00000003/sig000005f0 ) + ); + GND \blk00000003/blk00000199/blk0000019a ( + .G(\blk00000003/blk00000199/sig00000aa0 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000001bc/blk000001de ( + .I0(\blk00000003/sig0000067b ), + .I1(ce), + .O(\blk00000003/blk000001bc/sig00000ae8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001dd ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig0000043d ), + .Q(\blk00000003/blk000001bc/sig00000ae7 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001dd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001dc ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig0000043e ), + .Q(\blk00000003/blk000001bc/sig00000ae6 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001dc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001db ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig0000043f ), + .Q(\blk00000003/blk000001bc/sig00000ae5 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001db_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001da ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000440 ), + .Q(\blk00000003/blk000001bc/sig00000ae4 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001da_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d9 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000441 ), + .Q(\blk00000003/blk000001bc/sig00000ae3 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d8 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000442 ), + .Q(\blk00000003/blk000001bc/sig00000ae2 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d7 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000443 ), + .Q(\blk00000003/blk000001bc/sig00000ae1 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d6 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000444 ), + .Q(\blk00000003/blk000001bc/sig00000ae0 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d5 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000445 ), + .Q(\blk00000003/blk000001bc/sig00000adf ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d4 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000446 ), + .Q(\blk00000003/blk000001bc/sig00000ade ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d3 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000447 ), + .Q(\blk00000003/blk000001bc/sig00000add ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d2 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000448 ), + .Q(\blk00000003/blk000001bc/sig00000adc ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d1 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000449 ), + .Q(\blk00000003/blk000001bc/sig00000adb ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d0 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig0000044a ), + .Q(\blk00000003/blk000001bc/sig00000ada ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001cf ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig0000044b ), + .Q(\blk00000003/blk000001bc/sig00000ad9 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001cf_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001ce ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig0000044c ), + .Q(\blk00000003/blk000001bc/sig00000ad8 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001ce_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ae7 ), + .Q(\blk00000003/sig00000641 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ae6 ), + .Q(\blk00000003/sig00000642 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ae5 ), + .Q(\blk00000003/sig00000643 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ae4 ), + .Q(\blk00000003/sig00000644 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ae3 ), + .Q(\blk00000003/sig00000645 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ae2 ), + .Q(\blk00000003/sig00000646 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ae1 ), + .Q(\blk00000003/sig00000647 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ae0 ), + .Q(\blk00000003/sig00000648 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000adf ), + .Q(\blk00000003/sig00000649 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ade ), + .Q(\blk00000003/sig0000064a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000add ), + .Q(\blk00000003/sig0000064b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000adc ), + .Q(\blk00000003/sig0000064c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000adb ), + .Q(\blk00000003/sig0000064d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ada ), + .Q(\blk00000003/sig0000064e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ad9 ), + .Q(\blk00000003/sig0000064f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ad8 ), + .Q(\blk00000003/sig00000650 ) + ); + GND \blk00000003/blk000001bc/blk000001bd ( + .G(\blk00000003/blk000001bc/sig00000ad7 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000001df/blk00000201 ( + .I0(\blk00000003/sig00000679 ), + .I1(ce), + .O(\blk00000003/blk000001df/sig00000b1f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk00000200 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006ad ), + .Q(\blk00000003/blk000001df/sig00000b1e ), + .Q15(\NLW_blk00000003/blk000001df/blk00000200_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001ff ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006ae ), + .Q(\blk00000003/blk000001df/sig00000b1d ), + .Q15(\NLW_blk00000003/blk000001df/blk000001ff_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001fe ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006af ), + .Q(\blk00000003/blk000001df/sig00000b1c ), + .Q15(\NLW_blk00000003/blk000001df/blk000001fe_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001fd ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b0 ), + .Q(\blk00000003/blk000001df/sig00000b1b ), + .Q15(\NLW_blk00000003/blk000001df/blk000001fd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001fc ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b1 ), + .Q(\blk00000003/blk000001df/sig00000b1a ), + .Q15(\NLW_blk00000003/blk000001df/blk000001fc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001fb ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b2 ), + .Q(\blk00000003/blk000001df/sig00000b19 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001fb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001fa ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b3 ), + .Q(\blk00000003/blk000001df/sig00000b18 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001fa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001f9 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b4 ), + .Q(\blk00000003/blk000001df/sig00000b17 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001f9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001f8 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b5 ), + .Q(\blk00000003/blk000001df/sig00000b16 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001f8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001f7 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b6 ), + .Q(\blk00000003/blk000001df/sig00000b15 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001f7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001f6 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b7 ), + .Q(\blk00000003/blk000001df/sig00000b14 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001f6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001f5 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b8 ), + .Q(\blk00000003/blk000001df/sig00000b13 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001f5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001f4 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b9 ), + .Q(\blk00000003/blk000001df/sig00000b12 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001f4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001f3 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006ba ), + .Q(\blk00000003/blk000001df/sig00000b11 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001f3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001f2 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006bb ), + .Q(\blk00000003/blk000001df/sig00000b10 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001f2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001f1 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006bc ), + .Q(\blk00000003/blk000001df/sig00000b0f ), + .Q15(\NLW_blk00000003/blk000001df/blk000001f1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b1e ), + .Q(\blk00000003/sig00000631 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b1d ), + .Q(\blk00000003/sig00000632 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b1c ), + .Q(\blk00000003/sig00000633 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b1b ), + .Q(\blk00000003/sig00000634 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b1a ), + .Q(\blk00000003/sig00000635 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b19 ), + .Q(\blk00000003/sig00000636 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b18 ), + .Q(\blk00000003/sig00000637 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b17 ), + .Q(\blk00000003/sig00000638 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b16 ), + .Q(\blk00000003/sig00000639 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b15 ), + .Q(\blk00000003/sig0000063a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b14 ), + .Q(\blk00000003/sig0000063b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b13 ), + .Q(\blk00000003/sig0000063c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b12 ), + .Q(\blk00000003/sig0000063d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b11 ), + .Q(\blk00000003/sig0000063e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b10 ), + .Q(\blk00000003/sig0000063f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b0f ), + .Q(\blk00000003/sig00000640 ) + ); + GND \blk00000003/blk000001df/blk000001e0 ( + .G(\blk00000003/blk000001df/sig00000b0e ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000202/blk00000224 ( + .I0(\blk00000003/sig0000067b ), + .I1(ce), + .O(\blk00000003/blk00000202/sig00000b56 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000223 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig0000048d ), + .Q(\blk00000003/blk00000202/sig00000b55 ), + .Q15(\NLW_blk00000003/blk00000202/blk00000223_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000222 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig0000048e ), + .Q(\blk00000003/blk00000202/sig00000b54 ), + .Q15(\NLW_blk00000003/blk00000202/blk00000222_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000221 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig0000048f ), + .Q(\blk00000003/blk00000202/sig00000b53 ), + .Q15(\NLW_blk00000003/blk00000202/blk00000221_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000220 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000490 ), + .Q(\blk00000003/blk00000202/sig00000b52 ), + .Q15(\NLW_blk00000003/blk00000202/blk00000220_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk0000021f ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000491 ), + .Q(\blk00000003/blk00000202/sig00000b51 ), + .Q15(\NLW_blk00000003/blk00000202/blk0000021f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk0000021e ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000492 ), + .Q(\blk00000003/blk00000202/sig00000b50 ), + .Q15(\NLW_blk00000003/blk00000202/blk0000021e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk0000021d ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000493 ), + .Q(\blk00000003/blk00000202/sig00000b4f ), + .Q15(\NLW_blk00000003/blk00000202/blk0000021d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk0000021c ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000494 ), + .Q(\blk00000003/blk00000202/sig00000b4e ), + .Q15(\NLW_blk00000003/blk00000202/blk0000021c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk0000021b ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000495 ), + .Q(\blk00000003/blk00000202/sig00000b4d ), + .Q15(\NLW_blk00000003/blk00000202/blk0000021b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk0000021a ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000496 ), + .Q(\blk00000003/blk00000202/sig00000b4c ), + .Q15(\NLW_blk00000003/blk00000202/blk0000021a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000219 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000497 ), + .Q(\blk00000003/blk00000202/sig00000b4b ), + .Q15(\NLW_blk00000003/blk00000202/blk00000219_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000218 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000498 ), + .Q(\blk00000003/blk00000202/sig00000b4a ), + .Q15(\NLW_blk00000003/blk00000202/blk00000218_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000217 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000499 ), + .Q(\blk00000003/blk00000202/sig00000b49 ), + .Q15(\NLW_blk00000003/blk00000202/blk00000217_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000216 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig0000049a ), + .Q(\blk00000003/blk00000202/sig00000b48 ), + .Q15(\NLW_blk00000003/blk00000202/blk00000216_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000215 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig0000049b ), + .Q(\blk00000003/blk00000202/sig00000b47 ), + .Q15(\NLW_blk00000003/blk00000202/blk00000215_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000214 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig0000049c ), + .Q(\blk00000003/blk00000202/sig00000b46 ), + .Q15(\NLW_blk00000003/blk00000202/blk00000214_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000213 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b55 ), + .Q(\blk00000003/sig00000661 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000212 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b54 ), + .Q(\blk00000003/sig00000662 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000211 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b53 ), + .Q(\blk00000003/sig00000663 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000210 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b52 ), + .Q(\blk00000003/sig00000664 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk0000020f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b51 ), + .Q(\blk00000003/sig00000665 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk0000020e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b50 ), + .Q(\blk00000003/sig00000666 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk0000020d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b4f ), + .Q(\blk00000003/sig00000667 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk0000020c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b4e ), + .Q(\blk00000003/sig00000668 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk0000020b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b4d ), + .Q(\blk00000003/sig00000669 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk0000020a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b4c ), + .Q(\blk00000003/sig0000066a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000209 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b4b ), + .Q(\blk00000003/sig0000066b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000208 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b4a ), + .Q(\blk00000003/sig0000066c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000207 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b49 ), + .Q(\blk00000003/sig0000066d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000206 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b48 ), + .Q(\blk00000003/sig0000066e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000205 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b47 ), + .Q(\blk00000003/sig0000066f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000204 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b46 ), + .Q(\blk00000003/sig00000670 ) + ); + GND \blk00000003/blk00000202/blk00000203 ( + .G(\blk00000003/blk00000202/sig00000b45 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000225/blk00000247 ( + .I0(\blk00000003/sig00000679 ), + .I1(ce), + .O(\blk00000003/blk00000225/sig00000b8d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000246 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006bd ), + .Q(\blk00000003/blk00000225/sig00000b8c ), + .Q15(\NLW_blk00000003/blk00000225/blk00000246_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000245 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006be ), + .Q(\blk00000003/blk00000225/sig00000b8b ), + .Q15(\NLW_blk00000003/blk00000225/blk00000245_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000244 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006bf ), + .Q(\blk00000003/blk00000225/sig00000b8a ), + .Q15(\NLW_blk00000003/blk00000225/blk00000244_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000243 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c0 ), + .Q(\blk00000003/blk00000225/sig00000b89 ), + .Q15(\NLW_blk00000003/blk00000225/blk00000243_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000242 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c1 ), + .Q(\blk00000003/blk00000225/sig00000b88 ), + .Q15(\NLW_blk00000003/blk00000225/blk00000242_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000241 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c2 ), + .Q(\blk00000003/blk00000225/sig00000b87 ), + .Q15(\NLW_blk00000003/blk00000225/blk00000241_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000240 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c3 ), + .Q(\blk00000003/blk00000225/sig00000b86 ), + .Q15(\NLW_blk00000003/blk00000225/blk00000240_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk0000023f ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c4 ), + .Q(\blk00000003/blk00000225/sig00000b85 ), + .Q15(\NLW_blk00000003/blk00000225/blk0000023f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk0000023e ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c5 ), + .Q(\blk00000003/blk00000225/sig00000b84 ), + .Q15(\NLW_blk00000003/blk00000225/blk0000023e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk0000023d ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c6 ), + .Q(\blk00000003/blk00000225/sig00000b83 ), + .Q15(\NLW_blk00000003/blk00000225/blk0000023d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk0000023c ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c7 ), + .Q(\blk00000003/blk00000225/sig00000b82 ), + .Q15(\NLW_blk00000003/blk00000225/blk0000023c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk0000023b ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c8 ), + .Q(\blk00000003/blk00000225/sig00000b81 ), + .Q15(\NLW_blk00000003/blk00000225/blk0000023b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk0000023a ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c9 ), + .Q(\blk00000003/blk00000225/sig00000b80 ), + .Q15(\NLW_blk00000003/blk00000225/blk0000023a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000239 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006ca ), + .Q(\blk00000003/blk00000225/sig00000b7f ), + .Q15(\NLW_blk00000003/blk00000225/blk00000239_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000238 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006cb ), + .Q(\blk00000003/blk00000225/sig00000b7e ), + .Q15(\NLW_blk00000003/blk00000225/blk00000238_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000237 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006cc ), + .Q(\blk00000003/blk00000225/sig00000b7d ), + .Q15(\NLW_blk00000003/blk00000225/blk00000237_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000236 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b8c ), + .Q(\blk00000003/sig00000651 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000235 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b8b ), + .Q(\blk00000003/sig00000652 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000234 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b8a ), + .Q(\blk00000003/sig00000653 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000233 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b89 ), + .Q(\blk00000003/sig00000654 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000232 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b88 ), + .Q(\blk00000003/sig00000655 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000231 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b87 ), + .Q(\blk00000003/sig00000656 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000230 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b86 ), + .Q(\blk00000003/sig00000657 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk0000022f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b85 ), + .Q(\blk00000003/sig00000658 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk0000022e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b84 ), + .Q(\blk00000003/sig00000659 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk0000022d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b83 ), + .Q(\blk00000003/sig0000065a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk0000022c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b82 ), + .Q(\blk00000003/sig0000065b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk0000022b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b81 ), + .Q(\blk00000003/sig0000065c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk0000022a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b80 ), + .Q(\blk00000003/sig0000065d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000229 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b7f ), + .Q(\blk00000003/sig0000065e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000228 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b7e ), + .Q(\blk00000003/sig0000065f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000227 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b7d ), + .Q(\blk00000003/sig00000660 ) + ); + GND \blk00000003/blk00000225/blk00000226 ( + .G(\blk00000003/blk00000225/sig00000b7c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000248/blk0000026a ( + .I0(\blk00000003/sig0000068c ), + .I1(ce), + .O(\blk00000003/blk00000248/sig00000bc4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000269 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006cd ), + .Q(\blk00000003/blk00000248/sig00000bc3 ), + .Q15(\NLW_blk00000003/blk00000248/blk00000269_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000268 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006ce ), + .Q(\blk00000003/blk00000248/sig00000bc2 ), + .Q15(\NLW_blk00000003/blk00000248/blk00000268_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000267 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006cf ), + .Q(\blk00000003/blk00000248/sig00000bc1 ), + .Q15(\NLW_blk00000003/blk00000248/blk00000267_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000266 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d0 ), + .Q(\blk00000003/blk00000248/sig00000bc0 ), + .Q15(\NLW_blk00000003/blk00000248/blk00000266_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000265 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d1 ), + .Q(\blk00000003/blk00000248/sig00000bbf ), + .Q15(\NLW_blk00000003/blk00000248/blk00000265_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000264 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d2 ), + .Q(\blk00000003/blk00000248/sig00000bbe ), + .Q15(\NLW_blk00000003/blk00000248/blk00000264_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000263 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d3 ), + .Q(\blk00000003/blk00000248/sig00000bbd ), + .Q15(\NLW_blk00000003/blk00000248/blk00000263_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000262 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d4 ), + .Q(\blk00000003/blk00000248/sig00000bbc ), + .Q15(\NLW_blk00000003/blk00000248/blk00000262_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000261 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d5 ), + .Q(\blk00000003/blk00000248/sig00000bbb ), + .Q15(\NLW_blk00000003/blk00000248/blk00000261_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000260 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d6 ), + .Q(\blk00000003/blk00000248/sig00000bba ), + .Q15(\NLW_blk00000003/blk00000248/blk00000260_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk0000025f ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d7 ), + .Q(\blk00000003/blk00000248/sig00000bb9 ), + .Q15(\NLW_blk00000003/blk00000248/blk0000025f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk0000025e ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d8 ), + .Q(\blk00000003/blk00000248/sig00000bb8 ), + .Q15(\NLW_blk00000003/blk00000248/blk0000025e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk0000025d ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d9 ), + .Q(\blk00000003/blk00000248/sig00000bb7 ), + .Q15(\NLW_blk00000003/blk00000248/blk0000025d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk0000025c ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006da ), + .Q(\blk00000003/blk00000248/sig00000bb6 ), + .Q15(\NLW_blk00000003/blk00000248/blk0000025c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk0000025b ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006db ), + .Q(\blk00000003/blk00000248/sig00000bb5 ), + .Q15(\NLW_blk00000003/blk00000248/blk0000025b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk0000025a ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006dc ), + .Q(\blk00000003/blk00000248/sig00000bb4 ), + .Q15(\NLW_blk00000003/blk00000248/blk0000025a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000259 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bc3 ), + .Q(\blk00000003/sig0000043d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000258 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bc2 ), + .Q(\blk00000003/sig0000043e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000257 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bc1 ), + .Q(\blk00000003/sig0000043f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000256 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bc0 ), + .Q(\blk00000003/sig00000440 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000255 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bbf ), + .Q(\blk00000003/sig00000441 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000254 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bbe ), + .Q(\blk00000003/sig00000442 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000253 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bbd ), + .Q(\blk00000003/sig00000443 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000252 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bbc ), + .Q(\blk00000003/sig00000444 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000251 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bbb ), + .Q(\blk00000003/sig00000445 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000250 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bba ), + .Q(\blk00000003/sig00000446 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk0000024f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bb9 ), + .Q(\blk00000003/sig00000447 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk0000024e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bb8 ), + .Q(\blk00000003/sig00000448 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk0000024d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bb7 ), + .Q(\blk00000003/sig00000449 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk0000024c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bb6 ), + .Q(\blk00000003/sig0000044a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk0000024b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bb5 ), + .Q(\blk00000003/sig0000044b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk0000024a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bb4 ), + .Q(\blk00000003/sig0000044c ) + ); + GND \blk00000003/blk00000248/blk00000249 ( + .G(\blk00000003/blk00000248/sig00000bb3 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000026b/blk0000028d ( + .I0(\blk00000003/sig0000068b ), + .I1(ce), + .O(\blk00000003/blk0000026b/sig00000bfb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk0000028c ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006dd ), + .Q(\blk00000003/blk0000026b/sig00000bfa ), + .Q15(\NLW_blk00000003/blk0000026b/blk0000028c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk0000028b ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006de ), + .Q(\blk00000003/blk0000026b/sig00000bf9 ), + .Q15(\NLW_blk00000003/blk0000026b/blk0000028b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk0000028a ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006df ), + .Q(\blk00000003/blk0000026b/sig00000bf8 ), + .Q15(\NLW_blk00000003/blk0000026b/blk0000028a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000289 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e0 ), + .Q(\blk00000003/blk0000026b/sig00000bf7 ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000289_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000288 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e1 ), + .Q(\blk00000003/blk0000026b/sig00000bf6 ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000288_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000287 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e2 ), + .Q(\blk00000003/blk0000026b/sig00000bf5 ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000287_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000286 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e3 ), + .Q(\blk00000003/blk0000026b/sig00000bf4 ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000286_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000285 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e4 ), + .Q(\blk00000003/blk0000026b/sig00000bf3 ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000285_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000284 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e5 ), + .Q(\blk00000003/blk0000026b/sig00000bf2 ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000284_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000283 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e6 ), + .Q(\blk00000003/blk0000026b/sig00000bf1 ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000283_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000282 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e7 ), + .Q(\blk00000003/blk0000026b/sig00000bf0 ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000282_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000281 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e8 ), + .Q(\blk00000003/blk0000026b/sig00000bef ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000281_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000280 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e9 ), + .Q(\blk00000003/blk0000026b/sig00000bee ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000280_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk0000027f ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006ea ), + .Q(\blk00000003/blk0000026b/sig00000bed ), + .Q15(\NLW_blk00000003/blk0000026b/blk0000027f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk0000027e ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006eb ), + .Q(\blk00000003/blk0000026b/sig00000bec ), + .Q15(\NLW_blk00000003/blk0000026b/blk0000027e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk0000027d ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006ec ), + .Q(\blk00000003/blk0000026b/sig00000beb ), + .Q15(\NLW_blk00000003/blk0000026b/blk0000027d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk0000027c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bfa ), + .Q(\blk00000003/sig0000042d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk0000027b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf9 ), + .Q(\blk00000003/sig0000042e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk0000027a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf8 ), + .Q(\blk00000003/sig0000042f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000279 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf7 ), + .Q(\blk00000003/sig00000430 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000278 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf6 ), + .Q(\blk00000003/sig00000431 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000277 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf5 ), + .Q(\blk00000003/sig00000432 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000276 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf4 ), + .Q(\blk00000003/sig00000433 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000275 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf3 ), + .Q(\blk00000003/sig00000434 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000274 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf2 ), + .Q(\blk00000003/sig00000435 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000273 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf1 ), + .Q(\blk00000003/sig00000436 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000272 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf0 ), + .Q(\blk00000003/sig00000437 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000271 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bef ), + .Q(\blk00000003/sig00000438 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000270 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bee ), + .Q(\blk00000003/sig00000439 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk0000026f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bed ), + .Q(\blk00000003/sig0000043a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk0000026e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bec ), + .Q(\blk00000003/sig0000043b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk0000026d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000beb ), + .Q(\blk00000003/sig0000043c ) + ); + GND \blk00000003/blk0000026b/blk0000026c ( + .G(\blk00000003/blk0000026b/sig00000bea ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000028e/blk000002b0 ( + .I0(\blk00000003/sig0000068c ), + .I1(ce), + .O(\blk00000003/blk0000028e/sig00000c32 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002af ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006ed ), + .Q(\blk00000003/blk0000028e/sig00000c31 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002af_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002ae ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006ee ), + .Q(\blk00000003/blk0000028e/sig00000c30 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002ae_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002ad ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006ef ), + .Q(\blk00000003/blk0000028e/sig00000c2f ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002ad_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002ac ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f0 ), + .Q(\blk00000003/blk0000028e/sig00000c2e ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002ac_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002ab ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f1 ), + .Q(\blk00000003/blk0000028e/sig00000c2d ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002ab_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002aa ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f2 ), + .Q(\blk00000003/blk0000028e/sig00000c2c ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002aa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a9 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f3 ), + .Q(\blk00000003/blk0000028e/sig00000c2b ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a8 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f4 ), + .Q(\blk00000003/blk0000028e/sig00000c2a ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a7 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f5 ), + .Q(\blk00000003/blk0000028e/sig00000c29 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a6 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f6 ), + .Q(\blk00000003/blk0000028e/sig00000c28 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a5 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f7 ), + .Q(\blk00000003/blk0000028e/sig00000c27 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a4 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f8 ), + .Q(\blk00000003/blk0000028e/sig00000c26 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a3 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f9 ), + .Q(\blk00000003/blk0000028e/sig00000c25 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a2 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006fa ), + .Q(\blk00000003/blk0000028e/sig00000c24 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a1 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006fb ), + .Q(\blk00000003/blk0000028e/sig00000c23 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a0 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006fc ), + .Q(\blk00000003/blk0000028e/sig00000c22 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk0000029f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c31 ), + .Q(\blk00000003/sig0000048d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk0000029e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c30 ), + .Q(\blk00000003/sig0000048e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk0000029d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c2f ), + .Q(\blk00000003/sig0000048f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk0000029c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c2e ), + .Q(\blk00000003/sig00000490 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk0000029b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c2d ), + .Q(\blk00000003/sig00000491 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk0000029a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c2c ), + .Q(\blk00000003/sig00000492 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000299 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c2b ), + .Q(\blk00000003/sig00000493 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000298 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c2a ), + .Q(\blk00000003/sig00000494 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000297 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c29 ), + .Q(\blk00000003/sig00000495 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000296 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c28 ), + .Q(\blk00000003/sig00000496 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000295 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c27 ), + .Q(\blk00000003/sig00000497 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000294 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c26 ), + .Q(\blk00000003/sig00000498 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000293 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c25 ), + .Q(\blk00000003/sig00000499 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000292 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c24 ), + .Q(\blk00000003/sig0000049a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000291 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c23 ), + .Q(\blk00000003/sig0000049b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000290 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c22 ), + .Q(\blk00000003/sig0000049c ) + ); + GND \blk00000003/blk0000028e/blk0000028f ( + .G(\blk00000003/blk0000028e/sig00000c21 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000002b1/blk000002d3 ( + .I0(\blk00000003/sig0000068b ), + .I1(ce), + .O(\blk00000003/blk000002b1/sig00000c69 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002d2 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig000006fd ), + .Q(\blk00000003/blk000002b1/sig00000c68 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002d2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002d1 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig000006fe ), + .Q(\blk00000003/blk000002b1/sig00000c67 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002d1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002d0 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig000006ff ), + .Q(\blk00000003/blk000002b1/sig00000c66 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002d0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002cf ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000700 ), + .Q(\blk00000003/blk000002b1/sig00000c65 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002cf_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002ce ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000701 ), + .Q(\blk00000003/blk000002b1/sig00000c64 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002ce_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002cd ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000702 ), + .Q(\blk00000003/blk000002b1/sig00000c63 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002cd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002cc ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000703 ), + .Q(\blk00000003/blk000002b1/sig00000c62 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002cc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002cb ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000704 ), + .Q(\blk00000003/blk000002b1/sig00000c61 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002cb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002ca ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000705 ), + .Q(\blk00000003/blk000002b1/sig00000c60 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002ca_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002c9 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000706 ), + .Q(\blk00000003/blk000002b1/sig00000c5f ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002c9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002c8 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000707 ), + .Q(\blk00000003/blk000002b1/sig00000c5e ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002c8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002c7 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000708 ), + .Q(\blk00000003/blk000002b1/sig00000c5d ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002c7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002c6 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000709 ), + .Q(\blk00000003/blk000002b1/sig00000c5c ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002c6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002c5 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig0000070a ), + .Q(\blk00000003/blk000002b1/sig00000c5b ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002c5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002c4 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig0000070b ), + .Q(\blk00000003/blk000002b1/sig00000c5a ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002c4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002c3 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig0000070c ), + .Q(\blk00000003/blk000002b1/sig00000c59 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002c3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c68 ), + .Q(\blk00000003/sig0000047d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c67 ), + .Q(\blk00000003/sig0000047e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c66 ), + .Q(\blk00000003/sig0000047f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c65 ), + .Q(\blk00000003/sig00000480 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c64 ), + .Q(\blk00000003/sig00000481 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c63 ), + .Q(\blk00000003/sig00000482 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c62 ), + .Q(\blk00000003/sig00000483 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c61 ), + .Q(\blk00000003/sig00000484 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c60 ), + .Q(\blk00000003/sig00000485 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c5f ), + .Q(\blk00000003/sig00000486 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c5e ), + .Q(\blk00000003/sig00000487 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c5d ), + .Q(\blk00000003/sig00000488 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c5c ), + .Q(\blk00000003/sig00000489 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c5b ), + .Q(\blk00000003/sig0000048a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c5a ), + .Q(\blk00000003/sig0000048b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c59 ), + .Q(\blk00000003/sig0000048c ) + ); + GND \blk00000003/blk000002b1/blk000002b2 ( + .G(\blk00000003/blk000002b1/sig00000c58 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000002d4/blk0000030c ( + .I0(\blk00000003/sig00000307 ), + .I1(ce), + .O(\blk00000003/blk000002d4/sig00000cce ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk000002d4/blk0000030b ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig0000070d ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cbb ), + .DPO(\blk00000003/blk000002d4/sig00000ccd ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk000002d4/blk0000030a ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig0000070e ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cba ), + .DPO(\blk00000003/blk000002d4/sig00000ccc ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk000002d4/blk00000309 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig0000070f ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb9 ), + .DPO(\blk00000003/blk000002d4/sig00000ccb ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk000002d4/blk00000308 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000710 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb8 ), + .DPO(\blk00000003/blk000002d4/sig00000cca ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk000002d4/blk00000307 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000711 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb7 ), + .DPO(\blk00000003/blk000002d4/sig00000cc9 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk000002d4/blk00000306 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000712 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb6 ), + .DPO(\blk00000003/blk000002d4/sig00000cc8 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk000002d4/blk00000305 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000714 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb4 ), + .DPO(\blk00000003/blk000002d4/sig00000cc6 ) + ); + RAM16X1D #( + .INIT ( 16'h000D )) + \blk00000003/blk000002d4/blk00000304 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000715 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb3 ), + .DPO(\blk00000003/blk000002d4/sig00000cc5 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk000002d4/blk00000303 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000713 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb5 ), + .DPO(\blk00000003/blk000002d4/sig00000cc7 ) + ); + RAM16X1D #( + .INIT ( 16'h0009 )) + \blk00000003/blk000002d4/blk00000302 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000716 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb2 ), + .DPO(\blk00000003/blk000002d4/sig00000cc4 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk000002d4/blk00000301 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000717 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb1 ), + .DPO(\blk00000003/blk000002d4/sig00000cc3 ) + ); + RAM16X1D #( + .INIT ( 16'h000F )) + \blk00000003/blk000002d4/blk00000300 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000718 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb0 ), + .DPO(\blk00000003/blk000002d4/sig00000cc2 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002d4/blk000002ff ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000719 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000caf ), + .DPO(\blk00000003/blk000002d4/sig00000cc1 ) + ); + RAM16X1D #( + .INIT ( 16'h0008 )) + \blk00000003/blk000002d4/blk000002fe ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig0000071a ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cae ), + .DPO(\blk00000003/blk000002d4/sig00000cc0 ) + ); + RAM16X1D #( + .INIT ( 16'h0004 )) + \blk00000003/blk000002d4/blk000002fd ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig0000071b ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cad ), + .DPO(\blk00000003/blk000002d4/sig00000cbf ) + ); + RAM16X1D #( + .INIT ( 16'h000B )) + \blk00000003/blk000002d4/blk000002fc ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig0000071d ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cab ), + .DPO(\blk00000003/blk000002d4/sig00000cbd ) + ); + RAM16X1D #( + .INIT ( 16'h0008 )) + \blk00000003/blk000002d4/blk000002fb ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig0000071e ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000caa ), + .DPO(\blk00000003/blk000002d4/sig00000cbc ) + ); + RAM16X1D #( + .INIT ( 16'h0008 )) + \blk00000003/blk000002d4/blk000002fa ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig0000071c ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cac ), + .DPO(\blk00000003/blk000002d4/sig00000cbe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000ccd ), + .Q(\blk00000003/sig000003db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000ccc ), + .Q(\blk00000003/sig000003dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000ccb ), + .Q(\blk00000003/sig000003dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cca ), + .Q(\blk00000003/sig000003de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc9 ), + .Q(\blk00000003/sig000003df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc8 ), + .Q(\blk00000003/sig000003e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc7 ), + .Q(\blk00000003/sig000003e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc6 ), + .Q(\blk00000003/sig000003e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc5 ), + .Q(\blk00000003/sig000003e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc4 ), + .Q(\blk00000003/sig000003e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc3 ), + .Q(\blk00000003/sig000003e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc2 ), + .Q(\blk00000003/sig000003e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc1 ), + .Q(\blk00000003/sig000003e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc0 ), + .Q(\blk00000003/sig000003e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cbf ), + .Q(\blk00000003/sig000003e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cbe ), + .Q(\blk00000003/sig000003ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cbd ), + .Q(\blk00000003/sig000003eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cbc ), + .Q(\blk00000003/sig000003ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cbb ), + .Q(\blk00000003/sig0000071f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cba ), + .Q(\blk00000003/sig00000720 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb9 ), + .Q(\blk00000003/sig00000721 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb8 ), + .Q(\blk00000003/sig00000722 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb7 ), + .Q(\blk00000003/sig00000723 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb6 ), + .Q(\blk00000003/sig00000724 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb5 ), + .Q(\blk00000003/sig00000725 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb4 ), + .Q(\blk00000003/sig00000726 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002df ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb3 ), + .Q(\blk00000003/sig00000727 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002de ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb2 ), + .Q(\blk00000003/sig00000728 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002dd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb1 ), + .Q(\blk00000003/sig00000729 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb0 ), + .Q(\blk00000003/sig0000072a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002db ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000caf ), + .Q(\blk00000003/sig0000072b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002da ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cae ), + .Q(\blk00000003/sig0000072c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cad ), + .Q(\blk00000003/sig0000072d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cac ), + .Q(\blk00000003/sig0000072e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002d7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cab ), + .Q(\blk00000003/sig0000072f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000caa ), + .Q(\blk00000003/sig00000730 ) + ); + GND \blk00000003/blk000002d4/blk000002d5 ( + .G(\blk00000003/blk000002d4/sig00000ca9 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000030d/blk00000345 ( + .I0(\blk00000003/sig00000677 ), + .I1(ce), + .O(\blk00000003/blk0000030d/sig00000d33 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk0000030d/blk00000344 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig0000071f ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d20 ), + .DPO(\blk00000003/blk0000030d/sig00000d32 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk0000030d/blk00000343 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000720 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d1f ), + .DPO(\blk00000003/blk0000030d/sig00000d31 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk0000030d/blk00000342 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000721 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d1e ), + .DPO(\blk00000003/blk0000030d/sig00000d30 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk0000030d/blk00000341 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000722 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d1d ), + .DPO(\blk00000003/blk0000030d/sig00000d2f ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk0000030d/blk00000340 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000723 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d1c ), + .DPO(\blk00000003/blk0000030d/sig00000d2e ) + ); + RAM16X1D #( + .INIT ( 16'h000D )) + \blk00000003/blk0000030d/blk0000033f ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000724 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d1b ), + .DPO(\blk00000003/blk0000030d/sig00000d2d ) + ); + RAM16X1D #( + .INIT ( 16'h0008 )) + \blk00000003/blk0000030d/blk0000033e ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000726 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d19 ), + .DPO(\blk00000003/blk0000030d/sig00000d2b ) + ); + RAM16X1D #( + .INIT ( 16'h0009 )) + \blk00000003/blk0000030d/blk0000033d ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000727 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d18 ), + .DPO(\blk00000003/blk0000030d/sig00000d2a ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk0000030d/blk0000033c ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000725 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d1a ), + .DPO(\blk00000003/blk0000030d/sig00000d2c ) + ); + RAM16X1D #( + .INIT ( 16'h000A )) + \blk00000003/blk0000030d/blk0000033b ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000728 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d17 ), + .DPO(\blk00000003/blk0000030d/sig00000d29 ) + ); + RAM16X1D #( + .INIT ( 16'h0006 )) + \blk00000003/blk0000030d/blk0000033a ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000729 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d16 ), + .DPO(\blk00000003/blk0000030d/sig00000d28 ) + ); + RAM16X1D #( + .INIT ( 16'h000C )) + \blk00000003/blk0000030d/blk00000339 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig0000072a ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d15 ), + .DPO(\blk00000003/blk0000030d/sig00000d27 ) + ); + RAM16X1D #( + .INIT ( 16'h000A )) + \blk00000003/blk0000030d/blk00000338 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig0000072b ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d14 ), + .DPO(\blk00000003/blk0000030d/sig00000d26 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk0000030d/blk00000337 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig0000072c ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d13 ), + .DPO(\blk00000003/blk0000030d/sig00000d25 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk0000030d/blk00000336 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig0000072d ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d12 ), + .DPO(\blk00000003/blk0000030d/sig00000d24 ) + ); + RAM16X1D #( + .INIT ( 16'h000B )) + \blk00000003/blk0000030d/blk00000335 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig0000072f ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d10 ), + .DPO(\blk00000003/blk0000030d/sig00000d22 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk0000030d/blk00000334 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000730 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d0f ), + .DPO(\blk00000003/blk0000030d/sig00000d21 ) + ); + RAM16X1D #( + .INIT ( 16'h000F )) + \blk00000003/blk0000030d/blk00000333 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig0000072e ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d11 ), + .DPO(\blk00000003/blk0000030d/sig00000d23 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000332 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d32 ), + .Q(\blk00000003/sig0000056f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000331 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d31 ), + .Q(\blk00000003/sig00000570 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000330 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d30 ), + .Q(\blk00000003/sig00000571 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000032f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d2f ), + .Q(\blk00000003/sig00000572 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000032e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d2e ), + .Q(\blk00000003/sig00000573 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000032d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d2d ), + .Q(\blk00000003/sig00000574 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000032c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d2c ), + .Q(\blk00000003/sig00000575 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000032b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d2b ), + .Q(\blk00000003/sig00000576 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000032a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d2a ), + .Q(\blk00000003/sig00000577 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000329 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d29 ), + .Q(\blk00000003/sig00000578 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000328 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d28 ), + .Q(\blk00000003/sig00000579 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000327 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d27 ), + .Q(\blk00000003/sig0000057a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000326 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d26 ), + .Q(\blk00000003/sig0000057b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000325 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d25 ), + .Q(\blk00000003/sig0000057c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000324 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d24 ), + .Q(\blk00000003/sig0000057d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000323 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d23 ), + .Q(\blk00000003/sig0000057e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000322 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d22 ), + .Q(\blk00000003/sig0000057f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000321 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d21 ), + .Q(\blk00000003/sig00000580 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000320 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d20 ), + .Q(\blk00000003/sig00000731 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000031f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d1f ), + .Q(\blk00000003/sig00000732 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000031e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d1e ), + .Q(\blk00000003/sig00000733 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000031d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d1d ), + .Q(\blk00000003/sig00000734 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000031c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d1c ), + .Q(\blk00000003/sig00000735 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000031b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d1b ), + .Q(\blk00000003/sig00000736 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000031a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d1a ), + .Q(\blk00000003/sig00000737 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000319 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d19 ), + .Q(\blk00000003/sig00000738 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000318 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d18 ), + .Q(\blk00000003/sig00000739 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000317 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d17 ), + .Q(\blk00000003/sig0000073a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000316 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d16 ), + .Q(\blk00000003/sig0000073b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000315 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d15 ), + .Q(\blk00000003/sig0000073c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000314 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d14 ), + .Q(\blk00000003/sig0000073d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000313 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d13 ), + .Q(\blk00000003/sig0000073e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000312 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d12 ), + .Q(\blk00000003/sig0000073f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000311 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d11 ), + .Q(\blk00000003/sig00000740 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000310 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d10 ), + .Q(\blk00000003/sig00000741 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000030f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d0f ), + .Q(\blk00000003/sig00000742 ) + ); + GND \blk00000003/blk0000030d/blk0000030e ( + .G(\blk00000003/blk0000030d/sig00000d0e ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000386/blk000003ac ( + .I0(\blk00000003/sig00000678 ), + .I1(ce), + .O(\blk00000003/blk00000386/sig00000d74 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk00000386/blk000003ab ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000731 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003ab_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d73 ) + ); + RAM16X1D #( + .INIT ( 16'h000D )) + \blk00000003/blk00000386/blk000003aa ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000732 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003aa_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d72 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk00000386/blk000003a9 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000733 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a9_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d71 ) + ); + RAM16X1D #( + .INIT ( 16'h0009 )) + \blk00000003/blk00000386/blk000003a8 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000734 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a8_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d70 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000386/blk000003a7 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000735 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a7_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d6f ) + ); + RAM16X1D #( + .INIT ( 16'h0007 )) + \blk00000003/blk00000386/blk000003a6 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000736 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a6_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d6e ) + ); + RAM16X1D #( + .INIT ( 16'h0009 )) + \blk00000003/blk00000386/blk000003a5 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000738 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a5_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d6c ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000386/blk000003a4 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000739 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a4_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d6b ) + ); + RAM16X1D #( + .INIT ( 16'h0007 )) + \blk00000003/blk00000386/blk000003a3 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000737 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a3_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d6d ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000386/blk000003a2 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig0000073a ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a2_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d6a ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000386/blk000003a1 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig0000073b ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a1_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d69 ) + ); + RAM16X1D #( + .INIT ( 16'h000C )) + \blk00000003/blk00000386/blk000003a0 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig0000073c ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a0_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d68 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000386/blk0000039f ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig0000073d ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk0000039f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d67 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk00000386/blk0000039e ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig0000073e ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk0000039e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d66 ) + ); + RAM16X1D #( + .INIT ( 16'h0007 )) + \blk00000003/blk00000386/blk0000039d ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig0000073f ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk0000039d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d65 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000386/blk0000039c ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000741 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk0000039c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d63 ) + ); + RAM16X1D #( + .INIT ( 16'h0009 )) + \blk00000003/blk00000386/blk0000039b ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000742 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk0000039b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d62 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000386/blk0000039a ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000740 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk0000039a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d64 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000399 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d73 ), + .Q(\blk00000003/sig0000050d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000398 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d72 ), + .Q(\blk00000003/sig0000050e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000397 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d71 ), + .Q(\blk00000003/sig0000050f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000396 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d70 ), + .Q(\blk00000003/sig00000510 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000395 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d6f ), + .Q(\blk00000003/sig00000511 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000394 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d6e ), + .Q(\blk00000003/sig00000512 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000393 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d6d ), + .Q(\blk00000003/sig00000513 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000392 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d6c ), + .Q(\blk00000003/sig00000514 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000391 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d6b ), + .Q(\blk00000003/sig00000515 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000390 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d6a ), + .Q(\blk00000003/sig00000516 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk0000038f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d69 ), + .Q(\blk00000003/sig00000517 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk0000038e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d68 ), + .Q(\blk00000003/sig00000518 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk0000038d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d67 ), + .Q(\blk00000003/sig00000519 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk0000038c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d66 ), + .Q(\blk00000003/sig0000051a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk0000038b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d65 ), + .Q(\blk00000003/sig0000051b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk0000038a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d64 ), + .Q(\blk00000003/sig0000051c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000389 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d63 ), + .Q(\blk00000003/sig0000051d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000388 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d62 ), + .Q(\blk00000003/sig0000051e ) + ); + GND \blk00000003/blk00000386/blk00000387 ( + .G(\blk00000003/blk00000386/sig00000d61 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003ad/blk000003bf ( + .I0(\blk00000003/sig0000067c ), + .I1(ce), + .O(\blk00000003/blk000003ad/sig00000d93 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ad/blk000003be ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003ad/sig00000d8a ), + .A3(\blk00000003/blk000003ad/sig00000d8a ), + .CE(\blk00000003/blk000003ad/sig00000d93 ), + .CLK(clk), + .D(\blk00000003/sig00000567 ), + .Q(\blk00000003/blk000003ad/sig00000d92 ), + .Q15(\NLW_blk00000003/blk000003ad/blk000003be_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ad/blk000003bd ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003ad/sig00000d8a ), + .A3(\blk00000003/blk000003ad/sig00000d8a ), + .CE(\blk00000003/blk000003ad/sig00000d93 ), + .CLK(clk), + .D(\blk00000003/sig00000568 ), + .Q(\blk00000003/blk000003ad/sig00000d91 ), + .Q15(\NLW_blk00000003/blk000003ad/blk000003bd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ad/blk000003bc ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003ad/sig00000d8a ), + .A3(\blk00000003/blk000003ad/sig00000d8a ), + .CE(\blk00000003/blk000003ad/sig00000d93 ), + .CLK(clk), + .D(\blk00000003/sig00000569 ), + .Q(\blk00000003/blk000003ad/sig00000d90 ), + .Q15(\NLW_blk00000003/blk000003ad/blk000003bc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ad/blk000003bb ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003ad/sig00000d8a ), + .A3(\blk00000003/blk000003ad/sig00000d8a ), + .CE(\blk00000003/blk000003ad/sig00000d93 ), + .CLK(clk), + .D(\blk00000003/sig0000056a ), + .Q(\blk00000003/blk000003ad/sig00000d8f ), + .Q15(\NLW_blk00000003/blk000003ad/blk000003bb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ad/blk000003ba ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003ad/sig00000d8a ), + .A3(\blk00000003/blk000003ad/sig00000d8a ), + .CE(\blk00000003/blk000003ad/sig00000d93 ), + .CLK(clk), + .D(\blk00000003/sig0000056b ), + .Q(\blk00000003/blk000003ad/sig00000d8e ), + .Q15(\NLW_blk00000003/blk000003ad/blk000003ba_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ad/blk000003b9 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003ad/sig00000d8a ), + .A3(\blk00000003/blk000003ad/sig00000d8a ), + .CE(\blk00000003/blk000003ad/sig00000d93 ), + .CLK(clk), + .D(\blk00000003/sig0000056c ), + .Q(\blk00000003/blk000003ad/sig00000d8d ), + .Q15(\NLW_blk00000003/blk000003ad/blk000003b9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ad/blk000003b8 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003ad/sig00000d8a ), + .A3(\blk00000003/blk000003ad/sig00000d8a ), + .CE(\blk00000003/blk000003ad/sig00000d93 ), + .CLK(clk), + .D(\blk00000003/sig0000056d ), + .Q(\blk00000003/blk000003ad/sig00000d8c ), + .Q15(\NLW_blk00000003/blk000003ad/blk000003b8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ad/blk000003b7 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003ad/sig00000d8a ), + .A3(\blk00000003/blk000003ad/sig00000d8a ), + .CE(\blk00000003/blk000003ad/sig00000d93 ), + .CLK(clk), + .D(\blk00000003/sig0000056e ), + .Q(\blk00000003/blk000003ad/sig00000d8b ), + .Q15(\NLW_blk00000003/blk000003ad/blk000003b7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ad/blk000003b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ad/sig00000d92 ), + .Q(\blk00000003/sig00000505 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ad/blk000003b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ad/sig00000d91 ), + .Q(\blk00000003/sig00000506 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ad/blk000003b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ad/sig00000d90 ), + .Q(\blk00000003/sig00000507 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ad/blk000003b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ad/sig00000d8f ), + .Q(\blk00000003/sig00000508 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ad/blk000003b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ad/sig00000d8e ), + .Q(\blk00000003/sig00000509 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ad/blk000003b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ad/sig00000d8d ), + .Q(\blk00000003/sig0000050a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ad/blk000003b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ad/sig00000d8c ), + .Q(\blk00000003/sig0000050b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ad/blk000003af ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ad/sig00000d8b ), + .Q(\blk00000003/sig0000050c ) + ); + GND \blk00000003/blk000003ad/blk000003ae ( + .G(\blk00000003/blk000003ad/sig00000d8a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003c0/blk000003d2 ( + .I0(\blk00000003/sig0000067a ), + .I1(ce), + .O(\blk00000003/blk000003c0/sig00000db2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003c0/blk000003d1 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003c0/sig00000da9 ), + .A3(\blk00000003/blk000003c0/sig00000da9 ), + .CE(\blk00000003/blk000003c0/sig00000db2 ), + .CLK(clk), + .D(\blk00000003/sig00000745 ), + .Q(\blk00000003/blk000003c0/sig00000db1 ), + .Q15(\NLW_blk00000003/blk000003c0/blk000003d1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003c0/blk000003d0 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003c0/sig00000da9 ), + .A3(\blk00000003/blk000003c0/sig00000da9 ), + .CE(\blk00000003/blk000003c0/sig00000db2 ), + .CLK(clk), + .D(\blk00000003/sig00000746 ), + .Q(\blk00000003/blk000003c0/sig00000db0 ), + .Q15(\NLW_blk00000003/blk000003c0/blk000003d0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003c0/blk000003cf ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003c0/sig00000da9 ), + .A3(\blk00000003/blk000003c0/sig00000da9 ), + .CE(\blk00000003/blk000003c0/sig00000db2 ), + .CLK(clk), + .D(\blk00000003/sig00000747 ), + .Q(\blk00000003/blk000003c0/sig00000daf ), + .Q15(\NLW_blk00000003/blk000003c0/blk000003cf_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003c0/blk000003ce ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003c0/sig00000da9 ), + .A3(\blk00000003/blk000003c0/sig00000da9 ), + .CE(\blk00000003/blk000003c0/sig00000db2 ), + .CLK(clk), + .D(\blk00000003/sig00000748 ), + .Q(\blk00000003/blk000003c0/sig00000dae ), + .Q15(\NLW_blk00000003/blk000003c0/blk000003ce_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003c0/blk000003cd ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003c0/sig00000da9 ), + .A3(\blk00000003/blk000003c0/sig00000da9 ), + .CE(\blk00000003/blk000003c0/sig00000db2 ), + .CLK(clk), + .D(\blk00000003/sig00000749 ), + .Q(\blk00000003/blk000003c0/sig00000dad ), + .Q15(\NLW_blk00000003/blk000003c0/blk000003cd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003c0/blk000003cc ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003c0/sig00000da9 ), + .A3(\blk00000003/blk000003c0/sig00000da9 ), + .CE(\blk00000003/blk000003c0/sig00000db2 ), + .CLK(clk), + .D(\blk00000003/sig0000074a ), + .Q(\blk00000003/blk000003c0/sig00000dac ), + .Q15(\NLW_blk00000003/blk000003c0/blk000003cc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003c0/blk000003cb ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003c0/sig00000da9 ), + .A3(\blk00000003/blk000003c0/sig00000da9 ), + .CE(\blk00000003/blk000003c0/sig00000db2 ), + .CLK(clk), + .D(\blk00000003/sig0000074b ), + .Q(\blk00000003/blk000003c0/sig00000dab ), + .Q15(\NLW_blk00000003/blk000003c0/blk000003cb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003c0/blk000003ca ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003c0/sig00000da9 ), + .A3(\blk00000003/blk000003c0/sig00000da9 ), + .CE(\blk00000003/blk000003c0/sig00000db2 ), + .CLK(clk), + .D(\blk00000003/sig0000074c ), + .Q(\blk00000003/blk000003c0/sig00000daa ), + .Q15(\NLW_blk00000003/blk000003c0/blk000003ca_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c0/blk000003c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003c0/sig00000db1 ), + .Q(\blk00000003/sig000004cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c0/blk000003c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003c0/sig00000db0 ), + .Q(\blk00000003/sig000004ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c0/blk000003c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003c0/sig00000daf ), + .Q(\blk00000003/sig000004cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c0/blk000003c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003c0/sig00000dae ), + .Q(\blk00000003/sig000004d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c0/blk000003c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003c0/sig00000dad ), + .Q(\blk00000003/sig000004d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c0/blk000003c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003c0/sig00000dac ), + .Q(\blk00000003/sig000004d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c0/blk000003c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003c0/sig00000dab ), + .Q(\blk00000003/sig000004d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c0/blk000003c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003c0/sig00000daa ), + .Q(\blk00000003/sig000004d4 ) + ); + GND \blk00000003/blk000003c0/blk000003c1 ( + .G(\blk00000003/blk000003c0/sig00000da9 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003d3/blk000003e5 ( + .I0(\blk00000003/sig0000067c ), + .I1(ce), + .O(\blk00000003/blk000003d3/sig00000dd1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d3/blk000003e4 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003d3/sig00000dc8 ), + .A3(\blk00000003/blk000003d3/sig00000dc8 ), + .CE(\blk00000003/blk000003d3/sig00000dd1 ), + .CLK(clk), + .D(\blk00000003/sig00000589 ), + .Q(\blk00000003/blk000003d3/sig00000dd0 ), + .Q15(\NLW_blk00000003/blk000003d3/blk000003e4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d3/blk000003e3 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003d3/sig00000dc8 ), + .A3(\blk00000003/blk000003d3/sig00000dc8 ), + .CE(\blk00000003/blk000003d3/sig00000dd1 ), + .CLK(clk), + .D(\blk00000003/sig0000058a ), + .Q(\blk00000003/blk000003d3/sig00000dcf ), + .Q15(\NLW_blk00000003/blk000003d3/blk000003e3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d3/blk000003e2 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003d3/sig00000dc8 ), + .A3(\blk00000003/blk000003d3/sig00000dc8 ), + .CE(\blk00000003/blk000003d3/sig00000dd1 ), + .CLK(clk), + .D(\blk00000003/sig0000058b ), + .Q(\blk00000003/blk000003d3/sig00000dce ), + .Q15(\NLW_blk00000003/blk000003d3/blk000003e2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d3/blk000003e1 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003d3/sig00000dc8 ), + .A3(\blk00000003/blk000003d3/sig00000dc8 ), + .CE(\blk00000003/blk000003d3/sig00000dd1 ), + .CLK(clk), + .D(\blk00000003/sig0000058c ), + .Q(\blk00000003/blk000003d3/sig00000dcd ), + .Q15(\NLW_blk00000003/blk000003d3/blk000003e1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d3/blk000003e0 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003d3/sig00000dc8 ), + .A3(\blk00000003/blk000003d3/sig00000dc8 ), + .CE(\blk00000003/blk000003d3/sig00000dd1 ), + .CLK(clk), + .D(\blk00000003/sig0000058d ), + .Q(\blk00000003/blk000003d3/sig00000dcc ), + .Q15(\NLW_blk00000003/blk000003d3/blk000003e0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d3/blk000003df ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003d3/sig00000dc8 ), + .A3(\blk00000003/blk000003d3/sig00000dc8 ), + .CE(\blk00000003/blk000003d3/sig00000dd1 ), + .CLK(clk), + .D(\blk00000003/sig0000058e ), + .Q(\blk00000003/blk000003d3/sig00000dcb ), + .Q15(\NLW_blk00000003/blk000003d3/blk000003df_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d3/blk000003de ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003d3/sig00000dc8 ), + .A3(\blk00000003/blk000003d3/sig00000dc8 ), + .CE(\blk00000003/blk000003d3/sig00000dd1 ), + .CLK(clk), + .D(\blk00000003/sig0000058f ), + .Q(\blk00000003/blk000003d3/sig00000dca ), + .Q15(\NLW_blk00000003/blk000003d3/blk000003de_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d3/blk000003dd ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003d3/sig00000dc8 ), + .A3(\blk00000003/blk000003d3/sig00000dc8 ), + .CE(\blk00000003/blk000003d3/sig00000dd1 ), + .CLK(clk), + .D(\blk00000003/sig00000590 ), + .Q(\blk00000003/blk000003d3/sig00000dc9 ), + .Q15(\NLW_blk00000003/blk000003d3/blk000003dd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d3/blk000003dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d3/sig00000dd0 ), + .Q(\blk00000003/sig00000557 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d3/blk000003db ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d3/sig00000dcf ), + .Q(\blk00000003/sig00000558 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d3/blk000003da ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d3/sig00000dce ), + .Q(\blk00000003/sig00000559 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d3/blk000003d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d3/sig00000dcd ), + .Q(\blk00000003/sig0000055a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d3/blk000003d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d3/sig00000dcc ), + .Q(\blk00000003/sig0000055b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d3/blk000003d7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d3/sig00000dcb ), + .Q(\blk00000003/sig0000055c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d3/blk000003d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d3/sig00000dca ), + .Q(\blk00000003/sig0000055d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d3/blk000003d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d3/sig00000dc9 ), + .Q(\blk00000003/sig0000055e ) + ); + GND \blk00000003/blk000003d3/blk000003d4 ( + .G(\blk00000003/blk000003d3/sig00000dc8 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003e6/blk000003f8 ( + .I0(\blk00000003/sig0000067a ), + .I1(ce), + .O(\blk00000003/blk000003e6/sig00000df0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003e6/blk000003f7 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003e6/sig00000de7 ), + .A3(\blk00000003/blk000003e6/sig00000de7 ), + .CE(\blk00000003/blk000003e6/sig00000df0 ), + .CLK(clk), + .D(\blk00000003/sig0000074d ), + .Q(\blk00000003/blk000003e6/sig00000def ), + .Q15(\NLW_blk00000003/blk000003e6/blk000003f7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003e6/blk000003f6 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003e6/sig00000de7 ), + .A3(\blk00000003/blk000003e6/sig00000de7 ), + .CE(\blk00000003/blk000003e6/sig00000df0 ), + .CLK(clk), + .D(\blk00000003/sig0000074e ), + .Q(\blk00000003/blk000003e6/sig00000dee ), + .Q15(\NLW_blk00000003/blk000003e6/blk000003f6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003e6/blk000003f5 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003e6/sig00000de7 ), + .A3(\blk00000003/blk000003e6/sig00000de7 ), + .CE(\blk00000003/blk000003e6/sig00000df0 ), + .CLK(clk), + .D(\blk00000003/sig0000074f ), + .Q(\blk00000003/blk000003e6/sig00000ded ), + .Q15(\NLW_blk00000003/blk000003e6/blk000003f5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003e6/blk000003f4 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003e6/sig00000de7 ), + .A3(\blk00000003/blk000003e6/sig00000de7 ), + .CE(\blk00000003/blk000003e6/sig00000df0 ), + .CLK(clk), + .D(\blk00000003/sig00000750 ), + .Q(\blk00000003/blk000003e6/sig00000dec ), + .Q15(\NLW_blk00000003/blk000003e6/blk000003f4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003e6/blk000003f3 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003e6/sig00000de7 ), + .A3(\blk00000003/blk000003e6/sig00000de7 ), + .CE(\blk00000003/blk000003e6/sig00000df0 ), + .CLK(clk), + .D(\blk00000003/sig00000751 ), + .Q(\blk00000003/blk000003e6/sig00000deb ), + .Q15(\NLW_blk00000003/blk000003e6/blk000003f3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003e6/blk000003f2 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003e6/sig00000de7 ), + .A3(\blk00000003/blk000003e6/sig00000de7 ), + .CE(\blk00000003/blk000003e6/sig00000df0 ), + .CLK(clk), + .D(\blk00000003/sig00000752 ), + .Q(\blk00000003/blk000003e6/sig00000dea ), + .Q15(\NLW_blk00000003/blk000003e6/blk000003f2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003e6/blk000003f1 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003e6/sig00000de7 ), + .A3(\blk00000003/blk000003e6/sig00000de7 ), + .CE(\blk00000003/blk000003e6/sig00000df0 ), + .CLK(clk), + .D(\blk00000003/sig00000753 ), + .Q(\blk00000003/blk000003e6/sig00000de9 ), + .Q15(\NLW_blk00000003/blk000003e6/blk000003f1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003e6/blk000003f0 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003e6/sig00000de7 ), + .A3(\blk00000003/blk000003e6/sig00000de7 ), + .CE(\blk00000003/blk000003e6/sig00000df0 ), + .CLK(clk), + .D(\blk00000003/sig00000754 ), + .Q(\blk00000003/blk000003e6/sig00000de8 ), + .Q15(\NLW_blk00000003/blk000003e6/blk000003f0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e6/blk000003ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003e6/sig00000def ), + .Q(\blk00000003/sig0000051f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e6/blk000003ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003e6/sig00000dee ), + .Q(\blk00000003/sig00000520 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e6/blk000003ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003e6/sig00000ded ), + .Q(\blk00000003/sig00000521 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e6/blk000003ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003e6/sig00000dec ), + .Q(\blk00000003/sig00000522 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e6/blk000003eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003e6/sig00000deb ), + .Q(\blk00000003/sig00000523 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e6/blk000003ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003e6/sig00000dea ), + .Q(\blk00000003/sig00000524 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e6/blk000003e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003e6/sig00000de9 ), + .Q(\blk00000003/sig00000525 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e6/blk000003e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003e6/sig00000de8 ), + .Q(\blk00000003/sig00000526 ) + ); + GND \blk00000003/blk000003e6/blk000003e7 ( + .G(\blk00000003/blk000003e6/sig00000de7 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003f9/blk0000040b ( + .I0(\blk00000003/sig0000067b ), + .I1(ce), + .O(\blk00000003/blk000003f9/sig00000e0f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk0000040a ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000003f9/sig00000e06 ), + .A3(\blk00000003/blk000003f9/sig00000e06 ), + .CE(\blk00000003/blk000003f9/sig00000e0f ), + .CLK(clk), + .D(\blk00000003/sig000003a3 ), + .Q(\blk00000003/blk000003f9/sig00000e0e ), + .Q15(\NLW_blk00000003/blk000003f9/blk0000040a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000409 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000003f9/sig00000e06 ), + .A3(\blk00000003/blk000003f9/sig00000e06 ), + .CE(\blk00000003/blk000003f9/sig00000e0f ), + .CLK(clk), + .D(\blk00000003/sig000003a4 ), + .Q(\blk00000003/blk000003f9/sig00000e0d ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000409_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000408 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000003f9/sig00000e06 ), + .A3(\blk00000003/blk000003f9/sig00000e06 ), + .CE(\blk00000003/blk000003f9/sig00000e0f ), + .CLK(clk), + .D(\blk00000003/sig000003a5 ), + .Q(\blk00000003/blk000003f9/sig00000e0c ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000408_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000407 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000003f9/sig00000e06 ), + .A3(\blk00000003/blk000003f9/sig00000e06 ), + .CE(\blk00000003/blk000003f9/sig00000e0f ), + .CLK(clk), + .D(\blk00000003/sig000003a6 ), + .Q(\blk00000003/blk000003f9/sig00000e0b ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000407_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000406 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000003f9/sig00000e06 ), + .A3(\blk00000003/blk000003f9/sig00000e06 ), + .CE(\blk00000003/blk000003f9/sig00000e0f ), + .CLK(clk), + .D(\blk00000003/sig000003a7 ), + .Q(\blk00000003/blk000003f9/sig00000e0a ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000406_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000405 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000003f9/sig00000e06 ), + .A3(\blk00000003/blk000003f9/sig00000e06 ), + .CE(\blk00000003/blk000003f9/sig00000e0f ), + .CLK(clk), + .D(\blk00000003/sig000003a8 ), + .Q(\blk00000003/blk000003f9/sig00000e09 ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000405_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000404 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000003f9/sig00000e06 ), + .A3(\blk00000003/blk000003f9/sig00000e06 ), + .CE(\blk00000003/blk000003f9/sig00000e0f ), + .CLK(clk), + .D(\blk00000003/sig000003a9 ), + .Q(\blk00000003/blk000003f9/sig00000e08 ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000404_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000403 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000003f9/sig00000e06 ), + .A3(\blk00000003/blk000003f9/sig00000e06 ), + .CE(\blk00000003/blk000003f9/sig00000e0f ), + .CLK(clk), + .D(\blk00000003/sig000003aa ), + .Q(\blk00000003/blk000003f9/sig00000e07 ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000403_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000402 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00000e0e ), + .Q(\blk00000003/sig00000567 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000401 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00000e0d ), + .Q(\blk00000003/sig00000568 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000400 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00000e0c ), + .Q(\blk00000003/sig00000569 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00000e0b ), + .Q(\blk00000003/sig0000056a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00000e0a ), + .Q(\blk00000003/sig0000056b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00000e09 ), + .Q(\blk00000003/sig0000056c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00000e08 ), + .Q(\blk00000003/sig0000056d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00000e07 ), + .Q(\blk00000003/sig0000056e ) + ); + GND \blk00000003/blk000003f9/blk000003fa ( + .G(\blk00000003/blk000003f9/sig00000e06 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000040c/blk0000041e ( + .I0(\blk00000003/sig00000679 ), + .I1(ce), + .O(\blk00000003/blk0000040c/sig00000e2e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000040c/blk0000041d ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk0000040c/sig00000e25 ), + .A3(\blk00000003/blk0000040c/sig00000e25 ), + .CE(\blk00000003/blk0000040c/sig00000e2e ), + .CLK(clk), + .D(\blk00000003/sig00000755 ), + .Q(\blk00000003/blk0000040c/sig00000e2d ), + .Q15(\NLW_blk00000003/blk0000040c/blk0000041d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000040c/blk0000041c ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk0000040c/sig00000e25 ), + .A3(\blk00000003/blk0000040c/sig00000e25 ), + .CE(\blk00000003/blk0000040c/sig00000e2e ), + .CLK(clk), + .D(\blk00000003/sig00000756 ), + .Q(\blk00000003/blk0000040c/sig00000e2c ), + .Q15(\NLW_blk00000003/blk0000040c/blk0000041c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000040c/blk0000041b ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk0000040c/sig00000e25 ), + .A3(\blk00000003/blk0000040c/sig00000e25 ), + .CE(\blk00000003/blk0000040c/sig00000e2e ), + .CLK(clk), + .D(\blk00000003/sig00000757 ), + .Q(\blk00000003/blk0000040c/sig00000e2b ), + .Q15(\NLW_blk00000003/blk0000040c/blk0000041b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000040c/blk0000041a ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk0000040c/sig00000e25 ), + .A3(\blk00000003/blk0000040c/sig00000e25 ), + .CE(\blk00000003/blk0000040c/sig00000e2e ), + .CLK(clk), + .D(\blk00000003/sig00000758 ), + .Q(\blk00000003/blk0000040c/sig00000e2a ), + .Q15(\NLW_blk00000003/blk0000040c/blk0000041a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000040c/blk00000419 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk0000040c/sig00000e25 ), + .A3(\blk00000003/blk0000040c/sig00000e25 ), + .CE(\blk00000003/blk0000040c/sig00000e2e ), + .CLK(clk), + .D(\blk00000003/sig00000759 ), + .Q(\blk00000003/blk0000040c/sig00000e29 ), + .Q15(\NLW_blk00000003/blk0000040c/blk00000419_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000040c/blk00000418 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk0000040c/sig00000e25 ), + .A3(\blk00000003/blk0000040c/sig00000e25 ), + .CE(\blk00000003/blk0000040c/sig00000e2e ), + .CLK(clk), + .D(\blk00000003/sig0000075a ), + .Q(\blk00000003/blk0000040c/sig00000e28 ), + .Q15(\NLW_blk00000003/blk0000040c/blk00000418_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000040c/blk00000417 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk0000040c/sig00000e25 ), + .A3(\blk00000003/blk0000040c/sig00000e25 ), + .CE(\blk00000003/blk0000040c/sig00000e2e ), + .CLK(clk), + .D(\blk00000003/sig0000075b ), + .Q(\blk00000003/blk0000040c/sig00000e27 ), + .Q15(\NLW_blk00000003/blk0000040c/blk00000417_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000040c/blk00000416 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk0000040c/sig00000e25 ), + .A3(\blk00000003/blk0000040c/sig00000e25 ), + .CE(\blk00000003/blk0000040c/sig00000e2e ), + .CLK(clk), + .D(\blk00000003/sig0000075c ), + .Q(\blk00000003/blk0000040c/sig00000e26 ), + .Q15(\NLW_blk00000003/blk0000040c/blk00000416_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040c/blk00000415 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000040c/sig00000e2d ), + .Q(\blk00000003/sig0000055f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040c/blk00000414 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000040c/sig00000e2c ), + .Q(\blk00000003/sig00000560 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040c/blk00000413 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000040c/sig00000e2b ), + .Q(\blk00000003/sig00000561 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040c/blk00000412 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000040c/sig00000e2a ), + .Q(\blk00000003/sig00000562 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040c/blk00000411 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000040c/sig00000e29 ), + .Q(\blk00000003/sig00000563 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040c/blk00000410 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000040c/sig00000e28 ), + .Q(\blk00000003/sig00000564 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040c/blk0000040f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000040c/sig00000e27 ), + .Q(\blk00000003/sig00000565 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040c/blk0000040e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000040c/sig00000e26 ), + .Q(\blk00000003/sig00000566 ) + ); + GND \blk00000003/blk0000040c/blk0000040d ( + .G(\blk00000003/blk0000040c/sig00000e25 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000041f/blk00000431 ( + .I0(\blk00000003/sig0000067b ), + .I1(ce), + .O(\blk00000003/blk0000041f/sig00000e4d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041f/blk00000430 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk0000041f/sig00000e44 ), + .A3(\blk00000003/blk0000041f/sig00000e44 ), + .CE(\blk00000003/blk0000041f/sig00000e4d ), + .CLK(clk), + .D(\blk00000003/sig000003f5 ), + .Q(\blk00000003/blk0000041f/sig00000e4c ), + .Q15(\NLW_blk00000003/blk0000041f/blk00000430_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041f/blk0000042f ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk0000041f/sig00000e44 ), + .A3(\blk00000003/blk0000041f/sig00000e44 ), + .CE(\blk00000003/blk0000041f/sig00000e4d ), + .CLK(clk), + .D(\blk00000003/sig000003f6 ), + .Q(\blk00000003/blk0000041f/sig00000e4b ), + .Q15(\NLW_blk00000003/blk0000041f/blk0000042f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041f/blk0000042e ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk0000041f/sig00000e44 ), + .A3(\blk00000003/blk0000041f/sig00000e44 ), + .CE(\blk00000003/blk0000041f/sig00000e4d ), + .CLK(clk), + .D(\blk00000003/sig000003f7 ), + .Q(\blk00000003/blk0000041f/sig00000e4a ), + .Q15(\NLW_blk00000003/blk0000041f/blk0000042e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041f/blk0000042d ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk0000041f/sig00000e44 ), + .A3(\blk00000003/blk0000041f/sig00000e44 ), + .CE(\blk00000003/blk0000041f/sig00000e4d ), + .CLK(clk), + .D(\blk00000003/sig000003f8 ), + .Q(\blk00000003/blk0000041f/sig00000e49 ), + .Q15(\NLW_blk00000003/blk0000041f/blk0000042d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041f/blk0000042c ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk0000041f/sig00000e44 ), + .A3(\blk00000003/blk0000041f/sig00000e44 ), + .CE(\blk00000003/blk0000041f/sig00000e4d ), + .CLK(clk), + .D(\blk00000003/sig000003f9 ), + .Q(\blk00000003/blk0000041f/sig00000e48 ), + .Q15(\NLW_blk00000003/blk0000041f/blk0000042c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041f/blk0000042b ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk0000041f/sig00000e44 ), + .A3(\blk00000003/blk0000041f/sig00000e44 ), + .CE(\blk00000003/blk0000041f/sig00000e4d ), + .CLK(clk), + .D(\blk00000003/sig000003fa ), + .Q(\blk00000003/blk0000041f/sig00000e47 ), + .Q15(\NLW_blk00000003/blk0000041f/blk0000042b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041f/blk0000042a ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk0000041f/sig00000e44 ), + .A3(\blk00000003/blk0000041f/sig00000e44 ), + .CE(\blk00000003/blk0000041f/sig00000e4d ), + .CLK(clk), + .D(\blk00000003/sig000003fb ), + .Q(\blk00000003/blk0000041f/sig00000e46 ), + .Q15(\NLW_blk00000003/blk0000041f/blk0000042a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041f/blk00000429 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk0000041f/sig00000e44 ), + .A3(\blk00000003/blk0000041f/sig00000e44 ), + .CE(\blk00000003/blk0000041f/sig00000e4d ), + .CLK(clk), + .D(\blk00000003/sig000003fc ), + .Q(\blk00000003/blk0000041f/sig00000e45 ), + .Q15(\NLW_blk00000003/blk0000041f/blk00000429_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041f/blk00000428 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041f/sig00000e4c ), + .Q(\blk00000003/sig00000589 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041f/blk00000427 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041f/sig00000e4b ), + .Q(\blk00000003/sig0000058a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041f/blk00000426 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041f/sig00000e4a ), + .Q(\blk00000003/sig0000058b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041f/blk00000425 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041f/sig00000e49 ), + .Q(\blk00000003/sig0000058c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041f/blk00000424 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041f/sig00000e48 ), + .Q(\blk00000003/sig0000058d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041f/blk00000423 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041f/sig00000e47 ), + .Q(\blk00000003/sig0000058e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041f/blk00000422 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041f/sig00000e46 ), + .Q(\blk00000003/sig0000058f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041f/blk00000421 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041f/sig00000e45 ), + .Q(\blk00000003/sig00000590 ) + ); + GND \blk00000003/blk0000041f/blk00000420 ( + .G(\blk00000003/blk0000041f/sig00000e44 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000432/blk00000444 ( + .I0(\blk00000003/sig00000679 ), + .I1(ce), + .O(\blk00000003/blk00000432/sig00000e6c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000432/blk00000443 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000432/sig00000e63 ), + .A3(\blk00000003/blk00000432/sig00000e63 ), + .CE(\blk00000003/blk00000432/sig00000e6c ), + .CLK(clk), + .D(\blk00000003/sig0000075d ), + .Q(\blk00000003/blk00000432/sig00000e6b ), + .Q15(\NLW_blk00000003/blk00000432/blk00000443_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000432/blk00000442 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000432/sig00000e63 ), + .A3(\blk00000003/blk00000432/sig00000e63 ), + .CE(\blk00000003/blk00000432/sig00000e6c ), + .CLK(clk), + .D(\blk00000003/sig0000075e ), + .Q(\blk00000003/blk00000432/sig00000e6a ), + .Q15(\NLW_blk00000003/blk00000432/blk00000442_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000432/blk00000441 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000432/sig00000e63 ), + .A3(\blk00000003/blk00000432/sig00000e63 ), + .CE(\blk00000003/blk00000432/sig00000e6c ), + .CLK(clk), + .D(\blk00000003/sig0000075f ), + .Q(\blk00000003/blk00000432/sig00000e69 ), + .Q15(\NLW_blk00000003/blk00000432/blk00000441_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000432/blk00000440 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000432/sig00000e63 ), + .A3(\blk00000003/blk00000432/sig00000e63 ), + .CE(\blk00000003/blk00000432/sig00000e6c ), + .CLK(clk), + .D(\blk00000003/sig00000760 ), + .Q(\blk00000003/blk00000432/sig00000e68 ), + .Q15(\NLW_blk00000003/blk00000432/blk00000440_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000432/blk0000043f ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000432/sig00000e63 ), + .A3(\blk00000003/blk00000432/sig00000e63 ), + .CE(\blk00000003/blk00000432/sig00000e6c ), + .CLK(clk), + .D(\blk00000003/sig00000761 ), + .Q(\blk00000003/blk00000432/sig00000e67 ), + .Q15(\NLW_blk00000003/blk00000432/blk0000043f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000432/blk0000043e ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000432/sig00000e63 ), + .A3(\blk00000003/blk00000432/sig00000e63 ), + .CE(\blk00000003/blk00000432/sig00000e6c ), + .CLK(clk), + .D(\blk00000003/sig00000762 ), + .Q(\blk00000003/blk00000432/sig00000e66 ), + .Q15(\NLW_blk00000003/blk00000432/blk0000043e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000432/blk0000043d ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000432/sig00000e63 ), + .A3(\blk00000003/blk00000432/sig00000e63 ), + .CE(\blk00000003/blk00000432/sig00000e6c ), + .CLK(clk), + .D(\blk00000003/sig00000763 ), + .Q(\blk00000003/blk00000432/sig00000e65 ), + .Q15(\NLW_blk00000003/blk00000432/blk0000043d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000432/blk0000043c ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000432/sig00000e63 ), + .A3(\blk00000003/blk00000432/sig00000e63 ), + .CE(\blk00000003/blk00000432/sig00000e6c ), + .CLK(clk), + .D(\blk00000003/sig00000764 ), + .Q(\blk00000003/blk00000432/sig00000e64 ), + .Q15(\NLW_blk00000003/blk00000432/blk0000043c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000432/blk0000043b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000432/sig00000e6b ), + .Q(\blk00000003/sig00000581 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000432/blk0000043a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000432/sig00000e6a ), + .Q(\blk00000003/sig00000582 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000432/blk00000439 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000432/sig00000e69 ), + .Q(\blk00000003/sig00000583 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000432/blk00000438 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000432/sig00000e68 ), + .Q(\blk00000003/sig00000584 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000432/blk00000437 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000432/sig00000e67 ), + .Q(\blk00000003/sig00000585 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000432/blk00000436 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000432/sig00000e66 ), + .Q(\blk00000003/sig00000586 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000432/blk00000435 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000432/sig00000e65 ), + .Q(\blk00000003/sig00000587 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000432/blk00000434 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000432/sig00000e64 ), + .Q(\blk00000003/sig00000588 ) + ); + GND \blk00000003/blk00000432/blk00000433 ( + .G(\blk00000003/blk00000432/sig00000e63 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000445/blk00000457 ( + .I0(\blk00000003/sig0000068c ), + .I1(ce), + .O(\blk00000003/blk00000445/sig00000e8b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000445/blk00000456 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000445/sig00000e82 ), + .A3(\blk00000003/blk00000445/sig00000e82 ), + .CE(\blk00000003/blk00000445/sig00000e8b ), + .CLK(clk), + .D(\blk00000003/sig00000765 ), + .Q(\blk00000003/blk00000445/sig00000e8a ), + .Q15(\NLW_blk00000003/blk00000445/blk00000456_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000445/blk00000455 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000445/sig00000e82 ), + .A3(\blk00000003/blk00000445/sig00000e82 ), + .CE(\blk00000003/blk00000445/sig00000e8b ), + .CLK(clk), + .D(\blk00000003/sig00000766 ), + .Q(\blk00000003/blk00000445/sig00000e89 ), + .Q15(\NLW_blk00000003/blk00000445/blk00000455_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000445/blk00000454 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000445/sig00000e82 ), + .A3(\blk00000003/blk00000445/sig00000e82 ), + .CE(\blk00000003/blk00000445/sig00000e8b ), + .CLK(clk), + .D(\blk00000003/sig00000767 ), + .Q(\blk00000003/blk00000445/sig00000e88 ), + .Q15(\NLW_blk00000003/blk00000445/blk00000454_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000445/blk00000453 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000445/sig00000e82 ), + .A3(\blk00000003/blk00000445/sig00000e82 ), + .CE(\blk00000003/blk00000445/sig00000e8b ), + .CLK(clk), + .D(\blk00000003/sig00000768 ), + .Q(\blk00000003/blk00000445/sig00000e87 ), + .Q15(\NLW_blk00000003/blk00000445/blk00000453_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000445/blk00000452 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000445/sig00000e82 ), + .A3(\blk00000003/blk00000445/sig00000e82 ), + .CE(\blk00000003/blk00000445/sig00000e8b ), + .CLK(clk), + .D(\blk00000003/sig00000769 ), + .Q(\blk00000003/blk00000445/sig00000e86 ), + .Q15(\NLW_blk00000003/blk00000445/blk00000452_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000445/blk00000451 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000445/sig00000e82 ), + .A3(\blk00000003/blk00000445/sig00000e82 ), + .CE(\blk00000003/blk00000445/sig00000e8b ), + .CLK(clk), + .D(\blk00000003/sig0000076a ), + .Q(\blk00000003/blk00000445/sig00000e85 ), + .Q15(\NLW_blk00000003/blk00000445/blk00000451_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000445/blk00000450 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000445/sig00000e82 ), + .A3(\blk00000003/blk00000445/sig00000e82 ), + .CE(\blk00000003/blk00000445/sig00000e8b ), + .CLK(clk), + .D(\blk00000003/sig0000076b ), + .Q(\blk00000003/blk00000445/sig00000e84 ), + .Q15(\NLW_blk00000003/blk00000445/blk00000450_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000445/blk0000044f ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000445/sig00000e82 ), + .A3(\blk00000003/blk00000445/sig00000e82 ), + .CE(\blk00000003/blk00000445/sig00000e8b ), + .CLK(clk), + .D(\blk00000003/sig0000076c ), + .Q(\blk00000003/blk00000445/sig00000e83 ), + .Q15(\NLW_blk00000003/blk00000445/blk0000044f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000445/blk0000044e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000445/sig00000e8a ), + .Q(\blk00000003/sig000003a3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000445/blk0000044d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000445/sig00000e89 ), + .Q(\blk00000003/sig000003a4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000445/blk0000044c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000445/sig00000e88 ), + .Q(\blk00000003/sig000003a5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000445/blk0000044b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000445/sig00000e87 ), + .Q(\blk00000003/sig000003a6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000445/blk0000044a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000445/sig00000e86 ), + .Q(\blk00000003/sig000003a7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000445/blk00000449 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000445/sig00000e85 ), + .Q(\blk00000003/sig000003a8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000445/blk00000448 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000445/sig00000e84 ), + .Q(\blk00000003/sig000003a9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000445/blk00000447 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000445/sig00000e83 ), + .Q(\blk00000003/sig000003aa ) + ); + GND \blk00000003/blk00000445/blk00000446 ( + .G(\blk00000003/blk00000445/sig00000e82 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000458/blk0000046a ( + .I0(\blk00000003/sig0000068b ), + .I1(ce), + .O(\blk00000003/blk00000458/sig00000eaa ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000458/blk00000469 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk00000458/sig00000ea1 ), + .A3(\blk00000003/blk00000458/sig00000ea1 ), + .CE(\blk00000003/blk00000458/sig00000eaa ), + .CLK(clk), + .D(\blk00000003/sig0000076d ), + .Q(\blk00000003/blk00000458/sig00000ea9 ), + .Q15(\NLW_blk00000003/blk00000458/blk00000469_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000458/blk00000468 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk00000458/sig00000ea1 ), + .A3(\blk00000003/blk00000458/sig00000ea1 ), + .CE(\blk00000003/blk00000458/sig00000eaa ), + .CLK(clk), + .D(\blk00000003/sig0000076e ), + .Q(\blk00000003/blk00000458/sig00000ea8 ), + .Q15(\NLW_blk00000003/blk00000458/blk00000468_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000458/blk00000467 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk00000458/sig00000ea1 ), + .A3(\blk00000003/blk00000458/sig00000ea1 ), + .CE(\blk00000003/blk00000458/sig00000eaa ), + .CLK(clk), + .D(\blk00000003/sig0000076f ), + .Q(\blk00000003/blk00000458/sig00000ea7 ), + .Q15(\NLW_blk00000003/blk00000458/blk00000467_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000458/blk00000466 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk00000458/sig00000ea1 ), + .A3(\blk00000003/blk00000458/sig00000ea1 ), + .CE(\blk00000003/blk00000458/sig00000eaa ), + .CLK(clk), + .D(\blk00000003/sig00000770 ), + .Q(\blk00000003/blk00000458/sig00000ea6 ), + .Q15(\NLW_blk00000003/blk00000458/blk00000466_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000458/blk00000465 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk00000458/sig00000ea1 ), + .A3(\blk00000003/blk00000458/sig00000ea1 ), + .CE(\blk00000003/blk00000458/sig00000eaa ), + .CLK(clk), + .D(\blk00000003/sig00000771 ), + .Q(\blk00000003/blk00000458/sig00000ea5 ), + .Q15(\NLW_blk00000003/blk00000458/blk00000465_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000458/blk00000464 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk00000458/sig00000ea1 ), + .A3(\blk00000003/blk00000458/sig00000ea1 ), + .CE(\blk00000003/blk00000458/sig00000eaa ), + .CLK(clk), + .D(\blk00000003/sig00000772 ), + .Q(\blk00000003/blk00000458/sig00000ea4 ), + .Q15(\NLW_blk00000003/blk00000458/blk00000464_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000458/blk00000463 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk00000458/sig00000ea1 ), + .A3(\blk00000003/blk00000458/sig00000ea1 ), + .CE(\blk00000003/blk00000458/sig00000eaa ), + .CLK(clk), + .D(\blk00000003/sig00000773 ), + .Q(\blk00000003/blk00000458/sig00000ea3 ), + .Q15(\NLW_blk00000003/blk00000458/blk00000463_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000458/blk00000462 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk00000458/sig00000ea1 ), + .A3(\blk00000003/blk00000458/sig00000ea1 ), + .CE(\blk00000003/blk00000458/sig00000eaa ), + .CLK(clk), + .D(\blk00000003/sig00000774 ), + .Q(\blk00000003/blk00000458/sig00000ea2 ), + .Q15(\NLW_blk00000003/blk00000458/blk00000462_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000458/blk00000461 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000458/sig00000ea9 ), + .Q(\blk00000003/sig0000039b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000458/blk00000460 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000458/sig00000ea8 ), + .Q(\blk00000003/sig0000039c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000458/blk0000045f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000458/sig00000ea7 ), + .Q(\blk00000003/sig0000039d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000458/blk0000045e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000458/sig00000ea6 ), + .Q(\blk00000003/sig0000039e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000458/blk0000045d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000458/sig00000ea5 ), + .Q(\blk00000003/sig0000039f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000458/blk0000045c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000458/sig00000ea4 ), + .Q(\blk00000003/sig000003a0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000458/blk0000045b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000458/sig00000ea3 ), + .Q(\blk00000003/sig000003a1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000458/blk0000045a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000458/sig00000ea2 ), + .Q(\blk00000003/sig000003a2 ) + ); + GND \blk00000003/blk00000458/blk00000459 ( + .G(\blk00000003/blk00000458/sig00000ea1 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000046b/blk0000047d ( + .I0(\blk00000003/sig0000068c ), + .I1(ce), + .O(\blk00000003/blk0000046b/sig00000ec9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000046b/blk0000047c ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000046b/sig00000ec0 ), + .A3(\blk00000003/blk0000046b/sig00000ec0 ), + .CE(\blk00000003/blk0000046b/sig00000ec9 ), + .CLK(clk), + .D(\blk00000003/sig00000775 ), + .Q(\blk00000003/blk0000046b/sig00000ec8 ), + .Q15(\NLW_blk00000003/blk0000046b/blk0000047c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000046b/blk0000047b ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000046b/sig00000ec0 ), + .A3(\blk00000003/blk0000046b/sig00000ec0 ), + .CE(\blk00000003/blk0000046b/sig00000ec9 ), + .CLK(clk), + .D(\blk00000003/sig00000776 ), + .Q(\blk00000003/blk0000046b/sig00000ec7 ), + .Q15(\NLW_blk00000003/blk0000046b/blk0000047b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000046b/blk0000047a ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000046b/sig00000ec0 ), + .A3(\blk00000003/blk0000046b/sig00000ec0 ), + .CE(\blk00000003/blk0000046b/sig00000ec9 ), + .CLK(clk), + .D(\blk00000003/sig00000777 ), + .Q(\blk00000003/blk0000046b/sig00000ec6 ), + .Q15(\NLW_blk00000003/blk0000046b/blk0000047a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000046b/blk00000479 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000046b/sig00000ec0 ), + .A3(\blk00000003/blk0000046b/sig00000ec0 ), + .CE(\blk00000003/blk0000046b/sig00000ec9 ), + .CLK(clk), + .D(\blk00000003/sig00000778 ), + .Q(\blk00000003/blk0000046b/sig00000ec5 ), + .Q15(\NLW_blk00000003/blk0000046b/blk00000479_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000046b/blk00000478 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000046b/sig00000ec0 ), + .A3(\blk00000003/blk0000046b/sig00000ec0 ), + .CE(\blk00000003/blk0000046b/sig00000ec9 ), + .CLK(clk), + .D(\blk00000003/sig00000779 ), + .Q(\blk00000003/blk0000046b/sig00000ec4 ), + .Q15(\NLW_blk00000003/blk0000046b/blk00000478_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000046b/blk00000477 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000046b/sig00000ec0 ), + .A3(\blk00000003/blk0000046b/sig00000ec0 ), + .CE(\blk00000003/blk0000046b/sig00000ec9 ), + .CLK(clk), + .D(\blk00000003/sig0000077a ), + .Q(\blk00000003/blk0000046b/sig00000ec3 ), + .Q15(\NLW_blk00000003/blk0000046b/blk00000477_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000046b/blk00000476 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000046b/sig00000ec0 ), + .A3(\blk00000003/blk0000046b/sig00000ec0 ), + .CE(\blk00000003/blk0000046b/sig00000ec9 ), + .CLK(clk), + .D(\blk00000003/sig0000077b ), + .Q(\blk00000003/blk0000046b/sig00000ec2 ), + .Q15(\NLW_blk00000003/blk0000046b/blk00000476_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000046b/blk00000475 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000046b/sig00000ec0 ), + .A3(\blk00000003/blk0000046b/sig00000ec0 ), + .CE(\blk00000003/blk0000046b/sig00000ec9 ), + .CLK(clk), + .D(\blk00000003/sig0000077c ), + .Q(\blk00000003/blk0000046b/sig00000ec1 ), + .Q15(\NLW_blk00000003/blk0000046b/blk00000475_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000046b/blk00000474 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000046b/sig00000ec8 ), + .Q(\blk00000003/sig000003f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000046b/blk00000473 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000046b/sig00000ec7 ), + .Q(\blk00000003/sig000003f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000046b/blk00000472 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000046b/sig00000ec6 ), + .Q(\blk00000003/sig000003f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000046b/blk00000471 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000046b/sig00000ec5 ), + .Q(\blk00000003/sig000003f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000046b/blk00000470 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000046b/sig00000ec4 ), + .Q(\blk00000003/sig000003f9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000046b/blk0000046f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000046b/sig00000ec3 ), + .Q(\blk00000003/sig000003fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000046b/blk0000046e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000046b/sig00000ec2 ), + .Q(\blk00000003/sig000003fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000046b/blk0000046d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000046b/sig00000ec1 ), + .Q(\blk00000003/sig000003fc ) + ); + GND \blk00000003/blk0000046b/blk0000046c ( + .G(\blk00000003/blk0000046b/sig00000ec0 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000047e/blk00000490 ( + .I0(\blk00000003/sig0000068b ), + .I1(ce), + .O(\blk00000003/blk0000047e/sig00000ee8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000047e/blk0000048f ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000047e/sig00000edf ), + .A3(\blk00000003/blk0000047e/sig00000edf ), + .CE(\blk00000003/blk0000047e/sig00000ee8 ), + .CLK(clk), + .D(\blk00000003/sig0000077d ), + .Q(\blk00000003/blk0000047e/sig00000ee7 ), + .Q15(\NLW_blk00000003/blk0000047e/blk0000048f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000047e/blk0000048e ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000047e/sig00000edf ), + .A3(\blk00000003/blk0000047e/sig00000edf ), + .CE(\blk00000003/blk0000047e/sig00000ee8 ), + .CLK(clk), + .D(\blk00000003/sig0000077e ), + .Q(\blk00000003/blk0000047e/sig00000ee6 ), + .Q15(\NLW_blk00000003/blk0000047e/blk0000048e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000047e/blk0000048d ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000047e/sig00000edf ), + .A3(\blk00000003/blk0000047e/sig00000edf ), + .CE(\blk00000003/blk0000047e/sig00000ee8 ), + .CLK(clk), + .D(\blk00000003/sig0000077f ), + .Q(\blk00000003/blk0000047e/sig00000ee5 ), + .Q15(\NLW_blk00000003/blk0000047e/blk0000048d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000047e/blk0000048c ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000047e/sig00000edf ), + .A3(\blk00000003/blk0000047e/sig00000edf ), + .CE(\blk00000003/blk0000047e/sig00000ee8 ), + .CLK(clk), + .D(\blk00000003/sig00000780 ), + .Q(\blk00000003/blk0000047e/sig00000ee4 ), + .Q15(\NLW_blk00000003/blk0000047e/blk0000048c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000047e/blk0000048b ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000047e/sig00000edf ), + .A3(\blk00000003/blk0000047e/sig00000edf ), + .CE(\blk00000003/blk0000047e/sig00000ee8 ), + .CLK(clk), + .D(\blk00000003/sig00000781 ), + .Q(\blk00000003/blk0000047e/sig00000ee3 ), + .Q15(\NLW_blk00000003/blk0000047e/blk0000048b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000047e/blk0000048a ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000047e/sig00000edf ), + .A3(\blk00000003/blk0000047e/sig00000edf ), + .CE(\blk00000003/blk0000047e/sig00000ee8 ), + .CLK(clk), + .D(\blk00000003/sig00000782 ), + .Q(\blk00000003/blk0000047e/sig00000ee2 ), + .Q15(\NLW_blk00000003/blk0000047e/blk0000048a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000047e/blk00000489 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000047e/sig00000edf ), + .A3(\blk00000003/blk0000047e/sig00000edf ), + .CE(\blk00000003/blk0000047e/sig00000ee8 ), + .CLK(clk), + .D(\blk00000003/sig00000783 ), + .Q(\blk00000003/blk0000047e/sig00000ee1 ), + .Q15(\NLW_blk00000003/blk0000047e/blk00000489_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000047e/blk00000488 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000047e/sig00000edf ), + .A3(\blk00000003/blk0000047e/sig00000edf ), + .CE(\blk00000003/blk0000047e/sig00000ee8 ), + .CLK(clk), + .D(\blk00000003/sig00000784 ), + .Q(\blk00000003/blk0000047e/sig00000ee0 ), + .Q15(\NLW_blk00000003/blk0000047e/blk00000488_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047e/blk00000487 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000047e/sig00000ee7 ), + .Q(\blk00000003/sig000003ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047e/blk00000486 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000047e/sig00000ee6 ), + .Q(\blk00000003/sig000003ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047e/blk00000485 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000047e/sig00000ee5 ), + .Q(\blk00000003/sig000003ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047e/blk00000484 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000047e/sig00000ee4 ), + .Q(\blk00000003/sig000003f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047e/blk00000483 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000047e/sig00000ee3 ), + .Q(\blk00000003/sig000003f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047e/blk00000482 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000047e/sig00000ee2 ), + .Q(\blk00000003/sig000003f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047e/blk00000481 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000047e/sig00000ee1 ), + .Q(\blk00000003/sig000003f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047e/blk00000480 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000047e/sig00000ee0 ), + .Q(\blk00000003/sig000003f4 ) + ); + GND \blk00000003/blk0000047e/blk0000047f ( + .G(\blk00000003/blk0000047e/sig00000edf ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000004e1/blk00000507 ( + .I0(\blk00000003/sig00000305 ), + .I1(ce), + .O(\blk00000003/blk000004e1/sig00000f25 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e1/blk00000506 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig0000070d ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk00000506_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f24 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk00000505 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig0000070e ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk00000505_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f23 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk00000504 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig0000070f ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk00000504_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f22 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk00000503 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000710 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk00000503_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f21 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk00000502 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000711 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk00000502_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f20 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk00000501 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000712 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk00000501_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f1f ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk00000500 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000714 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk00000500_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f1d ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004ff ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000715 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004ff_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f1c ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004fe ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000713 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004fe_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f1e ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004fd ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000716 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004fd_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f1b ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004fc ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000717 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004fc_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f1a ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004fb ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000718 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004fb_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f19 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004fa ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000719 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004fa_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f18 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004f9 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig0000071a ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004f9_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f17 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004f8 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig0000071b ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004f8_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f16 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004f7 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig0000071d ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004f7_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f14 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004f6 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig0000071e ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004f6_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f13 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004f5 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig0000071c ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004f5_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f15 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f24 ), + .Q(\blk00000003/sig000001a8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f23 ), + .Q(\blk00000003/sig000001a9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f22 ), + .Q(\blk00000003/sig000001aa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f21 ), + .Q(\blk00000003/sig000001ab ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f20 ), + .Q(\blk00000003/sig000001ac ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f1f ), + .Q(\blk00000003/sig000001ad ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f1e ), + .Q(\blk00000003/sig000001ae ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f1d ), + .Q(\blk00000003/sig000001af ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f1c ), + .Q(\blk00000003/sig000001b0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f1b ), + .Q(\blk00000003/sig000001b1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f1a ), + .Q(\blk00000003/sig000001b2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f19 ), + .Q(\blk00000003/sig000001b3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f18 ), + .Q(\blk00000003/sig000001b4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f17 ), + .Q(\blk00000003/sig000001b5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f16 ), + .Q(\blk00000003/sig000001b6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f15 ), + .Q(\blk00000003/sig000001b7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f14 ), + .Q(\blk00000003/sig000001b8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f13 ), + .Q(\blk00000003/sig000001b9 ) + ); + GND \blk00000003/blk000004e1/blk000004e2 ( + .G(\blk00000003/blk000004e1/sig00000f12 ) + ); + +// synthesis translate_on + +endmodule + +// synthesis translate_off + +`ifndef GLBL +`define GLBL + +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (weak1, weak0) GSR = GSR_int; + assign (weak1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + +endmodule + +`endif + +// synthesis translate_on diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.veo b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.veo new file mode 100644 index 000000000..ce561daa2 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.veo @@ -0,0 +1,79 @@ +/******************************************************************************* +* (c) Copyright 1995 - 2010 Xilinx, Inc. All rights reserved. * +* * +* This file contains confidential and proprietary information * +* of Xilinx, Inc. and is protected under U.S. and * +* international copyright and other intellectual property * +* laws. * +* * +* DISCLAIMER * +* This disclaimer is not a license and does not grant any * +* rights to the materials distributed herewith. Except as * +* otherwise provided in a valid license issued to you by * +* Xilinx, and to the maximum extent permitted by applicable * +* law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND * +* WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES * +* AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING * +* BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- * +* INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and * +* (2) Xilinx shall not be liable (whether in contract or tort, * +* including negligence, or under any other theory of * +* liability) for any loss or damage of any kind or nature * +* related to, arising under or in connection with these * +* materials, including for any direct, or any indirect, * +* special, incidental, or consequential loss or damage * +* (including loss of data, profits, goodwill, or any type of * +* loss or damage suffered as a result of any action brought * +* by a third party) even if such damage or loss was * +* reasonably foreseeable or Xilinx had been advised of the * +* possibility of the same. * +* * +* CRITICAL APPLICATIONS * +* Xilinx products are not designed or intended to be fail- * +* safe, or for use in any application requiring fail-safe * +* performance, such as life-support or safety devices or * +* systems, Class III medical devices, nuclear facilities, * +* applications related to the deployment of airbags, or any * +* other applications that could lead to death, personal * +* injury, or severe property or environmental damage * +* (individually and collectively, "Critical * +* Applications"). Customer assumes the sole risk and * +* liability of any use of Xilinx products in Critical * +* Applications, subject only to applicable laws and * +* regulations governing limitations on product liability. * +* * +* THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS * +* PART OF THIS FILE AT ALL TIMES. * +*******************************************************************************/ + +// Generated from component ID: xilinx.com:ip:fir_compiler:5.0 + + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +hbdec2 YourInstanceName ( + .sclr(sclr), // input sclr + .clk(clk), // input clk + .ce(ce), // input ce + .nd(nd), // input nd + .coef_ld(coef_ld), // input coef_ld + .coef_we(coef_we), // input coef_we + .coef_din(coef_din), // input [17 : 0] coef_din + .rfd(rfd), // output rfd + .rdy(rdy), // output rdy + .data_valid(data_valid), // output data_valid + .din_1(din_1), // input [23 : 0] din_1 + .din_2(din_2), // input [23 : 0] din_2 + .dout_1(dout_1), // output [46 : 0] dout_1 + .dout_2(dout_2)); // output [46 : 0] dout_2 + +// INST_TAG_END ------ End INSTANTIATION Template --------- + +// You must compile the wrapper file hbdec2.v when simulating +// the core, hbdec2. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.xco b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.xco new file mode 100644 index 000000000..52eba9c26 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.xco @@ -0,0 +1,102 @@ +############################################################## +# +# Xilinx Core Generator version 14.7 +# Date: Tue Jun 9 23:48:14 2015 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:fir_compiler:5.0 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx75 +SET devicefamily = spartan6 +SET flowvendor = Other +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = fgg484 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT FIR_Compiler family Xilinx,_Inc. 5.0 +# END Select +# BEGIN Parameters +CSET allow_rounding_approximation=false +CSET bestprecision=false +CSET chan_in_adv=0 +CSET clock_frequency=64 +CSET coefficient_buffer_type=Automatic +CSET coefficient_file=./hb47.coe +CSET coefficient_fractional_bits=0 +CSET coefficient_reload=true +CSET coefficient_sets=1 +CSET coefficient_sign=Signed +CSET coefficient_structure=Half_Band +CSET coefficient_width=18 +CSET coefficientsource=COE_File +CSET coefficientvector=6,0,-4,-3,5,6,-6,-13,7,44,64,44,7,-13,-6,6,5,-3,-4,0,6 +CSET columnconfig=4 +CSET component_name=hbdec2 +CSET data_buffer_type=Automatic +CSET data_fractional_bits=0 +CSET data_sign=Signed +CSET data_width=24 +CSET decimation_rate=2 +CSET displayreloadorder=false +CSET filter_architecture=Systolic_Multiply_Accumulate +CSET filter_selection=1 +CSET filter_type=Decimation +CSET gui_behaviour=Coregen +CSET hardwareoversamplingrate=1 +CSET has_ce=true +CSET has_data_valid=true +CSET has_nd=true +CSET has_sclr=true +CSET input_buffer_type=Automatic +CSET inter_column_pipe_length=4 +CSET interpolation_rate=1 +CSET multi_column_support=Disabled +CSET number_channels=1 +CSET number_paths=2 +CSET optimization_goal=Area +CSET output_buffer_type=Automatic +CSET output_rounding_mode=Full_Precision +CSET output_width=47 +CSET passband_max=0.5 +CSET passband_min=0.0 +CSET preference_for_other_storage=Automatic +CSET quantization=Integer_Coefficients +CSET rate_change_type=Integer +CSET ratespecification=Frequency_Specification +CSET registered_output=true +CSET sample_frequency=32 +CSET sampleperiod=1 +CSET sclr_deterministic=true +CSET stopband_max=1.0 +CSET stopband_min=0.5 +CSET usechan_in_adv=false +CSET zero_pack_factor=1 +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2013-10-13T18:46:09Z +# END Extra information +GENERATE +# CRC: 7b386b7e diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto0_0.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto0_0.mif new file mode 100644 index 000000000..079623297 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto0_0.mif @@ -0,0 +1,9 @@ +111111111111000010 +000000000011000010 +111111111001001000 +000000001101010111 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto0_1.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto0_1.mif new file mode 100644 index 000000000..d4a0b357e --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto0_1.mif @@ -0,0 +1,9 @@ +111111101000011111 +000000100110101110 +111111000011000100 +000001011101100110 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto0_2.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto0_2.mif new file mode 100644 index 000000000..6d9d6044f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto0_2.mif @@ -0,0 +1,9 @@ +111101110000011101 +000011100100101000 +111001100001011000 +010100010001000001 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto_HALFBAND_CENTRE0.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto_HALFBAND_CENTRE0.mif new file mode 100644 index 000000000..ca072e0fc --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto_HALFBAND_CENTRE0.mif @@ -0,0 +1,3 @@ +011111111111111111 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_flist.txt b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_flist.txt new file mode 100644 index 000000000..d0a6a5443 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_flist.txt @@ -0,0 +1,19 @@ +# Output products list for +hbdec2.asy +hbdec2.gise +hbdec2.mif +hbdec2.ngc +hbdec2.v +hbdec2.veo +hbdec2.xco +hbdec2.xise +hbdec2COEFF_auto0_0.mif +hbdec2COEFF_auto0_1.mif +hbdec2COEFF_auto0_2.mif +hbdec2COEFF_auto_HALFBAND_CENTRE0.mif +hbdec2_flist.txt +hbdec2_readme.txt +hbdec2_reload_addrfilt_decode_rom.mif +hbdec2_reload_order.txt +hbdec2_xmdf.tcl +hbdec2filt_decode_rom.mif diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_readme.txt b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_readme.txt new file mode 100644 index 000000000..0b9324f9b --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_readme.txt @@ -0,0 +1,73 @@ +The following files were generated for 'hbdec2' in directory +/home/mwest/git/fpgadev/usrp3/top/b205/coregen_dsp/ + +Opens the IP Customization GUI: + Allows the user to customize or recustomize the IP instance. + + * hbdec2.mif + * hbdec2_reload_order.txt + +ISE file generator: + Add description here... + + * hbdec2_flist.txt + +Model Parameter Resolution: + Resolves generated model parameter values on the component instance. + + * hbdec2.mif + * hbdec2_reload_order.txt + +XCO file generator: + Generate an XCO file for compatibility with legacy flows. + + * hbdec2.xco + +Creates an implementation netlist: + Creates an implementation netlist for the IP. + + * hbdec2.ngc + * hbdec2.v + * hbdec2.veo + * hbdec2COEFF_auto0_0.mif + * hbdec2COEFF_auto0_1.mif + * hbdec2COEFF_auto0_2.mif + * hbdec2COEFF_auto_HALFBAND_CENTRE0.mif + * hbdec2_reload_addrfilt_decode_rom.mif + * hbdec2filt_decode_rom.mif + +Creates an HDL instantiation template: + Creates an HDL instantiation template for the IP. + + * hbdec2.veo + +IP Symbol Generator: + Generate an IP symbol based on the current project options'. + + * hbdec2.asy + +Generate ISE metadata: + Create a metadata file for use when including this core in ISE designs + + * hbdec2_xmdf.tcl + +Generate ISE subproject: + Create an ISE subproject for use when including this core in ISE designs + + * hbdec2.gise + * hbdec2.xise + +Deliver Readme: + Readme file for the IP. + + * hbdec2_readme.txt + +Generate FLIST file: + Text file listing all of the output files produced when a customized core was + generated in the CORE Generator. + + * hbdec2_flist.txt + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_reload_addrfilt_decode_rom.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_reload_addrfilt_decode_rom.mif new file mode 100644 index 000000000..92e61d005 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_reload_addrfilt_decode_rom.mif @@ -0,0 +1,17 @@ +000 +100 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_reload_order.txt b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_reload_order.txt new file mode 100644 index 000000000..071b84e5b --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_reload_order.txt @@ -0,0 +1,25 @@ +Reload index 0 = Index 16 +Reload index 1 = Index 18 +Reload index 2 = Index 20 +Reload index 3 = Index 22 +Reload index 4 = Index 8 +Reload index 5 = Index 10 +Reload index 6 = Index 12 +Reload index 7 = Index 14 +Reload index 8 = Index 0 +Reload index 9 = Index 2 +Reload index 10 = Index 4 +Reload index 11 = Index 6 +Reload index 12 = Index 23 +Reload index 13 = Index 0 +Reload index 14 = Index 0 +Reload index 15 = Index 0 +Reload index 16 = Index 0 +Reload index 17 = Index 0 +Reload index 18 = Index 0 +Reload index 19 = Index 0 +Reload index 20 = Index 0 +Reload index 21 = Index 0 +Reload index 22 = Index 0 +Reload index 23 = Index 0 + diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_xmdf.tcl b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_xmdf.tcl new file mode 100644 index 000000000..efebbe070 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_xmdf.tcl @@ -0,0 +1,99 @@ +# The package naming convention is _xmdf +package provide hbdec2_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::hbdec2_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::hbdec2_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name hbdec2 +} +# ::hbdec2_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::hbdec2_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2COEFF_auto0_0.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2COEFF_auto0_1.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2COEFF_auto0_2.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2COEFF_auto_HALFBAND_CENTRE0.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2_reload_addrfilt_decode_rom.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2_reload_order.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type text +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2filt_decode_rom.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module hbdec2 +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2filt_decode_rom.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2filt_decode_rom.mif new file mode 100644 index 000000000..92e61d005 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2filt_decode_rom.mif @@ -0,0 +1,17 @@ +000 +100 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 diff --git a/fpga/usrp3/top/b2xxmini/timing.ucf b/fpga/usrp3/top/b2xxmini/timing.ucf new file mode 100644 index 000000000..b10e37a14 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/timing.ucf @@ -0,0 +1,38 @@ +# 40 MHz main tcxo clock +NET "CLK_40MHz_FPGA*" TNM_NET = "CLK_40MHz_FPGA"; +TIMESPEC "TS_CLK_40MHz_FPGA" = PERIOD "CLK_40MHz_FPGA" 25000 ps HIGH 50 %; + +# 100 MHz GPIF clock +NET "FX3_PCLK" TNM_NET = "FX3_PCLK"; +TIMESPEC "TS_FX3_PCLK" = PERIOD "FX3_PCLK" 10000 ps HIGH 50 %; + +# data clock from catalina, sample rate dependent +# this clock equals sample rate in CMOS DDR 1R1T mode +# this clock is double the sample rate in CMOS DDR 2R2T mode +# Max clock rate is 61.44 MHz +NET "CAT_DCLK_P" TNM_NET = "CAT_DCLK_P"; +TIMESPEC "TS_CAT_DCLK_P" = PERIOD "CAT_DCLK_P" 16276 ps HIGH 50 %; + +#always use IOB for GPIF pins for awesome timing +INST "FX3_DQ*" IOB = TRUE; +INST "FX3_CTL*" IOB = TRUE; + +#low speed misc output group +INST "cFE_SEL_*" TNM = radio_misc_out; # Radio Clk domain +INST "cLED_*" TNM = radio_misc_out; # Radio Clk domain +INST "cTXDRV_PWEN*" TNM = radio_misc_out; # Radio Clk domain +INST "CAT_EN" TNM = ls_misc_out; # Bus clk domain + combinatorial +INST "CAT_SPI_EN" TNM = ls_misc_out; # Bus clk domain +INST "CAT_SPI_CLK" TNM = ls_misc_out; # Bus clk domain +INST "CAT_SPI_DO" TNM = ls_misc_out; # Bus clk domain (I) +INST "CAT_SPI_DI" TNM = ls_misc_out; # Bus clk domain + combinatorial +INST "CLK_40M_DAC_nSYNC" TNM = ls_misc_out; # Bus clk domain +INST "CLK_40M_DAC_SCLK" TNM = ls_misc_out; # Bus clk domain +INST "CLK_40M_DAC_DIN" TNM = ls_misc_out; # Bus clk domain + +#constrain the misc IOs to the clocks +NET "bus_clk" TNM_NET = "bus_clk"; +TIMESPEC "TS_bus_clk" = PERIOD "bus_clk" 10 ns HIGH 50 %; +TIMEGRP "ls_misc_out" OFFSET = OUT 15 ns AFTER "bus_clk" RISING; +TIMEGRP "radio_misc_out" OFFSET = OUT 15 ns AFTER "radio_clk" RISING; + diff --git a/fpga/usrp3/top/e31x/Makefile b/fpga/usrp3/top/e31x/Makefile new file mode 100644 index 000000000..a2ab64f1d --- /dev/null +++ b/fpga/usrp3/top/e31x/Makefile @@ -0,0 +1,101 @@ +# +# Copyright 2018 Ettus Research LLC +# + +# NOTE: All comments prefixed with a "##" will be displayed as a part of the "make help" target +##------------------- +##USRP E3XX FPGA Help +##------------------- +##Usage: +## make +## +##Output: +## build/usrp__fpga_.bit: Configuration bitstream with header +## build/usrp__fpga_.dts: Device tree source file +## build/usrp__fpga_.rpt: Build report (includes utilization and timing summary) + +# Set build option (check RTL, run synthesis, or do a full build) +ifndef TARGET + ifdef CHECK + TARGET = rtl + else ifdef SYNTH + TARGET = synth + else + TARGET = bin + endif +endif +TOP ?= e31x + +DEFAULT_IMAGE_CORE_FILE_E31X=e31x_rfnoc_image_core.v +DEFAULT_EDGE_FILE_E31X=$(abspath e310_static_router.hex) + +# vivado_build($1=Device, $2=Definitions) +vivado_build = make -f Makefile.e31x.inc $(TARGET) NAME=$@ ARCH=$(XIL_ARCH_$1) PART_ID=$(XIL_PART_ID_$1) $2 TOP_MODULE=$(TOP) EXTRA_DEFS="$2" DEFAULT_RFNOC_IMAGE_CORE_FILE=$(DEFAULT_IMAGE_CORE_FILE_E31X) DEFAULT_EDGE_FILE=$(DEFAULT_EDGE_FILE_E31X) + +# post_build($1=Device, $2=Option) +ifeq ($(TARGET),bin) + post_build = @\ + mkdir -p build; \ + echo "Exporting bitstream file..."; \ + cp build-$(1)/e31x.bit build/usrp_`echo $(2) | tr A-Z a-z`_fpga.bit; \ + echo "Exporting build report..."; \ + cp build-$(1)/build.rpt build/usrp_`echo $(2) | tr A-Z a-z`_fpga.rpt; \ + echo "Build DONE ... $(1)"; +else + post_build = @echo "Skipping bitfile export." +endif + +## +##Supported Targets +##----------------- + +all: E310_SG1_IDLE E310_SG3_IDLE E310_SG1 E310_SG3 E310_SG1_RFNOC E310_SG3_RFNOC ##(Default target) + +##E310_SG1_IDLE: Build USRP E3XX idle design (Speed Grade 1). +E310_SG1_IDLE E3XX_idle: build/usrp_e310_sg1_idle_fpga.dts + $(call vivado_build,E310_SG1, E310_IDLE_IMAGE=1 E310_SG1=1) + $(call post_build,$@,E310_SG1_IDLE) + +##E310_SG3_IDLE: Build USRP E3XX idle design (Speed Grade 3). +E310_SG3_IDLE E3XX_idle_sg3: build/usrp_e310_sg3_idle_fpga.dts + $(call vivado_build,E310_SG3, E310_IDLE_IMAGE=1 E310_SG3=1) + $(call post_build,$@,E310_SG3_IDLE) + +##E310_SG1: Build USRP E3XX (Speed Grade 1). +E310_SG1 E310: build/usrp_e310_sg1_fpga.dts + $(call vivado_build,E310_SG1, E310_SG1=1) + $(call post_build,$@,E310_SG1) + +##E310_SG3: Build USRP E3XX (Speed Grade 3). +E310_SG3 E310_sg3: build/usrp_e310_sg3_fpga.dts + $(call vivado_build,E310_SG3, E310_SG3=1) + $(call post_build,$@,E310_SG3) + + +build/%.dts: dts/%.dts dts/*.dtsi + -mkdir -p build + ${CC} -o $@ -E -I dts -nostdinc -undef -x assembler-with-cpp -D__DTS__ $< + +clean: ##Clean up all target build outputs. + @echo "Cleaning targets..." + @rm -rf build-E3* + @rm -rf build + +cleanall: ##Clean up all target and ip build outputs. + @echo "Cleaning targets and IP..." + @rm -rf build-ip + @rm -rf build-E3* + @rm -rf build + +help: ##Show this help message. + @grep -h "##" Makefile | grep -v "\"##\"" | sed -e 's/\\$$//' | sed -e 's/##//' + +## +##Supported Options +##----------------- +##GUI=1 Launch the build in the Vivado GUI. +##CHECK=1 Launch the syntax checker instead of building a bitfile. +##SYNTH=1 Launch the build but stop after synthesis. +##TOP= Specify a top module for syntax checking. (Optional. Default is the bitfile top) + +.PHONY: all clean cleanall help diff --git a/fpga/usrp3/top/e31x/Makefile.e31x.inc b/fpga/usrp3/top/e31x/Makefile.e31x.inc new file mode 100644 index 000000000..e4fc3538b --- /dev/null +++ b/fpga/usrp3/top/e31x/Makefile.e31x.inc @@ -0,0 +1,111 @@ +# +# Copyright 2008-2012 Ettus Research LLC +# + +################################################## +# Project Setup +################################################## +TOP_MODULE = +# NAME = +# PART_ID = +# ARCH = + +################################################## +# Include other makefiles +################################################## + +BASE_DIR = $(abspath ..) +IP_DIR = $(abspath ./ip) +include $(BASE_DIR)/../tools/make/viv_design_builder.mak + +include $(IP_DIR)/Makefile.inc +include ../n3xx/coregen_dsp/Makefile.srcs +include $(LIB_DIR)/ip/Makefile.inc +include $(LIB_DIR)/hls/Makefile.inc +include $(LIB_DIR)/control/Makefile.srcs +include $(LIB_DIR)/fifo/Makefile.srcs +include $(LIB_DIR)/axi/Makefile.srcs +include $(LIB_DIR)/timing/Makefile.srcs +include $(LIB_DIR)/packet_proc/Makefile.srcs +include $(LIB_DIR)/dsp/Makefile.srcs +include $(LIB_DIR)/io_cap_gen/Makefile.srcs +include $(LIB_DIR)/rfnoc/Makefile.srcs +include $(LIB_DIR)/rfnoc/blocks/rfnoc_block_radio/Makefile.srcs +include $(LIB_DIR)/rfnoc/blocks/rfnoc_block_ddc/Makefile.srcs +include $(LIB_DIR)/rfnoc/blocks/rfnoc_block_duc/Makefile.srcs + +IMAGE_CORE ?= $(DEFAULT_RFNOC_IMAGE_CORE_FILE) +EDGE_FILE ?= $(DEFAULT_EDGE_FILE) + +################################################## +# Sources +################################################## + +TOP_SRCS = \ +ppsloop.v \ +axi_pmu.v \ +spi_slave.v + +ifneq (IDLE,$(findstring IDLE, $(EXTRA_DEFS))) +TOP_SRCS += e31x.v +TOP_SRCS += e31x_core.v +TOP_SRCS += e310_io.v +TOP_SRCS += $(EDGE_FILE) $(IMAGE_CORE) +MB_XDC = \ +e31x_pins.xdc \ +e31x_timing.xdc +else +TOP_SRCS += e31x_idle.v +MB_XDC = \ +e31x_idle_pins.xdc +endif + +# The XDC files must be read in a specific order, motherboard first and then daughterboard. +# Outside of that, all the other sources can be read in any order desired. +DESIGN_SRCS = \ +$(abspath $(TOP_SRCS)) \ +$(CONTROL_LIB_SRCS) \ +$(IP_XCI_SRCS) \ +$(PACKET_PROC_SRCS) \ +$(AXI_SRCS) \ +$(FIFO_SRCS) \ +$(AURORA_PHY_SRCS) \ +$(BD_SRCS) \ +$(RADIO_SRCS) \ +$(RFNOC_SRCS) \ +$(TIMING_SRCS) \ +$(VITA_SRCS) \ +$(CAT_CAP_GEN_SRCS) \ +$(DRAM_SRCS) \ +$(COREGEN_DSP_SRCS) \ +$(DSP_SRCS) \ +$(LIB_IP_XCI_SRCS) \ +$(LIB_HLS_IP_SRCS) \ +$(EXTRAM_SRCS) \ +$(CAP_GEN_GENERIC_SRCS) \ +$(RFNOC_OOT_SRCS)\ +$(RFNOC_FRAMEWORK_SRCS) $(RFNOC_BLOCK_NULL_SRC_SINK_SRCS) \ +$(RFNOC_BLOCK_DUC_SRCS) $(RFNOC_BLOCK_DDC_SRCS) $(RFNOC_BLOCK_RADIO_SRCS) \ +$(RFNOC_BLOCK_EXAMPLE_SRCS) \ +$(abspath $(MB_XDC)) + +EDGE_TBL_DEF="RFNOC_EDGE_TBL_FILE=$(EDGE_FILE)" + +################################################## +# Dependency Targets +################################################## +.SECONDEXPANSION: + +VERILOG_DEFS=$(EXTRA_DEFS) $(CUSTOM_DEFS) $(GIT_HASH_VERILOG_DEF) $(EDGE_TBL_DEF) + +# DESIGN_SRCS and VERILOG_DEFS must be defined +bin: .prereqs $$(DESIGN_SRCS) ip + $(call BUILD_VIVADO_DESIGN,$(abspath ./build_e31x.tcl),$(TOP_MODULE),$(ARCH),$(PART_ID)) + +synth: .prereqs $$(DESIGN_SRCS) ip + $(call BUILD_VIVADO_DESIGN,$(TOOLS_DIR)/scripts/viv_synth.tcl,$(TOP_MODULE),$(ARCH),$(PART_ID)) + +rtl: .prereqs $$(DESIGN_SRCS) ip + $(call CHECK_VIVADO_DESIGN,$(TOOLS_DIR)/scripts/viv_check_syntax.tcl,$(TOP_MODULE),$(ARCH),$(PART_ID)) + +.PHONY: bin rtl diff --git a/fpga/usrp3/top/e31x/axi_pmu.v b/fpga/usrp3/top/e31x/axi_pmu.v new file mode 100644 index 000000000..97607c272 --- /dev/null +++ b/fpga/usrp3/top/e31x/axi_pmu.v @@ -0,0 +1,250 @@ +// +// Copyright 2015 Ettus Research, A National Instruments Company +// SPDX-License-Identifier: LGPL-3.0 +// +// Description: AXI PMU +// + +module axi_pmu +#( + parameter DEPTH = 64 +) +( + // sys connect + input s_axi_aclk, + input s_axi_areset, + + // spi slave port + input ss, + input mosi, + input sck, + output miso, + + // axi4 lite slave port + input [31:0] s_axi_awaddr, + input s_axi_awvalid, + output s_axi_awready, + + input [31:0] s_axi_wdata, + input [3:0] s_axi_wstrb, + input s_axi_wvalid, + output s_axi_wready, + + output [1:0] s_axi_bresp, + output s_axi_bvalid, + input s_axi_bready, + + input [31:0] s_axi_araddr, + input s_axi_arvalid, + output s_axi_arready, + + output [31:0] s_axi_rdata, + output [1:0] s_axi_rresp, + output s_axi_rvalid, + input s_axi_rready, + + output s_axi_irq +); + + wire spi_stb; + wire [DEPTH-1:0] spi_rx; + wire [DEPTH-1:0] spi_tx; + + spi_slave inst_spi_slave0 + ( + .clk(s_axi_aclk), + .rst(s_axi_areset), + + .ss(ss), + .mosi(mosi), + .miso(miso), + .sck(sck), + + .parallel_stb(spi_stb), + .parallel_din(spi_tx), + .parallel_dout(spi_rx) + ); + + wire [7:0] rx_type = spi_rx[7:0]; + + reg [DEPTH-1:0] spi_rx_r0, spi_rx_r1, spi_rx_r2; + always @ (posedge s_axi_aclk) + if (s_axi_areset) begin + spi_rx_r0 <= 64'h0000_0000_0000_0000; + spi_rx_r1 <= 64'h0000_0000_0000_0000; + spi_rx_r2 <= 64'h0000_0000_0000_0000; + end else begin + spi_rx_r0 <= spi_stb && (rx_type == 0) ? spi_rx : spi_rx_r0; + spi_rx_r1 <= spi_stb && (rx_type == 1) ? spi_rx : spi_rx_r1; + spi_rx_r2 <= spi_stb && (rx_type == 2) ? spi_rx : spi_rx_r2; + end + + localparam IDLE = 3'b001; + localparam READ_IN_PROGRESS = 3'b010; + localparam WRITE_IN_PROGRESS = 3'b100; + + reg [2:0] state; + reg [7:0] addr; + + always @ (posedge s_axi_aclk) begin + if (s_axi_areset) begin + state <= IDLE; + end + else case (state) + + IDLE: begin + if (s_axi_arvalid) begin + state <= READ_IN_PROGRESS; + addr <= s_axi_araddr[7:0]; + end + else if (s_axi_awvalid) begin + state <= WRITE_IN_PROGRESS; + addr <= s_axi_awaddr[7:0]; + end + end + + READ_IN_PROGRESS: begin + if (s_axi_rready) + state <= IDLE; + end + + WRITE_IN_PROGRESS: begin + if (s_axi_bready) + state <= IDLE; + end + + default: begin + state <= IDLE; + end + + endcase + end + + // write mux + reg write_shutdown; + reg write_irq_mask; + + always @(*) begin + write_shutdown = 1'b0; + write_irq_mask = 1'b0; + + if (state == WRITE_IN_PROGRESS) + case (addr) + 8'h00: write_shutdown = 1'b1; + 8'h04: write_irq_mask = 1'b1; + endcase + end + + reg [31:0] shutdown = 32'h0000_0000; + always @ (posedge s_axi_aclk) begin + if (s_axi_areset) + shutdown <= 32'h0000_0000; + else if (write_shutdown) + shutdown <= s_axi_wdata; + end + + wire [31:0] spi_tx_tdata; + wire spi_tx_tvalid; + wire [5:0] spi_tx_occupied; + wire [5:0] spi_tx_space; + + wire [31:0] tmux = write_shutdown ? {s_axi_wdata[23:0], 8'h00} + : {s_axi_wdata[7:0], s_axi_wdata[15:8], addr[7:0], 8'h01}; + + wire is_spi_cmd = (addr[7:0] == 8'h00) || (addr[7:0] > 8'h04); + + axi_fifo_bram #(.WIDTH(32), .SIZE(5)) axi_fifo_short_inst + ( + .clk(s_axi_aclk), + .reset(s_axi_areset), + .clear(1'b0), + .i_tdata(tmux), + .i_tvalid(state == WRITE_IN_PROGRESS && is_spi_cmd), + .i_tready(), + .o_tdata(spi_tx_tdata), + .o_tvalid(spi_tx_tvalid), + .o_tready(spi_stb), + .occupied(spi_tx_occupied), + .space(spi_tx_space) + ); + + reg [63:0] spi_tx_reg; + + always @ (posedge s_axi_aclk) + if(s_axi_areset) + spi_tx_reg <= 64'h0000_0000_0000_0000; + else if (spi_stb) + spi_tx_reg <= {spi_tx_tvalid, 31'h00, spi_tx_tdata}; + + assign spi_tx = spi_tx_reg; + + /* battery stuff */ + wire [15:0] battery_voltage = {spi_rx_r0[55:48], spi_rx_r0[63:56]}; + wire [1:0] battery_temp_alert = spi_rx_r0[47:46]; + wire battery_online = spi_rx_r0[45]; + wire [2:0] battery_health = spi_rx_r0[44:42]; + wire [1:0] battery_status = spi_rx_r0[41:40]; + + /* charger stuff */ + /* unused [39:38] */ + wire [1:0] charger_health = spi_rx_r0[37:36]; + wire charger_online = spi_rx_r0[35]; + /* unused bit 34 */ + wire [1:0] charger_charge_type = spi_rx_r0[33:32]; + + /* gauge stuff */ + wire [7:0] gauge_status = spi_rx_r1[63:56]; + wire [15:0] voltage = {spi_rx_r1[47:40], spi_rx_r1[55:48]}; + wire [15:0] temp = {spi_rx_r1[31:24], spi_rx_r1[39:32]}; + wire [15:0] charge_acc = {spi_rx_r1[15:8] , spi_rx_r1[23:16]}; + + /* charge last full */ + wire [15:0] charge_last_full = {spi_rx_r2[15:8], spi_rx_r2[23:16]}; + + /* settings flags */ + wire [7:0] settings = spi_rx_r2[31:24]; + + reg [7:0] irq_enable; + always @ (posedge s_axi_aclk) begin + if (s_axi_areset) + irq_enable <= 8'h00; + else if (write_irq_mask) + irq_enable <= s_axi_wdata[15:8]; + end + + wire [7:0] irq_status = gauge_status; + assign s_axi_irq = |(irq_status & irq_enable); + + wire [3:0] version_maj = spi_rx_r0[15:12]; + wire [3:0] version_min = spi_rx_r0[11:8]; + + reg [31:0] rdata; + // read mux + always @(*) begin + rdata = 32'hdead_beef; + + if (state == READ_IN_PROGRESS) + case (addr) + 8'h00: rdata = shutdown; + 8'h04: rdata = {16'h0000, irq_enable, version_maj, version_min}; + 8'h08: rdata = {8'h0, battery_voltage, battery_temp_alert, battery_online, battery_health, battery_status}; + 8'h0c: rdata = {27'd0, charger_charge_type, charger_online, charger_health}; + 8'h10: rdata = {temp, charge_acc}; + 8'h14: rdata = {8'h00, gauge_status, voltage}; + 8'h18: rdata = {16'h0000, charge_last_full}; + 8'h1c: rdata = {24'd0, settings}; + endcase + end + + assign s_axi_arready = (state == IDLE); + assign s_axi_rvalid = (state == READ_IN_PROGRESS); + assign s_axi_rresp = 2'b00; + + assign s_axi_rdata = rdata; + + assign s_axi_awready = (state == IDLE); + assign s_axi_wready = (state == WRITE_IN_PROGRESS); + assign s_axi_bresp = 2'b00; + assign s_axi_bvalid = (state == WRITE_IN_PROGRESS); + +endmodule diff --git a/fpga/usrp3/top/e31x/build_e31x.tcl b/fpga/usrp3/top/e31x/build_e31x.tcl new file mode 100644 index 000000000..e07b124c2 --- /dev/null +++ b/fpga/usrp3/top/e31x/build_e31x.tcl @@ -0,0 +1,39 @@ +# +# Copyright 2016 Ettus Research +# + +source $::env(VIV_TOOLS_DIR)/scripts/viv_utils.tcl +source $::env(VIV_TOOLS_DIR)/scripts/viv_strategies.tcl + +# STEP#1: Create project, add sources, refresh IP +vivado_utils::initialize_project + +# STEP#2: Run synthesis +vivado_utils::synthesize_design +vivado_utils::generate_post_synth_reports + +# STEP#3: Run implementation strategy +set e31x_strategy [dict create] +dict set e31x_strategy "opt_design.is_enabled" 1 +dict set e31x_strategy "opt_design.directive" "Default" +dict set e31x_strategy "post_opt_power_opt_design.is_enabled" 0 +dict set e31x_strategy "place_design.directive" "Default" +dict set e31x_strategy "post_place_power_opt_design.is_enabled" 0 +dict set e31x_strategy "post_place_phys_opt_design.is_enabled" 1 +dict set e31x_strategy "post_place_phys_opt_design.directive" "Default" +dict set e31x_strategy "route_design.directive" "Default" +dict set e31x_strategy "route_design.more_options" "-tns_cleanup" +dict set e31x_strategy "post_route_phys_opt_design.is_enabled" 1 +dict set e31x_strategy "post_route_phys_opt_design.directive" "Default" +vivado_strategies::implement_design $e31x_strategy + +# STEP#4: Generate reports +vivado_utils::generate_post_route_reports + +# STEP#5: Generate a bitstream, netlist and debug probes +set_property BITSTREAM.CONFIG.USR_ACCESS TIMESTAMP [get_designs *] +set byte_swap_bin 1 +vivado_utils::write_implementation_outputs $byte_swap_bin + +# Cleanup +vivado_utils::close_batch_project diff --git a/fpga/usrp3/top/e31x/dts/dma-common.dtsi b/fpga/usrp3/top/e31x/dts/dma-common.dtsi new file mode 100644 index 000000000..ab6096f08 --- /dev/null +++ b/fpga/usrp3/top/e31x/dts/dma-common.dtsi @@ -0,0 +1,302 @@ +/* + * Copyright (c) 2018 National Instruments Corp + * + * SPDX-License-Identifier: GPL-2.0 OR X11 + */ + +&fpga_full { + tx_dma0: dma@43CA0000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43CA0000 0x10000>; + interrupts = <0 53 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <0>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <1>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + tx_dma1: dma@43CB0000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43CB0000 0x10000>; + interrupts = <0 53 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <0>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <1>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + tx_dma2: dma@43CC0000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43CC0000 0x10000>; + interrupts = <0 53 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <0>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <1>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + tx_dma3: dma@43CD0000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43CD0000 0x10000>; + interrupts = <0 53 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <0>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <1>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + tx_dma4: dma@43CE0000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43CE0000 0x10000>; + interrupts = <0 53 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <0>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <1>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + rx_dma0: dma@43C00000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43C00000 0x10000>; + interrupts = <0 52 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <1>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <0>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + rx_dma1: dma@43C10000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43C10000 0x10000>; + interrupts = <0 52 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <1>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <0>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + rx_dma2: dma@43C20000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43C20000 0x10000>; + interrupts = <0 52 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <1>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <0>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + rx_dma3: dma@43C30000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43C30000 0x10000>; + interrupts = <0 52 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <1>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <0>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + + rx_dma4: dma@43C40000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43C40000 0x10000>; + interrupts = <0 52 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <1>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <0>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + + usrp_rx_dma0: usrp-rx-dma@43c00000 { + compatible = "ettus,usrp-rx-dma"; + dmas = <&rx_dma0 0>; + dma-names = "dma"; + port-id = <0>; + status = "okay"; + + regmap = <&dma_conf0>; + offset = <0x0>; + }; + + usrp_rx_dma1: usrp-rx-dma@43c10000 { + compatible = "ettus,usrp-rx-dma"; + dmas = <&rx_dma1 0>; + dma-names = "dma"; + port-id = <1>; + + regmap = <&dma_conf0>; + offset = <0x4>; + }; + + usrp_rx_dma2: usrp-rx-dma@43c20000 { + compatible = "ettus,usrp-rx-dma"; + dmas = <&rx_dma2 0>; + dma-names = "dma"; + port-id = <2>; + + regmap = <&dma_conf0>; + offset = <0x8>; + }; + + usrp_rx_dma3: usrp-rx-dma@43c30000 { + compatible = "ettus,usrp-rx-dma"; + dmas = <&rx_dma3 0>; + dma-names = "dma"; + port-id = <3>; + + regmap = <&dma_conf0>; + offset = <0xc>; + }; + + usrp_rx_dma4: usrp-rx-dma@43c40000 { + compatible = "ettus,usrp-rx-dma"; + dmas = <&rx_dma4 0>; + dma-names = "dma"; + port-id = <4>; + + regmap = <&dma_conf0>; + offset = <0x10>; + }; + usrp_tx_dma0: usrp-tx-dma@43ca0000 { + compatible = "ettus,usrp-tx-dma"; + dmas = <&tx_dma0 0>; + dma-names = "dma"; + port-id = <0>; + }; + + usrp_tx_dma1: usrp-tx-dma@43cb0000 { + compatible = "ettus,usrp-tx-dma"; + dmas = <&tx_dma1 0>; + dma-names = "dma"; + port-id = <1>; + }; + + usrp_tx_dma2: usrp-tx-dma@43cc0000 { + compatible = "ettus,usrp-tx-dma"; + dmas = <&tx_dma2 0>; + dma-names = "dma"; + port-id = <2>; + status = "okay"; + }; + + usrp_tx_dma3: usrp-tx-dma@43cd0000 { + compatible = "ettus,usrp-tx-dma"; + dmas = <&tx_dma3 0>; + dma-names = "dma"; + port-id = <3>; + }; + + usrp_tx_dma4: usrp-tx-dma@43ce0000 { + compatible = "ettus,usrp-tx-dma"; + dmas = <&tx_dma4 0>; + dma-names = "dma"; + port-id = <4>; + status = "okay"; + }; + + dma_conf0: dma_conf0@42080000 { + compatible = "syscon"; + reg = <0x42080000 0x1000>; + status = "okay"; + }; +}; diff --git a/fpga/usrp3/top/e31x/dts/e31x-common.dtsi b/fpga/usrp3/top/e31x/dts/e31x-common.dtsi new file mode 100644 index 000000000..63cae413f --- /dev/null +++ b/fpga/usrp3/top/e31x/dts/e31x-common.dtsi @@ -0,0 +1,27 @@ +/* + * Copyright (c) 2018 National Instruments Corp + * + * SPDX-License-Identifier: GPL-2.0 OR X11 + */ + +&fpga_full { + uio@40010000 { + compatible = "usrp-uio"; + reg = <0x40010000 0x2000>; + reg-names = "mboard-regs"; + status = "okay"; + }; +}; + +&spi0 { + status = "okay"; + + cs-gpios = <0>; + + spidev0: spidev@0 { + compatible = "rohm,dh2228fv"; + reg = <0>; + status = "okay"; + spi-max-frequency = <1000000>; + }; +}; diff --git a/fpga/usrp3/top/e31x/dts/e31x-pmu.dtsi b/fpga/usrp3/top/e31x/dts/e31x-pmu.dtsi new file mode 100644 index 000000000..f85c09816 --- /dev/null +++ b/fpga/usrp3/top/e31x/dts/e31x-pmu.dtsi @@ -0,0 +1,29 @@ +/* + * Copyright (c) 2018 National Instruments Corp + * + * SPDX-License-Identifier: GPL-2.0 OR X11 + */ + +&fpga_full { + devctrl: devctrl@40000000 { + compatible = "syscon"; + reg = <0x40000000 0x24>; + }; + poweroff { + compatible = "syscon-poweroff"; + regmap = <&devctrl>; + offset = <0x0>; + mask = <0x7a>; + }; + pmu { + compatible = "ni,e31x-pmu"; + regmap = <&devctrl>; + status = "okay"; + charger: charger@0{ + compatible = "ni,e31x-charger"; + }; + battery: battery@1{ + compatible = "ni,e31x-battery"; + }; + }; +}; diff --git a/fpga/usrp3/top/e31x/dts/e31x-sg1-fpga.dtsi b/fpga/usrp3/top/e31x/dts/e31x-sg1-fpga.dtsi new file mode 100644 index 000000000..38d6b081b --- /dev/null +++ b/fpga/usrp3/top/e31x/dts/e31x-sg1-fpga.dtsi @@ -0,0 +1,9 @@ +/* + * Copyright (c) 2018 National Instruments Corp + * + * SPDX-License-Identifier: GPL-2.0 OR X11 + */ + +&fpga_full { + firmware-name = "e310_sg1.bin"; +}; diff --git a/fpga/usrp3/top/e31x/dts/e31x-sg1-idle-fpga.dtsi b/fpga/usrp3/top/e31x/dts/e31x-sg1-idle-fpga.dtsi new file mode 100644 index 000000000..c673a95d4 --- /dev/null +++ b/fpga/usrp3/top/e31x/dts/e31x-sg1-idle-fpga.dtsi @@ -0,0 +1,9 @@ +/* + * Copyright (c) 2018 National Instruments Corp + * + * SPDX-License-Identifier: GPL-2.0 OR X11 + */ + +&fpga_full { + firmware-name = "e310_sg1_idle.bin"; +}; diff --git a/fpga/usrp3/top/e31x/dts/e31x-sg3-fpga.dtsi b/fpga/usrp3/top/e31x/dts/e31x-sg3-fpga.dtsi new file mode 100644 index 000000000..676983bfd --- /dev/null +++ b/fpga/usrp3/top/e31x/dts/e31x-sg3-fpga.dtsi @@ -0,0 +1,9 @@ +/* + * Copyright (c) 2018 National Instruments Corp + * + * SPDX-License-Identifier: GPL-2.0 OR X11 + */ + +&fpga_full { + firmware-name = "e310_sg3.bin"; +}; diff --git a/fpga/usrp3/top/e31x/dts/e31x-sg3-idle-fpga.dtsi b/fpga/usrp3/top/e31x/dts/e31x-sg3-idle-fpga.dtsi new file mode 100644 index 000000000..0d65bb146 --- /dev/null +++ b/fpga/usrp3/top/e31x/dts/e31x-sg3-idle-fpga.dtsi @@ -0,0 +1,9 @@ +/* + * Copyright (c) 2018 National Instruments Corp + * + * SPDX-License-Identifier: GPL-2.0 OR X11 + */ + +&fpga_full { + firmware-name = "e310_sg3_idle.bin"; +}; diff --git a/fpga/usrp3/top/e31x/dts/usrp_e310_sg1_fpga.dts b/fpga/usrp3/top/e31x/dts/usrp_e310_sg1_fpga.dts new file mode 100644 index 000000000..48a677835 --- /dev/null +++ b/fpga/usrp3/top/e31x/dts/usrp_e310_sg1_fpga.dts @@ -0,0 +1,13 @@ +/* + * Copyright (c) 2018 National Instruments Corp + * + * SPDX-License-Identifier: GPL-2.0 OR X11 + */ + +/dts-v1/; +/plugin/; + +#include "e31x-sg1-fpga.dtsi" +#include "e31x-common.dtsi" +#include "e31x-pmu.dtsi" +#include "dma-common.dtsi" diff --git a/fpga/usrp3/top/e31x/dts/usrp_e310_sg1_idle_fpga.dts b/fpga/usrp3/top/e31x/dts/usrp_e310_sg1_idle_fpga.dts new file mode 100644 index 000000000..d406d2fd6 --- /dev/null +++ b/fpga/usrp3/top/e31x/dts/usrp_e310_sg1_idle_fpga.dts @@ -0,0 +1,11 @@ +/* + * Copyright (c) 2018 National Instruments Corp + * + * SPDX-License-Identifier: GPL-2.0 OR X11 + */ + +/dts-v1/; +/plugin/; + +#include "e31x-sg1-idle-fpga.dtsi" +#include "e31x-pmu.dtsi" diff --git a/fpga/usrp3/top/e31x/dts/usrp_e310_sg3_fpga.dts b/fpga/usrp3/top/e31x/dts/usrp_e310_sg3_fpga.dts new file mode 100644 index 000000000..da29b5dc4 --- /dev/null +++ b/fpga/usrp3/top/e31x/dts/usrp_e310_sg3_fpga.dts @@ -0,0 +1,13 @@ +/* + * Copyright (c) 2018 National Instruments Corp + * + * SPDX-License-Identifier: GPL-2.0 OR X11 + */ + +/dts-v1/; +/plugin/; + +#include "e31x-sg3-fpga.dtsi" +#include "e31x-common.dtsi" +#include "e31x-pmu.dtsi" +#include "dma-common.dtsi" diff --git a/fpga/usrp3/top/e31x/dts/usrp_e310_sg3_idle_fpga.dts b/fpga/usrp3/top/e31x/dts/usrp_e310_sg3_idle_fpga.dts new file mode 100644 index 000000000..58a9712e6 --- /dev/null +++ b/fpga/usrp3/top/e31x/dts/usrp_e310_sg3_idle_fpga.dts @@ -0,0 +1,11 @@ +/* + * Copyright (c) 2018 National Instruments Corp + * + * SPDX-License-Identifier: GPL-2.0 OR X11 + */ + +/dts-v1/; +/plugin/; + +#include "e31x-sg3-idle-fpga.dtsi" +#include "e31x-pmu.dtsi" diff --git a/fpga/usrp3/top/e31x/e310_io.v b/fpga/usrp3/top/e31x/e310_io.v new file mode 100644 index 000000000..fe8968435 --- /dev/null +++ b/fpga/usrp3/top/e31x/e310_io.v @@ -0,0 +1,139 @@ +// +// Copyright 2015 Ettus Research, A National Instruments Company +// SPDX-License-Identifier: LGPL-3.0 +// +// Description: E31X IO for CMOS interface +// + +module e310_io ( + input areset, + input mimo, + // Baseband sample interface + output radio_clk, + output radio_rst, + output reg [11:0] rx_i0, + output reg [11:0] rx_q0, + output reg [11:0] rx_i1, + output reg [11:0] rx_q1, + output reg rx_stb, + input [11:0] tx_i0, + input [11:0] tx_q0, + input [11:0] tx_i1, + input [11:0] tx_q1, + output reg tx_stb, + // AD9361 interface + input rx_clk, + input rx_frame, + input [11:0] rx_data, + output tx_clk, + output tx_frame, + output [11:0] tx_data +); + + // Synchronize asynchronous reset and MIMO + synchronizer #(.STAGES(3), .INITIAL_VAL(1'b1)) sychronizer_radio_rst ( + .clk(radio_clk), .rst(areset), .in(1'b0), .out(radio_rst)); + + wire mimo_sync; + synchronizer synchronizer_mimo (.clk(radio_clk), .rst(radio_rst), .in(mimo), .out(mimo_sync)); + + /**************************************************************************** + ** RX Capture Interface + ****************************************************************************/ + wire rx_clk_bufr; // Capture clock + BUFR bufr_rx_clk (.I(rx_clk), .O(rx_clk_bufr)); + BUFG bufg_radio_clk (.I(rx_clk_bufr), .O(radio_clk)); + + wire [11:0] rx_i, rx_q; + genvar n; + generate + for (n = 0; n < 12; n = n + 1) begin + IDDR #(.DDR_CLK_EDGE("SAME_EDGE")) iddr ( + .C(rx_clk_bufr), .CE(1'b1), .R(1'b0), .S(1'b0), + .D(rx_data[n]), .Q1(rx_q[n]), .Q2(rx_i[n])); + end + endgenerate + + wire rx_frame_rising, rx_frame_falling; + IDDR #(.DDR_CLK_EDGE("SAME_EDGE")) iddr_frame ( + .C(rx_clk_bufr), .CE(1'b1), .R(1'b0), .S(1'b0), + .D(rx_frame), .Q1(rx_frame_rising), .Q2(rx_frame_falling)); + + always @(posedge radio_clk or posedge radio_rst) begin + if (radio_rst) begin + rx_stb <= 1'b0; + end else begin + if (mimo_sync) begin + if (rx_frame_rising) begin + rx_i0 <= rx_i; + rx_q0 <= rx_q; + end else begin + rx_i1 <= rx_i; + rx_q1 <= rx_q; + end + rx_stb <= ~rx_frame_rising; + end else begin + rx_i0 <= rx_i; + rx_q0 <= rx_q; + rx_i1 <= rx_i; + rx_q1 <= rx_q; + rx_stb <= 1'b1; + end + end + end + + /**************************************************************************** + ** TX Output Interface + ****************************************************************************/ + reg [11:0] tx_i, tx_q; + reg tx_frame_int = 1'b1; + generate + for (n = 0; n < 12; n = n + 1) begin + ODDR #(.DDR_CLK_EDGE("SAME_EDGE")) oddr ( + .C(radio_clk), .CE(1'b1), .R(1'b0), .S(1'b0), + .D1(tx_i[n]), .D2(tx_q[n]), .Q(tx_data[n])); + end + endgenerate + + ODDR #(.DDR_CLK_EDGE("SAME_EDGE")) oddr_frame ( + .C(radio_clk), .CE(1'b1), .R(1'b0), .S(1'b0), + // In SISO mode, TX frame is asserted only on the falling edge + .D1(tx_frame_int), .D2(tx_frame_int & mimo_sync), .Q(tx_frame)); + + ODDR #(.DDR_CLK_EDGE("SAME_EDGE")) oddr_clk ( + .C(radio_clk), .CE(1'b1), .R(1'b0), .S(1'b0), + .D1(1'b1), .D2(1'b0), .Q(tx_clk)); + + reg [11:0] tx_i1_hold, tx_q1_hold; + always @(posedge radio_clk or posedge radio_rst) begin + if (radio_rst) begin + tx_stb <= 1'b0; + tx_frame_int <= 1'b1; + end else begin + if (mimo_sync) begin + tx_stb <= ~tx_stb; + tx_frame_int <= tx_stb; + if (tx_stb) begin + tx_i <= tx_i0; + tx_q <= tx_q0; + tx_i1_hold <= tx_i1; + tx_q1_hold <= tx_q1; + end else begin + tx_i <= tx_i1_hold; + tx_q <= tx_q1_hold; + end + end else begin + tx_stb <= 1'b1; + tx_frame_int <= 1'b1; + if ({tx_i0,tx_q0} != 24'd0) begin + tx_i <= tx_i0; + tx_q <= tx_q0; + end else begin + tx_i <= tx_i1; + tx_q <= tx_q1; + end + end + end + end + +endmodule diff --git a/fpga/usrp3/top/e31x/e310_static_router.hex b/fpga/usrp3/top/e31x/e310_static_router.hex new file mode 100644 index 000000000..3a9dfa282 --- /dev/null +++ b/fpga/usrp3/top/e31x/e310_static_router.hex @@ -0,0 +1,5 @@ +00000004 +004000c0 +008000c1 +00c00040 +00c10080 diff --git a/fpga/usrp3/top/e31x/e31x.v b/fpga/usrp3/top/e31x/e31x.v new file mode 100644 index 000000000..3902ed551 --- /dev/null +++ b/fpga/usrp3/top/e31x/e31x.v @@ -0,0 +1,882 @@ +///////////////////////////////////////////////////////////////////// +// +// Copyright 2018 Ettus Research, A National Instruments Company +// Copyright 2019 Ettus Research, A National Instruments Brand +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// +// Module: e31x +// Description: +// E31x Top Level +// +///////////////////////////////////////////////////////////////////// + +module e31x ( + + // PS Connections + inout [53:0] MIO, + input PS_SRSTB, + input PS_CLK, + input PS_PORB, + inout DDR_CLK, + inout DDR_CLK_N, + inout DDR_CKE, + inout DDR_CS_N, + inout DDR_RAS_N, + inout DDR_CAS_N, + inout DDR_WEB, + inout [2:0] DDR_BANKADDR, + inout [14:0] DDR_ADDR, + inout DDR_ODT, + inout DDR_DRSTB, + inout [31:0] DDR_DQ, + inout [3:0] DDR_DM, + inout [3:0] DDR_DQS, + inout [3:0] DDR_DQS_N, + inout DDR_VRP, + inout DDR_VRN, + + // PL DDR + //input PL_DDR3_SYSCLK, + //output PL_DDR3_RESET_N, + //inout [15:0] PL_DDR3_DQ, + //inout [1:0] PL_DDR3_DQS_N, + //inout [1:0] PL_DDR3_DQS_P, + //output [14:0] PL_DDR3_ADDR, + //output [2:0] PL_DDR3_BA, + //output PL_DDR3_RAS_N, + //output PL_DDR3_CAS_N, + //output PL_DDR3_WE_N, + //output [0:0] PL_DDR3_CK_P, + //output [0:0] PL_DDR3_CK_N, + //output [0:0] PL_DDR3_CKE, + //output [1:0] PL_DDR3_DM, + //output [0:0] PL_DDR3_ODT, + + //AVR SPI IO + input AVR_CS_R, + output AVR_IRQ, + output AVR_MISO_R, + input AVR_MOSI_R, + input AVR_SCK_R, + + input ONSWITCH_DB, + + // RF Board connections + // Change to inout/output as + // they are implemented/tested + input [34:0] DB_EXP_1_8V, + + // Front-end Band Selects + output [2:0] TX_BANDSEL, + output [2:0] RX1_BANDSEL, + output [2:0] RX2_BANDSEL, + output [1:0] RX2C_BANDSEL, + output [1:0] RX1B_BANDSEL, + output [1:0] RX1C_BANDSEL, + output [1:0] RX2B_BANDSEL, + + // Enables + output TX_ENABLE1A, + output TX_ENABLE2A, + output TX_ENABLE1B, + output TX_ENABLE2B, + + // Antenna Selects + output VCTXRX1_V1, + output VCTXRX1_V2, + output VCTXRX2_V1, + output VCTXRX2_V2, + output VCRX1_V1, + output VCRX1_V2, + output VCRX2_V1, + output VCRX2_V2, + + // Leds + output LED_TXRX1_TX, + output LED_TXRX1_RX, + output LED_RX1_RX, + output LED_TXRX2_TX, + output LED_TXRX2_RX, + output LED_RX2_RX, + + // AD9361 connections + input [7:0] CAT_CTRL_OUT, + output [3:0] CAT_CTRL_IN, + output CAT_RESET, // FIXME Fix in Pinout + output CAT_CS, + output CAT_SCLK, + output CAT_MOSI, + input CAT_MISO, + input CAT_BBCLK_OUT, //unused + output CAT_SYNC, + output CAT_TXNRX, + output CAT_ENABLE, + output CAT_ENAGC, + input CAT_RX_FRAME, + input CAT_DATA_CLK, + output CAT_TX_FRAME, + output CAT_FB_CLK, + input [11:0] CAT_P0_D, + output [11:0] CAT_P1_D, + + // pps connections + input GPS_PPS, + input PPS_EXT_IN, + + // VTCXO and the DAC that feeds it + output TCXO_DAC_SYNC_N, + output TCXO_DAC_SCLK, + output TCXO_DAC_SDIN, + input TCXO_CLK, + + // gpios, change to inout somehow + inout [5:0] PL_GPIO +); + + // Constants + localparam REG_AWIDTH = 14; // log2(0x4000) + localparam REG_DWIDTH = 32; + localparam DB_GPIO_WIDTH = 32; + localparam FP_GPIO_OFFSET = 32; // Offset within ps_gpio_* + localparam FP_GPIO_WIDTH = 6; + + //If bus_clk freq ever changes, update this parameter accordingly. + localparam BUS_CLK_RATE = 32'd100000000; //100 MHz bus_clk rate. + localparam NUM_SFP_PORTS = 0; + localparam NUM_RADIOS = 1; + localparam NUM_CHANNELS_PER_RADIO = 2; + localparam NUM_DBOARDS = 1; + localparam NUM_CHANNELS = NUM_RADIOS * NUM_CHANNELS_PER_RADIO; + + // Clocks + wire bus_clk; + wire radio_clk; + wire reg_clk; + wire clk40; + wire FCLK_CLK0; + wire FCLK_CLK1; + wire FCLK_CLK2; + wire FCLK_CLK3; + + // Resets + wire global_rst; + wire bus_rst; + wire radio_rst; + wire reg_rstn; + wire clk40_rst; + wire clk40_rstn; + wire FCLK_RESET0_N; + + // Crossbar + wire m_axi_xbar_arvalid; + wire m_axi_xbar_awvalid; + wire m_axi_xbar_bready; + wire m_axi_xbar_rready; + wire m_axi_xbar_wvalid; + wire [11:0] m_axi_xbar_arid; + wire [11:0] m_axi_xbar_awid; + wire [11:0] m_axi_xbar_wid; + wire [31:0] m_axi_xbar_araddr; + wire [31:0] m_axi_xbar_awaddr; + wire [31:0] m_axi_xbar_wdata; + wire [3:0] m_axi_xbar_wstrb; + wire m_axi_xbar_arready; + wire m_axi_xbar_awready; + wire m_axi_xbar_bvalid; + wire m_axi_xbar_rlast; + wire m_axi_xbar_rvalid; + wire m_axi_xbar_wready; + wire [1:0] m_axi_xbar_bresp; + wire [1:0] m_axi_xbar_rresp; + wire [31:0] m_axi_xbar_rdata; + + // PMU + wire [31:0] m_axi_pmu_araddr; + wire [2:0] m_axi_pmu_arprot; + wire m_axi_pmu_arready; + wire m_axi_pmu_arvalid; + wire [31:0] m_axi_pmu_awaddr; + wire [2:0] m_axi_pmu_awprot; + wire m_axi_pmu_awready; + wire m_axi_pmu_awvalid; + wire m_axi_pmu_bready; + wire [1:0] m_axi_pmu_bresp; + wire m_axi_pmu_bvalid; + wire [31:0] m_axi_pmu_rdata; + wire m_axi_pmu_rready; + wire [1:0] m_axi_pmu_rresp; + wire m_axi_pmu_rvalid; + wire [31:0] m_axi_pmu_wdata; + wire m_axi_pmu_wready; + wire [3:0] m_axi_pmu_wstrb; + wire m_axi_pmu_wvalid; + + // DMA xport adapter to PS + wire [63:0] m_axis_dma_tdata; + wire [3:0] m_axis_dma_tuser; + wire m_axis_dma_tlast; + wire m_axis_dma_tready; + wire m_axis_dma_tvalid; + + wire [63:0] s_axis_dma_tdata; + wire [3:0] s_axis_dma_tdest; + wire s_axis_dma_tlast; + wire s_axis_dma_tready; + wire s_axis_dma_tvalid; + + ///////////////////////////////////////////////////////////////////// + // + // Resets: + // - PL - Global Reset --> Bus Reset + // --> Radio Reset + // - PS - FCLK_RESET0_N --> clk40_rst(n) + // + ////////////////////////////////////////////////////////////////////// + + // Global synchronous reset, on the bus_clk domain. De-asserts after 85 + // bus_clk cycles. Asserted by default. + por_gen por_gen ( + .clk(bus_clk), + .reset_out(global_rst) + ); + + // Synchronous reset for the bus_clk domain + reset_sync bus_reset_gen ( + .clk(bus_clk), + .reset_in(~FCLK_RESET0_N), + //.reset_in(~clocks_locked), + .reset_out(bus_rst) + ); + + + // PS-based Resets // + // + // Synchronous reset for the clk40 domain. This is derived from the PS reset 0. + reset_sync clk40_reset_gen ( + .clk(clk40), + .reset_in(~FCLK_RESET0_N), + .reset_out(clk40_rst) + ); + // Invert for various modules. + assign clk40_rstn = ~clk40_rst; + assign reg_rstn = clk40_rstn; + + ///////////////////////////////////////////////////////////////////// + // + // Clocks and PPS + // + ///////////////////////////////////////////////////////////////////// + + wire [1:0] pps_select; + + assign clk40 = FCLK_CLK1; // 40 MHz + assign bus_clk = FCLK_CLK0; // 100 MHz + //assign bus_clk = FCLK_CLK3; // 200 MHz + assign reg_clk = clk40; + + wire pps; + wire clk_tcxo = TCXO_CLK; // 40 MHz + wire is_10meg, is_pps, reflck, plllck; // reference status bits + reg [3:0] tcxo_status, st_rsync; + reg [2:0] pps_reg; + + wire pps_ext = PPS_EXT_IN; + wire gps_pps = GPS_PPS; + + // A local pps signal is derived from the tcxo clock. If a reference + // at an appropriate rate (1 pps or 10 MHz) is present and selected + // a digital control loop will be invoked to tune the vcxo and lock + // the reference. + ppsloop ppslp ( + .reset(1'b0), + .xoclk(clk_tcxo), .ppsgps(gps_pps), .ppsext(pps_ext), + .refsel(pps_select), + .lpps(pps), + .is10meg(is_10meg), .ispps(is_pps), .reflck(reflck), .plllck(plllck), + .sclk(TCXO_DAC_SCLK), .mosi(TCXO_DAC_SDIN), .sync_n(TCXO_DAC_SYNC_N), + .dac_dflt(16'h7fff) + ); + + always @(posedge bus_clk) begin + // status signals originate from other than the bus_clk domain so re-sync + // before passing to e300_core + st_rsync <= {plllck, is_10meg, is_pps, reflck}; + tcxo_status <= st_rsync; + end + + // TODO: Check this logic + // connect PPS input to GPIO so ntpd can use it + always @ (posedge bus_clk) + pps_reg <= bus_rst ? 3'b000 : {pps_reg[1:0], GPS_PPS}; + assign ps_gpio_in[8] = pps_reg[2]; // 62 + + ///////////////////////////////////////////////////////////////////// + // + // Power Button + // + ////////////////////////////////////////////////////////////////////// + + // register the debounced onswitch signal to detect edges, + // Note: ONSWITCH_DB is low active + reg [1:0] onswitch_edge; + always @ (posedge bus_clk) + onswitch_edge <= bus_rst ? 2'b00 : {onswitch_edge[0], ONSWITCH_DB}; + + wire button_press = ~ONSWITCH_DB & onswitch_edge[0] & onswitch_edge[1]; + wire button_release = ONSWITCH_DB & ~onswitch_edge[0] & ~onswitch_edge[1]; + + // stretch the pulse so IRQs don't get lost + reg [7:0] button_press_reg, button_release_reg; + always @ (posedge bus_clk) + if (bus_rst) begin + button_press_reg <= 8'h00; + button_release_reg <= 8'h00; + end else begin + button_press_reg <= {button_press_reg[6:0], button_press}; + button_release_reg <= {button_release_reg[6:0], button_release}; + end + + wire button_press_irq = |button_press_reg; + wire button_release_irq = |button_release_reg; + + ///////////////////////////////////////////////////////////////////// + // + // Interrupts Fabric to PS + // + ////////////////////////////////////////////////////////////////////// + + wire [15:0] IRQ_F2P; + wire pmu_irq; + assign IRQ_F2P = {12'b0, + pmu_irq, // Interrupt 32 + button_release_irq, // Interrupt 31 + button_press_irq, // Interrupt 30 + 1'b0}; + + ///////////////////////////////////////////////////////////////////// + // + // PS Connections + // + ////////////////////////////////////////////////////////////////////// + + wire [63:0] ps_gpio_in; + wire [63:0] ps_gpio_out; + wire [63:0] ps_gpio_tri; + + e31x_ps_bd e31x_ps_bd_inst ( + + // DDR Interface + .DDR_VRN(DDR_VRN), + .DDR_VRP(DDR_VRP), + .DDR_addr(DDR_ADDR), + .DDR_ba(DDR_BANKADDR), + .DDR_cas_n(DDR_CAS_N), + .DDR_ck_n(DDR_CLK_N), + .DDR_ck_p(DDR_CLK), + .DDR_cke(DDR_CKE), + .DDR_cs_n(DDR_CS_N), + .DDR_dm(DDR_DM), + .DDR_dq(DDR_DQ), + .DDR_dqs_n(DDR_DQS_N), + .DDR_dqs_p(DDR_DQS), + .DDR_odt(DDR_ODT), + .DDR_ras_n(DDR_RAS_N), + .DDR_reset_n(DDR_RESET_N), + .DDR_we_n(DDR_WE_N), + + // Clocks + .FCLK_CLK0(FCLK_CLK0), + .FCLK_CLK1(FCLK_CLK1), + .FCLK_CLK2(FCLK_CLK2), + .FCLK_CLK3(FCLK_CLK3), + + // Resets + .FCLK_RESET0_N(FCLK_RESET0_N), + + // GPIO + .GPIO_0_tri_i(ps_gpio_in), + .GPIO_0_tri_o(ps_gpio_out), + .GPIO_0_tri_t(ps_gpio_tri), + + // Interrupts + .IRQ_F2P(IRQ_F2P), + + // MIO + .MIO(MIO), + + .PS_CLK(PS_CLK), + .PS_PORB(PS_PORB), + .PS_SRSTB(PS_SRSTB), + + // SPI + .SPI0_MISO_I(CAT_MISO), + .SPI0_MISO_O(), + .SPI0_MISO_T(), + .SPI0_MOSI_I(1'b0), + .SPI0_MOSI_O(CAT_MOSI), + .SPI0_MOSI_T(), + .SPI0_SCLK_I(1'b0), + .SPI0_SCLK_O(CAT_SCLK), + .SPI0_SCLK_T(), + .SPI0_SS1_O(), + .SPI0_SS2_O(), + .SPI0_SS_I(1'b1), + .SPI0_SS_O(CAT_CS), + .SPI0_SS_T(), + + .SPI1_MISO_I(), + .SPI1_MISO_O(), + .SPI1_MISO_T(), + .SPI1_MOSI_I(), + .SPI1_MOSI_O(), + .SPI1_MOSI_T(), + .SPI1_SCLK_I(), + .SPI1_SCLK_O(), + .SPI1_SCLK_T(), + .SPI1_SS1_O(), + .SPI1_SS2_O(), + .SPI1_SS_I(), + .SPI1_SS_O(), + .SPI1_SS_T(), + + // USB + .USBIND_0_port_indctl(), + .USBIND_0_vbus_pwrfault(), + .USBIND_0_vbus_pwrselect(), + + .bus_clk(bus_clk), + .bus_rstn(~bus_rst), + .clk40(clk40), + .clk40_rstn(clk40_rstn), + .S_AXI_GP0_ACLK(clk40), + .S_AXI_GP0_ARESETN(clk40_rstn), + + // XBAR Regport + .m_axi_xbar_araddr(m_axi_xbar_araddr), + .m_axi_xbar_arprot(m_axi_xbar_arprot), + .m_axi_xbar_arready(m_axi_xbar_arready), + .m_axi_xbar_arvalid(m_axi_xbar_arvalid), + .m_axi_xbar_awaddr(m_axi_xbar_awaddr), + .m_axi_xbar_awprot(m_axi_xbar_awprot), + .m_axi_xbar_awready(m_axi_xbar_awready), + .m_axi_xbar_awvalid(m_axi_xbar_awvalid), + .m_axi_xbar_bready(m_axi_xbar_bready), + .m_axi_xbar_bresp(m_axi_xbar_bresp), + .m_axi_xbar_bvalid(m_axi_xbar_bvalid), + .m_axi_xbar_rdata(m_axi_xbar_rdata), + .m_axi_xbar_rready(m_axi_xbar_rready), + .m_axi_xbar_rresp(m_axi_xbar_rresp), + .m_axi_xbar_rvalid(m_axi_xbar_rvalid), + .m_axi_xbar_wdata(m_axi_xbar_wdata), + .m_axi_xbar_wready(m_axi_xbar_wready), + .m_axi_xbar_wstrb(m_axi_xbar_wstrb), + .m_axi_xbar_wvalid(m_axi_xbar_wvalid), + + // PMU + .m_axi_pmu_araddr(m_axi_pmu_araddr), + .m_axi_pmu_arprot(m_axi_pmu_arprot), + .m_axi_pmu_arready(m_axi_pmu_arready), + .m_axi_pmu_arvalid(m_axi_pmu_arvalid), + .m_axi_pmu_awaddr(m_axi_pmu_awaddr), + .m_axi_pmu_awprot(m_axi_pmu_awprot), + .m_axi_pmu_awready(m_axi_pmu_awready), + .m_axi_pmu_awvalid(m_axi_pmu_awvalid), + .m_axi_pmu_bready(m_axi_pmu_bready), + .m_axi_pmu_bresp(m_axi_pmu_bresp), + .m_axi_pmu_bvalid(m_axi_pmu_bvalid), + .m_axi_pmu_rdata(m_axi_pmu_rdata), + .m_axi_pmu_rready(m_axi_pmu_rready), + .m_axi_pmu_rresp(m_axi_pmu_rresp), + .m_axi_pmu_rvalid(m_axi_pmu_rvalid), + .m_axi_pmu_wdata(m_axi_pmu_wdata), + .m_axi_pmu_wready(m_axi_pmu_wready), + .m_axi_pmu_wstrb(m_axi_pmu_wstrb), + .m_axi_pmu_wvalid(m_axi_pmu_wvalid), + + // DMA + .s_axis_dma_tdata(s_axis_dma_tdata), + .s_axis_dma_tdest(s_axis_dma_tdest), + .s_axis_dma_tlast(s_axis_dma_tlast), + .s_axis_dma_tready(s_axis_dma_tready), + .s_axis_dma_tvalid(s_axis_dma_tvalid), + .m_axis_dma_tdata(m_axis_dma_tdata), + .m_axis_dma_tuser(m_axis_dma_tuser), + .m_axis_dma_tlast(m_axis_dma_tlast), + .m_axis_dma_tready(m_axis_dma_tready), + .m_axis_dma_tvalid(m_axis_dma_tvalid) + ); + + ///////////////////////////////////////////////////////////////////// + // + // AD9361 Interface + // + ///////////////////////////////////////////////////////////////////// + + wire [REG_DWIDTH-1:0] dboard_ctrl; + wire [REG_DWIDTH-1:0] dboard_status; + wire mimo_busclk; + wire tx_pll_lock_busclk, rx_pll_lock_busclk; + + wire codec_arst; + wire [NUM_CHANNELS*32-1:0] rx_flat, tx_flat; + + wire [11:0] rx_i0, rx_q0, tx_i0, tx_q0; + wire [11:0] rx_i1, rx_q1, tx_i1, tx_q1; + + wire rx_stb, tx_stb; + wire [NUM_CHANNELS-1:0] rx_atr, tx_atr; + + assign rx_flat = {rx_i1, 4'd0, rx_q1, 4'd0, + rx_i0, 4'd0, rx_q0, 4'd0}; + + assign tx_q0 = tx_flat[15:4]; + assign tx_i0 = tx_flat[31:20]; + assign tx_q1 = tx_flat[47:36]; + assign tx_i1 = tx_flat[63:52]; + + assign mimo_busclk = dboard_ctrl[0]; + assign codec_arst = dboard_ctrl[1]; + + synchronizer synchronizer_tx_pll_lock ( + .clk(bus_clk), .rst(1'b0), .in(CAT_CTRL_OUT[7]), .out(tx_pll_lock_busclk) + ); + + synchronizer synchronizer_rx_pll_lock ( + .clk(bus_clk), .rst(1'b0), .in(CAT_CTRL_OUT[6]), .out(rx_pll_lock_busclk) + ); + + assign dboard_status = { + 20'b0, + tcxo_status, // TCXO satus {plllck, is_10meg, is_pps, refclk} + tx_pll_lock_busclk, // TX PLL Lock + rx_pll_lock_busclk, // RX PLL Lock + 6'b0 + }; + + + e310_io e310_io ( + //.areset(codec_arst), TODO + .areset(bus_rst), + .mimo(mimo_busclk), + // Baseband sample interface + .radio_clk(radio_clk), + .radio_rst(radio_rst), + .rx_i0(rx_i1), + .rx_q0(rx_q1), + .rx_i1(rx_i0), + .rx_q1(rx_q0), + .rx_stb(rx_stb), + .tx_i0(tx_i1), + .tx_q0(tx_q1), + .tx_i1(tx_i0), + .tx_q1(tx_q0), + .tx_stb(tx_stb), + // AD9361 interface + .rx_clk(CAT_DATA_CLK), + .rx_frame(CAT_RX_FRAME), + .rx_data(CAT_P0_D), + .tx_clk(CAT_FB_CLK), + .tx_frame(CAT_TX_FRAME), + .tx_data(CAT_P1_D) + ); + + assign CAT_CTRL_IN = 4'b1; + assign CAT_ENAGC = 1'b1; + assign CAT_TXNRX = 1'b1; + assign CAT_ENABLE = 1'b1; + assign CAT_RESET = ~bus_rst; // Operates active-low, really CAT_RESET_B + assign CAT_SYNC = 1'b0; + + ///////////////////////////////////////////////////////////////////// + // + // DB GPIO Interface + // - Control Filter Banks + // - LEDs + // + ///////////////////////////////////////////////////////////////////// + + // Flattened Radio GPIO control + wire [DB_GPIO_WIDTH*NUM_CHANNELS-1:0] db_gpio_out_flat; + wire [DB_GPIO_WIDTH*NUM_CHANNELS-1:0] db_gpio_ddr_flat; + wire [DB_GPIO_WIDTH*NUM_CHANNELS-1:0] db_gpio_in_flat; + wire [32*NUM_CHANNELS-1:0] leds_flat; + + // Radio GPIO control + wire [DB_GPIO_WIDTH-1:0] db_gpio_in[0:NUM_CHANNELS-1]; + wire [DB_GPIO_WIDTH-1:0] db_gpio_out[0:NUM_CHANNELS-1]; + wire [DB_GPIO_WIDTH-1:0] db_gpio_ddr[0:NUM_CHANNELS-1]; + wire [DB_GPIO_WIDTH-1:0] db_gpio_pins[0:NUM_CHANNELS-1]; + wire [31:0] leds[0:NUM_CHANNELS-1]; + + genvar i; + generate + for (i = 0; i < NUM_CHANNELS; i = i + 1) begin + + assign db_gpio_in_flat[DB_GPIO_WIDTH*i +: DB_GPIO_WIDTH] = db_gpio_in[i]; + assign db_gpio_out[i] = db_gpio_out_flat[DB_GPIO_WIDTH*i +: DB_GPIO_WIDTH]; + assign db_gpio_ddr[i] = db_gpio_ddr_flat[DB_GPIO_WIDTH*i +: DB_GPIO_WIDTH]; + assign leds[i] = leds_flat[32*i +: 32]; + + gpio_atr_io #( + .WIDTH(DB_GPIO_WIDTH) + ) gpio_atr_db_inst ( + .clk(radio_clk), + .gpio_pins(db_gpio_pins[i]), + .gpio_ddr(db_gpio_ddr[i]), + .gpio_out(db_gpio_out[i]), + .gpio_in(db_gpio_in[i]) + ); + end + endgenerate + + // DB_GPIO and LED pin assignments with software mapping + wire [2:0] TX1_BANDSEL; + wire [2:0] TX2_BANDSEL; + + // Channel 0 + assign {VCRX1_V1, // [15:15] + VCRX1_V2, // [14:14] + VCTXRX1_V1, // [13:13] + VCTXRX1_V2, // [12:12] + TX_ENABLE1B, // [11:11] + TX_ENABLE1A, // [10:10] + RX1C_BANDSEL, // [9:8] + RX1B_BANDSEL, // [7:6] + RX1_BANDSEL, // [5:3] + TX1_BANDSEL // [2:0] + } = db_gpio_pins[1]; + + assign {LED_RX1_RX, + LED_TXRX1_TX, + LED_TXRX1_RX + } = leds[1]; + + // Channel 1 + assign {VCRX2_V1, + VCRX2_V2, + VCTXRX2_V1, + VCTXRX2_V2, + TX_ENABLE2B, + TX_ENABLE2A, + RX2C_BANDSEL, + RX2B_BANDSEL, + RX2_BANDSEL, + TX2_BANDSEL + } = db_gpio_pins[0]; + + assign {LED_RX2_RX, + LED_TXRX2_TX, + LED_TXRX2_RX + } = leds[0]; + + // It is okay to OR here as the both channels must be set to the same freq. + // This is needed so software does not have to set properties of radio core 0 + // when only using radio core 1. + assign TX_BANDSEL = TX1_BANDSEL | TX2_BANDSEL; + + ///////////////////////////////////////////////////////////////////// + // + // Front-Panel GPIO + // + ///////////////////////////////////////////////////////////////////// + + wire [FP_GPIO_WIDTH-1:0] fp_gpio_in; + wire [FP_GPIO_WIDTH-1:0] fp_gpio_out; + wire [FP_GPIO_WIDTH-1:0] fp_gpio_tri; + + gpio_atr_io #(.WIDTH(FP_GPIO_WIDTH)) fp_gpio_atr_inst ( + .clk(radio_clk), .gpio_pins(PL_GPIO), + .gpio_ddr(fp_gpio_tri), .gpio_out(fp_gpio_out), .gpio_in(fp_gpio_in) + ); + + ///////////////////////////////////////////////////////////////////// + // + // E320 Core: + // - xbar + // - Radio + // - DMA + // - DRAM + // - CEs + // + ////////////////////////////////////////////////////////////////////// + + wire [31:0] build_datestamp; + + USR_ACCESSE2 usr_access_i ( + .DATA(build_datestamp), .CFGCLK(), .DATAVALID() + ); + + e31x_core #( + .REG_AWIDTH(REG_AWIDTH), + .BUS_CLK_RATE(BUS_CLK_RATE), + .NUM_SFP_PORTS(NUM_SFP_PORTS), + .NUM_RADIOS(NUM_RADIOS), + .NUM_CHANNELS_PER_RADIO(NUM_CHANNELS_PER_RADIO), + .NUM_CHANNELS(NUM_CHANNELS), + .NUM_DBOARDS(NUM_DBOARDS), + .NUM_CHANNELS_PER_DBOARD(NUM_CHANNELS_PER_RADIO), + .FP_GPIO_WIDTH(FP_GPIO_WIDTH), + .DB_GPIO_WIDTH(DB_GPIO_WIDTH) + ) e31x_core_inst ( + + //Clocks and resets + .radio_clk(radio_clk), + .radio_rst(radio_rst), + .bus_clk(bus_clk), + .bus_rst(bus_rst), + + // Clocking and PPS Controls/Indicators + .pps_refclk(pps), + .refclk_locked(reflck), + .pps_select(pps_select), + + .s_axi_aclk(clk40), + .s_axi_aresetn(clk40_rstn), + // AXI4-Lite: Write address port (domain: s_axi_aclk) + .s_axi_awaddr(m_axi_xbar_awaddr), + .s_axi_awvalid(m_axi_xbar_awvalid), + .s_axi_awready(m_axi_xbar_awready), + // AXI4-Lite: Write data port (domain: s_axi_aclk) + .s_axi_wdata(m_axi_xbar_wdata), + .s_axi_wstrb(m_axi_xbar_wstrb), + .s_axi_wvalid(m_axi_xbar_wvalid), + .s_axi_wready(m_axi_xbar_wready), + // AXI4-Lite: Write response port (domain: s_axi_aclk) + .s_axi_bresp(m_axi_xbar_bresp), + .s_axi_bvalid(m_axi_xbar_bvalid), + .s_axi_bready(m_axi_xbar_bready), + // AXI4-Lite: Read address port (domain: s_axi_aclk) + .s_axi_araddr(m_axi_xbar_araddr), + .s_axi_arvalid(m_axi_xbar_arvalid), + .s_axi_arready(m_axi_xbar_arready), + // AXI4-Lite: Read data port (domain: s_axi_aclk) + .s_axi_rdata(m_axi_xbar_rdata), + .s_axi_rresp(m_axi_xbar_rresp), + .s_axi_rvalid(m_axi_xbar_rvalid), + .s_axi_rready(m_axi_xbar_rready), + + // Radio ATR + .rx_atr(rx_atr), + .tx_atr(tx_atr), + + // Front-Panel GPIO + .fp_gpio_in(fp_gpio_in), + .fp_gpio_tri(fp_gpio_tri), + .fp_gpio_out(fp_gpio_out), + + // PS GPIO Connection + .ps_gpio_tri(ps_gpio_tri[FP_GPIO_WIDTH+FP_GPIO_OFFSET-1: FP_GPIO_OFFSET]), + .ps_gpio_out(ps_gpio_out[FP_GPIO_WIDTH+FP_GPIO_OFFSET-1: FP_GPIO_OFFSET]), + .ps_gpio_in(ps_gpio_in[FP_GPIO_WIDTH+FP_GPIO_OFFSET-1: FP_GPIO_OFFSET]), + + // DB GPIO + .db_gpio_out_flat(db_gpio_out_flat), + .db_gpio_ddr_flat(db_gpio_ddr_flat), + .db_gpio_in_flat(db_gpio_in_flat), + .db_gpio_fab_flat(32'b0), + + // TX/RX LEDs + .leds_flat(leds_flat), + + // Radio Strobes + .rx_stb({NUM_CHANNELS{rx_stb}}), + .tx_stb({NUM_CHANNELS{tx_stb}}), + + // Radio Data + .rx(rx_flat), + .tx(tx_flat), + + // DMA to PS + .m_dma_tdata(s_axis_dma_tdata), + .m_dma_tdest(s_axis_dma_tdest), + .m_dma_tlast(s_axis_dma_tlast), + .m_dma_tready(s_axis_dma_tready), + .m_dma_tvalid(s_axis_dma_tvalid), + + .s_dma_tdata(m_axis_dma_tdata), + .s_dma_tuser(m_axis_dma_tuser), + .s_dma_tlast(m_axis_dma_tlast), + .s_dma_tready(m_axis_dma_tready), + .s_dma_tvalid(m_axis_dma_tvalid), + + .build_datestamp(build_datestamp), + .sfp_ports_info(), + .dboard_status(dboard_status), + .xadc_readback(32'h0), //Unused + .fp_gpio_ctrl(), //Unused + .dboard_ctrl(dboard_ctrl) + ); + + ///////////////////////////////////////////////////////////////////// + // + // PL DDR3 Memory Interface + // + ///////////////////////////////////////////////////////////////////// + + //wire pl_dram_clk = FCLK_CLK3; + //wire pl_dram_rst = ~FCLK_RESET0_N; + + //example_top inst_example_top + //( + // .ddr3_dq (PL_DDR3_DQ), + // .ddr3_dqs_n (PL_DDR3_DQS_N), + // .ddr3_dqs_p (PL_DDR3_DQS_P), + // .ddr3_addr (PL_DDR3_ADDR), + // .ddr3_ba (PL_DDR3_BA), + // .ddr3_ras_n (PL_DDR3_RAS_N), + // .ddr3_cas_n (PL_DDR3_CAS_N), + // .ddr3_we_n (PL_DDR3_WE_N), + // .ddr3_reset_n (PL_DDR3_RESET_N), + // .ddr3_ck_p (PL_DDR3_CK_P), + // .ddr3_ck_n (PL_DDR3_CK_N), + // .ddr3_cke (PL_DDR3_CKE), + // .ddr3_dm (PL_DDR3_DM), + // .ddr3_odt (PL_DDR3_ODT), + // .sys_clk_i (PL_DDR3_SYSCLK), + // .clk_ref_i (pl_dram_clk), + // .tg_compare_error (), + // .init_calib_complete (), + // .sys_rst (pl_dram_rst) + //); + + // PMU + axi_pmu inst_axi_pmu ( + .s_axi_aclk(clk40), // TODO: Original design used bus_clk + .s_axi_areset(clk40_rst), + + .ss(AVR_CS_R), + .mosi(AVR_MOSI_R), + .sck(AVR_SCK_R), + .miso(AVR_MISO_R), + + // AXI4-Lite: Write address port (domain: s_axi_aclk) + .s_axi_awaddr(m_axi_pmu_awaddr), + .s_axi_awvalid(m_axi_pmu_awvalid), + .s_axi_awready(m_axi_pmu_awready), + // AXI4-Lite: Write data port (domain: s_axi_aclk) + .s_axi_wdata(m_axi_pmu_wdata), + .s_axi_wstrb(m_axi_pmu_wstrb), + .s_axi_wvalid(m_axi_pmu_wvalid), + .s_axi_wready(m_axi_pmu_wready), + // AXI4-Lite: Write response port (domain: s_axi_aclk) + .s_axi_bresp(m_axi_pmu_bresp), + .s_axi_bvalid(m_axi_pmu_bvalid), + .s_axi_bready(m_axi_pmu_bready), + // AXI4-Lite: Read address port (domain: s_axi_aclk) + .s_axi_araddr(m_axi_pmu_araddr), + .s_axi_arvalid(m_axi_pmu_arvalid), + .s_axi_arready(m_axi_pmu_arready), + // AXI4-Lite: Read data port (domain: s_axi_aclk) + .s_axi_rdata(m_axi_pmu_rdata), + .s_axi_rresp(m_axi_pmu_rresp), + .s_axi_rvalid(m_axi_pmu_rvalid), + .s_axi_rready(m_axi_pmu_rready), + + .s_axi_irq(pmu_irq) + ); + + assign AVR_IRQ = 1'b0; + + +endmodule // e31x diff --git a/fpga/usrp3/top/e31x/e31x_core.v b/fpga/usrp3/top/e31x/e31x_core.v new file mode 100644 index 000000000..7cd2dddf4 --- /dev/null +++ b/fpga/usrp3/top/e31x/e31x_core.v @@ -0,0 +1,681 @@ +///////////////////////////////////////////////////////////////////// +// +// Copyright 2018 Ettus Research, A National Instruments Company +// Copyright 2019 Ettus Research, A National Instruments Brand +// +// SPDX-License-Identifier: LGPL-3.0 +// +// Module: e31x_core +// Description: +// - Motherboard Registers +// - Crossbar +// - Noc Block Radio +// - Noc Block Dram Fifo +// - Radio Front End control +// +///////////////////////////////////////////////////////////////////// + +`default_nettype none +module e31x_core #( + parameter REG_DWIDTH = 32, // Width of the AXI4-Lite data bus (must be 32 or 64) + parameter REG_AWIDTH = 32, // Width of the address bus + parameter BUS_CLK_RATE = 200000000, // bus_clk rate + parameter NUM_SFP_PORTS = 0, // Number of SFP Ports + parameter NUM_RADIOS = 1, + parameter NUM_CHANNELS_PER_RADIO = 2, + parameter NUM_CHANNELS = 2, + parameter NUM_DBOARDS = 1, + parameter NUM_CHANNELS_PER_DBOARD = 2, + parameter FP_GPIO_WIDTH = 8, // Front panel GPIO width + parameter DB_GPIO_WIDTH = 16, // Daughterboard GPIO width + parameter CHDR_WIDTH = 16'd64 , + parameter RFNOC_PROTOVER = {8'd1, 8'd0} +)( + // Clocks and resets + input wire radio_clk, + input wire radio_rst, + input wire bus_clk, + input wire bus_rst, + + // Motherboard Registers: AXI lite interface + input wire s_axi_aclk, + input wire s_axi_aresetn, + input wire [REG_AWIDTH-1:0] s_axi_awaddr, + input wire s_axi_awvalid, + output wire s_axi_awready, + + input wire [REG_DWIDTH-1:0] s_axi_wdata, + input wire [REG_DWIDTH/8-1:0] s_axi_wstrb, + input wire s_axi_wvalid, + output wire s_axi_wready, + + output wire [1:0] s_axi_bresp, + output wire s_axi_bvalid, + input wire s_axi_bready, + + input wire [REG_AWIDTH-1:0] s_axi_araddr, + input wire s_axi_arvalid, + output wire s_axi_arready, + + output wire [REG_DWIDTH-1:0] s_axi_rdata, + output wire [1:0] s_axi_rresp, + output wire s_axi_rvalid, + input wire s_axi_rready, + + // PPS and Clock Control + input wire pps_refclk, + input wire refclk_locked, + output reg [1:0] pps_select, + + // PS GPIO source + input wire [FP_GPIO_WIDTH-1:0] ps_gpio_out, + input wire [FP_GPIO_WIDTH-1:0] ps_gpio_tri, + output wire [FP_GPIO_WIDTH-1:0] ps_gpio_in, + + // Front Panel GPIO + input wire [FP_GPIO_WIDTH-1:0] fp_gpio_in, + output wire [FP_GPIO_WIDTH-1:0] fp_gpio_tri, + output wire [FP_GPIO_WIDTH-1:0] fp_gpio_out, + + // Radio GPIO control + output wire [DB_GPIO_WIDTH*NUM_CHANNELS-1:0] db_gpio_out_flat, + output wire [DB_GPIO_WIDTH*NUM_CHANNELS-1:0] db_gpio_ddr_flat, + input wire [DB_GPIO_WIDTH*NUM_CHANNELS-1:0] db_gpio_in_flat, + input wire [DB_GPIO_WIDTH*NUM_CHANNELS-1:0] db_gpio_fab_flat, + + // TX/RX LEDs + output wire [32*NUM_CHANNELS-1:0] leds_flat, + + // Radio ATR + output wire [NUM_CHANNELS-1:0] rx_atr, + output wire [NUM_CHANNELS-1:0] tx_atr, + + // Radio Data + input wire [NUM_CHANNELS-1:0] rx_stb, + input wire [NUM_CHANNELS-1:0] tx_stb, + input wire [32*NUM_CHANNELS-1:0] rx, + output wire [32*NUM_CHANNELS-1:0] tx, + + // DMA xport adapter to PS + input wire [63:0] s_dma_tdata, + input wire [3:0] s_dma_tuser, + input wire s_dma_tlast, + output wire s_dma_tready, + input wire s_dma_tvalid, + + output wire [63:0] m_dma_tdata, + output wire [3:0] m_dma_tdest, + output wire m_dma_tlast, + input wire m_dma_tready, + output wire m_dma_tvalid, + + // Misc + input wire [31:0] build_datestamp, + input wire [31:0] sfp_ports_info, + input wire [31:0] dboard_status, + input wire [31:0] xadc_readback, + output reg [31:0] fp_gpio_ctrl, + output reg [31:0] dboard_ctrl, + output reg [15:0] device_id +); + + ///////////////////////////////////////////////////////////////////////////////// + // + // FPGA Compatibility Number + // Rules for modifying compat number: + // - Major is updated when the FPGA is changed and requires a software + // change as a result. + // - Minor is updated when a new feature is added to the FPGA that does not + // break software compatibility. + // + ///////////////////////////////////////////////////////////////////////////////// + + localparam [15:0] COMPAT_MAJOR = 16'd5; + localparam [15:0] COMPAT_MINOR = 16'd0; + + ///////////////////////////////////////////////////////////////////////////////// + + ///////////////////////////////////////////////////////////////////////////////// + // + // Motherboard Registers + // + ///////////////////////////////////////////////////////////////////////////////// + + // Register base + localparam REG_BASE_MISC = 14'h0; + localparam REG_BASE_TIMEKEEPER = 14'h1000; + + // Misc Registers + localparam REG_COMPAT_NUM = REG_BASE_MISC + 14'h00; + localparam REG_DATESTAMP = REG_BASE_MISC + 14'h04; + localparam REG_GIT_HASH = REG_BASE_MISC + 14'h08; + localparam REG_SCRATCH = REG_BASE_MISC + 14'h0C; + localparam REG_DEVICE_ID = REG_BASE_MISC + 14'h10; + localparam REG_RFNOC_INFO = REG_BASE_MISC + 14'h14; + localparam REG_CLOCK_CTRL = REG_BASE_MISC + 14'h18; + localparam REG_XADC_READBACK = REG_BASE_MISC + 14'h1C; + localparam REG_BUS_CLK_RATE = REG_BASE_MISC + 14'h20; + localparam REG_BUS_CLK_COUNT = REG_BASE_MISC + 14'h24; + localparam REG_SFP_PORT_INFO = REG_BASE_MISC + 14'h28; + localparam REG_FP_GPIO_CTRL = REG_BASE_MISC + 14'h2C; + localparam REG_FP_GPIO_MASTER = REG_BASE_MISC + 14'h30; + localparam REG_FP_GPIO_RADIO_SRC = REG_BASE_MISC + 14'h34; + localparam REG_DBOARD_CTRL = REG_BASE_MISC + 14'h40; + localparam REG_DBOARD_STATUS = REG_BASE_MISC + 14'h44; + localparam REG_NUM_TIMEKEEPERS = REG_BASE_MISC + 14'h48; + + localparam NUM_TIMEKEEPERS = 16'd1; + + wire m_ctrlport_req_wr; + wire m_ctrlport_req_rd; + wire [19:0] m_ctrlport_req_addr; + wire [31:0] m_ctrlport_req_data; + wire m_ctrlport_req_has_time; + wire [63:0] m_ctrlport_req_time; + wire m_ctrlport_resp_ack; + wire [31:0] m_ctrlport_resp_data; + + reg [31:0] fp_gpio_master_reg = 32'h0; + reg [31:0] fp_gpio_src_reg = 32'h0; + + wire reg_wr_req; + wire [REG_AWIDTH-1:0] reg_wr_addr; + wire [REG_DWIDTH-1:0] reg_wr_data; + wire reg_rd_req; + wire [REG_AWIDTH-1:0] reg_rd_addr; + wire reg_rd_resp; + wire [REG_DWIDTH-1:0] reg_rd_data; + + reg reg_rd_resp_glob; + reg [REG_DWIDTH-1:0] reg_rd_data_glob; + wire reg_rd_resp_tk; + wire [REG_DWIDTH-1:0] reg_rd_data_tk; + + reg [31:0] scratch_reg = 32'h0; + reg [31:0] bus_counter = 32'h0; + + always @(posedge bus_clk) begin + if (bus_rst) + bus_counter <= 32'd0; + else + bus_counter <= bus_counter + 32'd1; + end + + // Regport Master to convert AXI4-Lite to regport + axil_regport_master #( + .DWIDTH (REG_DWIDTH), // Width of the AXI4-Lite data bus (must be 32 or 64) + .AWIDTH (REG_AWIDTH), // Width of the address bus + .WRBASE (0), // Write address base + .RDBASE (0), // Read address base + .TIMEOUT (10) // log2(timeout). Read will timeout after (2^TIMEOUT - 1) cycles + ) core_regport_master_i ( + // Clock and reset + .s_axi_aclk (s_axi_aclk), + .s_axi_aresetn (s_axi_aresetn), + // AXI4-Lite: Write address port (domain: s_axi_aclk) + .s_axi_awaddr (s_axi_awaddr), + .s_axi_awvalid (s_axi_awvalid), + .s_axi_awready (s_axi_awready), + // AXI4-Lite: Write data port (domain: s_axi_aclk) + .s_axi_wdata (s_axi_wdata), + .s_axi_wstrb (s_axi_wstrb), + .s_axi_wvalid (s_axi_wvalid), + .s_axi_wready (s_axi_wready), + // AXI4-Lite: Write response port (domain: s_axi_aclk) + .s_axi_bresp (s_axi_bresp), + .s_axi_bvalid (s_axi_bvalid), + .s_axi_bready (s_axi_bready), + // AXI4-Lite: Read address port (domain: s_axi_aclk) + .s_axi_araddr (s_axi_araddr), + .s_axi_arvalid (s_axi_arvalid), + .s_axi_arready (s_axi_arready), + // AXI4-Lite: Read data port (domain: s_axi_aclk) + .s_axi_rdata (s_axi_rdata), + .s_axi_rresp (s_axi_rresp), + .s_axi_rvalid (s_axi_rvalid), + .s_axi_rready (s_axi_rready), + // Register port: Write port (domain: reg_clk) + .reg_clk (bus_clk), + .reg_wr_req (reg_wr_req), + .reg_wr_addr (reg_wr_addr), + .reg_wr_data (reg_wr_data), + .reg_wr_keep (/*unused*/), + // Register port: Read port (domain: reg_clk) + .reg_rd_req (reg_rd_req), + .reg_rd_addr (reg_rd_addr), + .reg_rd_resp (reg_rd_resp), + .reg_rd_data (reg_rd_data) + ); + + //-------------------------------------------------------------------- + // Global Registers + // ------------------------------------------------------------------- + + // Write Registers + always @ (posedge bus_clk) begin + if (bus_rst) begin + scratch_reg <= 32'h0; + pps_select <= 2'b01; // Default to internal + fp_gpio_ctrl <= 32'h9; // Default to OFF - 4'b1001 + dboard_ctrl <= 32'h1; // Default to mimo + device_id <= 16'h0; + end else if (reg_wr_req) begin + case (reg_wr_addr) + REG_DEVICE_ID: begin + device_id <= reg_wr_data[15:0]; + end + REG_FP_GPIO_MASTER: begin + fp_gpio_master_reg <= reg_wr_data; + end + REG_FP_GPIO_RADIO_SRC: begin + fp_gpio_src_reg <= reg_wr_data; + end + REG_SCRATCH: begin + scratch_reg <= reg_wr_data; + end + REG_CLOCK_CTRL: begin + pps_select <= reg_wr_data[1:0]; + end + REG_FP_GPIO_CTRL: begin + fp_gpio_ctrl <= reg_wr_data; + end + REG_DBOARD_CTRL: begin + dboard_ctrl <= reg_wr_data; + end + endcase + end + end + + // Read Registers + always @ (posedge bus_clk) begin + if (bus_rst) begin + reg_rd_resp_glob <= 1'b0; + end + else begin + + if (reg_rd_req) begin + reg_rd_resp_glob <= 1'b1; + + case (reg_rd_addr) + REG_DEVICE_ID: + reg_rd_data_glob <= device_id; + + REG_RFNOC_INFO: + reg_rd_data_glob <= {CHDR_WIDTH[15:0], RFNOC_PROTOVER[15:0]}; + + REG_COMPAT_NUM: + reg_rd_data_glob <= {COMPAT_MAJOR[15:0], COMPAT_MINOR[15:0]}; + + REG_FP_GPIO_CTRL: + reg_rd_data_glob <= fp_gpio_ctrl; + + REG_FP_GPIO_MASTER: + reg_rd_data_glob <= fp_gpio_master_reg; + + REG_FP_GPIO_RADIO_SRC: + reg_rd_data_glob <= fp_gpio_src_reg; + + REG_DATESTAMP: + reg_rd_data_glob <= build_datestamp; + + REG_GIT_HASH: + reg_rd_data_glob <= `GIT_HASH; + + REG_SCRATCH: + reg_rd_data_glob <= scratch_reg; + + REG_CLOCK_CTRL: begin + reg_rd_data_glob <= 32'b0; + reg_rd_data_glob[1:0] <= pps_select; + reg_rd_data_glob[3] <= refclk_locked; + end + + REG_XADC_READBACK: + reg_rd_data_glob <= xadc_readback; + + REG_BUS_CLK_RATE: + reg_rd_data_glob <= BUS_CLK_RATE; + + REG_BUS_CLK_COUNT: + reg_rd_data_glob <= bus_counter; + + REG_SFP_PORT_INFO: + reg_rd_data_glob <= sfp_ports_info; + + REG_DBOARD_CTRL: + reg_rd_data_glob <= dboard_ctrl; + + REG_DBOARD_STATUS: + reg_rd_data_glob <= dboard_status; + + REG_NUM_TIMEKEEPERS: + reg_rd_data_glob <= NUM_TIMEKEEPERS; + + default: + reg_rd_resp_glob <= 1'b0; + endcase + end + else if (reg_rd_resp_glob) begin + reg_rd_resp_glob <= 1'b0; + end + end + end + + wire pps_radioclk; + + // Synchronize the PPS signal to the radio clock domain + synchronizer pps_radio_sync ( + .clk(radio_clk), .rst(1'b0), .in(pps_refclk), .out(pps_radioclk) + ); + + ///////////////////////////////////////////////////////////////////////////// + // + // DMA Transport Adapter + // + ///////////////////////////////////////////////////////////////////////////// + wire [63:0] dmao_tdata; + wire dmao_tlast; + wire dmao_tvalid; + wire dmao_tready; + + wire [63:0] dmai_tdata; + wire dmai_tlast; + wire dmai_tvalid; + wire dmai_tready; + + liberio_chdr64_adapter #( + .DMA_ID_WIDTH (4) + ) dma_xport_adapter ( + .clk (bus_clk), + .rst (bus_rst), + .device_id (device_id), + // From DMA engine to core + .s_dma_tdata (s_dma_tdata), + .s_dma_tuser (s_dma_tuser), + .s_dma_tlast (s_dma_tlast), + .s_dma_tvalid (s_dma_tvalid), + .s_dma_tready (s_dma_tready), + // From core to DMA engine + .m_dma_tdata (m_dma_tdata), + .m_dma_tuser (m_dma_tdest), + .m_dma_tlast (m_dma_tlast), + .m_dma_tvalid (m_dma_tvalid), + .m_dma_tready (m_dma_tready), + // CHDR buses + .s_chdr_tdata (dmao_tdata), + .s_chdr_tlast (dmao_tlast), + .s_chdr_tvalid (dmao_tvalid), + .s_chdr_tready (dmao_tready), + .m_chdr_tdata (dmai_tdata), + .m_chdr_tlast (dmai_tlast), + .m_chdr_tvalid (dmai_tvalid), + .m_chdr_tready (dmai_tready) + ); + + ///////////////////////////////////////////////////////////////////////////// + // + // Radio Daughter board and Front End Control + // + ///////////////////////////////////////////////////////////////////////////// + + // Radio Daughter board GPIO + wire [DB_GPIO_WIDTH-1:0] db_gpio_in[0:NUM_CHANNELS-1]; + wire [DB_GPIO_WIDTH-1:0] db_gpio_out[0:NUM_CHANNELS-1]; + wire [DB_GPIO_WIDTH-1:0] db_gpio_ddr[0:NUM_CHANNELS-1]; + wire [DB_GPIO_WIDTH-1:0] db_gpio_fab[0:NUM_CHANNELS-1]; + wire [31:0] radio_gpio_out[0:NUM_CHANNELS-1]; + wire [31:0] radio_gpio_ddr[0:NUM_CHANNELS-1]; + wire [31:0] radio_gpio_in[0:NUM_CHANNELS-1]; + wire [31:0] leds[0:NUM_CHANNELS-1]; + + // Daughter board I/O + wire rx_running[0:NUM_CHANNELS-1], tx_running[0:NUM_CHANNELS-1]; + wire [31:0] rx_int[0:NUM_CHANNELS-1], rx_data[0:NUM_CHANNELS-1], tx_int[0:NUM_CHANNELS-1], tx_data[0:NUM_CHANNELS-1]; + //wire rx_stb[0:NUM_CHANNELS-1], tx_stb[0:NUM_CHANNELS-1]; + wire db_fe_set_stb[0:NUM_CHANNELS-1]; + wire [7:0] db_fe_set_addr[0:NUM_CHANNELS-1]; + wire [31:0] db_fe_set_data[0:NUM_CHANNELS-1]; + wire db_fe_rb_stb[0:NUM_CHANNELS-1]; + wire [7:0] db_fe_rb_addr[0:NUM_CHANNELS-1]; + wire [63:0] db_fe_rb_data[0:NUM_CHANNELS-1]; + + wire [NUM_RADIOS-1:0] sync_out; + + genvar i; + generate + for (i = 0; i < NUM_CHANNELS; i = i + 1) begin + assign rx_atr[i] = rx_running[i]; + assign tx_atr[i] = tx_running[i]; + end + endgenerate + + + //------------------------------------ + // Daughterboard Control + // ----------------------------------- + + localparam [7:0] SR_DB_BASE = 8'd160; + localparam [7:0] RB_DB_BASE = 8'd16; + + generate + for (i = 0; i < NUM_CHANNELS; i = i + 1) begin: gen_db_control + db_control #( + .USE_SPI_CLK(0), + .SR_BASE(SR_DB_BASE), + .RB_BASE(RB_DB_BASE) + ) db_control_i ( + .clk(radio_clk), .reset(radio_rst), + .set_stb(db_fe_set_stb[i]), .set_addr(db_fe_set_addr[i]), .set_data(db_fe_set_data[i]), + .rb_stb(db_fe_rb_stb[i]), .rb_addr(db_fe_rb_addr[i]), .rb_data(db_fe_rb_data[i]), + .run_rx(rx_running[i]), .run_tx(tx_running[i]), + .misc_ins(32'h0), .misc_outs(), + .fp_gpio_in(radio_gpio_in[i]), .fp_gpio_out(radio_gpio_out[i]), .fp_gpio_ddr(radio_gpio_ddr[i]), .fp_gpio_fab(32'h0), + .db_gpio_in(db_gpio_in[i]), .db_gpio_out(db_gpio_out[i]), .db_gpio_ddr(db_gpio_ddr[i]), .db_gpio_fab(), + .leds(leds[i]), + .spi_clk(1'b0), .spi_rst(1'b0), .sen(), .sclk(), .mosi(), .miso(1'b0) + ); + end + endgenerate + + generate + for (i = 0; i < NUM_CHANNELS; i = i + 1) begin: gen_gpio_control + // Radio Data + assign rx_data[i] = rx[32*i+31:32*i]; + assign tx[32*i+31:32*i] = tx_data[i]; + // GPIO + assign db_gpio_out_flat[DB_GPIO_WIDTH*i +: DB_GPIO_WIDTH] = db_gpio_out[i]; + assign db_gpio_ddr_flat[DB_GPIO_WIDTH*i +: DB_GPIO_WIDTH] = db_gpio_ddr[i]; + assign db_gpio_in[i] = db_gpio_in_flat[DB_GPIO_WIDTH*i +: DB_GPIO_WIDTH]; + assign db_gpio_fab[i] = db_gpio_fab_flat[DB_GPIO_WIDTH*i +: DB_GPIO_WIDTH]; + // LEDs + assign leds_flat[32*i+31:32*i] = leds[i]; + end + endgenerate + + ///////////////////////////////////////////////////////////////////////////// + // + // Front-panel GPIO + // + ///////////////////////////////////////////////////////////////////////////// + + wire [FP_GPIO_WIDTH-1:0] radio_gpio_in_sync; + wire [FP_GPIO_WIDTH-1:0] radio_gpio_src_out; + reg [FP_GPIO_WIDTH-1:0] radio_gpio_src_out_reg; + wire [FP_GPIO_WIDTH-1:0] radio_gpio_src_ddr; + reg [FP_GPIO_WIDTH-1:0] radio_gpio_src_ddr_reg = ~0; + + // Double-synchronize the inputs to the PS + synchronizer #( + .INITIAL_VAL(1'b0), .WIDTH(FP_GPIO_WIDTH) + ) ps_gpio_in_sync_i ( + .clk(bus_clk), .rst(1'b0), .in(fp_gpio_in), .out(ps_gpio_in) + ); + + // Double-synchronize the inputs to the radio + synchronizer #( + .INITIAL_VAL(1'b0), .WIDTH(FP_GPIO_WIDTH) + ) radio_gpio_in_sync_i ( + .clk(radio_clk), .rst(1'b0), .in(fp_gpio_in), .out(radio_gpio_in_sync) + ); + + // Map the double-synchronized inputs to all radio channels + generate + for (i=0; i clk40_rst(n) + // + ////////////////////////////////////////////////////////////////////// + + // Synchronous reset for the bus_clk domain + reset_sync bus_reset_gen ( + .clk(bus_clk), + .reset_in(~FCLK_RESET0_N), + //.reset_in(~clocks_locked), + .reset_out(bus_rst) + ); + + + // PS-based Resets // + // + // Synchronous reset for the clk40 domain. This is derived from the PS reset 0. + reset_sync clk40_reset_gen ( + .clk(clk40), + .reset_in(~FCLK_RESET0_N), + .reset_out(clk40_rst) + ); + // Invert for various modules. + assign clk40_rstn = ~clk40_rst; + assign reg_rstn = clk40_rstn; + + ///////////////////////////////////////////////////////////////////// + // + // Clocks and PPS + // + ///////////////////////////////////////////////////////////////////// + + wire [1:0] pps_select; + + assign clk40 = FCLK_CLK1; // 40 MHz + assign bus_clk = FCLK_CLK0; // 100 MHz + assign reg_clk = clk40; + + reg [2:0] pps_reg; + + wire pps_ext = PPS_EXT_IN; + wire gps_pps = GPS_PPS; + + // connect PPS input to GPIO so ntpd can use it + always @ (posedge bus_clk) + pps_reg <= bus_rst ? 3'b000 : {pps_reg[1:0], GPS_PPS}; + assign ps_gpio_in[8] = pps_reg[2]; // 62 + + ///////////////////////////////////////////////////////////////////// + // + // Power Button + // + ////////////////////////////////////////////////////////////////////// + + // register the debounced onswitch signal to detect edges, + // Note: ONSWITCH_DB is low active + reg [1:0] onswitch_edge; + always @ (posedge bus_clk) + onswitch_edge <= bus_rst ? 2'b00 : {onswitch_edge[0], ONSWITCH_DB}; + + wire button_press = ~ONSWITCH_DB & onswitch_edge[0] & onswitch_edge[1]; + wire button_release = ONSWITCH_DB & ~onswitch_edge[0] & ~onswitch_edge[1]; + + // stretch the pulse so IRQs don't get lost + reg [7:0] button_press_reg, button_release_reg; + always @ (posedge bus_clk) + if (bus_rst) begin + button_press_reg <= 8'h00; + button_release_reg <= 8'h00; + end else begin + button_press_reg <= {button_press_reg[6:0], button_press}; + button_release_reg <= {button_release_reg[6:0], button_release}; + end + + wire button_press_irq = |button_press_reg; + wire button_release_irq = |button_release_reg; + + ///////////////////////////////////////////////////////////////////// + // + // Interrupts Fabric to PS + // + ////////////////////////////////////////////////////////////////////// + + wire [15:0] IRQ_F2P; + wire pmu_irq; + assign IRQ_F2P = {12'b0, + pmu_irq, // Interrupt 32 + button_release_irq, // Interrupt 31 + button_press_irq, // Interrupt 30 + 1'b0}; + + ///////////////////////////////////////////////////////////////////// + // + // PS Connections + // + ////////////////////////////////////////////////////////////////////// + + wire [63:0] ps_gpio_in; + wire [63:0] ps_gpio_out; + wire [63:0] ps_gpio_tri; + + e31x_ps_bd e31x_ps_bd_inst ( + + // DDR Interface + .DDR_VRN(DDR_VRN), + .DDR_VRP(DDR_VRP), + .DDR_addr(DDR_ADDR), + .DDR_ba(DDR_BANKADDR), + .DDR_cas_n(DDR_CAS_N), + .DDR_ck_n(DDR_CLK_N), + .DDR_ck_p(DDR_CLK), + .DDR_cke(DDR_CKE), + .DDR_cs_n(DDR_CS_N), + .DDR_dm(DDR_DM), + .DDR_dq(DDR_DQ), + .DDR_dqs_n(DDR_DQS_N), + .DDR_dqs_p(DDR_DQS), + .DDR_odt(DDR_ODT), + .DDR_ras_n(DDR_RAS_N), + .DDR_reset_n(DDR_RESET_N), + .DDR_we_n(DDR_WE_N), + + // Clocks + .FCLK_CLK0(FCLK_CLK0), + .FCLK_CLK1(FCLK_CLK1), + .FCLK_CLK2(), + .FCLK_CLK3(), + + // Resets + .FCLK_RESET0_N(FCLK_RESET0_N), + + // GPIO + .GPIO_0_tri_i(ps_gpio_in), + .GPIO_0_tri_o(ps_gpio_out), + .GPIO_0_tri_t(ps_gpio_tri), + + // Interrupts + .IRQ_F2P(IRQ_F2P), + + // MIO + .MIO(MIO), + + .PS_CLK(PS_CLK), + .PS_PORB(PS_PORB), + .PS_SRSTB(PS_SRSTB), + + // SPI + .SPI0_MISO_I(), + .SPI0_MISO_O(), + .SPI0_MISO_T(), + .SPI0_MOSI_I(), + .SPI0_MOSI_O(), + .SPI0_MOSI_T(), + .SPI0_SCLK_I(), + .SPI0_SCLK_O(), + .SPI0_SCLK_T(), + .SPI0_SS1_O(), + .SPI0_SS2_O(), + .SPI0_SS_I(), + .SPI0_SS_O(), + .SPI0_SS_T(), + + .SPI1_MISO_I(), + .SPI1_MISO_O(), + .SPI1_MISO_T(), + .SPI1_MOSI_I(), + .SPI1_MOSI_O(), + .SPI1_MOSI_T(), + .SPI1_SCLK_I(), + .SPI1_SCLK_O(), + .SPI1_SCLK_T(), + .SPI1_SS1_O(), + .SPI1_SS2_O(), + .SPI1_SS_I(), + .SPI1_SS_O(), + .SPI1_SS_T(), + + // USB + .USBIND_0_port_indctl(), + .USBIND_0_vbus_pwrfault(), + .USBIND_0_vbus_pwrselect(), + + .bus_clk(bus_clk), + .bus_rstn(~bus_rst), + .clk40(clk40), + .clk40_rstn(clk40_rstn), + .S_AXI_GP0_ACLK(clk40), + .S_AXI_GP0_ARESETN(clk40_rstn), + + // XBAR Regport + .m_axi_xbar_araddr(), + .m_axi_xbar_arprot(), + .m_axi_xbar_arready(), + .m_axi_xbar_arvalid(), + .m_axi_xbar_awaddr(), + .m_axi_xbar_awprot(), + .m_axi_xbar_awready(), + .m_axi_xbar_awvalid(), + .m_axi_xbar_bready(), + .m_axi_xbar_bresp(), + .m_axi_xbar_bvalid(), + .m_axi_xbar_rdata(), + .m_axi_xbar_rready(), + .m_axi_xbar_rresp(), + .m_axi_xbar_rvalid(), + .m_axi_xbar_wdata(), + .m_axi_xbar_wready(), + .m_axi_xbar_wstrb(), + .m_axi_xbar_wvalid(), + + // PMU + .m_axi_pmu_araddr(m_axi_pmu_araddr), + .m_axi_pmu_arprot(m_axi_pmu_arprot), + .m_axi_pmu_arready(m_axi_pmu_arready), + .m_axi_pmu_arvalid(m_axi_pmu_arvalid), + .m_axi_pmu_awaddr(m_axi_pmu_awaddr), + .m_axi_pmu_awprot(m_axi_pmu_awprot), + .m_axi_pmu_awready(m_axi_pmu_awready), + .m_axi_pmu_awvalid(m_axi_pmu_awvalid), + .m_axi_pmu_bready(m_axi_pmu_bready), + .m_axi_pmu_bresp(m_axi_pmu_bresp), + .m_axi_pmu_bvalid(m_axi_pmu_bvalid), + .m_axi_pmu_rdata(m_axi_pmu_rdata), + .m_axi_pmu_rready(m_axi_pmu_rready), + .m_axi_pmu_rresp(m_axi_pmu_rresp), + .m_axi_pmu_rvalid(m_axi_pmu_rvalid), + .m_axi_pmu_wdata(m_axi_pmu_wdata), + .m_axi_pmu_wready(m_axi_pmu_wready), + .m_axi_pmu_wstrb(m_axi_pmu_wstrb), + .m_axi_pmu_wvalid(m_axi_pmu_wvalid), + + // DMA + .s_axis_dma_tdata(), + .s_axis_dma_tdest(), + .s_axis_dma_tlast(), + .s_axis_dma_tready(), + .s_axis_dma_tvalid(1'b0), + .m_axis_dma_tdata(), + .m_axis_dma_tuser(), + .m_axis_dma_tlast(), + .m_axis_dma_tready(1'b1), + .m_axis_dma_tvalid() + ); + + ///////////////////////////////////////////////////////////////////// + // + // PMU + // + ////////////////////////////////////////////////////////////////////// + + axi_pmu inst_axi_pmu ( + .s_axi_aclk(clk40), // TODO: Original design used bus_clk + .s_axi_areset(clk40_rst), + + .ss(AVR_CS_R), + .mosi(AVR_MOSI_R), + .sck(AVR_SCK_R), + .miso(AVR_MISO_R), + + // AXI4-Lite: Write address port (domain: s_axi_aclk) + .s_axi_awaddr(m_axi_pmu_awaddr), + .s_axi_awvalid(m_axi_pmu_awvalid), + .s_axi_awready(m_axi_pmu_awready), + // AXI4-Lite: Write data port (domain: s_axi_aclk) + .s_axi_wdata(m_axi_pmu_wdata), + .s_axi_wstrb(m_axi_pmu_wstrb), + .s_axi_wvalid(m_axi_pmu_wvalid), + .s_axi_wready(m_axi_pmu_wready), + // AXI4-Lite: Write response port (domain: s_axi_aclk) + .s_axi_bresp(m_axi_pmu_bresp), + .s_axi_bvalid(m_axi_pmu_bvalid), + .s_axi_bready(m_axi_pmu_bready), + // AXI4-Lite: Read address port (domain: s_axi_aclk) + .s_axi_araddr(m_axi_pmu_araddr), + .s_axi_arvalid(m_axi_pmu_arvalid), + .s_axi_arready(m_axi_pmu_arready), + // AXI4-Lite: Read data port (domain: s_axi_aclk) + .s_axi_rdata(m_axi_pmu_rdata), + .s_axi_rresp(m_axi_pmu_rresp), + .s_axi_rvalid(m_axi_pmu_rvalid), + .s_axi_rready(m_axi_pmu_rready), + + .s_axi_irq(pmu_irq) + ); + + assign AVR_IRQ = 1'b0; + + localparam DB_E31X_IDLE_OUT = { + 1'b0, /* DB_EXP_18_24 (99) */ + 13'b0000000000000, /* leds & rx bandsels */ + 1'b0, /* CAT_FB_CLK (85) */ + 1'b0, /* CAT_TX_FRAME (84) */ + 1'b0, /* CAT_RX_DATA_CLK (83) */ + 25'b0000_0000_0000_0000_0000_0000_0, /* CAT_RX_FRAME(81), CAT_P0 & CAT_P1 (58)*/ + 1'b0, /* CAT_SYNC(57) */ + 4'b0000, /* CAT_ENAGC(56), CAT_BBCLK_OUT (55), CAT_ENABLE(54), CAT_TXNRX(53) */ + 3'b000, /* DB_EXP_1_8_V_{33,34,32} */ + 2'b00, /* CAT_CTRL_IN[1:0] (49,48) */ + 1'b0, /* CAT_MISO (47) */ + 4'b0000, /* CAT_{MOSI,SCLK,CS,RESETn) (46,45, 44, 43) */ + 1'b0, /* DB_1_8V_31 (42) */ + 8'h00, /* CAT_CTRL_OUT (41:34) */ + 1'b0, /* DB_1_8V_11 (33) */ + 1'b0, /* CAT_CTRL_IN3 (32) */ + 1'b0, /* DB_1_8V_10 (31) */ + 1'b0, /* CAT_CTRL_IN2 (30) */ + 1'b0, /* DB_1_8V_9 (29) */ + 1'b0, /* VCRX2_V2 (28) */ + 1'b0, /* DB_1_8V_8 (25) */ + 1'b0, /* VCRX2_V1 (26) */ + 1'b0, /* DB_1_8V_7 (25) */ + 1'b0, /* VCRX1_V2 (24) */ + 1'b0, /* DB_1_8V_6 (23) */ + 1'b0, /* VCRX1_V1 (22) */ + 1'b0, /* DB_1_8V_5 (21) */ + 1'b0, /* VCTXRX1_V2 (20) */ + 1'b0, /* DB_1_8V_4 (19) */ + 1'b0, /* VCTXRX1_V1 (18) */ + 1'b0, /* DB_1_8V_3 (17) */ + 1'b0, /* VCTXRX2_V1 (16) */ + 1'b1, /* DB_1_8V_2 (15) */ + 15'd0}; + + localparam DB_E31X_IDLE_DDR = { + 1'b0, /* DB_EXP_18_24 (99) */ + 13'b0101010101010, /* leds & rx bandsels */ + 1'b0, /* CAT_FB_CLK (85) */ + 1'b0, /* CAT_TX_FRAME (84) */ + 1'b0, /* CAT_RX_DATA_CLK (83) */ + 25'b0000_0000_0000_0000_0000_0000_0, /* CAT_RX_FRAME(81), CAT_P0 & CAT_P1 (58) */ + 1'b0, /* CAT_SYNC(57) */ + 4'b0001, /* CAT_ENAGC(56), CAT_BBCLK_OUT (55), CAT_ENABLE(54), CAT_TXNRX(53) */ + 3'b000, /* DB_EXP_1_8_V_{32,33,34,} (52, 51, 50) */ + 2'b00, /* CAT_CTRL_IN[1:0] (49,48) */ + 1'b0, /* CAT_MISO (47) */ + 4'b0111, /* CAT_{MOSI,SCLK,CS,RESETn) (46, 45, 44, 43) */ + 1'b0, /* DB_1_8V_31 (42) */ + 8'h00, /* CAT_CTRL_OUT (41:34) */ + 1'b0, /* DB_1_8V_11 (33) */ + 1'b0, /* CAT_CTRL_IN3 (32) */ + 1'b0, /* DB_1_8V_10 (31) */ + 1'b0, /* CAT_CTRL_IN2 (30) */ + 1'b0, /* DB_1_8V_9 (29) */ + 1'b0, /* VCRX2_V2 (28) */ + 1'b0, /* DB_1_8V_8 (25) */ + 1'b0, /* VCRX2_V1 (26) */ + 1'b0, /* DB_1_8V_7 (24) */ + 1'b0, /* VCRX1_V2 (24) */ + 1'b0, /* DB_1_8V_6 (23) */ + 1'b0, /* VCRX1_V1 (22) */ + 1'b0, /* DB_1_8V_5 (21) */ + 1'b0, /* VCTXRX1_V1 (20) */ + 1'b0, /* DB_1_8V_4 (19) */ + 1'b0, /* VCTXRX1_V2 (18) */ + 1'b1, /* DB_1_8V_3 (17) */ + 1'b0, /* VCTXRX2_V1 (16) */ + 1'b1, /* DB_1_8V_2 (15) */ + 15'd0}; + + localparam NUM_DB_IO_PINS = 100; + + wire [NUM_DB_IO_PINS-1:0] db_ddr = DB_E31X_IDLE_DDR; + wire [NUM_DB_IO_PINS-1:0] db_out = DB_E31X_IDLE_OUT; + wire [NUM_DB_IO_PINS-1:0] db_in; + + + genvar k; + generate + for (k = 0; k < NUM_DB_IO_PINS; k = k+1) begin + IOBUF db_io_i(.O(db_in[k]), .IO(DB_IO[k]), .I(db_out[k]), .T(~db_ddr[k])); + end + endgenerate + +endmodule // e31x diff --git a/fpga/usrp3/top/e31x/e31x_idle_pins.xdc b/fpga/usrp3/top/e31x/e31x_idle_pins.xdc new file mode 100644 index 000000000..28bd48d3e --- /dev/null +++ b/fpga/usrp3/top/e31x/e31x_idle_pins.xdc @@ -0,0 +1,507 @@ +############################################################################### +# Pin mapping +############################################################################### +## RF board connector pins + +# Pin 1 +set_property PACKAGE_PIN H19 [get_ports {DB_IO[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DB_IO[0]}] + +# Pin 2 +# 3.3v DB + +# Pin 3 +set_property PACKAGE_PIN F19 [get_ports {DB_IO[1]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DB_IO[1]}] + +#Pin 4 +# 3.3v DB + +#Pin 5 +set_property PACKAGE_PIN G19 [get_ports {DB_IO[2]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DB_IO[2]}] + +#Pin 6 +set_property PACKAGE_PIN E19 [get_ports {DB_IO[3]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DB_IO[3]}] + +#Pin 7 +set_property PACKAGE_PIN E20 [get_ports {DB_IO[4]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DB_IO[4]}] + +#Pin 8 +set_property PACKAGE_PIN G21 [get_ports {DB_IO[5]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DB_IO[5]}] + +#Pin 9 +set_property PACKAGE_PIN G22 [get_ports {DB_IO[6]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DB_IO[6]}] + +#Pin 10 +set_property PACKAGE_PIN G20 [get_ports {DB_IO[7]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DB_IO[7]}] + +#Pin 11 +set_property PACKAGE_PIN H22 [get_ports {DB_IO[8]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DB_IO[8]}] + +#Pin 12 +set_property PACKAGE_PIN F22 [get_ports {DB_IO[9]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DB_IO[9]}] + +#Pin 13 +set_property PACKAGE_PIN A17 [get_ports {DB_IO[10]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DB_IO[10]}] + +#Pin 14 +set_property PACKAGE_PIN F21 [get_ports {DB_IO[11]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DB_IO[11]}] + +#Pin 15 +set_property PACKAGE_PIN B16 [get_ports {DB_IO[12]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DB_IO[12]}] + +#Pin 16 -- Not used +#set_property PACKAGE_PIN J21 [get_ports {}] +#set_property IOSTANDARD LVCMOS18 [get_ports {}] + +#Pin 17 +set_property PACKAGE_PIN A19 [get_ports {DB_IO[13]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DB_IO[13]}] + +#Pin 18 -- Not used +#set_property PACKAGE_PIN J22 [get_ports {}] +#set_property IOSTANDARD LVCMOS18 [get_ports {}] + +#Pin 19 +set_property PACKAGE_PIN B15 [get_ports {DB_IO[14]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DB_IO[14]}] + +#Pin 20 +set_property PACKAGE_PIN K21 [get_ports {DB_IO[15]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[15]}] + +#Pin 21 +set_property PACKAGE_PIN A16 [get_ports {DB_IO[16]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DB_IO[16]}] + +#Pin 22 +set_property PACKAGE_PIN L22 [get_ports {DB_IO[17]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[17]}] + +#Pin 23 +set_property PACKAGE_PIN B17 [get_ports {DB_IO[18]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DB_IO[18]}] + +#Pin 24 +set_property PACKAGE_PIN L21 [get_ports {DB_IO[19]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[19]}] + +#Pin 25 +set_property PACKAGE_PIN C15 [get_ports {DB_IO[20]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DB_IO[20]}] + +#Pin 26 +set_property PACKAGE_PIN R18 [get_ports {DB_IO[21]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[21]}] + +#Pin 27 +set_property PACKAGE_PIN E18 [get_ports {DB_IO[22]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DB_IO[22]}] + +#Pin 28 +set_property PACKAGE_PIN T18 [get_ports {DB_IO[23]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[23]}] + +#Pin 29 +set_property PACKAGE_PIN F18 [get_ports {DB_IO[24]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DB_IO[24]}] + +#Pin 30 +set_property PACKAGE_PIN M20 [get_ports {DB_IO[25]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[25]}] + +#Pin 31 +set_property PACKAGE_PIN F17 [get_ports {DB_IO[26]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DB_IO[26]}] + +#Pin 32 +set_property PACKAGE_PIN M15 [get_ports {DB_IO[27]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[27]}] + +#Pin 33 +set_property PACKAGE_PIN G17 [get_ports {DB_IO[28]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DB_IO[28]}] + +#Pin 34 +set_property PACKAGE_PIN J18 [get_ports {DB_IO[29]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[29]}] + +#Pin 35 +set_property PACKAGE_PIN U5 [get_ports {DB_IO[30]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[30]}] + +#Pin 36 +set_property PACKAGE_PIN J20 [get_ports {DB_IO[31]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[31]}] + +#Pin 37 +set_property PACKAGE_PIN U6 [get_ports {DB_IO[32]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[32]}] + +#Pin 38 +set_property PACKAGE_PIN K19 [get_ports {DB_IO[33]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[33]}] + +#Pin 39 +set_property PACKAGE_PIN AB5 [get_ports {DB_IO[34]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[34]}] + +#Pin 40 +set_property PACKAGE_PIN K20 [get_ports {DB_IO[35]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[35]}] + +#Pin 41 +set_property PACKAGE_PIN AB6 [get_ports {DB_IO[36]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[36]}] + +#Pin 42 +set_property PACKAGE_PIN L19 [get_ports {DB_IO[37]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[37]}] + +#Pin 43 +set_property PACKAGE_PIN AB7 [get_ports {DB_IO[38]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[38]}] + +#Pin 44 +set_property PACKAGE_PIN V12 [get_ports {DB_IO[39]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[39]}] + +#Pin 45 +set_property PACKAGE_PIN AA4 [get_ports {DB_IO[40]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[40]}] + +#Pin 46 +set_property PACKAGE_PIN W12 [get_ports {DB_IO[41]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[41]}] + +#Pin 47 +set_property PACKAGE_PIN T6 [get_ports {DB_IO[42]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[42]}] + +#Pin 48 +set_property PACKAGE_PIN U11 [get_ports {DB_IO[43]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[43]}] + +#Pin 49 +# 1.8V + +#Pin 50 +set_property PACKAGE_PIN W6 [get_ports {DB_IO[44]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[44]}] + +#Pin 51 +# 1.8V + +#Pin 52 +set_property PACKAGE_PIN W5 [get_ports {DB_IO[45]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[45]}] + +#Pin 53 +# 5V + +#Pin 54 +set_property PACKAGE_PIN V7 [get_ports {DB_IO[46]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[46]}] + +#Pin 55 +# 5V + +#Pin 56 +set_property PACKAGE_PIN W7 [get_ports {DB_IO[47]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[47]}] + +#Pin 57 +# 5V + +#Pin 58 +set_property PACKAGE_PIN V4 [get_ports {DB_IO[48]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[48]}] + +#Pin 59 +# 5V + +#Pin 60 +set_property PACKAGE_PIN V5 [get_ports {DB_IO[49]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[49]}] + +#Pin 61 +# 1.8V + +#Pin 62 +set_property PACKAGE_PIN U4 [get_ports {DB_IO[50]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[50]}] + +#Pin 63 +# 1.8V + +#Pin 64 +set_property PACKAGE_PIN T4 [get_ports {DB_IO[51]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[51]}] + +#Pin 65 +# GND + +#Pin 66 +set_property PACKAGE_PIN R6 [get_ports {DB_IO[52]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[52]}] + +#Pin 67 +set_property PACKAGE_PIN AB1 [get_ports {DB_IO[53]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[53]}] + +#Pin 68 +# GND + +#Pin 69 +set_property PACKAGE_PIN AB4 [get_ports {DB_IO[54]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[54]}] + +#Pin 70 +set_property PACKAGE_PIN M19 [get_ports {DB_IO[55]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[55]}] + +#Pin 71 +set_property PACKAGE_PIN AB2 [get_ports {DB_IO[56]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[56]}] + +#Pin 72 +# GND + +#Pin 73 +# GND + +#Pin 74 +set_property PACKAGE_PIN T16 [get_ports {DB_IO[57]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[57]}] + +#Pin 75 +set_property PACKAGE_PIN J15 [get_ports {DB_IO[58]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[58]}] + +#Pin 76 +# GND + +#Pin 77 +set_property PACKAGE_PIN J16 [get_ports {DB_IO[59]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[59]}] + +#Pin 78 +set_property PACKAGE_PIN N15 [get_ports {DB_IO[60]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[60]}] + +#Pin 79 +set_property PACKAGE_PIN J17 [get_ports {DB_IO[61]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[61]}] + +#Pin 80 +set_property PACKAGE_PIN M21 [get_ports {DB_IO[62]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[62]}] + +#Pin 81 +set_property PACKAGE_PIN K15 [get_ports {DB_IO[63]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[63]}] + +#Pin 82 +set_property PACKAGE_PIN P15 [get_ports {DB_IO[64]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[64]}] + +#Pin 83 +set_property PACKAGE_PIN K18 [get_ports {DB_IO[65]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[65]}] + +#Pin 84 +set_property PACKAGE_PIN N19 [get_ports {DB_IO[66]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[66]}] + +#Pin 85 +set_property PACKAGE_PIN K16 [get_ports {DB_IO[67]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[67]}] + +#Pin 86 +set_property PACKAGE_PIN P18 [get_ports {DB_IO[68]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[68]}] + +#Pin 87 +set_property PACKAGE_PIN L16 [get_ports {DB_IO[69]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[69]}] + +#Pin 88 +set_property PACKAGE_PIN P20 [get_ports {DB_IO[70]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[70]}] + +#Pin 89 +set_property PACKAGE_PIN L17 [get_ports {DB_IO[71]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[71]}] + +#Pin 90 +set_property PACKAGE_PIN P17 [get_ports {DB_IO[72]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[72]}] + +#Pin 91 +set_property PACKAGE_PIN M16 [get_ports {DB_IO[73]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[73]}] + +#Pin 92 +set_property PACKAGE_PIN P21 [get_ports {DB_IO[74]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[74]}] + +#Pin 93 +set_property PACKAGE_PIN M17 [get_ports {DB_IO[75]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[75]}] + +#Pin 94 +set_property PACKAGE_PIN R20 [get_ports {DB_IO[76]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[76]}] + +#Pin 95 +set_property PACKAGE_PIN N17 [get_ports {DB_IO[77]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[77]}] + +#Pin 96 +set_property PACKAGE_PIN T17 [get_ports {DB_IO[78]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[78]}] + +#Pin 97 +set_property PACKAGE_PIN N20 [get_ports {DB_IO[79]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[79]}] + +#Pin 98 +set_property PACKAGE_PIN M22 [get_ports {DB_IO[80]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[80]}] + +#Pin 99 +set_property PACKAGE_PIN N18 [get_ports {DB_IO[81]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[81]}] + +#Pin 100 +set_property PACKAGE_PIN N22 [get_ports {DB_IO[82]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[82]}] + +#Pin 101 +set_property PACKAGE_PIN L18 [get_ports {DB_IO[83]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[83]}] + +#Pin 102 +set_property PACKAGE_PIN P22 [get_ports {DB_IO[84]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[84]}] + +#Pin 103 +# GND + +#Pin 104 +set_property PACKAGE_PIN R21 [get_ports {DB_IO[85]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[85]}] + +#Pin 105 +# 1.8V + +#Pin 106 +# GND + +#Pin 107 +set_property PACKAGE_PIN AA8 [get_ports {DB_IO[86]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[86]}] + +#Pin 108 +set_property PACKAGE_PIN Y11 [get_ports {DB_IO[87]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[87]}] + +#Pin 109 +set_property PACKAGE_PIN AA9 [get_ports {DB_IO[88]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[88]}] + +#Pin 110 +set_property PACKAGE_PIN AB10 [get_ports {DB_IO[89]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[89]}] + +#Pin 111 +set_property PACKAGE_PIN AB9 [get_ports {DB_IO[90]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[90]}] + +#Pin 112 +set_property PACKAGE_PIN AA12 [get_ports {DB_IO[91]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[91]}] + +#Pin 113 +set_property PACKAGE_PIN U10 [get_ports {DB_IO[92]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[92]}] + +#Pin 114 +set_property PACKAGE_PIN U12 [get_ports {DB_IO[93]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[93]}] + +#Pin 115 +set_property PACKAGE_PIN Y10 [get_ports {DB_IO[94]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[94]}] + +#Pin 116 +set_property PACKAGE_PIN AB11 [get_ports {DB_IO[95]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[95]}] + +#Pin 117 +set_property PACKAGE_PIN U9 [get_ports {DB_IO[96]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[96]}] + +#Pin 118 +set_property PACKAGE_PIN AA11 [get_ports {DB_IO[97]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[97]}] + +#Pin 119 +set_property PACKAGE_PIN Y4 [get_ports {DB_IO[98]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[98]}] + +#Pin 120 +set_property PACKAGE_PIN AB12 [get_ports {DB_IO[99]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_IO[99]}] + +### Other I/O +set_property PACKAGE_PIN A22 [get_ports AVR_CS_R] +set_property IOSTANDARD LVCMOS33 [get_ports AVR_CS_R] +set_property PACKAGE_PIN B22 [get_ports AVR_IRQ] +set_property IOSTANDARD LVCMOS33 [get_ports AVR_IRQ] +set_property PACKAGE_PIN C22 [get_ports AVR_MISO_R] +set_property IOSTANDARD LVCMOS33 [get_ports AVR_MISO_R] +set_property PACKAGE_PIN A21 [get_ports AVR_MOSI_R] +set_property IOSTANDARD LVCMOS33 [get_ports AVR_MOSI_R] +set_property PACKAGE_PIN D22 [get_ports AVR_SCK_R] +set_property IOSTANDARD LVCMOS33 [get_ports AVR_SCK_R] + +set_property PACKAGE_PIN E21 [get_ports ONSWITCH_DB] +set_property IOSTANDARD LVCMOS33 [get_ports ONSWITCH_DB] + +set_property PACKAGE_PIN Y9 [get_ports GPS_PPS] +set_property IOSTANDARD LVCMOS18 [get_ports GPS_PPS] + +set_property PACKAGE_PIN D18 [get_ports PPS_EXT_IN] +set_property IOSTANDARD LVCMOS33 [get_ports PPS_EXT_IN] + +set_property PACKAGE_PIN E16 [get_ports {PL_GPIO[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {PL_GPIO[0]}] +set_property PACKAGE_PIN C18 [get_ports {PL_GPIO[1]}] +set_property IOSTANDARD LVCMOS33 [get_ports {PL_GPIO[1]}] +set_property PACKAGE_PIN D17 [get_ports {PL_GPIO[2]}] +set_property IOSTANDARD LVCMOS33 [get_ports {PL_GPIO[2]}] +set_property PACKAGE_PIN D16 [get_ports {PL_GPIO[3]}] +set_property IOSTANDARD LVCMOS33 [get_ports {PL_GPIO[3]}] +set_property PACKAGE_PIN D15 [get_ports {PL_GPIO[4]}] +set_property IOSTANDARD LVCMOS33 [get_ports {PL_GPIO[4]}] +set_property PACKAGE_PIN E15 [get_ports {PL_GPIO[5]}] +set_property IOSTANDARD LVCMOS33 [get_ports {PL_GPIO[5]}] +set_property PULLDOWN true [get_ports {PL_GPIO[0]}] +set_property PULLDOWN true [get_ports {PL_GPIO[1]}] +set_property PULLDOWN true [get_ports {PL_GPIO[2]}] +set_property PULLDOWN true [get_ports {PL_GPIO[3]}] +set_property PULLDOWN true [get_ports {PL_GPIO[4]}] +set_property PULLDOWN true [get_ports {PL_GPIO[5]}] + diff --git a/fpga/usrp3/top/e31x/e31x_pins.xdc b/fpga/usrp3/top/e31x/e31x_pins.xdc new file mode 100644 index 000000000..8fd52b429 --- /dev/null +++ b/fpga/usrp3/top/e31x/e31x_pins.xdc @@ -0,0 +1,507 @@ +############################################################################### +# Pin mapping +############################################################################### +## RF board connector pins + +# Pin 1 +set_property PACKAGE_PIN H19 [get_ports {TX_BANDSEL[2]}] +set_property IOSTANDARD LVCMOS33 [get_ports {TX_BANDSEL[2]}] + +# Pin 2 +# 3.3v DB + +# Pin 3 +set_property PACKAGE_PIN F19 [get_ports {RX1B_BANDSEL[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {RX1B_BANDSEL[0]}] + +#Pin 4 +# 3.3v DB + +#Pin 5 +set_property PACKAGE_PIN G19 [get_ports {RX1B_BANDSEL[1]}] +set_property IOSTANDARD LVCMOS33 [get_ports {RX1B_BANDSEL[1]}] + +#Pin 6 +set_property PACKAGE_PIN E19 [get_ports {RX1_BANDSEL[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {RX1_BANDSEL[0]}] + +#Pin 7 +set_property PACKAGE_PIN E20 [get_ports VCTXRX2_V2] +set_property IOSTANDARD LVCMOS33 [get_ports VCTXRX2_V2] + +#Pin 8 +set_property PACKAGE_PIN G21 [get_ports {RX1_BANDSEL[1]}] +set_property IOSTANDARD LVCMOS33 [get_ports {RX1_BANDSEL[1]}] + +#Pin 9 +set_property PACKAGE_PIN G22 [get_ports TX_ENABLE1A] +set_property IOSTANDARD LVCMOS33 [get_ports TX_ENABLE1A] + +#Pin 10 +set_property PACKAGE_PIN G20 [get_ports {RX1_BANDSEL[2]}] +set_property IOSTANDARD LVCMOS33 [get_ports {RX1_BANDSEL[2]}] + +#Pin 11 +set_property PACKAGE_PIN H22 [get_ports TX_ENABLE2A] +set_property IOSTANDARD LVCMOS33 [get_ports TX_ENABLE2A] + +#Pin 12 +set_property PACKAGE_PIN F22 [get_ports {TX_BANDSEL[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {TX_BANDSEL[0]}] + +#Pin 13 +set_property PACKAGE_PIN A17 [get_ports TX_ENABLE1B] +set_property IOSTANDARD LVCMOS33 [get_ports TX_ENABLE1B] + +#Pin 14 +set_property PACKAGE_PIN F21 [get_ports {TX_BANDSEL[1]}] +set_property IOSTANDARD LVCMOS33 [get_ports {TX_BANDSEL[1]}] + +#Pin 15 +set_property PACKAGE_PIN B16 [get_ports TX_ENABLE2B] +set_property IOSTANDARD LVCMOS33 [get_ports TX_ENABLE2B] + +#Pin 16 -- Not used +#set_property PACKAGE_PIN J21 [get_ports DB_SCL] +#set_property IOSTANDARD LVCMOS18 [get_ports DB_SCL] + +#Pin 17 +set_property PACKAGE_PIN A19 [get_ports {RX1C_BANDSEL[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {RX1C_BANDSEL[0]}] + +#Pin 18 -- Not used +#set_property PACKAGE_PIN J22 [get_ports DB_SDA] +#set_property IOSTANDARD LVCMOS18 [get_ports DB_SDA] + +#Pin 19 +set_property PACKAGE_PIN B15 [get_ports {RX1C_BANDSEL[1]}] +set_property IOSTANDARD LVCMOS33 [get_ports {RX1C_BANDSEL[1]}] + +#Pin 20 +set_property PACKAGE_PIN K21 [get_ports TCXO_DAC_SYNC_N] +set_property IOSTANDARD LVCMOS18 [get_ports TCXO_DAC_SYNC_N] + +#Pin 21 +set_property PACKAGE_PIN A16 [get_ports VCTXRX2_V1] +set_property IOSTANDARD LVCMOS33 [get_ports VCTXRX2_V1] + +#Pin 22 +set_property PACKAGE_PIN L22 [get_ports TCXO_DAC_SCLK] +set_property IOSTANDARD LVCMOS18 [get_ports TCXO_DAC_SCLK] + +#Pin 23 +set_property PACKAGE_PIN B17 [get_ports VCTXRX1_V2] +set_property IOSTANDARD LVCMOS33 [get_ports VCTXRX1_V2] + +#Pin 24 +set_property PACKAGE_PIN L21 [get_ports TCXO_DAC_SDIN] +set_property IOSTANDARD LVCMOS18 [get_ports TCXO_DAC_SDIN] + +#Pin 25 +set_property PACKAGE_PIN C15 [get_ports VCTXRX1_V1] +set_property IOSTANDARD LVCMOS33 [get_ports VCTXRX1_V1] + +#Pin 26 +set_property PACKAGE_PIN R18 [get_ports {DB_EXP_1_8V[5]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_EXP_1_8V[5]}] + +#Pin 27 +set_property PACKAGE_PIN E18 [get_ports VCRX1_V1] +set_property IOSTANDARD LVCMOS33 [get_ports VCRX1_V1] + +#Pin 28 +set_property PACKAGE_PIN T18 [get_ports {DB_EXP_1_8V[6]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_EXP_1_8V[6]}] + +#Pin 29 +set_property PACKAGE_PIN F18 [get_ports VCRX1_V2] +set_property IOSTANDARD LVCMOS33 [get_ports VCRX1_V2] + +#Pin 30 +set_property PACKAGE_PIN M20 [get_ports TCXO_CLK] +set_property IOSTANDARD LVCMOS18 [get_ports TCXO_CLK] +set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets TCXO_CLK] + +#Pin 31 +set_property PACKAGE_PIN F17 [get_ports VCRX2_V1] +set_property IOSTANDARD LVCMOS33 [get_ports VCRX2_V1] + +#Pin 32 +set_property PACKAGE_PIN M15 [get_ports {DB_EXP_1_8V[8]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_EXP_1_8V[8]}] + +#Pin 33 +set_property PACKAGE_PIN G17 [get_ports VCRX2_V2] +set_property IOSTANDARD LVCMOS33 [get_ports VCRX2_V2] + +#Pin 34 +set_property PACKAGE_PIN J18 [get_ports {DB_EXP_1_8V[9]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_EXP_1_8V[9]}] + +#Pin 35 +set_property PACKAGE_PIN U5 [get_ports {CAT_CTRL_IN[2]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_CTRL_IN[2]}] + +#Pin 36 +set_property PACKAGE_PIN J20 [get_ports {DB_EXP_1_8V[10]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_EXP_1_8V[10]}] + +#Pin 37 +set_property PACKAGE_PIN U6 [get_ports {CAT_CTRL_IN[3]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_CTRL_IN[3]}] + +#Pin 38 +set_property PACKAGE_PIN K19 [get_ports {DB_EXP_1_8V[11]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_EXP_1_8V[11]}] + +#Pin 39 +set_property PACKAGE_PIN AB5 [get_ports {CAT_CTRL_OUT[0]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_CTRL_OUT[0]}] + +#Pin 40 +set_property PACKAGE_PIN K20 [get_ports {CAT_CTRL_OUT[4]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_CTRL_OUT[4]}] + +#Pin 41 +set_property PACKAGE_PIN AB6 [get_ports {CAT_CTRL_OUT[1]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_CTRL_OUT[1]}] + +#Pin 42 +set_property PACKAGE_PIN L19 [get_ports {CAT_CTRL_OUT[5]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_CTRL_OUT[5]}] + +#Pin 43 +set_property PACKAGE_PIN AB7 [get_ports {CAT_CTRL_OUT[2]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_CTRL_OUT[2]}] + +#Pin 44 +set_property PACKAGE_PIN V12 [get_ports {CAT_CTRL_OUT[6]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_CTRL_OUT[6]}] + +#Pin 45 +set_property PACKAGE_PIN AA4 [get_ports {CAT_CTRL_OUT[3]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_CTRL_OUT[3]}] + +#Pin 46 +set_property PACKAGE_PIN W12 [get_ports {CAT_CTRL_OUT[7]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_CTRL_OUT[7]}] + +#Pin 47 +set_property PACKAGE_PIN T6 [get_ports {DB_EXP_1_8V[31]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_EXP_1_8V[31]}] + +#Pin 48 +set_property PACKAGE_PIN U11 [get_ports CAT_RESET] +set_property IOSTANDARD LVCMOS18 [get_ports CAT_RESET] + +#Pin 49 +# 1.8V + +#Pin 50 +set_property PACKAGE_PIN W6 [get_ports CAT_CS] +set_property IOSTANDARD LVCMOS18 [get_ports CAT_CS] + +#Pin 51 +# 1.8V +#Pin 52 +set_property PACKAGE_PIN W5 [get_ports CAT_SCLK] +set_property IOSTANDARD LVCMOS18 [get_ports CAT_SCLK] + +#Pin 53 +# 5V + +#Pin 54 +set_property PACKAGE_PIN V7 [get_ports CAT_MOSI] +set_property IOSTANDARD LVCMOS18 [get_ports CAT_MOSI] + +#Pin 55 +# 5V + +#Pin 56 +set_property PACKAGE_PIN W7 [get_ports CAT_MISO] +set_property IOSTANDARD LVCMOS18 [get_ports CAT_MISO] + +#Pin 57 +# 5V + +#Pin 58 +set_property PACKAGE_PIN V4 [get_ports {CAT_CTRL_IN[0]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_CTRL_IN[0]}] + +#Pin 59 +# 5V + +#Pin 60 +set_property PACKAGE_PIN V5 [get_ports {CAT_CTRL_IN[1]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_CTRL_IN[1]}] + +#Pin 61 +# 1.8V + +#Pin 62 +set_property PACKAGE_PIN U4 [get_ports {DB_EXP_1_8V[33]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_EXP_1_8V[33]}] + +#Pin 63 +# 1.8V + +#Pin 64 +set_property PACKAGE_PIN T4 [get_ports {DB_EXP_1_8V[34]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_EXP_1_8V[34]}] +#Pin 65 +# GND + +#Pin 66 +set_property PACKAGE_PIN R6 [get_ports {DB_EXP_1_8V[32]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_EXP_1_8V[32]}] + +#Pin 67 +set_property PACKAGE_PIN AB1 [get_ports CAT_TXNRX] +set_property IOSTANDARD LVCMOS18 [get_ports CAT_TXNRX] + +#Pin 68 +# GND + +#Pin 69 +set_property PACKAGE_PIN AB4 [get_ports CAT_ENABLE] +set_property IOSTANDARD LVCMOS18 [get_ports CAT_ENABLE] + +#Pin 70 +set_property PACKAGE_PIN M19 [get_ports CAT_BBCLK_OUT] +set_property IOSTANDARD LVCMOS18 [get_ports CAT_BBCLK_OUT] + +#Pin 71 +set_property PACKAGE_PIN AB2 [get_ports CAT_ENAGC] +set_property IOSTANDARD LVCMOS18 [get_ports CAT_ENAGC] + +#Pin 72 +# GND + +#Pin 73 +# GND + +#Pin 74 +set_property PACKAGE_PIN T16 [get_ports CAT_SYNC] +set_property IOSTANDARD LVCMOS18 [get_ports CAT_SYNC] + +#Pin 78 +set_property PACKAGE_PIN N15 [get_ports {CAT_P1_D[11]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_P1_D[11]}] + +#Pin 76 +# GND + +#Pin 100 +set_property PACKAGE_PIN N22 [get_ports {CAT_P1_D[10]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_P1_D[10]}] + +#Pin 93 +set_property PACKAGE_PIN M17 [get_ports {CAT_P0_D[11]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_P0_D[11]}] + +#Pin 96 +set_property PACKAGE_PIN T17 [get_ports {CAT_P1_D[9]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_P1_D[9]}] + +#Pin 95 +set_property PACKAGE_PIN N17 [get_ports {CAT_P0_D[10]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_P0_D[10]}] + +#Pin 98 +set_property PACKAGE_PIN M22 [get_ports {CAT_P1_D[8]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_P1_D[8]}] + +#Pin 81 +set_property PACKAGE_PIN K15 [get_ports {CAT_P0_D[9]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_P0_D[9]}] + +#Pin 92 +set_property PACKAGE_PIN P21 [get_ports {CAT_P1_D[7]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_P1_D[7]}] + +#Pin 97 +set_property PACKAGE_PIN N20 [get_ports {CAT_P0_D[8]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_P0_D[8]}] + +#Pin 94 +set_property PACKAGE_PIN R20 [get_ports {CAT_P1_D[6]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_P1_D[6]}] + +#Pin 77 +set_property PACKAGE_PIN J16 [get_ports {CAT_P0_D[7]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_P0_D[7]}] + +#Pin 86 +set_property PACKAGE_PIN P18 [get_ports {CAT_P1_D[5]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_P1_D[5]}] + +#Pin 85 +set_property PACKAGE_PIN K16 [get_ports {CAT_P0_D[6]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_P0_D[6]}] + +#Pin 90 +set_property PACKAGE_PIN P17 [get_ports {CAT_P1_D[4]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_P1_D[4]}] + +#Pin 75 +set_property PACKAGE_PIN J15 [get_ports {CAT_P0_D[5]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_P0_D[5]}] + +#Pin 82 +set_property PACKAGE_PIN P15 [get_ports {CAT_P1_D[3]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_P1_D[3]}] + +#Pin 91 +set_property PACKAGE_PIN M16 [get_ports {CAT_P0_D[4]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_P0_D[4]}] + +#Pin 88 +set_property PACKAGE_PIN P20 [get_ports {CAT_P1_D[2]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_P1_D[2]}] + +#Pin 79 +set_property PACKAGE_PIN J17 [get_ports {CAT_P0_D[3]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_P0_D[3]}] + +#Pin 80 +set_property PACKAGE_PIN M21 [get_ports {CAT_P1_D[1]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_P1_D[1]}] + +#Pin 89 +set_property PACKAGE_PIN L17 [get_ports {CAT_P0_D[2]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_P0_D[2]}] + +#Pin 84 +set_property PACKAGE_PIN N19 [get_ports {CAT_P1_D[0]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_P1_D[0]}] + +#Pin 83 +set_property PACKAGE_PIN K18 [get_ports {CAT_P0_D[1]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_P0_D[1]}] + +#Pin 102 +set_property PACKAGE_PIN P22 [get_ports CAT_TX_FRAME] +set_property IOSTANDARD LVCMOS18 [get_ports CAT_TX_FRAME] + +#Pin 87 +set_property PACKAGE_PIN L16 [get_ports {CAT_P0_D[0]}] +set_property IOSTANDARD LVCMOS18 [get_ports {CAT_P0_D[0]}] + +#Pin 104 +set_property PACKAGE_PIN R21 [get_ports CAT_FB_CLK] +set_property IOSTANDARD LVCMOS18 [get_ports CAT_FB_CLK] + +#Pin 99 +set_property PACKAGE_PIN N18 [get_ports CAT_RX_FRAME] +set_property IOSTANDARD LVCMOS18 [get_ports CAT_RX_FRAME] + +#Pin 103 +# GND + +#Pin 101 +set_property PACKAGE_PIN L18 [get_ports CAT_DATA_CLK] +set_property IOSTANDARD LVCMOS18 [get_ports CAT_DATA_CLK] +set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets CAT_DATA_CLK] + +#Pin 105 +# 1.8V + +#Pin 106 +# GND + +#Pin 107 +set_property PACKAGE_PIN AA8 [get_ports {RX2_BANDSEL[2]}] +set_property IOSTANDARD LVCMOS18 [get_ports {RX2_BANDSEL[2]}] + +#Pin 108 +set_property PACKAGE_PIN Y11 [get_ports LED_TXRX1_TX] +set_property IOSTANDARD LVCMOS18 [get_ports LED_TXRX1_TX] + +#Pin 109 +set_property PACKAGE_PIN AA9 [get_ports {RX2_BANDSEL[1]}] +set_property IOSTANDARD LVCMOS18 [get_ports {RX2_BANDSEL[1]}] + +#Pin 110 +set_property PACKAGE_PIN AB10 [get_ports LED_TXRX1_RX] +set_property IOSTANDARD LVCMOS18 [get_ports LED_TXRX1_RX] + +#Pin 111 +set_property PACKAGE_PIN AB9 [get_ports {RX2_BANDSEL[0]}] +set_property IOSTANDARD LVCMOS18 [get_ports {RX2_BANDSEL[0]}] + +#Pin 112 +set_property PACKAGE_PIN AA12 [get_ports LED_RX1_RX] +set_property IOSTANDARD LVCMOS18 [get_ports LED_RX1_RX] + +#Pin 113 +set_property PACKAGE_PIN U10 [get_ports {RX2C_BANDSEL[1]}] +set_property IOSTANDARD LVCMOS18 [get_ports {RX2C_BANDSEL[1]}] + +#Pin 114 +set_property PACKAGE_PIN U12 [get_ports LED_TXRX2_TX] +set_property IOSTANDARD LVCMOS18 [get_ports LED_TXRX2_TX] + +#Pin 115 +set_property PACKAGE_PIN Y10 [get_ports {RX2C_BANDSEL[0]}] +set_property IOSTANDARD LVCMOS18 [get_ports {RX2C_BANDSEL[0]}] + +#Pin 116 +set_property PACKAGE_PIN AB11 [get_ports LED_TXRX2_RX] +set_property IOSTANDARD LVCMOS18 [get_ports LED_TXRX2_RX] + +#Pin 117 +set_property PACKAGE_PIN U9 [get_ports {RX2B_BANDSEL[1]}] +set_property IOSTANDARD LVCMOS18 [get_ports {RX2B_BANDSEL[1]}] + +#Pin 118 +set_property PACKAGE_PIN AA11 [get_ports LED_RX2_RX] +set_property IOSTANDARD LVCMOS18 [get_ports LED_RX2_RX] + +#Pin 119 +set_property PACKAGE_PIN Y4 [get_ports {RX2B_BANDSEL[0]}] +set_property IOSTANDARD LVCMOS18 [get_ports {RX2B_BANDSEL[0]}] + +#Pin 120 +set_property PACKAGE_PIN AB12 [get_ports {DB_EXP_1_8V[24]}] +set_property IOSTANDARD LVCMOS18 [get_ports {DB_EXP_1_8V[24]}] + +### Other I/O +set_property PACKAGE_PIN A22 [get_ports AVR_CS_R] +set_property IOSTANDARD LVCMOS33 [get_ports AVR_CS_R] +set_property PACKAGE_PIN B22 [get_ports AVR_IRQ] +set_property IOSTANDARD LVCMOS33 [get_ports AVR_IRQ] +set_property PACKAGE_PIN C22 [get_ports AVR_MISO_R] +set_property IOSTANDARD LVCMOS33 [get_ports AVR_MISO_R] +set_property PACKAGE_PIN A21 [get_ports AVR_MOSI_R] +set_property IOSTANDARD LVCMOS33 [get_ports AVR_MOSI_R] +set_property PACKAGE_PIN D22 [get_ports AVR_SCK_R] +set_property IOSTANDARD LVCMOS33 [get_ports AVR_SCK_R] + +set_property PACKAGE_PIN E21 [get_ports ONSWITCH_DB] +set_property IOSTANDARD LVCMOS33 [get_ports ONSWITCH_DB] + +set_property PACKAGE_PIN Y9 [get_ports GPS_PPS] +set_property IOSTANDARD LVCMOS18 [get_ports GPS_PPS] + +set_property PACKAGE_PIN D18 [get_ports PPS_EXT_IN] +set_property IOSTANDARD LVCMOS33 [get_ports PPS_EXT_IN] + +set_property PACKAGE_PIN E16 [get_ports {PL_GPIO[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {PL_GPIO[0]}] +set_property PACKAGE_PIN C18 [get_ports {PL_GPIO[1]}] +set_property IOSTANDARD LVCMOS33 [get_ports {PL_GPIO[1]}] +set_property PACKAGE_PIN D17 [get_ports {PL_GPIO[2]}] +set_property IOSTANDARD LVCMOS33 [get_ports {PL_GPIO[2]}] +set_property PACKAGE_PIN D16 [get_ports {PL_GPIO[3]}] +set_property IOSTANDARD LVCMOS33 [get_ports {PL_GPIO[3]}] +set_property PACKAGE_PIN D15 [get_ports {PL_GPIO[4]}] +set_property IOSTANDARD LVCMOS33 [get_ports {PL_GPIO[4]}] +set_property PACKAGE_PIN E15 [get_ports {PL_GPIO[5]}] +set_property IOSTANDARD LVCMOS33 [get_ports {PL_GPIO[5]}] +set_property PULLDOWN true [get_ports {PL_GPIO[0]}] +set_property PULLDOWN true [get_ports {PL_GPIO[1]}] +set_property PULLDOWN true [get_ports {PL_GPIO[2]}] +set_property PULLDOWN true [get_ports {PL_GPIO[3]}] +set_property PULLDOWN true [get_ports {PL_GPIO[4]}] +set_property PULLDOWN true [get_ports {PL_GPIO[5]}] + diff --git a/fpga/usrp3/top/e31x/e31x_rfnoc_image_core.v b/fpga/usrp3/top/e31x/e31x_rfnoc_image_core.v new file mode 100644 index 000000000..2f035d524 --- /dev/null +++ b/fpga/usrp3/top/e31x/e31x_rfnoc_image_core.v @@ -0,0 +1,461 @@ +// +// Copyright 2019 Ettus Research, A National Instruments Brand +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// + +// Module: rfnoc_image_core (for e31x) +// This file was autogenerated by UHD's image builder tool (rfnoc_image_builder) +// Re-running that tool will overwrite this file! +// File generated on: 2019-11-08T15:58:15.219909 +// Source: ./e31x/e31x_rfnoc_image_core.yml +// Source SHA256: 48e2907163bf8462812f33b7cf995da37c44d9652ba3afa38c510910a2365c05 + +module rfnoc_image_core #( + parameter [15:0] PROTOVER = {8'd1, 8'd0} +)( + // Clocks + input wire chdr_aclk, + input wire ctrl_aclk, + input wire core_arst, + input wire radio_clk, + // Basic + input wire [15:0] device_id, +//// IO ports ////////////////////////////////// +// ctrlport_radio + output wire [ 1-1:0] m_ctrlport_req_wr, + output wire [ 1-1:0] m_ctrlport_req_rd, + output wire [ 20-1:0] m_ctrlport_req_addr, + output wire [ 32-1:0] m_ctrlport_req_data, + output wire [ 4-1:0] m_ctrlport_req_byte_en, + output wire [ 1-1:0] m_ctrlport_req_has_time, + output wire [ 64-1:0] m_ctrlport_req_time, + input wire [ 1-1:0] m_ctrlport_resp_ack, + input wire [ 2-1:0] m_ctrlport_resp_status, + input wire [ 32-1:0] m_ctrlport_resp_data, +// time_keeper + input wire [ 64-1:0] radio_time, +// x300_radio + input wire [ 64-1:0] radio_rx_data, + input wire [ 2-1:0] radio_rx_stb, + output wire [ 2-1:0] radio_rx_running, + output wire [ 64-1:0] radio_tx_data, + input wire [ 2-1:0] radio_tx_stb, + output wire [ 2-1:0] radio_tx_running, + // Transport 0 (dma dma) + input wire [64-1:0] s_dma_tdata, + input wire s_dma_tlast, + input wire s_dma_tvalid, + output wire s_dma_tready, + output wire [64-1:0] m_dma_tdata, + output wire m_dma_tlast, + output wire m_dma_tvalid, + input wire m_dma_tready +); + + localparam CHDR_W = 64; + localparam MTU = 10; + localparam EDGE_TBL_FILE = `"`RFNOC_EDGE_TBL_FILE`"; + + wire rfnoc_chdr_clk, rfnoc_chdr_rst; + wire rfnoc_ctrl_clk, rfnoc_ctrl_rst; + + // ---------------------------------------------------- + // CHDR Crossbar + // ---------------------------------------------------- + wire [CHDR_W-1:0] xb_to_ep0_tdata ; + wire xb_to_ep0_tlast ; + wire xb_to_ep0_tvalid; + wire xb_to_ep0_tready; + wire [CHDR_W-1:0] ep0_to_xb_tdata ; + wire ep0_to_xb_tlast ; + wire ep0_to_xb_tvalid; + wire ep0_to_xb_tready; + wire [CHDR_W-1:0] xb_to_ep1_tdata ; + wire xb_to_ep1_tlast ; + wire xb_to_ep1_tvalid; + wire xb_to_ep1_tready; + wire [CHDR_W-1:0] ep1_to_xb_tdata ; + wire ep1_to_xb_tlast ; + wire ep1_to_xb_tvalid; + wire ep1_to_xb_tready; + + chdr_crossbar_nxn #( + .CHDR_W (CHDR_W), + .NPORTS (3), + .DEFAULT_PORT (0), + .MTU (MTU), + .ROUTE_TBL_SIZE (6), + .MUX_ALLOC ("ROUND-ROBIN"), + .OPTIMIZE ("AREA"), + .NPORTS_MGMT (1), + .EXT_RTCFG_PORT (0), + .PROTOVER (PROTOVER) + ) chdr_xb_i ( + .clk (rfnoc_chdr_clk), + .reset (rfnoc_chdr_rst), + .device_id (device_id), + .s_axis_tdata ({ep1_to_xb_tdata, ep0_to_xb_tdata, s_dma_tdata}), + .s_axis_tlast ({ep1_to_xb_tlast, ep0_to_xb_tlast, s_dma_tlast}), + .s_axis_tvalid ({ep1_to_xb_tvalid, ep0_to_xb_tvalid, s_dma_tvalid}), + .s_axis_tready ({ep1_to_xb_tready, ep0_to_xb_tready, s_dma_tready}), + .m_axis_tdata ({xb_to_ep1_tdata, xb_to_ep0_tdata, m_dma_tdata}), + .m_axis_tlast ({xb_to_ep1_tlast, xb_to_ep0_tlast, m_dma_tlast}), + .m_axis_tvalid ({xb_to_ep1_tvalid, xb_to_ep0_tvalid, m_dma_tvalid}), + .m_axis_tready ({xb_to_ep1_tready, xb_to_ep0_tready, m_dma_tready}), + .ext_rtcfg_stb (1'h0), + .ext_rtcfg_addr (16'h0), + .ext_rtcfg_data (32'h0), + .ext_rtcfg_ack () + ); + + // ---------------------------------------------------- + // Stream Endpoints + // ---------------------------------------------------- + + wire [CHDR_W-1:0] m_ep0_out0_tdata; + wire m_ep0_out0_tlast; + wire m_ep0_out0_tvalid; + wire m_ep0_out0_tready; + wire [CHDR_W-1:0] s_ep0_in0_tdata; + wire s_ep0_in0_tlast; + wire s_ep0_in0_tvalid; + wire s_ep0_in0_tready; + wire [31:0] m_ep0_ctrl_tdata , s_ep0_ctrl_tdata ; + wire m_ep0_ctrl_tlast , s_ep0_ctrl_tlast ; + wire m_ep0_ctrl_tvalid, s_ep0_ctrl_tvalid; + wire m_ep0_ctrl_tready, s_ep0_ctrl_tready; + + chdr_stream_endpoint #( + .PROTOVER (PROTOVER), + .CHDR_W (CHDR_W), + .AXIS_CTRL_EN (1), + .AXIS_DATA_EN (1), + .NUM_DATA_I (1), + .NUM_DATA_O (1), + .INST_NUM (0), + .CTRL_XBAR_PORT (1), + .INGRESS_BUFF_SIZE (14), + .MTU (MTU), + .REPORT_STRM_ERRS (1) + ) ep0_i ( + .rfnoc_chdr_clk (rfnoc_chdr_clk ), + .rfnoc_chdr_rst (rfnoc_chdr_rst ), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk ), + .rfnoc_ctrl_rst (rfnoc_ctrl_rst ), + .device_id (device_id ), + .s_axis_chdr_tdata (xb_to_ep0_tdata ), + .s_axis_chdr_tlast (xb_to_ep0_tlast ), + .s_axis_chdr_tvalid (xb_to_ep0_tvalid ), + .s_axis_chdr_tready (xb_to_ep0_tready ), + .m_axis_chdr_tdata (ep0_to_xb_tdata ), + .m_axis_chdr_tlast (ep0_to_xb_tlast ), + .m_axis_chdr_tvalid (ep0_to_xb_tvalid ), + .m_axis_chdr_tready (ep0_to_xb_tready ), + .s_axis_data_tdata ({s_ep0_in0_tdata}), + .s_axis_data_tlast ({s_ep0_in0_tlast}), + .s_axis_data_tvalid ({s_ep0_in0_tvalid}), + .s_axis_data_tready ({s_ep0_in0_tready}), + .m_axis_data_tdata ({m_ep0_out0_tdata}), + .m_axis_data_tlast ({m_ep0_out0_tlast}), + .m_axis_data_tvalid ({m_ep0_out0_tvalid}), + .m_axis_data_tready ({m_ep0_out0_tready}), + .s_axis_ctrl_tdata (s_ep0_ctrl_tdata ), + .s_axis_ctrl_tlast (s_ep0_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_ep0_ctrl_tvalid), + .s_axis_ctrl_tready (s_ep0_ctrl_tready), + .m_axis_ctrl_tdata (m_ep0_ctrl_tdata ), + .m_axis_ctrl_tlast (m_ep0_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_ep0_ctrl_tvalid), + .m_axis_ctrl_tready (m_ep0_ctrl_tready), + .strm_seq_err_stb ( ), + .strm_data_err_stb ( ), + .strm_route_err_stb ( ), + .signal_data_err (1'b0 ) + ); + + wire [CHDR_W-1:0] m_ep1_out0_tdata; + wire m_ep1_out0_tlast; + wire m_ep1_out0_tvalid; + wire m_ep1_out0_tready; + wire [CHDR_W-1:0] s_ep1_in0_tdata; + wire s_ep1_in0_tlast; + wire s_ep1_in0_tvalid; + wire s_ep1_in0_tready; + wire [31:0] m_ep1_ctrl_tdata , s_ep1_ctrl_tdata ; + wire m_ep1_ctrl_tlast , s_ep1_ctrl_tlast ; + wire m_ep1_ctrl_tvalid, s_ep1_ctrl_tvalid; + wire m_ep1_ctrl_tready, s_ep1_ctrl_tready; + + chdr_stream_endpoint #( + .PROTOVER (PROTOVER), + .CHDR_W (CHDR_W), + .AXIS_CTRL_EN (0), + .AXIS_DATA_EN (1), + .NUM_DATA_I (1), + .NUM_DATA_O (1), + .INST_NUM (1), + .CTRL_XBAR_PORT (2), + .INGRESS_BUFF_SIZE (14), + .MTU (MTU), + .REPORT_STRM_ERRS (1) + ) ep1_i ( + .rfnoc_chdr_clk (rfnoc_chdr_clk ), + .rfnoc_chdr_rst (rfnoc_chdr_rst ), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk ), + .rfnoc_ctrl_rst (rfnoc_ctrl_rst ), + .device_id (device_id ), + .s_axis_chdr_tdata (xb_to_ep1_tdata ), + .s_axis_chdr_tlast (xb_to_ep1_tlast ), + .s_axis_chdr_tvalid (xb_to_ep1_tvalid ), + .s_axis_chdr_tready (xb_to_ep1_tready ), + .m_axis_chdr_tdata (ep1_to_xb_tdata ), + .m_axis_chdr_tlast (ep1_to_xb_tlast ), + .m_axis_chdr_tvalid (ep1_to_xb_tvalid ), + .m_axis_chdr_tready (ep1_to_xb_tready ), + .s_axis_data_tdata ({s_ep1_in0_tdata}), + .s_axis_data_tlast ({s_ep1_in0_tlast}), + .s_axis_data_tvalid ({s_ep1_in0_tvalid}), + .s_axis_data_tready ({s_ep1_in0_tready}), + .m_axis_data_tdata ({m_ep1_out0_tdata}), + .m_axis_data_tlast ({m_ep1_out0_tlast}), + .m_axis_data_tvalid ({m_ep1_out0_tvalid}), + .m_axis_data_tready ({m_ep1_out0_tready}), + .s_axis_ctrl_tdata (s_ep1_ctrl_tdata ), + .s_axis_ctrl_tlast (s_ep1_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_ep1_ctrl_tvalid), + .s_axis_ctrl_tready (s_ep1_ctrl_tready), + .m_axis_ctrl_tdata (m_ep1_ctrl_tdata ), + .m_axis_ctrl_tlast (m_ep1_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_ep1_ctrl_tvalid), + .m_axis_ctrl_tready (m_ep1_ctrl_tready), + .strm_seq_err_stb ( ), + .strm_data_err_stb ( ), + .strm_route_err_stb ( ), + .signal_data_err (1'b0 ) + ); + + + + // ---------------------------------------------------- + // Control Crossbar + // ---------------------------------------------------- + + wire [31:0] m_core_ctrl_tdata , s_core_ctrl_tdata ; + wire m_core_ctrl_tlast , s_core_ctrl_tlast ; + wire m_core_ctrl_tvalid, s_core_ctrl_tvalid; + wire m_core_ctrl_tready, s_core_ctrl_tready; + wire [31:0] m_radio0_ctrl_tdata , s_radio0_ctrl_tdata ; + wire m_radio0_ctrl_tlast , s_radio0_ctrl_tlast ; + wire m_radio0_ctrl_tvalid, s_radio0_ctrl_tvalid; + wire m_radio0_ctrl_tready, s_radio0_ctrl_tready; + + axis_ctrl_crossbar_nxn #( + .WIDTH (32), + .NPORTS (3), + .TOPOLOGY ("TORUS"), + .INGRESS_BUFF_SIZE(5), + .ROUTER_BUFF_SIZE (5), + .ROUTING_ALLOC ("WORMHOLE"), + .SWITCH_ALLOC ("PRIO") + ) ctrl_xb_i ( + .clk (rfnoc_ctrl_clk), + .reset (rfnoc_ctrl_rst), + .s_axis_tdata ({m_radio0_ctrl_tdata , m_ep0_ctrl_tdata , m_core_ctrl_tdata }), + .s_axis_tvalid ({m_radio0_ctrl_tvalid, m_ep0_ctrl_tvalid, m_core_ctrl_tvalid}), + .s_axis_tlast ({m_radio0_ctrl_tlast , m_ep0_ctrl_tlast , m_core_ctrl_tlast }), + .s_axis_tready ({m_radio0_ctrl_tready, m_ep0_ctrl_tready, m_core_ctrl_tready}), + .m_axis_tdata ({s_radio0_ctrl_tdata , s_ep0_ctrl_tdata , s_core_ctrl_tdata }), + .m_axis_tvalid ({s_radio0_ctrl_tvalid, s_ep0_ctrl_tvalid, s_core_ctrl_tvalid}), + .m_axis_tlast ({s_radio0_ctrl_tlast , s_ep0_ctrl_tlast , s_core_ctrl_tlast }), + .m_axis_tready ({s_radio0_ctrl_tready, s_ep0_ctrl_tready, s_core_ctrl_tready}), + .deadlock_detected() + ); + + // ---------------------------------------------------- + // RFNoC Core Kernel + // ---------------------------------------------------- + wire [(512*1)-1:0] rfnoc_core_config, rfnoc_core_status; + + rfnoc_core_kernel #( + .PROTOVER (PROTOVER), + .DEVICE_TYPE (16'hE310), + .DEVICE_FAMILY ("7SERIES"), + .SAFE_START_CLKS (0), + .NUM_BLOCKS (1), + .NUM_STREAM_ENDPOINTS(2), + .NUM_ENDPOINTS_CTRL (1), + .NUM_TRANSPORTS (1), + .NUM_EDGES (4), + .CHDR_XBAR_PRESENT (1), + .EDGE_TBL_FILE (EDGE_TBL_FILE) + ) core_kernel_i ( + .chdr_aclk (chdr_aclk), + .chdr_aclk_locked (1'b1), + .ctrl_aclk (ctrl_aclk), + .ctrl_aclk_locked (1'b1), + .core_arst (core_arst), + .core_chdr_clk (rfnoc_chdr_clk), + .core_chdr_rst (rfnoc_chdr_rst), + .core_ctrl_clk (rfnoc_ctrl_clk), + .core_ctrl_rst (rfnoc_ctrl_rst), + .s_axis_ctrl_tdata (s_core_ctrl_tdata ), + .s_axis_ctrl_tlast (s_core_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_core_ctrl_tvalid), + .s_axis_ctrl_tready (s_core_ctrl_tready), + .m_axis_ctrl_tdata (m_core_ctrl_tdata ), + .m_axis_ctrl_tlast (m_core_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_core_ctrl_tvalid), + .m_axis_ctrl_tready (m_core_ctrl_tready), + .device_id (device_id), + .rfnoc_core_config (rfnoc_core_config), + .rfnoc_core_status (rfnoc_core_status) + ); + + // ---------------------------------------------------- + // Blocks + // ---------------------------------------------------- + + // ---------------------------------------------------- + // radio0 + // ---------------------------------------------------- + wire radio0_radio_clk; + wire [CHDR_W-1:0] s_radio0_in_1_tdata , s_radio0_in_0_tdata ; + wire s_radio0_in_1_tlast , s_radio0_in_0_tlast ; + wire s_radio0_in_1_tvalid, s_radio0_in_0_tvalid; + wire s_radio0_in_1_tready, s_radio0_in_0_tready; + wire [CHDR_W-1:0] m_radio0_out_1_tdata , m_radio0_out_0_tdata ; + wire m_radio0_out_1_tlast , m_radio0_out_0_tlast ; + wire m_radio0_out_1_tvalid, m_radio0_out_0_tvalid; + wire m_radio0_out_1_tready, m_radio0_out_0_tready; + + // ctrl_port + wire [ 1-1:0] radio0_m_ctrlport_req_wr; + wire [ 1-1:0] radio0_m_ctrlport_req_rd; + wire [ 20-1:0] radio0_m_ctrlport_req_addr; + wire [ 32-1:0] radio0_m_ctrlport_req_data; + wire [ 4-1:0] radio0_m_ctrlport_req_byte_en; + wire [ 1-1:0] radio0_m_ctrlport_req_has_time; + wire [ 64-1:0] radio0_m_ctrlport_req_time; + wire [ 1-1:0] radio0_m_ctrlport_resp_ack; + wire [ 2-1:0] radio0_m_ctrlport_resp_status; + wire [ 32-1:0] radio0_m_ctrlport_resp_data; + // time_keeper + wire [ 64-1:0] radio0_radio_time; + // x300_radio + wire [ 64-1:0] radio0_radio_rx_data; + wire [ 2-1:0] radio0_radio_rx_stb; + wire [ 2-1:0] radio0_radio_rx_running; + wire [ 64-1:0] radio0_radio_tx_data; + wire [ 2-1:0] radio0_radio_tx_stb; + wire [ 2-1:0] radio0_radio_tx_running; + + rfnoc_block_radio #( + .THIS_PORTID(2), + .CHDR_W(CHDR_W), + .NUM_PORTS(2), + .MTU(MTU) + ) b_radio0_0 ( + .rfnoc_chdr_clk (rfnoc_chdr_clk), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk), + .radio_clk(radio0_radio_clk), + .rfnoc_core_config (rfnoc_core_config[512*1-1:512*0]), + .rfnoc_core_status (rfnoc_core_status[512*1-1:512*0]), + + .m_ctrlport_req_wr(radio0_m_ctrlport_req_wr), + .m_ctrlport_req_rd(radio0_m_ctrlport_req_rd), + .m_ctrlport_req_addr(radio0_m_ctrlport_req_addr), + .m_ctrlport_req_data(radio0_m_ctrlport_req_data), + .m_ctrlport_req_byte_en(radio0_m_ctrlport_req_byte_en), + .m_ctrlport_req_has_time(radio0_m_ctrlport_req_has_time), + .m_ctrlport_req_time(radio0_m_ctrlport_req_time), + .m_ctrlport_resp_ack(radio0_m_ctrlport_resp_ack), + .m_ctrlport_resp_status(radio0_m_ctrlport_resp_status), + .m_ctrlport_resp_data(radio0_m_ctrlport_resp_data), + .radio_time(radio0_radio_time), + .radio_rx_data(radio0_radio_rx_data), + .radio_rx_stb(radio0_radio_rx_stb), + .radio_rx_running(radio0_radio_rx_running), + .radio_tx_data(radio0_radio_tx_data), + .radio_tx_stb(radio0_radio_tx_stb), + .radio_tx_running(radio0_radio_tx_running), + + .s_rfnoc_chdr_tdata ({s_radio0_in_1_tdata , s_radio0_in_0_tdata }), + .s_rfnoc_chdr_tlast ({s_radio0_in_1_tlast , s_radio0_in_0_tlast }), + .s_rfnoc_chdr_tvalid({s_radio0_in_1_tvalid, s_radio0_in_0_tvalid}), + .s_rfnoc_chdr_tready({s_radio0_in_1_tready, s_radio0_in_0_tready}), + .m_rfnoc_chdr_tdata ({m_radio0_out_1_tdata , m_radio0_out_0_tdata }), + .m_rfnoc_chdr_tlast ({m_radio0_out_1_tlast , m_radio0_out_0_tlast }), + .m_rfnoc_chdr_tvalid({m_radio0_out_1_tvalid, m_radio0_out_0_tvalid}), + .m_rfnoc_chdr_tready({m_radio0_out_1_tready, m_radio0_out_0_tready}), + .s_rfnoc_ctrl_tdata (s_radio0_ctrl_tdata ), + .s_rfnoc_ctrl_tlast (s_radio0_ctrl_tlast ), + .s_rfnoc_ctrl_tvalid(s_radio0_ctrl_tvalid), + .s_rfnoc_ctrl_tready(s_radio0_ctrl_tready), + .m_rfnoc_ctrl_tdata (m_radio0_ctrl_tdata ), + .m_rfnoc_ctrl_tlast (m_radio0_ctrl_tlast ), + .m_rfnoc_ctrl_tvalid(m_radio0_ctrl_tvalid), + .m_rfnoc_ctrl_tready(m_radio0_ctrl_tready) + ); + + + // ---------------------------------------------------- + // Static Router + // ---------------------------------------------------- + assign s_radio0_in_0_tdata = m_ep0_out0_tdata ; + assign s_radio0_in_0_tlast = m_ep0_out0_tlast ; + assign s_radio0_in_0_tvalid = m_ep0_out0_tvalid; + assign m_ep0_out0_tready = s_radio0_in_0_tready; + + assign s_radio0_in_1_tdata = m_ep1_out0_tdata ; + assign s_radio0_in_1_tlast = m_ep1_out0_tlast ; + assign s_radio0_in_1_tvalid = m_ep1_out0_tvalid; + assign m_ep1_out0_tready = s_radio0_in_1_tready; + + assign s_ep0_in0_tdata = m_radio0_out_0_tdata ; + assign s_ep0_in0_tlast = m_radio0_out_0_tlast ; + assign s_ep0_in0_tvalid = m_radio0_out_0_tvalid; + assign m_radio0_out_0_tready = s_ep0_in0_tready; + + assign s_ep1_in0_tdata = m_radio0_out_1_tdata ; + assign s_ep1_in0_tlast = m_radio0_out_1_tlast ; + assign s_ep1_in0_tvalid = m_radio0_out_1_tvalid; + assign m_radio0_out_1_tready = s_ep1_in0_tready; + + + // ---------------------------------------------------- + // Unused Ports + // ---------------------------------------------------- + + // ---------------------------------------------------- + // Clock Domains + // ---------------------------------------------------- + assign radio0_radio_clk = radio_clk; + + + // ---------------------------------------------------- + // IO Port Connection + // ---------------------------------------------------- + // Master/Slave Connections: + assign m_ctrlport_req_wr = radio0_m_ctrlport_req_wr; + assign m_ctrlport_req_rd = radio0_m_ctrlport_req_rd; + assign m_ctrlport_req_addr = radio0_m_ctrlport_req_addr; + assign m_ctrlport_req_data = radio0_m_ctrlport_req_data; + assign m_ctrlport_req_byte_en = radio0_m_ctrlport_req_byte_en; + assign m_ctrlport_req_has_time = radio0_m_ctrlport_req_has_time; + assign m_ctrlport_req_time = radio0_m_ctrlport_req_time; + assign radio0_m_ctrlport_resp_ack = m_ctrlport_resp_ack; + assign radio0_m_ctrlport_resp_status = m_ctrlport_resp_status; + assign radio0_m_ctrlport_resp_data = m_ctrlport_resp_data; + + assign radio0_radio_rx_data = radio_rx_data; + assign radio0_radio_rx_stb = radio_rx_stb; + assign radio_rx_running = radio0_radio_rx_running; + assign radio_tx_data = radio0_radio_tx_data; + assign radio0_radio_tx_stb = radio_tx_stb; + assign radio_tx_running = radio0_radio_tx_running; + + // Broadcaster/Listener Connections: + assign radio0_radio_time = radio_time; + +endmodule diff --git a/fpga/usrp3/top/e31x/e31x_rfnoc_image_core.yml b/fpga/usrp3/top/e31x/e31x_rfnoc_image_core.yml new file mode 100644 index 000000000..066f7ab0e --- /dev/null +++ b/fpga/usrp3/top/e31x/e31x_rfnoc_image_core.yml @@ -0,0 +1,54 @@ +# General parameters +# ----------------------------------------- +schema: rfnoc_imagebuilder_args # Identifier for the schema used to validate this file +copyright: 'Ettus Research, A National Instruments Brand' # Copyright information used in file headers +license: 'SPDX-License-Identifier: LGPL-3.0-or-later' # License information used in file headers +version: 1.0 # File version +rfnoc_version: 1.0 # RFNoC protocol version +chdr_width: 64 # Bit width of the CHDR bus for this image +device: 'e31x' +default_target: 'E310_SG3' + +# A list of all stream endpoints in design +# ---------------------------------------- +stream_endpoints: + ep0: # Stream endpoint name + ctrl: True # Endpoint passes control traffic + data: True # Endpoint passes data traffic + buff_size: 16384 # Ingress buffer size for data + ep1: # Stream endpoint name + ctrl: False # Endpoint passes control traffic + data: True # Endpoint passes data traffic + buff_size: 16384 # Ingress buffer size for data + +# A list of all NoC blocks in design +# ---------------------------------- +noc_blocks: + radio0: # NoC block name + block_desc: 'radio_2x64.yml' # Block device descriptor + +# A list of all static connections in design +# ------------------------------------------ +# Format: A list of connection maps (list of key-value pairs) with the following keys +# - srcblk = Source block to connect +# - srcport = Port on the source block to connect +# - dstblk = Destination block to connect +# - dstport = Port on the destination block to connect +connections: + - { srcblk: ep0, srcport: out0, dstblk: radio0, dstport: in_0 } + - { srcblk: ep1, srcport: out0, dstblk: radio0, dstport: in_1 } + - { srcblk: radio0, srcport: out_0, dstblk: ep0, dstport: in0 } + - { srcblk: radio0, srcport: out_1, dstblk: ep1, dstport: in0 } + - { srcblk: radio0, srcport: ctrl_port, dstblk: _device_, dstport: ctrlport_radio } + - { srcblk: _device_, srcport: x300_radio, dstblk: radio0, dstport: x300_radio } + - { srcblk: _device_, srcport: time_keeper, dstblk: radio0, dstport: time_keeper } + +# A list of all clock domain connections in design +# ------------------------------------------------ +# Format: A list of connection maps (list of key-value pairs) with the following keys +# - srcblk = Source block to connect (Always "_device"_) +# - srcport = Clock domain on the source block to connect +# - dstblk = Destination block to connect +# - dstport = Clock domain on the destination block to connect +clk_domains: + - { srcblk: _device_, srcport: radio, dstblk: radio0, dstport: radio } diff --git a/fpga/usrp3/top/e31x/e31x_timing.xdc b/fpga/usrp3/top/e31x/e31x_timing.xdc new file mode 100644 index 000000000..f1e32e9a2 --- /dev/null +++ b/fpga/usrp3/top/e31x/e31x_timing.xdc @@ -0,0 +1,157 @@ +# +# Copyright 2018 Ettus Research, A National Instruments Company +# SPDX-License-Identifier: LGPL-3.0 +# +# Description: Timing constraints for the USRP E31X +# + + +############################################################################### +# Input Clocks +############################################################################### + +# 10MHz / PPS References +create_clock -period 100.000 -name pps_ext [get_nets PPS_EXT_IN] + +create_clock -period 100.000 -name gps_pps [get_nets GPS_PPS] + +# TCXO clock 40 MHz +create_clock -period 25.000 -name TCXO_CLK [get_nets TCXO_CLK] +set_input_jitter TCXO_CLK 0.100 + +############################################################################### +# Rename Clocks +############################################################################### + +create_clock -period 10.000 \ + -name bus_clk [get_pins {e31x_ps_bd_inst/processing_system7_0/inst/PS7_i/FCLKCLK[0]}] +set_input_jitter bus_clk 0.300 + +create_clock -period 25.000 \ + -name clk40 [get_pins {e31x_ps_bd_inst/processing_system7_0/inst/PS7_i/FCLKCLK[1]}] +set_input_jitter clk40 0.750 + +#create_clock -period 5.000 \ +# -name bus_clk [get_pins {e31x_ps_bd_inst/processing_system7_0/inst/PS7_i/FCLKCLK[3]}] +#set_input_jitter bus_clk 0.150 + +############################################################################### +# Timing Constraints for E310 daughter board signals +############################################################################### +# CAT_DATA_CLK is the data clock from AD9361, sample rate dependent with a max rate of 61.44 MHz +set cat_data_clk_period 16.276; +set cat_data_clk_duty_cycle_var [expr $cat_data_clk_period * (0.55 - 0.45)]; +set tcxo_jitter 0.0005; # Calculated from datasheet phase noise +create_clock -period $cat_data_clk_period -name CAT_DATA_CLK [get_ports CAT_DATA_CLK] +# Model variable duty cycle as jitter. +set_input_jitter CAT_DATA_CLK [expr $cat_data_clk_duty_cycle_var + $tcxo_jitter] + +# Generate DAC output clock +create_generated_clock -name CAT_FB_CLK -multiply_by 1 -source [get_pins e310_io/oddr_clk/C] [get_ports CAT_FB_CLK] + +# Asynchronous clock domains +set_clock_groups -asynchronous \ + -group [get_clocks -include_generated_clocks CAT_DATA_CLK] \ + -group [get_clocks -include_generated_clocks bus_clk] \ + -group [get_clocks -include_generated_clocks TCXO_CLK] + +set_clock_groups -asynchronous \ + -group [get_clocks -include_generated_clocks *clk_200M_o] \ + -group [get_clocks -include_generated_clocks pps_ext] \ + -group [get_clocks -include_generated_clocks gps_pps] + + +#TODO: I don't think this was getting used on E310 +# Logically exclusive clocks in catcodec capture interface. These two clocks are the input to a BUFG mux that +# drives radio_clk, meaning only one of the two can drive radio_clk at a time. +#set_clock_groups -logically_exclusive # -group [get_clocks -include_generated_clocks {clk0}] # -group [get_clocks -include_generated_clocks {clkdv}] + +# Setup ADC (AD9361) interface constraints. +set cat_data_prog_dly 4.5; # Programmable skew in AD9361 set to delay RX data by 4.5 ns +set cat_data_clk_to_data_out_min 0; +set cat_data_clk_to_data_out_max 1.2; + +set_input_delay -clock [get_clocks CAT_DATA_CLK] -max [expr $cat_data_prog_dly + $cat_data_clk_to_data_out_max] [get_ports {CAT_P0_D* CAT_RX_FRAME}] +set_input_delay -clock [get_clocks CAT_DATA_CLK] -min [expr $cat_data_prog_dly + $cat_data_clk_to_data_out_min] [get_ports {CAT_P0_D* CAT_RX_FRAME}] +set_input_delay -clock [get_clocks CAT_DATA_CLK] -max [expr $cat_data_prog_dly + $cat_data_clk_to_data_out_max] [get_ports {CAT_P0_D* CAT_RX_FRAME}] -clock_fall -add_delay +set_input_delay -clock [get_clocks CAT_DATA_CLK] -min [expr $cat_data_prog_dly + $cat_data_clk_to_data_out_min] [get_ports {CAT_P0_D* CAT_RX_FRAME}] -clock_fall -add_delay + +set cat_fb_data_prog_dly 4.5; # Programmable skew in AD9361 set to delay TX data by 4.5 ns +set cat_fb_data_setup 1.0; +set cat_fb_data_hold 0; + +set_output_delay -clock CAT_FB_CLK -max [expr $cat_fb_data_prog_dly + $cat_fb_data_setup] [get_ports {CAT_P1_D* CAT_TX_FRAME}] +set_output_delay -clock CAT_FB_CLK -min [expr $cat_fb_data_prog_dly - $cat_fb_data_hold] [get_ports {CAT_P1_D* CAT_TX_FRAME}] +set_output_delay -clock CAT_FB_CLK -max [expr $cat_fb_data_prog_dly + $cat_fb_data_setup] [get_ports {CAT_P1_D* CAT_TX_FRAME}] -clock_fall -add_delay; +set_output_delay -clock CAT_FB_CLK -min [expr $cat_fb_data_prog_dly - $cat_fb_data_hold] [get_ports {CAT_P1_D* CAT_TX_FRAME}] -clock_fall -add_delay; + +# TODO: CAT SPI +# Xilinx doesn't allow you to fully constrain EMIO because the internal SPI +# clock is not accessible. So delay constraints are used to limit the delays to +# compatible values. + +# Transceiver SPI +set_max_delay -from [get_pins e31x_ps_bd_inst/processing_system7_0/inst/PS7_i/EMIOSPI0MO] \ + -to [get_ports CAT_MOSI] 10.000 -datapath_only +set_min_delay -to [get_ports CAT_MOSI] 1.000 +# +set_max_delay -from [get_pins e31x_ps_bd_inst/processing_system7_0/inst/PS7_i/EMIOSPI0SCLKO] \ + -to [get_ports CAT_SCLK] 10.000 -datapath_only +set_min_delay -to [get_ports CAT_SCLK] 1.000 +# +set_max_delay -from [get_pins {e31x_ps_bd_inst/processing_system7_0/inst/PS7_i/EMIOSPI0SSON[0]}] \ + -to [get_ports CAT_CS] 10.000 -datapath_only +set_min_delay -to [get_ports CAT_CS] 1.000 +# +set_max_delay -from [get_ports CAT_MISO] \ + -to [get_pins e31x_ps_bd_inst/processing_system7_0/inst/PS7_i/EMIOSPI0MI] 10.000 -datapath_only +set_min_delay -from [get_ports CAT_MISO] \ + -to [get_pins e31x_ps_bd_inst/processing_system7_0/inst/PS7_i/EMIOSPI0MI] 1.000 + +############################################################################### +# PPS and Ref Clk Input Timing +############################################################################### + +# Asynchronous clock domains +set_clock_groups -asynchronous \ + -group [get_clocks -include_generated_clocks bus_clk] \ + -group [get_clocks -include_generated_clocks pps_ext] \ + -group [get_clocks -include_generated_clocks gps_pps] + +# TCXO DAC SPI +# 12 MHz SPI clock rate +set_max_delay -datapath_only -from [all_registers -edge_triggered] -to [get_ports TCXO_DAC*] 40.000 +set_min_delay -from [all_registers -edge_triggered] -to [get_ports TCXO_DAC*] 1.000 + +# User GPIO +set_max_delay -datapath_only -to [get_ports PL_GPIO*] -from [all_registers -edge_triggered] [expr 15.0] +set_min_delay -to [get_ports PL_GPIO*] -from [all_registers -edge_triggered] 5.0 +set_max_delay -datapath_only -from [get_ports PL_GPIO*] -to [all_registers -edge_triggered] [expr 15.0] +set_min_delay -from [get_ports PL_GPIO*] -to [all_registers -edge_triggered] 5.0 + +# GPIO muxing +set_max_delay -from [get_pins e31x_core_inst/fp_gpio_src_reg_reg[*]/C] -to [get_clocks CAT_DATA_CLK] $cat_data_clk_period -datapath_only + +############################################################################### +# False Paths +############################################################################### + +# Synchronizer core false paths +set_false_path -to [get_pins -hierarchical -filter {NAME =~ */synchronizer_false_path/stages[0].value_reg[0][*]/D}] +set_false_path -to [get_pins -hierarchical -filter {NAME =~ */synchronizer_false_path/stages[0].value_reg[0][*]/S}] + +# USR_ACCESS build date +set_false_path -through [get_pins {usr_access_i/DATA[*]}] + +############################################################################### +## Asynchronous paths +############################################################################### +set_false_path -from [get_ports CAT_CTRL_OUT] +set_false_path -to [get_ports CAT_RESET] +set_false_path -to [get_ports RX*_BANDSEL*] +set_false_path -to [get_ports TX_BANDSEL*] +set_false_path -to [get_ports TX_ENABLE*] +set_false_path -to [get_ports LED_*] +set_false_path -to [get_ports VCRX*] +set_false_path -to [get_ports VCTX*] +set_false_path -from [get_ports ONSWITCH_DB] diff --git a/fpga/usrp3/top/e31x/ip/.gitignore b/fpga/usrp3/top/e31x/ip/.gitignore new file mode 100644 index 000000000..a68476493 --- /dev/null +++ b/fpga/usrp3/top/e31x/ip/.gitignore @@ -0,0 +1,2 @@ +vivado* +managed_ip_project diff --git a/fpga/usrp3/top/e31x/ip/Makefile.inc b/fpga/usrp3/top/e31x/ip/Makefile.inc new file mode 100644 index 000000000..76bec9cdd --- /dev/null +++ b/fpga/usrp3/top/e31x/ip/Makefile.inc @@ -0,0 +1,68 @@ +# +# Copyright 2014 Ettus Research +# + +#include $(IP_DIR)/axi4_dualport_sram/Makefile.inc +#include $(IP_DIR)/axi64_4k_2clk_fifo/Makefile.inc +#include $(IP_DIR)/axi64_8k_2clk_fifo/Makefile.inc +#include $(IP_DIR)/axi_intercon_4x64_256_bd/Makefile.inc +#include $(IP_DIR)/ddr3_32bit/Makefile.inc +include $(IP_DIR)/fifo_4k_2clk/Makefile.inc +include $(IP_DIR)/fifo_short_2clk/Makefile.inc +#include $(IP_DIR)/input_sample_fifo/Makefile.inc +#include $(IP_DIR)/misc_clock_gen/Makefile.inc +#include $(IP_DIR)/axi3_to_axi4lite_protocol_converter/Makefile.inc +#include $(IP_DIR)/axis_fifo_to_axi4lite/Makefile.inc +#include $(IP_DIR)/axi4_to_axi3_protocol_converter_32/Makefile.inc +#include $(IP_DIR)/axi4_to_axi3_protocol_converter_64/Makefile.inc +include $(IP_DIR)/e31x_ps_bd/Makefile.inc +include $(IP_DIR)/mig_7series_0/Makefile.inc + +BD_SRCS = \ +$(IP_AXI_INTERCON_4X64_256_BD_SRCS) \ +$(IP_E31X_PS_BD_SRCS) + +IP_XCI_SRCS = \ +$(IP_FIFO_SHORT_2CLK_SRCS) \ +$(IP_AXI64_4K_2CLK_FIFO_SRCS) \ +$(IP_AXI64_8K_2CLK_FIFO_SRCS) \ +$(IP_MIG_7SERIES_0_SRCS) \ +$(IP_FIFO_4K_2CLK_SRCS) \ +#$(IP_AXI4_BRAM_SRCS) \ +#$(IP_AXI3_TO_AXI4LITE_PROTOCOL_CONVERTER_SRCS) \ +#$(IP_AXI4_TO_AXI3_PROTOCOL_CONVERTER_32_SRCS) \ +#$(IP_AXI4_TO_AXI3_PROTOCOL_CONVERTER_64_SRCS) \ +#$(IP_AXIS_FIFO_TO_AXI4LITE_SRCS) \ +#$(IP_MISC_CLOCK_GEN_SRCS) \ + +IP_DRAM_XCI_SRCS = \ +$(IP_DDR3_32BIT_SRCS) + +## Currently unused +## $(IP_INPUT_SAMPLE_FIFO_SRCS) \ + +IP_SYNTH_OUTPUTS = \ +$(IP_FIFO_SHORT_2CLK_OUTS) \ +$(IP_AXI64_4K_2CLK_FIFO_OUTS) \ +$(IP_AXI64_8K_2CLK_FIFO_OUTS) \ +$(IP_FIFO_4K_2CLK_OUTS) \ +$(IP_MIG_7SERIES_0_OUTS) \ +#$(IP_AXI4_BRAM_OUTS) \ +#$(IP_AXI3_TO_AXI4LITE_PROTOCOL_CONVERTER_OUTS) \ +#$(IP_AXI_INTERCONNECT_OUTS) \ +#$(IP_AXI4_TO_AXI3_PROTOCOL_CONVERTER_32_OUTS) \ +#$(IP_AXI4_TO_AXI3_PROTOCOL_CONVERTER_64_OUTS) \ +#$(IP_AXIS_FIFO_TO_AXI4LITE_OUTS) \ + +BD_OUTPUTS = \ +$(IP_AXI_INTERCON_4X64_256_BD_OUTS) \ +$(IP_E31X_PS_BD_OUTS) + +# Currently unused +# $(IP_INPUT_SAMPLE_FIFO_OUTS) \ +# $(IP_AXI_INTERCON_4X64_128_OUTS) \ + +ip: $(IP_SYNTH_OUTPUTS) $(BD_OUTPUTS) + +.PHONY: ip + diff --git a/fpga/usrp3/top/e31x/ip/e31x_ps_bd/Makefile.inc b/fpga/usrp3/top/e31x/ip/e31x_ps_bd/Makefile.inc new file mode 100644 index 000000000..d70c96edd --- /dev/null +++ b/fpga/usrp3/top/e31x/ip/e31x_ps_bd/Makefile.inc @@ -0,0 +1,35 @@ +# +# Copyright 2018 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak +include $(LIB_DIR)/rfnoc/utils/Makefile.srcs + +IP_E31X_PS_ORIG_SRCS = $(addprefix $(IP_DIR)/e31x_ps_bd/, \ +e31x_ps_bd.tcl \ +chdr_dma_rx.tcl \ +chdr_dma_tx.tcl \ +chdr_dma_frame_size.tcl \ +chdr_dma_top.tcl \ +) + +IP_E31X_PS_BDTCL_SRCS = $(addprefix $(IP_BUILD_DIR)/e31x_ps_bd/, \ +e31x_ps_bd.tcl \ +chdr_dma_rx.tcl \ +chdr_dma_tx.tcl \ +chdr_dma_frame_size.tcl \ +chdr_dma_top.tcl \ +) + +IP_E31X_PS_HDL_SRCS = $(RFNOC_UTIL_SRCS) + +IP_E31X_PS_BD_SRCS = $(IP_BUILD_DIR)/e31x_ps_bd/e31x_ps_bd/e31x_ps_bd.bd + +BD_E31X_PS_BD_OUTS = $(addprefix $(IP_BUILD_DIR)/e31x_ps_bd/, \ +e31x_ps_bd.bd.out \ +e31x_ps_bd/e31x_ps_bd_ooc.xdc \ +) + +$(IP_E31X_PS_BD_SRCS) $(BD_E31X_PS_BD_OUTS) $(IP_E31X_PS_BDTCL_SRCS): $(IP_E31X_PS_ORIG_SRCS) $(IP_E31X_PS_HDL_SRCS) + $(call BUILD_VIVADO_BDTCL,e31x_ps_bd,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),$(LIB_DIR)/vivado_ipi,$(IP_E31X_PS_HDL_SRCS)) + diff --git a/fpga/usrp3/top/e31x/ip/e31x_ps_bd/chdr_dma_frame_size.tcl b/fpga/usrp3/top/e31x/ip/e31x_ps_bd/chdr_dma_frame_size.tcl new file mode 100644 index 000000000..387f67d9c --- /dev/null +++ b/fpga/usrp3/top/e31x/ip/e31x_ps_bd/chdr_dma_frame_size.tcl @@ -0,0 +1,59 @@ +# Hierarchical cell: mtu +proc create_hier_cell_mtu { parentCell nameHier numPorts } { + + if { $parentCell eq "" || $nameHier eq "" } { + puts "ERROR: create_hier_cell_mtu() - Empty argument(s)!" + return + } + + # Get object for parentCell + set parentObj [get_bd_cells $parentCell] + if { $parentObj == "" } { + puts "ERROR: Unable to find parent cell <$parentCell>!" + return + } + + # Make sure parentObj is hier blk + set parentType [get_property TYPE $parentObj] + if { $parentType ne "hier" } { + puts "ERROR: Parent <$parentObj> has TYPE = <$parentType>. Expected to be ." + return + } + + # Save current instance; Restore later + set oldCurInst [current_bd_instance .] + + # Set parent object as current + current_bd_instance $parentObj + + # Create cell and set as current instance + set hier_obj [create_bd_cell -type hier $nameHier] + current_bd_instance $hier_obj + + # Create cells and wire everything up + create_bd_pin -dir I -from [expr $numPorts * 32 - 1] -to 0 mtu_regs + connect_bd_net -net mtu_regs_1 [get_bd_pins mtu_regs] + # BUG: Vivado 2015.4 does not connect nets the first time with just the driver + connect_bd_net -quiet -net mtu_regs_1 [get_bd_pins mtu_regs] + + for {set i 0} {$i < $numPorts} {incr i} { + # Create instance: xlslice_0, and set properties + set xlslice [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlslice:1.0 xlslice_$i ] + set_property -dict [ list \ + CONFIG.DIN_FROM [expr $i * 32 + 15] \ + CONFIG.DIN_TO [expr $i * 32] \ + CONFIG.DIN_WIDTH [expr $numPorts * 32] \ + CONFIG.DOUT_WIDTH {16} \ + ] $xlslice + + connect_bd_net -net mtu_regs_1 [get_bd_pins $xlslice/Din] + + create_bd_pin -dir O -from 15 -to 0 mtu$i + connect_bd_net [get_bd_pins mtu$i] [get_bd_pins $xlslice/Dout] + } + + # Restore current instance + current_bd_instance $oldCurInst +} + + diff --git a/fpga/usrp3/top/e31x/ip/e31x_ps_bd/chdr_dma_rx.tcl b/fpga/usrp3/top/e31x/ip/e31x_ps_bd/chdr_dma_rx.tcl new file mode 100644 index 000000000..500771071 --- /dev/null +++ b/fpga/usrp3/top/e31x/ip/e31x_ps_bd/chdr_dma_rx.tcl @@ -0,0 +1,339 @@ +set scriptDir [file dirname [info script]] + +source "$scriptDir/chdr_dma_frame_size.tcl" + +proc create_hier_cell_rx_dma_channel { parentCell nameHier } { + + if { $parentCell eq "" || $nameHier eq "" } { + puts "ERROR: create_hier_cell_dma() - Empty argument(s)!" + return + } + + # Get object for parentCell + set parentObj [get_bd_cells $parentCell] + if { $parentObj == "" } { + puts "ERROR: Unable to find parent cell <$parentCell>!" + return + } + + # Make sure parentObj is hier blk + set parentType [get_property TYPE $parentObj] + if { $parentType ne "hier" } { + puts "ERROR: Parent <$parentObj> has TYPE = <$parentType>. Expected to be ." + return + } + + # Save current instance; Restore later + set oldCurInst [current_bd_instance .] + + # Set parent object as current + current_bd_instance $parentObj + + # Create cell and set as current instance + set hier_obj [create_bd_cell -type hier $nameHier] + current_bd_instance $hier_obj + + ######################### + # Pin list + ######################### + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 S_AXIS + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_dest_axi + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi + + create_bd_pin -dir I -from 15 -to 0 frame_size + create_bd_pin -dir O -type intr irq + create_bd_pin -dir I -type rst m_dest_axi_aresetn + create_bd_pin -dir I -type clk s_axi_aclk + create_bd_pin -dir I -type rst s_axi_aresetn + create_bd_pin -dir I -type clk s_axis_aclk + + ######################### + # Instantiate IPs + ######################### + set reset_inv [ create_bd_cell -type ip -vlnv xilinx.com:ip:util_vector_logic:2.0 reset_inv ] + set_property -dict [ list \ + CONFIG.C_SIZE {1} \ + CONFIG.C_OPERATION {not} \ + ] $reset_inv + + set chdr_padder [ create_bd_cell -type module -reference chdr_pad_packet chdr_padder ] + set_property -dict [ list \ + CONFIG.CHDR_W {64} \ + ] $chdr_padder + set_property CONFIG.POLARITY ACTIVE_HIGH [get_bd_pins chdr_padder/rst] + + set axi_rx_dmac [ create_bd_cell -type ip -vlnv analog.com:user:axi_dmac:1.0 axi_rx_dmac ] + set_property -dict [ list \ + CONFIG.ASYNC_CLK_DEST_REQ {true} \ + CONFIG.ASYNC_CLK_REQ_SRC {true} \ + CONFIG.ASYNC_CLK_SRC_DEST {false} \ + CONFIG.DMA_AXI_PROTOCOL_DEST {1} \ + CONFIG.DMA_TYPE_SRC {1} \ + CONFIG.SYNC_TRANSFER_START {false} \ + ] $axi_rx_dmac + + ######################### + # Wiring + ######################### + + # Top-level connections + connect_bd_net -net aclk_1 \ + [get_bd_pins s_axis_aclk] \ + [get_bd_pins chdr_padder/clk] \ + [get_bd_pins axi_rx_dmac/m_dest_axi_aclk] \ + [get_bd_pins axi_rx_dmac/s_axis_aclk] + connect_bd_net -net aresetn_1 \ + [get_bd_pins m_dest_axi_aresetn] \ + [get_bd_pins reset_inv/Op1] \ + [get_bd_pins axi_rx_dmac/m_dest_axi_aresetn] + connect_bd_net -net areset_1 \ + [get_bd_pins reset_inv/Res] \ + [get_bd_pins chdr_padder/rst] + connect_bd_net -net s_axi_aclk_1 \ + [get_bd_pins s_axi_aclk] \ + [get_bd_pins axi_rx_dmac/s_axi_aclk] + connect_bd_net -net s_axi_aresetn_1 \ + [get_bd_pins s_axi_aresetn] \ + [get_bd_pins axi_rx_dmac/s_axi_aresetn] + connect_bd_net -net axi_rx_dmac_irq \ + [get_bd_pins irq] \ + [get_bd_pins axi_rx_dmac/irq] + connect_bd_net -net mtu \ + [get_bd_pins frame_size] \ + [get_bd_pins chdr_padder/len] + + # Control and DMA ports + connect_bd_intf_net -intf_net axi_rx_dmac_s_axi \ + [get_bd_intf_pins s_axi] \ + [get_bd_intf_pins axi_rx_dmac/s_axi] + connect_bd_intf_net -intf_net axi_rx_dmac_m_dest_axi \ + [get_bd_intf_pins m_dest_axi] \ + [get_bd_intf_pins axi_rx_dmac/m_dest_axi] + + # AXI-Stream ports + connect_bd_intf_net -intf_net s_axis_dma \ + [get_bd_intf_pins S_AXIS] \ + [get_bd_intf_pins chdr_padder/s_axis] + connect_bd_intf_net -intf_net s_axis_dma_padded \ + [get_bd_intf_pins chdr_padder/m_axis] \ + [get_bd_intf_pins axi_rx_dmac/s_axis] + + # Restore current instance + current_bd_instance $oldCurInst +} + +# Hierarchical cell: rx +proc create_hier_cell_rx_dma { parentCell nameHier numPorts } { + + if { $parentCell eq "" || $nameHier eq "" || $numPorts eq "" } { + puts "ERROR: create_hier_cell_rx() - Empty argument(s)!" + return + } + + # Get object for parentCell + set parentObj [get_bd_cells $parentCell] + if { $parentObj == "" } { + puts "ERROR: Unable to find parent cell <$parentCell>!" + return + } + + if { $numPorts < 1 } { + puts "ERROR: numPorts invalid: $numPorts" + return + } + + # Make sure parentObj is hier blk + set parentType [get_property TYPE $parentObj] + if { $parentType ne "hier" } { + puts "ERROR: Parent <$parentObj> has TYPE = <$parentType>. Expected to be ." + return + } + + # Save current instance; Restore later + set oldCurInst [current_bd_instance .] + + # Set parent object as current + current_bd_instance $parentObj + + # Create cell and set as current instance + set hier_obj [create_bd_cell -type hier $nameHier] + current_bd_instance $hier_obj + + ######################### + # Pin list + ######################### + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 M_AXI_RX_DMA + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 S_AXIS_DMA + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_rx_dmac + + create_bd_pin -dir I bus_clk + create_bd_pin -dir I bus_rstn + create_bd_pin -dir I clk40 + create_bd_pin -dir I clk40_rstn + create_bd_pin -dir O -from [expr $numPorts - 1] -to 0 irq + create_bd_pin -dir I -from [expr $numPorts * 32 - 1] -to 0 mtu_regs + ######################### + # Instantiate IPs + ######################### + # For sharing one S_AXI_HP port across all RX DMA engines + set axi_crossbar_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_crossbar:2.1 axi_crossbar_0 ] + set_property -dict [ list \ + CONFIG.CONNECTIVITY_MODE {SASD} \ + CONFIG.NUM_MI {1} \ + CONFIG.NUM_SI $numPorts \ + CONFIG.R_REGISTER {1} \ + ] $axi_crossbar_0 + + # For fanning out AXI-Lite bus to all RX DMA engines + set axi_interconnect_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_interconnect_0 ] + set_property -dict [ list \ + CONFIG.NUM_MI $numPorts \ + ] $axi_interconnect_0 + + # Routes AXI-Stream to appropriate RX DMA engine + set axis_switch_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axis_switch:1.1 axis_switch_0 ] + set_property -dict [ list \ + CONFIG.DECODER_REG {1} \ + CONFIG.NUM_MI $numPorts \ + CONFIG.NUM_SI {1} \ + ] $axis_switch_0 + + # Cross domains from incoming AXI-Stream to RX DMA engines domain + # Note that the fifo_generator_0 is hard-coded to have 4 TDEST bits, so we + # are limited to 16 RX DMA channels + set fifo_generator_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:fifo_generator:13.2 fifo_generator_0 ] + set_property -dict [ list \ + CONFIG.Clock_Type_AXI {Independent_Clock} \ + CONFIG.Empty_Threshold_Assert_Value_axis {1021} \ + CONFIG.Empty_Threshold_Assert_Value_rach {13} \ + CONFIG.Empty_Threshold_Assert_Value_rdch {1021} \ + CONFIG.Empty_Threshold_Assert_Value_wach {13} \ + CONFIG.Empty_Threshold_Assert_Value_wdch {1021} \ + CONFIG.Empty_Threshold_Assert_Value_wrch {13} \ + CONFIG.Enable_TLAST {true} \ + CONFIG.FIFO_Implementation_axis {Independent_Clocks_Block_RAM} \ + CONFIG.FIFO_Implementation_rach {Independent_Clocks_Distributed_RAM} \ + CONFIG.FIFO_Implementation_rdch {Independent_Clocks_Block_RAM} \ + CONFIG.FIFO_Implementation_wach {Independent_Clocks_Distributed_RAM} \ + CONFIG.FIFO_Implementation_wdch {Independent_Clocks_Block_RAM} \ + CONFIG.FIFO_Implementation_wrch {Independent_Clocks_Distributed_RAM} \ + CONFIG.Full_Flags_Reset_Value {1} \ + CONFIG.Full_Threshold_Assert_Value_axis {1023} \ + CONFIG.Full_Threshold_Assert_Value_rach {15} \ + CONFIG.Full_Threshold_Assert_Value_wach {15} \ + CONFIG.Full_Threshold_Assert_Value_wrch {15} \ + CONFIG.HAS_TKEEP {false} \ + CONFIG.INTERFACE_TYPE {AXI_STREAM} \ + CONFIG.Input_Depth_axis {1024} \ + CONFIG.Reset_Type {Asynchronous_Reset} \ + CONFIG.TDATA_NUM_BYTES {8} \ + CONFIG.TDEST_WIDTH {4} \ + CONFIG.TKEEP_WIDTH {0} \ + CONFIG.TSTRB_WIDTH {8} \ + CONFIG.TUSER_WIDTH {0} \ + ] $fifo_generator_0 + + set rx_dmac_irq_concat [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconcat:2.1 rx_dmac_irq_concat ] + set_property -dict [ list \ + CONFIG.NUM_PORTS $numPorts \ + ] $rx_dmac_irq_concat + + create_hier_cell_mtu $hier_obj mtu $numPorts + + ######################### + # Wiring + ######################### + connect_bd_intf_net -intf_net S00_AXIS_1 \ + [get_bd_intf_pins S_AXIS_DMA] \ + [get_bd_intf_pins fifo_generator_0/S_AXIS] + + connect_bd_intf_net -intf_net axi_crossbar_0_M00_AXI \ + [get_bd_intf_pins M_AXI_RX_DMA] \ + [get_bd_intf_pins axi_crossbar_0/M00_AXI] + + connect_bd_intf_net -intf_net fifo_generator_0_M_AXIS \ + [get_bd_intf_pins axis_switch_0/S00_AXIS] \ + [get_bd_intf_pins fifo_generator_0/M_AXIS] + + connect_bd_intf_net -intf_net s_axi_rx_dmac_1 \ + [get_bd_intf_pins s_axi_rx_dmac] \ + [get_bd_intf_pins axi_interconnect_0/S00_AXI] + + connect_bd_net -net aresetn_1 \ + [get_bd_pins bus_rstn] \ + [get_bd_pins fifo_generator_0/s_aresetn] + connect_bd_net -net bus_clk \ + [get_bd_pins bus_clk] \ + [get_bd_pins fifo_generator_0/s_aclk] + + connect_bd_net -net clk40 \ + [get_bd_pins clk40] \ + [get_bd_pins axi_crossbar_0/aclk] \ + [get_bd_pins axi_interconnect_0/ACLK] \ + [get_bd_pins axi_interconnect_0/S00_ACLK] \ + [get_bd_pins axis_switch_0/aclk] \ + [get_bd_pins fifo_generator_0/m_aclk] + + connect_bd_net -net clk40_rstn \ + [get_bd_pins clk40_rstn] \ + [get_bd_pins axi_crossbar_0/aresetn] \ + [get_bd_pins axi_interconnect_0/ARESETN] \ + [get_bd_pins axi_interconnect_0/S00_ARESETN] \ + [get_bd_pins axis_switch_0/aresetn] + + connect_bd_net -net mtu_regs_1 \ + [get_bd_pins mtu_regs] \ + [get_bd_pins mtu/mtu_regs] + + connect_bd_net -net rx_dmac_irq_concat_dout \ + [get_bd_pins irq] \ + [get_bd_pins rx_dmac_irq_concat/dout] + + ######################### + # Per-port Section + ######################### + for {set i 0} {$i < $numPorts} {incr i} { + puts "Instantiating rx_dma port ${i}" + create_hier_cell_rx_dma_channel $hier_obj dma$i + + set_property -dict [ list \ + [format "CONFIG.S%02d_SINGLE_THREAD" ${i}] {1} \ + ] $axi_crossbar_0 + + connect_bd_intf_net -intf_net [format "axis_switch_0_M%02d_AXIS" ${i}] \ + [get_bd_intf_pins [format "axis_switch_0/M%02d_AXIS" ${i}]] \ + [get_bd_intf_pins dma${i}/S_AXIS] + + connect_bd_intf_net -intf_net [format "axi_interconnect_0_M%02d_AXI" ${i}] \ + [get_bd_intf_pins [format "axi_interconnect_0/M%02d_AXI" ${i}]] \ + [get_bd_intf_pins dma${i}/s_axi] + + connect_bd_intf_net -intf_net dma${i}_m_dest_axi \ + [get_bd_intf_pins [format "axi_crossbar_0/S%02d_AXI" ${i}]] \ + [get_bd_intf_pins dma${i}/m_dest_axi] + + connect_bd_net -net clk40 \ + [get_bd_pins [format "axi_interconnect_0/M%02d_ACLK" ${i}]] \ + [get_bd_pins dma${i}/s_axi_aclk] \ + [get_bd_pins dma${i}/s_axis_aclk] + + connect_bd_net -net clk40_rstn \ + [get_bd_pins [format "axi_interconnect_0/M%02d_ARESETN" ${i}]] \ + [get_bd_pins dma${i}/m_dest_axi_aresetn] \ + [get_bd_pins dma${i}/s_axi_aresetn] + + connect_bd_net -net dma${i}_irq \ + [get_bd_pins dma${i}/irq] \ + [get_bd_pins rx_dmac_irq_concat/In${i}] + + connect_bd_net -net frame_size_${i} \ + [get_bd_pins dma${i}/frame_size] \ + [get_bd_pins mtu/mtu${i}] + } + + # Restore current instance + current_bd_instance $oldCurInst +} + + + diff --git a/fpga/usrp3/top/e31x/ip/e31x_ps_bd/chdr_dma_top.tcl b/fpga/usrp3/top/e31x/ip/e31x_ps_bd/chdr_dma_top.tcl new file mode 100644 index 000000000..9a4e832aa --- /dev/null +++ b/fpga/usrp3/top/e31x/ip/e31x_ps_bd/chdr_dma_top.tcl @@ -0,0 +1,159 @@ +set scriptDir [file dirname [info script]] + +source "$scriptDir/chdr_dma_rx.tcl" +source "$scriptDir/chdr_dma_tx.tcl" + +# Hierarchical cell: dma +proc create_hier_cell_dma { parentCell nameHier numPorts } { + + if { $parentCell eq "" || $nameHier eq "" || $numPorts eq "" } { + puts "ERROR: create_hier_cell_dma() - Empty argument(s)!" + return + } + + # Get object for parentCell + set parentObj [get_bd_cells $parentCell] + if { $parentObj == "" } { + puts "ERROR: Unable to find parent cell <$parentCell>!" + return + } + + if { $numPorts < 2 } { + puts "ERROR: numPorts invalid: $numPorts" + return + } + + # Make sure parentObj is hier blk + set parentType [get_property TYPE $parentObj] + if { $parentType ne "hier" } { + puts "ERROR: Parent <$parentObj> has TYPE = <$parentType>. Expected to be ." + return + } + + # Save current instance; Restore later + set oldCurInst [current_bd_instance .] + + # Set parent object as current + current_bd_instance $parentObj + + # Create cell and set as current instance + set hier_obj [create_bd_cell -type hier $nameHier] + current_bd_instance $hier_obj + + ######################### + # Pin list + ######################### + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 m_axis_dma + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 M_AXI_RX_DMA + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 M_AXI_TX_DMA + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 s_axis_dma + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_rx_dmac + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_tx_dmac + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_regfile + + create_bd_pin -dir I bus_clk + create_bd_pin -dir I bus_rstn + create_bd_pin -dir I clk40 + create_bd_pin -dir I clk40_rstn + create_bd_pin -dir O rx_irq + create_bd_pin -dir O tx_irq + + ######################### + # Instantiate IPs + ######################### + # Create instance: rx + create_hier_cell_rx_dma $hier_obj rx $numPorts + + # Create instance: tx + create_hier_cell_tx_dma $hier_obj tx $numPorts + + # Used to set frame size of RX DMA engines + set axi_regfile_0 [ create_bd_cell -type ip -vlnv ettus.com:ip:axi_regfile:1.0 axi_regfile_0 ] + set_property -dict [ list \ +CONFIG.NUM_REGS $numPorts \ + ] $axi_regfile_0 + + set util_reduced_logic_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:util_reduced_logic:2.0 util_reduced_logic_0 ] + set_property -dict [ list \ +CONFIG.C_OPERATION {or} \ +CONFIG.C_SIZE $numPorts \ + ] $util_reduced_logic_0 + + set util_reduced_logic_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:util_reduced_logic:2.0 util_reduced_logic_1 ] + set_property -dict [ list \ +CONFIG.C_OPERATION {or} \ +CONFIG.C_SIZE $numPorts \ + ] $util_reduced_logic_1 + + ######################### + # Wiring + ######################### + # Clocks and resets + connect_bd_net -net bus_clk_1 \ + [get_bd_pins bus_clk] \ + [get_bd_pins rx/bus_clk] \ + [get_bd_pins tx/bus_clk] + connect_bd_net -net bus_rstn_1 \ + [get_bd_pins bus_rstn] \ + [get_bd_pins rx/bus_rstn] \ + [get_bd_pins tx/bus_rstn] + connect_bd_net -net clk40_1 \ + [get_bd_pins clk40] \ + [get_bd_pins rx/clk40] \ + [get_bd_pins tx/clk40] \ + [get_bd_pins axi_regfile_0/S_AXI_ACLK] + connect_bd_net -net clk40_rstn_1 \ + [get_bd_pins clk40_rstn] \ + [get_bd_pins axi_regfile_0/S_AXI_ARESETN] \ + [get_bd_pins rx/clk40_rstn] \ + [get_bd_pins tx/clk40_rstn] + + # AXI buses + connect_bd_intf_net -intf_net s_axi_rx_dmac_1 \ + [get_bd_intf_pins s_axi_rx_dmac] \ + [get_bd_intf_pins rx/s_axi_rx_dmac] + connect_bd_intf_net -intf_net rx_dma_M_AXI_RX_DMA \ + [get_bd_intf_pins M_AXI_RX_DMA] \ + [get_bd_intf_pins rx/M_AXI_RX_DMA] + connect_bd_intf_net -intf_net s_axi_tx_dmac_1 \ + [get_bd_intf_pins s_axi_tx_dmac] \ + [get_bd_intf_pins tx/s_axi_tx_dmac] + connect_bd_intf_net -intf_net tx_M_AXI_TX_DMA \ + [get_bd_intf_pins M_AXI_TX_DMA] \ + [get_bd_intf_pins tx/M_AXI_TX_DMA] + connect_bd_intf_net -intf_net s_axi_regfile_1 \ + [get_bd_intf_pins s_axi_regfile] \ + [get_bd_intf_pins axi_regfile_0/S_AXI] + + # RX CHDR + connect_bd_intf_net -intf_net s_axis_dma_1 \ + [get_bd_intf_pins s_axis_dma] \ + [get_bd_intf_pins rx/S_AXIS_DMA] + + # TX CHDR + connect_bd_intf_net -intf_net m_axis_dma_1 \ + [get_bd_intf_pins tx/M_AXIS_DMA] \ + [get_bd_intf_pins m_axis_dma] + + # IRQs and Frame Sizes + connect_bd_net -net frame_sizes \ + [get_bd_pins axi_regfile_0/regs] \ + [get_bd_pins rx/mtu_regs] + connect_bd_net -net rx_irq1 \ + [get_bd_pins rx/irq] \ + [get_bd_pins util_reduced_logic_0/Op1] + connect_bd_net -net tx_irq1 \ + [get_bd_pins tx/irq] \ + [get_bd_pins util_reduced_logic_1/Op1] + connect_bd_net -net util_reduced_logic_0_Res \ + [get_bd_pins rx_irq] \ + [get_bd_pins util_reduced_logic_0/Res] + connect_bd_net -net util_reduced_logic_1_Res \ + [get_bd_pins tx_irq] \ + [get_bd_pins util_reduced_logic_1/Res] + + # Restore current instance + current_bd_instance $oldCurInst +} + + diff --git a/fpga/usrp3/top/e31x/ip/e31x_ps_bd/chdr_dma_tx.tcl b/fpga/usrp3/top/e31x/ip/e31x_ps_bd/chdr_dma_tx.tcl new file mode 100644 index 000000000..e2d160f69 --- /dev/null +++ b/fpga/usrp3/top/e31x/ip/e31x_ps_bd/chdr_dma_tx.tcl @@ -0,0 +1,193 @@ +# Hierarchical cell: tx +proc create_hier_cell_tx_dma { parentCell nameHier numPorts } { + + if { $parentCell eq "" || $nameHier eq "" || $numPorts eq "" } { + puts "ERROR: create_hier_cell_tx() - Empty argument(s)!" + return + } + + # Get object for parentCell + set parentObj [get_bd_cells $parentCell] + if { $parentObj == "" } { + puts "ERROR: Unable to find parent cell <$parentCell>!" + return + } + + if { $numPorts < 1 } { + puts "ERROR: numPorts invalid: $numPorts" + return + } + + # Make sure parentObj is hier blk + set parentType [get_property TYPE $parentObj] + if { $parentType ne "hier" } { + puts "ERROR: Parent <$parentObj> has TYPE = <$parentType>. Expected to be ." + return + } + + # Save current instance; Restore later + set oldCurInst [current_bd_instance .] + + # Set parent object as current + current_bd_instance $parentObj + + # Create cell and set as current instance + set hier_obj [create_bd_cell -type hier $nameHier] + current_bd_instance $hier_obj + + ######################### + # Pin list + ######################### + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 M_AXIS_DMA + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 M_AXI_TX_DMA + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_tx_dmac + + create_bd_pin -dir I bus_clk + create_bd_pin -dir I bus_rstn + create_bd_pin -dir I clk40 + create_bd_pin -dir I clk40_rstn + create_bd_pin -dir O -from [expr $numPorts - 1] -to 0 irq + + ######################### + # Instantiate IPs + ######################### + set axi_interconnect_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_interconnect_0 ] + set_property -dict [ list \ + CONFIG.NUM_MI $numPorts \ + ] $axi_interconnect_0 + + set axi_crossbar_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_crossbar:2.1 axi_crossbar_0 ] + set_property -dict [ list \ + CONFIG.NUM_MI {1} \ + CONFIG.NUM_SI $numPorts + ] $axi_crossbar_0 + + set axis_interconnect_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axis_interconnect:2.1 axis_interconnect_0 ] + set_property -dict [ list \ + CONFIG.ARB_ON_TLAST {1} \ + CONFIG.ARB_ON_MAX_XFERS {0} \ + CONFIG.ENABLE_ADVANCED_OPTIONS {1} \ + CONFIG.M00_HAS_REGSLICE {1} \ + CONFIG.NUM_MI {1} \ + CONFIG.NUM_SI $numPorts \ + ] $axis_interconnect_0 + + set xlconcat_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconcat:2.1 xlconcat_0 ] + set_property -dict [ list \ + CONFIG.NUM_PORTS $numPorts \ + ] $xlconcat_0 + + set xlconstant_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconstant:1.1 xlconstant_0 ] + set_property -dict [ list \ +CONFIG.CONST_VAL {0} \ + ] $xlconstant_0 + + ######################### + # Wiring + ######################### + connect_bd_net -net bus_clk \ + [get_bd_pins bus_clk] \ + [get_bd_pins axis_interconnect_0/ACLK] \ + [get_bd_pins axis_interconnect_0/M00_AXIS_ACLK] + connect_bd_net -net bus_rstn \ + [get_bd_pins bus_rstn] \ + [get_bd_pins axis_interconnect_0/ARESETN] \ + [get_bd_pins axis_interconnect_0/M00_AXIS_ARESETN] + connect_bd_net -net clk40 \ + [get_bd_pins clk40] \ + [get_bd_pins axi_crossbar_0/aclk] \ + [get_bd_pins axi_interconnect_0/ACLK] \ + [get_bd_pins axi_interconnect_0/S00_ACLK] + connect_bd_net -net clk40_rstn \ + [get_bd_pins clk40_rstn] \ + [get_bd_pins axi_crossbar_0/aresetn] \ + [get_bd_pins axi_interconnect_0/ARESETN] \ + [get_bd_pins axi_interconnect_0/S00_ARESETN] + + connect_bd_net -net xlconstant_0_dout \ + [get_bd_pins xlconstant_0/dout] + connect_bd_net -net xlconcat_0_dout \ + [get_bd_pins irq] \ + [get_bd_pins xlconcat_0/dout] + + connect_bd_intf_net -intf_net M_AXI_TX_DMAC_1 \ + [get_bd_intf_pins s_axi_tx_dmac] \ + [get_bd_intf_pins axi_interconnect_0/S00_AXI] + connect_bd_intf_net -intf_net axi_crossbar_0_M00_AXI \ + [get_bd_intf_pins M_AXI_TX_DMA] \ + [get_bd_intf_pins axi_crossbar_0/M00_AXI] + connect_bd_intf_net -intf_net axis_interconnect_0_M00_AXIS \ + [get_bd_intf_pins M_AXIS_DMA] \ + [get_bd_intf_pins axis_interconnect_0/M00_AXIS] + + ######################### + # Per-port Section + ######################### + for {set i 0} {$i < $numPorts} {incr i} { + # Configure each port on axi_crossbar and axis_interconnect + puts "Creating TX dma port ${i}" + set_property [format "CONFIG.S%02d_SINGLE_THREAD" ${i}] {1} $axi_crossbar_0 + set_property -dict [ list \ + [format "CONFIG.S%02d_HAS_REGSLICE" ${i}] {1} \ + ] $axis_interconnect_0 + + set axi_tx_dmac [ create_bd_cell -type ip -vlnv analog.com:user:axi_dmac:1.0 axi_tx_dmac_$i ] + set_property -dict [ list \ + CONFIG.DMA_TYPE_DEST {1} \ + CONFIG.DMA_TYPE_SRC {0} \ + ] $axi_tx_dmac + + # Add a tuser signal indicating which DMA channel originated the packet + # Hard-coded to handle up to 16 DMA channels + # Convert i (in decimal) to 4-bit binary: + binary scan [binary format c ${i}] B* i_binary + set i_binary [string range ${i_binary} end-3 end] + + set tuser_appender [ create_bd_cell -type ip -vlnv xilinx.com:ip:axis_subset_converter:1.1 axis_subset_converter_${i} ] + set_property -dict [ list \ + CONFIG.M_TUSER_WIDTH.VALUE_SRC USER \ + ] $tuser_appender + set_property -dict [ list \ + CONFIG.M_TUSER_WIDTH {4} \ + CONFIG.TUSER_REMAP 4'b${i_binary} \ + ] $tuser_appender + + connect_bd_intf_net -intf_net [format "axis_subset_converter_%d_S_AXIS" ${i}] \ + [get_bd_intf_pins $axi_tx_dmac/m_axis] \ + [get_bd_intf_pins ${tuser_appender}/S_AXIS] + connect_bd_intf_net -intf_net [format "S%02d_AXIS_1" ${i}] \ + [get_bd_intf_pins ${tuser_appender}/M_AXIS] \ + [get_bd_intf_pins [format "axis_interconnect_0/S%02d_AXIS" ${i}]] + connect_bd_intf_net -intf_net axi_dmac_${i}_m_src_axi \ + [get_bd_intf_pins [format "axi_crossbar_0/S%02d_AXI" ${i}]] \ + [get_bd_intf_pins $axi_tx_dmac/m_src_axi] + connect_bd_intf_net -intf_net [format "axi_interconnect_0_M%02d_AXI" ${i}] \ + [get_bd_intf_pins [format "axi_interconnect_0/M%02d_AXI" ${i}]] \ + [get_bd_intf_pins $axi_tx_dmac/s_axi] + + connect_bd_net [get_bd_pins $axi_tx_dmac/irq] [get_bd_pins xlconcat_0/In${i}] + + connect_bd_net -net clk40 \ + [get_bd_pins [format "axi_interconnect_0/M%02d_ACLK" ${i}]]\ + [get_bd_pins $axi_tx_dmac/m_axis_aclk] \ + [get_bd_pins $axi_tx_dmac/m_src_axi_aclk] \ + [get_bd_pins $axi_tx_dmac/s_axi_aclk] \ + [get_bd_pins $tuser_appender/aclk] \ + [get_bd_pins [format "axis_interconnect_0/S%02d_AXIS_ACLK" ${i}]] + + connect_bd_net -net clk40_rstn \ + [get_bd_pins [format "axi_interconnect_0/M%02d_ARESETN" ${i}]] \ + [get_bd_pins $axi_tx_dmac/m_src_axi_aresetn] \ + [get_bd_pins $axi_tx_dmac/s_axi_aresetn] \ + [get_bd_pins $tuser_appender/aresetn] \ + [get_bd_pins [format "axis_interconnect_0/S%02d_AXIS_ARESETN" ${i}]] + + connect_bd_net -net xlconstant_0_dout \ + [get_bd_pins [format "axis_interconnect_0/S%02d_ARB_REQ_SUPPRESS" ${i}]] + } + + # Restore current instance + current_bd_instance $oldCurInst +} + + diff --git a/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl b/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl new file mode 100644 index 000000000..b54ef7efc --- /dev/null +++ b/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl @@ -0,0 +1,432 @@ +proc getPresetInfo {} { + return [dict create name {e31x_ps} description {e31x_ps} vlnv xilinx.com:ip:processing_system7:5.5 display_name {e31x_ps} ] +} + +proc validate_preset {IPINST} { return true } + + +proc apply_preset {IPINST} { + return [dict create \ + CONFIG.PCW_DDR_RAM_HIGHADDR {0x3FFFFFFF} \ + CONFIG.PCW_FCLK_CLK1_BUF {TRUE} \ + CONFIG.PCW_FCLK_CLK2_BUF {TRUE} \ + CONFIG.PCW_FCLK_CLK3_BUF {TRUE} \ + CONFIG.PCW_UIPARAM_DDR_BANK_ADDR_COUNT {3} \ + CONFIG.PCW_UIPARAM_DDR_ROW_ADDR_COUNT {15} \ + CONFIG.PCW_UIPARAM_DDR_COL_ADDR_COUNT {10} \ + CONFIG.PCW_UIPARAM_DDR_CL {7} \ + CONFIG.PCW_UIPARAM_DDR_CWL {6} \ + CONFIG.PCW_UIPARAM_DDR_T_RCD {7} \ + CONFIG.PCW_UIPARAM_DDR_T_RP {7} \ + CONFIG.PCW_UIPARAM_DDR_T_RC {48.75} \ + CONFIG.PCW_UIPARAM_DDR_T_RAS_MIN {35.0} \ + CONFIG.PCW_UIPARAM_DDR_T_FAW {40.0} \ + CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_0 {0.054} \ + CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_1 {0.040} \ + CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_2 {0.041} \ + CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_3 {0.010} \ + CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY0 {0.096} \ + CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY1 {0.102} \ + CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY2 {0.100} \ + CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY3 {0.090} \ + CONFIG.PCW_APU_PERIPHERAL_FREQMHZ {1000} \ + CONFIG.PCW_USB0_PERIPHERAL_FREQMHZ {60} \ + CONFIG.PCW_SDIO_PERIPHERAL_FREQMHZ {100} \ + CONFIG.PCW_UART_PERIPHERAL_FREQMHZ {100} \ + CONFIG.PCW_SPI_PERIPHERAL_FREQMHZ {166.666666} \ + CONFIG.PCW_I2C_PERIPHERAL_FREQMHZ {144.444443} \ + CONFIG.PCW_FPGA0_PERIPHERAL_FREQMHZ {100} \ + CONFIG.PCW_FPGA1_PERIPHERAL_FREQMHZ {40} \ + CONFIG.PCW_FPGA2_PERIPHERAL_FREQMHZ {166.6667} \ + CONFIG.PCW_FPGA3_PERIPHERAL_FREQMHZ {200} \ + CONFIG.PCW_ACT_APU_PERIPHERAL_FREQMHZ {866.666687} \ + CONFIG.PCW_UIPARAM_ACT_DDR_FREQ_MHZ {533.333374} \ + CONFIG.PCW_ACT_DCI_PERIPHERAL_FREQMHZ {10.158730} \ + CONFIG.PCW_ACT_QSPI_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_SMC_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_ENET0_PERIPHERAL_FREQMHZ {125.000000} \ + CONFIG.PCW_ACT_ENET1_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_SDIO_PERIPHERAL_FREQMHZ {100.000000} \ + CONFIG.PCW_ACT_UART_PERIPHERAL_FREQMHZ {100.000000} \ + CONFIG.PCW_ACT_SPI_PERIPHERAL_FREQMHZ {166.666672} \ + CONFIG.PCW_ACT_CAN_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_WDT_PERIPHERAL_FREQMHZ {144.444443} \ + CONFIG.PCW_ACT_PCAP_PERIPHERAL_FREQMHZ {200.000000} \ + CONFIG.PCW_ACT_TPIU_PERIPHERAL_FREQMHZ {200.000000} \ + CONFIG.PCW_ACT_FPGA0_PERIPHERAL_FREQMHZ {100.000000} \ + CONFIG.PCW_ACT_FPGA1_PERIPHERAL_FREQMHZ {40.000000} \ + CONFIG.PCW_ACT_FPGA2_PERIPHERAL_FREQMHZ {166.666672} \ + CONFIG.PCW_ACT_FPGA3_PERIPHERAL_FREQMHZ {200.000000} \ + CONFIG.PCW_ACT_TTC0_CLK0_PERIPHERAL_FREQMHZ {144.444443} \ + CONFIG.PCW_ACT_TTC0_CLK1_PERIPHERAL_FREQMHZ {144.444443} \ + CONFIG.PCW_ACT_TTC0_CLK2_PERIPHERAL_FREQMHZ {144.444443} \ + CONFIG.PCW_ACT_TTC1_CLK0_PERIPHERAL_FREQMHZ {144.444443} \ + CONFIG.PCW_ACT_TTC1_CLK1_PERIPHERAL_FREQMHZ {144.444443} \ + CONFIG.PCW_ACT_TTC1_CLK2_PERIPHERAL_FREQMHZ {144.444443} \ + CONFIG.PCW_CLK0_FREQ {100000000} \ + CONFIG.PCW_CLK1_FREQ {40000000} \ + CONFIG.PCW_CLK2_FREQ {166666672} \ + CONFIG.PCW_CLK3_FREQ {200000000} \ + CONFIG.PCW_CPU_PERIPHERAL_DIVISOR0 {2} \ + CONFIG.PCW_DDR_PERIPHERAL_DIVISOR0 {2} \ + CONFIG.PCW_SMC_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_QSPI_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_SDIO_PERIPHERAL_DIVISOR0 {20} \ + CONFIG.PCW_UART_PERIPHERAL_DIVISOR0 {20} \ + CONFIG.PCW_SPI_PERIPHERAL_DIVISOR0 {12} \ + CONFIG.PCW_CAN_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_CAN_PERIPHERAL_DIVISOR1 {1} \ + CONFIG.PCW_FCLK0_PERIPHERAL_DIVISOR0 {5} \ + CONFIG.PCW_FCLK1_PERIPHERAL_DIVISOR0 {10} \ + CONFIG.PCW_FCLK2_PERIPHERAL_DIVISOR0 {4} \ + CONFIG.PCW_FCLK3_PERIPHERAL_DIVISOR0 {5} \ + CONFIG.PCW_FCLK0_PERIPHERAL_DIVISOR1 {4} \ + CONFIG.PCW_FCLK1_PERIPHERAL_DIVISOR1 {5} \ + CONFIG.PCW_FCLK2_PERIPHERAL_DIVISOR1 {3} \ + CONFIG.PCW_FCLK3_PERIPHERAL_DIVISOR1 {2} \ + CONFIG.PCW_ENET0_PERIPHERAL_DIVISOR0 {16} \ + CONFIG.PCW_ENET1_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_ENET0_PERIPHERAL_DIVISOR1 {1} \ + CONFIG.PCW_ENET1_PERIPHERAL_DIVISOR1 {1} \ + CONFIG.PCW_TPIU_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_DCI_PERIPHERAL_DIVISOR0 {15} \ + CONFIG.PCW_DCI_PERIPHERAL_DIVISOR1 {7} \ + CONFIG.PCW_PCAP_PERIPHERAL_DIVISOR0 {10} \ + CONFIG.PCW_ARMPLL_CTRL_FBDIV {52} \ + CONFIG.PCW_IOPLL_CTRL_FBDIV {60} \ + CONFIG.PCW_DDRPLL_CTRL_FBDIV {32} \ + CONFIG.PCW_CPU_CPU_PLL_FREQMHZ {1733.333} \ + CONFIG.PCW_IO_IO_PLL_FREQMHZ {2000.000} \ + CONFIG.PCW_DDR_DDR_PLL_FREQMHZ {1066.667} \ + CONFIG.PCW_SDIO_PERIPHERAL_VALID {1} \ + CONFIG.PCW_SPI_PERIPHERAL_VALID {1} \ + CONFIG.PCW_UART_PERIPHERAL_VALID {1} \ + CONFIG.PCW_EN_EMIO_ENET0 {0} \ + CONFIG.PCW_EN_EMIO_GPIO {1} \ + CONFIG.PCW_EN_EMIO_I2C1 {0} \ + CONFIG.PCW_EN_EMIO_PJTAG {0} \ + CONFIG.PCW_EN_EMIO_SPI0 {1} \ + CONFIG.PCW_EN_EMIO_SPI1 {1} \ + CONFIG.PCW_EN_EMIO_UART0 {0} \ + CONFIG.PCW_USE_S_AXI_GP0 {0} \ + CONFIG.PCW_USE_S_AXI_GP1 {0} \ + CONFIG.PCW_USE_S_AXI_HP0 {0} \ + CONFIG.PCW_USE_S_AXI_HP1 {1} \ + CONFIG.PCW_USE_S_AXI_HP2 {1} \ + CONFIG.PCW_USE_S_AXI_HP3 {0} \ + CONFIG.PCW_USE_FABRIC_INTERRUPT {1} \ + CONFIG.PCW_USE_HIGH_OCM {1} \ + CONFIG.PCW_USE_PS_SLCR_REGISTERS {1} \ + CONFIG.PCW_GPIO_EMIO_GPIO_WIDTH {64} \ + CONFIG.PCW_EN_ENET0 {1} \ + CONFIG.PCW_EN_GPIO {1} \ + CONFIG.PCW_EN_I2C0 {1} \ + CONFIG.PCW_EN_I2C1 {0} \ + CONFIG.PCW_EN_PJTAG {0} \ + CONFIG.PCW_EN_SDIO0 {1} \ + CONFIG.PCW_EN_SPI0 {1} \ + CONFIG.PCW_EN_SPI1 {1} \ + CONFIG.PCW_EN_UART0 {1} \ + CONFIG.PCW_EN_UART1 {1} \ + CONFIG.PCW_EN_USB0 {1} \ + CONFIG.PCW_EN_CLK1_PORT {1} \ + CONFIG.PCW_EN_CLK2_PORT {1} \ + CONFIG.PCW_EN_CLK3_PORT {1} \ + CONFIG.PCW_EN_RST1_PORT {0} \ + CONFIG.PCW_EN_RST2_PORT {0} \ + CONFIG.PCW_EN_RST3_PORT {0} \ + CONFIG.PCW_IRQ_F2P_INTR {1} \ + CONFIG.PCW_PRESET_BANK0_VOLTAGE {LVCMOS 3.3V} \ + CONFIG.PCW_PRESET_BANK1_VOLTAGE {LVCMOS 1.8V} \ + CONFIG.PCW_UIPARAM_DDR_PARTNO {MT41K256M16 RE-125} \ + CONFIG.PCW_UIPARAM_DDR_DRAM_WIDTH {16 Bits} \ + CONFIG.PCW_UIPARAM_DDR_DEVICE_CAPACITY {4096 MBits} \ + CONFIG.PCW_UIPARAM_DDR_SPEED_BIN {DDR3_1066F} \ + CONFIG.PCW_ENET0_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_ENET0_ENET0_IO {MIO 16 .. 27} \ + CONFIG.PCW_ENET0_GRP_MDIO_ENABLE {1} \ + CONFIG.PCW_ENET0_GRP_MDIO_IO {MIO 52 .. 53} \ + CONFIG.PCW_ENET_RESET_ENABLE {1} \ + CONFIG.PCW_ENET_RESET_SELECT {Share reset pin} \ + CONFIG.PCW_ENET0_RESET_ENABLE {1} \ + CONFIG.PCW_ENET0_RESET_IO {MIO 3} \ + CONFIG.PCW_ENET1_RESET_ENABLE {0} \ + CONFIG.PCW_SD0_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_SD0_SD0_IO {MIO 40 .. 45} \ + CONFIG.PCW_SD0_GRP_CD_ENABLE {0} \ + CONFIG.PCW_SD0_GRP_WP_ENABLE {0} \ + CONFIG.PCW_SD0_GRP_POW_ENABLE {0} \ + CONFIG.PCW_UART0_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_UART0_UART0_IO {MIO 14 .. 15} \ + CONFIG.PCW_UART0_GRP_FULL_ENABLE {0} \ + CONFIG.PCW_UART1_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_UART1_UART1_IO {MIO 48 .. 49} \ + CONFIG.PCW_UART1_GRP_FULL_ENABLE {0} \ + CONFIG.PCW_SPI0_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_SPI0_SPI0_IO {EMIO} \ + CONFIG.PCW_SPI0_GRP_SS0_ENABLE {1} \ + CONFIG.PCW_SPI0_GRP_SS0_IO {EMIO} \ + CONFIG.PCW_SPI0_GRP_SS1_ENABLE {1} \ + CONFIG.PCW_SPI0_GRP_SS1_IO {EMIO} \ + CONFIG.PCW_SPI0_GRP_SS2_ENABLE {1} \ + CONFIG.PCW_SPI0_GRP_SS2_IO {EMIO} \ + CONFIG.PCW_SPI1_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_SPI1_SPI1_IO {EMIO} \ + CONFIG.PCW_SPI1_GRP_SS0_ENABLE {1} \ + CONFIG.PCW_SPI1_GRP_SS0_IO {EMIO} \ + CONFIG.PCW_SPI1_GRP_SS1_ENABLE {1} \ + CONFIG.PCW_SPI1_GRP_SS1_IO {EMIO} \ + CONFIG.PCW_SPI1_GRP_SS2_ENABLE {1} \ + CONFIG.PCW_SPI1_GRP_SS2_IO {EMIO} \ + CONFIG.PCW_PJTAG_PERIPHERAL_ENABLE {0} \ + CONFIG.PCW_PJTAG_PJTAG_IO {} \ + CONFIG.PCW_I2C1_PERIPHERAL_ENABLE {0} \ + CONFIG.PCW_I2C1_I2C1_IO {} \ + CONFIG.PCW_I2C1_RESET_ENABLE {0} \ + CONFIG.PCW_GPIO_MIO_GPIO_ENABLE {1} \ + CONFIG.PCW_GPIO_MIO_GPIO_IO {MIO} \ + CONFIG.PCW_GPIO_EMIO_GPIO_ENABLE {1} \ + CONFIG.PCW_GPIO_EMIO_GPIO_IO {64} \ + CONFIG.PCW_ENET0_PERIPHERAL_FREQMHZ {1000 Mbps} \ + CONFIG.PCW_ENET0_PERIPHERAL_CLKSRC {IO PLL} \ + CONFIG.PCW_MIO_0_PULLUP {enabled} \ + CONFIG.PCW_MIO_0_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_0_DIRECTION {inout} \ + CONFIG.PCW_MIO_0_SLEW {slow} \ + CONFIG.PCW_MIO_1_PULLUP {enabled} \ + CONFIG.PCW_MIO_1_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_1_DIRECTION {inout} \ + CONFIG.PCW_MIO_1_SLEW {slow} \ + CONFIG.PCW_MIO_2_PULLUP {disabled} \ + CONFIG.PCW_MIO_2_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_2_DIRECTION {inout} \ + CONFIG.PCW_MIO_2_SLEW {slow} \ + CONFIG.PCW_MIO_3_PULLUP {disabled} \ + CONFIG.PCW_MIO_3_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_3_DIRECTION {out} \ + CONFIG.PCW_MIO_3_SLEW {slow} \ + CONFIG.PCW_MIO_4_PULLUP {disabled} \ + CONFIG.PCW_MIO_4_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_4_DIRECTION {out} \ + CONFIG.PCW_MIO_4_SLEW {slow} \ + CONFIG.PCW_MIO_5_PULLUP {disabled} \ + CONFIG.PCW_MIO_5_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_5_DIRECTION {inout} \ + CONFIG.PCW_MIO_5_SLEW {slow} \ + CONFIG.PCW_MIO_6_PULLUP {disabled} \ + CONFIG.PCW_MIO_6_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_6_DIRECTION {inout} \ + CONFIG.PCW_MIO_6_SLEW {slow} \ + CONFIG.PCW_MIO_7_PULLUP {disabled} \ + CONFIG.PCW_MIO_7_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_7_DIRECTION {out} \ + CONFIG.PCW_MIO_7_SLEW {slow} \ + CONFIG.PCW_MIO_8_PULLUP {disabled} \ + CONFIG.PCW_MIO_8_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_8_DIRECTION {out} \ + CONFIG.PCW_MIO_8_SLEW {slow} \ + CONFIG.PCW_MIO_9_PULLUP {enabled} \ + CONFIG.PCW_MIO_9_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_9_DIRECTION {inout} \ + CONFIG.PCW_MIO_9_SLEW {slow} \ + CONFIG.PCW_MIO_10_PULLUP {enabled} \ + CONFIG.PCW_MIO_10_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_10_DIRECTION {inout} \ + CONFIG.PCW_MIO_10_SLEW {slow} \ + CONFIG.PCW_MIO_11_PULLUP {enabled} \ + CONFIG.PCW_MIO_11_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_11_DIRECTION {inout} \ + CONFIG.PCW_MIO_11_SLEW {slow} \ + CONFIG.PCW_MIO_12_PULLUP {enabled} \ + CONFIG.PCW_MIO_12_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_12_DIRECTION {inout} \ + CONFIG.PCW_MIO_12_SLEW {slow} \ + CONFIG.PCW_MIO_13_PULLUP {enabled} \ + CONFIG.PCW_MIO_13_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_13_DIRECTION {inout} \ + CONFIG.PCW_MIO_13_SLEW {slow} \ + CONFIG.PCW_MIO_14_PULLUP {enabled} \ + CONFIG.PCW_MIO_14_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_14_DIRECTION {in} \ + CONFIG.PCW_MIO_14_SLEW {slow} \ + CONFIG.PCW_MIO_15_PULLUP {enabled} \ + CONFIG.PCW_MIO_15_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_15_DIRECTION {out} \ + CONFIG.PCW_MIO_15_SLEW {slow} \ + CONFIG.PCW_MIO_16_PULLUP {disabled} \ + CONFIG.PCW_MIO_16_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_16_DIRECTION {out} \ + CONFIG.PCW_MIO_16_SLEW {fast} \ + CONFIG.PCW_MIO_17_PULLUP {disabled} \ + CONFIG.PCW_MIO_17_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_17_DIRECTION {out} \ + CONFIG.PCW_MIO_17_SLEW {fast} \ + CONFIG.PCW_MIO_18_PULLUP {disabled} \ + CONFIG.PCW_MIO_18_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_18_DIRECTION {out} \ + CONFIG.PCW_MIO_18_SLEW {fast} \ + CONFIG.PCW_MIO_19_PULLUP {disabled} \ + CONFIG.PCW_MIO_19_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_19_DIRECTION {out} \ + CONFIG.PCW_MIO_19_SLEW {fast} \ + CONFIG.PCW_MIO_20_PULLUP {disabled} \ + CONFIG.PCW_MIO_20_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_20_DIRECTION {out} \ + CONFIG.PCW_MIO_20_SLEW {fast} \ + CONFIG.PCW_MIO_21_PULLUP {disabled} \ + CONFIG.PCW_MIO_21_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_21_DIRECTION {out} \ + CONFIG.PCW_MIO_21_SLEW {fast} \ + CONFIG.PCW_MIO_22_PULLUP {disabled} \ + CONFIG.PCW_MIO_22_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_22_DIRECTION {in} \ + CONFIG.PCW_MIO_22_SLEW {fast} \ + CONFIG.PCW_MIO_23_PULLUP {disabled} \ + CONFIG.PCW_MIO_23_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_23_DIRECTION {in} \ + CONFIG.PCW_MIO_23_SLEW {fast} \ + CONFIG.PCW_MIO_24_PULLUP {disabled} \ + CONFIG.PCW_MIO_24_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_24_DIRECTION {in} \ + CONFIG.PCW_MIO_24_SLEW {fast} \ + CONFIG.PCW_MIO_25_PULLUP {disabled} \ + CONFIG.PCW_MIO_25_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_25_DIRECTION {in} \ + CONFIG.PCW_MIO_25_SLEW {fast} \ + CONFIG.PCW_MIO_26_PULLUP {disabled} \ + CONFIG.PCW_MIO_26_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_26_DIRECTION {in} \ + CONFIG.PCW_MIO_26_SLEW {fast} \ + CONFIG.PCW_MIO_27_PULLUP {disabled} \ + CONFIG.PCW_MIO_27_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_27_DIRECTION {in} \ + CONFIG.PCW_MIO_27_SLEW {fast} \ + CONFIG.PCW_MIO_28_PULLUP {enabled} \ + CONFIG.PCW_MIO_28_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_28_DIRECTION {inout} \ + CONFIG.PCW_MIO_28_SLEW {slow} \ + CONFIG.PCW_MIO_29_PULLUP {enabled} \ + CONFIG.PCW_MIO_29_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_29_DIRECTION {in} \ + CONFIG.PCW_MIO_29_SLEW {slow} \ + CONFIG.PCW_MIO_30_PULLUP {enabled} \ + CONFIG.PCW_MIO_30_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_30_DIRECTION {out} \ + CONFIG.PCW_MIO_30_SLEW {slow} \ + CONFIG.PCW_MIO_31_PULLUP {enabled} \ + CONFIG.PCW_MIO_31_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_31_DIRECTION {in} \ + CONFIG.PCW_MIO_31_SLEW {slow} \ + CONFIG.PCW_MIO_32_PULLUP {enabled} \ + CONFIG.PCW_MIO_32_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_32_DIRECTION {inout} \ + CONFIG.PCW_MIO_32_SLEW {slow} \ + CONFIG.PCW_MIO_33_PULLUP {enabled} \ + CONFIG.PCW_MIO_33_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_33_DIRECTION {inout} \ + CONFIG.PCW_MIO_33_SLEW {slow} \ + CONFIG.PCW_MIO_34_PULLUP {enabled} \ + CONFIG.PCW_MIO_34_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_34_DIRECTION {inout} \ + CONFIG.PCW_MIO_34_SLEW {slow} \ + CONFIG.PCW_MIO_35_PULLUP {enabled} \ + CONFIG.PCW_MIO_35_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_35_DIRECTION {inout} \ + CONFIG.PCW_MIO_35_SLEW {slow} \ + CONFIG.PCW_MIO_36_PULLUP {enabled} \ + CONFIG.PCW_MIO_36_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_36_DIRECTION {in} \ + CONFIG.PCW_MIO_36_SLEW {slow} \ + CONFIG.PCW_MIO_37_PULLUP {enabled} \ + CONFIG.PCW_MIO_37_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_37_DIRECTION {inout} \ + CONFIG.PCW_MIO_37_SLEW {slow} \ + CONFIG.PCW_MIO_38_PULLUP {enabled} \ + CONFIG.PCW_MIO_38_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_38_DIRECTION {inout} \ + CONFIG.PCW_MIO_38_SLEW {slow} \ + CONFIG.PCW_MIO_39_PULLUP {enabled} \ + CONFIG.PCW_MIO_39_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_39_DIRECTION {inout} \ + CONFIG.PCW_MIO_39_SLEW {slow} \ + CONFIG.PCW_MIO_40_PULLUP {enabled} \ + CONFIG.PCW_MIO_40_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_40_DIRECTION {inout} \ + CONFIG.PCW_MIO_40_SLEW {slow} \ + CONFIG.PCW_MIO_41_PULLUP {enabled} \ + CONFIG.PCW_MIO_41_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_41_DIRECTION {inout} \ + CONFIG.PCW_MIO_41_SLEW {slow} \ + CONFIG.PCW_MIO_42_PULLUP {enabled} \ + CONFIG.PCW_MIO_42_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_42_DIRECTION {inout} \ + CONFIG.PCW_MIO_42_SLEW {slow} \ + CONFIG.PCW_MIO_43_PULLUP {enabled} \ + CONFIG.PCW_MIO_43_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_43_DIRECTION {inout} \ + CONFIG.PCW_MIO_43_SLEW {slow} \ + CONFIG.PCW_MIO_44_PULLUP {enabled} \ + CONFIG.PCW_MIO_44_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_44_DIRECTION {inout} \ + CONFIG.PCW_MIO_44_SLEW {slow} \ + CONFIG.PCW_MIO_45_PULLUP {enabled} \ + CONFIG.PCW_MIO_45_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_45_DIRECTION {inout} \ + CONFIG.PCW_MIO_45_SLEW {slow} \ + CONFIG.PCW_MIO_46_PULLUP {enabled} \ + CONFIG.PCW_MIO_46_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_46_DIRECTION {inout} \ + CONFIG.PCW_MIO_46_SLEW {slow} \ + CONFIG.PCW_MIO_47_PULLUP {enabled} \ + CONFIG.PCW_MIO_47_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_47_DIRECTION {inout} \ + CONFIG.PCW_MIO_47_SLEW {slow} \ + CONFIG.PCW_MIO_48_PULLUP {enabled} \ + CONFIG.PCW_MIO_48_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_48_DIRECTION {out} \ + CONFIG.PCW_MIO_48_SLEW {slow} \ + CONFIG.PCW_MIO_49_PULLUP {enabled} \ + CONFIG.PCW_MIO_49_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_49_DIRECTION {in} \ + CONFIG.PCW_MIO_49_SLEW {slow} \ + CONFIG.PCW_MIO_50_PULLUP {enabled} \ + CONFIG.PCW_MIO_50_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_50_DIRECTION {inout} \ + CONFIG.PCW_MIO_50_SLEW {slow} \ + CONFIG.PCW_MIO_51_PULLUP {enabled} \ + CONFIG.PCW_MIO_51_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_51_DIRECTION {inout} \ + CONFIG.PCW_MIO_51_SLEW {slow} \ + CONFIG.PCW_MIO_52_PULLUP {enabled} \ + CONFIG.PCW_MIO_52_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_52_DIRECTION {out} \ + CONFIG.PCW_MIO_52_SLEW {slow} \ + CONFIG.PCW_MIO_53_PULLUP {enabled} \ + CONFIG.PCW_MIO_53_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_53_DIRECTION {inout} \ + CONFIG.PCW_MIO_53_SLEW {slow} \ + CONFIG.PCW_MIO_TREE_PERIPHERALS {GPIO#GPIO#GPIO#ENET Reset#USB Reset#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#UART 0#UART 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#I2C 0#I2C 0#UART 1#UART 1#GPIO#GPIO#Enet 0#Enet 0} \ + CONFIG.PCW_MIO_TREE_SIGNALS {gpio[0]#gpio[1]#gpio[2]#reset#reset#gpio[5]#gpio[6]#gpio[7]#gpio[8]#gpio[9]#gpio[10]#gpio[11]#gpio[12]#gpio[13]#rx#tx#tx_clk#txd[0]#txd[1]#txd[2]#txd[3]#tx_ctl#rx_clk#rxd[0]#rxd[1]#rxd[2]#rxd[3]#rx_ctl#data[4]#dir#stp#nxt#data[0]#data[1]#data[2]#data[3]#clk#data[5]#data[6]#data[7]#clk#cmd#data[0]#data[1]#data[2]#data[3]#scl#sda#tx#rx#gpio[50]#gpio[51]#mdc#mdio} \ + CONFIG.PCW_FPGA_FCLK0_ENABLE {1} \ + CONFIG.PCW_FPGA_FCLK1_ENABLE {1} \ + CONFIG.PCW_FPGA_FCLK2_ENABLE {1} \ + CONFIG.PCW_FPGA_FCLK3_ENABLE {1} \ + ] +} + + diff --git a/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl b/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl new file mode 100644 index 000000000..b6b3cb1e5 --- /dev/null +++ b/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl @@ -0,0 +1,720 @@ +# CHANGE DESIGN NAME HERE +set design_name e31x_ps_bd + +# Creating design if needed +set errMsg "" +set nRet 0 + +set cur_design [current_bd_design -quiet] +set list_cells [get_bd_cells -quiet] + +create_bd_design $design_name +current_bd_design $design_name + +if { $nRet != 0 } { + puts $errMsg + return $nRet +} + +set scriptDir [file dirname [info script]] + +################################################################## +# DESIGN PROCs +################################################################## +source "$scriptDir/chdr_dma_top.tcl" + +# Procedure to create entire design; Provide argument to make +# procedure reusable. If parentCell is "", will use root. +proc create_root_design { parentCell } { + + if { $parentCell eq "" } { + set parentCell [get_bd_cells /] + } + + # Get object for parentCell + set parentObj [get_bd_cells $parentCell] + if { $parentObj == "" } { + puts "ERROR: Unable to find parent cell <$parentCell>!" + return + } + + # Make sure parentObj is hier blk + set parentType [get_property TYPE $parentObj] + if { $parentType ne "hier" } { + puts "ERROR: Parent <$parentObj> has TYPE = <$parentType>. Expected to be ." + return + } + + # Save current instance; Restore later + set oldCurInst [current_bd_instance .] + + # Set parent object as current + current_bd_instance $parentObj + + + # Create interface ports + set DDR [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:ddrx_rtl:1.0 DDR ] + set GPIO_0 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:gpio_rtl:1.0 GPIO_0 ] + set m_axis_dma [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 m_axis_dma ] + set s_axis_dma [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 s_axis_dma ] + set_property -dict [ list \ + CONFIG.HAS_TLAST 1 \ + CONFIG.TDATA_NUM_BYTES 8 \ + CONFIG.TDEST_WIDTH 4 \ + ] $s_axis_dma + set m_axi_pmu [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_pmu ] + set_property -dict [ list \ + CONFIG.ADDR_WIDTH {32} \ + CONFIG.DATA_WIDTH {32} \ + CONFIG.FREQ_HZ {40000000} \ + CONFIG.HAS_BURST {0} \ + CONFIG.HAS_CACHE {0} \ + CONFIG.HAS_LOCK {0} \ + CONFIG.HAS_PROT {0} \ + CONFIG.HAS_QOS {0} \ + CONFIG.HAS_WSTRB {0} \ + CONFIG.NUM_READ_OUTSTANDING {2} \ + CONFIG.NUM_WRITE_OUTSTANDING {2} \ + CONFIG.PROTOCOL {AXI4LITE} \ + ] $m_axi_pmu + set m_axi_xbar [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_xbar ] + set_property -dict [ list \ + CONFIG.ADDR_WIDTH {32} \ + CONFIG.DATA_WIDTH {32} \ + CONFIG.FREQ_HZ {40000000} \ + CONFIG.HAS_BURST {0} \ + CONFIG.HAS_CACHE {0} \ + CONFIG.HAS_LOCK {0} \ + CONFIG.HAS_PROT {0} \ + CONFIG.HAS_QOS {0} \ + CONFIG.HAS_WSTRB {0} \ + CONFIG.NUM_READ_OUTSTANDING {2} \ + CONFIG.NUM_WRITE_OUTSTANDING {2} \ + CONFIG.PROTOCOL {AXI4LITE} \ + ] $m_axi_xbar + set USBIND_0 [ create_bd_intf_port -mode Master -vlnv xilinx.com:display_processing_system7:usbctrl_rtl:1.0 USBIND_0 ] + + # Create ports + set DDR_VRN [ create_bd_port -dir IO DDR_VRN ] + set DDR_VRP [ create_bd_port -dir IO DDR_VRP ] + set FCLK_CLK0 [ create_bd_port -dir O -type clk FCLK_CLK0 ] + set FCLK_CLK1 [ create_bd_port -dir O -type clk FCLK_CLK1 ] + set FCLK_CLK2 [ create_bd_port -dir O -type clk FCLK_CLK2 ] + set FCLK_CLK3 [ create_bd_port -dir O -type clk FCLK_CLK3 ] + set FCLK_RESET0_N [ create_bd_port -dir O -type rst FCLK_RESET0_N ] + set IRQ_F2P [ create_bd_port -dir I -from 15 -to 0 -type intr IRQ_F2P ] + set_property -dict [ list \ + CONFIG.PortWidth {16} \ + CONFIG.SENSITIVITY {EDGE_RISING} \ + ] $IRQ_F2P + set MIO [ create_bd_port -dir IO -from 53 -to 0 MIO ] + set PS_CLK [ create_bd_port -dir IO PS_CLK ] + set PS_PORB [ create_bd_port -dir IO PS_PORB ] + set PS_SRSTB [ create_bd_port -dir IO PS_SRSTB ] + set SPI0_MISO_I [ create_bd_port -dir I SPI0_MISO_I ] + set SPI0_MISO_O [ create_bd_port -dir O SPI0_MISO_O ] + set SPI0_MISO_T [ create_bd_port -dir O SPI0_MISO_T ] + set SPI0_MOSI_I [ create_bd_port -dir I SPI0_MOSI_I ] + set SPI0_MOSI_O [ create_bd_port -dir O SPI0_MOSI_O ] + set SPI0_MOSI_T [ create_bd_port -dir O SPI0_MOSI_T ] + set SPI0_SCLK_I [ create_bd_port -dir I SPI0_SCLK_I ] + set SPI0_SCLK_O [ create_bd_port -dir O SPI0_SCLK_O ] + set SPI0_SCLK_T [ create_bd_port -dir O SPI0_SCLK_T ] + set SPI0_SS1_O [ create_bd_port -dir O SPI0_SS1_O ] + set SPI0_SS2_O [ create_bd_port -dir O SPI0_SS2_O ] + set SPI0_SS_I [ create_bd_port -dir I SPI0_SS_I ] + set SPI0_SS_O [ create_bd_port -dir O SPI0_SS_O ] + set SPI0_SS_T [ create_bd_port -dir O SPI0_SS_T ] + set SPI1_MISO_I [ create_bd_port -dir I SPI1_MISO_I ] + set SPI1_MISO_O [ create_bd_port -dir O SPI1_MISO_O ] + set SPI1_MISO_T [ create_bd_port -dir O SPI1_MISO_T ] + set SPI1_MOSI_I [ create_bd_port -dir I SPI1_MOSI_I ] + set SPI1_MOSI_O [ create_bd_port -dir O SPI1_MOSI_O ] + set SPI1_MOSI_T [ create_bd_port -dir O SPI1_MOSI_T ] + set SPI1_SCLK_I [ create_bd_port -dir I SPI1_SCLK_I ] + set SPI1_SCLK_O [ create_bd_port -dir O SPI1_SCLK_O ] + set SPI1_SCLK_T [ create_bd_port -dir O SPI1_SCLK_T ] + set SPI1_SS1_O [ create_bd_port -dir O SPI1_SS1_O ] + set SPI1_SS2_O [ create_bd_port -dir O SPI1_SS2_O ] + set SPI1_SS_I [ create_bd_port -dir I SPI1_SS_I ] + set SPI1_SS_O [ create_bd_port -dir O SPI1_SS_O ] + set SPI1_SS_T [ create_bd_port -dir O SPI1_SS_T ] + set S_AXI_GP0_ACLK [ create_bd_port -dir I -type clk S_AXI_GP0_ACLK ] + set_property -dict [ list \ + CONFIG.ASSOCIATED_RESET {S_AXI_GP0_ARESETN} \ + CONFIG.FREQ_HZ {40000000} \ + ] $S_AXI_GP0_ACLK + set S_AXI_GP0_ARESETN [ create_bd_port -dir I -type rst S_AXI_GP0_ARESETN ] + set bus_clk [ create_bd_port -dir I -type clk bus_clk ] + set_property -dict [ list \ + CONFIG.ASSOCIATED_BUSIF {m_axis_dma:s_axis_dma} \ + CONFIG.ASSOCIATED_RESET {bus_rstn} \ + CONFIG.FREQ_HZ {200000000} \ + ] $bus_clk + set bus_rstn [ create_bd_port -dir I -type rst bus_rstn ] + set clk40 [ create_bd_port -dir I -type clk clk40 ] + set_property -dict [ list \ + CONFIG.ASSOCIATED_BUSIF {m_axi_xbar:m_axi_pmu} \ + CONFIG.ASSOCIATED_RESET {clk40_rstn} \ + CONFIG.FREQ_HZ {40000000} \ + ] $clk40 + set clk40_rstn [ create_bd_port -dir I -type rst clk40_rstn ] + + # Create instance: axi_interconnect_0, and set properties + set axi_interconnect_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_interconnect_0 ] + set_property -dict [ list \ + CONFIG.ENABLE_ADVANCED_OPTIONS {0} \ + CONFIG.NUM_MI {5} \ + ] $axi_interconnect_0 + + # Create instance: dma + create_hier_cell_dma [current_bd_instance .] dma 5 + + # Create instance: processing_system7_0, and set properties + set processing_system7_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:processing_system7:5.5 processing_system7_0 ] + set_property -dict [ list \ + CONFIG.PCW_ACT_APU_PERIPHERAL_FREQMHZ {666.666687} \ + CONFIG.PCW_ACT_CAN_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_DCI_PERIPHERAL_FREQMHZ {10.158730} \ + CONFIG.PCW_ACT_ENET0_PERIPHERAL_FREQMHZ {125.000000} \ + CONFIG.PCW_ACT_ENET1_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_FPGA0_PERIPHERAL_FREQMHZ {100.000000} \ + CONFIG.PCW_ACT_FPGA1_PERIPHERAL_FREQMHZ {40.000000} \ + CONFIG.PCW_ACT_FPGA2_PERIPHERAL_FREQMHZ {166.666672} \ + CONFIG.PCW_ACT_FPGA3_PERIPHERAL_FREQMHZ {200.000000} \ + CONFIG.PCW_ACT_PCAP_PERIPHERAL_FREQMHZ {200.000000} \ + CONFIG.PCW_ACT_QSPI_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_SDIO_PERIPHERAL_FREQMHZ {100.000000} \ + CONFIG.PCW_ACT_SMC_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_SPI_PERIPHERAL_FREQMHZ {166.666672} \ + CONFIG.PCW_ACT_TPIU_PERIPHERAL_FREQMHZ {200.000000} \ + CONFIG.PCW_ACT_TTC0_CLK0_PERIPHERAL_FREQMHZ {111.111115} \ + CONFIG.PCW_ACT_TTC0_CLK1_PERIPHERAL_FREQMHZ {111.111115} \ + CONFIG.PCW_ACT_TTC0_CLK2_PERIPHERAL_FREQMHZ {111.111115} \ + CONFIG.PCW_ACT_TTC1_CLK0_PERIPHERAL_FREQMHZ {111.111115} \ + CONFIG.PCW_ACT_TTC1_CLK1_PERIPHERAL_FREQMHZ {111.111115} \ + CONFIG.PCW_ACT_TTC1_CLK2_PERIPHERAL_FREQMHZ {111.111115} \ + CONFIG.PCW_ACT_UART_PERIPHERAL_FREQMHZ {100.000000} \ + CONFIG.PCW_ACT_WDT_PERIPHERAL_FREQMHZ {111.111115} \ + CONFIG.PCW_APU_PERIPHERAL_FREQMHZ {1000} \ + CONFIG.PCW_ARMPLL_CTRL_FBDIV {40} \ + CONFIG.PCW_CAN_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_CAN_PERIPHERAL_DIVISOR1 {1} \ + CONFIG.PCW_CLK0_FREQ {100000000} \ + CONFIG.PCW_CLK1_FREQ {40000000} \ + CONFIG.PCW_CLK2_FREQ {166666672} \ + CONFIG.PCW_CLK3_FREQ {200000000} \ + CONFIG.PCW_CPU_CPU_PLL_FREQMHZ {1333.333} \ + CONFIG.PCW_CPU_PERIPHERAL_DIVISOR0 {2} \ + CONFIG.PCW_DCI_PERIPHERAL_DIVISOR0 {15} \ + CONFIG.PCW_DCI_PERIPHERAL_DIVISOR1 {7} \ + CONFIG.PCW_DDRPLL_CTRL_FBDIV {32} \ + CONFIG.PCW_DDR_DDR_PLL_FREQMHZ {1066.667} \ + CONFIG.PCW_DDR_PERIPHERAL_DIVISOR0 {2} \ + CONFIG.PCW_DDR_RAM_HIGHADDR {0x3FFFFFFF} \ + CONFIG.PCW_ENET0_ENET0_IO {MIO 16 .. 27} \ + CONFIG.PCW_ENET0_GRP_MDIO_ENABLE {1} \ + CONFIG.PCW_ENET0_GRP_MDIO_IO {MIO 52 .. 53} \ + CONFIG.PCW_ENET0_PERIPHERAL_CLKSRC {IO PLL} \ + CONFIG.PCW_ENET0_PERIPHERAL_DIVISOR0 {8} \ + CONFIG.PCW_ENET0_PERIPHERAL_DIVISOR1 {1} \ + CONFIG.PCW_ENET0_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_ENET0_PERIPHERAL_FREQMHZ {1000 Mbps} \ + CONFIG.PCW_ENET0_RESET_ENABLE {1} \ + CONFIG.PCW_ENET0_RESET_IO {MIO 11} \ + CONFIG.PCW_ENET1_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_ENET1_PERIPHERAL_DIVISOR1 {1} \ + CONFIG.PCW_ENET1_RESET_ENABLE {0} \ + CONFIG.PCW_ENET_RESET_ENABLE {1} \ + CONFIG.PCW_ENET_RESET_SELECT {Share reset pin} \ + CONFIG.PCW_EN_CLK1_PORT {1} \ + CONFIG.PCW_EN_CLK2_PORT {1} \ + CONFIG.PCW_EN_CLK3_PORT {1} \ + CONFIG.PCW_EN_EMIO_ENET0 {0} \ + CONFIG.PCW_EN_EMIO_GPIO {1} \ + CONFIG.PCW_EN_EMIO_I2C1 {0} \ + CONFIG.PCW_EN_EMIO_PJTAG {0} \ + CONFIG.PCW_EN_EMIO_SPI0 {1} \ + CONFIG.PCW_EN_EMIO_SPI1 {1} \ + CONFIG.PCW_EN_EMIO_UART0 {0} \ + CONFIG.PCW_EN_ENET0 {1} \ + CONFIG.PCW_EN_GPIO {1} \ + CONFIG.PCW_EN_I2C0 {1} \ + CONFIG.PCW_EN_I2C1 {0} \ + CONFIG.PCW_EN_PJTAG {0} \ + CONFIG.PCW_EN_RST1_PORT {0} \ + CONFIG.PCW_EN_RST2_PORT {0} \ + CONFIG.PCW_EN_RST3_PORT {0} \ + CONFIG.PCW_EN_SDIO0 {1} \ + CONFIG.PCW_EN_SPI0 {1} \ + CONFIG.PCW_EN_SPI1 {1} \ + CONFIG.PCW_EN_UART0 {1} \ + CONFIG.PCW_EN_UART1 {1} \ + CONFIG.PCW_EN_USB0 {1} \ + CONFIG.PCW_FCLK0_PERIPHERAL_DIVISOR0 {5} \ + CONFIG.PCW_FCLK0_PERIPHERAL_DIVISOR1 {2} \ + CONFIG.PCW_FCLK1_PERIPHERAL_DIVISOR0 {5} \ + CONFIG.PCW_FCLK1_PERIPHERAL_DIVISOR1 {5} \ + CONFIG.PCW_FCLK2_PERIPHERAL_DIVISOR0 {3} \ + CONFIG.PCW_FCLK2_PERIPHERAL_DIVISOR1 {2} \ + CONFIG.PCW_FCLK3_PERIPHERAL_DIVISOR0 {5} \ + CONFIG.PCW_FCLK3_PERIPHERAL_DIVISOR1 {1} \ + CONFIG.PCW_FCLK_CLK1_BUF {TRUE} \ + CONFIG.PCW_FCLK_CLK2_BUF {TRUE} \ + CONFIG.PCW_FCLK_CLK3_BUF {TRUE} \ + CONFIG.PCW_FPGA0_PERIPHERAL_FREQMHZ {100} \ + CONFIG.PCW_FPGA1_PERIPHERAL_FREQMHZ {40} \ + CONFIG.PCW_FPGA2_PERIPHERAL_FREQMHZ {166.6667} \ + CONFIG.PCW_FPGA3_PERIPHERAL_FREQMHZ {200} \ + CONFIG.PCW_FPGA_FCLK0_ENABLE {1} \ + CONFIG.PCW_FPGA_FCLK1_ENABLE {1} \ + CONFIG.PCW_FPGA_FCLK2_ENABLE {1} \ + CONFIG.PCW_FPGA_FCLK3_ENABLE {1} \ + CONFIG.PCW_GPIO_EMIO_GPIO_ENABLE {1} \ + CONFIG.PCW_GPIO_EMIO_GPIO_IO {64} \ + CONFIG.PCW_GPIO_EMIO_GPIO_WIDTH {64} \ + CONFIG.PCW_GPIO_MIO_GPIO_ENABLE {1} \ + CONFIG.PCW_GPIO_MIO_GPIO_IO {MIO} \ + CONFIG.PCW_I2C0_GRP_INT_ENABLE {0} \ + CONFIG.PCW_I2C0_I2C0_IO {MIO 46 .. 47} \ + CONFIG.PCW_I2C0_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_I2C0_RESET_ENABLE {0} \ + CONFIG.PCW_I2C0_RESET_IO {} \ + CONFIG.PCW_I2C1_PERIPHERAL_ENABLE {0} \ + CONFIG.PCW_I2C1_RESET_ENABLE {0} \ + CONFIG.PCW_I2C_PERIPHERAL_FREQMHZ {111.111115} \ + CONFIG.PCW_I2C_RESET_ENABLE {0} \ + CONFIG.PCW_I2C_RESET_SELECT {} \ + CONFIG.PCW_PRESET_BANK0_VOLTAGE {LVCMOS 1.8V} \ + CONFIG.PCW_PRESET_BANK1_VOLTAGE {LVCMOS 1.8V} \ + CONFIG.PCW_QSPI_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_SD0_GRP_CD_ENABLE {0} \ + CONFIG.PCW_SD0_GRP_POW_ENABLE {0} \ + CONFIG.PCW_SD0_GRP_WP_ENABLE {0} \ + CONFIG.PCW_SD0_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_SD0_SD0_IO {MIO 40 .. 45} \ + CONFIG.PCW_SDIO_PERIPHERAL_DIVISOR0 {10} \ + CONFIG.PCW_SDIO_PERIPHERAL_FREQMHZ {100} \ + CONFIG.PCW_SDIO_PERIPHERAL_VALID {1} \ + CONFIG.PCW_SMC_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_SPI0_GRP_SS0_ENABLE {1} \ + CONFIG.PCW_SPI0_GRP_SS0_IO {EMIO} \ + CONFIG.PCW_SPI0_GRP_SS1_ENABLE {1} \ + CONFIG.PCW_SPI0_GRP_SS1_IO {EMIO} \ + CONFIG.PCW_SPI0_GRP_SS2_ENABLE {1} \ + CONFIG.PCW_SPI0_GRP_SS2_IO {EMIO} \ + CONFIG.PCW_SPI0_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_SPI0_SPI0_IO {EMIO} \ + CONFIG.PCW_SPI1_GRP_SS0_ENABLE {1} \ + CONFIG.PCW_SPI1_GRP_SS0_IO {EMIO} \ + CONFIG.PCW_SPI1_GRP_SS1_ENABLE {1} \ + CONFIG.PCW_SPI1_GRP_SS1_IO {EMIO} \ + CONFIG.PCW_SPI1_GRP_SS2_ENABLE {1} \ + CONFIG.PCW_SPI1_GRP_SS2_IO {EMIO} \ + CONFIG.PCW_SPI1_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_SPI1_SPI1_IO {EMIO} \ + CONFIG.PCW_SPI_PERIPHERAL_DIVISOR0 {6} \ + CONFIG.PCW_SPI_PERIPHERAL_FREQMHZ {166.666666} \ + CONFIG.PCW_SPI_PERIPHERAL_VALID {1} \ + CONFIG.PCW_TPIU_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_UART0_GRP_FULL_ENABLE {0} \ + CONFIG.PCW_UART0_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_UART0_UART0_IO {MIO 14 .. 15} \ + CONFIG.PCW_UART1_GRP_FULL_ENABLE {0} \ + CONFIG.PCW_UART1_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_UART1_UART1_IO {MIO 48 .. 49} \ + CONFIG.PCW_UART_PERIPHERAL_DIVISOR0 {10} \ + CONFIG.PCW_UART_PERIPHERAL_FREQMHZ {100} \ + CONFIG.PCW_UART_PERIPHERAL_VALID {1} \ + CONFIG.PCW_UIPARAM_ACT_DDR_FREQ_MHZ {533.333374} \ + CONFIG.PCW_UIPARAM_DDR_BANK_ADDR_COUNT {3} \ + CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY0 {0.096} \ + CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY1 {0.102} \ + CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY2 {0.100} \ + CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY3 {0.090} \ + CONFIG.PCW_UIPARAM_DDR_CL {7} \ + CONFIG.PCW_UIPARAM_DDR_COL_ADDR_COUNT {10} \ + CONFIG.PCW_UIPARAM_DDR_CWL {6} \ + CONFIG.PCW_UIPARAM_DDR_DEVICE_CAPACITY {4096 MBits} \ + CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_0 {0.054} \ + CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_1 {0.040} \ + CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_2 {0.041} \ + CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_3 {0.010} \ + CONFIG.PCW_UIPARAM_DDR_DRAM_WIDTH {16 Bits} \ + CONFIG.PCW_UIPARAM_DDR_PARTNO {MT41K256M16 RE-125} \ + CONFIG.PCW_UIPARAM_DDR_ROW_ADDR_COUNT {15} \ + CONFIG.PCW_UIPARAM_DDR_SPEED_BIN {DDR3_1066F} \ + CONFIG.PCW_UIPARAM_DDR_T_FAW {40.0} \ + CONFIG.PCW_UIPARAM_DDR_T_RAS_MIN {35.0} \ + CONFIG.PCW_UIPARAM_DDR_T_RC {48.75} \ + CONFIG.PCW_UIPARAM_DDR_T_RCD {7} \ + CONFIG.PCW_UIPARAM_DDR_T_RP {7} \ + CONFIG.PCW_USB0_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_USB0_PERIPHERAL_FREQMHZ {60} \ + CONFIG.PCW_USB0_RESET_ENABLE {1} \ + CONFIG.PCW_USB0_RESET_IO {MIO 9} \ + CONFIG.PCW_USB0_USB0_IO {MIO 28 .. 39} \ + CONFIG.PCW_USB1_RESET_ENABLE {0} \ + CONFIG.PCW_USB_RESET_ENABLE {1} \ + CONFIG.PCW_USB_RESET_SELECT {Share reset pin} \ + CONFIG.PCW_USE_FABRIC_INTERRUPT {1} \ + CONFIG.PCW_USE_HIGH_OCM {1} \ + CONFIG.PCW_USE_PS_SLCR_REGISTERS {1} \ + CONFIG.PCW_USE_S_AXI_GP0 {0} \ + CONFIG.PCW_USE_S_AXI_GP1 {0} \ + CONFIG.PCW_USE_S_AXI_HP0 {0} \ + CONFIG.PCW_USE_S_AXI_HP1 {1} \ + CONFIG.PCW_USE_S_AXI_HP2 {1} \ + CONFIG.PCW_USE_S_AXI_HP3 {0} \ + ] $processing_system7_0 + + # Create instance: xlconcat_0, and set properties + set xlconcat_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconcat:2.1 xlconcat_0 ] + set_property -dict [ list \ + CONFIG.IN0_WIDTH {8} \ + CONFIG.NUM_PORTS {9} \ + ] $xlconcat_0 + + # Create instance: xlslice_2, and set properties + set xlslice_2 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlslice:1.0 xlslice_2 ] + set_property -dict [ list \ + CONFIG.DIN_FROM {7} \ + CONFIG.DIN_TO {0} \ + CONFIG.DIN_WIDTH {16} \ + CONFIG.DOUT_WIDTH {8} \ + ] $xlslice_2 + + # Create interface connections + connect_bd_intf_net -intf_net S00_AXI_1 [get_bd_intf_pins axi_interconnect_0/S00_AXI] [get_bd_intf_pins processing_system7_0/M_AXI_GP0] + connect_bd_intf_net -intf_net axi_interconnect_0_M00_AXI [get_bd_intf_ports m_axi_xbar] [get_bd_intf_pins axi_interconnect_0/M00_AXI] + connect_bd_intf_net -intf_net axi_interconnect_0_M03_AXI [get_bd_intf_pins axi_interconnect_0/M03_AXI] [get_bd_intf_pins dma/s_axi_tx_dmac] + connect_bd_intf_net -intf_net axi_interconnect_0_M01_AXI [get_bd_intf_ports m_axi_pmu] [get_bd_intf_pins axi_interconnect_0/M01_AXI] + connect_bd_intf_net -intf_net dma_M_AXI_RX_DMA [get_bd_intf_pins dma/M_AXI_RX_DMA] [get_bd_intf_pins processing_system7_0/S_AXI_HP1] + connect_bd_intf_net -intf_net dma_M_AXI_TX_DMA [get_bd_intf_pins dma/M_AXI_TX_DMA] [get_bd_intf_pins processing_system7_0/S_AXI_HP2] + connect_bd_intf_net -intf_net s_axis_dma_1 [get_bd_intf_ports s_axis_dma] [get_bd_intf_pins dma/s_axis_dma] + connect_bd_intf_net -intf_net m_axis_dma_1 [get_bd_intf_ports m_axis_dma] [get_bd_intf_pins dma/m_axis_dma] + connect_bd_intf_net -intf_net processing_system7_0_DDR [get_bd_intf_ports DDR] [get_bd_intf_pins processing_system7_0/DDR] + connect_bd_intf_net -intf_net processing_system7_0_GPIO_0 [get_bd_intf_ports GPIO_0] [get_bd_intf_pins processing_system7_0/GPIO_0] + connect_bd_intf_net -intf_net processing_system7_0_USBIND_0 [get_bd_intf_ports USBIND_0] [get_bd_intf_pins processing_system7_0/USBIND_0] + connect_bd_intf_net -intf_net s_axi_regfile_1 [get_bd_intf_pins axi_interconnect_0/M04_AXI] [get_bd_intf_pins dma/s_axi_regfile] + connect_bd_intf_net -intf_net s_axi_rx_dmac_1 [get_bd_intf_pins axi_interconnect_0/M02_AXI] [get_bd_intf_pins dma/s_axi_rx_dmac] + + # Create port connections + connect_bd_net -net IRQ_F2P_1 [get_bd_ports IRQ_F2P] [get_bd_pins xlslice_2/Din] + connect_bd_net -net SPI0_MISO_I_1 [get_bd_ports SPI0_MISO_I] [get_bd_pins processing_system7_0/SPI0_MISO_I] + connect_bd_net -net SPI0_MOSI_I_1 [get_bd_ports SPI0_MOSI_I] [get_bd_pins processing_system7_0/SPI0_MOSI_I] + connect_bd_net -net SPI0_SCLK_I_1 [get_bd_ports SPI0_SCLK_I] [get_bd_pins processing_system7_0/SPI0_SCLK_I] + connect_bd_net -net SPI0_SS_I_1 [get_bd_ports SPI0_SS_I] [get_bd_pins processing_system7_0/SPI0_SS_I] + connect_bd_net -net SPI1_MISO_I_0_1 [get_bd_ports SPI1_MISO_I] [get_bd_pins processing_system7_0/SPI1_MISO_I] + connect_bd_net -net SPI1_MOSI_I_0_1 [get_bd_ports SPI1_MOSI_I] [get_bd_pins processing_system7_0/SPI1_MOSI_I] + connect_bd_net -net SPI1_SCLK_I_0_1 [get_bd_ports SPI1_SCLK_I] [get_bd_pins processing_system7_0/SPI1_SCLK_I] + connect_bd_net -net SPI1_SS_I_0_1 [get_bd_ports SPI1_SS_I] [get_bd_pins processing_system7_0/SPI1_SS_I] + connect_bd_net -net S_AXI_GP0_ACLK_1 [get_bd_ports S_AXI_GP0_ACLK] [get_bd_pins axi_interconnect_0/ACLK] + connect_bd_net -net S_AXI_GP0_ARESETN_1 [get_bd_ports S_AXI_GP0_ARESETN] [get_bd_pins axi_interconnect_0/ARESETN] + connect_bd_net -net bus_clk [get_bd_ports bus_clk] [get_bd_pins dma/bus_clk] + connect_bd_net -net bus_rstn [get_bd_ports bus_rstn] [get_bd_pins dma/bus_rstn] + connect_bd_net -net clk40 [get_bd_ports clk40] [get_bd_pins axi_interconnect_0/M00_ACLK] [get_bd_pins axi_interconnect_0/M02_ACLK] [get_bd_pins axi_interconnect_0/M03_ACLK] [get_bd_pins axi_interconnect_0/M04_ACLK] [get_bd_pins axi_interconnect_0/M01_ACLK] [get_bd_pins axi_interconnect_0/S00_ACLK] [get_bd_pins dma/clk40] [get_bd_pins processing_system7_0/M_AXI_GP0_ACLK] [get_bd_pins processing_system7_0/S_AXI_HP1_ACLK] [get_bd_pins processing_system7_0/S_AXI_HP2_ACLK] + connect_bd_net -net clk40_rstn [get_bd_ports clk40_rstn] [get_bd_pins axi_interconnect_0/M00_ARESETN] [get_bd_pins axi_interconnect_0/M02_ARESETN] [get_bd_pins axi_interconnect_0/M03_ARESETN] [get_bd_pins axi_interconnect_0/M04_ARESETN] [get_bd_pins axi_interconnect_0/M01_ARESETN] [get_bd_pins axi_interconnect_0/S00_ARESETN] [get_bd_pins dma/clk40_rstn] + connect_bd_net -net ddr_vrn [get_bd_ports DDR_VRN] [get_bd_pins processing_system7_0/DDR_VRN] + connect_bd_net -net ddr_vrp [get_bd_ports DDR_VRP] [get_bd_pins processing_system7_0/DDR_VRP] + connect_bd_net -net dma_tx_irq [get_bd_pins dma/tx_irq] [get_bd_pins xlconcat_0/In2] + connect_bd_net -net mio [get_bd_ports MIO] [get_bd_pins processing_system7_0/MIO] + connect_bd_net -net processing_system7_0_FCLK_CLK0 [get_bd_ports FCLK_CLK0] [get_bd_pins processing_system7_0/FCLK_CLK0] + connect_bd_net -net processing_system7_0_FCLK_CLK1 [get_bd_ports FCLK_CLK1] [get_bd_pins processing_system7_0/FCLK_CLK1] + connect_bd_net -net processing_system7_0_FCLK_CLK2 [get_bd_ports FCLK_CLK2] [get_bd_pins processing_system7_0/FCLK_CLK2] + connect_bd_net -net processing_system7_0_FCLK_CLK3 [get_bd_ports FCLK_CLK3] [get_bd_pins processing_system7_0/FCLK_CLK3] + connect_bd_net -net processing_system7_0_FCLK_RESET0_N [get_bd_ports FCLK_RESET0_N] [get_bd_pins processing_system7_0/FCLK_RESET0_N] + connect_bd_net -net processing_system7_0_SPI0_MISO_O [get_bd_ports SPI0_MISO_O] [get_bd_pins processing_system7_0/SPI0_MISO_O] + connect_bd_net -net processing_system7_0_SPI0_MISO_T [get_bd_ports SPI0_MISO_T] [get_bd_pins processing_system7_0/SPI0_MISO_T] + connect_bd_net -net processing_system7_0_SPI0_MOSI_O [get_bd_ports SPI0_MOSI_O] [get_bd_pins processing_system7_0/SPI0_MOSI_O] + connect_bd_net -net processing_system7_0_SPI0_MOSI_T [get_bd_ports SPI0_MOSI_T] [get_bd_pins processing_system7_0/SPI0_MOSI_T] + connect_bd_net -net processing_system7_0_SPI0_SCLK_O [get_bd_ports SPI0_SCLK_O] [get_bd_pins processing_system7_0/SPI0_SCLK_O] + connect_bd_net -net processing_system7_0_SPI0_SCLK_T [get_bd_ports SPI0_SCLK_T] [get_bd_pins processing_system7_0/SPI0_SCLK_T] + connect_bd_net -net processing_system7_0_SPI0_SS1_O [get_bd_ports SPI0_SS1_O] [get_bd_pins processing_system7_0/SPI0_SS1_O] + connect_bd_net -net processing_system7_0_SPI0_SS2_O [get_bd_ports SPI0_SS2_O] [get_bd_pins processing_system7_0/SPI0_SS2_O] + connect_bd_net -net processing_system7_0_SPI0_SS_O [get_bd_ports SPI0_SS_O] [get_bd_pins processing_system7_0/SPI0_SS_O] + connect_bd_net -net processing_system7_0_SPI0_SS_T [get_bd_ports SPI0_SS_T] [get_bd_pins processing_system7_0/SPI0_SS_T] + connect_bd_net -net processing_system7_0_SPI1_MISO_O [get_bd_ports SPI1_MISO_O] [get_bd_pins processing_system7_0/SPI1_MISO_O] + connect_bd_net -net processing_system7_0_SPI1_MISO_T [get_bd_ports SPI1_MISO_T] [get_bd_pins processing_system7_0/SPI1_MISO_T] + connect_bd_net -net processing_system7_0_SPI1_MOSI_O [get_bd_ports SPI1_MOSI_O] [get_bd_pins processing_system7_0/SPI1_MOSI_O] + connect_bd_net -net processing_system7_0_SPI1_MOSI_T [get_bd_ports SPI1_MOSI_T] [get_bd_pins processing_system7_0/SPI1_MOSI_T] + connect_bd_net -net processing_system7_0_SPI1_SCLK_O [get_bd_ports SPI1_SCLK_O] [get_bd_pins processing_system7_0/SPI1_SCLK_O] + connect_bd_net -net processing_system7_0_SPI1_SCLK_T [get_bd_ports SPI1_SCLK_T] [get_bd_pins processing_system7_0/SPI1_SCLK_T] + connect_bd_net -net processing_system7_0_SPI1_SS1_O [get_bd_ports SPI1_SS1_O] [get_bd_pins processing_system7_0/SPI1_SS1_O] + connect_bd_net -net processing_system7_0_SPI1_SS2_O [get_bd_ports SPI1_SS2_O] [get_bd_pins processing_system7_0/SPI1_SS2_O] + connect_bd_net -net processing_system7_0_SPI1_SS_O [get_bd_ports SPI1_SS_O] [get_bd_pins processing_system7_0/SPI1_SS_O] + connect_bd_net -net processing_system7_0_SPI1_SS_T [get_bd_ports SPI1_SS_T] [get_bd_pins processing_system7_0/SPI1_SS_T] + connect_bd_net -net ps_clk [get_bd_ports PS_CLK] [get_bd_pins processing_system7_0/PS_CLK] + connect_bd_net -net ps_porb [get_bd_ports PS_PORB] [get_bd_pins processing_system7_0/PS_PORB] + connect_bd_net -net ps_srstb [get_bd_ports PS_SRSTB] [get_bd_pins processing_system7_0/PS_SRSTB] + connect_bd_net -net rx_dma_irq [get_bd_pins dma/rx_irq] [get_bd_pins xlconcat_0/In1] + connect_bd_net -net xlconcat_0_dout [get_bd_pins processing_system7_0/IRQ_F2P] [get_bd_pins xlconcat_0/dout] + connect_bd_net -net xlslice_2_Dout [get_bd_pins xlconcat_0/In0] [get_bd_pins xlslice_2/Dout] + + # Create address segments + create_bd_addr_seg -range 0x00004000 -offset 0x40010000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs m_axi_xbar/Reg] SEG_m_axi_xbar_Reg + create_bd_addr_seg -range 0x00001000 -offset 0x42080000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/axi_regfile_0/S_AXI/regs] SEG_axi_regfile_0_regs + create_bd_addr_seg -range 0x00010000 -offset 0x43C00000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/rx/dma0/axi_rx_dmac/s_axi/axi_lite] SEG_axi_rx_dmac_axi_lite + create_bd_addr_seg -range 0x00010000 -offset 0x43C10000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/rx/dma1/axi_rx_dmac/s_axi/axi_lite] SEG_axi_rx_dmac_axi_lite1 + create_bd_addr_seg -range 0x00010000 -offset 0x43C20000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/rx/dma2/axi_rx_dmac/s_axi/axi_lite] SEG_axi_rx_dmac_axi_lite2 + create_bd_addr_seg -range 0x00010000 -offset 0x43C30000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/rx/dma3/axi_rx_dmac/s_axi/axi_lite] SEG_axi_rx_dmac_axi_lite3 + create_bd_addr_seg -range 0x00010000 -offset 0x43C40000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/rx/dma4/axi_rx_dmac/s_axi/axi_lite] SEG_axi_rx_dmac_axi_lite4 + create_bd_addr_seg -range 0x00010000 -offset 0x43CA0000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/tx/axi_tx_dmac_0/s_axi/axi_lite] SEG_axi_tx_dmac_0_axi_lite + create_bd_addr_seg -range 0x00010000 -offset 0x43CB0000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/tx/axi_tx_dmac_1/s_axi/axi_lite] SEG_axi_tx_dmac_1_axi_lite + create_bd_addr_seg -range 0x00010000 -offset 0x43CC0000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/tx/axi_tx_dmac_2/s_axi/axi_lite] SEG_axi_tx_dmac_2_axi_lite + create_bd_addr_seg -range 0x00010000 -offset 0x43CD0000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/tx/axi_tx_dmac_3/s_axi/axi_lite] SEG_axi_tx_dmac_3_axi_lite + create_bd_addr_seg -range 0x00010000 -offset 0x43CE0000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/tx/axi_tx_dmac_4/s_axi/axi_lite] SEG_axi_tx_dmac_4_axi_lite + create_bd_addr_seg -range 0x00004000 -offset 0x40000000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs m_axi_pmu/Reg] SEG_m_axi_pmu_Reg + create_bd_addr_seg -range 0x40000000 -offset 0x00000000 [get_bd_addr_spaces dma/tx/axi_tx_dmac_0/m_src_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP2/HP2_DDR_LOWOCM] SEG_processing_system7_0_HP2_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x00000000 [get_bd_addr_spaces dma/tx/axi_tx_dmac_1/m_src_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP2/HP2_DDR_LOWOCM] SEG_processing_system7_0_HP2_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x00000000 [get_bd_addr_spaces dma/tx/axi_tx_dmac_2/m_src_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP2/HP2_DDR_LOWOCM] SEG_processing_system7_0_HP2_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x00000000 [get_bd_addr_spaces dma/tx/axi_tx_dmac_3/m_src_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP2/HP2_DDR_LOWOCM] SEG_processing_system7_0_HP2_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x00000000 [get_bd_addr_spaces dma/tx/axi_tx_dmac_4/m_src_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP2/HP2_DDR_LOWOCM] SEG_processing_system7_0_HP2_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x00000000 [get_bd_addr_spaces dma/rx/dma0/axi_rx_dmac/m_dest_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP1/HP1_DDR_LOWOCM] SEG_processing_system7_0_HP1_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x00000000 [get_bd_addr_spaces dma/rx/dma1/axi_rx_dmac/m_dest_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP1/HP1_DDR_LOWOCM] SEG_processing_system7_0_HP1_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x00000000 [get_bd_addr_spaces dma/rx/dma2/axi_rx_dmac/m_dest_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP1/HP1_DDR_LOWOCM] SEG_processing_system7_0_HP1_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x00000000 [get_bd_addr_spaces dma/rx/dma3/axi_rx_dmac/m_dest_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP1/HP1_DDR_LOWOCM] SEG_processing_system7_0_HP1_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x00000000 [get_bd_addr_spaces dma/rx/dma4/axi_rx_dmac/m_dest_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP1/HP1_DDR_LOWOCM] SEG_processing_system7_0_HP1_DDR_LOWOCM + + + # Restore current instance + current_bd_instance $oldCurInst + + save_bd_design +} +# End of create_root_design() + + +################################################################## +# MAIN FLOW +################################################################## + +create_root_design "" + + diff --git a/fpga/usrp3/top/e31x/ip/e31x_ps_bd/ps7_init.c b/fpga/usrp3/top/e31x/ip/e31x_ps_bd/ps7_init.c new file mode 100644 index 000000000..1ad91d83a --- /dev/null +++ b/fpga/usrp3/top/e31x/ip/e31x_ps_bd/ps7_init.c @@ -0,0 +1,13335 @@ +/****************************************************************************** +* +* (c) Copyright 2010-2014 Xilinx, Inc. All rights reserved. +* +* Permission is hereby granted, free of charge, to any person obtaining a copy of this +* software and associated documentation files (the "Software"), to deal in the Software +* without restriction, including without limitation the rights to use, copy, modify, merge, +* publish, distribute, sublicense, and/or sell copies of the Software, and to permit +* persons to whom the Software is furnished to do so, subject to the following conditions: +* +* The above copyright notice and this permission notice shall be included in all copies or +* substantial portions of the Software. +* +* Use of the Software is limited solely to applications: (a) running on a Xilinx device, or +* (b) that interact with a Xilinx device through a bus or interconnect. +* +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING +* BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND +* NONINFRINGEMENT. IN NO EVENT SHALL THE X CONSORTIUM BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN +* CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. +* +* Except as contained in this notice, the name of the Xilinx shall not be used in advertising or +* otherwise to promote the sale, use or other dealings in this Software without prior written +* authorization from Xilinx. +* +******************************************************************************/ +/****************************************************************************/ +/** +* +* @file ps7_init.c +* +* This file is automatically generated +* +*****************************************************************************/ + +#include "ps7_init.h" + +unsigned long ps7_pll_init_data_3_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: PLL SLCR REGISTERS + // .. .. START: ARM PLL INIT + // .. .. PLL_RES = 0x4 + // .. .. ==> 0XF8000110[7:4] = 0x00000004U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000040U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000110[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0xfa + // .. .. ==> 0XF8000110[21:12] = 0x000000FAU + // .. .. ==> MASK : 0x003FF000U VAL : 0x000FA000U + // .. .. + EMIT_MASKWRITE(0XF8000110, 0x003FFFF0U ,0x000FA240U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x3c + // .. .. .. ==> 0XF8000100[18:12] = 0x0000003CU + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x0003C000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x0007F000U ,0x0003C000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000100[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000100[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000100[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. ARM_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000001U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000100[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. .. SRCSEL = 0x0 + // .. .. .. ==> 0XF8000120[5:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. .. DIVISOR = 0x2 + // .. .. .. ==> 0XF8000120[13:8] = 0x00000002U + // .. .. .. ==> MASK : 0x00003F00U VAL : 0x00000200U + // .. .. .. CPU_6OR4XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[24:24] = 0x00000001U + // .. .. .. ==> MASK : 0x01000000U VAL : 0x01000000U + // .. .. .. CPU_3OR2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[25:25] = 0x00000001U + // .. .. .. ==> MASK : 0x02000000U VAL : 0x02000000U + // .. .. .. CPU_2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[26:26] = 0x00000001U + // .. .. .. ==> MASK : 0x04000000U VAL : 0x04000000U + // .. .. .. CPU_1XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[27:27] = 0x00000001U + // .. .. .. ==> MASK : 0x08000000U VAL : 0x08000000U + // .. .. .. CPU_PERI_CLKACT = 0x1 + // .. .. .. ==> 0XF8000120[28:28] = 0x00000001U + // .. .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000120, 0x1F003F30U ,0x1F000200U), + // .. .. FINISH: ARM PLL INIT + // .. .. START: DDR PLL INIT + // .. .. PLL_RES = 0x2 + // .. .. ==> 0XF8000114[7:4] = 0x00000002U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000020U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000114[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0x12c + // .. .. ==> 0XF8000114[21:12] = 0x0000012CU + // .. .. ==> MASK : 0x003FF000U VAL : 0x0012C000U + // .. .. + EMIT_MASKWRITE(0XF8000114, 0x003FFFF0U ,0x0012C220U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x20 + // .. .. .. ==> 0XF8000104[18:12] = 0x00000020U + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x00020000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x0007F000U ,0x00020000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000104[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000104[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000104[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. DDR_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[1:1] = 0x00000001U + // .. .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000002U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000104[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. .. DDR_3XCLKACT = 0x1 + // .. .. .. ==> 0XF8000124[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. DDR_2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000124[1:1] = 0x00000001U + // .. .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. .. DDR_3XCLK_DIVISOR = 0x2 + // .. .. .. ==> 0XF8000124[25:20] = 0x00000002U + // .. .. .. ==> MASK : 0x03F00000U VAL : 0x00200000U + // .. .. .. DDR_2XCLK_DIVISOR = 0x3 + // .. .. .. ==> 0XF8000124[31:26] = 0x00000003U + // .. .. .. ==> MASK : 0xFC000000U VAL : 0x0C000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000124, 0xFFF00003U ,0x0C200003U), + // .. .. FINISH: DDR PLL INIT + // .. .. START: IO PLL INIT + // .. .. PLL_RES = 0x4 + // .. .. ==> 0XF8000118[7:4] = 0x00000004U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000040U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000118[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0xfa + // .. .. ==> 0XF8000118[21:12] = 0x000000FAU + // .. .. ==> MASK : 0x003FF000U VAL : 0x000FA000U + // .. .. + EMIT_MASKWRITE(0XF8000118, 0x003FFFF0U ,0x000FA240U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x3c + // .. .. .. ==> 0XF8000108[18:12] = 0x0000003CU + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x0003C000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x0007F000U ,0x0003C000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000108[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000108[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000108[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. IO_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[2:2] = 0x00000001U + // .. .. .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000004U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000108[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. FINISH: IO PLL INIT + // .. FINISH: PLL SLCR REGISTERS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_clock_init_data_3_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: CLOCK CONTROL SLCR REGISTERS + // .. CLKACT = 0x1 + // .. ==> 0XF8000128[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. DIVISOR0 = 0xf + // .. ==> 0XF8000128[13:8] = 0x0000000FU + // .. ==> MASK : 0x00003F00U VAL : 0x00000F00U + // .. DIVISOR1 = 0x7 + // .. ==> 0XF8000128[25:20] = 0x00000007U + // .. ==> MASK : 0x03F00000U VAL : 0x00700000U + // .. + EMIT_MASKWRITE(0XF8000128, 0x03F03F01U ,0x00700F01U), + // .. CLKACT = 0x1 + // .. ==> 0XF8000138[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000138[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000138, 0x00000011U ,0x00000001U), + // .. CLKACT = 0x1 + // .. ==> 0XF8000140[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000140[6:4] = 0x00000000U + // .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. DIVISOR = 0x10 + // .. ==> 0XF8000140[13:8] = 0x00000010U + // .. ==> MASK : 0x00003F00U VAL : 0x00001000U + // .. DIVISOR1 = 0x1 + // .. ==> 0XF8000140[25:20] = 0x00000001U + // .. ==> MASK : 0x03F00000U VAL : 0x00100000U + // .. + EMIT_MASKWRITE(0XF8000140, 0x03F03F71U ,0x00101001U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000150[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x0 + // .. ==> 0XF8000150[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000150[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0x14 + // .. ==> 0XF8000150[13:8] = 0x00000014U + // .. ==> MASK : 0x00003F00U VAL : 0x00001400U + // .. + EMIT_MASKWRITE(0XF8000150, 0x00003F33U ,0x00001401U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000154[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x1 + // .. ==> 0XF8000154[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000154[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0x14 + // .. ==> 0XF8000154[13:8] = 0x00000014U + // .. ==> MASK : 0x00003F00U VAL : 0x00001400U + // .. + EMIT_MASKWRITE(0XF8000154, 0x00003F33U ,0x00001403U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000158[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x1 + // .. ==> 0XF8000158[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000158[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0xc + // .. ==> 0XF8000158[13:8] = 0x0000000CU + // .. ==> MASK : 0x00003F00U VAL : 0x00000C00U + // .. + EMIT_MASKWRITE(0XF8000158, 0x00003F33U ,0x00000C03U), + // .. .. START: TRACE CLOCK + // .. .. FINISH: TRACE CLOCK + // .. .. CLKACT = 0x1 + // .. .. ==> 0XF8000168[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000168[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR = 0xa + // .. .. ==> 0XF8000168[13:8] = 0x0000000AU + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000A00U + // .. .. + EMIT_MASKWRITE(0XF8000168, 0x00003F31U ,0x00000A01U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000170[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x5 + // .. .. ==> 0XF8000170[13:8] = 0x00000005U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000500U + // .. .. DIVISOR1 = 0x4 + // .. .. ==> 0XF8000170[25:20] = 0x00000004U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00400000U + // .. .. + EMIT_MASKWRITE(0XF8000170, 0x03F03F30U ,0x00400500U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000180[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0xa + // .. .. ==> 0XF8000180[13:8] = 0x0000000AU + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000A00U + // .. .. DIVISOR1 = 0x5 + // .. .. ==> 0XF8000180[25:20] = 0x00000005U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00500000U + // .. .. + EMIT_MASKWRITE(0XF8000180, 0x03F03F30U ,0x00500A00U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000190[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x4 + // .. .. ==> 0XF8000190[13:8] = 0x00000004U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000400U + // .. .. DIVISOR1 = 0x3 + // .. .. ==> 0XF8000190[25:20] = 0x00000003U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00300000U + // .. .. + EMIT_MASKWRITE(0XF8000190, 0x03F03F30U ,0x00300400U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF80001A0[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x5 + // .. .. ==> 0XF80001A0[13:8] = 0x00000005U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000500U + // .. .. DIVISOR1 = 0x2 + // .. .. ==> 0XF80001A0[25:20] = 0x00000002U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00200000U + // .. .. + EMIT_MASKWRITE(0XF80001A0, 0x03F03F30U ,0x00200500U), + // .. .. CLK_621_TRUE = 0x1 + // .. .. ==> 0XF80001C4[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. + EMIT_MASKWRITE(0XF80001C4, 0x00000001U ,0x00000001U), + // .. .. DMA_CPU_2XCLKACT = 0x1 + // .. .. ==> 0XF800012C[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. USB0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[2:2] = 0x00000001U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. .. USB1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[3:3] = 0x00000001U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000008U + // .. .. GEM0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[6:6] = 0x00000001U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000040U + // .. .. GEM1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. SDI0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[10:10] = 0x00000001U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000400U + // .. .. SDI1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. SPI0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[14:14] = 0x00000001U + // .. .. ==> MASK : 0x00004000U VAL : 0x00004000U + // .. .. SPI1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[15:15] = 0x00000001U + // .. .. ==> MASK : 0x00008000U VAL : 0x00008000U + // .. .. CAN0_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. CAN1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. I2C0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[18:18] = 0x00000001U + // .. .. ==> MASK : 0x00040000U VAL : 0x00040000U + // .. .. I2C1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. UART0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[20:20] = 0x00000001U + // .. .. ==> MASK : 0x00100000U VAL : 0x00100000U + // .. .. UART1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[21:21] = 0x00000001U + // .. .. ==> MASK : 0x00200000U VAL : 0x00200000U + // .. .. GPIO_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[22:22] = 0x00000001U + // .. .. ==> MASK : 0x00400000U VAL : 0x00400000U + // .. .. LQSPI_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. SMC_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[24:24] = 0x00000001U + // .. .. ==> MASK : 0x01000000U VAL : 0x01000000U + // .. .. + EMIT_MASKWRITE(0XF800012C, 0x01FFCCCDU ,0x017CC44DU), + // .. FINISH: CLOCK CONTROL SLCR REGISTERS + // .. START: THIS SHOULD BE BLANK + // .. FINISH: THIS SHOULD BE BLANK + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_ddr_init_data_3_0[] = { + // START: top + // .. START: DDR INITIALIZATION + // .. .. START: LOCK DDR + // .. .. reg_ddrc_soft_rstb = 0 + // .. .. ==> 0XF8006000[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_powerdown_en = 0x0 + // .. .. ==> 0XF8006000[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_data_bus_width = 0x0 + // .. .. ==> 0XF8006000[3:2] = 0x00000000U + // .. .. ==> MASK : 0x0000000CU VAL : 0x00000000U + // .. .. reg_ddrc_burst8_refresh = 0x0 + // .. .. ==> 0XF8006000[6:4] = 0x00000000U + // .. .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. .. reg_ddrc_rdwr_idle_gap = 0x1 + // .. .. ==> 0XF8006000[13:7] = 0x00000001U + // .. .. ==> MASK : 0x00003F80U VAL : 0x00000080U + // .. .. reg_ddrc_dis_rd_bypass = 0x0 + // .. .. ==> 0XF8006000[14:14] = 0x00000000U + // .. .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_act_bypass = 0x0 + // .. .. ==> 0XF8006000[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_auto_refresh = 0x0 + // .. .. ==> 0XF8006000[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000080U), + // .. .. FINISH: LOCK DDR + // .. .. reg_ddrc_t_rfc_nom_x32 = 0x81 + // .. .. ==> 0XF8006004[11:0] = 0x00000081U + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000081U + // .. .. reserved_reg_ddrc_active_ranks = 0x1 + // .. .. ==> 0XF8006004[13:12] = 0x00000001U + // .. .. ==> MASK : 0x00003000U VAL : 0x00001000U + // .. .. reg_ddrc_addrmap_cs_bit0 = 0x0 + // .. .. ==> 0XF8006004[18:14] = 0x00000000U + // .. .. ==> MASK : 0x0007C000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006004, 0x0007FFFFU ,0x00001081U), + // .. .. reg_ddrc_hpr_min_non_critical_x32 = 0xf + // .. .. ==> 0XF8006008[10:0] = 0x0000000FU + // .. .. ==> MASK : 0x000007FFU VAL : 0x0000000FU + // .. .. reg_ddrc_hpr_max_starve_x32 = 0xf + // .. .. ==> 0XF8006008[21:11] = 0x0000000FU + // .. .. ==> MASK : 0x003FF800U VAL : 0x00007800U + // .. .. reg_ddrc_hpr_xact_run_length = 0xf + // .. .. ==> 0XF8006008[25:22] = 0x0000000FU + // .. .. ==> MASK : 0x03C00000U VAL : 0x03C00000U + // .. .. + EMIT_MASKWRITE(0XF8006008, 0x03FFFFFFU ,0x03C0780FU), + // .. .. reg_ddrc_lpr_min_non_critical_x32 = 0x1 + // .. .. ==> 0XF800600C[10:0] = 0x00000001U + // .. .. ==> MASK : 0x000007FFU VAL : 0x00000001U + // .. .. reg_ddrc_lpr_max_starve_x32 = 0x2 + // .. .. ==> 0XF800600C[21:11] = 0x00000002U + // .. .. ==> MASK : 0x003FF800U VAL : 0x00001000U + // .. .. reg_ddrc_lpr_xact_run_length = 0x8 + // .. .. ==> 0XF800600C[25:22] = 0x00000008U + // .. .. ==> MASK : 0x03C00000U VAL : 0x02000000U + // .. .. + EMIT_MASKWRITE(0XF800600C, 0x03FFFFFFU ,0x02001001U), + // .. .. reg_ddrc_w_min_non_critical_x32 = 0x1 + // .. .. ==> 0XF8006010[10:0] = 0x00000001U + // .. .. ==> MASK : 0x000007FFU VAL : 0x00000001U + // .. .. reg_ddrc_w_xact_run_length = 0x8 + // .. .. ==> 0XF8006010[14:11] = 0x00000008U + // .. .. ==> MASK : 0x00007800U VAL : 0x00004000U + // .. .. reg_ddrc_w_max_starve_x32 = 0x2 + // .. .. ==> 0XF8006010[25:15] = 0x00000002U + // .. .. ==> MASK : 0x03FF8000U VAL : 0x00010000U + // .. .. + EMIT_MASKWRITE(0XF8006010, 0x03FFFFFFU ,0x00014001U), + // .. .. reg_ddrc_t_rc = 0x1a + // .. .. ==> 0XF8006014[5:0] = 0x0000001AU + // .. .. ==> MASK : 0x0000003FU VAL : 0x0000001AU + // .. .. reg_ddrc_t_rfc_min = 0xa0 + // .. .. ==> 0XF8006014[13:6] = 0x000000A0U + // .. .. ==> MASK : 0x00003FC0U VAL : 0x00002800U + // .. .. reg_ddrc_post_selfref_gap_x32 = 0x10 + // .. .. ==> 0XF8006014[20:14] = 0x00000010U + // .. .. ==> MASK : 0x001FC000U VAL : 0x00040000U + // .. .. + EMIT_MASKWRITE(0XF8006014, 0x001FFFFFU ,0x0004281AU), + // .. .. reg_ddrc_wr2pre = 0x12 + // .. .. ==> 0XF8006018[4:0] = 0x00000012U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000012U + // .. .. reg_ddrc_powerdown_to_x32 = 0x6 + // .. .. ==> 0XF8006018[9:5] = 0x00000006U + // .. .. ==> MASK : 0x000003E0U VAL : 0x000000C0U + // .. .. reg_ddrc_t_faw = 0x16 + // .. .. ==> 0XF8006018[15:10] = 0x00000016U + // .. .. ==> MASK : 0x0000FC00U VAL : 0x00005800U + // .. .. reg_ddrc_t_ras_max = 0x24 + // .. .. ==> 0XF8006018[21:16] = 0x00000024U + // .. .. ==> MASK : 0x003F0000U VAL : 0x00240000U + // .. .. reg_ddrc_t_ras_min = 0x13 + // .. .. ==> 0XF8006018[26:22] = 0x00000013U + // .. .. ==> MASK : 0x07C00000U VAL : 0x04C00000U + // .. .. reg_ddrc_t_cke = 0x4 + // .. .. ==> 0XF8006018[31:28] = 0x00000004U + // .. .. ==> MASK : 0xF0000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006018, 0xF7FFFFFFU ,0x44E458D2U), + // .. .. reg_ddrc_write_latency = 0x5 + // .. .. ==> 0XF800601C[4:0] = 0x00000005U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000005U + // .. .. reg_ddrc_rd2wr = 0x7 + // .. .. ==> 0XF800601C[9:5] = 0x00000007U + // .. .. ==> MASK : 0x000003E0U VAL : 0x000000E0U + // .. .. reg_ddrc_wr2rd = 0xe + // .. .. ==> 0XF800601C[14:10] = 0x0000000EU + // .. .. ==> MASK : 0x00007C00U VAL : 0x00003800U + // .. .. reg_ddrc_t_xp = 0x4 + // .. .. ==> 0XF800601C[19:15] = 0x00000004U + // .. .. ==> MASK : 0x000F8000U VAL : 0x00020000U + // .. .. reg_ddrc_pad_pd = 0x0 + // .. .. ==> 0XF800601C[22:20] = 0x00000000U + // .. .. ==> MASK : 0x00700000U VAL : 0x00000000U + // .. .. reg_ddrc_rd2pre = 0x4 + // .. .. ==> 0XF800601C[27:23] = 0x00000004U + // .. .. ==> MASK : 0x0F800000U VAL : 0x02000000U + // .. .. reg_ddrc_t_rcd = 0x7 + // .. .. ==> 0XF800601C[31:28] = 0x00000007U + // .. .. ==> MASK : 0xF0000000U VAL : 0x70000000U + // .. .. + EMIT_MASKWRITE(0XF800601C, 0xFFFFFFFFU ,0x720238E5U), + // .. .. reg_ddrc_t_ccd = 0x4 + // .. .. ==> 0XF8006020[4:2] = 0x00000004U + // .. .. ==> MASK : 0x0000001CU VAL : 0x00000010U + // .. .. reg_ddrc_t_rrd = 0x6 + // .. .. ==> 0XF8006020[7:5] = 0x00000006U + // .. .. ==> MASK : 0x000000E0U VAL : 0x000000C0U + // .. .. reg_ddrc_refresh_margin = 0x2 + // .. .. ==> 0XF8006020[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. reg_ddrc_t_rp = 0x7 + // .. .. ==> 0XF8006020[15:12] = 0x00000007U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00007000U + // .. .. reg_ddrc_refresh_to_x32 = 0x8 + // .. .. ==> 0XF8006020[20:16] = 0x00000008U + // .. .. ==> MASK : 0x001F0000U VAL : 0x00080000U + // .. .. reg_ddrc_mobile = 0x0 + // .. .. ==> 0XF8006020[22:22] = 0x00000000U + // .. .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. .. reg_ddrc_en_dfi_dram_clk_disable = 0x0 + // .. .. ==> 0XF8006020[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. reg_ddrc_read_latency = 0x7 + // .. .. ==> 0XF8006020[28:24] = 0x00000007U + // .. .. ==> MASK : 0x1F000000U VAL : 0x07000000U + // .. .. reg_phy_mode_ddr1_ddr2 = 0x1 + // .. .. ==> 0XF8006020[29:29] = 0x00000001U + // .. .. ==> MASK : 0x20000000U VAL : 0x20000000U + // .. .. reg_ddrc_dis_pad_pd = 0x0 + // .. .. ==> 0XF8006020[30:30] = 0x00000000U + // .. .. ==> MASK : 0x40000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006020, 0x7FDFFFFCU ,0x270872D0U), + // .. .. reg_ddrc_en_2t_timing_mode = 0x0 + // .. .. ==> 0XF8006024[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_prefer_write = 0x0 + // .. .. ==> 0XF8006024[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_mr_wr = 0x0 + // .. .. ==> 0XF8006024[6:6] = 0x00000000U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. .. reg_ddrc_mr_addr = 0x0 + // .. .. ==> 0XF8006024[8:7] = 0x00000000U + // .. .. ==> MASK : 0x00000180U VAL : 0x00000000U + // .. .. reg_ddrc_mr_data = 0x0 + // .. .. ==> 0XF8006024[24:9] = 0x00000000U + // .. .. ==> MASK : 0x01FFFE00U VAL : 0x00000000U + // .. .. ddrc_reg_mr_wr_busy = 0x0 + // .. .. ==> 0XF8006024[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. reg_ddrc_mr_type = 0x0 + // .. .. ==> 0XF8006024[26:26] = 0x00000000U + // .. .. ==> MASK : 0x04000000U VAL : 0x00000000U + // .. .. reg_ddrc_mr_rdata_valid = 0x0 + // .. .. ==> 0XF8006024[27:27] = 0x00000000U + // .. .. ==> MASK : 0x08000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006024, 0x0FFFFFC3U ,0x00000000U), + // .. .. reg_ddrc_final_wait_x32 = 0x7 + // .. .. ==> 0XF8006028[6:0] = 0x00000007U + // .. .. ==> MASK : 0x0000007FU VAL : 0x00000007U + // .. .. reg_ddrc_pre_ocd_x32 = 0x0 + // .. .. ==> 0XF8006028[10:7] = 0x00000000U + // .. .. ==> MASK : 0x00000780U VAL : 0x00000000U + // .. .. reg_ddrc_t_mrd = 0x4 + // .. .. ==> 0XF8006028[13:11] = 0x00000004U + // .. .. ==> MASK : 0x00003800U VAL : 0x00002000U + // .. .. + EMIT_MASKWRITE(0XF8006028, 0x00003FFFU ,0x00002007U), + // .. .. reg_ddrc_emr2 = 0x8 + // .. .. ==> 0XF800602C[15:0] = 0x00000008U + // .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. reg_ddrc_emr3 = 0x0 + // .. .. ==> 0XF800602C[31:16] = 0x00000000U + // .. .. ==> MASK : 0xFFFF0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800602C, 0xFFFFFFFFU ,0x00000008U), + // .. .. reg_ddrc_mr = 0x930 + // .. .. ==> 0XF8006030[15:0] = 0x00000930U + // .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000930U + // .. .. reg_ddrc_emr = 0x4 + // .. .. ==> 0XF8006030[31:16] = 0x00000004U + // .. .. ==> MASK : 0xFFFF0000U VAL : 0x00040000U + // .. .. + EMIT_MASKWRITE(0XF8006030, 0xFFFFFFFFU ,0x00040930U), + // .. .. reg_ddrc_burst_rdwr = 0x4 + // .. .. ==> 0XF8006034[3:0] = 0x00000004U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000004U + // .. .. reg_ddrc_pre_cke_x1024 = 0x16d + // .. .. ==> 0XF8006034[13:4] = 0x0000016DU + // .. .. ==> MASK : 0x00003FF0U VAL : 0x000016D0U + // .. .. reg_ddrc_post_cke_x1024 = 0x1 + // .. .. ==> 0XF8006034[25:16] = 0x00000001U + // .. .. ==> MASK : 0x03FF0000U VAL : 0x00010000U + // .. .. reg_ddrc_burstchop = 0x0 + // .. .. ==> 0XF8006034[28:28] = 0x00000000U + // .. .. ==> MASK : 0x10000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006034, 0x13FF3FFFU ,0x000116D4U), + // .. .. reg_ddrc_force_low_pri_n = 0x0 + // .. .. ==> 0XF8006038[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_dis_dq = 0x0 + // .. .. ==> 0XF8006038[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006038, 0x00000003U ,0x00000000U), + // .. .. reg_ddrc_addrmap_bank_b0 = 0x7 + // .. .. ==> 0XF800603C[3:0] = 0x00000007U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000007U + // .. .. reg_ddrc_addrmap_bank_b1 = 0x7 + // .. .. ==> 0XF800603C[7:4] = 0x00000007U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000070U + // .. .. reg_ddrc_addrmap_bank_b2 = 0x7 + // .. .. ==> 0XF800603C[11:8] = 0x00000007U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000700U + // .. .. reg_ddrc_addrmap_col_b5 = 0x0 + // .. .. ==> 0XF800603C[15:12] = 0x00000000U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b6 = 0x0 + // .. .. ==> 0XF800603C[19:16] = 0x00000000U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800603C, 0x000FFFFFU ,0x00000777U), + // .. .. reg_ddrc_addrmap_col_b2 = 0x0 + // .. .. ==> 0XF8006040[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b3 = 0x0 + // .. .. ==> 0XF8006040[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b4 = 0x0 + // .. .. ==> 0XF8006040[11:8] = 0x00000000U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b7 = 0x0 + // .. .. ==> 0XF8006040[15:12] = 0x00000000U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b8 = 0x0 + // .. .. ==> 0XF8006040[19:16] = 0x00000000U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b9 = 0xf + // .. .. ==> 0XF8006040[23:20] = 0x0000000FU + // .. .. ==> MASK : 0x00F00000U VAL : 0x00F00000U + // .. .. reg_ddrc_addrmap_col_b10 = 0xf + // .. .. ==> 0XF8006040[27:24] = 0x0000000FU + // .. .. ==> MASK : 0x0F000000U VAL : 0x0F000000U + // .. .. reg_ddrc_addrmap_col_b11 = 0xf + // .. .. ==> 0XF8006040[31:28] = 0x0000000FU + // .. .. ==> MASK : 0xF0000000U VAL : 0xF0000000U + // .. .. + EMIT_MASKWRITE(0XF8006040, 0xFFFFFFFFU ,0xFFF00000U), + // .. .. reg_ddrc_addrmap_row_b0 = 0x6 + // .. .. ==> 0XF8006044[3:0] = 0x00000006U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000006U + // .. .. reg_ddrc_addrmap_row_b1 = 0x6 + // .. .. ==> 0XF8006044[7:4] = 0x00000006U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000060U + // .. .. reg_ddrc_addrmap_row_b2_11 = 0x6 + // .. .. ==> 0XF8006044[11:8] = 0x00000006U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000600U + // .. .. reg_ddrc_addrmap_row_b12 = 0x6 + // .. .. ==> 0XF8006044[15:12] = 0x00000006U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00006000U + // .. .. reg_ddrc_addrmap_row_b13 = 0x6 + // .. .. ==> 0XF8006044[19:16] = 0x00000006U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00060000U + // .. .. reg_ddrc_addrmap_row_b14 = 0x6 + // .. .. ==> 0XF8006044[23:20] = 0x00000006U + // .. .. ==> MASK : 0x00F00000U VAL : 0x00600000U + // .. .. reg_ddrc_addrmap_row_b15 = 0xf + // .. .. ==> 0XF8006044[27:24] = 0x0000000FU + // .. .. ==> MASK : 0x0F000000U VAL : 0x0F000000U + // .. .. + EMIT_MASKWRITE(0XF8006044, 0x0FFFFFFFU ,0x0F666666U), + // .. .. reg_phy_rd_local_odt = 0x0 + // .. .. ==> 0XF8006048[13:12] = 0x00000000U + // .. .. ==> MASK : 0x00003000U VAL : 0x00000000U + // .. .. reg_phy_wr_local_odt = 0x3 + // .. .. ==> 0XF8006048[15:14] = 0x00000003U + // .. .. ==> MASK : 0x0000C000U VAL : 0x0000C000U + // .. .. reg_phy_idle_local_odt = 0x3 + // .. .. ==> 0XF8006048[17:16] = 0x00000003U + // .. .. ==> MASK : 0x00030000U VAL : 0x00030000U + // .. .. reserved_reg_ddrc_rank0_wr_odt = 0x1 + // .. .. ==> 0XF8006048[5:3] = 0x00000001U + // .. .. ==> MASK : 0x00000038U VAL : 0x00000008U + // .. .. reserved_reg_ddrc_rank0_rd_odt = 0x0 + // .. .. ==> 0XF8006048[2:0] = 0x00000000U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006048, 0x0003F03FU ,0x0003C008U), + // .. .. reg_phy_rd_cmd_to_data = 0x0 + // .. .. ==> 0XF8006050[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_phy_wr_cmd_to_data = 0x0 + // .. .. ==> 0XF8006050[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_phy_rdc_we_to_re_delay = 0x8 + // .. .. ==> 0XF8006050[11:8] = 0x00000008U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000800U + // .. .. reg_phy_rdc_fifo_rst_disable = 0x0 + // .. .. ==> 0XF8006050[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_phy_use_fixed_re = 0x1 + // .. .. ==> 0XF8006050[16:16] = 0x00000001U + // .. .. ==> MASK : 0x00010000U VAL : 0x00010000U + // .. .. reg_phy_rdc_fifo_rst_err_cnt_clr = 0x0 + // .. .. ==> 0XF8006050[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_phy_dis_phy_ctrl_rstn = 0x0 + // .. .. ==> 0XF8006050[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_phy_clk_stall_level = 0x0 + // .. .. ==> 0XF8006050[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_num_of_dq0 = 0x7 + // .. .. ==> 0XF8006050[27:24] = 0x00000007U + // .. .. ==> MASK : 0x0F000000U VAL : 0x07000000U + // .. .. reg_phy_wrlvl_num_of_dq0 = 0x7 + // .. .. ==> 0XF8006050[31:28] = 0x00000007U + // .. .. ==> MASK : 0xF0000000U VAL : 0x70000000U + // .. .. + EMIT_MASKWRITE(0XF8006050, 0xFF0F8FFFU ,0x77010800U), + // .. .. reg_ddrc_dis_dll_calib = 0x0 + // .. .. ==> 0XF8006058[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006058, 0x00010000U ,0x00000000U), + // .. .. reg_ddrc_rd_odt_delay = 0x3 + // .. .. ==> 0XF800605C[3:0] = 0x00000003U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000003U + // .. .. reg_ddrc_wr_odt_delay = 0x0 + // .. .. ==> 0XF800605C[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_ddrc_rd_odt_hold = 0x0 + // .. .. ==> 0XF800605C[11:8] = 0x00000000U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000000U + // .. .. reg_ddrc_wr_odt_hold = 0x5 + // .. .. ==> 0XF800605C[15:12] = 0x00000005U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00005000U + // .. .. + EMIT_MASKWRITE(0XF800605C, 0x0000FFFFU ,0x00005003U), + // .. .. reg_ddrc_pageclose = 0x0 + // .. .. ==> 0XF8006060[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_lpr_num_entries = 0x1f + // .. .. ==> 0XF8006060[6:1] = 0x0000001FU + // .. .. ==> MASK : 0x0000007EU VAL : 0x0000003EU + // .. .. reg_ddrc_auto_pre_en = 0x0 + // .. .. ==> 0XF8006060[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. reg_ddrc_refresh_update_level = 0x0 + // .. .. ==> 0XF8006060[8:8] = 0x00000000U + // .. .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. .. reg_ddrc_dis_wc = 0x0 + // .. .. ==> 0XF8006060[9:9] = 0x00000000U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. .. reg_ddrc_dis_collision_page_opt = 0x0 + // .. .. ==> 0XF8006060[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_ddrc_selfref_en = 0x0 + // .. .. ==> 0XF8006060[12:12] = 0x00000000U + // .. .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006060, 0x000017FFU ,0x0000003EU), + // .. .. reg_ddrc_go2critical_hysteresis = 0x0 + // .. .. ==> 0XF8006064[12:5] = 0x00000000U + // .. .. ==> MASK : 0x00001FE0U VAL : 0x00000000U + // .. .. reg_arb_go2critical_en = 0x1 + // .. .. ==> 0XF8006064[17:17] = 0x00000001U + // .. .. ==> MASK : 0x00020000U VAL : 0x00020000U + // .. .. + EMIT_MASKWRITE(0XF8006064, 0x00021FE0U ,0x00020000U), + // .. .. reg_ddrc_wrlvl_ww = 0x41 + // .. .. ==> 0XF8006068[7:0] = 0x00000041U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000041U + // .. .. reg_ddrc_rdlvl_rr = 0x41 + // .. .. ==> 0XF8006068[15:8] = 0x00000041U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00004100U + // .. .. reg_ddrc_dfi_t_wlmrd = 0x28 + // .. .. ==> 0XF8006068[25:16] = 0x00000028U + // .. .. ==> MASK : 0x03FF0000U VAL : 0x00280000U + // .. .. + EMIT_MASKWRITE(0XF8006068, 0x03FFFFFFU ,0x00284141U), + // .. .. dfi_t_ctrlupd_interval_min_x1024 = 0x10 + // .. .. ==> 0XF800606C[7:0] = 0x00000010U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000010U + // .. .. dfi_t_ctrlupd_interval_max_x1024 = 0x16 + // .. .. ==> 0XF800606C[15:8] = 0x00000016U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00001600U + // .. .. + EMIT_MASKWRITE(0XF800606C, 0x0000FFFFU ,0x00001610U), + // .. .. reg_ddrc_dfi_t_ctrl_delay = 0x1 + // .. .. ==> 0XF8006078[3:0] = 0x00000001U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000001U + // .. .. reg_ddrc_dfi_t_dram_clk_disable = 0x1 + // .. .. ==> 0XF8006078[7:4] = 0x00000001U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000010U + // .. .. reg_ddrc_dfi_t_dram_clk_enable = 0x1 + // .. .. ==> 0XF8006078[11:8] = 0x00000001U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000100U + // .. .. reg_ddrc_t_cksre = 0x6 + // .. .. ==> 0XF8006078[15:12] = 0x00000006U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00006000U + // .. .. reg_ddrc_t_cksrx = 0x6 + // .. .. ==> 0XF8006078[19:16] = 0x00000006U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00060000U + // .. .. reg_ddrc_t_ckesr = 0x4 + // .. .. ==> 0XF8006078[25:20] = 0x00000004U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00400000U + // .. .. + EMIT_MASKWRITE(0XF8006078, 0x03FFFFFFU ,0x00466111U), + // .. .. reg_ddrc_t_ckpde = 0x2 + // .. .. ==> 0XF800607C[3:0] = 0x00000002U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000002U + // .. .. reg_ddrc_t_ckpdx = 0x2 + // .. .. ==> 0XF800607C[7:4] = 0x00000002U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000020U + // .. .. reg_ddrc_t_ckdpde = 0x2 + // .. .. ==> 0XF800607C[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. reg_ddrc_t_ckdpdx = 0x2 + // .. .. ==> 0XF800607C[15:12] = 0x00000002U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00002000U + // .. .. reg_ddrc_t_ckcsx = 0x3 + // .. .. ==> 0XF800607C[19:16] = 0x00000003U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00030000U + // .. .. + EMIT_MASKWRITE(0XF800607C, 0x000FFFFFU ,0x00032222U), + // .. .. reg_ddrc_dis_auto_zq = 0x0 + // .. .. ==> 0XF80060A4[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_ddr3 = 0x1 + // .. .. ==> 0XF80060A4[1:1] = 0x00000001U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. reg_ddrc_t_mod = 0x200 + // .. .. ==> 0XF80060A4[11:2] = 0x00000200U + // .. .. ==> MASK : 0x00000FFCU VAL : 0x00000800U + // .. .. reg_ddrc_t_zq_long_nop = 0x200 + // .. .. ==> 0XF80060A4[21:12] = 0x00000200U + // .. .. ==> MASK : 0x003FF000U VAL : 0x00200000U + // .. .. reg_ddrc_t_zq_short_nop = 0x40 + // .. .. ==> 0XF80060A4[31:22] = 0x00000040U + // .. .. ==> MASK : 0xFFC00000U VAL : 0x10000000U + // .. .. + EMIT_MASKWRITE(0XF80060A4, 0xFFFFFFFFU ,0x10200802U), + // .. .. t_zq_short_interval_x1024 = 0xcb73 + // .. .. ==> 0XF80060A8[19:0] = 0x0000CB73U + // .. .. ==> MASK : 0x000FFFFFU VAL : 0x0000CB73U + // .. .. dram_rstn_x1024 = 0x69 + // .. .. ==> 0XF80060A8[27:20] = 0x00000069U + // .. .. ==> MASK : 0x0FF00000U VAL : 0x06900000U + // .. .. + EMIT_MASKWRITE(0XF80060A8, 0x0FFFFFFFU ,0x0690CB73U), + // .. .. deeppowerdown_en = 0x0 + // .. .. ==> 0XF80060AC[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. deeppowerdown_to_x1024 = 0xff + // .. .. ==> 0XF80060AC[8:1] = 0x000000FFU + // .. .. ==> MASK : 0x000001FEU VAL : 0x000001FEU + // .. .. + EMIT_MASKWRITE(0XF80060AC, 0x000001FFU ,0x000001FEU), + // .. .. dfi_wrlvl_max_x1024 = 0xfff + // .. .. ==> 0XF80060B0[11:0] = 0x00000FFFU + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000FFFU + // .. .. dfi_rdlvl_max_x1024 = 0xfff + // .. .. ==> 0XF80060B0[23:12] = 0x00000FFFU + // .. .. ==> MASK : 0x00FFF000U VAL : 0x00FFF000U + // .. .. ddrc_reg_twrlvl_max_error = 0x0 + // .. .. ==> 0XF80060B0[24:24] = 0x00000000U + // .. .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. .. ddrc_reg_trdlvl_max_error = 0x0 + // .. .. ==> 0XF80060B0[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. reg_ddrc_dfi_wr_level_en = 0x1 + // .. .. ==> 0XF80060B0[26:26] = 0x00000001U + // .. .. ==> MASK : 0x04000000U VAL : 0x04000000U + // .. .. reg_ddrc_dfi_rd_dqs_gate_level = 0x1 + // .. .. ==> 0XF80060B0[27:27] = 0x00000001U + // .. .. ==> MASK : 0x08000000U VAL : 0x08000000U + // .. .. reg_ddrc_dfi_rd_data_eye_train = 0x1 + // .. .. ==> 0XF80060B0[28:28] = 0x00000001U + // .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. + EMIT_MASKWRITE(0XF80060B0, 0x1FFFFFFFU ,0x1CFFFFFFU), + // .. .. reg_ddrc_skip_ocd = 0x1 + // .. .. ==> 0XF80060B4[9:9] = 0x00000001U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000200U + // .. .. + EMIT_MASKWRITE(0XF80060B4, 0x00000200U ,0x00000200U), + // .. .. reg_ddrc_dfi_t_rddata_en = 0x6 + // .. .. ==> 0XF80060B8[4:0] = 0x00000006U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000006U + // .. .. reg_ddrc_dfi_t_ctrlup_min = 0x3 + // .. .. ==> 0XF80060B8[14:5] = 0x00000003U + // .. .. ==> MASK : 0x00007FE0U VAL : 0x00000060U + // .. .. reg_ddrc_dfi_t_ctrlup_max = 0x40 + // .. .. ==> 0XF80060B8[24:15] = 0x00000040U + // .. .. ==> MASK : 0x01FF8000U VAL : 0x00200000U + // .. .. + EMIT_MASKWRITE(0XF80060B8, 0x01FFFFFFU ,0x00200066U), + // .. .. Clear_Uncorrectable_DRAM_ECC_error = 0x0 + // .. .. ==> 0XF80060C4[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. Clear_Correctable_DRAM_ECC_error = 0x0 + // .. .. ==> 0XF80060C4[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060C4, 0x00000003U ,0x00000000U), + // .. .. CORR_ECC_LOG_VALID = 0x0 + // .. .. ==> 0XF80060C8[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. ECC_CORRECTED_BIT_NUM = 0x0 + // .. .. ==> 0XF80060C8[7:1] = 0x00000000U + // .. .. ==> MASK : 0x000000FEU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060C8, 0x000000FFU ,0x00000000U), + // .. .. UNCORR_ECC_LOG_VALID = 0x0 + // .. .. ==> 0XF80060DC[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060DC, 0x00000001U ,0x00000000U), + // .. .. STAT_NUM_CORR_ERR = 0x0 + // .. .. ==> 0XF80060F0[15:8] = 0x00000000U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00000000U + // .. .. STAT_NUM_UNCORR_ERR = 0x0 + // .. .. ==> 0XF80060F0[7:0] = 0x00000000U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060F0, 0x0000FFFFU ,0x00000000U), + // .. .. reg_ddrc_ecc_mode = 0x0 + // .. .. ==> 0XF80060F4[2:0] = 0x00000000U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000000U + // .. .. reg_ddrc_dis_scrub = 0x1 + // .. .. ==> 0XF80060F4[3:3] = 0x00000001U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000008U + // .. .. + EMIT_MASKWRITE(0XF80060F4, 0x0000000FU ,0x00000008U), + // .. .. reg_phy_dif_on = 0x0 + // .. .. ==> 0XF8006114[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_phy_dif_off = 0x0 + // .. .. ==> 0XF8006114[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006114, 0x000000FFU ,0x00000000U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006118[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006118[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006118[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006118[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006118, 0x7FFFFFCFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF800611C[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF800611C[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF800611C[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF800611C[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF800611C, 0x7FFFFFCFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006120[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006120[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006120[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006120[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006120, 0x7FFFFFCFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006124[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006124[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006124[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006124[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006124, 0x7FFFFFCFU ,0x40000001U), + // .. .. reg_phy_wrlvl_init_ratio = 0x7 + // .. .. ==> 0XF800612C[9:0] = 0x00000007U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000007U + // .. .. reg_phy_gatelvl_init_ratio = 0x7a + // .. .. ==> 0XF800612C[19:10] = 0x0000007AU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001E800U + // .. .. + EMIT_MASKWRITE(0XF800612C, 0x000FFFFFU ,0x0001E807U), + // .. .. reg_phy_wrlvl_init_ratio = 0x5 + // .. .. ==> 0XF8006130[9:0] = 0x00000005U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000005U + // .. .. reg_phy_gatelvl_init_ratio = 0x7b + // .. .. ==> 0XF8006130[19:10] = 0x0000007BU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001EC00U + // .. .. + EMIT_MASKWRITE(0XF8006130, 0x000FFFFFU ,0x0001EC05U), + // .. .. reg_phy_wrlvl_init_ratio = 0x5 + // .. .. ==> 0XF8006134[9:0] = 0x00000005U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000005U + // .. .. reg_phy_gatelvl_init_ratio = 0x7b + // .. .. ==> 0XF8006134[19:10] = 0x0000007BU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001EC00U + // .. .. + EMIT_MASKWRITE(0XF8006134, 0x000FFFFFU ,0x0001EC05U), + // .. .. reg_phy_wrlvl_init_ratio = 0x1 + // .. .. ==> 0XF8006138[9:0] = 0x00000001U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000001U + // .. .. reg_phy_gatelvl_init_ratio = 0x78 + // .. .. ==> 0XF8006138[19:10] = 0x00000078U + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001E000U + // .. .. + EMIT_MASKWRITE(0XF8006138, 0x000FFFFFU ,0x0001E001U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006140[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006140[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006140[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006140, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006144[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006144[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006144[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006144, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006148[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006148[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006148[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006148, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF800614C[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF800614C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF800614C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800614C, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x87 + // .. .. ==> 0XF8006154[9:0] = 0x00000087U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000087U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006154[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006154[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006154, 0x000FFFFFU ,0x00000087U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x85 + // .. .. ==> 0XF8006158[9:0] = 0x00000085U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000085U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006158[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006158[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006158, 0x000FFFFFU ,0x00000085U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x85 + // .. .. ==> 0XF800615C[9:0] = 0x00000085U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000085U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF800615C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF800615C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800615C, 0x000FFFFFU ,0x00000085U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x81 + // .. .. ==> 0XF8006160[9:0] = 0x00000081U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000081U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006160[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006160[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006160, 0x000FFFFFU ,0x00000081U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xcf + // .. .. ==> 0XF8006168[10:0] = 0x000000CFU + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000CFU + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006168[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006168[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006168, 0x001FFFFFU ,0x000000CFU), + // .. .. reg_phy_fifo_we_slave_ratio = 0xd0 + // .. .. ==> 0XF800616C[10:0] = 0x000000D0U + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000D0U + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF800616C[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF800616C[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800616C, 0x001FFFFFU ,0x000000D0U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xd0 + // .. .. ==> 0XF8006170[10:0] = 0x000000D0U + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000D0U + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006170[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006170[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006170, 0x001FFFFFU ,0x000000D0U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xcd + // .. .. ==> 0XF8006174[10:0] = 0x000000CDU + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000CDU + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006174[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006174[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006174, 0x001FFFFFU ,0x000000CDU), + // .. .. reg_phy_wr_data_slave_ratio = 0xc7 + // .. .. ==> 0XF800617C[9:0] = 0x000000C7U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C7U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF800617C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF800617C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800617C, 0x000FFFFFU ,0x000000C7U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc5 + // .. .. ==> 0XF8006180[9:0] = 0x000000C5U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C5U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006180[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006180[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006180, 0x000FFFFFU ,0x000000C5U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc5 + // .. .. ==> 0XF8006184[9:0] = 0x000000C5U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C5U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006184[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006184[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006184, 0x000FFFFFU ,0x000000C5U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc1 + // .. .. ==> 0XF8006188[9:0] = 0x000000C1U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C1U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006188[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006188[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006188, 0x000FFFFFU ,0x000000C1U), + // .. .. reg_phy_bl2 = 0x0 + // .. .. ==> 0XF8006190[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_at_spd_atpg = 0x0 + // .. .. ==> 0XF8006190[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_bist_enable = 0x0 + // .. .. ==> 0XF8006190[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_bist_force_err = 0x0 + // .. .. ==> 0XF8006190[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_bist_mode = 0x0 + // .. .. ==> 0XF8006190[6:5] = 0x00000000U + // .. .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. .. reg_phy_invert_clkout = 0x1 + // .. .. ==> 0XF8006190[7:7] = 0x00000001U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. .. reg_phy_sel_logic = 0x0 + // .. .. ==> 0XF8006190[9:9] = 0x00000000U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_ratio = 0x100 + // .. .. ==> 0XF8006190[19:10] = 0x00000100U + // .. .. ==> MASK : 0x000FFC00U VAL : 0x00040000U + // .. .. reg_phy_ctrl_slave_force = 0x0 + // .. .. ==> 0XF8006190[20:20] = 0x00000000U + // .. .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_delay = 0x0 + // .. .. ==> 0XF8006190[27:21] = 0x00000000U + // .. .. ==> MASK : 0x0FE00000U VAL : 0x00000000U + // .. .. reg_phy_lpddr = 0x0 + // .. .. ==> 0XF8006190[29:29] = 0x00000000U + // .. .. ==> MASK : 0x20000000U VAL : 0x00000000U + // .. .. reg_phy_cmd_latency = 0x0 + // .. .. ==> 0XF8006190[30:30] = 0x00000000U + // .. .. ==> MASK : 0x40000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006190, 0x6FFFFEFEU ,0x00040080U), + // .. .. reg_phy_wr_rl_delay = 0x2 + // .. .. ==> 0XF8006194[4:0] = 0x00000002U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000002U + // .. .. reg_phy_rd_rl_delay = 0x4 + // .. .. ==> 0XF8006194[9:5] = 0x00000004U + // .. .. ==> MASK : 0x000003E0U VAL : 0x00000080U + // .. .. reg_phy_dll_lock_diff = 0xf + // .. .. ==> 0XF8006194[13:10] = 0x0000000FU + // .. .. ==> MASK : 0x00003C00U VAL : 0x00003C00U + // .. .. reg_phy_use_wr_level = 0x1 + // .. .. ==> 0XF8006194[14:14] = 0x00000001U + // .. .. ==> MASK : 0x00004000U VAL : 0x00004000U + // .. .. reg_phy_use_rd_dqs_gate_level = 0x1 + // .. .. ==> 0XF8006194[15:15] = 0x00000001U + // .. .. ==> MASK : 0x00008000U VAL : 0x00008000U + // .. .. reg_phy_use_rd_data_eye_level = 0x1 + // .. .. ==> 0XF8006194[16:16] = 0x00000001U + // .. .. ==> MASK : 0x00010000U VAL : 0x00010000U + // .. .. reg_phy_dis_calib_rst = 0x0 + // .. .. ==> 0XF8006194[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_delay = 0x0 + // .. .. ==> 0XF8006194[19:18] = 0x00000000U + // .. .. ==> MASK : 0x000C0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006194, 0x000FFFFFU ,0x0001FC82U), + // .. .. reg_arb_page_addr_mask = 0x0 + // .. .. ==> 0XF8006204[31:0] = 0x00000000U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006204, 0xFFFFFFFFU ,0x00000000U), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006208[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006208[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006208[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006208[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006208, 0x000703FFU ,0x000003FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF800620C[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF800620C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF800620C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF800620C[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800620C, 0x000703FFU ,0x000003FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006210[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006210[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006210[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006210[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006210, 0x000703FFU ,0x000003FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006214[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006214[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006214[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006214[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006214, 0x000703FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006218[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006218[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006218[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006218[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006218[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006218, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF800621C[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF800621C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF800621C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF800621C[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF800621C[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800621C, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006220[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006220[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006220[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006220[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006220[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006220, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006224[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006224[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006224[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006224[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006224[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006224, 0x000F03FFU ,0x000003FFU), + // .. .. reg_ddrc_lpddr2 = 0x0 + // .. .. ==> 0XF80062A8[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_derate_enable = 0x0 + // .. .. ==> 0XF80062A8[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_ddrc_mr4_margin = 0x0 + // .. .. ==> 0XF80062A8[11:4] = 0x00000000U + // .. .. ==> MASK : 0x00000FF0U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80062A8, 0x00000FF5U ,0x00000000U), + // .. .. reg_ddrc_mr4_read_interval = 0x0 + // .. .. ==> 0XF80062AC[31:0] = 0x00000000U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80062AC, 0xFFFFFFFFU ,0x00000000U), + // .. .. reg_ddrc_min_stable_clock_x1 = 0x5 + // .. .. ==> 0XF80062B0[3:0] = 0x00000005U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000005U + // .. .. reg_ddrc_idle_after_reset_x32 = 0x12 + // .. .. ==> 0XF80062B0[11:4] = 0x00000012U + // .. .. ==> MASK : 0x00000FF0U VAL : 0x00000120U + // .. .. reg_ddrc_t_mrw = 0x5 + // .. .. ==> 0XF80062B0[21:12] = 0x00000005U + // .. .. ==> MASK : 0x003FF000U VAL : 0x00005000U + // .. .. + EMIT_MASKWRITE(0XF80062B0, 0x003FFFFFU ,0x00005125U), + // .. .. reg_ddrc_max_auto_init_x1024 = 0xa8 + // .. .. ==> 0XF80062B4[7:0] = 0x000000A8U + // .. .. ==> MASK : 0x000000FFU VAL : 0x000000A8U + // .. .. reg_ddrc_dev_zqinit_x32 = 0x12 + // .. .. ==> 0XF80062B4[17:8] = 0x00000012U + // .. .. ==> MASK : 0x0003FF00U VAL : 0x00001200U + // .. .. + EMIT_MASKWRITE(0XF80062B4, 0x0003FFFFU ,0x000012A8U), + // .. .. START: POLL ON DCI STATUS + // .. .. DONE = 1 + // .. .. ==> 0XF8000B74[13:13] = 0x00000001U + // .. .. ==> MASK : 0x00002000U VAL : 0x00002000U + // .. .. + EMIT_MASKPOLL(0XF8000B74, 0x00002000U), + // .. .. FINISH: POLL ON DCI STATUS + // .. .. START: UNLOCK DDR + // .. .. reg_ddrc_soft_rstb = 0x1 + // .. .. ==> 0XF8006000[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_ddrc_powerdown_en = 0x0 + // .. .. ==> 0XF8006000[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_data_bus_width = 0x0 + // .. .. ==> 0XF8006000[3:2] = 0x00000000U + // .. .. ==> MASK : 0x0000000CU VAL : 0x00000000U + // .. .. reg_ddrc_burst8_refresh = 0x0 + // .. .. ==> 0XF8006000[6:4] = 0x00000000U + // .. .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. .. reg_ddrc_rdwr_idle_gap = 1 + // .. .. ==> 0XF8006000[13:7] = 0x00000001U + // .. .. ==> MASK : 0x00003F80U VAL : 0x00000080U + // .. .. reg_ddrc_dis_rd_bypass = 0x0 + // .. .. ==> 0XF8006000[14:14] = 0x00000000U + // .. .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_act_bypass = 0x0 + // .. .. ==> 0XF8006000[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_auto_refresh = 0x0 + // .. .. ==> 0XF8006000[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000081U), + // .. .. FINISH: UNLOCK DDR + // .. .. START: CHECK DDR STATUS + // .. .. ddrc_reg_operating_mode = 1 + // .. .. ==> 0XF8006054[2:0] = 0x00000001U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000001U + // .. .. + EMIT_MASKPOLL(0XF8006054, 0x00000007U), + // .. .. FINISH: CHECK DDR STATUS + // .. FINISH: DDR INITIALIZATION + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_mio_init_data_3_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: OCM REMAPPING + // .. FINISH: OCM REMAPPING + // .. START: DDRIOB SETTINGS + // .. reserved_INP_POWER = 0x0 + // .. ==> 0XF8000B40[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B40[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE_B = 0x0 + // .. ==> 0XF8000B40[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B40[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCI_TYPE = 0x0 + // .. ==> 0XF8000B40[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B40[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B40[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B40[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B40[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B40, 0x00000FFFU ,0x00000600U), + // .. reserved_INP_POWER = 0x0 + // .. ==> 0XF8000B44[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B44[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE_B = 0x0 + // .. ==> 0XF8000B44[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B44[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCI_TYPE = 0x0 + // .. ==> 0XF8000B44[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B44[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B44[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B44[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B44[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B44, 0x00000FFFU ,0x00000600U), + // .. reserved_INP_POWER = 0x0 + // .. ==> 0XF8000B48[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x1 + // .. ==> 0XF8000B48[2:1] = 0x00000001U + // .. ==> MASK : 0x00000006U VAL : 0x00000002U + // .. DCI_UPDATE_B = 0x0 + // .. ==> 0XF8000B48[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B48[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCI_TYPE = 0x3 + // .. ==> 0XF8000B48[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B48[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B48[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B48[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B48[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B48, 0x00000FFFU ,0x00000672U), + // .. reserved_INP_POWER = 0x0 + // .. ==> 0XF8000B4C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x1 + // .. ==> 0XF8000B4C[2:1] = 0x00000001U + // .. ==> MASK : 0x00000006U VAL : 0x00000002U + // .. DCI_UPDATE_B = 0x0 + // .. ==> 0XF8000B4C[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B4C[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCI_TYPE = 0x3 + // .. ==> 0XF8000B4C[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B4C[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B4C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B4C[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B4C[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B4C, 0x00000FFFU ,0x00000672U), + // .. reserved_INP_POWER = 0x0 + // .. ==> 0XF8000B50[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x2 + // .. ==> 0XF8000B50[2:1] = 0x00000002U + // .. ==> MASK : 0x00000006U VAL : 0x00000004U + // .. DCI_UPDATE_B = 0x0 + // .. ==> 0XF8000B50[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B50[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCI_TYPE = 0x3 + // .. ==> 0XF8000B50[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B50[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B50[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B50[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B50[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B50, 0x00000FFFU ,0x00000674U), + // .. reserved_INP_POWER = 0x0 + // .. ==> 0XF8000B54[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x2 + // .. ==> 0XF8000B54[2:1] = 0x00000002U + // .. ==> MASK : 0x00000006U VAL : 0x00000004U + // .. DCI_UPDATE_B = 0x0 + // .. ==> 0XF8000B54[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B54[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCI_TYPE = 0x3 + // .. ==> 0XF8000B54[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B54[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B54[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B54[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B54[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B54, 0x00000FFFU ,0x00000674U), + // .. reserved_INP_POWER = 0x0 + // .. ==> 0XF8000B58[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B58[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE_B = 0x0 + // .. ==> 0XF8000B58[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B58[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCI_TYPE = 0x0 + // .. ==> 0XF8000B58[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B58[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B58[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B58[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B58[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B58, 0x00000FFFU ,0x00000600U), + // .. reserved_DRIVE_P = 0x1c + // .. ==> 0XF8000B5C[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. reserved_DRIVE_N = 0xc + // .. ==> 0XF8000B5C[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. reserved_SLEW_P = 0x3 + // .. ==> 0XF8000B5C[18:14] = 0x00000003U + // .. ==> MASK : 0x0007C000U VAL : 0x0000C000U + // .. reserved_SLEW_N = 0x3 + // .. ==> 0XF8000B5C[23:19] = 0x00000003U + // .. ==> MASK : 0x00F80000U VAL : 0x00180000U + // .. reserved_GTL = 0x0 + // .. ==> 0XF8000B5C[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. reserved_RTERM = 0x0 + // .. ==> 0XF8000B5C[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B5C, 0xFFFFFFFFU ,0x0018C61CU), + // .. reserved_DRIVE_P = 0x1c + // .. ==> 0XF8000B60[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. reserved_DRIVE_N = 0xc + // .. ==> 0XF8000B60[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. reserved_SLEW_P = 0x6 + // .. ==> 0XF8000B60[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. reserved_SLEW_N = 0x1f + // .. ==> 0XF8000B60[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. reserved_GTL = 0x0 + // .. ==> 0XF8000B60[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. reserved_RTERM = 0x0 + // .. ==> 0XF8000B60[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B60, 0xFFFFFFFFU ,0x00F9861CU), + // .. reserved_DRIVE_P = 0x1c + // .. ==> 0XF8000B64[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. reserved_DRIVE_N = 0xc + // .. ==> 0XF8000B64[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. reserved_SLEW_P = 0x6 + // .. ==> 0XF8000B64[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. reserved_SLEW_N = 0x1f + // .. ==> 0XF8000B64[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. reserved_GTL = 0x0 + // .. ==> 0XF8000B64[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. reserved_RTERM = 0x0 + // .. ==> 0XF8000B64[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B64, 0xFFFFFFFFU ,0x00F9861CU), + // .. reserved_DRIVE_P = 0x1c + // .. ==> 0XF8000B68[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. reserved_DRIVE_N = 0xc + // .. ==> 0XF8000B68[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. reserved_SLEW_P = 0x6 + // .. ==> 0XF8000B68[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. reserved_SLEW_N = 0x1f + // .. ==> 0XF8000B68[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. reserved_GTL = 0x0 + // .. ==> 0XF8000B68[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. reserved_RTERM = 0x0 + // .. ==> 0XF8000B68[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B68, 0xFFFFFFFFU ,0x00F9861CU), + // .. VREF_INT_EN = 0x0 + // .. ==> 0XF8000B6C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. VREF_SEL = 0x0 + // .. ==> 0XF8000B6C[4:1] = 0x00000000U + // .. ==> MASK : 0x0000001EU VAL : 0x00000000U + // .. VREF_EXT_EN = 0x3 + // .. ==> 0XF8000B6C[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. reserved_VREF_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[8:7] = 0x00000000U + // .. ==> MASK : 0x00000180U VAL : 0x00000000U + // .. REFIO_EN = 0x1 + // .. ==> 0XF8000B6C[9:9] = 0x00000001U + // .. ==> MASK : 0x00000200U VAL : 0x00000200U + // .. reserved_REFIO_TEST = 0x0 + // .. ==> 0XF8000B6C[11:10] = 0x00000000U + // .. ==> MASK : 0x00000C00U VAL : 0x00000000U + // .. reserved_REFIO_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. reserved_DRST_B_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. reserved_CKE_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[14:14] = 0x00000000U + // .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B6C, 0x00007FFFU ,0x00000260U), + // .. .. START: ASSERT RESET + // .. .. RESET = 1 + // .. .. ==> 0XF8000B70[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x00000001U ,0x00000001U), + // .. .. FINISH: ASSERT RESET + // .. .. START: DEASSERT RESET + // .. .. RESET = 0 + // .. .. ==> 0XF8000B70[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reserved_VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000020U), + // .. .. FINISH: DEASSERT RESET + // .. .. RESET = 0x1 + // .. .. ==> 0XF8000B70[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. ENABLE = 0x1 + // .. .. ==> 0XF8000B70[1:1] = 0x00000001U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. reserved_VRP_TRI = 0x0 + // .. .. ==> 0XF8000B70[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reserved_VRN_TRI = 0x0 + // .. .. ==> 0XF8000B70[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reserved_VRP_OUT = 0x0 + // .. .. ==> 0XF8000B70[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reserved_VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. NREF_OPT1 = 0x0 + // .. .. ==> 0XF8000B70[7:6] = 0x00000000U + // .. .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. .. NREF_OPT2 = 0x0 + // .. .. ==> 0XF8000B70[10:8] = 0x00000000U + // .. .. ==> MASK : 0x00000700U VAL : 0x00000000U + // .. .. NREF_OPT4 = 0x1 + // .. .. ==> 0XF8000B70[13:11] = 0x00000001U + // .. .. ==> MASK : 0x00003800U VAL : 0x00000800U + // .. .. PREF_OPT1 = 0x0 + // .. .. ==> 0XF8000B70[15:14] = 0x00000000U + // .. .. ==> MASK : 0x0000C000U VAL : 0x00000000U + // .. .. PREF_OPT2 = 0x0 + // .. .. ==> 0XF8000B70[19:17] = 0x00000000U + // .. .. ==> MASK : 0x000E0000U VAL : 0x00000000U + // .. .. UPDATE_CONTROL = 0x0 + // .. .. ==> 0XF8000B70[20:20] = 0x00000000U + // .. .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. .. reserved_INIT_COMPLETE = 0x0 + // .. .. ==> 0XF8000B70[21:21] = 0x00000000U + // .. .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. .. reserved_TST_CLK = 0x0 + // .. .. ==> 0XF8000B70[22:22] = 0x00000000U + // .. .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. .. reserved_TST_HLN = 0x0 + // .. .. ==> 0XF8000B70[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. reserved_TST_HLP = 0x0 + // .. .. ==> 0XF8000B70[24:24] = 0x00000000U + // .. .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. .. reserved_TST_RST = 0x0 + // .. .. ==> 0XF8000B70[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. reserved_INT_DCI_EN = 0x0 + // .. .. ==> 0XF8000B70[26:26] = 0x00000000U + // .. .. ==> MASK : 0x04000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x07FEFFFFU ,0x00000823U), + // .. FINISH: DDRIOB SETTINGS + // .. START: MIO PROGRAMMING + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000700[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000700[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000700[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000700[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000700[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000700[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000700[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000700[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000700[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000700, 0x00003FFFU ,0x00001600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000704[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000704[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000704[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000704[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000704[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000704[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000704[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000704[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000704[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000704, 0x00003FFFU ,0x00001600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000708[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000708[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000708[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000708[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000708[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000708[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000708[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000708[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000708[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000708, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800070C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800070C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800070C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800070C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800070C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800070C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800070C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF800070C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800070C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800070C, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000710[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000710[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000710[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000710[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000710[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000710[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000710[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000710[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000710[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000710, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000714[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000714[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000714[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000714[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000714[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000714[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000714[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000714[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000714[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000714, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000718[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000718[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000718[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000718[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000718[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000718[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000718[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000718[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000718[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000718, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800071C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800071C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800071C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800071C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800071C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800071C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800071C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF800071C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800071C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800071C, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000720[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000720[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000720[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000720[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF8000720[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF8000720[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000720[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000720[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000720[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000720, 0x00003FFFU ,0x000006E0U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000724[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000724[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000724[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000724[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF8000724[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF8000724[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000724[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000724[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000724[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000724, 0x00003FFFU ,0x000016E1U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000728[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000728[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000728[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000728[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000728[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000728[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000728[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000728[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000728[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000728, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800072C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800072C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800072C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800072C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF800072C[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF800072C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800072C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF800072C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800072C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800072C, 0x00003FFFU ,0x00001660U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000730[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000730[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000730[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000730[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000730[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000730[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000730[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000730[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000730[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000730, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000734[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000734[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000734[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000734[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000734[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000734[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000734[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000734[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000734[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000734, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000738[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000738[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000738[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000738[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000738[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF8000738[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000738[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000738[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000738[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000738, 0x00003FFFU ,0x00001640U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800073C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800073C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800073C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800073C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF800073C[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF800073C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800073C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF800073C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800073C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800073C, 0x00003FFFU ,0x00001640U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000740[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000740[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000740[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000740[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000740[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000740[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000740[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000740[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000740[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000744[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000744[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000744[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000744[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000744[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000744[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000744[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000744[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000744[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000748[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000748[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000748[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000748[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000748[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000748[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000748[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000748[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000748[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800074C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF800074C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800074C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800074C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800074C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800074C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800074C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800074C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800074C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000750[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000750[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000750[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000750[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000750[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000750[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000750[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000750[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000750[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000754[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000754[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000754[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000754[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000754[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000754[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000754[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000754[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000754[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000758[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000758[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000758[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000758[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000758[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000758[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000758[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000758[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000758[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800075C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF800075C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800075C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800075C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800075C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800075C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800075C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800075C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800075C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000760[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000760[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000760[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000760[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000760[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000760[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000760[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000760[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000760[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000764[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000764[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000764[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000764[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000764[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000764[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000764[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000764[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000764[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000768[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000768[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000768[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000768[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000768[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000768[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000768[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000768[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000768[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800076C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF800076C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800076C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800076C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800076C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800076C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800076C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800076C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800076C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000770[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000770[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000770[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000770[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000770[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000770[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000770[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000770[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000770[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000774[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000774[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000774[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000774[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000774[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000774[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000774[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000774[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000774[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000778[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000778[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000778[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000778[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000778[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000778[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000778[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000778[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000778[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800077C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF800077C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800077C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800077C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800077C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800077C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800077C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800077C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800077C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000780[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000780[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000780[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000780[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000780[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000780[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000780[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000780[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000780[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000784[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000784[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000784[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000784[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000784[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000784[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000784[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000784[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000784[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000788[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000788[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000788[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000788[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000788[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000788[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000788[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000788[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000788[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800078C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800078C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800078C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800078C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800078C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800078C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800078C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800078C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800078C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000790[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000790[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000790[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000790[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000790[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000790[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000790[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000790[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000790[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000794[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000794[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000794[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000794[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000794[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000794[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000794[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000794[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000794[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000798[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000798[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000798[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000798[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000798[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000798[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000798[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000798[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000798[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800079C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800079C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800079C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800079C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800079C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800079C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800079C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800079C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800079C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A8[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A8[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007AC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007AC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007AC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007AC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007AC[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007AC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007AC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007AC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007AC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007B0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007B0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007B0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007B0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007B4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007B4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007B4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007B4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF80007B8[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF80007B8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF80007B8[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF80007B8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B8, 0x00003FFFU ,0x000012E1U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007BC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007BC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007BC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007BC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF80007BC[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF80007BC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007BC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007BC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007BC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007BC, 0x00003FFFU ,0x000012E0U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF80007C0[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF80007C0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x00001240U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF80007C4[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF80007C4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x00001240U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C8[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF80007C8[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF80007C8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007CC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007CC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007CC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007CC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF80007CC[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF80007CC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007CC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007CC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007CC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007D0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007D0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007D0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007D0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007D0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007D0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007D0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007D0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007D0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007D4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007D4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007D4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007D4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007D4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007D4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007D4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007D4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007D4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), + // .. SDIO0_WP_SEL = 55 + // .. ==> 0XF8000830[5:0] = 0x00000037U + // .. ==> MASK : 0x0000003FU VAL : 0x00000037U + // .. SDIO0_CD_SEL = 56 + // .. ==> 0XF8000830[21:16] = 0x00000038U + // .. ==> MASK : 0x003F0000U VAL : 0x00380000U + // .. + EMIT_MASKWRITE(0XF8000830, 0x003F003FU ,0x00380037U), + // .. FINISH: MIO PROGRAMMING + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_peripherals_init_data_3_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: DDR TERM/IBUF_DISABLE_MODE SETTINGS + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B48[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B48[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B48, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B4C[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B4C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B4C, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B50[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B50[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B50, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B54[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B54[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B54, 0x00000180U ,0x00000180U), + // .. FINISH: DDR TERM/IBUF_DISABLE_MODE SETTINGS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // .. START: SRAM/NOR SET OPMODE + // .. FINISH: SRAM/NOR SET OPMODE + // .. START: UART REGISTERS + // .. BDIV = 0x6 + // .. ==> 0XE0001034[7:0] = 0x00000006U + // .. ==> MASK : 0x000000FFU VAL : 0x00000006U + // .. + EMIT_MASKWRITE(0XE0001034, 0x000000FFU ,0x00000006U), + // .. CD = 0x7c + // .. ==> 0XE0001018[15:0] = 0x0000007CU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000007CU + // .. + EMIT_MASKWRITE(0XE0001018, 0x0000FFFFU ,0x0000007CU), + // .. STPBRK = 0x0 + // .. ==> 0XE0001000[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. STTBRK = 0x0 + // .. ==> 0XE0001000[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. RSTTO = 0x0 + // .. ==> 0XE0001000[6:6] = 0x00000000U + // .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. TXDIS = 0x0 + // .. ==> 0XE0001000[5:5] = 0x00000000U + // .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. TXEN = 0x1 + // .. ==> 0XE0001000[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. RXDIS = 0x0 + // .. ==> 0XE0001000[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. RXEN = 0x1 + // .. ==> 0XE0001000[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. TXRES = 0x1 + // .. ==> 0XE0001000[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. RXRES = 0x1 + // .. ==> 0XE0001000[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. + EMIT_MASKWRITE(0XE0001000, 0x000001FFU ,0x00000017U), + // .. CHMODE = 0x0 + // .. ==> 0XE0001004[9:8] = 0x00000000U + // .. ==> MASK : 0x00000300U VAL : 0x00000000U + // .. NBSTOP = 0x0 + // .. ==> 0XE0001004[7:6] = 0x00000000U + // .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. PAR = 0x4 + // .. ==> 0XE0001004[5:3] = 0x00000004U + // .. ==> MASK : 0x00000038U VAL : 0x00000020U + // .. CHRL = 0x0 + // .. ==> 0XE0001004[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. CLKS = 0x0 + // .. ==> 0XE0001004[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XE0001004, 0x000003FFU ,0x00000020U), + // .. BDIV = 0x6 + // .. ==> 0XE0000034[7:0] = 0x00000006U + // .. ==> MASK : 0x000000FFU VAL : 0x00000006U + // .. + EMIT_MASKWRITE(0XE0000034, 0x000000FFU ,0x00000006U), + // .. CD = 0x7c + // .. ==> 0XE0000018[15:0] = 0x0000007CU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000007CU + // .. + EMIT_MASKWRITE(0XE0000018, 0x0000FFFFU ,0x0000007CU), + // .. STPBRK = 0x0 + // .. ==> 0XE0000000[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. STTBRK = 0x0 + // .. ==> 0XE0000000[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. RSTTO = 0x0 + // .. ==> 0XE0000000[6:6] = 0x00000000U + // .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. TXDIS = 0x0 + // .. ==> 0XE0000000[5:5] = 0x00000000U + // .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. TXEN = 0x1 + // .. ==> 0XE0000000[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. RXDIS = 0x0 + // .. ==> 0XE0000000[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. RXEN = 0x1 + // .. ==> 0XE0000000[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. TXRES = 0x1 + // .. ==> 0XE0000000[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. RXRES = 0x1 + // .. ==> 0XE0000000[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. + EMIT_MASKWRITE(0XE0000000, 0x000001FFU ,0x00000017U), + // .. CHMODE = 0x0 + // .. ==> 0XE0000004[9:8] = 0x00000000U + // .. ==> MASK : 0x00000300U VAL : 0x00000000U + // .. NBSTOP = 0x0 + // .. ==> 0XE0000004[7:6] = 0x00000000U + // .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. PAR = 0x4 + // .. ==> 0XE0000004[5:3] = 0x00000004U + // .. ==> MASK : 0x00000038U VAL : 0x00000020U + // .. CHRL = 0x0 + // .. ==> 0XE0000004[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. CLKS = 0x0 + // .. ==> 0XE0000004[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XE0000004, 0x000003FFU ,0x00000020U), + // .. FINISH: UART REGISTERS + // .. START: QSPI REGISTERS + // .. Holdb_dr = 1 + // .. ==> 0XE000D000[19:19] = 0x00000001U + // .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. + EMIT_MASKWRITE(0XE000D000, 0x00080000U ,0x00080000U), + // .. FINISH: QSPI REGISTERS + // .. START: PL POWER ON RESET REGISTERS + // .. PCFG_POR_CNT_4K = 0 + // .. ==> 0XF8007000[29:29] = 0x00000000U + // .. ==> MASK : 0x20000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8007000, 0x20000000U ,0x00000000U), + // .. FINISH: PL POWER ON RESET REGISTERS + // .. START: SMC TIMING CALCULATION REGISTER UPDATE + // .. .. START: NAND SET CYCLE + // .. .. FINISH: NAND SET CYCLE + // .. .. START: OPMODE + // .. .. FINISH: OPMODE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: SRAM/NOR CS0 SET CYCLE + // .. .. FINISH: SRAM/NOR CS0 SET CYCLE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: NOR CS0 BASE ADDRESS + // .. .. FINISH: NOR CS0 BASE ADDRESS + // .. .. START: SRAM/NOR CS1 SET CYCLE + // .. .. FINISH: SRAM/NOR CS1 SET CYCLE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: NOR CS1 BASE ADDRESS + // .. .. FINISH: NOR CS1 BASE ADDRESS + // .. .. START: USB RESET + // .. .. .. START: USB0 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. DIRECTION_0 = 0x18 + // .. .. .. .. ==> 0XE000A204[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x10 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000010U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000010U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. OP_ENABLE_0 = 0x18 + // .. .. .. .. ==> 0XE000A208[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x0 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000000U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000000U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0000U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x10 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000010U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000010U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: USB0 RESET + // .. .. .. START: USB1 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: USB1 RESET + // .. .. FINISH: USB RESET + // .. .. START: ENET RESET + // .. .. .. START: ENET0 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. DIRECTION_0 = 0x18 + // .. .. .. .. ==> 0XE000A204[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x8 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000008U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. OP_ENABLE_0 = 0x18 + // .. .. .. .. ==> 0XE000A208[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x0 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000000U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000000U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70000U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x8 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000008U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: ENET0 RESET + // .. .. .. START: ENET1 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: ENET1 RESET + // .. .. FINISH: ENET RESET + // .. .. START: I2C RESET + // .. .. .. START: I2C0 RESET + // .. .. .. .. START: DIR MODE GPIO BANK0 + // .. .. .. .. FINISH: DIR MODE GPIO BANK0 + // .. .. .. .. START: DIR MODE GPIO BANK1 + // .. .. .. .. FINISH: DIR MODE GPIO BANK1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: I2C0 RESET + // .. .. .. START: I2C1 RESET + // .. .. .. .. START: DIR MODE GPIO BANK0 + // .. .. .. .. FINISH: DIR MODE GPIO BANK0 + // .. .. .. .. START: DIR MODE GPIO BANK1 + // .. .. .. .. FINISH: DIR MODE GPIO BANK1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: I2C1 RESET + // .. .. FINISH: I2C RESET + // .. .. START: NOR CHIP SELECT + // .. .. .. START: DIR MODE BANK 0 + // .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. FINISH: NOR CHIP SELECT + // .. FINISH: SMC TIMING CALCULATION REGISTER UPDATE + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_post_config_3_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: ENABLING LEVEL SHIFTER + // .. USER_LVL_INP_EN_0 = 1 + // .. ==> 0XF8000900[3:3] = 0x00000001U + // .. ==> MASK : 0x00000008U VAL : 0x00000008U + // .. USER_LVL_OUT_EN_0 = 1 + // .. ==> 0XF8000900[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. USER_LVL_INP_EN_1 = 1 + // .. ==> 0XF8000900[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. USER_LVL_OUT_EN_1 = 1 + // .. ==> 0XF8000900[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. + EMIT_MASKWRITE(0XF8000900, 0x0000000FU ,0x0000000FU), + // .. FINISH: ENABLING LEVEL SHIFTER + // .. START: FPGA RESETS TO 0 + // .. reserved_3 = 0 + // .. ==> 0XF8000240[31:25] = 0x00000000U + // .. ==> MASK : 0xFE000000U VAL : 0x00000000U + // .. reserved_FPGA_ACP_RST = 0 + // .. ==> 0XF8000240[24:24] = 0x00000000U + // .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. reserved_FPGA_AXDS3_RST = 0 + // .. ==> 0XF8000240[23:23] = 0x00000000U + // .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. reserved_FPGA_AXDS2_RST = 0 + // .. ==> 0XF8000240[22:22] = 0x00000000U + // .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. reserved_FPGA_AXDS1_RST = 0 + // .. ==> 0XF8000240[21:21] = 0x00000000U + // .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. reserved_FPGA_AXDS0_RST = 0 + // .. ==> 0XF8000240[20:20] = 0x00000000U + // .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. reserved_2 = 0 + // .. ==> 0XF8000240[19:18] = 0x00000000U + // .. ==> MASK : 0x000C0000U VAL : 0x00000000U + // .. reserved_FSSW1_FPGA_RST = 0 + // .. ==> 0XF8000240[17:17] = 0x00000000U + // .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. reserved_FSSW0_FPGA_RST = 0 + // .. ==> 0XF8000240[16:16] = 0x00000000U + // .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. reserved_1 = 0 + // .. ==> 0XF8000240[15:14] = 0x00000000U + // .. ==> MASK : 0x0000C000U VAL : 0x00000000U + // .. reserved_FPGA_FMSW1_RST = 0 + // .. ==> 0XF8000240[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. reserved_FPGA_FMSW0_RST = 0 + // .. ==> 0XF8000240[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. reserved_FPGA_DMA3_RST = 0 + // .. ==> 0XF8000240[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. reserved_FPGA_DMA2_RST = 0 + // .. ==> 0XF8000240[10:10] = 0x00000000U + // .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. reserved_FPGA_DMA1_RST = 0 + // .. ==> 0XF8000240[9:9] = 0x00000000U + // .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. reserved_FPGA_DMA0_RST = 0 + // .. ==> 0XF8000240[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. reserved = 0 + // .. ==> 0XF8000240[7:4] = 0x00000000U + // .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. FPGA3_OUT_RST = 0 + // .. ==> 0XF8000240[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. FPGA2_OUT_RST = 0 + // .. ==> 0XF8000240[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. FPGA1_OUT_RST = 0 + // .. ==> 0XF8000240[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. FPGA0_OUT_RST = 0 + // .. ==> 0XF8000240[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000240, 0xFFFFFFFFU ,0x00000000U), + // .. FINISH: FPGA RESETS TO 0 + // .. START: AFI REGISTERS + // .. .. START: AFI0 REGISTERS + // .. .. FINISH: AFI0 REGISTERS + // .. .. START: AFI1 REGISTERS + // .. .. FINISH: AFI1 REGISTERS + // .. .. START: AFI2 REGISTERS + // .. .. FINISH: AFI2 REGISTERS + // .. .. START: AFI3 REGISTERS + // .. .. FINISH: AFI3 REGISTERS + // .. .. START: AFI2 SECURE REGISTER + // .. .. FINISH: AFI2 SECURE REGISTER + // .. FINISH: AFI REGISTERS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_debug_3_0[] = { + // START: top + // .. START: CROSS TRIGGER CONFIGURATIONS + // .. .. START: UNLOCKING CTI REGISTERS + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8898FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8898FB0, 0xC5ACCE55U), + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8899FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8899FB0, 0xC5ACCE55U), + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8809FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8809FB0, 0xC5ACCE55U), + // .. .. FINISH: UNLOCKING CTI REGISTERS + // .. .. START: ENABLING CTI MODULES AND CHANNELS + // .. .. FINISH: ENABLING CTI MODULES AND CHANNELS + // .. .. START: MAPPING CPU0, CPU1 AND FTM EVENTS TO CTM CHANNELS + // .. .. FINISH: MAPPING CPU0, CPU1 AND FTM EVENTS TO CTM CHANNELS + // .. FINISH: CROSS TRIGGER CONFIGURATIONS + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_pll_init_data_2_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: PLL SLCR REGISTERS + // .. .. START: ARM PLL INIT + // .. .. PLL_RES = 0x4 + // .. .. ==> 0XF8000110[7:4] = 0x00000004U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000040U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000110[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0xfa + // .. .. ==> 0XF8000110[21:12] = 0x000000FAU + // .. .. ==> MASK : 0x003FF000U VAL : 0x000FA000U + // .. .. + EMIT_MASKWRITE(0XF8000110, 0x003FFFF0U ,0x000FA240U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x3c + // .. .. .. ==> 0XF8000100[18:12] = 0x0000003CU + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x0003C000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x0007F000U ,0x0003C000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000100[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000100[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000100[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. ARM_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000001U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000100[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. .. SRCSEL = 0x0 + // .. .. .. ==> 0XF8000120[5:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. .. DIVISOR = 0x2 + // .. .. .. ==> 0XF8000120[13:8] = 0x00000002U + // .. .. .. ==> MASK : 0x00003F00U VAL : 0x00000200U + // .. .. .. CPU_6OR4XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[24:24] = 0x00000001U + // .. .. .. ==> MASK : 0x01000000U VAL : 0x01000000U + // .. .. .. CPU_3OR2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[25:25] = 0x00000001U + // .. .. .. ==> MASK : 0x02000000U VAL : 0x02000000U + // .. .. .. CPU_2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[26:26] = 0x00000001U + // .. .. .. ==> MASK : 0x04000000U VAL : 0x04000000U + // .. .. .. CPU_1XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[27:27] = 0x00000001U + // .. .. .. ==> MASK : 0x08000000U VAL : 0x08000000U + // .. .. .. CPU_PERI_CLKACT = 0x1 + // .. .. .. ==> 0XF8000120[28:28] = 0x00000001U + // .. .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000120, 0x1F003F30U ,0x1F000200U), + // .. .. FINISH: ARM PLL INIT + // .. .. START: DDR PLL INIT + // .. .. PLL_RES = 0x2 + // .. .. ==> 0XF8000114[7:4] = 0x00000002U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000020U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000114[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0x12c + // .. .. ==> 0XF8000114[21:12] = 0x0000012CU + // .. .. ==> MASK : 0x003FF000U VAL : 0x0012C000U + // .. .. + EMIT_MASKWRITE(0XF8000114, 0x003FFFF0U ,0x0012C220U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x20 + // .. .. .. ==> 0XF8000104[18:12] = 0x00000020U + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x00020000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x0007F000U ,0x00020000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000104[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000104[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000104[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. DDR_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[1:1] = 0x00000001U + // .. .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000002U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000104[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. .. DDR_3XCLKACT = 0x1 + // .. .. .. ==> 0XF8000124[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. DDR_2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000124[1:1] = 0x00000001U + // .. .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. .. DDR_3XCLK_DIVISOR = 0x2 + // .. .. .. ==> 0XF8000124[25:20] = 0x00000002U + // .. .. .. ==> MASK : 0x03F00000U VAL : 0x00200000U + // .. .. .. DDR_2XCLK_DIVISOR = 0x3 + // .. .. .. ==> 0XF8000124[31:26] = 0x00000003U + // .. .. .. ==> MASK : 0xFC000000U VAL : 0x0C000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000124, 0xFFF00003U ,0x0C200003U), + // .. .. FINISH: DDR PLL INIT + // .. .. START: IO PLL INIT + // .. .. PLL_RES = 0x4 + // .. .. ==> 0XF8000118[7:4] = 0x00000004U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000040U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000118[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0xfa + // .. .. ==> 0XF8000118[21:12] = 0x000000FAU + // .. .. ==> MASK : 0x003FF000U VAL : 0x000FA000U + // .. .. + EMIT_MASKWRITE(0XF8000118, 0x003FFFF0U ,0x000FA240U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x3c + // .. .. .. ==> 0XF8000108[18:12] = 0x0000003CU + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x0003C000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x0007F000U ,0x0003C000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000108[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000108[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000108[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. IO_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[2:2] = 0x00000001U + // .. .. .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000004U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000108[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. FINISH: IO PLL INIT + // .. FINISH: PLL SLCR REGISTERS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_clock_init_data_2_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: CLOCK CONTROL SLCR REGISTERS + // .. CLKACT = 0x1 + // .. ==> 0XF8000128[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. DIVISOR0 = 0xf + // .. ==> 0XF8000128[13:8] = 0x0000000FU + // .. ==> MASK : 0x00003F00U VAL : 0x00000F00U + // .. DIVISOR1 = 0x7 + // .. ==> 0XF8000128[25:20] = 0x00000007U + // .. ==> MASK : 0x03F00000U VAL : 0x00700000U + // .. + EMIT_MASKWRITE(0XF8000128, 0x03F03F01U ,0x00700F01U), + // .. CLKACT = 0x1 + // .. ==> 0XF8000138[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000138[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000138, 0x00000011U ,0x00000001U), + // .. CLKACT = 0x1 + // .. ==> 0XF8000140[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000140[6:4] = 0x00000000U + // .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. DIVISOR = 0x10 + // .. ==> 0XF8000140[13:8] = 0x00000010U + // .. ==> MASK : 0x00003F00U VAL : 0x00001000U + // .. DIVISOR1 = 0x1 + // .. ==> 0XF8000140[25:20] = 0x00000001U + // .. ==> MASK : 0x03F00000U VAL : 0x00100000U + // .. + EMIT_MASKWRITE(0XF8000140, 0x03F03F71U ,0x00101001U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000150[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x0 + // .. ==> 0XF8000150[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000150[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0x14 + // .. ==> 0XF8000150[13:8] = 0x00000014U + // .. ==> MASK : 0x00003F00U VAL : 0x00001400U + // .. + EMIT_MASKWRITE(0XF8000150, 0x00003F33U ,0x00001401U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000154[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x1 + // .. ==> 0XF8000154[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000154[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0x14 + // .. ==> 0XF8000154[13:8] = 0x00000014U + // .. ==> MASK : 0x00003F00U VAL : 0x00001400U + // .. + EMIT_MASKWRITE(0XF8000154, 0x00003F33U ,0x00001403U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000158[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x1 + // .. ==> 0XF8000158[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000158[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0xc + // .. ==> 0XF8000158[13:8] = 0x0000000CU + // .. ==> MASK : 0x00003F00U VAL : 0x00000C00U + // .. + EMIT_MASKWRITE(0XF8000158, 0x00003F33U ,0x00000C03U), + // .. .. START: TRACE CLOCK + // .. .. FINISH: TRACE CLOCK + // .. .. CLKACT = 0x1 + // .. .. ==> 0XF8000168[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000168[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR = 0xa + // .. .. ==> 0XF8000168[13:8] = 0x0000000AU + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000A00U + // .. .. + EMIT_MASKWRITE(0XF8000168, 0x00003F31U ,0x00000A01U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000170[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x5 + // .. .. ==> 0XF8000170[13:8] = 0x00000005U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000500U + // .. .. DIVISOR1 = 0x4 + // .. .. ==> 0XF8000170[25:20] = 0x00000004U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00400000U + // .. .. + EMIT_MASKWRITE(0XF8000170, 0x03F03F30U ,0x00400500U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000180[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0xa + // .. .. ==> 0XF8000180[13:8] = 0x0000000AU + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000A00U + // .. .. DIVISOR1 = 0x5 + // .. .. ==> 0XF8000180[25:20] = 0x00000005U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00500000U + // .. .. + EMIT_MASKWRITE(0XF8000180, 0x03F03F30U ,0x00500A00U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000190[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x4 + // .. .. ==> 0XF8000190[13:8] = 0x00000004U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000400U + // .. .. DIVISOR1 = 0x3 + // .. .. ==> 0XF8000190[25:20] = 0x00000003U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00300000U + // .. .. + EMIT_MASKWRITE(0XF8000190, 0x03F03F30U ,0x00300400U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF80001A0[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x5 + // .. .. ==> 0XF80001A0[13:8] = 0x00000005U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000500U + // .. .. DIVISOR1 = 0x2 + // .. .. ==> 0XF80001A0[25:20] = 0x00000002U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00200000U + // .. .. + EMIT_MASKWRITE(0XF80001A0, 0x03F03F30U ,0x00200500U), + // .. .. CLK_621_TRUE = 0x1 + // .. .. ==> 0XF80001C4[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. + EMIT_MASKWRITE(0XF80001C4, 0x00000001U ,0x00000001U), + // .. .. DMA_CPU_2XCLKACT = 0x1 + // .. .. ==> 0XF800012C[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. USB0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[2:2] = 0x00000001U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. .. USB1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[3:3] = 0x00000001U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000008U + // .. .. GEM0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[6:6] = 0x00000001U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000040U + // .. .. GEM1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. SDI0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[10:10] = 0x00000001U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000400U + // .. .. SDI1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. SPI0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[14:14] = 0x00000001U + // .. .. ==> MASK : 0x00004000U VAL : 0x00004000U + // .. .. SPI1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[15:15] = 0x00000001U + // .. .. ==> MASK : 0x00008000U VAL : 0x00008000U + // .. .. CAN0_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. CAN1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. I2C0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[18:18] = 0x00000001U + // .. .. ==> MASK : 0x00040000U VAL : 0x00040000U + // .. .. I2C1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. UART0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[20:20] = 0x00000001U + // .. .. ==> MASK : 0x00100000U VAL : 0x00100000U + // .. .. UART1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[21:21] = 0x00000001U + // .. .. ==> MASK : 0x00200000U VAL : 0x00200000U + // .. .. GPIO_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[22:22] = 0x00000001U + // .. .. ==> MASK : 0x00400000U VAL : 0x00400000U + // .. .. LQSPI_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. SMC_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[24:24] = 0x00000001U + // .. .. ==> MASK : 0x01000000U VAL : 0x01000000U + // .. .. + EMIT_MASKWRITE(0XF800012C, 0x01FFCCCDU ,0x017CC44DU), + // .. FINISH: CLOCK CONTROL SLCR REGISTERS + // .. START: THIS SHOULD BE BLANK + // .. FINISH: THIS SHOULD BE BLANK + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_ddr_init_data_2_0[] = { + // START: top + // .. START: DDR INITIALIZATION + // .. .. START: LOCK DDR + // .. .. reg_ddrc_soft_rstb = 0 + // .. .. ==> 0XF8006000[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_powerdown_en = 0x0 + // .. .. ==> 0XF8006000[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_data_bus_width = 0x0 + // .. .. ==> 0XF8006000[3:2] = 0x00000000U + // .. .. ==> MASK : 0x0000000CU VAL : 0x00000000U + // .. .. reg_ddrc_burst8_refresh = 0x0 + // .. .. ==> 0XF8006000[6:4] = 0x00000000U + // .. .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. .. reg_ddrc_rdwr_idle_gap = 0x1 + // .. .. ==> 0XF8006000[13:7] = 0x00000001U + // .. .. ==> MASK : 0x00003F80U VAL : 0x00000080U + // .. .. reg_ddrc_dis_rd_bypass = 0x0 + // .. .. ==> 0XF8006000[14:14] = 0x00000000U + // .. .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_act_bypass = 0x0 + // .. .. ==> 0XF8006000[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_auto_refresh = 0x0 + // .. .. ==> 0XF8006000[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000080U), + // .. .. FINISH: LOCK DDR + // .. .. reg_ddrc_t_rfc_nom_x32 = 0x81 + // .. .. ==> 0XF8006004[11:0] = 0x00000081U + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000081U + // .. .. reg_ddrc_active_ranks = 0x1 + // .. .. ==> 0XF8006004[13:12] = 0x00000001U + // .. .. ==> MASK : 0x00003000U VAL : 0x00001000U + // .. .. reg_ddrc_addrmap_cs_bit0 = 0x0 + // .. .. ==> 0XF8006004[18:14] = 0x00000000U + // .. .. ==> MASK : 0x0007C000U VAL : 0x00000000U + // .. .. reg_ddrc_wr_odt_block = 0x1 + // .. .. ==> 0XF8006004[20:19] = 0x00000001U + // .. .. ==> MASK : 0x00180000U VAL : 0x00080000U + // .. .. reg_ddrc_diff_rank_rd_2cycle_gap = 0x0 + // .. .. ==> 0XF8006004[21:21] = 0x00000000U + // .. .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_cs_bit1 = 0x0 + // .. .. ==> 0XF8006004[26:22] = 0x00000000U + // .. .. ==> MASK : 0x07C00000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_open_bank = 0x0 + // .. .. ==> 0XF8006004[27:27] = 0x00000000U + // .. .. ==> MASK : 0x08000000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_4bank_ram = 0x0 + // .. .. ==> 0XF8006004[28:28] = 0x00000000U + // .. .. ==> MASK : 0x10000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006004, 0x1FFFFFFFU ,0x00081081U), + // .. .. reg_ddrc_hpr_min_non_critical_x32 = 0xf + // .. .. ==> 0XF8006008[10:0] = 0x0000000FU + // .. .. ==> MASK : 0x000007FFU VAL : 0x0000000FU + // .. .. reg_ddrc_hpr_max_starve_x32 = 0xf + // .. .. ==> 0XF8006008[21:11] = 0x0000000FU + // .. .. ==> MASK : 0x003FF800U VAL : 0x00007800U + // .. .. reg_ddrc_hpr_xact_run_length = 0xf + // .. .. ==> 0XF8006008[25:22] = 0x0000000FU + // .. .. ==> MASK : 0x03C00000U VAL : 0x03C00000U + // .. .. + EMIT_MASKWRITE(0XF8006008, 0x03FFFFFFU ,0x03C0780FU), + // .. .. reg_ddrc_lpr_min_non_critical_x32 = 0x1 + // .. .. ==> 0XF800600C[10:0] = 0x00000001U + // .. .. ==> MASK : 0x000007FFU VAL : 0x00000001U + // .. .. reg_ddrc_lpr_max_starve_x32 = 0x2 + // .. .. ==> 0XF800600C[21:11] = 0x00000002U + // .. .. ==> MASK : 0x003FF800U VAL : 0x00001000U + // .. .. reg_ddrc_lpr_xact_run_length = 0x8 + // .. .. ==> 0XF800600C[25:22] = 0x00000008U + // .. .. ==> MASK : 0x03C00000U VAL : 0x02000000U + // .. .. + EMIT_MASKWRITE(0XF800600C, 0x03FFFFFFU ,0x02001001U), + // .. .. reg_ddrc_w_min_non_critical_x32 = 0x1 + // .. .. ==> 0XF8006010[10:0] = 0x00000001U + // .. .. ==> MASK : 0x000007FFU VAL : 0x00000001U + // .. .. reg_ddrc_w_xact_run_length = 0x8 + // .. .. ==> 0XF8006010[14:11] = 0x00000008U + // .. .. ==> MASK : 0x00007800U VAL : 0x00004000U + // .. .. reg_ddrc_w_max_starve_x32 = 0x2 + // .. .. ==> 0XF8006010[25:15] = 0x00000002U + // .. .. ==> MASK : 0x03FF8000U VAL : 0x00010000U + // .. .. + EMIT_MASKWRITE(0XF8006010, 0x03FFFFFFU ,0x00014001U), + // .. .. reg_ddrc_t_rc = 0x1a + // .. .. ==> 0XF8006014[5:0] = 0x0000001AU + // .. .. ==> MASK : 0x0000003FU VAL : 0x0000001AU + // .. .. reg_ddrc_t_rfc_min = 0xa0 + // .. .. ==> 0XF8006014[13:6] = 0x000000A0U + // .. .. ==> MASK : 0x00003FC0U VAL : 0x00002800U + // .. .. reg_ddrc_post_selfref_gap_x32 = 0x10 + // .. .. ==> 0XF8006014[20:14] = 0x00000010U + // .. .. ==> MASK : 0x001FC000U VAL : 0x00040000U + // .. .. + EMIT_MASKWRITE(0XF8006014, 0x001FFFFFU ,0x0004281AU), + // .. .. reg_ddrc_wr2pre = 0x12 + // .. .. ==> 0XF8006018[4:0] = 0x00000012U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000012U + // .. .. reg_ddrc_powerdown_to_x32 = 0x6 + // .. .. ==> 0XF8006018[9:5] = 0x00000006U + // .. .. ==> MASK : 0x000003E0U VAL : 0x000000C0U + // .. .. reg_ddrc_t_faw = 0x16 + // .. .. ==> 0XF8006018[15:10] = 0x00000016U + // .. .. ==> MASK : 0x0000FC00U VAL : 0x00005800U + // .. .. reg_ddrc_t_ras_max = 0x24 + // .. .. ==> 0XF8006018[21:16] = 0x00000024U + // .. .. ==> MASK : 0x003F0000U VAL : 0x00240000U + // .. .. reg_ddrc_t_ras_min = 0x13 + // .. .. ==> 0XF8006018[26:22] = 0x00000013U + // .. .. ==> MASK : 0x07C00000U VAL : 0x04C00000U + // .. .. reg_ddrc_t_cke = 0x4 + // .. .. ==> 0XF8006018[31:28] = 0x00000004U + // .. .. ==> MASK : 0xF0000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006018, 0xF7FFFFFFU ,0x44E458D2U), + // .. .. reg_ddrc_write_latency = 0x5 + // .. .. ==> 0XF800601C[4:0] = 0x00000005U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000005U + // .. .. reg_ddrc_rd2wr = 0x7 + // .. .. ==> 0XF800601C[9:5] = 0x00000007U + // .. .. ==> MASK : 0x000003E0U VAL : 0x000000E0U + // .. .. reg_ddrc_wr2rd = 0xe + // .. .. ==> 0XF800601C[14:10] = 0x0000000EU + // .. .. ==> MASK : 0x00007C00U VAL : 0x00003800U + // .. .. reg_ddrc_t_xp = 0x4 + // .. .. ==> 0XF800601C[19:15] = 0x00000004U + // .. .. ==> MASK : 0x000F8000U VAL : 0x00020000U + // .. .. reg_ddrc_pad_pd = 0x0 + // .. .. ==> 0XF800601C[22:20] = 0x00000000U + // .. .. ==> MASK : 0x00700000U VAL : 0x00000000U + // .. .. reg_ddrc_rd2pre = 0x4 + // .. .. ==> 0XF800601C[27:23] = 0x00000004U + // .. .. ==> MASK : 0x0F800000U VAL : 0x02000000U + // .. .. reg_ddrc_t_rcd = 0x7 + // .. .. ==> 0XF800601C[31:28] = 0x00000007U + // .. .. ==> MASK : 0xF0000000U VAL : 0x70000000U + // .. .. + EMIT_MASKWRITE(0XF800601C, 0xFFFFFFFFU ,0x720238E5U), + // .. .. reg_ddrc_t_ccd = 0x4 + // .. .. ==> 0XF8006020[4:2] = 0x00000004U + // .. .. ==> MASK : 0x0000001CU VAL : 0x00000010U + // .. .. reg_ddrc_t_rrd = 0x6 + // .. .. ==> 0XF8006020[7:5] = 0x00000006U + // .. .. ==> MASK : 0x000000E0U VAL : 0x000000C0U + // .. .. reg_ddrc_refresh_margin = 0x2 + // .. .. ==> 0XF8006020[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. reg_ddrc_t_rp = 0x7 + // .. .. ==> 0XF8006020[15:12] = 0x00000007U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00007000U + // .. .. reg_ddrc_refresh_to_x32 = 0x8 + // .. .. ==> 0XF8006020[20:16] = 0x00000008U + // .. .. ==> MASK : 0x001F0000U VAL : 0x00080000U + // .. .. reg_ddrc_sdram = 0x1 + // .. .. ==> 0XF8006020[21:21] = 0x00000001U + // .. .. ==> MASK : 0x00200000U VAL : 0x00200000U + // .. .. reg_ddrc_mobile = 0x0 + // .. .. ==> 0XF8006020[22:22] = 0x00000000U + // .. .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. .. reg_ddrc_clock_stop_en = 0x0 + // .. .. ==> 0XF8006020[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. reg_ddrc_read_latency = 0x7 + // .. .. ==> 0XF8006020[28:24] = 0x00000007U + // .. .. ==> MASK : 0x1F000000U VAL : 0x07000000U + // .. .. reg_phy_mode_ddr1_ddr2 = 0x1 + // .. .. ==> 0XF8006020[29:29] = 0x00000001U + // .. .. ==> MASK : 0x20000000U VAL : 0x20000000U + // .. .. reg_ddrc_dis_pad_pd = 0x0 + // .. .. ==> 0XF8006020[30:30] = 0x00000000U + // .. .. ==> MASK : 0x40000000U VAL : 0x00000000U + // .. .. reg_ddrc_loopback = 0x0 + // .. .. ==> 0XF8006020[31:31] = 0x00000000U + // .. .. ==> MASK : 0x80000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006020, 0xFFFFFFFCU ,0x272872D0U), + // .. .. reg_ddrc_en_2t_timing_mode = 0x0 + // .. .. ==> 0XF8006024[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_prefer_write = 0x0 + // .. .. ==> 0XF8006024[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_max_rank_rd = 0xf + // .. .. ==> 0XF8006024[5:2] = 0x0000000FU + // .. .. ==> MASK : 0x0000003CU VAL : 0x0000003CU + // .. .. reg_ddrc_mr_wr = 0x0 + // .. .. ==> 0XF8006024[6:6] = 0x00000000U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. .. reg_ddrc_mr_addr = 0x0 + // .. .. ==> 0XF8006024[8:7] = 0x00000000U + // .. .. ==> MASK : 0x00000180U VAL : 0x00000000U + // .. .. reg_ddrc_mr_data = 0x0 + // .. .. ==> 0XF8006024[24:9] = 0x00000000U + // .. .. ==> MASK : 0x01FFFE00U VAL : 0x00000000U + // .. .. ddrc_reg_mr_wr_busy = 0x0 + // .. .. ==> 0XF8006024[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. reg_ddrc_mr_type = 0x0 + // .. .. ==> 0XF8006024[26:26] = 0x00000000U + // .. .. ==> MASK : 0x04000000U VAL : 0x00000000U + // .. .. reg_ddrc_mr_rdata_valid = 0x0 + // .. .. ==> 0XF8006024[27:27] = 0x00000000U + // .. .. ==> MASK : 0x08000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006024, 0x0FFFFFFFU ,0x0000003CU), + // .. .. reg_ddrc_final_wait_x32 = 0x7 + // .. .. ==> 0XF8006028[6:0] = 0x00000007U + // .. .. ==> MASK : 0x0000007FU VAL : 0x00000007U + // .. .. reg_ddrc_pre_ocd_x32 = 0x0 + // .. .. ==> 0XF8006028[10:7] = 0x00000000U + // .. .. ==> MASK : 0x00000780U VAL : 0x00000000U + // .. .. reg_ddrc_t_mrd = 0x4 + // .. .. ==> 0XF8006028[13:11] = 0x00000004U + // .. .. ==> MASK : 0x00003800U VAL : 0x00002000U + // .. .. + EMIT_MASKWRITE(0XF8006028, 0x00003FFFU ,0x00002007U), + // .. .. reg_ddrc_emr2 = 0x8 + // .. .. ==> 0XF800602C[15:0] = 0x00000008U + // .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. reg_ddrc_emr3 = 0x0 + // .. .. ==> 0XF800602C[31:16] = 0x00000000U + // .. .. ==> MASK : 0xFFFF0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800602C, 0xFFFFFFFFU ,0x00000008U), + // .. .. reg_ddrc_mr = 0x930 + // .. .. ==> 0XF8006030[15:0] = 0x00000930U + // .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000930U + // .. .. reg_ddrc_emr = 0x4 + // .. .. ==> 0XF8006030[31:16] = 0x00000004U + // .. .. ==> MASK : 0xFFFF0000U VAL : 0x00040000U + // .. .. + EMIT_MASKWRITE(0XF8006030, 0xFFFFFFFFU ,0x00040930U), + // .. .. reg_ddrc_burst_rdwr = 0x4 + // .. .. ==> 0XF8006034[3:0] = 0x00000004U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000004U + // .. .. reg_ddrc_pre_cke_x1024 = 0x16d + // .. .. ==> 0XF8006034[13:4] = 0x0000016DU + // .. .. ==> MASK : 0x00003FF0U VAL : 0x000016D0U + // .. .. reg_ddrc_post_cke_x1024 = 0x1 + // .. .. ==> 0XF8006034[25:16] = 0x00000001U + // .. .. ==> MASK : 0x03FF0000U VAL : 0x00010000U + // .. .. reg_ddrc_burstchop = 0x0 + // .. .. ==> 0XF8006034[28:28] = 0x00000000U + // .. .. ==> MASK : 0x10000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006034, 0x13FF3FFFU ,0x000116D4U), + // .. .. reg_ddrc_force_low_pri_n = 0x0 + // .. .. ==> 0XF8006038[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_dis_dq = 0x0 + // .. .. ==> 0XF8006038[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_debug_mode = 0x0 + // .. .. ==> 0XF8006038[6:6] = 0x00000000U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. .. reg_phy_wr_level_start = 0x0 + // .. .. ==> 0XF8006038[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. reg_phy_rd_level_start = 0x0 + // .. .. ==> 0XF8006038[8:8] = 0x00000000U + // .. .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. .. reg_phy_dq0_wait_t = 0x0 + // .. .. ==> 0XF8006038[12:9] = 0x00000000U + // .. .. ==> MASK : 0x00001E00U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006038, 0x00001FC3U ,0x00000000U), + // .. .. reg_ddrc_addrmap_bank_b0 = 0x7 + // .. .. ==> 0XF800603C[3:0] = 0x00000007U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000007U + // .. .. reg_ddrc_addrmap_bank_b1 = 0x7 + // .. .. ==> 0XF800603C[7:4] = 0x00000007U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000070U + // .. .. reg_ddrc_addrmap_bank_b2 = 0x7 + // .. .. ==> 0XF800603C[11:8] = 0x00000007U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000700U + // .. .. reg_ddrc_addrmap_col_b5 = 0x0 + // .. .. ==> 0XF800603C[15:12] = 0x00000000U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b6 = 0x0 + // .. .. ==> 0XF800603C[19:16] = 0x00000000U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800603C, 0x000FFFFFU ,0x00000777U), + // .. .. reg_ddrc_addrmap_col_b2 = 0x0 + // .. .. ==> 0XF8006040[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b3 = 0x0 + // .. .. ==> 0XF8006040[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b4 = 0x0 + // .. .. ==> 0XF8006040[11:8] = 0x00000000U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b7 = 0x0 + // .. .. ==> 0XF8006040[15:12] = 0x00000000U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b8 = 0x0 + // .. .. ==> 0XF8006040[19:16] = 0x00000000U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b9 = 0xf + // .. .. ==> 0XF8006040[23:20] = 0x0000000FU + // .. .. ==> MASK : 0x00F00000U VAL : 0x00F00000U + // .. .. reg_ddrc_addrmap_col_b10 = 0xf + // .. .. ==> 0XF8006040[27:24] = 0x0000000FU + // .. .. ==> MASK : 0x0F000000U VAL : 0x0F000000U + // .. .. reg_ddrc_addrmap_col_b11 = 0xf + // .. .. ==> 0XF8006040[31:28] = 0x0000000FU + // .. .. ==> MASK : 0xF0000000U VAL : 0xF0000000U + // .. .. + EMIT_MASKWRITE(0XF8006040, 0xFFFFFFFFU ,0xFFF00000U), + // .. .. reg_ddrc_addrmap_row_b0 = 0x6 + // .. .. ==> 0XF8006044[3:0] = 0x00000006U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000006U + // .. .. reg_ddrc_addrmap_row_b1 = 0x6 + // .. .. ==> 0XF8006044[7:4] = 0x00000006U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000060U + // .. .. reg_ddrc_addrmap_row_b2_11 = 0x6 + // .. .. ==> 0XF8006044[11:8] = 0x00000006U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000600U + // .. .. reg_ddrc_addrmap_row_b12 = 0x6 + // .. .. ==> 0XF8006044[15:12] = 0x00000006U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00006000U + // .. .. reg_ddrc_addrmap_row_b13 = 0x6 + // .. .. ==> 0XF8006044[19:16] = 0x00000006U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00060000U + // .. .. reg_ddrc_addrmap_row_b14 = 0x6 + // .. .. ==> 0XF8006044[23:20] = 0x00000006U + // .. .. ==> MASK : 0x00F00000U VAL : 0x00600000U + // .. .. reg_ddrc_addrmap_row_b15 = 0xf + // .. .. ==> 0XF8006044[27:24] = 0x0000000FU + // .. .. ==> MASK : 0x0F000000U VAL : 0x0F000000U + // .. .. + EMIT_MASKWRITE(0XF8006044, 0x0FFFFFFFU ,0x0F666666U), + // .. .. reg_ddrc_rank0_rd_odt = 0x0 + // .. .. ==> 0XF8006048[2:0] = 0x00000000U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000000U + // .. .. reg_ddrc_rank0_wr_odt = 0x1 + // .. .. ==> 0XF8006048[5:3] = 0x00000001U + // .. .. ==> MASK : 0x00000038U VAL : 0x00000008U + // .. .. reg_ddrc_rank1_rd_odt = 0x1 + // .. .. ==> 0XF8006048[8:6] = 0x00000001U + // .. .. ==> MASK : 0x000001C0U VAL : 0x00000040U + // .. .. reg_ddrc_rank1_wr_odt = 0x1 + // .. .. ==> 0XF8006048[11:9] = 0x00000001U + // .. .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. .. reg_phy_rd_local_odt = 0x0 + // .. .. ==> 0XF8006048[13:12] = 0x00000000U + // .. .. ==> MASK : 0x00003000U VAL : 0x00000000U + // .. .. reg_phy_wr_local_odt = 0x3 + // .. .. ==> 0XF8006048[15:14] = 0x00000003U + // .. .. ==> MASK : 0x0000C000U VAL : 0x0000C000U + // .. .. reg_phy_idle_local_odt = 0x3 + // .. .. ==> 0XF8006048[17:16] = 0x00000003U + // .. .. ==> MASK : 0x00030000U VAL : 0x00030000U + // .. .. reg_ddrc_rank2_rd_odt = 0x0 + // .. .. ==> 0XF8006048[20:18] = 0x00000000U + // .. .. ==> MASK : 0x001C0000U VAL : 0x00000000U + // .. .. reg_ddrc_rank2_wr_odt = 0x0 + // .. .. ==> 0XF8006048[23:21] = 0x00000000U + // .. .. ==> MASK : 0x00E00000U VAL : 0x00000000U + // .. .. reg_ddrc_rank3_rd_odt = 0x0 + // .. .. ==> 0XF8006048[26:24] = 0x00000000U + // .. .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. .. reg_ddrc_rank3_wr_odt = 0x0 + // .. .. ==> 0XF8006048[29:27] = 0x00000000U + // .. .. ==> MASK : 0x38000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006048, 0x3FFFFFFFU ,0x0003C248U), + // .. .. reg_phy_rd_cmd_to_data = 0x0 + // .. .. ==> 0XF8006050[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_phy_wr_cmd_to_data = 0x0 + // .. .. ==> 0XF8006050[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_phy_rdc_we_to_re_delay = 0x8 + // .. .. ==> 0XF8006050[11:8] = 0x00000008U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000800U + // .. .. reg_phy_rdc_fifo_rst_disable = 0x0 + // .. .. ==> 0XF8006050[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_phy_use_fixed_re = 0x1 + // .. .. ==> 0XF8006050[16:16] = 0x00000001U + // .. .. ==> MASK : 0x00010000U VAL : 0x00010000U + // .. .. reg_phy_rdc_fifo_rst_err_cnt_clr = 0x0 + // .. .. ==> 0XF8006050[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_phy_dis_phy_ctrl_rstn = 0x0 + // .. .. ==> 0XF8006050[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_phy_clk_stall_level = 0x0 + // .. .. ==> 0XF8006050[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_num_of_dq0 = 0x7 + // .. .. ==> 0XF8006050[27:24] = 0x00000007U + // .. .. ==> MASK : 0x0F000000U VAL : 0x07000000U + // .. .. reg_phy_wrlvl_num_of_dq0 = 0x7 + // .. .. ==> 0XF8006050[31:28] = 0x00000007U + // .. .. ==> MASK : 0xF0000000U VAL : 0x70000000U + // .. .. + EMIT_MASKWRITE(0XF8006050, 0xFF0F8FFFU ,0x77010800U), + // .. .. reg_ddrc_dll_calib_to_min_x1024 = 0x1 + // .. .. ==> 0XF8006058[7:0] = 0x00000001U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000001U + // .. .. reg_ddrc_dll_calib_to_max_x1024 = 0x1 + // .. .. ==> 0XF8006058[15:8] = 0x00000001U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00000100U + // .. .. reg_ddrc_dis_dll_calib = 0x0 + // .. .. ==> 0XF8006058[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006058, 0x0001FFFFU ,0x00000101U), + // .. .. reg_ddrc_rd_odt_delay = 0x3 + // .. .. ==> 0XF800605C[3:0] = 0x00000003U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000003U + // .. .. reg_ddrc_wr_odt_delay = 0x0 + // .. .. ==> 0XF800605C[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_ddrc_rd_odt_hold = 0x0 + // .. .. ==> 0XF800605C[11:8] = 0x00000000U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000000U + // .. .. reg_ddrc_wr_odt_hold = 0x5 + // .. .. ==> 0XF800605C[15:12] = 0x00000005U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00005000U + // .. .. + EMIT_MASKWRITE(0XF800605C, 0x0000FFFFU ,0x00005003U), + // .. .. reg_ddrc_pageclose = 0x0 + // .. .. ==> 0XF8006060[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_lpr_num_entries = 0x1f + // .. .. ==> 0XF8006060[6:1] = 0x0000001FU + // .. .. ==> MASK : 0x0000007EU VAL : 0x0000003EU + // .. .. reg_ddrc_auto_pre_en = 0x0 + // .. .. ==> 0XF8006060[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. reg_ddrc_refresh_update_level = 0x0 + // .. .. ==> 0XF8006060[8:8] = 0x00000000U + // .. .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. .. reg_ddrc_dis_wc = 0x0 + // .. .. ==> 0XF8006060[9:9] = 0x00000000U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. .. reg_ddrc_dis_collision_page_opt = 0x0 + // .. .. ==> 0XF8006060[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_ddrc_selfref_en = 0x0 + // .. .. ==> 0XF8006060[12:12] = 0x00000000U + // .. .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006060, 0x000017FFU ,0x0000003EU), + // .. .. reg_ddrc_go2critical_hysteresis = 0x0 + // .. .. ==> 0XF8006064[12:5] = 0x00000000U + // .. .. ==> MASK : 0x00001FE0U VAL : 0x00000000U + // .. .. reg_arb_go2critical_en = 0x1 + // .. .. ==> 0XF8006064[17:17] = 0x00000001U + // .. .. ==> MASK : 0x00020000U VAL : 0x00020000U + // .. .. + EMIT_MASKWRITE(0XF8006064, 0x00021FE0U ,0x00020000U), + // .. .. reg_ddrc_wrlvl_ww = 0x41 + // .. .. ==> 0XF8006068[7:0] = 0x00000041U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000041U + // .. .. reg_ddrc_rdlvl_rr = 0x41 + // .. .. ==> 0XF8006068[15:8] = 0x00000041U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00004100U + // .. .. reg_ddrc_dfi_t_wlmrd = 0x28 + // .. .. ==> 0XF8006068[25:16] = 0x00000028U + // .. .. ==> MASK : 0x03FF0000U VAL : 0x00280000U + // .. .. + EMIT_MASKWRITE(0XF8006068, 0x03FFFFFFU ,0x00284141U), + // .. .. dfi_t_ctrlupd_interval_min_x1024 = 0x10 + // .. .. ==> 0XF800606C[7:0] = 0x00000010U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000010U + // .. .. dfi_t_ctrlupd_interval_max_x1024 = 0x16 + // .. .. ==> 0XF800606C[15:8] = 0x00000016U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00001600U + // .. .. + EMIT_MASKWRITE(0XF800606C, 0x0000FFFFU ,0x00001610U), + // .. .. reg_ddrc_dfi_t_ctrl_delay = 0x1 + // .. .. ==> 0XF8006078[3:0] = 0x00000001U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000001U + // .. .. reg_ddrc_dfi_t_dram_clk_disable = 0x1 + // .. .. ==> 0XF8006078[7:4] = 0x00000001U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000010U + // .. .. reg_ddrc_dfi_t_dram_clk_enable = 0x1 + // .. .. ==> 0XF8006078[11:8] = 0x00000001U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000100U + // .. .. reg_ddrc_t_cksre = 0x6 + // .. .. ==> 0XF8006078[15:12] = 0x00000006U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00006000U + // .. .. reg_ddrc_t_cksrx = 0x6 + // .. .. ==> 0XF8006078[19:16] = 0x00000006U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00060000U + // .. .. reg_ddrc_t_ckesr = 0x4 + // .. .. ==> 0XF8006078[25:20] = 0x00000004U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00400000U + // .. .. + EMIT_MASKWRITE(0XF8006078, 0x03FFFFFFU ,0x00466111U), + // .. .. reg_ddrc_t_ckpde = 0x2 + // .. .. ==> 0XF800607C[3:0] = 0x00000002U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000002U + // .. .. reg_ddrc_t_ckpdx = 0x2 + // .. .. ==> 0XF800607C[7:4] = 0x00000002U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000020U + // .. .. reg_ddrc_t_ckdpde = 0x2 + // .. .. ==> 0XF800607C[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. reg_ddrc_t_ckdpdx = 0x2 + // .. .. ==> 0XF800607C[15:12] = 0x00000002U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00002000U + // .. .. reg_ddrc_t_ckcsx = 0x3 + // .. .. ==> 0XF800607C[19:16] = 0x00000003U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00030000U + // .. .. + EMIT_MASKWRITE(0XF800607C, 0x000FFFFFU ,0x00032222U), + // .. .. refresh_timer0_start_value_x32 = 0x0 + // .. .. ==> 0XF80060A0[11:0] = 0x00000000U + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000000U + // .. .. refresh_timer1_start_value_x32 = 0x8 + // .. .. ==> 0XF80060A0[23:12] = 0x00000008U + // .. .. ==> MASK : 0x00FFF000U VAL : 0x00008000U + // .. .. + EMIT_MASKWRITE(0XF80060A0, 0x00FFFFFFU ,0x00008000U), + // .. .. reg_ddrc_dis_auto_zq = 0x0 + // .. .. ==> 0XF80060A4[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_ddr3 = 0x1 + // .. .. ==> 0XF80060A4[1:1] = 0x00000001U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. reg_ddrc_t_mod = 0x200 + // .. .. ==> 0XF80060A4[11:2] = 0x00000200U + // .. .. ==> MASK : 0x00000FFCU VAL : 0x00000800U + // .. .. reg_ddrc_t_zq_long_nop = 0x200 + // .. .. ==> 0XF80060A4[21:12] = 0x00000200U + // .. .. ==> MASK : 0x003FF000U VAL : 0x00200000U + // .. .. reg_ddrc_t_zq_short_nop = 0x40 + // .. .. ==> 0XF80060A4[31:22] = 0x00000040U + // .. .. ==> MASK : 0xFFC00000U VAL : 0x10000000U + // .. .. + EMIT_MASKWRITE(0XF80060A4, 0xFFFFFFFFU ,0x10200802U), + // .. .. t_zq_short_interval_x1024 = 0xcb73 + // .. .. ==> 0XF80060A8[19:0] = 0x0000CB73U + // .. .. ==> MASK : 0x000FFFFFU VAL : 0x0000CB73U + // .. .. dram_rstn_x1024 = 0x69 + // .. .. ==> 0XF80060A8[27:20] = 0x00000069U + // .. .. ==> MASK : 0x0FF00000U VAL : 0x06900000U + // .. .. + EMIT_MASKWRITE(0XF80060A8, 0x0FFFFFFFU ,0x0690CB73U), + // .. .. deeppowerdown_en = 0x0 + // .. .. ==> 0XF80060AC[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. deeppowerdown_to_x1024 = 0xff + // .. .. ==> 0XF80060AC[8:1] = 0x000000FFU + // .. .. ==> MASK : 0x000001FEU VAL : 0x000001FEU + // .. .. + EMIT_MASKWRITE(0XF80060AC, 0x000001FFU ,0x000001FEU), + // .. .. dfi_wrlvl_max_x1024 = 0xfff + // .. .. ==> 0XF80060B0[11:0] = 0x00000FFFU + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000FFFU + // .. .. dfi_rdlvl_max_x1024 = 0xfff + // .. .. ==> 0XF80060B0[23:12] = 0x00000FFFU + // .. .. ==> MASK : 0x00FFF000U VAL : 0x00FFF000U + // .. .. ddrc_reg_twrlvl_max_error = 0x0 + // .. .. ==> 0XF80060B0[24:24] = 0x00000000U + // .. .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. .. ddrc_reg_trdlvl_max_error = 0x0 + // .. .. ==> 0XF80060B0[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. reg_ddrc_dfi_wr_level_en = 0x1 + // .. .. ==> 0XF80060B0[26:26] = 0x00000001U + // .. .. ==> MASK : 0x04000000U VAL : 0x04000000U + // .. .. reg_ddrc_dfi_rd_dqs_gate_level = 0x1 + // .. .. ==> 0XF80060B0[27:27] = 0x00000001U + // .. .. ==> MASK : 0x08000000U VAL : 0x08000000U + // .. .. reg_ddrc_dfi_rd_data_eye_train = 0x1 + // .. .. ==> 0XF80060B0[28:28] = 0x00000001U + // .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. + EMIT_MASKWRITE(0XF80060B0, 0x1FFFFFFFU ,0x1CFFFFFFU), + // .. .. reg_ddrc_2t_delay = 0x0 + // .. .. ==> 0XF80060B4[8:0] = 0x00000000U + // .. .. ==> MASK : 0x000001FFU VAL : 0x00000000U + // .. .. reg_ddrc_skip_ocd = 0x1 + // .. .. ==> 0XF80060B4[9:9] = 0x00000001U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000200U + // .. .. reg_ddrc_dis_pre_bypass = 0x0 + // .. .. ==> 0XF80060B4[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060B4, 0x000007FFU ,0x00000200U), + // .. .. reg_ddrc_dfi_t_rddata_en = 0x6 + // .. .. ==> 0XF80060B8[4:0] = 0x00000006U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000006U + // .. .. reg_ddrc_dfi_t_ctrlup_min = 0x3 + // .. .. ==> 0XF80060B8[14:5] = 0x00000003U + // .. .. ==> MASK : 0x00007FE0U VAL : 0x00000060U + // .. .. reg_ddrc_dfi_t_ctrlup_max = 0x40 + // .. .. ==> 0XF80060B8[24:15] = 0x00000040U + // .. .. ==> MASK : 0x01FF8000U VAL : 0x00200000U + // .. .. + EMIT_MASKWRITE(0XF80060B8, 0x01FFFFFFU ,0x00200066U), + // .. .. Clear_Uncorrectable_DRAM_ECC_error = 0x0 + // .. .. ==> 0XF80060C4[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. Clear_Correctable_DRAM_ECC_error = 0x0 + // .. .. ==> 0XF80060C4[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060C4, 0x00000003U ,0x00000000U), + // .. .. CORR_ECC_LOG_VALID = 0x0 + // .. .. ==> 0XF80060C8[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. ECC_CORRECTED_BIT_NUM = 0x0 + // .. .. ==> 0XF80060C8[7:1] = 0x00000000U + // .. .. ==> MASK : 0x000000FEU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060C8, 0x000000FFU ,0x00000000U), + // .. .. UNCORR_ECC_LOG_VALID = 0x0 + // .. .. ==> 0XF80060DC[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060DC, 0x00000001U ,0x00000000U), + // .. .. STAT_NUM_CORR_ERR = 0x0 + // .. .. ==> 0XF80060F0[15:8] = 0x00000000U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00000000U + // .. .. STAT_NUM_UNCORR_ERR = 0x0 + // .. .. ==> 0XF80060F0[7:0] = 0x00000000U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060F0, 0x0000FFFFU ,0x00000000U), + // .. .. reg_ddrc_ecc_mode = 0x0 + // .. .. ==> 0XF80060F4[2:0] = 0x00000000U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000000U + // .. .. reg_ddrc_dis_scrub = 0x1 + // .. .. ==> 0XF80060F4[3:3] = 0x00000001U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000008U + // .. .. + EMIT_MASKWRITE(0XF80060F4, 0x0000000FU ,0x00000008U), + // .. .. reg_phy_dif_on = 0x0 + // .. .. ==> 0XF8006114[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_phy_dif_off = 0x0 + // .. .. ==> 0XF8006114[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006114, 0x000000FFU ,0x00000000U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006118[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF8006118[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF8006118[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006118[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006118[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006118[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006118, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF800611C[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF800611C[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF800611C[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF800611C[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF800611C[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF800611C[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF800611C, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006120[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF8006120[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF8006120[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006120[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006120[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006120[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006120[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF8006120[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF8006120[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006120[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006120[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006120[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006120, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006124[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF8006124[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF8006124[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006124[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006124[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006124[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006124, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_wrlvl_init_ratio = 0x7 + // .. .. ==> 0XF800612C[9:0] = 0x00000007U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000007U + // .. .. reg_phy_gatelvl_init_ratio = 0x7a + // .. .. ==> 0XF800612C[19:10] = 0x0000007AU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001E800U + // .. .. + EMIT_MASKWRITE(0XF800612C, 0x000FFFFFU ,0x0001E807U), + // .. .. reg_phy_wrlvl_init_ratio = 0x5 + // .. .. ==> 0XF8006130[9:0] = 0x00000005U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000005U + // .. .. reg_phy_gatelvl_init_ratio = 0x7b + // .. .. ==> 0XF8006130[19:10] = 0x0000007BU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001EC00U + // .. .. + EMIT_MASKWRITE(0XF8006130, 0x000FFFFFU ,0x0001EC05U), + // .. .. reg_phy_wrlvl_init_ratio = 0x5 + // .. .. ==> 0XF8006134[9:0] = 0x00000005U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000005U + // .. .. reg_phy_gatelvl_init_ratio = 0x7b + // .. .. ==> 0XF8006134[19:10] = 0x0000007BU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001EC00U + // .. .. + EMIT_MASKWRITE(0XF8006134, 0x000FFFFFU ,0x0001EC05U), + // .. .. reg_phy_wrlvl_init_ratio = 0x1 + // .. .. ==> 0XF8006138[9:0] = 0x00000001U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000001U + // .. .. reg_phy_gatelvl_init_ratio = 0x78 + // .. .. ==> 0XF8006138[19:10] = 0x00000078U + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001E000U + // .. .. + EMIT_MASKWRITE(0XF8006138, 0x000FFFFFU ,0x0001E001U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006140[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006140[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006140[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006140, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006144[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006144[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006144[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006144, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006148[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006148[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006148[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006148, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF800614C[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF800614C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF800614C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800614C, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x87 + // .. .. ==> 0XF8006154[9:0] = 0x00000087U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000087U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006154[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006154[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006154, 0x000FFFFFU ,0x00000087U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x85 + // .. .. ==> 0XF8006158[9:0] = 0x00000085U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000085U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006158[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006158[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006158, 0x000FFFFFU ,0x00000085U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x85 + // .. .. ==> 0XF800615C[9:0] = 0x00000085U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000085U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF800615C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF800615C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800615C, 0x000FFFFFU ,0x00000085U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x81 + // .. .. ==> 0XF8006160[9:0] = 0x00000081U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000081U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006160[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006160[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006160, 0x000FFFFFU ,0x00000081U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xcf + // .. .. ==> 0XF8006168[10:0] = 0x000000CFU + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000CFU + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006168[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006168[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006168, 0x001FFFFFU ,0x000000CFU), + // .. .. reg_phy_fifo_we_slave_ratio = 0xd0 + // .. .. ==> 0XF800616C[10:0] = 0x000000D0U + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000D0U + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF800616C[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF800616C[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800616C, 0x001FFFFFU ,0x000000D0U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xd0 + // .. .. ==> 0XF8006170[10:0] = 0x000000D0U + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000D0U + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006170[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006170[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006170, 0x001FFFFFU ,0x000000D0U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xcd + // .. .. ==> 0XF8006174[10:0] = 0x000000CDU + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000CDU + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006174[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006174[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006174, 0x001FFFFFU ,0x000000CDU), + // .. .. reg_phy_wr_data_slave_ratio = 0xc7 + // .. .. ==> 0XF800617C[9:0] = 0x000000C7U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C7U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF800617C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF800617C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800617C, 0x000FFFFFU ,0x000000C7U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc5 + // .. .. ==> 0XF8006180[9:0] = 0x000000C5U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C5U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006180[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006180[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006180, 0x000FFFFFU ,0x000000C5U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc5 + // .. .. ==> 0XF8006184[9:0] = 0x000000C5U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C5U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006184[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006184[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006184, 0x000FFFFFU ,0x000000C5U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc1 + // .. .. ==> 0XF8006188[9:0] = 0x000000C1U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C1U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006188[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006188[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006188, 0x000FFFFFU ,0x000000C1U), + // .. .. reg_phy_loopback = 0x0 + // .. .. ==> 0XF8006190[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_phy_bl2 = 0x0 + // .. .. ==> 0XF8006190[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_at_spd_atpg = 0x0 + // .. .. ==> 0XF8006190[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_bist_enable = 0x0 + // .. .. ==> 0XF8006190[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_bist_force_err = 0x0 + // .. .. ==> 0XF8006190[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_bist_mode = 0x0 + // .. .. ==> 0XF8006190[6:5] = 0x00000000U + // .. .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. .. reg_phy_invert_clkout = 0x1 + // .. .. ==> 0XF8006190[7:7] = 0x00000001U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. .. reg_phy_all_dq_mpr_rd_resp = 0x0 + // .. .. ==> 0XF8006190[8:8] = 0x00000000U + // .. .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. .. reg_phy_sel_logic = 0x0 + // .. .. ==> 0XF8006190[9:9] = 0x00000000U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_ratio = 0x100 + // .. .. ==> 0XF8006190[19:10] = 0x00000100U + // .. .. ==> MASK : 0x000FFC00U VAL : 0x00040000U + // .. .. reg_phy_ctrl_slave_force = 0x0 + // .. .. ==> 0XF8006190[20:20] = 0x00000000U + // .. .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_delay = 0x0 + // .. .. ==> 0XF8006190[27:21] = 0x00000000U + // .. .. ==> MASK : 0x0FE00000U VAL : 0x00000000U + // .. .. reg_phy_use_rank0_delays = 0x1 + // .. .. ==> 0XF8006190[28:28] = 0x00000001U + // .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. reg_phy_lpddr = 0x0 + // .. .. ==> 0XF8006190[29:29] = 0x00000000U + // .. .. ==> MASK : 0x20000000U VAL : 0x00000000U + // .. .. reg_phy_cmd_latency = 0x0 + // .. .. ==> 0XF8006190[30:30] = 0x00000000U + // .. .. ==> MASK : 0x40000000U VAL : 0x00000000U + // .. .. reg_phy_int_lpbk = 0x0 + // .. .. ==> 0XF8006190[31:31] = 0x00000000U + // .. .. ==> MASK : 0x80000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006190, 0xFFFFFFFFU ,0x10040080U), + // .. .. reg_phy_wr_rl_delay = 0x2 + // .. .. ==> 0XF8006194[4:0] = 0x00000002U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000002U + // .. .. reg_phy_rd_rl_delay = 0x4 + // .. .. ==> 0XF8006194[9:5] = 0x00000004U + // .. .. ==> MASK : 0x000003E0U VAL : 0x00000080U + // .. .. reg_phy_dll_lock_diff = 0xf + // .. .. ==> 0XF8006194[13:10] = 0x0000000FU + // .. .. ==> MASK : 0x00003C00U VAL : 0x00003C00U + // .. .. reg_phy_use_wr_level = 0x1 + // .. .. ==> 0XF8006194[14:14] = 0x00000001U + // .. .. ==> MASK : 0x00004000U VAL : 0x00004000U + // .. .. reg_phy_use_rd_dqs_gate_level = 0x1 + // .. .. ==> 0XF8006194[15:15] = 0x00000001U + // .. .. ==> MASK : 0x00008000U VAL : 0x00008000U + // .. .. reg_phy_use_rd_data_eye_level = 0x1 + // .. .. ==> 0XF8006194[16:16] = 0x00000001U + // .. .. ==> MASK : 0x00010000U VAL : 0x00010000U + // .. .. reg_phy_dis_calib_rst = 0x0 + // .. .. ==> 0XF8006194[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_delay = 0x0 + // .. .. ==> 0XF8006194[19:18] = 0x00000000U + // .. .. ==> MASK : 0x000C0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006194, 0x000FFFFFU ,0x0001FC82U), + // .. .. reg_arb_page_addr_mask = 0x0 + // .. .. ==> 0XF8006204[31:0] = 0x00000000U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006204, 0xFFFFFFFFU ,0x00000000U), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006208[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006208[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006208[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006208[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF8006208[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF8006208, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF800620C[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF800620C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF800620C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF800620C[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF800620C[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF800620C, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006210[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006210[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006210[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006210[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF8006210[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF8006210, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006214[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006214[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006214[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006214[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF8006214[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF8006214, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006218[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006218[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006218[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006218[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006218[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006218, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF800621C[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF800621C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF800621C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF800621C[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF800621C[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800621C, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006220[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006220[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006220[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006220[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006220[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006220, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006224[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006224[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006224[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006224[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006224[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006224, 0x000F03FFU ,0x000003FFU), + // .. .. reg_ddrc_lpddr2 = 0x0 + // .. .. ==> 0XF80062A8[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_per_bank_refresh = 0x0 + // .. .. ==> 0XF80062A8[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_derate_enable = 0x0 + // .. .. ==> 0XF80062A8[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_ddrc_mr4_margin = 0x0 + // .. .. ==> 0XF80062A8[11:4] = 0x00000000U + // .. .. ==> MASK : 0x00000FF0U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80062A8, 0x00000FF7U ,0x00000000U), + // .. .. reg_ddrc_mr4_read_interval = 0x0 + // .. .. ==> 0XF80062AC[31:0] = 0x00000000U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80062AC, 0xFFFFFFFFU ,0x00000000U), + // .. .. reg_ddrc_min_stable_clock_x1 = 0x5 + // .. .. ==> 0XF80062B0[3:0] = 0x00000005U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000005U + // .. .. reg_ddrc_idle_after_reset_x32 = 0x12 + // .. .. ==> 0XF80062B0[11:4] = 0x00000012U + // .. .. ==> MASK : 0x00000FF0U VAL : 0x00000120U + // .. .. reg_ddrc_t_mrw = 0x5 + // .. .. ==> 0XF80062B0[21:12] = 0x00000005U + // .. .. ==> MASK : 0x003FF000U VAL : 0x00005000U + // .. .. + EMIT_MASKWRITE(0XF80062B0, 0x003FFFFFU ,0x00005125U), + // .. .. reg_ddrc_max_auto_init_x1024 = 0xa8 + // .. .. ==> 0XF80062B4[7:0] = 0x000000A8U + // .. .. ==> MASK : 0x000000FFU VAL : 0x000000A8U + // .. .. reg_ddrc_dev_zqinit_x32 = 0x12 + // .. .. ==> 0XF80062B4[17:8] = 0x00000012U + // .. .. ==> MASK : 0x0003FF00U VAL : 0x00001200U + // .. .. + EMIT_MASKWRITE(0XF80062B4, 0x0003FFFFU ,0x000012A8U), + // .. .. START: POLL ON DCI STATUS + // .. .. DONE = 1 + // .. .. ==> 0XF8000B74[13:13] = 0x00000001U + // .. .. ==> MASK : 0x00002000U VAL : 0x00002000U + // .. .. + EMIT_MASKPOLL(0XF8000B74, 0x00002000U), + // .. .. FINISH: POLL ON DCI STATUS + // .. .. START: UNLOCK DDR + // .. .. reg_ddrc_soft_rstb = 0x1 + // .. .. ==> 0XF8006000[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_ddrc_powerdown_en = 0x0 + // .. .. ==> 0XF8006000[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_data_bus_width = 0x0 + // .. .. ==> 0XF8006000[3:2] = 0x00000000U + // .. .. ==> MASK : 0x0000000CU VAL : 0x00000000U + // .. .. reg_ddrc_burst8_refresh = 0x0 + // .. .. ==> 0XF8006000[6:4] = 0x00000000U + // .. .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. .. reg_ddrc_rdwr_idle_gap = 1 + // .. .. ==> 0XF8006000[13:7] = 0x00000001U + // .. .. ==> MASK : 0x00003F80U VAL : 0x00000080U + // .. .. reg_ddrc_dis_rd_bypass = 0x0 + // .. .. ==> 0XF8006000[14:14] = 0x00000000U + // .. .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_act_bypass = 0x0 + // .. .. ==> 0XF8006000[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_auto_refresh = 0x0 + // .. .. ==> 0XF8006000[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000081U), + // .. .. FINISH: UNLOCK DDR + // .. .. START: CHECK DDR STATUS + // .. .. ddrc_reg_operating_mode = 1 + // .. .. ==> 0XF8006054[2:0] = 0x00000001U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000001U + // .. .. + EMIT_MASKPOLL(0XF8006054, 0x00000007U), + // .. .. FINISH: CHECK DDR STATUS + // .. FINISH: DDR INITIALIZATION + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_mio_init_data_2_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: OCM REMAPPING + // .. FINISH: OCM REMAPPING + // .. START: DDRIOB SETTINGS + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B40[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B40[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B40[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B40[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCR_TYPE = 0x0 + // .. ==> 0XF8000B40[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B40[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B40[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B40[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B40[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B40, 0x00000FFFU ,0x00000600U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B44[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B44[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B44[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B44[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCR_TYPE = 0x0 + // .. ==> 0XF8000B44[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B44[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B44[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B44[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B44[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B44, 0x00000FFFU ,0x00000600U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B48[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x1 + // .. ==> 0XF8000B48[2:1] = 0x00000001U + // .. ==> MASK : 0x00000006U VAL : 0x00000002U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B48[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B48[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B48[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B48[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B48[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B48[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B48[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B48, 0x00000FFFU ,0x00000672U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B4C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x1 + // .. ==> 0XF8000B4C[2:1] = 0x00000001U + // .. ==> MASK : 0x00000006U VAL : 0x00000002U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B4C[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B4C[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B4C[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B4C[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B4C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B4C[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B4C[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B4C, 0x00000FFFU ,0x00000672U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B50[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x2 + // .. ==> 0XF8000B50[2:1] = 0x00000002U + // .. ==> MASK : 0x00000006U VAL : 0x00000004U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B50[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B50[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B50[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B50[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B50[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B50[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B50[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B50, 0x00000FFFU ,0x00000674U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B54[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x2 + // .. ==> 0XF8000B54[2:1] = 0x00000002U + // .. ==> MASK : 0x00000006U VAL : 0x00000004U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B54[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B54[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B54[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B54[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B54[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B54[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B54[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B54, 0x00000FFFU ,0x00000674U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B58[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B58[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B58[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B58[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCR_TYPE = 0x0 + // .. ==> 0XF8000B58[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B58[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B58[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B58[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B58[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B58, 0x00000FFFU ,0x00000600U), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B5C[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B5C[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x3 + // .. ==> 0XF8000B5C[18:14] = 0x00000003U + // .. ==> MASK : 0x0007C000U VAL : 0x0000C000U + // .. SLEW_N = 0x3 + // .. ==> 0XF8000B5C[23:19] = 0x00000003U + // .. ==> MASK : 0x00F80000U VAL : 0x00180000U + // .. GTL = 0x0 + // .. ==> 0XF8000B5C[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B5C[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B5C, 0xFFFFFFFFU ,0x0018C61CU), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B60[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B60[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x6 + // .. ==> 0XF8000B60[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. SLEW_N = 0x1f + // .. ==> 0XF8000B60[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. GTL = 0x0 + // .. ==> 0XF8000B60[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B60[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B60, 0xFFFFFFFFU ,0x00F9861CU), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B64[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B64[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x6 + // .. ==> 0XF8000B64[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. SLEW_N = 0x1f + // .. ==> 0XF8000B64[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. GTL = 0x0 + // .. ==> 0XF8000B64[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B64[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B64, 0xFFFFFFFFU ,0x00F9861CU), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B68[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B68[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x6 + // .. ==> 0XF8000B68[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. SLEW_N = 0x1f + // .. ==> 0XF8000B68[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. GTL = 0x0 + // .. ==> 0XF8000B68[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B68[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B68, 0xFFFFFFFFU ,0x00F9861CU), + // .. VREF_INT_EN = 0x0 + // .. ==> 0XF8000B6C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. VREF_SEL = 0x0 + // .. ==> 0XF8000B6C[4:1] = 0x00000000U + // .. ==> MASK : 0x0000001EU VAL : 0x00000000U + // .. VREF_EXT_EN = 0x3 + // .. ==> 0XF8000B6C[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. VREF_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[8:7] = 0x00000000U + // .. ==> MASK : 0x00000180U VAL : 0x00000000U + // .. REFIO_EN = 0x1 + // .. ==> 0XF8000B6C[9:9] = 0x00000001U + // .. ==> MASK : 0x00000200U VAL : 0x00000200U + // .. REFIO_TEST = 0x0 + // .. ==> 0XF8000B6C[11:10] = 0x00000000U + // .. ==> MASK : 0x00000C00U VAL : 0x00000000U + // .. REFIO_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DRST_B_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. CKE_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[14:14] = 0x00000000U + // .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B6C, 0x00007FFFU ,0x00000260U), + // .. .. START: ASSERT RESET + // .. .. RESET = 1 + // .. .. ==> 0XF8000B70[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000021U), + // .. .. FINISH: ASSERT RESET + // .. .. START: DEASSERT RESET + // .. .. RESET = 0 + // .. .. ==> 0XF8000B70[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000020U), + // .. .. FINISH: DEASSERT RESET + // .. .. RESET = 0x1 + // .. .. ==> 0XF8000B70[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. ENABLE = 0x1 + // .. .. ==> 0XF8000B70[1:1] = 0x00000001U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. VRP_TRI = 0x0 + // .. .. ==> 0XF8000B70[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. VRN_TRI = 0x0 + // .. .. ==> 0XF8000B70[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. VRP_OUT = 0x0 + // .. .. ==> 0XF8000B70[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. NREF_OPT1 = 0x0 + // .. .. ==> 0XF8000B70[7:6] = 0x00000000U + // .. .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. .. NREF_OPT2 = 0x0 + // .. .. ==> 0XF8000B70[10:8] = 0x00000000U + // .. .. ==> MASK : 0x00000700U VAL : 0x00000000U + // .. .. NREF_OPT4 = 0x1 + // .. .. ==> 0XF8000B70[13:11] = 0x00000001U + // .. .. ==> MASK : 0x00003800U VAL : 0x00000800U + // .. .. PREF_OPT1 = 0x0 + // .. .. ==> 0XF8000B70[16:14] = 0x00000000U + // .. .. ==> MASK : 0x0001C000U VAL : 0x00000000U + // .. .. PREF_OPT2 = 0x0 + // .. .. ==> 0XF8000B70[19:17] = 0x00000000U + // .. .. ==> MASK : 0x000E0000U VAL : 0x00000000U + // .. .. UPDATE_CONTROL = 0x0 + // .. .. ==> 0XF8000B70[20:20] = 0x00000000U + // .. .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. .. INIT_COMPLETE = 0x0 + // .. .. ==> 0XF8000B70[21:21] = 0x00000000U + // .. .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. .. TST_CLK = 0x0 + // .. .. ==> 0XF8000B70[22:22] = 0x00000000U + // .. .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. .. TST_HLN = 0x0 + // .. .. ==> 0XF8000B70[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. TST_HLP = 0x0 + // .. .. ==> 0XF8000B70[24:24] = 0x00000000U + // .. .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. .. TST_RST = 0x0 + // .. .. ==> 0XF8000B70[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. INT_DCI_EN = 0x0 + // .. .. ==> 0XF8000B70[26:26] = 0x00000000U + // .. .. ==> MASK : 0x04000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x07FFFFFFU ,0x00000823U), + // .. FINISH: DDRIOB SETTINGS + // .. START: MIO PROGRAMMING + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000700[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000700[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000700[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000700[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000700[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000700[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000700[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000700[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000700[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000700, 0x00003FFFU ,0x00001600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000704[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000704[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000704[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000704[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000704[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000704[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000704[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000704[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000704[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000704, 0x00003FFFU ,0x00001600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000708[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000708[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000708[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000708[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000708[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000708[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000708[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000708[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000708[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000708, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800070C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800070C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800070C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800070C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800070C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800070C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800070C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF800070C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800070C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800070C, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000710[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000710[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000710[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000710[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000710[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000710[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000710[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000710[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000710[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000710, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000714[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000714[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000714[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000714[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000714[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000714[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000714[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000714[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000714[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000714, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000718[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000718[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000718[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000718[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000718[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000718[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000718[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000718[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000718[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000718, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800071C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800071C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800071C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800071C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800071C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800071C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800071C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF800071C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800071C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800071C, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000720[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000720[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000720[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000720[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF8000720[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF8000720[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000720[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000720[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000720[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000720, 0x00003FFFU ,0x000006E0U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000724[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000724[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000724[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000724[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF8000724[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF8000724[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000724[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000724[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000724[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000724, 0x00003FFFU ,0x000016E1U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000728[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000728[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000728[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000728[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000728[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000728[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000728[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000728[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000728[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000728, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800072C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800072C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800072C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800072C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF800072C[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF800072C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800072C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF800072C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800072C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800072C, 0x00003FFFU ,0x00001660U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000730[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000730[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000730[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000730[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000730[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000730[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000730[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000730[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000730[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000730, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000734[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000734[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000734[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000734[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000734[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000734[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000734[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000734[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000734[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000734, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000738[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000738[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000738[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000738[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000738[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF8000738[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000738[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000738[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000738[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000738, 0x00003FFFU ,0x00001640U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800073C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800073C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800073C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800073C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF800073C[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF800073C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800073C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF800073C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800073C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800073C, 0x00003FFFU ,0x00001640U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000740[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000740[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000740[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000740[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000740[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000740[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000740[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000740[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000740[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000744[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000744[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000744[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000744[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000744[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000744[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000744[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000744[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000744[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000748[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000748[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000748[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000748[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000748[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000748[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000748[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000748[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000748[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800074C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF800074C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800074C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800074C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800074C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800074C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800074C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800074C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800074C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000750[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000750[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000750[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000750[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000750[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000750[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000750[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000750[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000750[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000754[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000754[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000754[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000754[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000754[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000754[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000754[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000754[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000754[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000758[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000758[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000758[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000758[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000758[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000758[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000758[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000758[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000758[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800075C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF800075C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800075C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800075C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800075C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800075C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800075C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800075C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800075C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000760[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000760[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000760[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000760[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000760[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000760[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000760[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000760[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000760[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000764[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000764[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000764[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000764[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000764[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000764[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000764[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000764[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000764[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000768[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000768[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000768[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000768[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000768[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000768[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000768[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000768[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000768[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800076C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF800076C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800076C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800076C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800076C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800076C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800076C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800076C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800076C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000770[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000770[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000770[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000770[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000770[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000770[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000770[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000770[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000770[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000774[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000774[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000774[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000774[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000774[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000774[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000774[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000774[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000774[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000778[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000778[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000778[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000778[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000778[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000778[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000778[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000778[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000778[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800077C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF800077C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800077C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800077C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800077C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800077C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800077C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800077C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800077C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000780[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000780[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000780[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000780[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000780[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000780[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000780[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000780[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000780[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000784[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000784[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000784[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000784[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000784[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000784[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000784[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000784[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000784[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000788[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000788[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000788[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000788[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000788[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000788[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000788[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000788[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000788[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800078C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800078C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800078C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800078C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800078C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800078C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800078C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800078C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800078C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000790[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000790[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000790[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000790[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000790[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000790[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000790[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000790[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000790[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000794[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000794[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000794[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000794[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000794[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000794[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000794[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000794[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000794[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000798[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000798[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000798[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000798[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000798[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000798[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000798[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000798[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000798[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800079C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800079C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800079C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800079C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800079C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800079C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800079C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800079C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800079C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A8[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A8[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007AC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007AC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007AC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007AC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007AC[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007AC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007AC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007AC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007AC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007B0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007B0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007B0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007B0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007B4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007B4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007B4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007B4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF80007B8[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF80007B8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF80007B8[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF80007B8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B8, 0x00003FFFU ,0x000012E1U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007BC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007BC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007BC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007BC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF80007BC[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF80007BC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007BC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007BC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007BC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007BC, 0x00003FFFU ,0x000012E0U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF80007C0[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF80007C0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x00001240U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF80007C4[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF80007C4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x00001240U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C8[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF80007C8[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF80007C8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007CC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007CC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007CC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007CC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF80007CC[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF80007CC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007CC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007CC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007CC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007D0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007D0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007D0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007D0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007D0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007D0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007D0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007D0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007D0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007D4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007D4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007D4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007D4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007D4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007D4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007D4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007D4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007D4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), + // .. SDIO0_WP_SEL = 55 + // .. ==> 0XF8000830[5:0] = 0x00000037U + // .. ==> MASK : 0x0000003FU VAL : 0x00000037U + // .. SDIO0_CD_SEL = 56 + // .. ==> 0XF8000830[21:16] = 0x00000038U + // .. ==> MASK : 0x003F0000U VAL : 0x00380000U + // .. + EMIT_MASKWRITE(0XF8000830, 0x003F003FU ,0x00380037U), + // .. FINISH: MIO PROGRAMMING + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_peripherals_init_data_2_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: DDR TERM/IBUF_DISABLE_MODE SETTINGS + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B48[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B48[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B48, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B4C[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B4C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B4C, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B50[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B50[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B50, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B54[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B54[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B54, 0x00000180U ,0x00000180U), + // .. FINISH: DDR TERM/IBUF_DISABLE_MODE SETTINGS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // .. START: SRAM/NOR SET OPMODE + // .. FINISH: SRAM/NOR SET OPMODE + // .. START: UART REGISTERS + // .. BDIV = 0x6 + // .. ==> 0XE0001034[7:0] = 0x00000006U + // .. ==> MASK : 0x000000FFU VAL : 0x00000006U + // .. + EMIT_MASKWRITE(0XE0001034, 0x000000FFU ,0x00000006U), + // .. CD = 0x7c + // .. ==> 0XE0001018[15:0] = 0x0000007CU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000007CU + // .. + EMIT_MASKWRITE(0XE0001018, 0x0000FFFFU ,0x0000007CU), + // .. STPBRK = 0x0 + // .. ==> 0XE0001000[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. STTBRK = 0x0 + // .. ==> 0XE0001000[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. RSTTO = 0x0 + // .. ==> 0XE0001000[6:6] = 0x00000000U + // .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. TXDIS = 0x0 + // .. ==> 0XE0001000[5:5] = 0x00000000U + // .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. TXEN = 0x1 + // .. ==> 0XE0001000[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. RXDIS = 0x0 + // .. ==> 0XE0001000[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. RXEN = 0x1 + // .. ==> 0XE0001000[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. TXRES = 0x1 + // .. ==> 0XE0001000[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. RXRES = 0x1 + // .. ==> 0XE0001000[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. + EMIT_MASKWRITE(0XE0001000, 0x000001FFU ,0x00000017U), + // .. IRMODE = 0x0 + // .. ==> 0XE0001004[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. UCLKEN = 0x0 + // .. ==> 0XE0001004[10:10] = 0x00000000U + // .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. CHMODE = 0x0 + // .. ==> 0XE0001004[9:8] = 0x00000000U + // .. ==> MASK : 0x00000300U VAL : 0x00000000U + // .. NBSTOP = 0x0 + // .. ==> 0XE0001004[7:6] = 0x00000000U + // .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. PAR = 0x4 + // .. ==> 0XE0001004[5:3] = 0x00000004U + // .. ==> MASK : 0x00000038U VAL : 0x00000020U + // .. CHRL = 0x0 + // .. ==> 0XE0001004[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. CLKS = 0x0 + // .. ==> 0XE0001004[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XE0001004, 0x00000FFFU ,0x00000020U), + // .. BDIV = 0x6 + // .. ==> 0XE0000034[7:0] = 0x00000006U + // .. ==> MASK : 0x000000FFU VAL : 0x00000006U + // .. + EMIT_MASKWRITE(0XE0000034, 0x000000FFU ,0x00000006U), + // .. CD = 0x7c + // .. ==> 0XE0000018[15:0] = 0x0000007CU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000007CU + // .. + EMIT_MASKWRITE(0XE0000018, 0x0000FFFFU ,0x0000007CU), + // .. STPBRK = 0x0 + // .. ==> 0XE0000000[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. STTBRK = 0x0 + // .. ==> 0XE0000000[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. RSTTO = 0x0 + // .. ==> 0XE0000000[6:6] = 0x00000000U + // .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. TXDIS = 0x0 + // .. ==> 0XE0000000[5:5] = 0x00000000U + // .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. TXEN = 0x1 + // .. ==> 0XE0000000[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. RXDIS = 0x0 + // .. ==> 0XE0000000[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. RXEN = 0x1 + // .. ==> 0XE0000000[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. TXRES = 0x1 + // .. ==> 0XE0000000[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. RXRES = 0x1 + // .. ==> 0XE0000000[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. + EMIT_MASKWRITE(0XE0000000, 0x000001FFU ,0x00000017U), + // .. IRMODE = 0x0 + // .. ==> 0XE0000004[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. UCLKEN = 0x0 + // .. ==> 0XE0000004[10:10] = 0x00000000U + // .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. CHMODE = 0x0 + // .. ==> 0XE0000004[9:8] = 0x00000000U + // .. ==> MASK : 0x00000300U VAL : 0x00000000U + // .. NBSTOP = 0x0 + // .. ==> 0XE0000004[7:6] = 0x00000000U + // .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. PAR = 0x4 + // .. ==> 0XE0000004[5:3] = 0x00000004U + // .. ==> MASK : 0x00000038U VAL : 0x00000020U + // .. CHRL = 0x0 + // .. ==> 0XE0000004[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. CLKS = 0x0 + // .. ==> 0XE0000004[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XE0000004, 0x00000FFFU ,0x00000020U), + // .. FINISH: UART REGISTERS + // .. START: QSPI REGISTERS + // .. Holdb_dr = 1 + // .. ==> 0XE000D000[19:19] = 0x00000001U + // .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. + EMIT_MASKWRITE(0XE000D000, 0x00080000U ,0x00080000U), + // .. FINISH: QSPI REGISTERS + // .. START: PL POWER ON RESET REGISTERS + // .. PCFG_POR_CNT_4K = 0 + // .. ==> 0XF8007000[29:29] = 0x00000000U + // .. ==> MASK : 0x20000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8007000, 0x20000000U ,0x00000000U), + // .. FINISH: PL POWER ON RESET REGISTERS + // .. START: SMC TIMING CALCULATION REGISTER UPDATE + // .. .. START: NAND SET CYCLE + // .. .. FINISH: NAND SET CYCLE + // .. .. START: OPMODE + // .. .. FINISH: OPMODE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: SRAM/NOR CS0 SET CYCLE + // .. .. FINISH: SRAM/NOR CS0 SET CYCLE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: NOR CS0 BASE ADDRESS + // .. .. FINISH: NOR CS0 BASE ADDRESS + // .. .. START: SRAM/NOR CS1 SET CYCLE + // .. .. FINISH: SRAM/NOR CS1 SET CYCLE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: NOR CS1 BASE ADDRESS + // .. .. FINISH: NOR CS1 BASE ADDRESS + // .. .. START: USB RESET + // .. .. .. START: USB0 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. DIRECTION_0 = 0x18 + // .. .. .. .. ==> 0XE000A204[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x10 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000010U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000010U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. OP_ENABLE_0 = 0x18 + // .. .. .. .. ==> 0XE000A208[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x0 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000000U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000000U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0000U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x10 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000010U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000010U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: USB0 RESET + // .. .. .. START: USB1 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: USB1 RESET + // .. .. FINISH: USB RESET + // .. .. START: ENET RESET + // .. .. .. START: ENET0 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. DIRECTION_0 = 0x18 + // .. .. .. .. ==> 0XE000A204[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x8 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000008U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. OP_ENABLE_0 = 0x18 + // .. .. .. .. ==> 0XE000A208[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x0 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000000U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000000U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70000U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x8 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000008U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: ENET0 RESET + // .. .. .. START: ENET1 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: ENET1 RESET + // .. .. FINISH: ENET RESET + // .. .. START: I2C RESET + // .. .. .. START: I2C0 RESET + // .. .. .. .. START: DIR MODE GPIO BANK0 + // .. .. .. .. FINISH: DIR MODE GPIO BANK0 + // .. .. .. .. START: DIR MODE GPIO BANK1 + // .. .. .. .. FINISH: DIR MODE GPIO BANK1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: I2C0 RESET + // .. .. .. START: I2C1 RESET + // .. .. .. .. START: DIR MODE GPIO BANK0 + // .. .. .. .. FINISH: DIR MODE GPIO BANK0 + // .. .. .. .. START: DIR MODE GPIO BANK1 + // .. .. .. .. FINISH: DIR MODE GPIO BANK1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: I2C1 RESET + // .. .. FINISH: I2C RESET + // .. .. START: NOR CHIP SELECT + // .. .. .. START: DIR MODE BANK 0 + // .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. FINISH: NOR CHIP SELECT + // .. FINISH: SMC TIMING CALCULATION REGISTER UPDATE + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_post_config_2_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: ENABLING LEVEL SHIFTER + // .. USER_INP_ICT_EN_0 = 3 + // .. ==> 0XF8000900[1:0] = 0x00000003U + // .. ==> MASK : 0x00000003U VAL : 0x00000003U + // .. USER_INP_ICT_EN_1 = 3 + // .. ==> 0XF8000900[3:2] = 0x00000003U + // .. ==> MASK : 0x0000000CU VAL : 0x0000000CU + // .. + EMIT_MASKWRITE(0XF8000900, 0x0000000FU ,0x0000000FU), + // .. FINISH: ENABLING LEVEL SHIFTER + // .. START: FPGA RESETS TO 0 + // .. reserved_3 = 0 + // .. ==> 0XF8000240[31:25] = 0x00000000U + // .. ==> MASK : 0xFE000000U VAL : 0x00000000U + // .. FPGA_ACP_RST = 0 + // .. ==> 0XF8000240[24:24] = 0x00000000U + // .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. FPGA_AXDS3_RST = 0 + // .. ==> 0XF8000240[23:23] = 0x00000000U + // .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. FPGA_AXDS2_RST = 0 + // .. ==> 0XF8000240[22:22] = 0x00000000U + // .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. FPGA_AXDS1_RST = 0 + // .. ==> 0XF8000240[21:21] = 0x00000000U + // .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. FPGA_AXDS0_RST = 0 + // .. ==> 0XF8000240[20:20] = 0x00000000U + // .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. reserved_2 = 0 + // .. ==> 0XF8000240[19:18] = 0x00000000U + // .. ==> MASK : 0x000C0000U VAL : 0x00000000U + // .. FSSW1_FPGA_RST = 0 + // .. ==> 0XF8000240[17:17] = 0x00000000U + // .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. FSSW0_FPGA_RST = 0 + // .. ==> 0XF8000240[16:16] = 0x00000000U + // .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. reserved_1 = 0 + // .. ==> 0XF8000240[15:14] = 0x00000000U + // .. ==> MASK : 0x0000C000U VAL : 0x00000000U + // .. FPGA_FMSW1_RST = 0 + // .. ==> 0XF8000240[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. FPGA_FMSW0_RST = 0 + // .. ==> 0XF8000240[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. FPGA_DMA3_RST = 0 + // .. ==> 0XF8000240[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. FPGA_DMA2_RST = 0 + // .. ==> 0XF8000240[10:10] = 0x00000000U + // .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. FPGA_DMA1_RST = 0 + // .. ==> 0XF8000240[9:9] = 0x00000000U + // .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. FPGA_DMA0_RST = 0 + // .. ==> 0XF8000240[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. reserved = 0 + // .. ==> 0XF8000240[7:4] = 0x00000000U + // .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. FPGA3_OUT_RST = 0 + // .. ==> 0XF8000240[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. FPGA2_OUT_RST = 0 + // .. ==> 0XF8000240[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. FPGA1_OUT_RST = 0 + // .. ==> 0XF8000240[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. FPGA0_OUT_RST = 0 + // .. ==> 0XF8000240[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000240, 0xFFFFFFFFU ,0x00000000U), + // .. FINISH: FPGA RESETS TO 0 + // .. START: AFI REGISTERS + // .. .. START: AFI0 REGISTERS + // .. .. FINISH: AFI0 REGISTERS + // .. .. START: AFI1 REGISTERS + // .. .. FINISH: AFI1 REGISTERS + // .. .. START: AFI2 REGISTERS + // .. .. FINISH: AFI2 REGISTERS + // .. .. START: AFI3 REGISTERS + // .. .. FINISH: AFI3 REGISTERS + // .. FINISH: AFI REGISTERS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_debug_2_0[] = { + // START: top + // .. START: CROSS TRIGGER CONFIGURATIONS + // .. .. START: UNLOCKING CTI REGISTERS + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8898FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8898FB0, 0xC5ACCE55U), + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8899FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8899FB0, 0xC5ACCE55U), + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8809FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8809FB0, 0xC5ACCE55U), + // .. .. FINISH: UNLOCKING CTI REGISTERS + // .. .. START: ENABLING CTI MODULES AND CHANNELS + // .. .. FINISH: ENABLING CTI MODULES AND CHANNELS + // .. .. START: MAPPING CPU0, CPU1 AND FTM EVENTS TO CTM CHANNELS + // .. .. FINISH: MAPPING CPU0, CPU1 AND FTM EVENTS TO CTM CHANNELS + // .. FINISH: CROSS TRIGGER CONFIGURATIONS + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_pll_init_data_1_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: PLL SLCR REGISTERS + // .. .. START: ARM PLL INIT + // .. .. PLL_RES = 0x4 + // .. .. ==> 0XF8000110[7:4] = 0x00000004U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000040U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000110[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0xfa + // .. .. ==> 0XF8000110[21:12] = 0x000000FAU + // .. .. ==> MASK : 0x003FF000U VAL : 0x000FA000U + // .. .. + EMIT_MASKWRITE(0XF8000110, 0x003FFFF0U ,0x000FA240U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x3c + // .. .. .. ==> 0XF8000100[18:12] = 0x0000003CU + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x0003C000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x0007F000U ,0x0003C000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000100[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000100[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000100[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. ARM_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000001U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000100[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. .. SRCSEL = 0x0 + // .. .. .. ==> 0XF8000120[5:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. .. DIVISOR = 0x2 + // .. .. .. ==> 0XF8000120[13:8] = 0x00000002U + // .. .. .. ==> MASK : 0x00003F00U VAL : 0x00000200U + // .. .. .. CPU_6OR4XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[24:24] = 0x00000001U + // .. .. .. ==> MASK : 0x01000000U VAL : 0x01000000U + // .. .. .. CPU_3OR2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[25:25] = 0x00000001U + // .. .. .. ==> MASK : 0x02000000U VAL : 0x02000000U + // .. .. .. CPU_2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[26:26] = 0x00000001U + // .. .. .. ==> MASK : 0x04000000U VAL : 0x04000000U + // .. .. .. CPU_1XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[27:27] = 0x00000001U + // .. .. .. ==> MASK : 0x08000000U VAL : 0x08000000U + // .. .. .. CPU_PERI_CLKACT = 0x1 + // .. .. .. ==> 0XF8000120[28:28] = 0x00000001U + // .. .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000120, 0x1F003F30U ,0x1F000200U), + // .. .. FINISH: ARM PLL INIT + // .. .. START: DDR PLL INIT + // .. .. PLL_RES = 0x2 + // .. .. ==> 0XF8000114[7:4] = 0x00000002U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000020U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000114[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0x12c + // .. .. ==> 0XF8000114[21:12] = 0x0000012CU + // .. .. ==> MASK : 0x003FF000U VAL : 0x0012C000U + // .. .. + EMIT_MASKWRITE(0XF8000114, 0x003FFFF0U ,0x0012C220U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x20 + // .. .. .. ==> 0XF8000104[18:12] = 0x00000020U + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x00020000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x0007F000U ,0x00020000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000104[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000104[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000104[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. DDR_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[1:1] = 0x00000001U + // .. .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000002U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000104[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. .. DDR_3XCLKACT = 0x1 + // .. .. .. ==> 0XF8000124[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. DDR_2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000124[1:1] = 0x00000001U + // .. .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. .. DDR_3XCLK_DIVISOR = 0x2 + // .. .. .. ==> 0XF8000124[25:20] = 0x00000002U + // .. .. .. ==> MASK : 0x03F00000U VAL : 0x00200000U + // .. .. .. DDR_2XCLK_DIVISOR = 0x3 + // .. .. .. ==> 0XF8000124[31:26] = 0x00000003U + // .. .. .. ==> MASK : 0xFC000000U VAL : 0x0C000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000124, 0xFFF00003U ,0x0C200003U), + // .. .. FINISH: DDR PLL INIT + // .. .. START: IO PLL INIT + // .. .. PLL_RES = 0x4 + // .. .. ==> 0XF8000118[7:4] = 0x00000004U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000040U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000118[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0xfa + // .. .. ==> 0XF8000118[21:12] = 0x000000FAU + // .. .. ==> MASK : 0x003FF000U VAL : 0x000FA000U + // .. .. + EMIT_MASKWRITE(0XF8000118, 0x003FFFF0U ,0x000FA240U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x3c + // .. .. .. ==> 0XF8000108[18:12] = 0x0000003CU + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x0003C000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x0007F000U ,0x0003C000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000108[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000108[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000108[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. IO_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[2:2] = 0x00000001U + // .. .. .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000004U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000108[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. FINISH: IO PLL INIT + // .. FINISH: PLL SLCR REGISTERS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_clock_init_data_1_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: CLOCK CONTROL SLCR REGISTERS + // .. CLKACT = 0x1 + // .. ==> 0XF8000128[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. DIVISOR0 = 0xf + // .. ==> 0XF8000128[13:8] = 0x0000000FU + // .. ==> MASK : 0x00003F00U VAL : 0x00000F00U + // .. DIVISOR1 = 0x7 + // .. ==> 0XF8000128[25:20] = 0x00000007U + // .. ==> MASK : 0x03F00000U VAL : 0x00700000U + // .. + EMIT_MASKWRITE(0XF8000128, 0x03F03F01U ,0x00700F01U), + // .. CLKACT = 0x1 + // .. ==> 0XF8000138[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000138[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000138, 0x00000011U ,0x00000001U), + // .. CLKACT = 0x1 + // .. ==> 0XF8000140[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000140[6:4] = 0x00000000U + // .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. DIVISOR = 0x10 + // .. ==> 0XF8000140[13:8] = 0x00000010U + // .. ==> MASK : 0x00003F00U VAL : 0x00001000U + // .. DIVISOR1 = 0x1 + // .. ==> 0XF8000140[25:20] = 0x00000001U + // .. ==> MASK : 0x03F00000U VAL : 0x00100000U + // .. + EMIT_MASKWRITE(0XF8000140, 0x03F03F71U ,0x00101001U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000150[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x0 + // .. ==> 0XF8000150[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000150[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0x14 + // .. ==> 0XF8000150[13:8] = 0x00000014U + // .. ==> MASK : 0x00003F00U VAL : 0x00001400U + // .. + EMIT_MASKWRITE(0XF8000150, 0x00003F33U ,0x00001401U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000154[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x1 + // .. ==> 0XF8000154[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000154[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0x14 + // .. ==> 0XF8000154[13:8] = 0x00000014U + // .. ==> MASK : 0x00003F00U VAL : 0x00001400U + // .. + EMIT_MASKWRITE(0XF8000154, 0x00003F33U ,0x00001403U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000158[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x1 + // .. ==> 0XF8000158[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000158[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0xc + // .. ==> 0XF8000158[13:8] = 0x0000000CU + // .. ==> MASK : 0x00003F00U VAL : 0x00000C00U + // .. + EMIT_MASKWRITE(0XF8000158, 0x00003F33U ,0x00000C03U), + // .. .. START: TRACE CLOCK + // .. .. FINISH: TRACE CLOCK + // .. .. CLKACT = 0x1 + // .. .. ==> 0XF8000168[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000168[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR = 0xa + // .. .. ==> 0XF8000168[13:8] = 0x0000000AU + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000A00U + // .. .. + EMIT_MASKWRITE(0XF8000168, 0x00003F31U ,0x00000A01U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000170[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x5 + // .. .. ==> 0XF8000170[13:8] = 0x00000005U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000500U + // .. .. DIVISOR1 = 0x4 + // .. .. ==> 0XF8000170[25:20] = 0x00000004U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00400000U + // .. .. + EMIT_MASKWRITE(0XF8000170, 0x03F03F30U ,0x00400500U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000180[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0xa + // .. .. ==> 0XF8000180[13:8] = 0x0000000AU + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000A00U + // .. .. DIVISOR1 = 0x5 + // .. .. ==> 0XF8000180[25:20] = 0x00000005U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00500000U + // .. .. + EMIT_MASKWRITE(0XF8000180, 0x03F03F30U ,0x00500A00U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000190[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x4 + // .. .. ==> 0XF8000190[13:8] = 0x00000004U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000400U + // .. .. DIVISOR1 = 0x3 + // .. .. ==> 0XF8000190[25:20] = 0x00000003U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00300000U + // .. .. + EMIT_MASKWRITE(0XF8000190, 0x03F03F30U ,0x00300400U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF80001A0[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x5 + // .. .. ==> 0XF80001A0[13:8] = 0x00000005U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000500U + // .. .. DIVISOR1 = 0x2 + // .. .. ==> 0XF80001A0[25:20] = 0x00000002U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00200000U + // .. .. + EMIT_MASKWRITE(0XF80001A0, 0x03F03F30U ,0x00200500U), + // .. .. CLK_621_TRUE = 0x1 + // .. .. ==> 0XF80001C4[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. + EMIT_MASKWRITE(0XF80001C4, 0x00000001U ,0x00000001U), + // .. .. DMA_CPU_2XCLKACT = 0x1 + // .. .. ==> 0XF800012C[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. USB0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[2:2] = 0x00000001U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. .. USB1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[3:3] = 0x00000001U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000008U + // .. .. GEM0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[6:6] = 0x00000001U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000040U + // .. .. GEM1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. SDI0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[10:10] = 0x00000001U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000400U + // .. .. SDI1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. SPI0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[14:14] = 0x00000001U + // .. .. ==> MASK : 0x00004000U VAL : 0x00004000U + // .. .. SPI1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[15:15] = 0x00000001U + // .. .. ==> MASK : 0x00008000U VAL : 0x00008000U + // .. .. CAN0_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. CAN1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. I2C0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[18:18] = 0x00000001U + // .. .. ==> MASK : 0x00040000U VAL : 0x00040000U + // .. .. I2C1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. UART0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[20:20] = 0x00000001U + // .. .. ==> MASK : 0x00100000U VAL : 0x00100000U + // .. .. UART1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[21:21] = 0x00000001U + // .. .. ==> MASK : 0x00200000U VAL : 0x00200000U + // .. .. GPIO_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[22:22] = 0x00000001U + // .. .. ==> MASK : 0x00400000U VAL : 0x00400000U + // .. .. LQSPI_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. SMC_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[24:24] = 0x00000001U + // .. .. ==> MASK : 0x01000000U VAL : 0x01000000U + // .. .. + EMIT_MASKWRITE(0XF800012C, 0x01FFCCCDU ,0x017CC44DU), + // .. FINISH: CLOCK CONTROL SLCR REGISTERS + // .. START: THIS SHOULD BE BLANK + // .. FINISH: THIS SHOULD BE BLANK + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_ddr_init_data_1_0[] = { + // START: top + // .. START: DDR INITIALIZATION + // .. .. START: LOCK DDR + // .. .. reg_ddrc_soft_rstb = 0 + // .. .. ==> 0XF8006000[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_powerdown_en = 0x0 + // .. .. ==> 0XF8006000[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_data_bus_width = 0x0 + // .. .. ==> 0XF8006000[3:2] = 0x00000000U + // .. .. ==> MASK : 0x0000000CU VAL : 0x00000000U + // .. .. reg_ddrc_burst8_refresh = 0x0 + // .. .. ==> 0XF8006000[6:4] = 0x00000000U + // .. .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. .. reg_ddrc_rdwr_idle_gap = 0x1 + // .. .. ==> 0XF8006000[13:7] = 0x00000001U + // .. .. ==> MASK : 0x00003F80U VAL : 0x00000080U + // .. .. reg_ddrc_dis_rd_bypass = 0x0 + // .. .. ==> 0XF8006000[14:14] = 0x00000000U + // .. .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_act_bypass = 0x0 + // .. .. ==> 0XF8006000[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_auto_refresh = 0x0 + // .. .. ==> 0XF8006000[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000080U), + // .. .. FINISH: LOCK DDR + // .. .. reg_ddrc_t_rfc_nom_x32 = 0x81 + // .. .. ==> 0XF8006004[11:0] = 0x00000081U + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000081U + // .. .. reg_ddrc_active_ranks = 0x1 + // .. .. ==> 0XF8006004[13:12] = 0x00000001U + // .. .. ==> MASK : 0x00003000U VAL : 0x00001000U + // .. .. reg_ddrc_addrmap_cs_bit0 = 0x0 + // .. .. ==> 0XF8006004[18:14] = 0x00000000U + // .. .. ==> MASK : 0x0007C000U VAL : 0x00000000U + // .. .. reg_ddrc_wr_odt_block = 0x1 + // .. .. ==> 0XF8006004[20:19] = 0x00000001U + // .. .. ==> MASK : 0x00180000U VAL : 0x00080000U + // .. .. reg_ddrc_diff_rank_rd_2cycle_gap = 0x0 + // .. .. ==> 0XF8006004[21:21] = 0x00000000U + // .. .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_cs_bit1 = 0x0 + // .. .. ==> 0XF8006004[26:22] = 0x00000000U + // .. .. ==> MASK : 0x07C00000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_open_bank = 0x0 + // .. .. ==> 0XF8006004[27:27] = 0x00000000U + // .. .. ==> MASK : 0x08000000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_4bank_ram = 0x0 + // .. .. ==> 0XF8006004[28:28] = 0x00000000U + // .. .. ==> MASK : 0x10000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006004, 0x1FFFFFFFU ,0x00081081U), + // .. .. reg_ddrc_hpr_min_non_critical_x32 = 0xf + // .. .. ==> 0XF8006008[10:0] = 0x0000000FU + // .. .. ==> MASK : 0x000007FFU VAL : 0x0000000FU + // .. .. reg_ddrc_hpr_max_starve_x32 = 0xf + // .. .. ==> 0XF8006008[21:11] = 0x0000000FU + // .. .. ==> MASK : 0x003FF800U VAL : 0x00007800U + // .. .. reg_ddrc_hpr_xact_run_length = 0xf + // .. .. ==> 0XF8006008[25:22] = 0x0000000FU + // .. .. ==> MASK : 0x03C00000U VAL : 0x03C00000U + // .. .. + EMIT_MASKWRITE(0XF8006008, 0x03FFFFFFU ,0x03C0780FU), + // .. .. reg_ddrc_lpr_min_non_critical_x32 = 0x1 + // .. .. ==> 0XF800600C[10:0] = 0x00000001U + // .. .. ==> MASK : 0x000007FFU VAL : 0x00000001U + // .. .. reg_ddrc_lpr_max_starve_x32 = 0x2 + // .. .. ==> 0XF800600C[21:11] = 0x00000002U + // .. .. ==> MASK : 0x003FF800U VAL : 0x00001000U + // .. .. reg_ddrc_lpr_xact_run_length = 0x8 + // .. .. ==> 0XF800600C[25:22] = 0x00000008U + // .. .. ==> MASK : 0x03C00000U VAL : 0x02000000U + // .. .. + EMIT_MASKWRITE(0XF800600C, 0x03FFFFFFU ,0x02001001U), + // .. .. reg_ddrc_w_min_non_critical_x32 = 0x1 + // .. .. ==> 0XF8006010[10:0] = 0x00000001U + // .. .. ==> MASK : 0x000007FFU VAL : 0x00000001U + // .. .. reg_ddrc_w_xact_run_length = 0x8 + // .. .. ==> 0XF8006010[14:11] = 0x00000008U + // .. .. ==> MASK : 0x00007800U VAL : 0x00004000U + // .. .. reg_ddrc_w_max_starve_x32 = 0x2 + // .. .. ==> 0XF8006010[25:15] = 0x00000002U + // .. .. ==> MASK : 0x03FF8000U VAL : 0x00010000U + // .. .. + EMIT_MASKWRITE(0XF8006010, 0x03FFFFFFU ,0x00014001U), + // .. .. reg_ddrc_t_rc = 0x1a + // .. .. ==> 0XF8006014[5:0] = 0x0000001AU + // .. .. ==> MASK : 0x0000003FU VAL : 0x0000001AU + // .. .. reg_ddrc_t_rfc_min = 0xa0 + // .. .. ==> 0XF8006014[13:6] = 0x000000A0U + // .. .. ==> MASK : 0x00003FC0U VAL : 0x00002800U + // .. .. reg_ddrc_post_selfref_gap_x32 = 0x10 + // .. .. ==> 0XF8006014[20:14] = 0x00000010U + // .. .. ==> MASK : 0x001FC000U VAL : 0x00040000U + // .. .. + EMIT_MASKWRITE(0XF8006014, 0x001FFFFFU ,0x0004281AU), + // .. .. reg_ddrc_wr2pre = 0x12 + // .. .. ==> 0XF8006018[4:0] = 0x00000012U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000012U + // .. .. reg_ddrc_powerdown_to_x32 = 0x6 + // .. .. ==> 0XF8006018[9:5] = 0x00000006U + // .. .. ==> MASK : 0x000003E0U VAL : 0x000000C0U + // .. .. reg_ddrc_t_faw = 0x16 + // .. .. ==> 0XF8006018[15:10] = 0x00000016U + // .. .. ==> MASK : 0x0000FC00U VAL : 0x00005800U + // .. .. reg_ddrc_t_ras_max = 0x24 + // .. .. ==> 0XF8006018[21:16] = 0x00000024U + // .. .. ==> MASK : 0x003F0000U VAL : 0x00240000U + // .. .. reg_ddrc_t_ras_min = 0x13 + // .. .. ==> 0XF8006018[26:22] = 0x00000013U + // .. .. ==> MASK : 0x07C00000U VAL : 0x04C00000U + // .. .. reg_ddrc_t_cke = 0x4 + // .. .. ==> 0XF8006018[31:28] = 0x00000004U + // .. .. ==> MASK : 0xF0000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006018, 0xF7FFFFFFU ,0x44E458D2U), + // .. .. reg_ddrc_write_latency = 0x5 + // .. .. ==> 0XF800601C[4:0] = 0x00000005U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000005U + // .. .. reg_ddrc_rd2wr = 0x7 + // .. .. ==> 0XF800601C[9:5] = 0x00000007U + // .. .. ==> MASK : 0x000003E0U VAL : 0x000000E0U + // .. .. reg_ddrc_wr2rd = 0xe + // .. .. ==> 0XF800601C[14:10] = 0x0000000EU + // .. .. ==> MASK : 0x00007C00U VAL : 0x00003800U + // .. .. reg_ddrc_t_xp = 0x4 + // .. .. ==> 0XF800601C[19:15] = 0x00000004U + // .. .. ==> MASK : 0x000F8000U VAL : 0x00020000U + // .. .. reg_ddrc_pad_pd = 0x0 + // .. .. ==> 0XF800601C[22:20] = 0x00000000U + // .. .. ==> MASK : 0x00700000U VAL : 0x00000000U + // .. .. reg_ddrc_rd2pre = 0x4 + // .. .. ==> 0XF800601C[27:23] = 0x00000004U + // .. .. ==> MASK : 0x0F800000U VAL : 0x02000000U + // .. .. reg_ddrc_t_rcd = 0x7 + // .. .. ==> 0XF800601C[31:28] = 0x00000007U + // .. .. ==> MASK : 0xF0000000U VAL : 0x70000000U + // .. .. + EMIT_MASKWRITE(0XF800601C, 0xFFFFFFFFU ,0x720238E5U), + // .. .. reg_ddrc_t_ccd = 0x4 + // .. .. ==> 0XF8006020[4:2] = 0x00000004U + // .. .. ==> MASK : 0x0000001CU VAL : 0x00000010U + // .. .. reg_ddrc_t_rrd = 0x6 + // .. .. ==> 0XF8006020[7:5] = 0x00000006U + // .. .. ==> MASK : 0x000000E0U VAL : 0x000000C0U + // .. .. reg_ddrc_refresh_margin = 0x2 + // .. .. ==> 0XF8006020[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. reg_ddrc_t_rp = 0x7 + // .. .. ==> 0XF8006020[15:12] = 0x00000007U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00007000U + // .. .. reg_ddrc_refresh_to_x32 = 0x8 + // .. .. ==> 0XF8006020[20:16] = 0x00000008U + // .. .. ==> MASK : 0x001F0000U VAL : 0x00080000U + // .. .. reg_ddrc_sdram = 0x1 + // .. .. ==> 0XF8006020[21:21] = 0x00000001U + // .. .. ==> MASK : 0x00200000U VAL : 0x00200000U + // .. .. reg_ddrc_mobile = 0x0 + // .. .. ==> 0XF8006020[22:22] = 0x00000000U + // .. .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. .. reg_ddrc_clock_stop_en = 0x0 + // .. .. ==> 0XF8006020[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. reg_ddrc_read_latency = 0x7 + // .. .. ==> 0XF8006020[28:24] = 0x00000007U + // .. .. ==> MASK : 0x1F000000U VAL : 0x07000000U + // .. .. reg_phy_mode_ddr1_ddr2 = 0x1 + // .. .. ==> 0XF8006020[29:29] = 0x00000001U + // .. .. ==> MASK : 0x20000000U VAL : 0x20000000U + // .. .. reg_ddrc_dis_pad_pd = 0x0 + // .. .. ==> 0XF8006020[30:30] = 0x00000000U + // .. .. ==> MASK : 0x40000000U VAL : 0x00000000U + // .. .. reg_ddrc_loopback = 0x0 + // .. .. ==> 0XF8006020[31:31] = 0x00000000U + // .. .. ==> MASK : 0x80000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006020, 0xFFFFFFFCU ,0x272872D0U), + // .. .. reg_ddrc_en_2t_timing_mode = 0x0 + // .. .. ==> 0XF8006024[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_prefer_write = 0x0 + // .. .. ==> 0XF8006024[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_max_rank_rd = 0xf + // .. .. ==> 0XF8006024[5:2] = 0x0000000FU + // .. .. ==> MASK : 0x0000003CU VAL : 0x0000003CU + // .. .. reg_ddrc_mr_wr = 0x0 + // .. .. ==> 0XF8006024[6:6] = 0x00000000U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. .. reg_ddrc_mr_addr = 0x0 + // .. .. ==> 0XF8006024[8:7] = 0x00000000U + // .. .. ==> MASK : 0x00000180U VAL : 0x00000000U + // .. .. reg_ddrc_mr_data = 0x0 + // .. .. ==> 0XF8006024[24:9] = 0x00000000U + // .. .. ==> MASK : 0x01FFFE00U VAL : 0x00000000U + // .. .. ddrc_reg_mr_wr_busy = 0x0 + // .. .. ==> 0XF8006024[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. reg_ddrc_mr_type = 0x0 + // .. .. ==> 0XF8006024[26:26] = 0x00000000U + // .. .. ==> MASK : 0x04000000U VAL : 0x00000000U + // .. .. reg_ddrc_mr_rdata_valid = 0x0 + // .. .. ==> 0XF8006024[27:27] = 0x00000000U + // .. .. ==> MASK : 0x08000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006024, 0x0FFFFFFFU ,0x0000003CU), + // .. .. reg_ddrc_final_wait_x32 = 0x7 + // .. .. ==> 0XF8006028[6:0] = 0x00000007U + // .. .. ==> MASK : 0x0000007FU VAL : 0x00000007U + // .. .. reg_ddrc_pre_ocd_x32 = 0x0 + // .. .. ==> 0XF8006028[10:7] = 0x00000000U + // .. .. ==> MASK : 0x00000780U VAL : 0x00000000U + // .. .. reg_ddrc_t_mrd = 0x4 + // .. .. ==> 0XF8006028[13:11] = 0x00000004U + // .. .. ==> MASK : 0x00003800U VAL : 0x00002000U + // .. .. + EMIT_MASKWRITE(0XF8006028, 0x00003FFFU ,0x00002007U), + // .. .. reg_ddrc_emr2 = 0x8 + // .. .. ==> 0XF800602C[15:0] = 0x00000008U + // .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. reg_ddrc_emr3 = 0x0 + // .. .. ==> 0XF800602C[31:16] = 0x00000000U + // .. .. ==> MASK : 0xFFFF0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800602C, 0xFFFFFFFFU ,0x00000008U), + // .. .. reg_ddrc_mr = 0x930 + // .. .. ==> 0XF8006030[15:0] = 0x00000930U + // .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000930U + // .. .. reg_ddrc_emr = 0x4 + // .. .. ==> 0XF8006030[31:16] = 0x00000004U + // .. .. ==> MASK : 0xFFFF0000U VAL : 0x00040000U + // .. .. + EMIT_MASKWRITE(0XF8006030, 0xFFFFFFFFU ,0x00040930U), + // .. .. reg_ddrc_burst_rdwr = 0x4 + // .. .. ==> 0XF8006034[3:0] = 0x00000004U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000004U + // .. .. reg_ddrc_pre_cke_x1024 = 0x16d + // .. .. ==> 0XF8006034[13:4] = 0x0000016DU + // .. .. ==> MASK : 0x00003FF0U VAL : 0x000016D0U + // .. .. reg_ddrc_post_cke_x1024 = 0x1 + // .. .. ==> 0XF8006034[25:16] = 0x00000001U + // .. .. ==> MASK : 0x03FF0000U VAL : 0x00010000U + // .. .. reg_ddrc_burstchop = 0x0 + // .. .. ==> 0XF8006034[28:28] = 0x00000000U + // .. .. ==> MASK : 0x10000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006034, 0x13FF3FFFU ,0x000116D4U), + // .. .. reg_ddrc_force_low_pri_n = 0x0 + // .. .. ==> 0XF8006038[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_dis_dq = 0x0 + // .. .. ==> 0XF8006038[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_debug_mode = 0x0 + // .. .. ==> 0XF8006038[6:6] = 0x00000000U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. .. reg_phy_wr_level_start = 0x0 + // .. .. ==> 0XF8006038[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. reg_phy_rd_level_start = 0x0 + // .. .. ==> 0XF8006038[8:8] = 0x00000000U + // .. .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. .. reg_phy_dq0_wait_t = 0x0 + // .. .. ==> 0XF8006038[12:9] = 0x00000000U + // .. .. ==> MASK : 0x00001E00U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006038, 0x00001FC3U ,0x00000000U), + // .. .. reg_ddrc_addrmap_bank_b0 = 0x7 + // .. .. ==> 0XF800603C[3:0] = 0x00000007U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000007U + // .. .. reg_ddrc_addrmap_bank_b1 = 0x7 + // .. .. ==> 0XF800603C[7:4] = 0x00000007U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000070U + // .. .. reg_ddrc_addrmap_bank_b2 = 0x7 + // .. .. ==> 0XF800603C[11:8] = 0x00000007U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000700U + // .. .. reg_ddrc_addrmap_col_b5 = 0x0 + // .. .. ==> 0XF800603C[15:12] = 0x00000000U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b6 = 0x0 + // .. .. ==> 0XF800603C[19:16] = 0x00000000U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800603C, 0x000FFFFFU ,0x00000777U), + // .. .. reg_ddrc_addrmap_col_b2 = 0x0 + // .. .. ==> 0XF8006040[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b3 = 0x0 + // .. .. ==> 0XF8006040[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b4 = 0x0 + // .. .. ==> 0XF8006040[11:8] = 0x00000000U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b7 = 0x0 + // .. .. ==> 0XF8006040[15:12] = 0x00000000U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b8 = 0x0 + // .. .. ==> 0XF8006040[19:16] = 0x00000000U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b9 = 0xf + // .. .. ==> 0XF8006040[23:20] = 0x0000000FU + // .. .. ==> MASK : 0x00F00000U VAL : 0x00F00000U + // .. .. reg_ddrc_addrmap_col_b10 = 0xf + // .. .. ==> 0XF8006040[27:24] = 0x0000000FU + // .. .. ==> MASK : 0x0F000000U VAL : 0x0F000000U + // .. .. reg_ddrc_addrmap_col_b11 = 0xf + // .. .. ==> 0XF8006040[31:28] = 0x0000000FU + // .. .. ==> MASK : 0xF0000000U VAL : 0xF0000000U + // .. .. + EMIT_MASKWRITE(0XF8006040, 0xFFFFFFFFU ,0xFFF00000U), + // .. .. reg_ddrc_addrmap_row_b0 = 0x6 + // .. .. ==> 0XF8006044[3:0] = 0x00000006U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000006U + // .. .. reg_ddrc_addrmap_row_b1 = 0x6 + // .. .. ==> 0XF8006044[7:4] = 0x00000006U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000060U + // .. .. reg_ddrc_addrmap_row_b2_11 = 0x6 + // .. .. ==> 0XF8006044[11:8] = 0x00000006U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000600U + // .. .. reg_ddrc_addrmap_row_b12 = 0x6 + // .. .. ==> 0XF8006044[15:12] = 0x00000006U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00006000U + // .. .. reg_ddrc_addrmap_row_b13 = 0x6 + // .. .. ==> 0XF8006044[19:16] = 0x00000006U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00060000U + // .. .. reg_ddrc_addrmap_row_b14 = 0x6 + // .. .. ==> 0XF8006044[23:20] = 0x00000006U + // .. .. ==> MASK : 0x00F00000U VAL : 0x00600000U + // .. .. reg_ddrc_addrmap_row_b15 = 0xf + // .. .. ==> 0XF8006044[27:24] = 0x0000000FU + // .. .. ==> MASK : 0x0F000000U VAL : 0x0F000000U + // .. .. + EMIT_MASKWRITE(0XF8006044, 0x0FFFFFFFU ,0x0F666666U), + // .. .. reg_ddrc_rank0_rd_odt = 0x0 + // .. .. ==> 0XF8006048[2:0] = 0x00000000U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000000U + // .. .. reg_ddrc_rank0_wr_odt = 0x1 + // .. .. ==> 0XF8006048[5:3] = 0x00000001U + // .. .. ==> MASK : 0x00000038U VAL : 0x00000008U + // .. .. reg_ddrc_rank1_rd_odt = 0x1 + // .. .. ==> 0XF8006048[8:6] = 0x00000001U + // .. .. ==> MASK : 0x000001C0U VAL : 0x00000040U + // .. .. reg_ddrc_rank1_wr_odt = 0x1 + // .. .. ==> 0XF8006048[11:9] = 0x00000001U + // .. .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. .. reg_phy_rd_local_odt = 0x0 + // .. .. ==> 0XF8006048[13:12] = 0x00000000U + // .. .. ==> MASK : 0x00003000U VAL : 0x00000000U + // .. .. reg_phy_wr_local_odt = 0x3 + // .. .. ==> 0XF8006048[15:14] = 0x00000003U + // .. .. ==> MASK : 0x0000C000U VAL : 0x0000C000U + // .. .. reg_phy_idle_local_odt = 0x3 + // .. .. ==> 0XF8006048[17:16] = 0x00000003U + // .. .. ==> MASK : 0x00030000U VAL : 0x00030000U + // .. .. reg_ddrc_rank2_rd_odt = 0x0 + // .. .. ==> 0XF8006048[20:18] = 0x00000000U + // .. .. ==> MASK : 0x001C0000U VAL : 0x00000000U + // .. .. reg_ddrc_rank2_wr_odt = 0x0 + // .. .. ==> 0XF8006048[23:21] = 0x00000000U + // .. .. ==> MASK : 0x00E00000U VAL : 0x00000000U + // .. .. reg_ddrc_rank3_rd_odt = 0x0 + // .. .. ==> 0XF8006048[26:24] = 0x00000000U + // .. .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. .. reg_ddrc_rank3_wr_odt = 0x0 + // .. .. ==> 0XF8006048[29:27] = 0x00000000U + // .. .. ==> MASK : 0x38000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006048, 0x3FFFFFFFU ,0x0003C248U), + // .. .. reg_phy_rd_cmd_to_data = 0x0 + // .. .. ==> 0XF8006050[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_phy_wr_cmd_to_data = 0x0 + // .. .. ==> 0XF8006050[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_phy_rdc_we_to_re_delay = 0x8 + // .. .. ==> 0XF8006050[11:8] = 0x00000008U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000800U + // .. .. reg_phy_rdc_fifo_rst_disable = 0x0 + // .. .. ==> 0XF8006050[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_phy_use_fixed_re = 0x1 + // .. .. ==> 0XF8006050[16:16] = 0x00000001U + // .. .. ==> MASK : 0x00010000U VAL : 0x00010000U + // .. .. reg_phy_rdc_fifo_rst_err_cnt_clr = 0x0 + // .. .. ==> 0XF8006050[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_phy_dis_phy_ctrl_rstn = 0x0 + // .. .. ==> 0XF8006050[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_phy_clk_stall_level = 0x0 + // .. .. ==> 0XF8006050[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_num_of_dq0 = 0x7 + // .. .. ==> 0XF8006050[27:24] = 0x00000007U + // .. .. ==> MASK : 0x0F000000U VAL : 0x07000000U + // .. .. reg_phy_wrlvl_num_of_dq0 = 0x7 + // .. .. ==> 0XF8006050[31:28] = 0x00000007U + // .. .. ==> MASK : 0xF0000000U VAL : 0x70000000U + // .. .. + EMIT_MASKWRITE(0XF8006050, 0xFF0F8FFFU ,0x77010800U), + // .. .. reg_ddrc_dll_calib_to_min_x1024 = 0x1 + // .. .. ==> 0XF8006058[7:0] = 0x00000001U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000001U + // .. .. reg_ddrc_dll_calib_to_max_x1024 = 0x1 + // .. .. ==> 0XF8006058[15:8] = 0x00000001U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00000100U + // .. .. reg_ddrc_dis_dll_calib = 0x0 + // .. .. ==> 0XF8006058[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006058, 0x0001FFFFU ,0x00000101U), + // .. .. reg_ddrc_rd_odt_delay = 0x3 + // .. .. ==> 0XF800605C[3:0] = 0x00000003U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000003U + // .. .. reg_ddrc_wr_odt_delay = 0x0 + // .. .. ==> 0XF800605C[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_ddrc_rd_odt_hold = 0x0 + // .. .. ==> 0XF800605C[11:8] = 0x00000000U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000000U + // .. .. reg_ddrc_wr_odt_hold = 0x5 + // .. .. ==> 0XF800605C[15:12] = 0x00000005U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00005000U + // .. .. + EMIT_MASKWRITE(0XF800605C, 0x0000FFFFU ,0x00005003U), + // .. .. reg_ddrc_pageclose = 0x0 + // .. .. ==> 0XF8006060[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_lpr_num_entries = 0x1f + // .. .. ==> 0XF8006060[6:1] = 0x0000001FU + // .. .. ==> MASK : 0x0000007EU VAL : 0x0000003EU + // .. .. reg_ddrc_auto_pre_en = 0x0 + // .. .. ==> 0XF8006060[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. reg_ddrc_refresh_update_level = 0x0 + // .. .. ==> 0XF8006060[8:8] = 0x00000000U + // .. .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. .. reg_ddrc_dis_wc = 0x0 + // .. .. ==> 0XF8006060[9:9] = 0x00000000U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. .. reg_ddrc_dis_collision_page_opt = 0x0 + // .. .. ==> 0XF8006060[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_ddrc_selfref_en = 0x0 + // .. .. ==> 0XF8006060[12:12] = 0x00000000U + // .. .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006060, 0x000017FFU ,0x0000003EU), + // .. .. reg_ddrc_go2critical_hysteresis = 0x0 + // .. .. ==> 0XF8006064[12:5] = 0x00000000U + // .. .. ==> MASK : 0x00001FE0U VAL : 0x00000000U + // .. .. reg_arb_go2critical_en = 0x1 + // .. .. ==> 0XF8006064[17:17] = 0x00000001U + // .. .. ==> MASK : 0x00020000U VAL : 0x00020000U + // .. .. + EMIT_MASKWRITE(0XF8006064, 0x00021FE0U ,0x00020000U), + // .. .. reg_ddrc_wrlvl_ww = 0x41 + // .. .. ==> 0XF8006068[7:0] = 0x00000041U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000041U + // .. .. reg_ddrc_rdlvl_rr = 0x41 + // .. .. ==> 0XF8006068[15:8] = 0x00000041U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00004100U + // .. .. reg_ddrc_dfi_t_wlmrd = 0x28 + // .. .. ==> 0XF8006068[25:16] = 0x00000028U + // .. .. ==> MASK : 0x03FF0000U VAL : 0x00280000U + // .. .. + EMIT_MASKWRITE(0XF8006068, 0x03FFFFFFU ,0x00284141U), + // .. .. dfi_t_ctrlupd_interval_min_x1024 = 0x10 + // .. .. ==> 0XF800606C[7:0] = 0x00000010U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000010U + // .. .. dfi_t_ctrlupd_interval_max_x1024 = 0x16 + // .. .. ==> 0XF800606C[15:8] = 0x00000016U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00001600U + // .. .. + EMIT_MASKWRITE(0XF800606C, 0x0000FFFFU ,0x00001610U), + // .. .. refresh_timer0_start_value_x32 = 0x0 + // .. .. ==> 0XF80060A0[11:0] = 0x00000000U + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000000U + // .. .. refresh_timer1_start_value_x32 = 0x8 + // .. .. ==> 0XF80060A0[23:12] = 0x00000008U + // .. .. ==> MASK : 0x00FFF000U VAL : 0x00008000U + // .. .. + EMIT_MASKWRITE(0XF80060A0, 0x00FFFFFFU ,0x00008000U), + // .. .. reg_ddrc_dis_auto_zq = 0x0 + // .. .. ==> 0XF80060A4[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_ddr3 = 0x1 + // .. .. ==> 0XF80060A4[1:1] = 0x00000001U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. reg_ddrc_t_mod = 0x200 + // .. .. ==> 0XF80060A4[11:2] = 0x00000200U + // .. .. ==> MASK : 0x00000FFCU VAL : 0x00000800U + // .. .. reg_ddrc_t_zq_long_nop = 0x200 + // .. .. ==> 0XF80060A4[21:12] = 0x00000200U + // .. .. ==> MASK : 0x003FF000U VAL : 0x00200000U + // .. .. reg_ddrc_t_zq_short_nop = 0x40 + // .. .. ==> 0XF80060A4[31:22] = 0x00000040U + // .. .. ==> MASK : 0xFFC00000U VAL : 0x10000000U + // .. .. + EMIT_MASKWRITE(0XF80060A4, 0xFFFFFFFFU ,0x10200802U), + // .. .. t_zq_short_interval_x1024 = 0xcb73 + // .. .. ==> 0XF80060A8[19:0] = 0x0000CB73U + // .. .. ==> MASK : 0x000FFFFFU VAL : 0x0000CB73U + // .. .. dram_rstn_x1024 = 0x69 + // .. .. ==> 0XF80060A8[27:20] = 0x00000069U + // .. .. ==> MASK : 0x0FF00000U VAL : 0x06900000U + // .. .. + EMIT_MASKWRITE(0XF80060A8, 0x0FFFFFFFU ,0x0690CB73U), + // .. .. deeppowerdown_en = 0x0 + // .. .. ==> 0XF80060AC[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. deeppowerdown_to_x1024 = 0xff + // .. .. ==> 0XF80060AC[8:1] = 0x000000FFU + // .. .. ==> MASK : 0x000001FEU VAL : 0x000001FEU + // .. .. + EMIT_MASKWRITE(0XF80060AC, 0x000001FFU ,0x000001FEU), + // .. .. dfi_wrlvl_max_x1024 = 0xfff + // .. .. ==> 0XF80060B0[11:0] = 0x00000FFFU + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000FFFU + // .. .. dfi_rdlvl_max_x1024 = 0xfff + // .. .. ==> 0XF80060B0[23:12] = 0x00000FFFU + // .. .. ==> MASK : 0x00FFF000U VAL : 0x00FFF000U + // .. .. ddrc_reg_twrlvl_max_error = 0x0 + // .. .. ==> 0XF80060B0[24:24] = 0x00000000U + // .. .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. .. ddrc_reg_trdlvl_max_error = 0x0 + // .. .. ==> 0XF80060B0[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. reg_ddrc_dfi_wr_level_en = 0x1 + // .. .. ==> 0XF80060B0[26:26] = 0x00000001U + // .. .. ==> MASK : 0x04000000U VAL : 0x04000000U + // .. .. reg_ddrc_dfi_rd_dqs_gate_level = 0x1 + // .. .. ==> 0XF80060B0[27:27] = 0x00000001U + // .. .. ==> MASK : 0x08000000U VAL : 0x08000000U + // .. .. reg_ddrc_dfi_rd_data_eye_train = 0x1 + // .. .. ==> 0XF80060B0[28:28] = 0x00000001U + // .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. + EMIT_MASKWRITE(0XF80060B0, 0x1FFFFFFFU ,0x1CFFFFFFU), + // .. .. reg_ddrc_2t_delay = 0x0 + // .. .. ==> 0XF80060B4[8:0] = 0x00000000U + // .. .. ==> MASK : 0x000001FFU VAL : 0x00000000U + // .. .. reg_ddrc_skip_ocd = 0x1 + // .. .. ==> 0XF80060B4[9:9] = 0x00000001U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000200U + // .. .. reg_ddrc_dis_pre_bypass = 0x0 + // .. .. ==> 0XF80060B4[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060B4, 0x000007FFU ,0x00000200U), + // .. .. reg_ddrc_dfi_t_rddata_en = 0x6 + // .. .. ==> 0XF80060B8[4:0] = 0x00000006U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000006U + // .. .. reg_ddrc_dfi_t_ctrlup_min = 0x3 + // .. .. ==> 0XF80060B8[14:5] = 0x00000003U + // .. .. ==> MASK : 0x00007FE0U VAL : 0x00000060U + // .. .. reg_ddrc_dfi_t_ctrlup_max = 0x40 + // .. .. ==> 0XF80060B8[24:15] = 0x00000040U + // .. .. ==> MASK : 0x01FF8000U VAL : 0x00200000U + // .. .. + EMIT_MASKWRITE(0XF80060B8, 0x01FFFFFFU ,0x00200066U), + // .. .. Clear_Uncorrectable_DRAM_ECC_error = 0x0 + // .. .. ==> 0XF80060C4[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. Clear_Correctable_DRAM_ECC_error = 0x0 + // .. .. ==> 0XF80060C4[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060C4, 0x00000003U ,0x00000000U), + // .. .. CORR_ECC_LOG_VALID = 0x0 + // .. .. ==> 0XF80060C8[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. ECC_CORRECTED_BIT_NUM = 0x0 + // .. .. ==> 0XF80060C8[7:1] = 0x00000000U + // .. .. ==> MASK : 0x000000FEU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060C8, 0x000000FFU ,0x00000000U), + // .. .. UNCORR_ECC_LOG_VALID = 0x0 + // .. .. ==> 0XF80060DC[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060DC, 0x00000001U ,0x00000000U), + // .. .. STAT_NUM_CORR_ERR = 0x0 + // .. .. ==> 0XF80060F0[15:8] = 0x00000000U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00000000U + // .. .. STAT_NUM_UNCORR_ERR = 0x0 + // .. .. ==> 0XF80060F0[7:0] = 0x00000000U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060F0, 0x0000FFFFU ,0x00000000U), + // .. .. reg_ddrc_ecc_mode = 0x0 + // .. .. ==> 0XF80060F4[2:0] = 0x00000000U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000000U + // .. .. reg_ddrc_dis_scrub = 0x1 + // .. .. ==> 0XF80060F4[3:3] = 0x00000001U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000008U + // .. .. + EMIT_MASKWRITE(0XF80060F4, 0x0000000FU ,0x00000008U), + // .. .. reg_phy_dif_on = 0x0 + // .. .. ==> 0XF8006114[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_phy_dif_off = 0x0 + // .. .. ==> 0XF8006114[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006114, 0x000000FFU ,0x00000000U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006118[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF8006118[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF8006118[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006118[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006118[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006118[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006118, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF800611C[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF800611C[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF800611C[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF800611C[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF800611C[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF800611C[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF800611C, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006120[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF8006120[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF8006120[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006120[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006120[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006120[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006120, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006124[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF8006124[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF8006124[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006124[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006124[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006124[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006124, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_wrlvl_init_ratio = 0x7 + // .. .. ==> 0XF800612C[9:0] = 0x00000007U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000007U + // .. .. reg_phy_gatelvl_init_ratio = 0x7a + // .. .. ==> 0XF800612C[19:10] = 0x0000007AU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001E800U + // .. .. + EMIT_MASKWRITE(0XF800612C, 0x000FFFFFU ,0x0001E807U), + // .. .. reg_phy_wrlvl_init_ratio = 0x5 + // .. .. ==> 0XF8006130[9:0] = 0x00000005U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000005U + // .. .. reg_phy_gatelvl_init_ratio = 0x7b + // .. .. ==> 0XF8006130[19:10] = 0x0000007BU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001EC00U + // .. .. + EMIT_MASKWRITE(0XF8006130, 0x000FFFFFU ,0x0001EC05U), + // .. .. reg_phy_wrlvl_init_ratio = 0x5 + // .. .. ==> 0XF8006134[9:0] = 0x00000005U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000005U + // .. .. reg_phy_gatelvl_init_ratio = 0x7b + // .. .. ==> 0XF8006134[19:10] = 0x0000007BU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001EC00U + // .. .. + EMIT_MASKWRITE(0XF8006134, 0x000FFFFFU ,0x0001EC05U), + // .. .. reg_phy_wrlvl_init_ratio = 0x1 + // .. .. ==> 0XF8006138[9:0] = 0x00000001U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000001U + // .. .. reg_phy_gatelvl_init_ratio = 0x78 + // .. .. ==> 0XF8006138[19:10] = 0x00000078U + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001E000U + // .. .. + EMIT_MASKWRITE(0XF8006138, 0x000FFFFFU ,0x0001E001U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006140[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006140[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006140[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006140, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006144[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006144[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006144[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006144, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006148[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006148[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006148[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006148, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF800614C[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF800614C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF800614C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800614C, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x87 + // .. .. ==> 0XF8006154[9:0] = 0x00000087U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000087U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006154[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006154[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006154, 0x000FFFFFU ,0x00000087U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x85 + // .. .. ==> 0XF8006158[9:0] = 0x00000085U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000085U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006158[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006158[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006158, 0x000FFFFFU ,0x00000085U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x85 + // .. .. ==> 0XF800615C[9:0] = 0x00000085U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000085U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF800615C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF800615C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800615C, 0x000FFFFFU ,0x00000085U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x81 + // .. .. ==> 0XF8006160[9:0] = 0x00000081U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000081U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006160[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006160[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006160, 0x000FFFFFU ,0x00000081U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xcf + // .. .. ==> 0XF8006168[10:0] = 0x000000CFU + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000CFU + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006168[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006168[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006168, 0x001FFFFFU ,0x000000CFU), + // .. .. reg_phy_fifo_we_slave_ratio = 0xd0 + // .. .. ==> 0XF800616C[10:0] = 0x000000D0U + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000D0U + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF800616C[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF800616C[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800616C, 0x001FFFFFU ,0x000000D0U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xd0 + // .. .. ==> 0XF8006170[10:0] = 0x000000D0U + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000D0U + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006170[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006170[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006170, 0x001FFFFFU ,0x000000D0U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xcd + // .. .. ==> 0XF8006174[10:0] = 0x000000CDU + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000CDU + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006174[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006174[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006174, 0x001FFFFFU ,0x000000CDU), + // .. .. reg_phy_wr_data_slave_ratio = 0xc7 + // .. .. ==> 0XF800617C[9:0] = 0x000000C7U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C7U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF800617C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF800617C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800617C, 0x000FFFFFU ,0x000000C7U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc5 + // .. .. ==> 0XF8006180[9:0] = 0x000000C5U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C5U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006180[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006180[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006180, 0x000FFFFFU ,0x000000C5U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc5 + // .. .. ==> 0XF8006184[9:0] = 0x000000C5U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C5U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006184[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006184[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006184, 0x000FFFFFU ,0x000000C5U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc1 + // .. .. ==> 0XF8006188[9:0] = 0x000000C1U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C1U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006188[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006188[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006188, 0x000FFFFFU ,0x000000C1U), + // .. .. reg_phy_loopback = 0x0 + // .. .. ==> 0XF8006190[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_phy_bl2 = 0x0 + // .. .. ==> 0XF8006190[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_at_spd_atpg = 0x0 + // .. .. ==> 0XF8006190[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_bist_enable = 0x0 + // .. .. ==> 0XF8006190[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_bist_force_err = 0x0 + // .. .. ==> 0XF8006190[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_bist_mode = 0x0 + // .. .. ==> 0XF8006190[6:5] = 0x00000000U + // .. .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. .. reg_phy_invert_clkout = 0x1 + // .. .. ==> 0XF8006190[7:7] = 0x00000001U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. .. reg_phy_all_dq_mpr_rd_resp = 0x0 + // .. .. ==> 0XF8006190[8:8] = 0x00000000U + // .. .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. .. reg_phy_sel_logic = 0x0 + // .. .. ==> 0XF8006190[9:9] = 0x00000000U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_ratio = 0x100 + // .. .. ==> 0XF8006190[19:10] = 0x00000100U + // .. .. ==> MASK : 0x000FFC00U VAL : 0x00040000U + // .. .. reg_phy_ctrl_slave_force = 0x0 + // .. .. ==> 0XF8006190[20:20] = 0x00000000U + // .. .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_delay = 0x0 + // .. .. ==> 0XF8006190[27:21] = 0x00000000U + // .. .. ==> MASK : 0x0FE00000U VAL : 0x00000000U + // .. .. reg_phy_use_rank0_delays = 0x1 + // .. .. ==> 0XF8006190[28:28] = 0x00000001U + // .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. reg_phy_lpddr = 0x0 + // .. .. ==> 0XF8006190[29:29] = 0x00000000U + // .. .. ==> MASK : 0x20000000U VAL : 0x00000000U + // .. .. reg_phy_cmd_latency = 0x0 + // .. .. ==> 0XF8006190[30:30] = 0x00000000U + // .. .. ==> MASK : 0x40000000U VAL : 0x00000000U + // .. .. reg_phy_int_lpbk = 0x0 + // .. .. ==> 0XF8006190[31:31] = 0x00000000U + // .. .. ==> MASK : 0x80000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006190, 0xFFFFFFFFU ,0x10040080U), + // .. .. reg_phy_wr_rl_delay = 0x2 + // .. .. ==> 0XF8006194[4:0] = 0x00000002U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000002U + // .. .. reg_phy_rd_rl_delay = 0x4 + // .. .. ==> 0XF8006194[9:5] = 0x00000004U + // .. .. ==> MASK : 0x000003E0U VAL : 0x00000080U + // .. .. reg_phy_dll_lock_diff = 0xf + // .. .. ==> 0XF8006194[13:10] = 0x0000000FU + // .. .. ==> MASK : 0x00003C00U VAL : 0x00003C00U + // .. .. reg_phy_use_wr_level = 0x1 + // .. .. ==> 0XF8006194[14:14] = 0x00000001U + // .. .. ==> MASK : 0x00004000U VAL : 0x00004000U + // .. .. reg_phy_use_rd_dqs_gate_level = 0x1 + // .. .. ==> 0XF8006194[15:15] = 0x00000001U + // .. .. ==> MASK : 0x00008000U VAL : 0x00008000U + // .. .. reg_phy_use_rd_data_eye_level = 0x1 + // .. .. ==> 0XF8006194[16:16] = 0x00000001U + // .. .. ==> MASK : 0x00010000U VAL : 0x00010000U + // .. .. reg_phy_dis_calib_rst = 0x0 + // .. .. ==> 0XF8006194[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_delay = 0x0 + // .. .. ==> 0XF8006194[19:18] = 0x00000000U + // .. .. ==> MASK : 0x000C0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006194, 0x000FFFFFU ,0x0001FC82U), + // .. .. reg_arb_page_addr_mask = 0x0 + // .. .. ==> 0XF8006204[31:0] = 0x00000000U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006204, 0xFFFFFFFFU ,0x00000000U), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006208[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006208[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006208[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006208[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF8006208[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF8006208, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF800620C[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF800620C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF800620C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF800620C[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF800620C[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF800620C, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006210[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006210[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006210[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006210[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF8006210[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF8006210, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006214[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006214[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006214[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006214[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF8006214[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF8006214, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006218[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006218[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006218[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006218[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006218[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006218, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF800621C[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF800621C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF800621C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF800621C[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF800621C[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800621C, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006220[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006220[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006220[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006220[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006220[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006220, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006224[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006224[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006224[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006224[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006224[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006224, 0x000F03FFU ,0x000003FFU), + // .. .. reg_ddrc_lpddr2 = 0x0 + // .. .. ==> 0XF80062A8[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_per_bank_refresh = 0x0 + // .. .. ==> 0XF80062A8[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_derate_enable = 0x0 + // .. .. ==> 0XF80062A8[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_ddrc_mr4_margin = 0x0 + // .. .. ==> 0XF80062A8[11:4] = 0x00000000U + // .. .. ==> MASK : 0x00000FF0U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80062A8, 0x00000FF7U ,0x00000000U), + // .. .. reg_ddrc_mr4_read_interval = 0x0 + // .. .. ==> 0XF80062AC[31:0] = 0x00000000U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80062AC, 0xFFFFFFFFU ,0x00000000U), + // .. .. reg_ddrc_min_stable_clock_x1 = 0x5 + // .. .. ==> 0XF80062B0[3:0] = 0x00000005U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000005U + // .. .. reg_ddrc_idle_after_reset_x32 = 0x12 + // .. .. ==> 0XF80062B0[11:4] = 0x00000012U + // .. .. ==> MASK : 0x00000FF0U VAL : 0x00000120U + // .. .. reg_ddrc_t_mrw = 0x5 + // .. .. ==> 0XF80062B0[21:12] = 0x00000005U + // .. .. ==> MASK : 0x003FF000U VAL : 0x00005000U + // .. .. + EMIT_MASKWRITE(0XF80062B0, 0x003FFFFFU ,0x00005125U), + // .. .. reg_ddrc_max_auto_init_x1024 = 0xa8 + // .. .. ==> 0XF80062B4[7:0] = 0x000000A8U + // .. .. ==> MASK : 0x000000FFU VAL : 0x000000A8U + // .. .. reg_ddrc_dev_zqinit_x32 = 0x12 + // .. .. ==> 0XF80062B4[17:8] = 0x00000012U + // .. .. ==> MASK : 0x0003FF00U VAL : 0x00001200U + // .. .. + EMIT_MASKWRITE(0XF80062B4, 0x0003FFFFU ,0x000012A8U), + // .. .. START: POLL ON DCI STATUS + // .. .. DONE = 1 + // .. .. ==> 0XF8000B74[13:13] = 0x00000001U + // .. .. ==> MASK : 0x00002000U VAL : 0x00002000U + // .. .. + EMIT_MASKPOLL(0XF8000B74, 0x00002000U), + // .. .. FINISH: POLL ON DCI STATUS + // .. .. START: UNLOCK DDR + // .. .. reg_ddrc_soft_rstb = 0x1 + // .. .. ==> 0XF8006000[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_ddrc_powerdown_en = 0x0 + // .. .. ==> 0XF8006000[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_data_bus_width = 0x0 + // .. .. ==> 0XF8006000[3:2] = 0x00000000U + // .. .. ==> MASK : 0x0000000CU VAL : 0x00000000U + // .. .. reg_ddrc_burst8_refresh = 0x0 + // .. .. ==> 0XF8006000[6:4] = 0x00000000U + // .. .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. .. reg_ddrc_rdwr_idle_gap = 1 + // .. .. ==> 0XF8006000[13:7] = 0x00000001U + // .. .. ==> MASK : 0x00003F80U VAL : 0x00000080U + // .. .. reg_ddrc_dis_rd_bypass = 0x0 + // .. .. ==> 0XF8006000[14:14] = 0x00000000U + // .. .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_act_bypass = 0x0 + // .. .. ==> 0XF8006000[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_auto_refresh = 0x0 + // .. .. ==> 0XF8006000[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000081U), + // .. .. FINISH: UNLOCK DDR + // .. .. START: CHECK DDR STATUS + // .. .. ddrc_reg_operating_mode = 1 + // .. .. ==> 0XF8006054[2:0] = 0x00000001U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000001U + // .. .. + EMIT_MASKPOLL(0XF8006054, 0x00000007U), + // .. .. FINISH: CHECK DDR STATUS + // .. FINISH: DDR INITIALIZATION + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_mio_init_data_1_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: OCM REMAPPING + // .. FINISH: OCM REMAPPING + // .. START: DDRIOB SETTINGS + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B40[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B40[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B40[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B40[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCR_TYPE = 0x0 + // .. ==> 0XF8000B40[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B40[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B40[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B40[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B40[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B40, 0x00000FFFU ,0x00000600U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B44[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B44[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B44[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B44[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCR_TYPE = 0x0 + // .. ==> 0XF8000B44[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B44[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B44[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B44[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B44[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B44, 0x00000FFFU ,0x00000600U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B48[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x1 + // .. ==> 0XF8000B48[2:1] = 0x00000001U + // .. ==> MASK : 0x00000006U VAL : 0x00000002U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B48[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B48[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B48[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B48[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B48[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B48[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B48[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B48, 0x00000FFFU ,0x00000672U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B4C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x1 + // .. ==> 0XF8000B4C[2:1] = 0x00000001U + // .. ==> MASK : 0x00000006U VAL : 0x00000002U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B4C[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B4C[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B4C[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B4C[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B4C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B4C[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B4C[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B4C, 0x00000FFFU ,0x00000672U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B50[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x2 + // .. ==> 0XF8000B50[2:1] = 0x00000002U + // .. ==> MASK : 0x00000006U VAL : 0x00000004U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B50[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B50[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B50[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B50[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B50[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B50[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B50[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B50, 0x00000FFFU ,0x00000674U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B54[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x2 + // .. ==> 0XF8000B54[2:1] = 0x00000002U + // .. ==> MASK : 0x00000006U VAL : 0x00000004U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B54[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B54[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B54[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B54[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B54[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B54[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B54[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B54, 0x00000FFFU ,0x00000674U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B58[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B58[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B58[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B58[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCR_TYPE = 0x0 + // .. ==> 0XF8000B58[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B58[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B58[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B58[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B58[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B58, 0x00000FFFU ,0x00000600U), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B5C[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B5C[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x3 + // .. ==> 0XF8000B5C[18:14] = 0x00000003U + // .. ==> MASK : 0x0007C000U VAL : 0x0000C000U + // .. SLEW_N = 0x3 + // .. ==> 0XF8000B5C[23:19] = 0x00000003U + // .. ==> MASK : 0x00F80000U VAL : 0x00180000U + // .. GTL = 0x0 + // .. ==> 0XF8000B5C[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B5C[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B5C, 0xFFFFFFFFU ,0x0018C61CU), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B60[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B60[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x6 + // .. ==> 0XF8000B60[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. SLEW_N = 0x1f + // .. ==> 0XF8000B60[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. GTL = 0x0 + // .. ==> 0XF8000B60[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B60[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B60, 0xFFFFFFFFU ,0x00F9861CU), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B64[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B64[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x6 + // .. ==> 0XF8000B64[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. SLEW_N = 0x1f + // .. ==> 0XF8000B64[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. GTL = 0x0 + // .. ==> 0XF8000B64[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B64[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B64, 0xFFFFFFFFU ,0x00F9861CU), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B68[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B68[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x6 + // .. ==> 0XF8000B68[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. SLEW_N = 0x1f + // .. ==> 0XF8000B68[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. GTL = 0x0 + // .. ==> 0XF8000B68[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B68[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B68, 0xFFFFFFFFU ,0x00F9861CU), + // .. VREF_INT_EN = 0x0 + // .. ==> 0XF8000B6C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. VREF_SEL = 0x0 + // .. ==> 0XF8000B6C[4:1] = 0x00000000U + // .. ==> MASK : 0x0000001EU VAL : 0x00000000U + // .. VREF_EXT_EN = 0x3 + // .. ==> 0XF8000B6C[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. VREF_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[8:7] = 0x00000000U + // .. ==> MASK : 0x00000180U VAL : 0x00000000U + // .. REFIO_EN = 0x1 + // .. ==> 0XF8000B6C[9:9] = 0x00000001U + // .. ==> MASK : 0x00000200U VAL : 0x00000200U + // .. REFIO_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DRST_B_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. CKE_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[14:14] = 0x00000000U + // .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B6C, 0x000073FFU ,0x00000260U), + // .. .. START: ASSERT RESET + // .. .. RESET = 1 + // .. .. ==> 0XF8000B70[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000021U), + // .. .. FINISH: ASSERT RESET + // .. .. START: DEASSERT RESET + // .. .. RESET = 0 + // .. .. ==> 0XF8000B70[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000020U), + // .. .. FINISH: DEASSERT RESET + // .. .. RESET = 0x1 + // .. .. ==> 0XF8000B70[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. ENABLE = 0x1 + // .. .. ==> 0XF8000B70[1:1] = 0x00000001U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. VRP_TRI = 0x0 + // .. .. ==> 0XF8000B70[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. VRN_TRI = 0x0 + // .. .. ==> 0XF8000B70[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. VRP_OUT = 0x0 + // .. .. ==> 0XF8000B70[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. NREF_OPT1 = 0x0 + // .. .. ==> 0XF8000B70[7:6] = 0x00000000U + // .. .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. .. NREF_OPT2 = 0x0 + // .. .. ==> 0XF8000B70[10:8] = 0x00000000U + // .. .. ==> MASK : 0x00000700U VAL : 0x00000000U + // .. .. NREF_OPT4 = 0x1 + // .. .. ==> 0XF8000B70[13:11] = 0x00000001U + // .. .. ==> MASK : 0x00003800U VAL : 0x00000800U + // .. .. PREF_OPT1 = 0x0 + // .. .. ==> 0XF8000B70[16:14] = 0x00000000U + // .. .. ==> MASK : 0x0001C000U VAL : 0x00000000U + // .. .. PREF_OPT2 = 0x0 + // .. .. ==> 0XF8000B70[19:17] = 0x00000000U + // .. .. ==> MASK : 0x000E0000U VAL : 0x00000000U + // .. .. UPDATE_CONTROL = 0x0 + // .. .. ==> 0XF8000B70[20:20] = 0x00000000U + // .. .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. .. INIT_COMPLETE = 0x0 + // .. .. ==> 0XF8000B70[21:21] = 0x00000000U + // .. .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. .. TST_CLK = 0x0 + // .. .. ==> 0XF8000B70[22:22] = 0x00000000U + // .. .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. .. TST_HLN = 0x0 + // .. .. ==> 0XF8000B70[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. TST_HLP = 0x0 + // .. .. ==> 0XF8000B70[24:24] = 0x00000000U + // .. .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. .. TST_RST = 0x0 + // .. .. ==> 0XF8000B70[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. INT_DCI_EN = 0x0 + // .. .. ==> 0XF8000B70[26:26] = 0x00000000U + // .. .. ==> MASK : 0x04000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x07FFFFFFU ,0x00000823U), + // .. FINISH: DDRIOB SETTINGS + // .. START: MIO PROGRAMMING + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000700[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000700[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000700[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000700[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000700[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000700[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000700[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000700[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000700[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000700, 0x00003FFFU ,0x00001600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000704[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000704[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000704[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000704[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000704[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000704[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000704[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000704[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000704[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000704, 0x00003FFFU ,0x00001600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000708[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000708[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000708[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000708[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000708[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000708[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000708[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000708[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000708[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000708, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800070C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800070C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800070C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800070C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800070C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800070C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800070C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF800070C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800070C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800070C, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000710[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000710[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000710[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000710[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000710[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000710[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000710[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000710[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000710[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000710, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000714[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000714[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000714[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000714[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000714[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000714[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000714[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000714[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000714[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000714, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000718[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000718[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000718[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000718[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000718[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000718[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000718[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000718[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000718[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000718, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800071C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800071C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800071C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800071C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800071C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800071C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800071C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF800071C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800071C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800071C, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000720[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000720[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000720[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000720[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF8000720[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF8000720[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000720[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000720[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000720[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000720, 0x00003FFFU ,0x000006E0U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000724[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000724[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000724[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000724[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF8000724[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF8000724[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000724[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000724[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000724[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000724, 0x00003FFFU ,0x000016E1U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000728[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000728[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000728[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000728[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000728[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000728[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000728[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000728[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000728[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000728, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800072C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800072C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800072C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800072C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF800072C[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF800072C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800072C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF800072C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800072C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800072C, 0x00003FFFU ,0x00001660U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000730[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000730[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000730[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000730[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000730[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000730[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000730[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000730[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000730[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000730, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000734[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000734[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000734[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000734[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000734[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000734[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000734[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000734[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000734[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000734, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000738[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000738[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000738[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000738[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000738[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF8000738[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000738[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000738[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000738[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000738, 0x00003FFFU ,0x00001640U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800073C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800073C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800073C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800073C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF800073C[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF800073C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800073C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF800073C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800073C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800073C, 0x00003FFFU ,0x00001640U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000740[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000740[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000740[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000740[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000740[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000740[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000740[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000740[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000740[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000744[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000744[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000744[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000744[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000744[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000744[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000744[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000744[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000744[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000748[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000748[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000748[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000748[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000748[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000748[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000748[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000748[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000748[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800074C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF800074C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800074C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800074C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800074C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800074C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800074C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800074C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800074C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000750[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000750[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000750[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000750[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000750[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000750[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000750[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000750[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000750[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000754[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000754[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000754[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000754[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000754[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000754[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000754[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000754[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000754[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000758[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000758[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000758[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000758[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000758[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000758[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000758[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000758[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000758[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800075C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF800075C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800075C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800075C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800075C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800075C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800075C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800075C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800075C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000760[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000760[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000760[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000760[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000760[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000760[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000760[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000760[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000760[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000764[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000764[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000764[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000764[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000764[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000764[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000764[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000764[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000764[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000768[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000768[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000768[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000768[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000768[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000768[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000768[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000768[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000768[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800076C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF800076C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800076C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800076C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800076C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800076C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800076C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800076C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800076C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000770[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000770[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000770[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000770[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000770[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000770[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000770[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000770[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000770[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000774[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000774[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000774[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000774[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000774[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000774[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000774[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000774[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000774[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000778[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000778[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000778[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000778[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000778[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000778[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000778[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000778[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000778[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800077C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF800077C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800077C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800077C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800077C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800077C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800077C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800077C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800077C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000780[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000780[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000780[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000780[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000780[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000780[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000780[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000780[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000780[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000784[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000784[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000784[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000784[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000784[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000784[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000784[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000784[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000784[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000788[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000788[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000788[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000788[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000788[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000788[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000788[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000788[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000788[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800078C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800078C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800078C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800078C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800078C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800078C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800078C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800078C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800078C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000790[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000790[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000790[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000790[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000790[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000790[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000790[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000790[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000790[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000794[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000794[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000794[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000794[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000794[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000794[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000794[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000794[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000794[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000798[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000798[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000798[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000798[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000798[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000798[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000798[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000798[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000798[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800079C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800079C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800079C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800079C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800079C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800079C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800079C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800079C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800079C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A8[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A8[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007AC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007AC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007AC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007AC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007AC[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007AC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007AC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007AC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007AC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007B0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007B0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007B0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007B0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007B4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007B4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007B4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007B4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF80007B8[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF80007B8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF80007B8[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF80007B8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B8, 0x00003FFFU ,0x000012E1U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007BC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007BC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007BC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007BC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF80007BC[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF80007BC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007BC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007BC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007BC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007BC, 0x00003FFFU ,0x000012E0U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF80007C0[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF80007C0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x00001240U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF80007C4[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF80007C4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x00001240U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C8[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF80007C8[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF80007C8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007CC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007CC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007CC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007CC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF80007CC[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF80007CC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007CC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007CC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007CC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007D0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007D0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007D0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007D0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007D0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007D0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007D0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007D0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007D0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007D4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007D4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007D4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007D4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007D4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007D4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007D4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007D4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007D4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), + // .. SDIO0_WP_SEL = 55 + // .. ==> 0XF8000830[5:0] = 0x00000037U + // .. ==> MASK : 0x0000003FU VAL : 0x00000037U + // .. SDIO0_CD_SEL = 56 + // .. ==> 0XF8000830[21:16] = 0x00000038U + // .. ==> MASK : 0x003F0000U VAL : 0x00380000U + // .. + EMIT_MASKWRITE(0XF8000830, 0x003F003FU ,0x00380037U), + // .. FINISH: MIO PROGRAMMING + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_peripherals_init_data_1_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: DDR TERM/IBUF_DISABLE_MODE SETTINGS + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B48[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B48[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B48, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B4C[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B4C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B4C, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B50[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B50[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B50, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B54[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B54[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B54, 0x00000180U ,0x00000180U), + // .. FINISH: DDR TERM/IBUF_DISABLE_MODE SETTINGS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // .. START: SRAM/NOR SET OPMODE + // .. FINISH: SRAM/NOR SET OPMODE + // .. START: UART REGISTERS + // .. BDIV = 0x6 + // .. ==> 0XE0001034[7:0] = 0x00000006U + // .. ==> MASK : 0x000000FFU VAL : 0x00000006U + // .. + EMIT_MASKWRITE(0XE0001034, 0x000000FFU ,0x00000006U), + // .. CD = 0x7c + // .. ==> 0XE0001018[15:0] = 0x0000007CU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000007CU + // .. + EMIT_MASKWRITE(0XE0001018, 0x0000FFFFU ,0x0000007CU), + // .. STPBRK = 0x0 + // .. ==> 0XE0001000[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. STTBRK = 0x0 + // .. ==> 0XE0001000[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. RSTTO = 0x0 + // .. ==> 0XE0001000[6:6] = 0x00000000U + // .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. TXDIS = 0x0 + // .. ==> 0XE0001000[5:5] = 0x00000000U + // .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. TXEN = 0x1 + // .. ==> 0XE0001000[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. RXDIS = 0x0 + // .. ==> 0XE0001000[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. RXEN = 0x1 + // .. ==> 0XE0001000[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. TXRES = 0x1 + // .. ==> 0XE0001000[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. RXRES = 0x1 + // .. ==> 0XE0001000[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. + EMIT_MASKWRITE(0XE0001000, 0x000001FFU ,0x00000017U), + // .. IRMODE = 0x0 + // .. ==> 0XE0001004[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. UCLKEN = 0x0 + // .. ==> 0XE0001004[10:10] = 0x00000000U + // .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. CHMODE = 0x0 + // .. ==> 0XE0001004[9:8] = 0x00000000U + // .. ==> MASK : 0x00000300U VAL : 0x00000000U + // .. NBSTOP = 0x0 + // .. ==> 0XE0001004[7:6] = 0x00000000U + // .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. PAR = 0x4 + // .. ==> 0XE0001004[5:3] = 0x00000004U + // .. ==> MASK : 0x00000038U VAL : 0x00000020U + // .. CHRL = 0x0 + // .. ==> 0XE0001004[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. CLKS = 0x0 + // .. ==> 0XE0001004[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XE0001004, 0x00000FFFU ,0x00000020U), + // .. BDIV = 0x6 + // .. ==> 0XE0000034[7:0] = 0x00000006U + // .. ==> MASK : 0x000000FFU VAL : 0x00000006U + // .. + EMIT_MASKWRITE(0XE0000034, 0x000000FFU ,0x00000006U), + // .. CD = 0x7c + // .. ==> 0XE0000018[15:0] = 0x0000007CU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000007CU + // .. + EMIT_MASKWRITE(0XE0000018, 0x0000FFFFU ,0x0000007CU), + // .. STPBRK = 0x0 + // .. ==> 0XE0000000[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. STTBRK = 0x0 + // .. ==> 0XE0000000[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. RSTTO = 0x0 + // .. ==> 0XE0000000[6:6] = 0x00000000U + // .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. TXDIS = 0x0 + // .. ==> 0XE0000000[5:5] = 0x00000000U + // .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. TXEN = 0x1 + // .. ==> 0XE0000000[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. RXDIS = 0x0 + // .. ==> 0XE0000000[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. RXEN = 0x1 + // .. ==> 0XE0000000[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. TXRES = 0x1 + // .. ==> 0XE0000000[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. RXRES = 0x1 + // .. ==> 0XE0000000[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. + EMIT_MASKWRITE(0XE0000000, 0x000001FFU ,0x00000017U), + // .. IRMODE = 0x0 + // .. ==> 0XE0000004[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. UCLKEN = 0x0 + // .. ==> 0XE0000004[10:10] = 0x00000000U + // .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. CHMODE = 0x0 + // .. ==> 0XE0000004[9:8] = 0x00000000U + // .. ==> MASK : 0x00000300U VAL : 0x00000000U + // .. NBSTOP = 0x0 + // .. ==> 0XE0000004[7:6] = 0x00000000U + // .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. PAR = 0x4 + // .. ==> 0XE0000004[5:3] = 0x00000004U + // .. ==> MASK : 0x00000038U VAL : 0x00000020U + // .. CHRL = 0x0 + // .. ==> 0XE0000004[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. CLKS = 0x0 + // .. ==> 0XE0000004[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XE0000004, 0x00000FFFU ,0x00000020U), + // .. FINISH: UART REGISTERS + // .. START: QSPI REGISTERS + // .. Holdb_dr = 1 + // .. ==> 0XE000D000[19:19] = 0x00000001U + // .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. + EMIT_MASKWRITE(0XE000D000, 0x00080000U ,0x00080000U), + // .. FINISH: QSPI REGISTERS + // .. START: PL POWER ON RESET REGISTERS + // .. PCFG_POR_CNT_4K = 0 + // .. ==> 0XF8007000[29:29] = 0x00000000U + // .. ==> MASK : 0x20000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8007000, 0x20000000U ,0x00000000U), + // .. FINISH: PL POWER ON RESET REGISTERS + // .. START: SMC TIMING CALCULATION REGISTER UPDATE + // .. .. START: NAND SET CYCLE + // .. .. FINISH: NAND SET CYCLE + // .. .. START: OPMODE + // .. .. FINISH: OPMODE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: SRAM/NOR CS0 SET CYCLE + // .. .. FINISH: SRAM/NOR CS0 SET CYCLE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: NOR CS0 BASE ADDRESS + // .. .. FINISH: NOR CS0 BASE ADDRESS + // .. .. START: SRAM/NOR CS1 SET CYCLE + // .. .. FINISH: SRAM/NOR CS1 SET CYCLE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: NOR CS1 BASE ADDRESS + // .. .. FINISH: NOR CS1 BASE ADDRESS + // .. .. START: USB RESET + // .. .. .. START: USB0 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. DIRECTION_0 = 0x18 + // .. .. .. .. ==> 0XE000A204[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x10 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000010U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000010U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. OP_ENABLE_0 = 0x18 + // .. .. .. .. ==> 0XE000A208[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x0 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000000U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000000U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0000U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x10 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000010U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000010U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: USB0 RESET + // .. .. .. START: USB1 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: USB1 RESET + // .. .. FINISH: USB RESET + // .. .. START: ENET RESET + // .. .. .. START: ENET0 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. DIRECTION_0 = 0x18 + // .. .. .. .. ==> 0XE000A204[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x8 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000008U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. OP_ENABLE_0 = 0x18 + // .. .. .. .. ==> 0XE000A208[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x0 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000000U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000000U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70000U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x8 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000008U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: ENET0 RESET + // .. .. .. START: ENET1 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: ENET1 RESET + // .. .. FINISH: ENET RESET + // .. .. START: I2C RESET + // .. .. .. START: I2C0 RESET + // .. .. .. .. START: DIR MODE GPIO BANK0 + // .. .. .. .. FINISH: DIR MODE GPIO BANK0 + // .. .. .. .. START: DIR MODE GPIO BANK1 + // .. .. .. .. FINISH: DIR MODE GPIO BANK1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: I2C0 RESET + // .. .. .. START: I2C1 RESET + // .. .. .. .. START: DIR MODE GPIO BANK0 + // .. .. .. .. FINISH: DIR MODE GPIO BANK0 + // .. .. .. .. START: DIR MODE GPIO BANK1 + // .. .. .. .. FINISH: DIR MODE GPIO BANK1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: I2C1 RESET + // .. .. FINISH: I2C RESET + // .. .. START: NOR CHIP SELECT + // .. .. .. START: DIR MODE BANK 0 + // .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. FINISH: NOR CHIP SELECT + // .. FINISH: SMC TIMING CALCULATION REGISTER UPDATE + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_post_config_1_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: ENABLING LEVEL SHIFTER + // .. USER_INP_ICT_EN_0 = 3 + // .. ==> 0XF8000900[1:0] = 0x00000003U + // .. ==> MASK : 0x00000003U VAL : 0x00000003U + // .. USER_INP_ICT_EN_1 = 3 + // .. ==> 0XF8000900[3:2] = 0x00000003U + // .. ==> MASK : 0x0000000CU VAL : 0x0000000CU + // .. + EMIT_MASKWRITE(0XF8000900, 0x0000000FU ,0x0000000FU), + // .. FINISH: ENABLING LEVEL SHIFTER + // .. START: FPGA RESETS TO 0 + // .. reserved_3 = 0 + // .. ==> 0XF8000240[31:25] = 0x00000000U + // .. ==> MASK : 0xFE000000U VAL : 0x00000000U + // .. FPGA_ACP_RST = 0 + // .. ==> 0XF8000240[24:24] = 0x00000000U + // .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. FPGA_AXDS3_RST = 0 + // .. ==> 0XF8000240[23:23] = 0x00000000U + // .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. FPGA_AXDS2_RST = 0 + // .. ==> 0XF8000240[22:22] = 0x00000000U + // .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. FPGA_AXDS1_RST = 0 + // .. ==> 0XF8000240[21:21] = 0x00000000U + // .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. FPGA_AXDS0_RST = 0 + // .. ==> 0XF8000240[20:20] = 0x00000000U + // .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. reserved_2 = 0 + // .. ==> 0XF8000240[19:18] = 0x00000000U + // .. ==> MASK : 0x000C0000U VAL : 0x00000000U + // .. FSSW1_FPGA_RST = 0 + // .. ==> 0XF8000240[17:17] = 0x00000000U + // .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. FSSW0_FPGA_RST = 0 + // .. ==> 0XF8000240[16:16] = 0x00000000U + // .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. reserved_1 = 0 + // .. ==> 0XF8000240[15:14] = 0x00000000U + // .. ==> MASK : 0x0000C000U VAL : 0x00000000U + // .. FPGA_FMSW1_RST = 0 + // .. ==> 0XF8000240[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. FPGA_FMSW0_RST = 0 + // .. ==> 0XF8000240[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. FPGA_DMA3_RST = 0 + // .. ==> 0XF8000240[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. FPGA_DMA2_RST = 0 + // .. ==> 0XF8000240[10:10] = 0x00000000U + // .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. FPGA_DMA1_RST = 0 + // .. ==> 0XF8000240[9:9] = 0x00000000U + // .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. FPGA_DMA0_RST = 0 + // .. ==> 0XF8000240[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. reserved = 0 + // .. ==> 0XF8000240[7:4] = 0x00000000U + // .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. FPGA3_OUT_RST = 0 + // .. ==> 0XF8000240[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. FPGA2_OUT_RST = 0 + // .. ==> 0XF8000240[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. FPGA1_OUT_RST = 0 + // .. ==> 0XF8000240[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. FPGA0_OUT_RST = 0 + // .. ==> 0XF8000240[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000240, 0xFFFFFFFFU ,0x00000000U), + // .. FINISH: FPGA RESETS TO 0 + // .. START: AFI REGISTERS + // .. .. START: AFI0 REGISTERS + // .. .. FINISH: AFI0 REGISTERS + // .. .. START: AFI1 REGISTERS + // .. .. FINISH: AFI1 REGISTERS + // .. .. START: AFI2 REGISTERS + // .. .. FINISH: AFI2 REGISTERS + // .. .. START: AFI3 REGISTERS + // .. .. FINISH: AFI3 REGISTERS + // .. FINISH: AFI REGISTERS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_debug_1_0[] = { + // START: top + // .. START: CROSS TRIGGER CONFIGURATIONS + // .. .. START: UNLOCKING CTI REGISTERS + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8898FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8898FB0, 0xC5ACCE55U), + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8899FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8899FB0, 0xC5ACCE55U), + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8809FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8809FB0, 0xC5ACCE55U), + // .. .. FINISH: UNLOCKING CTI REGISTERS + // .. .. START: ENABLING CTI MODULES AND CHANNELS + // .. .. FINISH: ENABLING CTI MODULES AND CHANNELS + // .. .. START: MAPPING CPU0, CPU1 AND FTM EVENTS TO CTM CHANNELS + // .. .. FINISH: MAPPING CPU0, CPU1 AND FTM EVENTS TO CTM CHANNELS + // .. FINISH: CROSS TRIGGER CONFIGURATIONS + // FINISH: top + // + EMIT_EXIT(), + + // +}; + + +#include "xil_io.h" +#define PS7_MASK_POLL_TIME 100000000 + +char* +getPS7MessageInfo(unsigned key) { + + char* err_msg = ""; + switch (key) { + case PS7_INIT_SUCCESS: err_msg = "PS7 initialization successful"; break; + case PS7_INIT_CORRUPT: err_msg = "PS7 init Data Corrupted"; break; + case PS7_INIT_TIMEOUT: err_msg = "PS7 init mask poll timeout"; break; + case PS7_POLL_FAILED_DDR_INIT: err_msg = "Mask Poll failed for DDR Init"; break; + case PS7_POLL_FAILED_DMA: err_msg = "Mask Poll failed for PLL Init"; break; + case PS7_POLL_FAILED_PLL: err_msg = "Mask Poll failed for DMA done bit"; break; + default: err_msg = "Undefined error status"; break; + } + + return err_msg; +} + +unsigned long +ps7GetSiliconVersion () { + // Read PS version from MCTRL register [31:28] + unsigned long mask = 0xF0000000; + unsigned long *addr = (unsigned long*) 0XF8007080; + unsigned long ps_version = (*addr & mask) >> 28; + return ps_version; +} + +void mask_write (unsigned long add , unsigned long mask, unsigned long val ) { + volatile unsigned long *addr = (volatile unsigned long*) add; + *addr = ( val & mask ) | ( *addr & ~mask); + //xil_printf("MaskWrite : 0x%x--> 0x%x \n \r" ,add, *addr); +} + + +int mask_poll(unsigned long add , unsigned long mask ) { + volatile unsigned long *addr = (volatile unsigned long*) add; + int i = 0; + while (!(*addr & mask)) { + if (i == PS7_MASK_POLL_TIME) { + return -1; + } + i++; + } + return 1; + //xil_printf("MaskPoll : 0x%x --> 0x%x \n \r" , add, *addr); +} + +unsigned long mask_read(unsigned long add , unsigned long mask ) { + volatile unsigned long *addr = (volatile unsigned long*) add; + unsigned long val = (*addr & mask); + //xil_printf("MaskRead : 0x%x --> 0x%x \n \r" , add, val); + return val; +} + + + +int +ps7_config(unsigned long * ps7_config_init) +{ + unsigned long *ptr = ps7_config_init; + + unsigned long opcode; // current instruction .. + unsigned long args[16]; // no opcode has so many args ... + int numargs; // number of arguments of this instruction + int j; // general purpose index + + volatile unsigned long *addr; // some variable to make code readable + unsigned long val,mask; // some variable to make code readable + + int finish = -1 ; // loop while this is negative ! + int i = 0; // Timeout variable + + while( finish < 0 ) { + numargs = ptr[0] & 0xF; + opcode = ptr[0] >> 4; + + for( j = 0 ; j < numargs ; j ++ ) + args[j] = ptr[j+1]; + ptr += numargs + 1; + + + switch ( opcode ) { + + case OPCODE_EXIT: + finish = PS7_INIT_SUCCESS; + break; + + case OPCODE_CLEAR: + addr = (unsigned long*) args[0]; + *addr = 0; + break; + + case OPCODE_WRITE: + addr = (unsigned long*) args[0]; + val = args[1]; + *addr = val; + break; + + case OPCODE_MASKWRITE: + addr = (unsigned long*) args[0]; + mask = args[1]; + val = args[2]; + *addr = ( val & mask ) | ( *addr & ~mask); + break; + + case OPCODE_MASKPOLL: + addr = (unsigned long*) args[0]; + mask = args[1]; + i = 0; + while (!(*addr & mask)) { + if (i == PS7_MASK_POLL_TIME) { + finish = PS7_INIT_TIMEOUT; + break; + } + i++; + } + break; + case OPCODE_MASKDELAY: + addr = (unsigned long*) args[0]; + mask = args[1]; + int delay = get_number_of_cycles_for_delay(mask); + perf_reset_and_start_timer(); + while ((*addr < delay)) { + } + break; + default: + finish = PS7_INIT_CORRUPT; + break; + } + } + return finish; +} + +unsigned long *ps7_mio_init_data = ps7_mio_init_data_3_0; +unsigned long *ps7_pll_init_data = ps7_pll_init_data_3_0; +unsigned long *ps7_clock_init_data = ps7_clock_init_data_3_0; +unsigned long *ps7_ddr_init_data = ps7_ddr_init_data_3_0; +unsigned long *ps7_peripherals_init_data = ps7_peripherals_init_data_3_0; + +int +ps7_post_config() +{ + // Get the PS_VERSION on run time + unsigned long si_ver = ps7GetSiliconVersion (); + int ret = -1; + if (si_ver == PCW_SILICON_VERSION_1) { + ret = ps7_config (ps7_post_config_1_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } else if (si_ver == PCW_SILICON_VERSION_2) { + ret = ps7_config (ps7_post_config_2_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } else { + ret = ps7_config (ps7_post_config_3_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } + return PS7_INIT_SUCCESS; +} + +int +ps7_debug() +{ + // Get the PS_VERSION on run time + unsigned long si_ver = ps7GetSiliconVersion (); + int ret = -1; + if (si_ver == PCW_SILICON_VERSION_1) { + ret = ps7_config (ps7_debug_1_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } else if (si_ver == PCW_SILICON_VERSION_2) { + ret = ps7_config (ps7_debug_2_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } else { + ret = ps7_config (ps7_debug_3_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } + return PS7_INIT_SUCCESS; +} + + +int +ps7_init() +{ + // Get the PS_VERSION on run time + unsigned long si_ver = ps7GetSiliconVersion (); + int ret; + //int pcw_ver = 0; + + if (si_ver == PCW_SILICON_VERSION_1) { + ps7_mio_init_data = ps7_mio_init_data_1_0; + ps7_pll_init_data = ps7_pll_init_data_1_0; + ps7_clock_init_data = ps7_clock_init_data_1_0; + ps7_ddr_init_data = ps7_ddr_init_data_1_0; + ps7_peripherals_init_data = ps7_peripherals_init_data_1_0; + //pcw_ver = 1; + + } else if (si_ver == PCW_SILICON_VERSION_2) { + ps7_mio_init_data = ps7_mio_init_data_2_0; + ps7_pll_init_data = ps7_pll_init_data_2_0; + ps7_clock_init_data = ps7_clock_init_data_2_0; + ps7_ddr_init_data = ps7_ddr_init_data_2_0; + ps7_peripherals_init_data = ps7_peripherals_init_data_2_0; + //pcw_ver = 2; + + } else { + ps7_mio_init_data = ps7_mio_init_data_3_0; + ps7_pll_init_data = ps7_pll_init_data_3_0; + ps7_clock_init_data = ps7_clock_init_data_3_0; + ps7_ddr_init_data = ps7_ddr_init_data_3_0; + ps7_peripherals_init_data = ps7_peripherals_init_data_3_0; + //pcw_ver = 3; + } + + // MIO init + ret = ps7_config (ps7_mio_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + + // PLL init + ret = ps7_config (ps7_pll_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + + // Clock init + ret = ps7_config (ps7_clock_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + + // DDR init + ret = ps7_config (ps7_ddr_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + + + + // Peripherals init + ret = ps7_config (ps7_peripherals_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + //xil_printf ("\n PCW Silicon Version : %d.0", pcw_ver); + return PS7_INIT_SUCCESS; +} + + + + +/* For delay calculation using global timer */ + +/* start timer */ + void perf_start_clock(void) +{ + *(volatile unsigned int*)SCU_GLOBAL_TIMER_CONTROL = ((1 << 0) | // Timer Enable + (1 << 3) | // Auto-increment + (0 << 8) // Pre-scale + ); +} + +/* stop timer and reset timer count regs */ + void perf_reset_clock(void) +{ + perf_disable_clock(); + *(volatile unsigned int*)SCU_GLOBAL_TIMER_COUNT_L32 = 0; + *(volatile unsigned int*)SCU_GLOBAL_TIMER_COUNT_U32 = 0; +} + +/* Compute mask for given delay in miliseconds*/ +int get_number_of_cycles_for_delay(unsigned int delay) +{ + // GTC is always clocked at 1/2 of the CPU frequency (CPU_3x2x) + return (APU_FREQ*delay/(2*1000)); + +} + +/* stop timer */ + void perf_disable_clock(void) +{ + *(volatile unsigned int*)SCU_GLOBAL_TIMER_CONTROL = 0; +} + +void perf_reset_and_start_timer() +{ + perf_reset_clock(); + perf_start_clock(); +} + + + + diff --git a/fpga/usrp3/top/e31x/ip/e31x_ps_bd/ps7_init_gpl-sg1.c b/fpga/usrp3/top/e31x/ip/e31x_ps_bd/ps7_init_gpl-sg1.c new file mode 100644 index 000000000..89d3d6f04 --- /dev/null +++ b/fpga/usrp3/top/e31x/ip/e31x_ps_bd/ps7_init_gpl-sg1.c @@ -0,0 +1,1087 @@ +/****************************************************************************** +* (c) Copyright 2010-2014 Xilinx, Inc. All rights reserved. +* +* This program is free software; you can redistribute it and/or modify +* it under the terms of the GNU General Public License as published by +* the Free Software Foundation; either version 2 of the License, or +* (at your option) any later version. +* +* This program is distributed in the hope that it will be useful, +* but WITHOUT ANY WARRANTY; without even the implied warranty of +* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +* GNU General Public License for more details. +* +* You should have received a copy of the GNU General Public License along +* +* +******************************************************************************/ +/****************************************************************************/ +/** +* +* @file ps7_init_gpl.c +* +* This file is automatically generated +* +*****************************************************************************/ + +#include "ps7_init_gpl.h" + +unsigned long ps7_pll_init_data_3_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000110, 0x003FFFF0U ,0x000FA220U), + EMIT_MASKWRITE(0XF8000100, 0x0007F000U ,0x00028000U), + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000010U), + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000001U), + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000000U), + EMIT_MASKPOLL(0XF800010C, 0x00000001U), + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000000U), + EMIT_MASKWRITE(0XF8000120, 0x1F003F30U ,0x1F000200U), + EMIT_MASKWRITE(0XF8000114, 0x003FFFF0U ,0x0012C220U), + EMIT_MASKWRITE(0XF8000104, 0x0007F000U ,0x00020000U), + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000010U), + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000001U), + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000000U), + EMIT_MASKPOLL(0XF800010C, 0x00000002U), + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000000U), + EMIT_MASKWRITE(0XF8000124, 0xFFF00003U ,0x0C200003U), + EMIT_MASKWRITE(0XF8000118, 0x003FFFF0U ,0x001452C0U), + EMIT_MASKWRITE(0XF8000108, 0x0007F000U ,0x0001E000U), + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000010U), + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000001U), + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000000U), + EMIT_MASKPOLL(0XF800010C, 0x00000004U), + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000000U), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_EXIT(), + +}; + +unsigned long ps7_clock_init_data_3_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000128, 0x03F03F01U ,0x00700F01U), + EMIT_MASKWRITE(0XF8000138, 0x00000011U ,0x00000001U), + EMIT_MASKWRITE(0XF8000140, 0x03F03F71U ,0x00100801U), + EMIT_MASKWRITE(0XF8000150, 0x00003F33U ,0x00000A01U), + EMIT_MASKWRITE(0XF8000154, 0x00003F33U ,0x00000A03U), + EMIT_MASKWRITE(0XF8000158, 0x00003F33U ,0x00000603U), + EMIT_MASKWRITE(0XF8000168, 0x00003F31U ,0x00000501U), + EMIT_MASKWRITE(0XF8000170, 0x03F03F30U ,0x00200500U), + EMIT_MASKWRITE(0XF8000180, 0x03F03F30U ,0x00500500U), + EMIT_MASKWRITE(0XF8000190, 0x03F03F30U ,0x00200300U), + EMIT_MASKWRITE(0XF80001A0, 0x03F03F30U ,0x00100500U), + EMIT_MASKWRITE(0XF80001C4, 0x00000001U ,0x00000001U), + EMIT_MASKWRITE(0XF800012C, 0x01FFCCCDU ,0x017CC44DU), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_EXIT(), + +}; + +unsigned long ps7_ddr_init_data_3_0[] = { + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000080U), + EMIT_MASKWRITE(0XF8006004, 0x0007FFFFU ,0x00001081U), + EMIT_MASKWRITE(0XF8006008, 0x03FFFFFFU ,0x03C0780FU), + EMIT_MASKWRITE(0XF800600C, 0x03FFFFFFU ,0x02001001U), + EMIT_MASKWRITE(0XF8006010, 0x03FFFFFFU ,0x00014001U), + EMIT_MASKWRITE(0XF8006014, 0x001FFFFFU ,0x0004281AU), + EMIT_MASKWRITE(0XF8006018, 0xF7FFFFFFU ,0x44E458D2U), + EMIT_MASKWRITE(0XF800601C, 0xFFFFFFFFU ,0x720238E5U), + EMIT_MASKWRITE(0XF8006020, 0x7FDFFFFCU ,0x270872D0U), + EMIT_MASKWRITE(0XF8006024, 0x0FFFFFC3U ,0x00000000U), + EMIT_MASKWRITE(0XF8006028, 0x00003FFFU ,0x00002007U), + EMIT_MASKWRITE(0XF800602C, 0xFFFFFFFFU ,0x00000008U), + EMIT_MASKWRITE(0XF8006030, 0xFFFFFFFFU ,0x00040930U), + EMIT_MASKWRITE(0XF8006034, 0x13FF3FFFU ,0x000116D4U), + EMIT_MASKWRITE(0XF8006038, 0x00000003U ,0x00000000U), + EMIT_MASKWRITE(0XF800603C, 0x000FFFFFU ,0x00000777U), + EMIT_MASKWRITE(0XF8006040, 0xFFFFFFFFU ,0xFFF00000U), + EMIT_MASKWRITE(0XF8006044, 0x0FFFFFFFU ,0x0F666666U), + EMIT_MASKWRITE(0XF8006048, 0x0003F03FU ,0x0003C008U), + EMIT_MASKWRITE(0XF8006050, 0xFF0F8FFFU ,0x77010800U), + EMIT_MASKWRITE(0XF8006058, 0x00010000U ,0x00000000U), + EMIT_MASKWRITE(0XF800605C, 0x0000FFFFU ,0x00005003U), + EMIT_MASKWRITE(0XF8006060, 0x000017FFU ,0x0000003EU), + EMIT_MASKWRITE(0XF8006064, 0x00021FE0U ,0x00020000U), + EMIT_MASKWRITE(0XF8006068, 0x03FFFFFFU ,0x00284141U), + EMIT_MASKWRITE(0XF800606C, 0x0000FFFFU ,0x00001610U), + EMIT_MASKWRITE(0XF8006078, 0x03FFFFFFU ,0x00466111U), + EMIT_MASKWRITE(0XF800607C, 0x000FFFFFU ,0x00032222U), + EMIT_MASKWRITE(0XF80060A4, 0xFFFFFFFFU ,0x10200802U), + EMIT_MASKWRITE(0XF80060A8, 0x0FFFFFFFU ,0x0690CB73U), + EMIT_MASKWRITE(0XF80060AC, 0x000001FFU ,0x000001FEU), + EMIT_MASKWRITE(0XF80060B0, 0x1FFFFFFFU ,0x1CFFFFFFU), + EMIT_MASKWRITE(0XF80060B4, 0x00000200U ,0x00000200U), + EMIT_MASKWRITE(0XF80060B8, 0x01FFFFFFU ,0x00200066U), + EMIT_MASKWRITE(0XF80060C4, 0x00000003U ,0x00000000U), + EMIT_MASKWRITE(0XF80060C8, 0x000000FFU ,0x00000000U), + EMIT_MASKWRITE(0XF80060DC, 0x00000001U ,0x00000000U), + EMIT_MASKWRITE(0XF80060F0, 0x0000FFFFU ,0x00000000U), + EMIT_MASKWRITE(0XF80060F4, 0x0000000FU ,0x00000008U), + EMIT_MASKWRITE(0XF8006114, 0x000000FFU ,0x00000000U), + EMIT_MASKWRITE(0XF8006118, 0x7FFFFFCFU ,0x40000001U), + EMIT_MASKWRITE(0XF800611C, 0x7FFFFFCFU ,0x40000001U), + EMIT_MASKWRITE(0XF8006120, 0x7FFFFFCFU ,0x40000001U), + EMIT_MASKWRITE(0XF8006124, 0x7FFFFFCFU ,0x40000001U), + EMIT_MASKWRITE(0XF800612C, 0x000FFFFFU ,0x0001E807U), + EMIT_MASKWRITE(0XF8006130, 0x000FFFFFU ,0x0001EC05U), + EMIT_MASKWRITE(0XF8006134, 0x000FFFFFU ,0x0001EC05U), + EMIT_MASKWRITE(0XF8006138, 0x000FFFFFU ,0x0001E001U), + EMIT_MASKWRITE(0XF8006140, 0x000FFFFFU ,0x00000035U), + EMIT_MASKWRITE(0XF8006144, 0x000FFFFFU ,0x00000035U), + EMIT_MASKWRITE(0XF8006148, 0x000FFFFFU ,0x00000035U), + EMIT_MASKWRITE(0XF800614C, 0x000FFFFFU ,0x00000035U), + EMIT_MASKWRITE(0XF8006154, 0x000FFFFFU ,0x00000087U), + EMIT_MASKWRITE(0XF8006158, 0x000FFFFFU ,0x00000085U), + EMIT_MASKWRITE(0XF800615C, 0x000FFFFFU ,0x00000085U), + EMIT_MASKWRITE(0XF8006160, 0x000FFFFFU ,0x00000081U), + EMIT_MASKWRITE(0XF8006168, 0x001FFFFFU ,0x000000CFU), + EMIT_MASKWRITE(0XF800616C, 0x001FFFFFU ,0x000000D0U), + EMIT_MASKWRITE(0XF8006170, 0x001FFFFFU ,0x000000D0U), + EMIT_MASKWRITE(0XF8006174, 0x001FFFFFU ,0x000000CDU), + EMIT_MASKWRITE(0XF800617C, 0x000FFFFFU ,0x000000C7U), + EMIT_MASKWRITE(0XF8006180, 0x000FFFFFU ,0x000000C5U), + EMIT_MASKWRITE(0XF8006184, 0x000FFFFFU ,0x000000C5U), + EMIT_MASKWRITE(0XF8006188, 0x000FFFFFU ,0x000000C1U), + EMIT_MASKWRITE(0XF8006190, 0x6FFFFEFEU ,0x00040080U), + EMIT_MASKWRITE(0XF8006194, 0x000FFFFFU ,0x0001FC82U), + EMIT_MASKWRITE(0XF8006204, 0xFFFFFFFFU ,0x00000000U), + EMIT_MASKWRITE(0XF8006208, 0x000703FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF800620C, 0x000703FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF8006210, 0x000703FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF8006214, 0x000703FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF8006218, 0x000F03FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF800621C, 0x000F03FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF8006220, 0x000F03FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF8006224, 0x000F03FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF80062A8, 0x00000FF5U ,0x00000000U), + EMIT_MASKWRITE(0XF80062AC, 0xFFFFFFFFU ,0x00000000U), + EMIT_MASKWRITE(0XF80062B0, 0x003FFFFFU ,0x00005125U), + EMIT_MASKWRITE(0XF80062B4, 0x0003FFFFU ,0x000012A8U), + EMIT_MASKPOLL(0XF8000B74, 0x00002000U), + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000081U), + EMIT_MASKPOLL(0XF8006054, 0x00000007U), + EMIT_EXIT(), + +}; + +unsigned long ps7_mio_init_data_3_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000B40, 0x00000FFFU ,0x00000600U), + EMIT_MASKWRITE(0XF8000B44, 0x00000FFFU ,0x00000600U), + EMIT_MASKWRITE(0XF8000B48, 0x00000FFFU ,0x00000672U), + EMIT_MASKWRITE(0XF8000B4C, 0x00000FFFU ,0x00000672U), + EMIT_MASKWRITE(0XF8000B50, 0x00000FFFU ,0x00000674U), + EMIT_MASKWRITE(0XF8000B54, 0x00000FFFU ,0x00000674U), + EMIT_MASKWRITE(0XF8000B58, 0x00000FFFU ,0x00000600U), + EMIT_MASKWRITE(0XF8000B5C, 0xFFFFFFFFU ,0x0018C61CU), + EMIT_MASKWRITE(0XF8000B60, 0xFFFFFFFFU ,0x00F9861CU), + EMIT_MASKWRITE(0XF8000B64, 0xFFFFFFFFU ,0x00F9861CU), + EMIT_MASKWRITE(0XF8000B68, 0xFFFFFFFFU ,0x00F9861CU), + EMIT_MASKWRITE(0XF8000B6C, 0x00007FFFU ,0x00000260U), + EMIT_MASKWRITE(0XF8000B70, 0x00000001U ,0x00000001U), + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000020U), + EMIT_MASKWRITE(0XF8000B70, 0x07FEFFFFU ,0x00000823U), + EMIT_MASKWRITE(0XF8000700, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000704, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000708, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF800070C, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000710, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000714, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000718, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF800071C, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000720, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000724, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000728, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF800072C, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000730, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000734, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000738, 0x00003FFFU ,0x000012E1U), + EMIT_MASKWRITE(0XF800073C, 0x00003FFFU ,0x000012E0U), + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001205U), + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001205U), + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001205U), + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007B8, 0x00003FFFU ,0x00001240U), + EMIT_MASKWRITE(0XF80007BC, 0x00003FFFU ,0x00001240U), + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000012E0U), + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000012E1U), + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF8000830, 0x003F003FU ,0x00380037U), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_EXIT(), + +}; + +unsigned long ps7_peripherals_init_data_3_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000B48, 0x00000180U ,0x00000180U), + EMIT_MASKWRITE(0XF8000B4C, 0x00000180U ,0x00000180U), + EMIT_MASKWRITE(0XF8000B50, 0x00000180U ,0x00000180U), + EMIT_MASKWRITE(0XF8000B54, 0x00000180U ,0x00000180U), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_MASKWRITE(0XE0001034, 0x000000FFU ,0x00000006U), + EMIT_MASKWRITE(0XE0001018, 0x0000FFFFU ,0x0000007CU), + EMIT_MASKWRITE(0XE0001000, 0x000001FFU ,0x00000017U), + EMIT_MASKWRITE(0XE0001004, 0x000003FFU ,0x00000020U), + EMIT_MASKWRITE(0XE0000034, 0x000000FFU ,0x00000006U), + EMIT_MASKWRITE(0XE0000018, 0x0000FFFFU ,0x0000007CU), + EMIT_MASKWRITE(0XE0000000, 0x000001FFU ,0x00000017U), + EMIT_MASKWRITE(0XE0000004, 0x000003FFU ,0x00000020U), + EMIT_MASKWRITE(0XE000D000, 0x00080000U ,0x00080000U), + EMIT_MASKWRITE(0XF8007000, 0x20000000U ,0x00000000U), + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0000U), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70000U), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_EXIT(), + +}; + +unsigned long ps7_post_config_3_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000900, 0x0000000FU ,0x0000000FU), + EMIT_MASKWRITE(0XF8000240, 0xFFFFFFFFU ,0x00000000U), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_EXIT(), + +}; + +unsigned long ps7_debug_3_0[] = { + EMIT_WRITE(0XF8898FB0, 0xC5ACCE55U), + EMIT_WRITE(0XF8899FB0, 0xC5ACCE55U), + EMIT_WRITE(0XF8809FB0, 0xC5ACCE55U), + EMIT_EXIT(), + +}; + +unsigned long ps7_pll_init_data_2_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000110, 0x003FFFF0U ,0x000FA220U), + EMIT_MASKWRITE(0XF8000100, 0x0007F000U ,0x00028000U), + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000010U), + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000001U), + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000000U), + EMIT_MASKPOLL(0XF800010C, 0x00000001U), + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000000U), + EMIT_MASKWRITE(0XF8000120, 0x1F003F30U ,0x1F000200U), + EMIT_MASKWRITE(0XF8000114, 0x003FFFF0U ,0x0012C220U), + EMIT_MASKWRITE(0XF8000104, 0x0007F000U ,0x00020000U), + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000010U), + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000001U), + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000000U), + EMIT_MASKPOLL(0XF800010C, 0x00000002U), + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000000U), + EMIT_MASKWRITE(0XF8000124, 0xFFF00003U ,0x0C200003U), + EMIT_MASKWRITE(0XF8000118, 0x003FFFF0U ,0x001452C0U), + EMIT_MASKWRITE(0XF8000108, 0x0007F000U ,0x0001E000U), + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000010U), + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000001U), + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000000U), + EMIT_MASKPOLL(0XF800010C, 0x00000004U), + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000000U), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_EXIT(), + +}; + +unsigned long ps7_clock_init_data_2_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000128, 0x03F03F01U ,0x00700F01U), + EMIT_MASKWRITE(0XF8000138, 0x00000011U ,0x00000001U), + EMIT_MASKWRITE(0XF8000140, 0x03F03F71U ,0x00100801U), + EMIT_MASKWRITE(0XF8000150, 0x00003F33U ,0x00000A01U), + EMIT_MASKWRITE(0XF8000154, 0x00003F33U ,0x00000A03U), + EMIT_MASKWRITE(0XF8000158, 0x00003F33U ,0x00000603U), + EMIT_MASKWRITE(0XF8000168, 0x00003F31U ,0x00000501U), + EMIT_MASKWRITE(0XF8000170, 0x03F03F30U ,0x00200500U), + EMIT_MASKWRITE(0XF8000180, 0x03F03F30U ,0x00500500U), + EMIT_MASKWRITE(0XF8000190, 0x03F03F30U ,0x00200300U), + EMIT_MASKWRITE(0XF80001A0, 0x03F03F30U ,0x00100500U), + EMIT_MASKWRITE(0XF80001C4, 0x00000001U ,0x00000001U), + EMIT_MASKWRITE(0XF800012C, 0x01FFCCCDU ,0x017CC44DU), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_EXIT(), + +}; + +unsigned long ps7_ddr_init_data_2_0[] = { + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000080U), + EMIT_MASKWRITE(0XF8006004, 0x1FFFFFFFU ,0x00081081U), + EMIT_MASKWRITE(0XF8006008, 0x03FFFFFFU ,0x03C0780FU), + EMIT_MASKWRITE(0XF800600C, 0x03FFFFFFU ,0x02001001U), + EMIT_MASKWRITE(0XF8006010, 0x03FFFFFFU ,0x00014001U), + EMIT_MASKWRITE(0XF8006014, 0x001FFFFFU ,0x0004281AU), + EMIT_MASKWRITE(0XF8006018, 0xF7FFFFFFU ,0x44E458D2U), + EMIT_MASKWRITE(0XF800601C, 0xFFFFFFFFU ,0x720238E5U), + EMIT_MASKWRITE(0XF8006020, 0xFFFFFFFCU ,0x272872D0U), + EMIT_MASKWRITE(0XF8006024, 0x0FFFFFFFU ,0x0000003CU), + EMIT_MASKWRITE(0XF8006028, 0x00003FFFU ,0x00002007U), + EMIT_MASKWRITE(0XF800602C, 0xFFFFFFFFU ,0x00000008U), + EMIT_MASKWRITE(0XF8006030, 0xFFFFFFFFU ,0x00040930U), + EMIT_MASKWRITE(0XF8006034, 0x13FF3FFFU ,0x000116D4U), + EMIT_MASKWRITE(0XF8006038, 0x00001FC3U ,0x00000000U), + EMIT_MASKWRITE(0XF800603C, 0x000FFFFFU ,0x00000777U), + EMIT_MASKWRITE(0XF8006040, 0xFFFFFFFFU ,0xFFF00000U), + EMIT_MASKWRITE(0XF8006044, 0x0FFFFFFFU ,0x0F666666U), + EMIT_MASKWRITE(0XF8006048, 0x3FFFFFFFU ,0x0003C248U), + EMIT_MASKWRITE(0XF8006050, 0xFF0F8FFFU ,0x77010800U), + EMIT_MASKWRITE(0XF8006058, 0x0001FFFFU ,0x00000101U), + EMIT_MASKWRITE(0XF800605C, 0x0000FFFFU ,0x00005003U), + EMIT_MASKWRITE(0XF8006060, 0x000017FFU ,0x0000003EU), + EMIT_MASKWRITE(0XF8006064, 0x00021FE0U ,0x00020000U), + EMIT_MASKWRITE(0XF8006068, 0x03FFFFFFU ,0x00284141U), + EMIT_MASKWRITE(0XF800606C, 0x0000FFFFU ,0x00001610U), + EMIT_MASKWRITE(0XF8006078, 0x03FFFFFFU ,0x00466111U), + EMIT_MASKWRITE(0XF800607C, 0x000FFFFFU ,0x00032222U), + EMIT_MASKWRITE(0XF80060A0, 0x00FFFFFFU ,0x00008000U), + EMIT_MASKWRITE(0XF80060A4, 0xFFFFFFFFU ,0x10200802U), + EMIT_MASKWRITE(0XF80060A8, 0x0FFFFFFFU ,0x0690CB73U), + EMIT_MASKWRITE(0XF80060AC, 0x000001FFU ,0x000001FEU), + EMIT_MASKWRITE(0XF80060B0, 0x1FFFFFFFU ,0x1CFFFFFFU), + EMIT_MASKWRITE(0XF80060B4, 0x000007FFU ,0x00000200U), + EMIT_MASKWRITE(0XF80060B8, 0x01FFFFFFU ,0x00200066U), + EMIT_MASKWRITE(0XF80060C4, 0x00000003U ,0x00000000U), + EMIT_MASKWRITE(0XF80060C8, 0x000000FFU ,0x00000000U), + EMIT_MASKWRITE(0XF80060DC, 0x00000001U ,0x00000000U), + EMIT_MASKWRITE(0XF80060F0, 0x0000FFFFU ,0x00000000U), + EMIT_MASKWRITE(0XF80060F4, 0x0000000FU ,0x00000008U), + EMIT_MASKWRITE(0XF8006114, 0x000000FFU ,0x00000000U), + EMIT_MASKWRITE(0XF8006118, 0x7FFFFFFFU ,0x40000001U), + EMIT_MASKWRITE(0XF800611C, 0x7FFFFFFFU ,0x40000001U), + EMIT_MASKWRITE(0XF8006120, 0x7FFFFFFFU ,0x40000001U), + EMIT_MASKWRITE(0XF8006124, 0x7FFFFFFFU ,0x40000001U), + EMIT_MASKWRITE(0XF800612C, 0x000FFFFFU ,0x0001E807U), + EMIT_MASKWRITE(0XF8006130, 0x000FFFFFU ,0x0001EC05U), + EMIT_MASKWRITE(0XF8006134, 0x000FFFFFU ,0x0001EC05U), + EMIT_MASKWRITE(0XF8006138, 0x000FFFFFU ,0x0001E001U), + EMIT_MASKWRITE(0XF8006140, 0x000FFFFFU ,0x00000035U), + EMIT_MASKWRITE(0XF8006144, 0x000FFFFFU ,0x00000035U), + EMIT_MASKWRITE(0XF8006148, 0x000FFFFFU ,0x00000035U), + EMIT_MASKWRITE(0XF800614C, 0x000FFFFFU ,0x00000035U), + EMIT_MASKWRITE(0XF8006154, 0x000FFFFFU ,0x00000087U), + EMIT_MASKWRITE(0XF8006158, 0x000FFFFFU ,0x00000085U), + EMIT_MASKWRITE(0XF800615C, 0x000FFFFFU ,0x00000085U), + EMIT_MASKWRITE(0XF8006160, 0x000FFFFFU ,0x00000081U), + EMIT_MASKWRITE(0XF8006168, 0x001FFFFFU ,0x000000CFU), + EMIT_MASKWRITE(0XF800616C, 0x001FFFFFU ,0x000000D0U), + EMIT_MASKWRITE(0XF8006170, 0x001FFFFFU ,0x000000D0U), + EMIT_MASKWRITE(0XF8006174, 0x001FFFFFU ,0x000000CDU), + EMIT_MASKWRITE(0XF800617C, 0x000FFFFFU ,0x000000C7U), + EMIT_MASKWRITE(0XF8006180, 0x000FFFFFU ,0x000000C5U), + EMIT_MASKWRITE(0XF8006184, 0x000FFFFFU ,0x000000C5U), + EMIT_MASKWRITE(0XF8006188, 0x000FFFFFU ,0x000000C1U), + EMIT_MASKWRITE(0XF8006190, 0xFFFFFFFFU ,0x10040080U), + EMIT_MASKWRITE(0XF8006194, 0x000FFFFFU ,0x0001FC82U), + EMIT_MASKWRITE(0XF8006204, 0xFFFFFFFFU ,0x00000000U), + EMIT_MASKWRITE(0XF8006208, 0x000F03FFU ,0x000803FFU), + EMIT_MASKWRITE(0XF800620C, 0x000F03FFU ,0x000803FFU), + EMIT_MASKWRITE(0XF8006210, 0x000F03FFU ,0x000803FFU), + EMIT_MASKWRITE(0XF8006214, 0x000F03FFU ,0x000803FFU), + EMIT_MASKWRITE(0XF8006218, 0x000F03FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF800621C, 0x000F03FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF8006220, 0x000F03FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF8006224, 0x000F03FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF80062A8, 0x00000FF7U ,0x00000000U), + EMIT_MASKWRITE(0XF80062AC, 0xFFFFFFFFU ,0x00000000U), + EMIT_MASKWRITE(0XF80062B0, 0x003FFFFFU ,0x00005125U), + EMIT_MASKWRITE(0XF80062B4, 0x0003FFFFU ,0x000012A8U), + EMIT_MASKPOLL(0XF8000B74, 0x00002000U), + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000081U), + EMIT_MASKPOLL(0XF8006054, 0x00000007U), + EMIT_EXIT(), + +}; + +unsigned long ps7_mio_init_data_2_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000B40, 0x00000FFFU ,0x00000600U), + EMIT_MASKWRITE(0XF8000B44, 0x00000FFFU ,0x00000600U), + EMIT_MASKWRITE(0XF8000B48, 0x00000FFFU ,0x00000672U), + EMIT_MASKWRITE(0XF8000B4C, 0x00000FFFU ,0x00000672U), + EMIT_MASKWRITE(0XF8000B50, 0x00000FFFU ,0x00000674U), + EMIT_MASKWRITE(0XF8000B54, 0x00000FFFU ,0x00000674U), + EMIT_MASKWRITE(0XF8000B58, 0x00000FFFU ,0x00000600U), + EMIT_MASKWRITE(0XF8000B5C, 0xFFFFFFFFU ,0x0018C61CU), + EMIT_MASKWRITE(0XF8000B60, 0xFFFFFFFFU ,0x00F9861CU), + EMIT_MASKWRITE(0XF8000B64, 0xFFFFFFFFU ,0x00F9861CU), + EMIT_MASKWRITE(0XF8000B68, 0xFFFFFFFFU ,0x00F9861CU), + EMIT_MASKWRITE(0XF8000B6C, 0x00007FFFU ,0x00000260U), + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000021U), + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000020U), + EMIT_MASKWRITE(0XF8000B70, 0x07FFFFFFU ,0x00000823U), + EMIT_MASKWRITE(0XF8000700, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000704, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000708, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF800070C, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000710, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000714, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000718, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF800071C, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000720, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000724, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000728, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF800072C, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000730, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000734, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000738, 0x00003FFFU ,0x000012E1U), + EMIT_MASKWRITE(0XF800073C, 0x00003FFFU ,0x000012E0U), + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001205U), + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001205U), + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001205U), + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007B8, 0x00003FFFU ,0x00001240U), + EMIT_MASKWRITE(0XF80007BC, 0x00003FFFU ,0x00001240U), + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000012E0U), + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000012E1U), + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF8000830, 0x003F003FU ,0x00380037U), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_EXIT(), + +}; + +unsigned long ps7_peripherals_init_data_2_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000B48, 0x00000180U ,0x00000180U), + EMIT_MASKWRITE(0XF8000B4C, 0x00000180U ,0x00000180U), + EMIT_MASKWRITE(0XF8000B50, 0x00000180U ,0x00000180U), + EMIT_MASKWRITE(0XF8000B54, 0x00000180U ,0x00000180U), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_MASKWRITE(0XE0001034, 0x000000FFU ,0x00000006U), + EMIT_MASKWRITE(0XE0001018, 0x0000FFFFU ,0x0000007CU), + EMIT_MASKWRITE(0XE0001000, 0x000001FFU ,0x00000017U), + EMIT_MASKWRITE(0XE0001004, 0x00000FFFU ,0x00000020U), + EMIT_MASKWRITE(0XE0000034, 0x000000FFU ,0x00000006U), + EMIT_MASKWRITE(0XE0000018, 0x0000FFFFU ,0x0000007CU), + EMIT_MASKWRITE(0XE0000000, 0x000001FFU ,0x00000017U), + EMIT_MASKWRITE(0XE0000004, 0x00000FFFU ,0x00000020U), + EMIT_MASKWRITE(0XE000D000, 0x00080000U ,0x00080000U), + EMIT_MASKWRITE(0XF8007000, 0x20000000U ,0x00000000U), + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0000U), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70000U), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_EXIT(), + +}; + +unsigned long ps7_post_config_2_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000900, 0x0000000FU ,0x0000000FU), + EMIT_MASKWRITE(0XF8000240, 0xFFFFFFFFU ,0x00000000U), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_EXIT(), + +}; + +unsigned long ps7_debug_2_0[] = { + EMIT_WRITE(0XF8898FB0, 0xC5ACCE55U), + EMIT_WRITE(0XF8899FB0, 0xC5ACCE55U), + EMIT_WRITE(0XF8809FB0, 0xC5ACCE55U), + EMIT_EXIT(), + +}; + +unsigned long ps7_pll_init_data_1_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000110, 0x003FFFF0U ,0x000FA220U), + EMIT_MASKWRITE(0XF8000100, 0x0007F000U ,0x00028000U), + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000010U), + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000001U), + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000000U), + EMIT_MASKPOLL(0XF800010C, 0x00000001U), + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000000U), + EMIT_MASKWRITE(0XF8000120, 0x1F003F30U ,0x1F000200U), + EMIT_MASKWRITE(0XF8000114, 0x003FFFF0U ,0x0012C220U), + EMIT_MASKWRITE(0XF8000104, 0x0007F000U ,0x00020000U), + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000010U), + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000001U), + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000000U), + EMIT_MASKPOLL(0XF800010C, 0x00000002U), + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000000U), + EMIT_MASKWRITE(0XF8000124, 0xFFF00003U ,0x0C200003U), + EMIT_MASKWRITE(0XF8000118, 0x003FFFF0U ,0x001452C0U), + EMIT_MASKWRITE(0XF8000108, 0x0007F000U ,0x0001E000U), + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000010U), + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000001U), + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000000U), + EMIT_MASKPOLL(0XF800010C, 0x00000004U), + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000000U), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_EXIT(), + +}; + +unsigned long ps7_clock_init_data_1_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000128, 0x03F03F01U ,0x00700F01U), + EMIT_MASKWRITE(0XF8000138, 0x00000011U ,0x00000001U), + EMIT_MASKWRITE(0XF8000140, 0x03F03F71U ,0x00100801U), + EMIT_MASKWRITE(0XF8000150, 0x00003F33U ,0x00000A01U), + EMIT_MASKWRITE(0XF8000154, 0x00003F33U ,0x00000A03U), + EMIT_MASKWRITE(0XF8000158, 0x00003F33U ,0x00000603U), + EMIT_MASKWRITE(0XF8000168, 0x00003F31U ,0x00000501U), + EMIT_MASKWRITE(0XF8000170, 0x03F03F30U ,0x00200500U), + EMIT_MASKWRITE(0XF8000180, 0x03F03F30U ,0x00500500U), + EMIT_MASKWRITE(0XF8000190, 0x03F03F30U ,0x00200300U), + EMIT_MASKWRITE(0XF80001A0, 0x03F03F30U ,0x00100500U), + EMIT_MASKWRITE(0XF80001C4, 0x00000001U ,0x00000001U), + EMIT_MASKWRITE(0XF800012C, 0x01FFCCCDU ,0x017CC44DU), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_EXIT(), + +}; + +unsigned long ps7_ddr_init_data_1_0[] = { + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000080U), + EMIT_MASKWRITE(0XF8006004, 0x1FFFFFFFU ,0x00081081U), + EMIT_MASKWRITE(0XF8006008, 0x03FFFFFFU ,0x03C0780FU), + EMIT_MASKWRITE(0XF800600C, 0x03FFFFFFU ,0x02001001U), + EMIT_MASKWRITE(0XF8006010, 0x03FFFFFFU ,0x00014001U), + EMIT_MASKWRITE(0XF8006014, 0x001FFFFFU ,0x0004281AU), + EMIT_MASKWRITE(0XF8006018, 0xF7FFFFFFU ,0x44E458D2U), + EMIT_MASKWRITE(0XF800601C, 0xFFFFFFFFU ,0x720238E5U), + EMIT_MASKWRITE(0XF8006020, 0xFFFFFFFCU ,0x272872D0U), + EMIT_MASKWRITE(0XF8006024, 0x0FFFFFFFU ,0x0000003CU), + EMIT_MASKWRITE(0XF8006028, 0x00003FFFU ,0x00002007U), + EMIT_MASKWRITE(0XF800602C, 0xFFFFFFFFU ,0x00000008U), + EMIT_MASKWRITE(0XF8006030, 0xFFFFFFFFU ,0x00040930U), + EMIT_MASKWRITE(0XF8006034, 0x13FF3FFFU ,0x000116D4U), + EMIT_MASKWRITE(0XF8006038, 0x00001FC3U ,0x00000000U), + EMIT_MASKWRITE(0XF800603C, 0x000FFFFFU ,0x00000777U), + EMIT_MASKWRITE(0XF8006040, 0xFFFFFFFFU ,0xFFF00000U), + EMIT_MASKWRITE(0XF8006044, 0x0FFFFFFFU ,0x0F666666U), + EMIT_MASKWRITE(0XF8006048, 0x3FFFFFFFU ,0x0003C248U), + EMIT_MASKWRITE(0XF8006050, 0xFF0F8FFFU ,0x77010800U), + EMIT_MASKWRITE(0XF8006058, 0x0001FFFFU ,0x00000101U), + EMIT_MASKWRITE(0XF800605C, 0x0000FFFFU ,0x00005003U), + EMIT_MASKWRITE(0XF8006060, 0x000017FFU ,0x0000003EU), + EMIT_MASKWRITE(0XF8006064, 0x00021FE0U ,0x00020000U), + EMIT_MASKWRITE(0XF8006068, 0x03FFFFFFU ,0x00284141U), + EMIT_MASKWRITE(0XF800606C, 0x0000FFFFU ,0x00001610U), + EMIT_MASKWRITE(0XF80060A0, 0x00FFFFFFU ,0x00008000U), + EMIT_MASKWRITE(0XF80060A4, 0xFFFFFFFFU ,0x10200802U), + EMIT_MASKWRITE(0XF80060A8, 0x0FFFFFFFU ,0x0690CB73U), + EMIT_MASKWRITE(0XF80060AC, 0x000001FFU ,0x000001FEU), + EMIT_MASKWRITE(0XF80060B0, 0x1FFFFFFFU ,0x1CFFFFFFU), + EMIT_MASKWRITE(0XF80060B4, 0x000007FFU ,0x00000200U), + EMIT_MASKWRITE(0XF80060B8, 0x01FFFFFFU ,0x00200066U), + EMIT_MASKWRITE(0XF80060C4, 0x00000003U ,0x00000000U), + EMIT_MASKWRITE(0XF80060C8, 0x000000FFU ,0x00000000U), + EMIT_MASKWRITE(0XF80060DC, 0x00000001U ,0x00000000U), + EMIT_MASKWRITE(0XF80060F0, 0x0000FFFFU ,0x00000000U), + EMIT_MASKWRITE(0XF80060F4, 0x0000000FU ,0x00000008U), + EMIT_MASKWRITE(0XF8006114, 0x000000FFU ,0x00000000U), + EMIT_MASKWRITE(0XF8006118, 0x7FFFFFFFU ,0x40000001U), + EMIT_MASKWRITE(0XF800611C, 0x7FFFFFFFU ,0x40000001U), + EMIT_MASKWRITE(0XF8006120, 0x7FFFFFFFU ,0x40000001U), + EMIT_MASKWRITE(0XF8006124, 0x7FFFFFFFU ,0x40000001U), + EMIT_MASKWRITE(0XF800612C, 0x000FFFFFU ,0x0001E807U), + EMIT_MASKWRITE(0XF8006130, 0x000FFFFFU ,0x0001EC05U), + EMIT_MASKWRITE(0XF8006134, 0x000FFFFFU ,0x0001EC05U), + EMIT_MASKWRITE(0XF8006138, 0x000FFFFFU ,0x0001E001U), + EMIT_MASKWRITE(0XF8006140, 0x000FFFFFU ,0x00000035U), + EMIT_MASKWRITE(0XF8006144, 0x000FFFFFU ,0x00000035U), + EMIT_MASKWRITE(0XF8006148, 0x000FFFFFU ,0x00000035U), + EMIT_MASKWRITE(0XF800614C, 0x000FFFFFU ,0x00000035U), + EMIT_MASKWRITE(0XF8006154, 0x000FFFFFU ,0x00000087U), + EMIT_MASKWRITE(0XF8006158, 0x000FFFFFU ,0x00000085U), + EMIT_MASKWRITE(0XF800615C, 0x000FFFFFU ,0x00000085U), + EMIT_MASKWRITE(0XF8006160, 0x000FFFFFU ,0x00000081U), + EMIT_MASKWRITE(0XF8006168, 0x001FFFFFU ,0x000000CFU), + EMIT_MASKWRITE(0XF800616C, 0x001FFFFFU ,0x000000D0U), + EMIT_MASKWRITE(0XF8006170, 0x001FFFFFU ,0x000000D0U), + EMIT_MASKWRITE(0XF8006174, 0x001FFFFFU ,0x000000CDU), + EMIT_MASKWRITE(0XF800617C, 0x000FFFFFU ,0x000000C7U), + EMIT_MASKWRITE(0XF8006180, 0x000FFFFFU ,0x000000C5U), + EMIT_MASKWRITE(0XF8006184, 0x000FFFFFU ,0x000000C5U), + EMIT_MASKWRITE(0XF8006188, 0x000FFFFFU ,0x000000C1U), + EMIT_MASKWRITE(0XF8006190, 0xFFFFFFFFU ,0x10040080U), + EMIT_MASKWRITE(0XF8006194, 0x000FFFFFU ,0x0001FC82U), + EMIT_MASKWRITE(0XF8006204, 0xFFFFFFFFU ,0x00000000U), + EMIT_MASKWRITE(0XF8006208, 0x000F03FFU ,0x000803FFU), + EMIT_MASKWRITE(0XF800620C, 0x000F03FFU ,0x000803FFU), + EMIT_MASKWRITE(0XF8006210, 0x000F03FFU ,0x000803FFU), + EMIT_MASKWRITE(0XF8006214, 0x000F03FFU ,0x000803FFU), + EMIT_MASKWRITE(0XF8006218, 0x000F03FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF800621C, 0x000F03FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF8006220, 0x000F03FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF8006224, 0x000F03FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF80062A8, 0x00000FF7U ,0x00000000U), + EMIT_MASKWRITE(0XF80062AC, 0xFFFFFFFFU ,0x00000000U), + EMIT_MASKWRITE(0XF80062B0, 0x003FFFFFU ,0x00005125U), + EMIT_MASKWRITE(0XF80062B4, 0x0003FFFFU ,0x000012A8U), + EMIT_MASKPOLL(0XF8000B74, 0x00002000U), + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000081U), + EMIT_MASKPOLL(0XF8006054, 0x00000007U), + EMIT_EXIT(), + +}; + +unsigned long ps7_mio_init_data_1_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000B40, 0x00000FFFU ,0x00000600U), + EMIT_MASKWRITE(0XF8000B44, 0x00000FFFU ,0x00000600U), + EMIT_MASKWRITE(0XF8000B48, 0x00000FFFU ,0x00000672U), + EMIT_MASKWRITE(0XF8000B4C, 0x00000FFFU ,0x00000672U), + EMIT_MASKWRITE(0XF8000B50, 0x00000FFFU ,0x00000674U), + EMIT_MASKWRITE(0XF8000B54, 0x00000FFFU ,0x00000674U), + EMIT_MASKWRITE(0XF8000B58, 0x00000FFFU ,0x00000600U), + EMIT_MASKWRITE(0XF8000B5C, 0xFFFFFFFFU ,0x0018C61CU), + EMIT_MASKWRITE(0XF8000B60, 0xFFFFFFFFU ,0x00F9861CU), + EMIT_MASKWRITE(0XF8000B64, 0xFFFFFFFFU ,0x00F9861CU), + EMIT_MASKWRITE(0XF8000B68, 0xFFFFFFFFU ,0x00F9861CU), + EMIT_MASKWRITE(0XF8000B6C, 0x000073FFU ,0x00000260U), + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000021U), + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000020U), + EMIT_MASKWRITE(0XF8000B70, 0x07FFFFFFU ,0x00000823U), + EMIT_MASKWRITE(0XF8000700, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000704, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000708, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF800070C, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000710, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000714, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000718, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF800071C, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000720, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000724, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000728, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF800072C, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000730, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000734, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000738, 0x00003FFFU ,0x000012E1U), + EMIT_MASKWRITE(0XF800073C, 0x00003FFFU ,0x000012E0U), + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001205U), + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001205U), + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001205U), + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007B8, 0x00003FFFU ,0x00001240U), + EMIT_MASKWRITE(0XF80007BC, 0x00003FFFU ,0x00001240U), + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000012E0U), + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000012E1U), + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF8000830, 0x003F003FU ,0x00380037U), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_EXIT(), + +}; + +unsigned long ps7_peripherals_init_data_1_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000B48, 0x00000180U ,0x00000180U), + EMIT_MASKWRITE(0XF8000B4C, 0x00000180U ,0x00000180U), + EMIT_MASKWRITE(0XF8000B50, 0x00000180U ,0x00000180U), + EMIT_MASKWRITE(0XF8000B54, 0x00000180U ,0x00000180U), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_MASKWRITE(0XE0001034, 0x000000FFU ,0x00000006U), + EMIT_MASKWRITE(0XE0001018, 0x0000FFFFU ,0x0000007CU), + EMIT_MASKWRITE(0XE0001000, 0x000001FFU ,0x00000017U), + EMIT_MASKWRITE(0XE0001004, 0x00000FFFU ,0x00000020U), + EMIT_MASKWRITE(0XE0000034, 0x000000FFU ,0x00000006U), + EMIT_MASKWRITE(0XE0000018, 0x0000FFFFU ,0x0000007CU), + EMIT_MASKWRITE(0XE0000000, 0x000001FFU ,0x00000017U), + EMIT_MASKWRITE(0XE0000004, 0x00000FFFU ,0x00000020U), + EMIT_MASKWRITE(0XE000D000, 0x00080000U ,0x00080000U), + EMIT_MASKWRITE(0XF8007000, 0x20000000U ,0x00000000U), + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0000U), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70000U), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_EXIT(), + +}; + +unsigned long ps7_post_config_1_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000900, 0x0000000FU ,0x0000000FU), + EMIT_MASKWRITE(0XF8000240, 0xFFFFFFFFU ,0x00000000U), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_EXIT(), + +}; + +unsigned long ps7_debug_1_0[] = { + EMIT_WRITE(0XF8898FB0, 0xC5ACCE55U), + EMIT_WRITE(0XF8899FB0, 0xC5ACCE55U), + EMIT_WRITE(0XF8809FB0, 0xC5ACCE55U), + EMIT_EXIT(), + +}; + + +#include "xil_io.h" +#define PS7_MASK_POLL_TIME 100000000 + +char* +getPS7MessageInfo(unsigned key) { + + char* err_msg = ""; + switch (key) { + case PS7_INIT_SUCCESS: err_msg = "PS7 initialization successful"; break; + case PS7_INIT_CORRUPT: err_msg = "PS7 init Data Corrupted"; break; + case PS7_INIT_TIMEOUT: err_msg = "PS7 init mask poll timeout"; break; + case PS7_POLL_FAILED_DDR_INIT: err_msg = "Mask Poll failed for DDR Init"; break; + case PS7_POLL_FAILED_DMA: err_msg = "Mask Poll failed for PLL Init"; break; + case PS7_POLL_FAILED_PLL: err_msg = "Mask Poll failed for DMA done bit"; break; + default: err_msg = "Undefined error status"; break; + } + + return err_msg; +} + +unsigned long +ps7GetSiliconVersion () { + unsigned long mask = 0xF0000000; + unsigned long *addr = (unsigned long*) 0XF8007080; + unsigned long ps_version = (*addr & mask) >> 28; + return ps_version; +} + +void mask_write (unsigned long add , unsigned long mask, unsigned long val ) { + volatile unsigned long *addr = (volatile unsigned long*) add; + *addr = ( val & mask ) | ( *addr & ~mask); +} + + +int mask_poll(unsigned long add , unsigned long mask ) { + volatile unsigned long *addr = (volatile unsigned long*) add; + int i = 0; + while (!(*addr & mask)) { + if (i == PS7_MASK_POLL_TIME) { + return -1; + } + i++; + } + return 1; +} + +unsigned long mask_read(unsigned long add , unsigned long mask ) { + volatile unsigned long *addr = (volatile unsigned long*) add; + unsigned long val = (*addr & mask); + return val; +} + + + +int +ps7_config(unsigned long * ps7_config_init) +{ + unsigned long *ptr = ps7_config_init; + + + + + while( finish < 0 ) { + numargs = ptr[0] & 0xF; + opcode = ptr[0] >> 4; + + for( j = 0 ; j < numargs ; j ++ ) + args[j] = ptr[j+1]; + ptr += numargs + 1; + + + switch ( opcode ) { + + case OPCODE_EXIT: + finish = PS7_INIT_SUCCESS; + break; + + case OPCODE_CLEAR: + addr = (unsigned long*) args[0]; + *addr = 0; + break; + + case OPCODE_WRITE: + addr = (unsigned long*) args[0]; + val = args[1]; + *addr = val; + break; + + case OPCODE_MASKWRITE: + addr = (unsigned long*) args[0]; + mask = args[1]; + val = args[2]; + *addr = ( val & mask ) | ( *addr & ~mask); + break; + + case OPCODE_MASKPOLL: + addr = (unsigned long*) args[0]; + mask = args[1]; + i = 0; + while (!(*addr & mask)) { + if (i == PS7_MASK_POLL_TIME) { + finish = PS7_INIT_TIMEOUT; + break; + } + i++; + } + break; + case OPCODE_MASKDELAY: + addr = (unsigned long*) args[0]; + mask = args[1]; + int delay = get_number_of_cycles_for_delay(mask); + perf_reset_and_start_timer(); + while ((*addr < delay)) { + } + break; + default: + finish = PS7_INIT_CORRUPT; + break; + } + } + return finish; +} + +unsigned long *ps7_mio_init_data = ps7_mio_init_data_3_0; +unsigned long *ps7_pll_init_data = ps7_pll_init_data_3_0; +unsigned long *ps7_clock_init_data = ps7_clock_init_data_3_0; +unsigned long *ps7_ddr_init_data = ps7_ddr_init_data_3_0; +unsigned long *ps7_peripherals_init_data = ps7_peripherals_init_data_3_0; + +int +ps7_post_config() +{ + unsigned long si_ver = ps7GetSiliconVersion (); + int ret = -1; + if (si_ver == PCW_SILICON_VERSION_1) { + ret = ps7_config (ps7_post_config_1_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } else if (si_ver == PCW_SILICON_VERSION_2) { + ret = ps7_config (ps7_post_config_2_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } else { + ret = ps7_config (ps7_post_config_3_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } + return PS7_INIT_SUCCESS; +} + +int +ps7_debug() +{ + unsigned long si_ver = ps7GetSiliconVersion (); + int ret = -1; + if (si_ver == PCW_SILICON_VERSION_1) { + ret = ps7_config (ps7_debug_1_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } else if (si_ver == PCW_SILICON_VERSION_2) { + ret = ps7_config (ps7_debug_2_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } else { + ret = ps7_config (ps7_debug_3_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } + return PS7_INIT_SUCCESS; +} + + +int +ps7_init() +{ + unsigned long si_ver = ps7GetSiliconVersion (); + int ret; + + if (si_ver == PCW_SILICON_VERSION_1) { + ps7_mio_init_data = ps7_mio_init_data_1_0; + ps7_pll_init_data = ps7_pll_init_data_1_0; + ps7_clock_init_data = ps7_clock_init_data_1_0; + ps7_ddr_init_data = ps7_ddr_init_data_1_0; + ps7_peripherals_init_data = ps7_peripherals_init_data_1_0; + + } else if (si_ver == PCW_SILICON_VERSION_2) { + ps7_mio_init_data = ps7_mio_init_data_2_0; + ps7_pll_init_data = ps7_pll_init_data_2_0; + ps7_clock_init_data = ps7_clock_init_data_2_0; + ps7_ddr_init_data = ps7_ddr_init_data_2_0; + ps7_peripherals_init_data = ps7_peripherals_init_data_2_0; + + } else { + ps7_mio_init_data = ps7_mio_init_data_3_0; + ps7_pll_init_data = ps7_pll_init_data_3_0; + ps7_clock_init_data = ps7_clock_init_data_3_0; + ps7_ddr_init_data = ps7_ddr_init_data_3_0; + ps7_peripherals_init_data = ps7_peripherals_init_data_3_0; + } + + ret = ps7_config (ps7_mio_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + + ret = ps7_config (ps7_pll_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + + ret = ps7_config (ps7_clock_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + + ret = ps7_config (ps7_ddr_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + + + + ret = ps7_config (ps7_peripherals_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + return PS7_INIT_SUCCESS; +} + + + + +/* For delay calculation using global timer */ + +/* start timer */ + void perf_start_clock(void) +{ + ); +} + +/* stop timer and reset timer count regs */ + void perf_reset_clock(void) +{ + perf_disable_clock(); + *(volatile unsigned int*)SCU_GLOBAL_TIMER_COUNT_L32 = 0; + *(volatile unsigned int*)SCU_GLOBAL_TIMER_COUNT_U32 = 0; +} + +/* Compute mask for given delay in miliseconds*/ +int get_number_of_cycles_for_delay(unsigned int delay) +{ + return (APU_FREQ*delay/(2*1000)); + +} + +/* stop timer */ + void perf_disable_clock(void) +{ + *(volatile unsigned int*)SCU_GLOBAL_TIMER_CONTROL = 0; +} + +void perf_reset_and_start_timer() +{ + perf_reset_clock(); + perf_start_clock(); +} + + + + diff --git a/fpga/usrp3/top/e31x/ip/e31x_ps_bd/ps7_init_gpl-sg3.c b/fpga/usrp3/top/e31x/ip/e31x_ps_bd/ps7_init_gpl-sg3.c new file mode 100644 index 000000000..8a8a9f04b --- /dev/null +++ b/fpga/usrp3/top/e31x/ip/e31x_ps_bd/ps7_init_gpl-sg3.c @@ -0,0 +1,1087 @@ +/****************************************************************************** +* (c) Copyright 2010-2014 Xilinx, Inc. All rights reserved. +* +* This program is free software; you can redistribute it and/or modify +* it under the terms of the GNU General Public License as published by +* the Free Software Foundation; either version 2 of the License, or +* (at your option) any later version. +* +* This program is distributed in the hope that it will be useful, +* but WITHOUT ANY WARRANTY; without even the implied warranty of +* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +* GNU General Public License for more details. +* +* You should have received a copy of the GNU General Public License along +* +* +******************************************************************************/ +/****************************************************************************/ +/** +* +* @file ps7_init_gpl.c +* +* This file is automatically generated +* +*****************************************************************************/ + +#include "ps7_init_gpl.h" + +unsigned long ps7_pll_init_data_3_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000110, 0x003FFFF0U ,0x000FA240U), + EMIT_MASKWRITE(0XF8000100, 0x0007F000U ,0x00034000U), + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000010U), + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000001U), + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000000U), + EMIT_MASKPOLL(0XF800010C, 0x00000001U), + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000000U), + EMIT_MASKWRITE(0XF8000120, 0x1F003F30U ,0x1F000200U), + EMIT_MASKWRITE(0XF8000114, 0x003FFFF0U ,0x0012C220U), + EMIT_MASKWRITE(0XF8000104, 0x0007F000U ,0x00020000U), + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000010U), + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000001U), + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000000U), + EMIT_MASKPOLL(0XF800010C, 0x00000002U), + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000000U), + EMIT_MASKWRITE(0XF8000124, 0xFFF00003U ,0x0C200003U), + EMIT_MASKWRITE(0XF8000118, 0x003FFFF0U ,0x000FA240U), + EMIT_MASKWRITE(0XF8000108, 0x0007F000U ,0x0003C000U), + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000010U), + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000001U), + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000000U), + EMIT_MASKPOLL(0XF800010C, 0x00000004U), + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000000U), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_EXIT(), + +}; + +unsigned long ps7_clock_init_data_3_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000128, 0x03F03F01U ,0x00700F01U), + EMIT_MASKWRITE(0XF8000138, 0x00000011U ,0x00000001U), + EMIT_MASKWRITE(0XF8000140, 0x03F03F71U ,0x00101001U), + EMIT_MASKWRITE(0XF8000150, 0x00003F33U ,0x00001401U), + EMIT_MASKWRITE(0XF8000154, 0x00003F33U ,0x00001403U), + EMIT_MASKWRITE(0XF8000158, 0x00003F33U ,0x00000C03U), + EMIT_MASKWRITE(0XF8000168, 0x00003F31U ,0x00000A01U), + EMIT_MASKWRITE(0XF8000170, 0x03F03F30U ,0x00400500U), + EMIT_MASKWRITE(0XF8000180, 0x03F03F30U ,0x00500A00U), + EMIT_MASKWRITE(0XF8000190, 0x03F03F30U ,0x00300400U), + EMIT_MASKWRITE(0XF80001A0, 0x03F03F30U ,0x00200500U), + EMIT_MASKWRITE(0XF80001C4, 0x00000001U ,0x00000001U), + EMIT_MASKWRITE(0XF800012C, 0x01FFCCCDU ,0x017CC44DU), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_EXIT(), + +}; + +unsigned long ps7_ddr_init_data_3_0[] = { + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000080U), + EMIT_MASKWRITE(0XF8006004, 0x0007FFFFU ,0x00001081U), + EMIT_MASKWRITE(0XF8006008, 0x03FFFFFFU ,0x03C0780FU), + EMIT_MASKWRITE(0XF800600C, 0x03FFFFFFU ,0x02001001U), + EMIT_MASKWRITE(0XF8006010, 0x03FFFFFFU ,0x00014001U), + EMIT_MASKWRITE(0XF8006014, 0x001FFFFFU ,0x0004281AU), + EMIT_MASKWRITE(0XF8006018, 0xF7FFFFFFU ,0x44E458D2U), + EMIT_MASKWRITE(0XF800601C, 0xFFFFFFFFU ,0x720238E5U), + EMIT_MASKWRITE(0XF8006020, 0x7FDFFFFCU ,0x270872D0U), + EMIT_MASKWRITE(0XF8006024, 0x0FFFFFC3U ,0x00000000U), + EMIT_MASKWRITE(0XF8006028, 0x00003FFFU ,0x00002007U), + EMIT_MASKWRITE(0XF800602C, 0xFFFFFFFFU ,0x00000008U), + EMIT_MASKWRITE(0XF8006030, 0xFFFFFFFFU ,0x00040930U), + EMIT_MASKWRITE(0XF8006034, 0x13FF3FFFU ,0x000116D4U), + EMIT_MASKWRITE(0XF8006038, 0x00000003U ,0x00000000U), + EMIT_MASKWRITE(0XF800603C, 0x000FFFFFU ,0x00000777U), + EMIT_MASKWRITE(0XF8006040, 0xFFFFFFFFU ,0xFFF00000U), + EMIT_MASKWRITE(0XF8006044, 0x0FFFFFFFU ,0x0F666666U), + EMIT_MASKWRITE(0XF8006048, 0x0003F03FU ,0x0003C008U), + EMIT_MASKWRITE(0XF8006050, 0xFF0F8FFFU ,0x77010800U), + EMIT_MASKWRITE(0XF8006058, 0x00010000U ,0x00000000U), + EMIT_MASKWRITE(0XF800605C, 0x0000FFFFU ,0x00005003U), + EMIT_MASKWRITE(0XF8006060, 0x000017FFU ,0x0000003EU), + EMIT_MASKWRITE(0XF8006064, 0x00021FE0U ,0x00020000U), + EMIT_MASKWRITE(0XF8006068, 0x03FFFFFFU ,0x00284141U), + EMIT_MASKWRITE(0XF800606C, 0x0000FFFFU ,0x00001610U), + EMIT_MASKWRITE(0XF8006078, 0x03FFFFFFU ,0x00466111U), + EMIT_MASKWRITE(0XF800607C, 0x000FFFFFU ,0x00032222U), + EMIT_MASKWRITE(0XF80060A4, 0xFFFFFFFFU ,0x10200802U), + EMIT_MASKWRITE(0XF80060A8, 0x0FFFFFFFU ,0x0690CB73U), + EMIT_MASKWRITE(0XF80060AC, 0x000001FFU ,0x000001FEU), + EMIT_MASKWRITE(0XF80060B0, 0x1FFFFFFFU ,0x1CFFFFFFU), + EMIT_MASKWRITE(0XF80060B4, 0x00000200U ,0x00000200U), + EMIT_MASKWRITE(0XF80060B8, 0x01FFFFFFU ,0x00200066U), + EMIT_MASKWRITE(0XF80060C4, 0x00000003U ,0x00000000U), + EMIT_MASKWRITE(0XF80060C8, 0x000000FFU ,0x00000000U), + EMIT_MASKWRITE(0XF80060DC, 0x00000001U ,0x00000000U), + EMIT_MASKWRITE(0XF80060F0, 0x0000FFFFU ,0x00000000U), + EMIT_MASKWRITE(0XF80060F4, 0x0000000FU ,0x00000008U), + EMIT_MASKWRITE(0XF8006114, 0x000000FFU ,0x00000000U), + EMIT_MASKWRITE(0XF8006118, 0x7FFFFFCFU ,0x40000001U), + EMIT_MASKWRITE(0XF800611C, 0x7FFFFFCFU ,0x40000001U), + EMIT_MASKWRITE(0XF8006120, 0x7FFFFFCFU ,0x40000001U), + EMIT_MASKWRITE(0XF8006124, 0x7FFFFFCFU ,0x40000001U), + EMIT_MASKWRITE(0XF800612C, 0x000FFFFFU ,0x0001E807U), + EMIT_MASKWRITE(0XF8006130, 0x000FFFFFU ,0x0001EC05U), + EMIT_MASKWRITE(0XF8006134, 0x000FFFFFU ,0x0001EC05U), + EMIT_MASKWRITE(0XF8006138, 0x000FFFFFU ,0x0001E001U), + EMIT_MASKWRITE(0XF8006140, 0x000FFFFFU ,0x00000035U), + EMIT_MASKWRITE(0XF8006144, 0x000FFFFFU ,0x00000035U), + EMIT_MASKWRITE(0XF8006148, 0x000FFFFFU ,0x00000035U), + EMIT_MASKWRITE(0XF800614C, 0x000FFFFFU ,0x00000035U), + EMIT_MASKWRITE(0XF8006154, 0x000FFFFFU ,0x00000087U), + EMIT_MASKWRITE(0XF8006158, 0x000FFFFFU ,0x00000085U), + EMIT_MASKWRITE(0XF800615C, 0x000FFFFFU ,0x00000085U), + EMIT_MASKWRITE(0XF8006160, 0x000FFFFFU ,0x00000081U), + EMIT_MASKWRITE(0XF8006168, 0x001FFFFFU ,0x000000CFU), + EMIT_MASKWRITE(0XF800616C, 0x001FFFFFU ,0x000000D0U), + EMIT_MASKWRITE(0XF8006170, 0x001FFFFFU ,0x000000D0U), + EMIT_MASKWRITE(0XF8006174, 0x001FFFFFU ,0x000000CDU), + EMIT_MASKWRITE(0XF800617C, 0x000FFFFFU ,0x000000C7U), + EMIT_MASKWRITE(0XF8006180, 0x000FFFFFU ,0x000000C5U), + EMIT_MASKWRITE(0XF8006184, 0x000FFFFFU ,0x000000C5U), + EMIT_MASKWRITE(0XF8006188, 0x000FFFFFU ,0x000000C1U), + EMIT_MASKWRITE(0XF8006190, 0x6FFFFEFEU ,0x00040080U), + EMIT_MASKWRITE(0XF8006194, 0x000FFFFFU ,0x0001FC82U), + EMIT_MASKWRITE(0XF8006204, 0xFFFFFFFFU ,0x00000000U), + EMIT_MASKWRITE(0XF8006208, 0x000703FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF800620C, 0x000703FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF8006210, 0x000703FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF8006214, 0x000703FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF8006218, 0x000F03FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF800621C, 0x000F03FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF8006220, 0x000F03FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF8006224, 0x000F03FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF80062A8, 0x00000FF5U ,0x00000000U), + EMIT_MASKWRITE(0XF80062AC, 0xFFFFFFFFU ,0x00000000U), + EMIT_MASKWRITE(0XF80062B0, 0x003FFFFFU ,0x00005125U), + EMIT_MASKWRITE(0XF80062B4, 0x0003FFFFU ,0x000012A8U), + EMIT_MASKPOLL(0XF8000B74, 0x00002000U), + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000081U), + EMIT_MASKPOLL(0XF8006054, 0x00000007U), + EMIT_EXIT(), + +}; + +unsigned long ps7_mio_init_data_3_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000B40, 0x00000FFFU ,0x00000600U), + EMIT_MASKWRITE(0XF8000B44, 0x00000FFFU ,0x00000600U), + EMIT_MASKWRITE(0XF8000B48, 0x00000FFFU ,0x00000672U), + EMIT_MASKWRITE(0XF8000B4C, 0x00000FFFU ,0x00000672U), + EMIT_MASKWRITE(0XF8000B50, 0x00000FFFU ,0x00000674U), + EMIT_MASKWRITE(0XF8000B54, 0x00000FFFU ,0x00000674U), + EMIT_MASKWRITE(0XF8000B58, 0x00000FFFU ,0x00000600U), + EMIT_MASKWRITE(0XF8000B5C, 0xFFFFFFFFU ,0x0018C61CU), + EMIT_MASKWRITE(0XF8000B60, 0xFFFFFFFFU ,0x00F9861CU), + EMIT_MASKWRITE(0XF8000B64, 0xFFFFFFFFU ,0x00F9861CU), + EMIT_MASKWRITE(0XF8000B68, 0xFFFFFFFFU ,0x00F9861CU), + EMIT_MASKWRITE(0XF8000B6C, 0x00007FFFU ,0x00000260U), + EMIT_MASKWRITE(0XF8000B70, 0x00000001U ,0x00000001U), + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000020U), + EMIT_MASKWRITE(0XF8000B70, 0x07FEFFFFU ,0x00000823U), + EMIT_MASKWRITE(0XF8000700, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000704, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000708, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF800070C, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000710, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000714, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000718, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF800071C, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000720, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000724, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000728, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF800072C, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000730, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000734, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000738, 0x00003FFFU ,0x000012E1U), + EMIT_MASKWRITE(0XF800073C, 0x00003FFFU ,0x000012E0U), + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001205U), + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001205U), + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001205U), + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007B8, 0x00003FFFU ,0x00001240U), + EMIT_MASKWRITE(0XF80007BC, 0x00003FFFU ,0x00001240U), + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000012E0U), + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000012E1U), + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF8000830, 0x003F003FU ,0x00380037U), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_EXIT(), + +}; + +unsigned long ps7_peripherals_init_data_3_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000B48, 0x00000180U ,0x00000180U), + EMIT_MASKWRITE(0XF8000B4C, 0x00000180U ,0x00000180U), + EMIT_MASKWRITE(0XF8000B50, 0x00000180U ,0x00000180U), + EMIT_MASKWRITE(0XF8000B54, 0x00000180U ,0x00000180U), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_MASKWRITE(0XE0001034, 0x000000FFU ,0x00000006U), + EMIT_MASKWRITE(0XE0001018, 0x0000FFFFU ,0x0000007CU), + EMIT_MASKWRITE(0XE0001000, 0x000001FFU ,0x00000017U), + EMIT_MASKWRITE(0XE0001004, 0x000003FFU ,0x00000020U), + EMIT_MASKWRITE(0XE0000034, 0x000000FFU ,0x00000006U), + EMIT_MASKWRITE(0XE0000018, 0x0000FFFFU ,0x0000007CU), + EMIT_MASKWRITE(0XE0000000, 0x000001FFU ,0x00000017U), + EMIT_MASKWRITE(0XE0000004, 0x000003FFU ,0x00000020U), + EMIT_MASKWRITE(0XE000D000, 0x00080000U ,0x00080000U), + EMIT_MASKWRITE(0XF8007000, 0x20000000U ,0x00000000U), + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0000U), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70000U), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_EXIT(), + +}; + +unsigned long ps7_post_config_3_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000900, 0x0000000FU ,0x0000000FU), + EMIT_MASKWRITE(0XF8000240, 0xFFFFFFFFU ,0x00000000U), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_EXIT(), + +}; + +unsigned long ps7_debug_3_0[] = { + EMIT_WRITE(0XF8898FB0, 0xC5ACCE55U), + EMIT_WRITE(0XF8899FB0, 0xC5ACCE55U), + EMIT_WRITE(0XF8809FB0, 0xC5ACCE55U), + EMIT_EXIT(), + +}; + +unsigned long ps7_pll_init_data_2_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000110, 0x003FFFF0U ,0x000FA240U), + EMIT_MASKWRITE(0XF8000100, 0x0007F000U ,0x00034000U), + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000010U), + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000001U), + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000000U), + EMIT_MASKPOLL(0XF800010C, 0x00000001U), + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000000U), + EMIT_MASKWRITE(0XF8000120, 0x1F003F30U ,0x1F000200U), + EMIT_MASKWRITE(0XF8000114, 0x003FFFF0U ,0x0012C220U), + EMIT_MASKWRITE(0XF8000104, 0x0007F000U ,0x00020000U), + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000010U), + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000001U), + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000000U), + EMIT_MASKPOLL(0XF800010C, 0x00000002U), + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000000U), + EMIT_MASKWRITE(0XF8000124, 0xFFF00003U ,0x0C200003U), + EMIT_MASKWRITE(0XF8000118, 0x003FFFF0U ,0x000FA240U), + EMIT_MASKWRITE(0XF8000108, 0x0007F000U ,0x0003C000U), + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000010U), + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000001U), + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000000U), + EMIT_MASKPOLL(0XF800010C, 0x00000004U), + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000000U), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_EXIT(), + +}; + +unsigned long ps7_clock_init_data_2_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000128, 0x03F03F01U ,0x00700F01U), + EMIT_MASKWRITE(0XF8000138, 0x00000011U ,0x00000001U), + EMIT_MASKWRITE(0XF8000140, 0x03F03F71U ,0x00101001U), + EMIT_MASKWRITE(0XF8000150, 0x00003F33U ,0x00001401U), + EMIT_MASKWRITE(0XF8000154, 0x00003F33U ,0x00001403U), + EMIT_MASKWRITE(0XF8000158, 0x00003F33U ,0x00000C03U), + EMIT_MASKWRITE(0XF8000168, 0x00003F31U ,0x00000A01U), + EMIT_MASKWRITE(0XF8000170, 0x03F03F30U ,0x00400500U), + EMIT_MASKWRITE(0XF8000180, 0x03F03F30U ,0x00500A00U), + EMIT_MASKWRITE(0XF8000190, 0x03F03F30U ,0x00300400U), + EMIT_MASKWRITE(0XF80001A0, 0x03F03F30U ,0x00200500U), + EMIT_MASKWRITE(0XF80001C4, 0x00000001U ,0x00000001U), + EMIT_MASKWRITE(0XF800012C, 0x01FFCCCDU ,0x017CC44DU), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_EXIT(), + +}; + +unsigned long ps7_ddr_init_data_2_0[] = { + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000080U), + EMIT_MASKWRITE(0XF8006004, 0x1FFFFFFFU ,0x00081081U), + EMIT_MASKWRITE(0XF8006008, 0x03FFFFFFU ,0x03C0780FU), + EMIT_MASKWRITE(0XF800600C, 0x03FFFFFFU ,0x02001001U), + EMIT_MASKWRITE(0XF8006010, 0x03FFFFFFU ,0x00014001U), + EMIT_MASKWRITE(0XF8006014, 0x001FFFFFU ,0x0004281AU), + EMIT_MASKWRITE(0XF8006018, 0xF7FFFFFFU ,0x44E458D2U), + EMIT_MASKWRITE(0XF800601C, 0xFFFFFFFFU ,0x720238E5U), + EMIT_MASKWRITE(0XF8006020, 0xFFFFFFFCU ,0x272872D0U), + EMIT_MASKWRITE(0XF8006024, 0x0FFFFFFFU ,0x0000003CU), + EMIT_MASKWRITE(0XF8006028, 0x00003FFFU ,0x00002007U), + EMIT_MASKWRITE(0XF800602C, 0xFFFFFFFFU ,0x00000008U), + EMIT_MASKWRITE(0XF8006030, 0xFFFFFFFFU ,0x00040930U), + EMIT_MASKWRITE(0XF8006034, 0x13FF3FFFU ,0x000116D4U), + EMIT_MASKWRITE(0XF8006038, 0x00001FC3U ,0x00000000U), + EMIT_MASKWRITE(0XF800603C, 0x000FFFFFU ,0x00000777U), + EMIT_MASKWRITE(0XF8006040, 0xFFFFFFFFU ,0xFFF00000U), + EMIT_MASKWRITE(0XF8006044, 0x0FFFFFFFU ,0x0F666666U), + EMIT_MASKWRITE(0XF8006048, 0x3FFFFFFFU ,0x0003C248U), + EMIT_MASKWRITE(0XF8006050, 0xFF0F8FFFU ,0x77010800U), + EMIT_MASKWRITE(0XF8006058, 0x0001FFFFU ,0x00000101U), + EMIT_MASKWRITE(0XF800605C, 0x0000FFFFU ,0x00005003U), + EMIT_MASKWRITE(0XF8006060, 0x000017FFU ,0x0000003EU), + EMIT_MASKWRITE(0XF8006064, 0x00021FE0U ,0x00020000U), + EMIT_MASKWRITE(0XF8006068, 0x03FFFFFFU ,0x00284141U), + EMIT_MASKWRITE(0XF800606C, 0x0000FFFFU ,0x00001610U), + EMIT_MASKWRITE(0XF8006078, 0x03FFFFFFU ,0x00466111U), + EMIT_MASKWRITE(0XF800607C, 0x000FFFFFU ,0x00032222U), + EMIT_MASKWRITE(0XF80060A0, 0x00FFFFFFU ,0x00008000U), + EMIT_MASKWRITE(0XF80060A4, 0xFFFFFFFFU ,0x10200802U), + EMIT_MASKWRITE(0XF80060A8, 0x0FFFFFFFU ,0x0690CB73U), + EMIT_MASKWRITE(0XF80060AC, 0x000001FFU ,0x000001FEU), + EMIT_MASKWRITE(0XF80060B0, 0x1FFFFFFFU ,0x1CFFFFFFU), + EMIT_MASKWRITE(0XF80060B4, 0x000007FFU ,0x00000200U), + EMIT_MASKWRITE(0XF80060B8, 0x01FFFFFFU ,0x00200066U), + EMIT_MASKWRITE(0XF80060C4, 0x00000003U ,0x00000000U), + EMIT_MASKWRITE(0XF80060C8, 0x000000FFU ,0x00000000U), + EMIT_MASKWRITE(0XF80060DC, 0x00000001U ,0x00000000U), + EMIT_MASKWRITE(0XF80060F0, 0x0000FFFFU ,0x00000000U), + EMIT_MASKWRITE(0XF80060F4, 0x0000000FU ,0x00000008U), + EMIT_MASKWRITE(0XF8006114, 0x000000FFU ,0x00000000U), + EMIT_MASKWRITE(0XF8006118, 0x7FFFFFFFU ,0x40000001U), + EMIT_MASKWRITE(0XF800611C, 0x7FFFFFFFU ,0x40000001U), + EMIT_MASKWRITE(0XF8006120, 0x7FFFFFFFU ,0x40000001U), + EMIT_MASKWRITE(0XF8006124, 0x7FFFFFFFU ,0x40000001U), + EMIT_MASKWRITE(0XF800612C, 0x000FFFFFU ,0x0001E807U), + EMIT_MASKWRITE(0XF8006130, 0x000FFFFFU ,0x0001EC05U), + EMIT_MASKWRITE(0XF8006134, 0x000FFFFFU ,0x0001EC05U), + EMIT_MASKWRITE(0XF8006138, 0x000FFFFFU ,0x0001E001U), + EMIT_MASKWRITE(0XF8006140, 0x000FFFFFU ,0x00000035U), + EMIT_MASKWRITE(0XF8006144, 0x000FFFFFU ,0x00000035U), + EMIT_MASKWRITE(0XF8006148, 0x000FFFFFU ,0x00000035U), + EMIT_MASKWRITE(0XF800614C, 0x000FFFFFU ,0x00000035U), + EMIT_MASKWRITE(0XF8006154, 0x000FFFFFU ,0x00000087U), + EMIT_MASKWRITE(0XF8006158, 0x000FFFFFU ,0x00000085U), + EMIT_MASKWRITE(0XF800615C, 0x000FFFFFU ,0x00000085U), + EMIT_MASKWRITE(0XF8006160, 0x000FFFFFU ,0x00000081U), + EMIT_MASKWRITE(0XF8006168, 0x001FFFFFU ,0x000000CFU), + EMIT_MASKWRITE(0XF800616C, 0x001FFFFFU ,0x000000D0U), + EMIT_MASKWRITE(0XF8006170, 0x001FFFFFU ,0x000000D0U), + EMIT_MASKWRITE(0XF8006174, 0x001FFFFFU ,0x000000CDU), + EMIT_MASKWRITE(0XF800617C, 0x000FFFFFU ,0x000000C7U), + EMIT_MASKWRITE(0XF8006180, 0x000FFFFFU ,0x000000C5U), + EMIT_MASKWRITE(0XF8006184, 0x000FFFFFU ,0x000000C5U), + EMIT_MASKWRITE(0XF8006188, 0x000FFFFFU ,0x000000C1U), + EMIT_MASKWRITE(0XF8006190, 0xFFFFFFFFU ,0x10040080U), + EMIT_MASKWRITE(0XF8006194, 0x000FFFFFU ,0x0001FC82U), + EMIT_MASKWRITE(0XF8006204, 0xFFFFFFFFU ,0x00000000U), + EMIT_MASKWRITE(0XF8006208, 0x000F03FFU ,0x000803FFU), + EMIT_MASKWRITE(0XF800620C, 0x000F03FFU ,0x000803FFU), + EMIT_MASKWRITE(0XF8006210, 0x000F03FFU ,0x000803FFU), + EMIT_MASKWRITE(0XF8006214, 0x000F03FFU ,0x000803FFU), + EMIT_MASKWRITE(0XF8006218, 0x000F03FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF800621C, 0x000F03FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF8006220, 0x000F03FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF8006224, 0x000F03FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF80062A8, 0x00000FF7U ,0x00000000U), + EMIT_MASKWRITE(0XF80062AC, 0xFFFFFFFFU ,0x00000000U), + EMIT_MASKWRITE(0XF80062B0, 0x003FFFFFU ,0x00005125U), + EMIT_MASKWRITE(0XF80062B4, 0x0003FFFFU ,0x000012A8U), + EMIT_MASKPOLL(0XF8000B74, 0x00002000U), + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000081U), + EMIT_MASKPOLL(0XF8006054, 0x00000007U), + EMIT_EXIT(), + +}; + +unsigned long ps7_mio_init_data_2_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000B40, 0x00000FFFU ,0x00000600U), + EMIT_MASKWRITE(0XF8000B44, 0x00000FFFU ,0x00000600U), + EMIT_MASKWRITE(0XF8000B48, 0x00000FFFU ,0x00000672U), + EMIT_MASKWRITE(0XF8000B4C, 0x00000FFFU ,0x00000672U), + EMIT_MASKWRITE(0XF8000B50, 0x00000FFFU ,0x00000674U), + EMIT_MASKWRITE(0XF8000B54, 0x00000FFFU ,0x00000674U), + EMIT_MASKWRITE(0XF8000B58, 0x00000FFFU ,0x00000600U), + EMIT_MASKWRITE(0XF8000B5C, 0xFFFFFFFFU ,0x0018C61CU), + EMIT_MASKWRITE(0XF8000B60, 0xFFFFFFFFU ,0x00F9861CU), + EMIT_MASKWRITE(0XF8000B64, 0xFFFFFFFFU ,0x00F9861CU), + EMIT_MASKWRITE(0XF8000B68, 0xFFFFFFFFU ,0x00F9861CU), + EMIT_MASKWRITE(0XF8000B6C, 0x00007FFFU ,0x00000260U), + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000021U), + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000020U), + EMIT_MASKWRITE(0XF8000B70, 0x07FFFFFFU ,0x00000823U), + EMIT_MASKWRITE(0XF8000700, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000704, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000708, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF800070C, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000710, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000714, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000718, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF800071C, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000720, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000724, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000728, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF800072C, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000730, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000734, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000738, 0x00003FFFU ,0x000012E1U), + EMIT_MASKWRITE(0XF800073C, 0x00003FFFU ,0x000012E0U), + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001205U), + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001205U), + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001205U), + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007B8, 0x00003FFFU ,0x00001240U), + EMIT_MASKWRITE(0XF80007BC, 0x00003FFFU ,0x00001240U), + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000012E0U), + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000012E1U), + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF8000830, 0x003F003FU ,0x00380037U), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_EXIT(), + +}; + +unsigned long ps7_peripherals_init_data_2_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000B48, 0x00000180U ,0x00000180U), + EMIT_MASKWRITE(0XF8000B4C, 0x00000180U ,0x00000180U), + EMIT_MASKWRITE(0XF8000B50, 0x00000180U ,0x00000180U), + EMIT_MASKWRITE(0XF8000B54, 0x00000180U ,0x00000180U), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_MASKWRITE(0XE0001034, 0x000000FFU ,0x00000006U), + EMIT_MASKWRITE(0XE0001018, 0x0000FFFFU ,0x0000007CU), + EMIT_MASKWRITE(0XE0001000, 0x000001FFU ,0x00000017U), + EMIT_MASKWRITE(0XE0001004, 0x00000FFFU ,0x00000020U), + EMIT_MASKWRITE(0XE0000034, 0x000000FFU ,0x00000006U), + EMIT_MASKWRITE(0XE0000018, 0x0000FFFFU ,0x0000007CU), + EMIT_MASKWRITE(0XE0000000, 0x000001FFU ,0x00000017U), + EMIT_MASKWRITE(0XE0000004, 0x00000FFFU ,0x00000020U), + EMIT_MASKWRITE(0XE000D000, 0x00080000U ,0x00080000U), + EMIT_MASKWRITE(0XF8007000, 0x20000000U ,0x00000000U), + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0000U), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70000U), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_EXIT(), + +}; + +unsigned long ps7_post_config_2_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000900, 0x0000000FU ,0x0000000FU), + EMIT_MASKWRITE(0XF8000240, 0xFFFFFFFFU ,0x00000000U), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_EXIT(), + +}; + +unsigned long ps7_debug_2_0[] = { + EMIT_WRITE(0XF8898FB0, 0xC5ACCE55U), + EMIT_WRITE(0XF8899FB0, 0xC5ACCE55U), + EMIT_WRITE(0XF8809FB0, 0xC5ACCE55U), + EMIT_EXIT(), + +}; + +unsigned long ps7_pll_init_data_1_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000110, 0x003FFFF0U ,0x000FA240U), + EMIT_MASKWRITE(0XF8000100, 0x0007F000U ,0x00034000U), + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000010U), + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000001U), + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000000U), + EMIT_MASKPOLL(0XF800010C, 0x00000001U), + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000000U), + EMIT_MASKWRITE(0XF8000120, 0x1F003F30U ,0x1F000200U), + EMIT_MASKWRITE(0XF8000114, 0x003FFFF0U ,0x0012C220U), + EMIT_MASKWRITE(0XF8000104, 0x0007F000U ,0x00020000U), + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000010U), + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000001U), + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000000U), + EMIT_MASKPOLL(0XF800010C, 0x00000002U), + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000000U), + EMIT_MASKWRITE(0XF8000124, 0xFFF00003U ,0x0C200003U), + EMIT_MASKWRITE(0XF8000118, 0x003FFFF0U ,0x000FA240U), + EMIT_MASKWRITE(0XF8000108, 0x0007F000U ,0x0003C000U), + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000010U), + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000001U), + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000000U), + EMIT_MASKPOLL(0XF800010C, 0x00000004U), + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000000U), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_EXIT(), + +}; + +unsigned long ps7_clock_init_data_1_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000128, 0x03F03F01U ,0x00700F01U), + EMIT_MASKWRITE(0XF8000138, 0x00000011U ,0x00000001U), + EMIT_MASKWRITE(0XF8000140, 0x03F03F71U ,0x00101001U), + EMIT_MASKWRITE(0XF8000150, 0x00003F33U ,0x00001401U), + EMIT_MASKWRITE(0XF8000154, 0x00003F33U ,0x00001403U), + EMIT_MASKWRITE(0XF8000158, 0x00003F33U ,0x00000C03U), + EMIT_MASKWRITE(0XF8000168, 0x00003F31U ,0x00000A01U), + EMIT_MASKWRITE(0XF8000170, 0x03F03F30U ,0x00400500U), + EMIT_MASKWRITE(0XF8000180, 0x03F03F30U ,0x00500A00U), + EMIT_MASKWRITE(0XF8000190, 0x03F03F30U ,0x00300400U), + EMIT_MASKWRITE(0XF80001A0, 0x03F03F30U ,0x00200500U), + EMIT_MASKWRITE(0XF80001C4, 0x00000001U ,0x00000001U), + EMIT_MASKWRITE(0XF800012C, 0x01FFCCCDU ,0x017CC44DU), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_EXIT(), + +}; + +unsigned long ps7_ddr_init_data_1_0[] = { + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000080U), + EMIT_MASKWRITE(0XF8006004, 0x1FFFFFFFU ,0x00081081U), + EMIT_MASKWRITE(0XF8006008, 0x03FFFFFFU ,0x03C0780FU), + EMIT_MASKWRITE(0XF800600C, 0x03FFFFFFU ,0x02001001U), + EMIT_MASKWRITE(0XF8006010, 0x03FFFFFFU ,0x00014001U), + EMIT_MASKWRITE(0XF8006014, 0x001FFFFFU ,0x0004281AU), + EMIT_MASKWRITE(0XF8006018, 0xF7FFFFFFU ,0x44E458D2U), + EMIT_MASKWRITE(0XF800601C, 0xFFFFFFFFU ,0x720238E5U), + EMIT_MASKWRITE(0XF8006020, 0xFFFFFFFCU ,0x272872D0U), + EMIT_MASKWRITE(0XF8006024, 0x0FFFFFFFU ,0x0000003CU), + EMIT_MASKWRITE(0XF8006028, 0x00003FFFU ,0x00002007U), + EMIT_MASKWRITE(0XF800602C, 0xFFFFFFFFU ,0x00000008U), + EMIT_MASKWRITE(0XF8006030, 0xFFFFFFFFU ,0x00040930U), + EMIT_MASKWRITE(0XF8006034, 0x13FF3FFFU ,0x000116D4U), + EMIT_MASKWRITE(0XF8006038, 0x00001FC3U ,0x00000000U), + EMIT_MASKWRITE(0XF800603C, 0x000FFFFFU ,0x00000777U), + EMIT_MASKWRITE(0XF8006040, 0xFFFFFFFFU ,0xFFF00000U), + EMIT_MASKWRITE(0XF8006044, 0x0FFFFFFFU ,0x0F666666U), + EMIT_MASKWRITE(0XF8006048, 0x3FFFFFFFU ,0x0003C248U), + EMIT_MASKWRITE(0XF8006050, 0xFF0F8FFFU ,0x77010800U), + EMIT_MASKWRITE(0XF8006058, 0x0001FFFFU ,0x00000101U), + EMIT_MASKWRITE(0XF800605C, 0x0000FFFFU ,0x00005003U), + EMIT_MASKWRITE(0XF8006060, 0x000017FFU ,0x0000003EU), + EMIT_MASKWRITE(0XF8006064, 0x00021FE0U ,0x00020000U), + EMIT_MASKWRITE(0XF8006068, 0x03FFFFFFU ,0x00284141U), + EMIT_MASKWRITE(0XF800606C, 0x0000FFFFU ,0x00001610U), + EMIT_MASKWRITE(0XF80060A0, 0x00FFFFFFU ,0x00008000U), + EMIT_MASKWRITE(0XF80060A4, 0xFFFFFFFFU ,0x10200802U), + EMIT_MASKWRITE(0XF80060A8, 0x0FFFFFFFU ,0x0690CB73U), + EMIT_MASKWRITE(0XF80060AC, 0x000001FFU ,0x000001FEU), + EMIT_MASKWRITE(0XF80060B0, 0x1FFFFFFFU ,0x1CFFFFFFU), + EMIT_MASKWRITE(0XF80060B4, 0x000007FFU ,0x00000200U), + EMIT_MASKWRITE(0XF80060B8, 0x01FFFFFFU ,0x00200066U), + EMIT_MASKWRITE(0XF80060C4, 0x00000003U ,0x00000000U), + EMIT_MASKWRITE(0XF80060C8, 0x000000FFU ,0x00000000U), + EMIT_MASKWRITE(0XF80060DC, 0x00000001U ,0x00000000U), + EMIT_MASKWRITE(0XF80060F0, 0x0000FFFFU ,0x00000000U), + EMIT_MASKWRITE(0XF80060F4, 0x0000000FU ,0x00000008U), + EMIT_MASKWRITE(0XF8006114, 0x000000FFU ,0x00000000U), + EMIT_MASKWRITE(0XF8006118, 0x7FFFFFFFU ,0x40000001U), + EMIT_MASKWRITE(0XF800611C, 0x7FFFFFFFU ,0x40000001U), + EMIT_MASKWRITE(0XF8006120, 0x7FFFFFFFU ,0x40000001U), + EMIT_MASKWRITE(0XF8006124, 0x7FFFFFFFU ,0x40000001U), + EMIT_MASKWRITE(0XF800612C, 0x000FFFFFU ,0x0001E807U), + EMIT_MASKWRITE(0XF8006130, 0x000FFFFFU ,0x0001EC05U), + EMIT_MASKWRITE(0XF8006134, 0x000FFFFFU ,0x0001EC05U), + EMIT_MASKWRITE(0XF8006138, 0x000FFFFFU ,0x0001E001U), + EMIT_MASKWRITE(0XF8006140, 0x000FFFFFU ,0x00000035U), + EMIT_MASKWRITE(0XF8006144, 0x000FFFFFU ,0x00000035U), + EMIT_MASKWRITE(0XF8006148, 0x000FFFFFU ,0x00000035U), + EMIT_MASKWRITE(0XF800614C, 0x000FFFFFU ,0x00000035U), + EMIT_MASKWRITE(0XF8006154, 0x000FFFFFU ,0x00000087U), + EMIT_MASKWRITE(0XF8006158, 0x000FFFFFU ,0x00000085U), + EMIT_MASKWRITE(0XF800615C, 0x000FFFFFU ,0x00000085U), + EMIT_MASKWRITE(0XF8006160, 0x000FFFFFU ,0x00000081U), + EMIT_MASKWRITE(0XF8006168, 0x001FFFFFU ,0x000000CFU), + EMIT_MASKWRITE(0XF800616C, 0x001FFFFFU ,0x000000D0U), + EMIT_MASKWRITE(0XF8006170, 0x001FFFFFU ,0x000000D0U), + EMIT_MASKWRITE(0XF8006174, 0x001FFFFFU ,0x000000CDU), + EMIT_MASKWRITE(0XF800617C, 0x000FFFFFU ,0x000000C7U), + EMIT_MASKWRITE(0XF8006180, 0x000FFFFFU ,0x000000C5U), + EMIT_MASKWRITE(0XF8006184, 0x000FFFFFU ,0x000000C5U), + EMIT_MASKWRITE(0XF8006188, 0x000FFFFFU ,0x000000C1U), + EMIT_MASKWRITE(0XF8006190, 0xFFFFFFFFU ,0x10040080U), + EMIT_MASKWRITE(0XF8006194, 0x000FFFFFU ,0x0001FC82U), + EMIT_MASKWRITE(0XF8006204, 0xFFFFFFFFU ,0x00000000U), + EMIT_MASKWRITE(0XF8006208, 0x000F03FFU ,0x000803FFU), + EMIT_MASKWRITE(0XF800620C, 0x000F03FFU ,0x000803FFU), + EMIT_MASKWRITE(0XF8006210, 0x000F03FFU ,0x000803FFU), + EMIT_MASKWRITE(0XF8006214, 0x000F03FFU ,0x000803FFU), + EMIT_MASKWRITE(0XF8006218, 0x000F03FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF800621C, 0x000F03FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF8006220, 0x000F03FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF8006224, 0x000F03FFU ,0x000003FFU), + EMIT_MASKWRITE(0XF80062A8, 0x00000FF7U ,0x00000000U), + EMIT_MASKWRITE(0XF80062AC, 0xFFFFFFFFU ,0x00000000U), + EMIT_MASKWRITE(0XF80062B0, 0x003FFFFFU ,0x00005125U), + EMIT_MASKWRITE(0XF80062B4, 0x0003FFFFU ,0x000012A8U), + EMIT_MASKPOLL(0XF8000B74, 0x00002000U), + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000081U), + EMIT_MASKPOLL(0XF8006054, 0x00000007U), + EMIT_EXIT(), + +}; + +unsigned long ps7_mio_init_data_1_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000B40, 0x00000FFFU ,0x00000600U), + EMIT_MASKWRITE(0XF8000B44, 0x00000FFFU ,0x00000600U), + EMIT_MASKWRITE(0XF8000B48, 0x00000FFFU ,0x00000672U), + EMIT_MASKWRITE(0XF8000B4C, 0x00000FFFU ,0x00000672U), + EMIT_MASKWRITE(0XF8000B50, 0x00000FFFU ,0x00000674U), + EMIT_MASKWRITE(0XF8000B54, 0x00000FFFU ,0x00000674U), + EMIT_MASKWRITE(0XF8000B58, 0x00000FFFU ,0x00000600U), + EMIT_MASKWRITE(0XF8000B5C, 0xFFFFFFFFU ,0x0018C61CU), + EMIT_MASKWRITE(0XF8000B60, 0xFFFFFFFFU ,0x00F9861CU), + EMIT_MASKWRITE(0XF8000B64, 0xFFFFFFFFU ,0x00F9861CU), + EMIT_MASKWRITE(0XF8000B68, 0xFFFFFFFFU ,0x00F9861CU), + EMIT_MASKWRITE(0XF8000B6C, 0x000073FFU ,0x00000260U), + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000021U), + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000020U), + EMIT_MASKWRITE(0XF8000B70, 0x07FFFFFFU ,0x00000823U), + EMIT_MASKWRITE(0XF8000700, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000704, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000708, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF800070C, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000710, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000714, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000718, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF800071C, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000720, 0x00003FFFU ,0x00000200U), + EMIT_MASKWRITE(0XF8000724, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000728, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF800072C, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000730, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000734, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF8000738, 0x00003FFFU ,0x000012E1U), + EMIT_MASKWRITE(0XF800073C, 0x00003FFFU ,0x000012E0U), + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001205U), + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001205U), + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001205U), + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001204U), + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007B8, 0x00003FFFU ,0x00001240U), + EMIT_MASKWRITE(0XF80007BC, 0x00003FFFU ,0x00001240U), + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000012E0U), + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000012E1U), + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), + EMIT_MASKWRITE(0XF8000830, 0x003F003FU ,0x00380037U), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_EXIT(), + +}; + +unsigned long ps7_peripherals_init_data_1_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000B48, 0x00000180U ,0x00000180U), + EMIT_MASKWRITE(0XF8000B4C, 0x00000180U ,0x00000180U), + EMIT_MASKWRITE(0XF8000B50, 0x00000180U ,0x00000180U), + EMIT_MASKWRITE(0XF8000B54, 0x00000180U ,0x00000180U), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_MASKWRITE(0XE0001034, 0x000000FFU ,0x00000006U), + EMIT_MASKWRITE(0XE0001018, 0x0000FFFFU ,0x0000007CU), + EMIT_MASKWRITE(0XE0001000, 0x000001FFU ,0x00000017U), + EMIT_MASKWRITE(0XE0001004, 0x00000FFFU ,0x00000020U), + EMIT_MASKWRITE(0XE0000034, 0x000000FFU ,0x00000006U), + EMIT_MASKWRITE(0XE0000018, 0x0000FFFFU ,0x0000007CU), + EMIT_MASKWRITE(0XE0000000, 0x000001FFU ,0x00000017U), + EMIT_MASKWRITE(0XE0000004, 0x00000FFFU ,0x00000020U), + EMIT_MASKWRITE(0XE000D000, 0x00080000U ,0x00080000U), + EMIT_MASKWRITE(0XF8007000, 0x20000000U ,0x00000000U), + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0000U), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70000U), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_MASKDELAY(0XF8F00200, 1), + EMIT_EXIT(), + +}; + +unsigned long ps7_post_config_1_0[] = { + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + EMIT_MASKWRITE(0XF8000900, 0x0000000FU ,0x0000000FU), + EMIT_MASKWRITE(0XF8000240, 0xFFFFFFFFU ,0x00000000U), + EMIT_WRITE(0XF8000004, 0x0000767BU), + EMIT_EXIT(), + +}; + +unsigned long ps7_debug_1_0[] = { + EMIT_WRITE(0XF8898FB0, 0xC5ACCE55U), + EMIT_WRITE(0XF8899FB0, 0xC5ACCE55U), + EMIT_WRITE(0XF8809FB0, 0xC5ACCE55U), + EMIT_EXIT(), + +}; + + +#include "xil_io.h" +#define PS7_MASK_POLL_TIME 100000000 + +char* +getPS7MessageInfo(unsigned key) { + + char* err_msg = ""; + switch (key) { + case PS7_INIT_SUCCESS: err_msg = "PS7 initialization successful"; break; + case PS7_INIT_CORRUPT: err_msg = "PS7 init Data Corrupted"; break; + case PS7_INIT_TIMEOUT: err_msg = "PS7 init mask poll timeout"; break; + case PS7_POLL_FAILED_DDR_INIT: err_msg = "Mask Poll failed for DDR Init"; break; + case PS7_POLL_FAILED_DMA: err_msg = "Mask Poll failed for PLL Init"; break; + case PS7_POLL_FAILED_PLL: err_msg = "Mask Poll failed for DMA done bit"; break; + default: err_msg = "Undefined error status"; break; + } + + return err_msg; +} + +unsigned long +ps7GetSiliconVersion () { + unsigned long mask = 0xF0000000; + unsigned long *addr = (unsigned long*) 0XF8007080; + unsigned long ps_version = (*addr & mask) >> 28; + return ps_version; +} + +void mask_write (unsigned long add , unsigned long mask, unsigned long val ) { + volatile unsigned long *addr = (volatile unsigned long*) add; + *addr = ( val & mask ) | ( *addr & ~mask); +} + + +int mask_poll(unsigned long add , unsigned long mask ) { + volatile unsigned long *addr = (volatile unsigned long*) add; + int i = 0; + while (!(*addr & mask)) { + if (i == PS7_MASK_POLL_TIME) { + return -1; + } + i++; + } + return 1; +} + +unsigned long mask_read(unsigned long add , unsigned long mask ) { + volatile unsigned long *addr = (volatile unsigned long*) add; + unsigned long val = (*addr & mask); + return val; +} + + + +int +ps7_config(unsigned long * ps7_config_init) +{ + unsigned long *ptr = ps7_config_init; + + + + + while( finish < 0 ) { + numargs = ptr[0] & 0xF; + opcode = ptr[0] >> 4; + + for( j = 0 ; j < numargs ; j ++ ) + args[j] = ptr[j+1]; + ptr += numargs + 1; + + + switch ( opcode ) { + + case OPCODE_EXIT: + finish = PS7_INIT_SUCCESS; + break; + + case OPCODE_CLEAR: + addr = (unsigned long*) args[0]; + *addr = 0; + break; + + case OPCODE_WRITE: + addr = (unsigned long*) args[0]; + val = args[1]; + *addr = val; + break; + + case OPCODE_MASKWRITE: + addr = (unsigned long*) args[0]; + mask = args[1]; + val = args[2]; + *addr = ( val & mask ) | ( *addr & ~mask); + break; + + case OPCODE_MASKPOLL: + addr = (unsigned long*) args[0]; + mask = args[1]; + i = 0; + while (!(*addr & mask)) { + if (i == PS7_MASK_POLL_TIME) { + finish = PS7_INIT_TIMEOUT; + break; + } + i++; + } + break; + case OPCODE_MASKDELAY: + addr = (unsigned long*) args[0]; + mask = args[1]; + int delay = get_number_of_cycles_for_delay(mask); + perf_reset_and_start_timer(); + while ((*addr < delay)) { + } + break; + default: + finish = PS7_INIT_CORRUPT; + break; + } + } + return finish; +} + +unsigned long *ps7_mio_init_data = ps7_mio_init_data_3_0; +unsigned long *ps7_pll_init_data = ps7_pll_init_data_3_0; +unsigned long *ps7_clock_init_data = ps7_clock_init_data_3_0; +unsigned long *ps7_ddr_init_data = ps7_ddr_init_data_3_0; +unsigned long *ps7_peripherals_init_data = ps7_peripherals_init_data_3_0; + +int +ps7_post_config() +{ + unsigned long si_ver = ps7GetSiliconVersion (); + int ret = -1; + if (si_ver == PCW_SILICON_VERSION_1) { + ret = ps7_config (ps7_post_config_1_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } else if (si_ver == PCW_SILICON_VERSION_2) { + ret = ps7_config (ps7_post_config_2_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } else { + ret = ps7_config (ps7_post_config_3_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } + return PS7_INIT_SUCCESS; +} + +int +ps7_debug() +{ + unsigned long si_ver = ps7GetSiliconVersion (); + int ret = -1; + if (si_ver == PCW_SILICON_VERSION_1) { + ret = ps7_config (ps7_debug_1_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } else if (si_ver == PCW_SILICON_VERSION_2) { + ret = ps7_config (ps7_debug_2_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } else { + ret = ps7_config (ps7_debug_3_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } + return PS7_INIT_SUCCESS; +} + + +int +ps7_init() +{ + unsigned long si_ver = ps7GetSiliconVersion (); + int ret; + + if (si_ver == PCW_SILICON_VERSION_1) { + ps7_mio_init_data = ps7_mio_init_data_1_0; + ps7_pll_init_data = ps7_pll_init_data_1_0; + ps7_clock_init_data = ps7_clock_init_data_1_0; + ps7_ddr_init_data = ps7_ddr_init_data_1_0; + ps7_peripherals_init_data = ps7_peripherals_init_data_1_0; + + } else if (si_ver == PCW_SILICON_VERSION_2) { + ps7_mio_init_data = ps7_mio_init_data_2_0; + ps7_pll_init_data = ps7_pll_init_data_2_0; + ps7_clock_init_data = ps7_clock_init_data_2_0; + ps7_ddr_init_data = ps7_ddr_init_data_2_0; + ps7_peripherals_init_data = ps7_peripherals_init_data_2_0; + + } else { + ps7_mio_init_data = ps7_mio_init_data_3_0; + ps7_pll_init_data = ps7_pll_init_data_3_0; + ps7_clock_init_data = ps7_clock_init_data_3_0; + ps7_ddr_init_data = ps7_ddr_init_data_3_0; + ps7_peripherals_init_data = ps7_peripherals_init_data_3_0; + } + + ret = ps7_config (ps7_mio_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + + ret = ps7_config (ps7_pll_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + + ret = ps7_config (ps7_clock_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + + ret = ps7_config (ps7_ddr_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + + + + ret = ps7_config (ps7_peripherals_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + return PS7_INIT_SUCCESS; +} + + + + +/* For delay calculation using global timer */ + +/* start timer */ + void perf_start_clock(void) +{ + ); +} + +/* stop timer and reset timer count regs */ + void perf_reset_clock(void) +{ + perf_disable_clock(); + *(volatile unsigned int*)SCU_GLOBAL_TIMER_COUNT_L32 = 0; + *(volatile unsigned int*)SCU_GLOBAL_TIMER_COUNT_U32 = 0; +} + +/* Compute mask for given delay in miliseconds*/ +int get_number_of_cycles_for_delay(unsigned int delay) +{ + return (APU_FREQ*delay/(2*1000)); + +} + +/* stop timer */ + void perf_disable_clock(void) +{ + *(volatile unsigned int*)SCU_GLOBAL_TIMER_CONTROL = 0; +} + +void perf_reset_and_start_timer() +{ + perf_reset_clock(); + perf_start_clock(); +} + + + + diff --git a/fpga/usrp3/top/e31x/ip/e31x_ps_bd/ps7_init_gpl.c b/fpga/usrp3/top/e31x/ip/e31x_ps_bd/ps7_init_gpl.c new file mode 100644 index 000000000..dd9994e98 --- /dev/null +++ b/fpga/usrp3/top/e31x/ip/e31x_ps_bd/ps7_init_gpl.c @@ -0,0 +1,13326 @@ +/****************************************************************************** +* (c) Copyright 2010-2014 Xilinx, Inc. All rights reserved. +* +* This program is free software; you can redistribute it and/or modify +* it under the terms of the GNU General Public License as published by +* the Free Software Foundation; either version 2 of the License, or +* (at your option) any later version. +* +* This program is distributed in the hope that it will be useful, +* but WITHOUT ANY WARRANTY; without even the implied warranty of +* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +* GNU General Public License for more details. +* +* You should have received a copy of the GNU General Public License along +* with this program; if not, see +* +* +******************************************************************************/ +/****************************************************************************/ +/** +* +* @file ps7_init_gpl.c +* +* This file is automatically generated +* +*****************************************************************************/ + +#include "ps7_init_gpl.h" + +unsigned long ps7_pll_init_data_3_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: PLL SLCR REGISTERS + // .. .. START: ARM PLL INIT + // .. .. PLL_RES = 0x4 + // .. .. ==> 0XF8000110[7:4] = 0x00000004U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000040U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000110[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0xfa + // .. .. ==> 0XF8000110[21:12] = 0x000000FAU + // .. .. ==> MASK : 0x003FF000U VAL : 0x000FA000U + // .. .. + EMIT_MASKWRITE(0XF8000110, 0x003FFFF0U ,0x000FA240U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x3c + // .. .. .. ==> 0XF8000100[18:12] = 0x0000003CU + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x0003C000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x0007F000U ,0x0003C000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000100[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000100[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000100[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. ARM_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000001U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000100[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. .. SRCSEL = 0x0 + // .. .. .. ==> 0XF8000120[5:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. .. DIVISOR = 0x2 + // .. .. .. ==> 0XF8000120[13:8] = 0x00000002U + // .. .. .. ==> MASK : 0x00003F00U VAL : 0x00000200U + // .. .. .. CPU_6OR4XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[24:24] = 0x00000001U + // .. .. .. ==> MASK : 0x01000000U VAL : 0x01000000U + // .. .. .. CPU_3OR2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[25:25] = 0x00000001U + // .. .. .. ==> MASK : 0x02000000U VAL : 0x02000000U + // .. .. .. CPU_2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[26:26] = 0x00000001U + // .. .. .. ==> MASK : 0x04000000U VAL : 0x04000000U + // .. .. .. CPU_1XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[27:27] = 0x00000001U + // .. .. .. ==> MASK : 0x08000000U VAL : 0x08000000U + // .. .. .. CPU_PERI_CLKACT = 0x1 + // .. .. .. ==> 0XF8000120[28:28] = 0x00000001U + // .. .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000120, 0x1F003F30U ,0x1F000200U), + // .. .. FINISH: ARM PLL INIT + // .. .. START: DDR PLL INIT + // .. .. PLL_RES = 0x2 + // .. .. ==> 0XF8000114[7:4] = 0x00000002U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000020U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000114[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0x12c + // .. .. ==> 0XF8000114[21:12] = 0x0000012CU + // .. .. ==> MASK : 0x003FF000U VAL : 0x0012C000U + // .. .. + EMIT_MASKWRITE(0XF8000114, 0x003FFFF0U ,0x0012C220U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x20 + // .. .. .. ==> 0XF8000104[18:12] = 0x00000020U + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x00020000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x0007F000U ,0x00020000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000104[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000104[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000104[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. DDR_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[1:1] = 0x00000001U + // .. .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000002U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000104[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. .. DDR_3XCLKACT = 0x1 + // .. .. .. ==> 0XF8000124[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. DDR_2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000124[1:1] = 0x00000001U + // .. .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. .. DDR_3XCLK_DIVISOR = 0x2 + // .. .. .. ==> 0XF8000124[25:20] = 0x00000002U + // .. .. .. ==> MASK : 0x03F00000U VAL : 0x00200000U + // .. .. .. DDR_2XCLK_DIVISOR = 0x3 + // .. .. .. ==> 0XF8000124[31:26] = 0x00000003U + // .. .. .. ==> MASK : 0xFC000000U VAL : 0x0C000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000124, 0xFFF00003U ,0x0C200003U), + // .. .. FINISH: DDR PLL INIT + // .. .. START: IO PLL INIT + // .. .. PLL_RES = 0x4 + // .. .. ==> 0XF8000118[7:4] = 0x00000004U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000040U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000118[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0xfa + // .. .. ==> 0XF8000118[21:12] = 0x000000FAU + // .. .. ==> MASK : 0x003FF000U VAL : 0x000FA000U + // .. .. + EMIT_MASKWRITE(0XF8000118, 0x003FFFF0U ,0x000FA240U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x3c + // .. .. .. ==> 0XF8000108[18:12] = 0x0000003CU + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x0003C000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x0007F000U ,0x0003C000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000108[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000108[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000108[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. IO_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[2:2] = 0x00000001U + // .. .. .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000004U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000108[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. FINISH: IO PLL INIT + // .. FINISH: PLL SLCR REGISTERS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_clock_init_data_3_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: CLOCK CONTROL SLCR REGISTERS + // .. CLKACT = 0x1 + // .. ==> 0XF8000128[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. DIVISOR0 = 0xf + // .. ==> 0XF8000128[13:8] = 0x0000000FU + // .. ==> MASK : 0x00003F00U VAL : 0x00000F00U + // .. DIVISOR1 = 0x7 + // .. ==> 0XF8000128[25:20] = 0x00000007U + // .. ==> MASK : 0x03F00000U VAL : 0x00700000U + // .. + EMIT_MASKWRITE(0XF8000128, 0x03F03F01U ,0x00700F01U), + // .. CLKACT = 0x1 + // .. ==> 0XF8000138[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000138[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000138, 0x00000011U ,0x00000001U), + // .. CLKACT = 0x1 + // .. ==> 0XF8000140[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000140[6:4] = 0x00000000U + // .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. DIVISOR = 0x10 + // .. ==> 0XF8000140[13:8] = 0x00000010U + // .. ==> MASK : 0x00003F00U VAL : 0x00001000U + // .. DIVISOR1 = 0x1 + // .. ==> 0XF8000140[25:20] = 0x00000001U + // .. ==> MASK : 0x03F00000U VAL : 0x00100000U + // .. + EMIT_MASKWRITE(0XF8000140, 0x03F03F71U ,0x00101001U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000150[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x0 + // .. ==> 0XF8000150[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000150[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0x14 + // .. ==> 0XF8000150[13:8] = 0x00000014U + // .. ==> MASK : 0x00003F00U VAL : 0x00001400U + // .. + EMIT_MASKWRITE(0XF8000150, 0x00003F33U ,0x00001401U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000154[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x1 + // .. ==> 0XF8000154[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000154[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0x14 + // .. ==> 0XF8000154[13:8] = 0x00000014U + // .. ==> MASK : 0x00003F00U VAL : 0x00001400U + // .. + EMIT_MASKWRITE(0XF8000154, 0x00003F33U ,0x00001403U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000158[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x1 + // .. ==> 0XF8000158[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000158[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0xc + // .. ==> 0XF8000158[13:8] = 0x0000000CU + // .. ==> MASK : 0x00003F00U VAL : 0x00000C00U + // .. + EMIT_MASKWRITE(0XF8000158, 0x00003F33U ,0x00000C03U), + // .. .. START: TRACE CLOCK + // .. .. FINISH: TRACE CLOCK + // .. .. CLKACT = 0x1 + // .. .. ==> 0XF8000168[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000168[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR = 0xa + // .. .. ==> 0XF8000168[13:8] = 0x0000000AU + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000A00U + // .. .. + EMIT_MASKWRITE(0XF8000168, 0x00003F31U ,0x00000A01U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000170[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x5 + // .. .. ==> 0XF8000170[13:8] = 0x00000005U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000500U + // .. .. DIVISOR1 = 0x4 + // .. .. ==> 0XF8000170[25:20] = 0x00000004U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00400000U + // .. .. + EMIT_MASKWRITE(0XF8000170, 0x03F03F30U ,0x00400500U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000180[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0xa + // .. .. ==> 0XF8000180[13:8] = 0x0000000AU + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000A00U + // .. .. DIVISOR1 = 0x5 + // .. .. ==> 0XF8000180[25:20] = 0x00000005U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00500000U + // .. .. + EMIT_MASKWRITE(0XF8000180, 0x03F03F30U ,0x00500A00U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000190[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x4 + // .. .. ==> 0XF8000190[13:8] = 0x00000004U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000400U + // .. .. DIVISOR1 = 0x3 + // .. .. ==> 0XF8000190[25:20] = 0x00000003U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00300000U + // .. .. + EMIT_MASKWRITE(0XF8000190, 0x03F03F30U ,0x00300400U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF80001A0[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x5 + // .. .. ==> 0XF80001A0[13:8] = 0x00000005U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000500U + // .. .. DIVISOR1 = 0x2 + // .. .. ==> 0XF80001A0[25:20] = 0x00000002U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00200000U + // .. .. + EMIT_MASKWRITE(0XF80001A0, 0x03F03F30U ,0x00200500U), + // .. .. CLK_621_TRUE = 0x1 + // .. .. ==> 0XF80001C4[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. + EMIT_MASKWRITE(0XF80001C4, 0x00000001U ,0x00000001U), + // .. .. DMA_CPU_2XCLKACT = 0x1 + // .. .. ==> 0XF800012C[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. USB0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[2:2] = 0x00000001U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. .. USB1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[3:3] = 0x00000001U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000008U + // .. .. GEM0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[6:6] = 0x00000001U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000040U + // .. .. GEM1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. SDI0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[10:10] = 0x00000001U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000400U + // .. .. SDI1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. SPI0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[14:14] = 0x00000001U + // .. .. ==> MASK : 0x00004000U VAL : 0x00004000U + // .. .. SPI1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[15:15] = 0x00000001U + // .. .. ==> MASK : 0x00008000U VAL : 0x00008000U + // .. .. CAN0_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. CAN1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. I2C0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[18:18] = 0x00000001U + // .. .. ==> MASK : 0x00040000U VAL : 0x00040000U + // .. .. I2C1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. UART0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[20:20] = 0x00000001U + // .. .. ==> MASK : 0x00100000U VAL : 0x00100000U + // .. .. UART1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[21:21] = 0x00000001U + // .. .. ==> MASK : 0x00200000U VAL : 0x00200000U + // .. .. GPIO_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[22:22] = 0x00000001U + // .. .. ==> MASK : 0x00400000U VAL : 0x00400000U + // .. .. LQSPI_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. SMC_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[24:24] = 0x00000001U + // .. .. ==> MASK : 0x01000000U VAL : 0x01000000U + // .. .. + EMIT_MASKWRITE(0XF800012C, 0x01FFCCCDU ,0x017CC44DU), + // .. FINISH: CLOCK CONTROL SLCR REGISTERS + // .. START: THIS SHOULD BE BLANK + // .. FINISH: THIS SHOULD BE BLANK + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_ddr_init_data_3_0[] = { + // START: top + // .. START: DDR INITIALIZATION + // .. .. START: LOCK DDR + // .. .. reg_ddrc_soft_rstb = 0 + // .. .. ==> 0XF8006000[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_powerdown_en = 0x0 + // .. .. ==> 0XF8006000[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_data_bus_width = 0x0 + // .. .. ==> 0XF8006000[3:2] = 0x00000000U + // .. .. ==> MASK : 0x0000000CU VAL : 0x00000000U + // .. .. reg_ddrc_burst8_refresh = 0x0 + // .. .. ==> 0XF8006000[6:4] = 0x00000000U + // .. .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. .. reg_ddrc_rdwr_idle_gap = 0x1 + // .. .. ==> 0XF8006000[13:7] = 0x00000001U + // .. .. ==> MASK : 0x00003F80U VAL : 0x00000080U + // .. .. reg_ddrc_dis_rd_bypass = 0x0 + // .. .. ==> 0XF8006000[14:14] = 0x00000000U + // .. .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_act_bypass = 0x0 + // .. .. ==> 0XF8006000[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_auto_refresh = 0x0 + // .. .. ==> 0XF8006000[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000080U), + // .. .. FINISH: LOCK DDR + // .. .. reg_ddrc_t_rfc_nom_x32 = 0x81 + // .. .. ==> 0XF8006004[11:0] = 0x00000081U + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000081U + // .. .. reserved_reg_ddrc_active_ranks = 0x1 + // .. .. ==> 0XF8006004[13:12] = 0x00000001U + // .. .. ==> MASK : 0x00003000U VAL : 0x00001000U + // .. .. reg_ddrc_addrmap_cs_bit0 = 0x0 + // .. .. ==> 0XF8006004[18:14] = 0x00000000U + // .. .. ==> MASK : 0x0007C000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006004, 0x0007FFFFU ,0x00001081U), + // .. .. reg_ddrc_hpr_min_non_critical_x32 = 0xf + // .. .. ==> 0XF8006008[10:0] = 0x0000000FU + // .. .. ==> MASK : 0x000007FFU VAL : 0x0000000FU + // .. .. reg_ddrc_hpr_max_starve_x32 = 0xf + // .. .. ==> 0XF8006008[21:11] = 0x0000000FU + // .. .. ==> MASK : 0x003FF800U VAL : 0x00007800U + // .. .. reg_ddrc_hpr_xact_run_length = 0xf + // .. .. ==> 0XF8006008[25:22] = 0x0000000FU + // .. .. ==> MASK : 0x03C00000U VAL : 0x03C00000U + // .. .. + EMIT_MASKWRITE(0XF8006008, 0x03FFFFFFU ,0x03C0780FU), + // .. .. reg_ddrc_lpr_min_non_critical_x32 = 0x1 + // .. .. ==> 0XF800600C[10:0] = 0x00000001U + // .. .. ==> MASK : 0x000007FFU VAL : 0x00000001U + // .. .. reg_ddrc_lpr_max_starve_x32 = 0x2 + // .. .. ==> 0XF800600C[21:11] = 0x00000002U + // .. .. ==> MASK : 0x003FF800U VAL : 0x00001000U + // .. .. reg_ddrc_lpr_xact_run_length = 0x8 + // .. .. ==> 0XF800600C[25:22] = 0x00000008U + // .. .. ==> MASK : 0x03C00000U VAL : 0x02000000U + // .. .. + EMIT_MASKWRITE(0XF800600C, 0x03FFFFFFU ,0x02001001U), + // .. .. reg_ddrc_w_min_non_critical_x32 = 0x1 + // .. .. ==> 0XF8006010[10:0] = 0x00000001U + // .. .. ==> MASK : 0x000007FFU VAL : 0x00000001U + // .. .. reg_ddrc_w_xact_run_length = 0x8 + // .. .. ==> 0XF8006010[14:11] = 0x00000008U + // .. .. ==> MASK : 0x00007800U VAL : 0x00004000U + // .. .. reg_ddrc_w_max_starve_x32 = 0x2 + // .. .. ==> 0XF8006010[25:15] = 0x00000002U + // .. .. ==> MASK : 0x03FF8000U VAL : 0x00010000U + // .. .. + EMIT_MASKWRITE(0XF8006010, 0x03FFFFFFU ,0x00014001U), + // .. .. reg_ddrc_t_rc = 0x1a + // .. .. ==> 0XF8006014[5:0] = 0x0000001AU + // .. .. ==> MASK : 0x0000003FU VAL : 0x0000001AU + // .. .. reg_ddrc_t_rfc_min = 0xa0 + // .. .. ==> 0XF8006014[13:6] = 0x000000A0U + // .. .. ==> MASK : 0x00003FC0U VAL : 0x00002800U + // .. .. reg_ddrc_post_selfref_gap_x32 = 0x10 + // .. .. ==> 0XF8006014[20:14] = 0x00000010U + // .. .. ==> MASK : 0x001FC000U VAL : 0x00040000U + // .. .. + EMIT_MASKWRITE(0XF8006014, 0x001FFFFFU ,0x0004281AU), + // .. .. reg_ddrc_wr2pre = 0x12 + // .. .. ==> 0XF8006018[4:0] = 0x00000012U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000012U + // .. .. reg_ddrc_powerdown_to_x32 = 0x6 + // .. .. ==> 0XF8006018[9:5] = 0x00000006U + // .. .. ==> MASK : 0x000003E0U VAL : 0x000000C0U + // .. .. reg_ddrc_t_faw = 0x16 + // .. .. ==> 0XF8006018[15:10] = 0x00000016U + // .. .. ==> MASK : 0x0000FC00U VAL : 0x00005800U + // .. .. reg_ddrc_t_ras_max = 0x24 + // .. .. ==> 0XF8006018[21:16] = 0x00000024U + // .. .. ==> MASK : 0x003F0000U VAL : 0x00240000U + // .. .. reg_ddrc_t_ras_min = 0x13 + // .. .. ==> 0XF8006018[26:22] = 0x00000013U + // .. .. ==> MASK : 0x07C00000U VAL : 0x04C00000U + // .. .. reg_ddrc_t_cke = 0x4 + // .. .. ==> 0XF8006018[31:28] = 0x00000004U + // .. .. ==> MASK : 0xF0000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006018, 0xF7FFFFFFU ,0x44E458D2U), + // .. .. reg_ddrc_write_latency = 0x5 + // .. .. ==> 0XF800601C[4:0] = 0x00000005U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000005U + // .. .. reg_ddrc_rd2wr = 0x7 + // .. .. ==> 0XF800601C[9:5] = 0x00000007U + // .. .. ==> MASK : 0x000003E0U VAL : 0x000000E0U + // .. .. reg_ddrc_wr2rd = 0xe + // .. .. ==> 0XF800601C[14:10] = 0x0000000EU + // .. .. ==> MASK : 0x00007C00U VAL : 0x00003800U + // .. .. reg_ddrc_t_xp = 0x4 + // .. .. ==> 0XF800601C[19:15] = 0x00000004U + // .. .. ==> MASK : 0x000F8000U VAL : 0x00020000U + // .. .. reg_ddrc_pad_pd = 0x0 + // .. .. ==> 0XF800601C[22:20] = 0x00000000U + // .. .. ==> MASK : 0x00700000U VAL : 0x00000000U + // .. .. reg_ddrc_rd2pre = 0x4 + // .. .. ==> 0XF800601C[27:23] = 0x00000004U + // .. .. ==> MASK : 0x0F800000U VAL : 0x02000000U + // .. .. reg_ddrc_t_rcd = 0x7 + // .. .. ==> 0XF800601C[31:28] = 0x00000007U + // .. .. ==> MASK : 0xF0000000U VAL : 0x70000000U + // .. .. + EMIT_MASKWRITE(0XF800601C, 0xFFFFFFFFU ,0x720238E5U), + // .. .. reg_ddrc_t_ccd = 0x4 + // .. .. ==> 0XF8006020[4:2] = 0x00000004U + // .. .. ==> MASK : 0x0000001CU VAL : 0x00000010U + // .. .. reg_ddrc_t_rrd = 0x6 + // .. .. ==> 0XF8006020[7:5] = 0x00000006U + // .. .. ==> MASK : 0x000000E0U VAL : 0x000000C0U + // .. .. reg_ddrc_refresh_margin = 0x2 + // .. .. ==> 0XF8006020[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. reg_ddrc_t_rp = 0x7 + // .. .. ==> 0XF8006020[15:12] = 0x00000007U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00007000U + // .. .. reg_ddrc_refresh_to_x32 = 0x8 + // .. .. ==> 0XF8006020[20:16] = 0x00000008U + // .. .. ==> MASK : 0x001F0000U VAL : 0x00080000U + // .. .. reg_ddrc_mobile = 0x0 + // .. .. ==> 0XF8006020[22:22] = 0x00000000U + // .. .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. .. reg_ddrc_en_dfi_dram_clk_disable = 0x0 + // .. .. ==> 0XF8006020[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. reg_ddrc_read_latency = 0x7 + // .. .. ==> 0XF8006020[28:24] = 0x00000007U + // .. .. ==> MASK : 0x1F000000U VAL : 0x07000000U + // .. .. reg_phy_mode_ddr1_ddr2 = 0x1 + // .. .. ==> 0XF8006020[29:29] = 0x00000001U + // .. .. ==> MASK : 0x20000000U VAL : 0x20000000U + // .. .. reg_ddrc_dis_pad_pd = 0x0 + // .. .. ==> 0XF8006020[30:30] = 0x00000000U + // .. .. ==> MASK : 0x40000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006020, 0x7FDFFFFCU ,0x270872D0U), + // .. .. reg_ddrc_en_2t_timing_mode = 0x0 + // .. .. ==> 0XF8006024[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_prefer_write = 0x0 + // .. .. ==> 0XF8006024[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_mr_wr = 0x0 + // .. .. ==> 0XF8006024[6:6] = 0x00000000U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. .. reg_ddrc_mr_addr = 0x0 + // .. .. ==> 0XF8006024[8:7] = 0x00000000U + // .. .. ==> MASK : 0x00000180U VAL : 0x00000000U + // .. .. reg_ddrc_mr_data = 0x0 + // .. .. ==> 0XF8006024[24:9] = 0x00000000U + // .. .. ==> MASK : 0x01FFFE00U VAL : 0x00000000U + // .. .. ddrc_reg_mr_wr_busy = 0x0 + // .. .. ==> 0XF8006024[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. reg_ddrc_mr_type = 0x0 + // .. .. ==> 0XF8006024[26:26] = 0x00000000U + // .. .. ==> MASK : 0x04000000U VAL : 0x00000000U + // .. .. reg_ddrc_mr_rdata_valid = 0x0 + // .. .. ==> 0XF8006024[27:27] = 0x00000000U + // .. .. ==> MASK : 0x08000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006024, 0x0FFFFFC3U ,0x00000000U), + // .. .. reg_ddrc_final_wait_x32 = 0x7 + // .. .. ==> 0XF8006028[6:0] = 0x00000007U + // .. .. ==> MASK : 0x0000007FU VAL : 0x00000007U + // .. .. reg_ddrc_pre_ocd_x32 = 0x0 + // .. .. ==> 0XF8006028[10:7] = 0x00000000U + // .. .. ==> MASK : 0x00000780U VAL : 0x00000000U + // .. .. reg_ddrc_t_mrd = 0x4 + // .. .. ==> 0XF8006028[13:11] = 0x00000004U + // .. .. ==> MASK : 0x00003800U VAL : 0x00002000U + // .. .. + EMIT_MASKWRITE(0XF8006028, 0x00003FFFU ,0x00002007U), + // .. .. reg_ddrc_emr2 = 0x8 + // .. .. ==> 0XF800602C[15:0] = 0x00000008U + // .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. reg_ddrc_emr3 = 0x0 + // .. .. ==> 0XF800602C[31:16] = 0x00000000U + // .. .. ==> MASK : 0xFFFF0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800602C, 0xFFFFFFFFU ,0x00000008U), + // .. .. reg_ddrc_mr = 0x930 + // .. .. ==> 0XF8006030[15:0] = 0x00000930U + // .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000930U + // .. .. reg_ddrc_emr = 0x4 + // .. .. ==> 0XF8006030[31:16] = 0x00000004U + // .. .. ==> MASK : 0xFFFF0000U VAL : 0x00040000U + // .. .. + EMIT_MASKWRITE(0XF8006030, 0xFFFFFFFFU ,0x00040930U), + // .. .. reg_ddrc_burst_rdwr = 0x4 + // .. .. ==> 0XF8006034[3:0] = 0x00000004U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000004U + // .. .. reg_ddrc_pre_cke_x1024 = 0x16d + // .. .. ==> 0XF8006034[13:4] = 0x0000016DU + // .. .. ==> MASK : 0x00003FF0U VAL : 0x000016D0U + // .. .. reg_ddrc_post_cke_x1024 = 0x1 + // .. .. ==> 0XF8006034[25:16] = 0x00000001U + // .. .. ==> MASK : 0x03FF0000U VAL : 0x00010000U + // .. .. reg_ddrc_burstchop = 0x0 + // .. .. ==> 0XF8006034[28:28] = 0x00000000U + // .. .. ==> MASK : 0x10000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006034, 0x13FF3FFFU ,0x000116D4U), + // .. .. reg_ddrc_force_low_pri_n = 0x0 + // .. .. ==> 0XF8006038[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_dis_dq = 0x0 + // .. .. ==> 0XF8006038[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006038, 0x00000003U ,0x00000000U), + // .. .. reg_ddrc_addrmap_bank_b0 = 0x7 + // .. .. ==> 0XF800603C[3:0] = 0x00000007U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000007U + // .. .. reg_ddrc_addrmap_bank_b1 = 0x7 + // .. .. ==> 0XF800603C[7:4] = 0x00000007U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000070U + // .. .. reg_ddrc_addrmap_bank_b2 = 0x7 + // .. .. ==> 0XF800603C[11:8] = 0x00000007U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000700U + // .. .. reg_ddrc_addrmap_col_b5 = 0x0 + // .. .. ==> 0XF800603C[15:12] = 0x00000000U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b6 = 0x0 + // .. .. ==> 0XF800603C[19:16] = 0x00000000U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800603C, 0x000FFFFFU ,0x00000777U), + // .. .. reg_ddrc_addrmap_col_b2 = 0x0 + // .. .. ==> 0XF8006040[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b3 = 0x0 + // .. .. ==> 0XF8006040[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b4 = 0x0 + // .. .. ==> 0XF8006040[11:8] = 0x00000000U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b7 = 0x0 + // .. .. ==> 0XF8006040[15:12] = 0x00000000U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b8 = 0x0 + // .. .. ==> 0XF8006040[19:16] = 0x00000000U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b9 = 0xf + // .. .. ==> 0XF8006040[23:20] = 0x0000000FU + // .. .. ==> MASK : 0x00F00000U VAL : 0x00F00000U + // .. .. reg_ddrc_addrmap_col_b10 = 0xf + // .. .. ==> 0XF8006040[27:24] = 0x0000000FU + // .. .. ==> MASK : 0x0F000000U VAL : 0x0F000000U + // .. .. reg_ddrc_addrmap_col_b11 = 0xf + // .. .. ==> 0XF8006040[31:28] = 0x0000000FU + // .. .. ==> MASK : 0xF0000000U VAL : 0xF0000000U + // .. .. + EMIT_MASKWRITE(0XF8006040, 0xFFFFFFFFU ,0xFFF00000U), + // .. .. reg_ddrc_addrmap_row_b0 = 0x6 + // .. .. ==> 0XF8006044[3:0] = 0x00000006U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000006U + // .. .. reg_ddrc_addrmap_row_b1 = 0x6 + // .. .. ==> 0XF8006044[7:4] = 0x00000006U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000060U + // .. .. reg_ddrc_addrmap_row_b2_11 = 0x6 + // .. .. ==> 0XF8006044[11:8] = 0x00000006U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000600U + // .. .. reg_ddrc_addrmap_row_b12 = 0x6 + // .. .. ==> 0XF8006044[15:12] = 0x00000006U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00006000U + // .. .. reg_ddrc_addrmap_row_b13 = 0x6 + // .. .. ==> 0XF8006044[19:16] = 0x00000006U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00060000U + // .. .. reg_ddrc_addrmap_row_b14 = 0x6 + // .. .. ==> 0XF8006044[23:20] = 0x00000006U + // .. .. ==> MASK : 0x00F00000U VAL : 0x00600000U + // .. .. reg_ddrc_addrmap_row_b15 = 0xf + // .. .. ==> 0XF8006044[27:24] = 0x0000000FU + // .. .. ==> MASK : 0x0F000000U VAL : 0x0F000000U + // .. .. + EMIT_MASKWRITE(0XF8006044, 0x0FFFFFFFU ,0x0F666666U), + // .. .. reg_phy_rd_local_odt = 0x0 + // .. .. ==> 0XF8006048[13:12] = 0x00000000U + // .. .. ==> MASK : 0x00003000U VAL : 0x00000000U + // .. .. reg_phy_wr_local_odt = 0x3 + // .. .. ==> 0XF8006048[15:14] = 0x00000003U + // .. .. ==> MASK : 0x0000C000U VAL : 0x0000C000U + // .. .. reg_phy_idle_local_odt = 0x3 + // .. .. ==> 0XF8006048[17:16] = 0x00000003U + // .. .. ==> MASK : 0x00030000U VAL : 0x00030000U + // .. .. reserved_reg_ddrc_rank0_wr_odt = 0x1 + // .. .. ==> 0XF8006048[5:3] = 0x00000001U + // .. .. ==> MASK : 0x00000038U VAL : 0x00000008U + // .. .. reserved_reg_ddrc_rank0_rd_odt = 0x0 + // .. .. ==> 0XF8006048[2:0] = 0x00000000U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006048, 0x0003F03FU ,0x0003C008U), + // .. .. reg_phy_rd_cmd_to_data = 0x0 + // .. .. ==> 0XF8006050[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_phy_wr_cmd_to_data = 0x0 + // .. .. ==> 0XF8006050[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_phy_rdc_we_to_re_delay = 0x8 + // .. .. ==> 0XF8006050[11:8] = 0x00000008U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000800U + // .. .. reg_phy_rdc_fifo_rst_disable = 0x0 + // .. .. ==> 0XF8006050[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_phy_use_fixed_re = 0x1 + // .. .. ==> 0XF8006050[16:16] = 0x00000001U + // .. .. ==> MASK : 0x00010000U VAL : 0x00010000U + // .. .. reg_phy_rdc_fifo_rst_err_cnt_clr = 0x0 + // .. .. ==> 0XF8006050[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_phy_dis_phy_ctrl_rstn = 0x0 + // .. .. ==> 0XF8006050[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_phy_clk_stall_level = 0x0 + // .. .. ==> 0XF8006050[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_num_of_dq0 = 0x7 + // .. .. ==> 0XF8006050[27:24] = 0x00000007U + // .. .. ==> MASK : 0x0F000000U VAL : 0x07000000U + // .. .. reg_phy_wrlvl_num_of_dq0 = 0x7 + // .. .. ==> 0XF8006050[31:28] = 0x00000007U + // .. .. ==> MASK : 0xF0000000U VAL : 0x70000000U + // .. .. + EMIT_MASKWRITE(0XF8006050, 0xFF0F8FFFU ,0x77010800U), + // .. .. reg_ddrc_dis_dll_calib = 0x0 + // .. .. ==> 0XF8006058[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006058, 0x00010000U ,0x00000000U), + // .. .. reg_ddrc_rd_odt_delay = 0x3 + // .. .. ==> 0XF800605C[3:0] = 0x00000003U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000003U + // .. .. reg_ddrc_wr_odt_delay = 0x0 + // .. .. ==> 0XF800605C[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_ddrc_rd_odt_hold = 0x0 + // .. .. ==> 0XF800605C[11:8] = 0x00000000U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000000U + // .. .. reg_ddrc_wr_odt_hold = 0x5 + // .. .. ==> 0XF800605C[15:12] = 0x00000005U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00005000U + // .. .. + EMIT_MASKWRITE(0XF800605C, 0x0000FFFFU ,0x00005003U), + // .. .. reg_ddrc_pageclose = 0x0 + // .. .. ==> 0XF8006060[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_lpr_num_entries = 0x1f + // .. .. ==> 0XF8006060[6:1] = 0x0000001FU + // .. .. ==> MASK : 0x0000007EU VAL : 0x0000003EU + // .. .. reg_ddrc_auto_pre_en = 0x0 + // .. .. ==> 0XF8006060[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. reg_ddrc_refresh_update_level = 0x0 + // .. .. ==> 0XF8006060[8:8] = 0x00000000U + // .. .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. .. reg_ddrc_dis_wc = 0x0 + // .. .. ==> 0XF8006060[9:9] = 0x00000000U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. .. reg_ddrc_dis_collision_page_opt = 0x0 + // .. .. ==> 0XF8006060[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_ddrc_selfref_en = 0x0 + // .. .. ==> 0XF8006060[12:12] = 0x00000000U + // .. .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006060, 0x000017FFU ,0x0000003EU), + // .. .. reg_ddrc_go2critical_hysteresis = 0x0 + // .. .. ==> 0XF8006064[12:5] = 0x00000000U + // .. .. ==> MASK : 0x00001FE0U VAL : 0x00000000U + // .. .. reg_arb_go2critical_en = 0x1 + // .. .. ==> 0XF8006064[17:17] = 0x00000001U + // .. .. ==> MASK : 0x00020000U VAL : 0x00020000U + // .. .. + EMIT_MASKWRITE(0XF8006064, 0x00021FE0U ,0x00020000U), + // .. .. reg_ddrc_wrlvl_ww = 0x41 + // .. .. ==> 0XF8006068[7:0] = 0x00000041U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000041U + // .. .. reg_ddrc_rdlvl_rr = 0x41 + // .. .. ==> 0XF8006068[15:8] = 0x00000041U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00004100U + // .. .. reg_ddrc_dfi_t_wlmrd = 0x28 + // .. .. ==> 0XF8006068[25:16] = 0x00000028U + // .. .. ==> MASK : 0x03FF0000U VAL : 0x00280000U + // .. .. + EMIT_MASKWRITE(0XF8006068, 0x03FFFFFFU ,0x00284141U), + // .. .. dfi_t_ctrlupd_interval_min_x1024 = 0x10 + // .. .. ==> 0XF800606C[7:0] = 0x00000010U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000010U + // .. .. dfi_t_ctrlupd_interval_max_x1024 = 0x16 + // .. .. ==> 0XF800606C[15:8] = 0x00000016U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00001600U + // .. .. + EMIT_MASKWRITE(0XF800606C, 0x0000FFFFU ,0x00001610U), + // .. .. reg_ddrc_dfi_t_ctrl_delay = 0x1 + // .. .. ==> 0XF8006078[3:0] = 0x00000001U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000001U + // .. .. reg_ddrc_dfi_t_dram_clk_disable = 0x1 + // .. .. ==> 0XF8006078[7:4] = 0x00000001U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000010U + // .. .. reg_ddrc_dfi_t_dram_clk_enable = 0x1 + // .. .. ==> 0XF8006078[11:8] = 0x00000001U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000100U + // .. .. reg_ddrc_t_cksre = 0x6 + // .. .. ==> 0XF8006078[15:12] = 0x00000006U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00006000U + // .. .. reg_ddrc_t_cksrx = 0x6 + // .. .. ==> 0XF8006078[19:16] = 0x00000006U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00060000U + // .. .. reg_ddrc_t_ckesr = 0x4 + // .. .. ==> 0XF8006078[25:20] = 0x00000004U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00400000U + // .. .. + EMIT_MASKWRITE(0XF8006078, 0x03FFFFFFU ,0x00466111U), + // .. .. reg_ddrc_t_ckpde = 0x2 + // .. .. ==> 0XF800607C[3:0] = 0x00000002U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000002U + // .. .. reg_ddrc_t_ckpdx = 0x2 + // .. .. ==> 0XF800607C[7:4] = 0x00000002U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000020U + // .. .. reg_ddrc_t_ckdpde = 0x2 + // .. .. ==> 0XF800607C[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. reg_ddrc_t_ckdpdx = 0x2 + // .. .. ==> 0XF800607C[15:12] = 0x00000002U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00002000U + // .. .. reg_ddrc_t_ckcsx = 0x3 + // .. .. ==> 0XF800607C[19:16] = 0x00000003U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00030000U + // .. .. + EMIT_MASKWRITE(0XF800607C, 0x000FFFFFU ,0x00032222U), + // .. .. reg_ddrc_dis_auto_zq = 0x0 + // .. .. ==> 0XF80060A4[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_ddr3 = 0x1 + // .. .. ==> 0XF80060A4[1:1] = 0x00000001U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. reg_ddrc_t_mod = 0x200 + // .. .. ==> 0XF80060A4[11:2] = 0x00000200U + // .. .. ==> MASK : 0x00000FFCU VAL : 0x00000800U + // .. .. reg_ddrc_t_zq_long_nop = 0x200 + // .. .. ==> 0XF80060A4[21:12] = 0x00000200U + // .. .. ==> MASK : 0x003FF000U VAL : 0x00200000U + // .. .. reg_ddrc_t_zq_short_nop = 0x40 + // .. .. ==> 0XF80060A4[31:22] = 0x00000040U + // .. .. ==> MASK : 0xFFC00000U VAL : 0x10000000U + // .. .. + EMIT_MASKWRITE(0XF80060A4, 0xFFFFFFFFU ,0x10200802U), + // .. .. t_zq_short_interval_x1024 = 0xcb73 + // .. .. ==> 0XF80060A8[19:0] = 0x0000CB73U + // .. .. ==> MASK : 0x000FFFFFU VAL : 0x0000CB73U + // .. .. dram_rstn_x1024 = 0x69 + // .. .. ==> 0XF80060A8[27:20] = 0x00000069U + // .. .. ==> MASK : 0x0FF00000U VAL : 0x06900000U + // .. .. + EMIT_MASKWRITE(0XF80060A8, 0x0FFFFFFFU ,0x0690CB73U), + // .. .. deeppowerdown_en = 0x0 + // .. .. ==> 0XF80060AC[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. deeppowerdown_to_x1024 = 0xff + // .. .. ==> 0XF80060AC[8:1] = 0x000000FFU + // .. .. ==> MASK : 0x000001FEU VAL : 0x000001FEU + // .. .. + EMIT_MASKWRITE(0XF80060AC, 0x000001FFU ,0x000001FEU), + // .. .. dfi_wrlvl_max_x1024 = 0xfff + // .. .. ==> 0XF80060B0[11:0] = 0x00000FFFU + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000FFFU + // .. .. dfi_rdlvl_max_x1024 = 0xfff + // .. .. ==> 0XF80060B0[23:12] = 0x00000FFFU + // .. .. ==> MASK : 0x00FFF000U VAL : 0x00FFF000U + // .. .. ddrc_reg_twrlvl_max_error = 0x0 + // .. .. ==> 0XF80060B0[24:24] = 0x00000000U + // .. .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. .. ddrc_reg_trdlvl_max_error = 0x0 + // .. .. ==> 0XF80060B0[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. reg_ddrc_dfi_wr_level_en = 0x1 + // .. .. ==> 0XF80060B0[26:26] = 0x00000001U + // .. .. ==> MASK : 0x04000000U VAL : 0x04000000U + // .. .. reg_ddrc_dfi_rd_dqs_gate_level = 0x1 + // .. .. ==> 0XF80060B0[27:27] = 0x00000001U + // .. .. ==> MASK : 0x08000000U VAL : 0x08000000U + // .. .. reg_ddrc_dfi_rd_data_eye_train = 0x1 + // .. .. ==> 0XF80060B0[28:28] = 0x00000001U + // .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. + EMIT_MASKWRITE(0XF80060B0, 0x1FFFFFFFU ,0x1CFFFFFFU), + // .. .. reg_ddrc_skip_ocd = 0x1 + // .. .. ==> 0XF80060B4[9:9] = 0x00000001U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000200U + // .. .. + EMIT_MASKWRITE(0XF80060B4, 0x00000200U ,0x00000200U), + // .. .. reg_ddrc_dfi_t_rddata_en = 0x6 + // .. .. ==> 0XF80060B8[4:0] = 0x00000006U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000006U + // .. .. reg_ddrc_dfi_t_ctrlup_min = 0x3 + // .. .. ==> 0XF80060B8[14:5] = 0x00000003U + // .. .. ==> MASK : 0x00007FE0U VAL : 0x00000060U + // .. .. reg_ddrc_dfi_t_ctrlup_max = 0x40 + // .. .. ==> 0XF80060B8[24:15] = 0x00000040U + // .. .. ==> MASK : 0x01FF8000U VAL : 0x00200000U + // .. .. + EMIT_MASKWRITE(0XF80060B8, 0x01FFFFFFU ,0x00200066U), + // .. .. Clear_Uncorrectable_DRAM_ECC_error = 0x0 + // .. .. ==> 0XF80060C4[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. Clear_Correctable_DRAM_ECC_error = 0x0 + // .. .. ==> 0XF80060C4[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060C4, 0x00000003U ,0x00000000U), + // .. .. CORR_ECC_LOG_VALID = 0x0 + // .. .. ==> 0XF80060C8[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. ECC_CORRECTED_BIT_NUM = 0x0 + // .. .. ==> 0XF80060C8[7:1] = 0x00000000U + // .. .. ==> MASK : 0x000000FEU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060C8, 0x000000FFU ,0x00000000U), + // .. .. UNCORR_ECC_LOG_VALID = 0x0 + // .. .. ==> 0XF80060DC[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060DC, 0x00000001U ,0x00000000U), + // .. .. STAT_NUM_CORR_ERR = 0x0 + // .. .. ==> 0XF80060F0[15:8] = 0x00000000U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00000000U + // .. .. STAT_NUM_UNCORR_ERR = 0x0 + // .. .. ==> 0XF80060F0[7:0] = 0x00000000U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060F0, 0x0000FFFFU ,0x00000000U), + // .. .. reg_ddrc_ecc_mode = 0x0 + // .. .. ==> 0XF80060F4[2:0] = 0x00000000U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000000U + // .. .. reg_ddrc_dis_scrub = 0x1 + // .. .. ==> 0XF80060F4[3:3] = 0x00000001U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000008U + // .. .. + EMIT_MASKWRITE(0XF80060F4, 0x0000000FU ,0x00000008U), + // .. .. reg_phy_dif_on = 0x0 + // .. .. ==> 0XF8006114[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_phy_dif_off = 0x0 + // .. .. ==> 0XF8006114[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006114, 0x000000FFU ,0x00000000U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006118[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006118[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006118[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006118[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006118, 0x7FFFFFCFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF800611C[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF800611C[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF800611C[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF800611C[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF800611C, 0x7FFFFFCFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006120[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006120[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006120[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006120[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006120, 0x7FFFFFCFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006124[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006124[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006124[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006124[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006124, 0x7FFFFFCFU ,0x40000001U), + // .. .. reg_phy_wrlvl_init_ratio = 0x7 + // .. .. ==> 0XF800612C[9:0] = 0x00000007U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000007U + // .. .. reg_phy_gatelvl_init_ratio = 0x7a + // .. .. ==> 0XF800612C[19:10] = 0x0000007AU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001E800U + // .. .. + EMIT_MASKWRITE(0XF800612C, 0x000FFFFFU ,0x0001E807U), + // .. .. reg_phy_wrlvl_init_ratio = 0x5 + // .. .. ==> 0XF8006130[9:0] = 0x00000005U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000005U + // .. .. reg_phy_gatelvl_init_ratio = 0x7b + // .. .. ==> 0XF8006130[19:10] = 0x0000007BU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001EC00U + // .. .. + EMIT_MASKWRITE(0XF8006130, 0x000FFFFFU ,0x0001EC05U), + // .. .. reg_phy_wrlvl_init_ratio = 0x5 + // .. .. ==> 0XF8006134[9:0] = 0x00000005U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000005U + // .. .. reg_phy_gatelvl_init_ratio = 0x7b + // .. .. ==> 0XF8006134[19:10] = 0x0000007BU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001EC00U + // .. .. + EMIT_MASKWRITE(0XF8006134, 0x000FFFFFU ,0x0001EC05U), + // .. .. reg_phy_wrlvl_init_ratio = 0x1 + // .. .. ==> 0XF8006138[9:0] = 0x00000001U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000001U + // .. .. reg_phy_gatelvl_init_ratio = 0x78 + // .. .. ==> 0XF8006138[19:10] = 0x00000078U + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001E000U + // .. .. + EMIT_MASKWRITE(0XF8006138, 0x000FFFFFU ,0x0001E001U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006140[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006140[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006140[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006140, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006144[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006144[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006144[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006144, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006148[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006148[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006148[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006148, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF800614C[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF800614C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF800614C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800614C, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x87 + // .. .. ==> 0XF8006154[9:0] = 0x00000087U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000087U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006154[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006154[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006154, 0x000FFFFFU ,0x00000087U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x85 + // .. .. ==> 0XF8006158[9:0] = 0x00000085U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000085U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006158[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006158[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006158, 0x000FFFFFU ,0x00000085U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x85 + // .. .. ==> 0XF800615C[9:0] = 0x00000085U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000085U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF800615C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF800615C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800615C, 0x000FFFFFU ,0x00000085U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x81 + // .. .. ==> 0XF8006160[9:0] = 0x00000081U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000081U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006160[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006160[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006160, 0x000FFFFFU ,0x00000081U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xcf + // .. .. ==> 0XF8006168[10:0] = 0x000000CFU + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000CFU + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006168[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006168[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006168, 0x001FFFFFU ,0x000000CFU), + // .. .. reg_phy_fifo_we_slave_ratio = 0xd0 + // .. .. ==> 0XF800616C[10:0] = 0x000000D0U + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000D0U + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF800616C[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF800616C[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800616C, 0x001FFFFFU ,0x000000D0U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xd0 + // .. .. ==> 0XF8006170[10:0] = 0x000000D0U + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000D0U + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006170[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006170[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006170, 0x001FFFFFU ,0x000000D0U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xcd + // .. .. ==> 0XF8006174[10:0] = 0x000000CDU + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000CDU + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006174[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006174[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006174, 0x001FFFFFU ,0x000000CDU), + // .. .. reg_phy_wr_data_slave_ratio = 0xc7 + // .. .. ==> 0XF800617C[9:0] = 0x000000C7U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C7U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF800617C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF800617C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800617C, 0x000FFFFFU ,0x000000C7U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc5 + // .. .. ==> 0XF8006180[9:0] = 0x000000C5U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C5U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006180[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006180[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006180, 0x000FFFFFU ,0x000000C5U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc5 + // .. .. ==> 0XF8006184[9:0] = 0x000000C5U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C5U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006184[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006184[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006184, 0x000FFFFFU ,0x000000C5U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc1 + // .. .. ==> 0XF8006188[9:0] = 0x000000C1U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C1U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006188[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006188[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006188, 0x000FFFFFU ,0x000000C1U), + // .. .. reg_phy_bl2 = 0x0 + // .. .. ==> 0XF8006190[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_at_spd_atpg = 0x0 + // .. .. ==> 0XF8006190[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_bist_enable = 0x0 + // .. .. ==> 0XF8006190[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_bist_force_err = 0x0 + // .. .. ==> 0XF8006190[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_bist_mode = 0x0 + // .. .. ==> 0XF8006190[6:5] = 0x00000000U + // .. .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. .. reg_phy_invert_clkout = 0x1 + // .. .. ==> 0XF8006190[7:7] = 0x00000001U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. .. reg_phy_sel_logic = 0x0 + // .. .. ==> 0XF8006190[9:9] = 0x00000000U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_ratio = 0x100 + // .. .. ==> 0XF8006190[19:10] = 0x00000100U + // .. .. ==> MASK : 0x000FFC00U VAL : 0x00040000U + // .. .. reg_phy_ctrl_slave_force = 0x0 + // .. .. ==> 0XF8006190[20:20] = 0x00000000U + // .. .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_delay = 0x0 + // .. .. ==> 0XF8006190[27:21] = 0x00000000U + // .. .. ==> MASK : 0x0FE00000U VAL : 0x00000000U + // .. .. reg_phy_lpddr = 0x0 + // .. .. ==> 0XF8006190[29:29] = 0x00000000U + // .. .. ==> MASK : 0x20000000U VAL : 0x00000000U + // .. .. reg_phy_cmd_latency = 0x0 + // .. .. ==> 0XF8006190[30:30] = 0x00000000U + // .. .. ==> MASK : 0x40000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006190, 0x6FFFFEFEU ,0x00040080U), + // .. .. reg_phy_wr_rl_delay = 0x2 + // .. .. ==> 0XF8006194[4:0] = 0x00000002U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000002U + // .. .. reg_phy_rd_rl_delay = 0x4 + // .. .. ==> 0XF8006194[9:5] = 0x00000004U + // .. .. ==> MASK : 0x000003E0U VAL : 0x00000080U + // .. .. reg_phy_dll_lock_diff = 0xf + // .. .. ==> 0XF8006194[13:10] = 0x0000000FU + // .. .. ==> MASK : 0x00003C00U VAL : 0x00003C00U + // .. .. reg_phy_use_wr_level = 0x1 + // .. .. ==> 0XF8006194[14:14] = 0x00000001U + // .. .. ==> MASK : 0x00004000U VAL : 0x00004000U + // .. .. reg_phy_use_rd_dqs_gate_level = 0x1 + // .. .. ==> 0XF8006194[15:15] = 0x00000001U + // .. .. ==> MASK : 0x00008000U VAL : 0x00008000U + // .. .. reg_phy_use_rd_data_eye_level = 0x1 + // .. .. ==> 0XF8006194[16:16] = 0x00000001U + // .. .. ==> MASK : 0x00010000U VAL : 0x00010000U + // .. .. reg_phy_dis_calib_rst = 0x0 + // .. .. ==> 0XF8006194[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_delay = 0x0 + // .. .. ==> 0XF8006194[19:18] = 0x00000000U + // .. .. ==> MASK : 0x000C0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006194, 0x000FFFFFU ,0x0001FC82U), + // .. .. reg_arb_page_addr_mask = 0x0 + // .. .. ==> 0XF8006204[31:0] = 0x00000000U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006204, 0xFFFFFFFFU ,0x00000000U), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006208[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006208[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006208[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006208[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006208, 0x000703FFU ,0x000003FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF800620C[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF800620C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF800620C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF800620C[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800620C, 0x000703FFU ,0x000003FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006210[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006210[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006210[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006210[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006210, 0x000703FFU ,0x000003FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006214[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006214[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006214[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006214[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006214, 0x000703FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006218[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006218[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006218[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006218[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006218[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006218, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF800621C[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF800621C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF800621C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF800621C[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF800621C[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800621C, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006220[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006220[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006220[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006220[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006220[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006220, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006224[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006224[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006224[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006224[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006224[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006224, 0x000F03FFU ,0x000003FFU), + // .. .. reg_ddrc_lpddr2 = 0x0 + // .. .. ==> 0XF80062A8[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_derate_enable = 0x0 + // .. .. ==> 0XF80062A8[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_ddrc_mr4_margin = 0x0 + // .. .. ==> 0XF80062A8[11:4] = 0x00000000U + // .. .. ==> MASK : 0x00000FF0U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80062A8, 0x00000FF5U ,0x00000000U), + // .. .. reg_ddrc_mr4_read_interval = 0x0 + // .. .. ==> 0XF80062AC[31:0] = 0x00000000U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80062AC, 0xFFFFFFFFU ,0x00000000U), + // .. .. reg_ddrc_min_stable_clock_x1 = 0x5 + // .. .. ==> 0XF80062B0[3:0] = 0x00000005U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000005U + // .. .. reg_ddrc_idle_after_reset_x32 = 0x12 + // .. .. ==> 0XF80062B0[11:4] = 0x00000012U + // .. .. ==> MASK : 0x00000FF0U VAL : 0x00000120U + // .. .. reg_ddrc_t_mrw = 0x5 + // .. .. ==> 0XF80062B0[21:12] = 0x00000005U + // .. .. ==> MASK : 0x003FF000U VAL : 0x00005000U + // .. .. + EMIT_MASKWRITE(0XF80062B0, 0x003FFFFFU ,0x00005125U), + // .. .. reg_ddrc_max_auto_init_x1024 = 0xa8 + // .. .. ==> 0XF80062B4[7:0] = 0x000000A8U + // .. .. ==> MASK : 0x000000FFU VAL : 0x000000A8U + // .. .. reg_ddrc_dev_zqinit_x32 = 0x12 + // .. .. ==> 0XF80062B4[17:8] = 0x00000012U + // .. .. ==> MASK : 0x0003FF00U VAL : 0x00001200U + // .. .. + EMIT_MASKWRITE(0XF80062B4, 0x0003FFFFU ,0x000012A8U), + // .. .. START: POLL ON DCI STATUS + // .. .. DONE = 1 + // .. .. ==> 0XF8000B74[13:13] = 0x00000001U + // .. .. ==> MASK : 0x00002000U VAL : 0x00002000U + // .. .. + EMIT_MASKPOLL(0XF8000B74, 0x00002000U), + // .. .. FINISH: POLL ON DCI STATUS + // .. .. START: UNLOCK DDR + // .. .. reg_ddrc_soft_rstb = 0x1 + // .. .. ==> 0XF8006000[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_ddrc_powerdown_en = 0x0 + // .. .. ==> 0XF8006000[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_data_bus_width = 0x0 + // .. .. ==> 0XF8006000[3:2] = 0x00000000U + // .. .. ==> MASK : 0x0000000CU VAL : 0x00000000U + // .. .. reg_ddrc_burst8_refresh = 0x0 + // .. .. ==> 0XF8006000[6:4] = 0x00000000U + // .. .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. .. reg_ddrc_rdwr_idle_gap = 1 + // .. .. ==> 0XF8006000[13:7] = 0x00000001U + // .. .. ==> MASK : 0x00003F80U VAL : 0x00000080U + // .. .. reg_ddrc_dis_rd_bypass = 0x0 + // .. .. ==> 0XF8006000[14:14] = 0x00000000U + // .. .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_act_bypass = 0x0 + // .. .. ==> 0XF8006000[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_auto_refresh = 0x0 + // .. .. ==> 0XF8006000[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000081U), + // .. .. FINISH: UNLOCK DDR + // .. .. START: CHECK DDR STATUS + // .. .. ddrc_reg_operating_mode = 1 + // .. .. ==> 0XF8006054[2:0] = 0x00000001U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000001U + // .. .. + EMIT_MASKPOLL(0XF8006054, 0x00000007U), + // .. .. FINISH: CHECK DDR STATUS + // .. FINISH: DDR INITIALIZATION + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_mio_init_data_3_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: OCM REMAPPING + // .. FINISH: OCM REMAPPING + // .. START: DDRIOB SETTINGS + // .. reserved_INP_POWER = 0x0 + // .. ==> 0XF8000B40[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B40[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE_B = 0x0 + // .. ==> 0XF8000B40[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B40[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCI_TYPE = 0x0 + // .. ==> 0XF8000B40[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B40[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B40[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B40[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B40[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B40, 0x00000FFFU ,0x00000600U), + // .. reserved_INP_POWER = 0x0 + // .. ==> 0XF8000B44[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B44[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE_B = 0x0 + // .. ==> 0XF8000B44[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B44[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCI_TYPE = 0x0 + // .. ==> 0XF8000B44[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B44[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B44[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B44[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B44[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B44, 0x00000FFFU ,0x00000600U), + // .. reserved_INP_POWER = 0x0 + // .. ==> 0XF8000B48[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x1 + // .. ==> 0XF8000B48[2:1] = 0x00000001U + // .. ==> MASK : 0x00000006U VAL : 0x00000002U + // .. DCI_UPDATE_B = 0x0 + // .. ==> 0XF8000B48[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B48[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCI_TYPE = 0x3 + // .. ==> 0XF8000B48[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B48[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B48[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B48[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B48[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B48, 0x00000FFFU ,0x00000672U), + // .. reserved_INP_POWER = 0x0 + // .. ==> 0XF8000B4C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x1 + // .. ==> 0XF8000B4C[2:1] = 0x00000001U + // .. ==> MASK : 0x00000006U VAL : 0x00000002U + // .. DCI_UPDATE_B = 0x0 + // .. ==> 0XF8000B4C[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B4C[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCI_TYPE = 0x3 + // .. ==> 0XF8000B4C[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B4C[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B4C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B4C[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B4C[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B4C, 0x00000FFFU ,0x00000672U), + // .. reserved_INP_POWER = 0x0 + // .. ==> 0XF8000B50[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x2 + // .. ==> 0XF8000B50[2:1] = 0x00000002U + // .. ==> MASK : 0x00000006U VAL : 0x00000004U + // .. DCI_UPDATE_B = 0x0 + // .. ==> 0XF8000B50[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B50[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCI_TYPE = 0x3 + // .. ==> 0XF8000B50[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B50[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B50[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B50[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B50[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B50, 0x00000FFFU ,0x00000674U), + // .. reserved_INP_POWER = 0x0 + // .. ==> 0XF8000B54[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x2 + // .. ==> 0XF8000B54[2:1] = 0x00000002U + // .. ==> MASK : 0x00000006U VAL : 0x00000004U + // .. DCI_UPDATE_B = 0x0 + // .. ==> 0XF8000B54[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B54[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCI_TYPE = 0x3 + // .. ==> 0XF8000B54[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B54[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B54[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B54[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B54[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B54, 0x00000FFFU ,0x00000674U), + // .. reserved_INP_POWER = 0x0 + // .. ==> 0XF8000B58[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B58[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE_B = 0x0 + // .. ==> 0XF8000B58[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B58[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCI_TYPE = 0x0 + // .. ==> 0XF8000B58[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B58[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B58[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B58[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B58[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B58, 0x00000FFFU ,0x00000600U), + // .. reserved_DRIVE_P = 0x1c + // .. ==> 0XF8000B5C[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. reserved_DRIVE_N = 0xc + // .. ==> 0XF8000B5C[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. reserved_SLEW_P = 0x3 + // .. ==> 0XF8000B5C[18:14] = 0x00000003U + // .. ==> MASK : 0x0007C000U VAL : 0x0000C000U + // .. reserved_SLEW_N = 0x3 + // .. ==> 0XF8000B5C[23:19] = 0x00000003U + // .. ==> MASK : 0x00F80000U VAL : 0x00180000U + // .. reserved_GTL = 0x0 + // .. ==> 0XF8000B5C[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. reserved_RTERM = 0x0 + // .. ==> 0XF8000B5C[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B5C, 0xFFFFFFFFU ,0x0018C61CU), + // .. reserved_DRIVE_P = 0x1c + // .. ==> 0XF8000B60[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. reserved_DRIVE_N = 0xc + // .. ==> 0XF8000B60[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. reserved_SLEW_P = 0x6 + // .. ==> 0XF8000B60[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. reserved_SLEW_N = 0x1f + // .. ==> 0XF8000B60[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. reserved_GTL = 0x0 + // .. ==> 0XF8000B60[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. reserved_RTERM = 0x0 + // .. ==> 0XF8000B60[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B60, 0xFFFFFFFFU ,0x00F9861CU), + // .. reserved_DRIVE_P = 0x1c + // .. ==> 0XF8000B64[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. reserved_DRIVE_N = 0xc + // .. ==> 0XF8000B64[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. reserved_SLEW_P = 0x6 + // .. ==> 0XF8000B64[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. reserved_SLEW_N = 0x1f + // .. ==> 0XF8000B64[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. reserved_GTL = 0x0 + // .. ==> 0XF8000B64[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. reserved_RTERM = 0x0 + // .. ==> 0XF8000B64[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B64, 0xFFFFFFFFU ,0x00F9861CU), + // .. reserved_DRIVE_P = 0x1c + // .. ==> 0XF8000B68[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. reserved_DRIVE_N = 0xc + // .. ==> 0XF8000B68[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. reserved_SLEW_P = 0x6 + // .. ==> 0XF8000B68[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. reserved_SLEW_N = 0x1f + // .. ==> 0XF8000B68[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. reserved_GTL = 0x0 + // .. ==> 0XF8000B68[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. reserved_RTERM = 0x0 + // .. ==> 0XF8000B68[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B68, 0xFFFFFFFFU ,0x00F9861CU), + // .. VREF_INT_EN = 0x0 + // .. ==> 0XF8000B6C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. VREF_SEL = 0x0 + // .. ==> 0XF8000B6C[4:1] = 0x00000000U + // .. ==> MASK : 0x0000001EU VAL : 0x00000000U + // .. VREF_EXT_EN = 0x3 + // .. ==> 0XF8000B6C[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. reserved_VREF_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[8:7] = 0x00000000U + // .. ==> MASK : 0x00000180U VAL : 0x00000000U + // .. REFIO_EN = 0x1 + // .. ==> 0XF8000B6C[9:9] = 0x00000001U + // .. ==> MASK : 0x00000200U VAL : 0x00000200U + // .. reserved_REFIO_TEST = 0x0 + // .. ==> 0XF8000B6C[11:10] = 0x00000000U + // .. ==> MASK : 0x00000C00U VAL : 0x00000000U + // .. reserved_REFIO_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. reserved_DRST_B_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. reserved_CKE_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[14:14] = 0x00000000U + // .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B6C, 0x00007FFFU ,0x00000260U), + // .. .. START: ASSERT RESET + // .. .. RESET = 1 + // .. .. ==> 0XF8000B70[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x00000001U ,0x00000001U), + // .. .. FINISH: ASSERT RESET + // .. .. START: DEASSERT RESET + // .. .. RESET = 0 + // .. .. ==> 0XF8000B70[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reserved_VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000020U), + // .. .. FINISH: DEASSERT RESET + // .. .. RESET = 0x1 + // .. .. ==> 0XF8000B70[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. ENABLE = 0x1 + // .. .. ==> 0XF8000B70[1:1] = 0x00000001U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. reserved_VRP_TRI = 0x0 + // .. .. ==> 0XF8000B70[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reserved_VRN_TRI = 0x0 + // .. .. ==> 0XF8000B70[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reserved_VRP_OUT = 0x0 + // .. .. ==> 0XF8000B70[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reserved_VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. NREF_OPT1 = 0x0 + // .. .. ==> 0XF8000B70[7:6] = 0x00000000U + // .. .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. .. NREF_OPT2 = 0x0 + // .. .. ==> 0XF8000B70[10:8] = 0x00000000U + // .. .. ==> MASK : 0x00000700U VAL : 0x00000000U + // .. .. NREF_OPT4 = 0x1 + // .. .. ==> 0XF8000B70[13:11] = 0x00000001U + // .. .. ==> MASK : 0x00003800U VAL : 0x00000800U + // .. .. PREF_OPT1 = 0x0 + // .. .. ==> 0XF8000B70[15:14] = 0x00000000U + // .. .. ==> MASK : 0x0000C000U VAL : 0x00000000U + // .. .. PREF_OPT2 = 0x0 + // .. .. ==> 0XF8000B70[19:17] = 0x00000000U + // .. .. ==> MASK : 0x000E0000U VAL : 0x00000000U + // .. .. UPDATE_CONTROL = 0x0 + // .. .. ==> 0XF8000B70[20:20] = 0x00000000U + // .. .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. .. reserved_INIT_COMPLETE = 0x0 + // .. .. ==> 0XF8000B70[21:21] = 0x00000000U + // .. .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. .. reserved_TST_CLK = 0x0 + // .. .. ==> 0XF8000B70[22:22] = 0x00000000U + // .. .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. .. reserved_TST_HLN = 0x0 + // .. .. ==> 0XF8000B70[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. reserved_TST_HLP = 0x0 + // .. .. ==> 0XF8000B70[24:24] = 0x00000000U + // .. .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. .. reserved_TST_RST = 0x0 + // .. .. ==> 0XF8000B70[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. reserved_INT_DCI_EN = 0x0 + // .. .. ==> 0XF8000B70[26:26] = 0x00000000U + // .. .. ==> MASK : 0x04000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x07FEFFFFU ,0x00000823U), + // .. FINISH: DDRIOB SETTINGS + // .. START: MIO PROGRAMMING + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000700[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000700[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000700[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000700[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000700[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000700[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000700[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000700[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000700[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000700, 0x00003FFFU ,0x00001600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000704[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000704[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000704[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000704[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000704[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000704[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000704[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000704[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000704[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000704, 0x00003FFFU ,0x00001600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000708[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000708[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000708[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000708[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000708[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000708[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000708[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000708[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000708[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000708, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800070C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800070C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800070C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800070C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800070C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800070C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800070C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF800070C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800070C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800070C, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000710[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000710[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000710[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000710[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000710[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000710[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000710[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000710[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000710[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000710, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000714[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000714[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000714[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000714[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000714[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000714[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000714[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000714[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000714[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000714, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000718[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000718[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000718[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000718[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000718[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000718[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000718[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000718[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000718[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000718, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800071C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800071C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800071C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800071C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800071C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800071C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800071C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF800071C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800071C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800071C, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000720[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000720[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000720[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000720[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF8000720[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF8000720[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000720[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000720[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000720[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000720, 0x00003FFFU ,0x000006E0U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000724[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000724[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000724[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000724[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF8000724[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF8000724[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000724[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000724[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000724[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000724, 0x00003FFFU ,0x000016E1U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000728[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000728[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000728[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000728[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000728[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000728[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000728[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000728[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000728[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000728, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800072C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800072C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800072C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800072C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF800072C[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF800072C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800072C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF800072C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800072C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800072C, 0x00003FFFU ,0x00001660U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000730[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000730[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000730[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000730[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000730[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000730[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000730[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000730[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000730[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000730, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000734[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000734[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000734[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000734[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000734[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000734[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000734[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000734[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000734[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000734, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000738[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000738[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000738[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000738[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000738[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF8000738[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000738[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000738[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000738[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000738, 0x00003FFFU ,0x00001640U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800073C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800073C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800073C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800073C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF800073C[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF800073C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800073C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF800073C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800073C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800073C, 0x00003FFFU ,0x00001640U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000740[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000740[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000740[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000740[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000740[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000740[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000740[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000740[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000740[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000744[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000744[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000744[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000744[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000744[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000744[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000744[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000744[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000744[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000748[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000748[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000748[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000748[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000748[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000748[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000748[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000748[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000748[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800074C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF800074C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800074C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800074C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800074C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800074C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800074C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800074C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800074C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000750[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000750[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000750[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000750[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000750[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000750[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000750[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000750[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000750[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000754[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000754[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000754[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000754[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000754[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000754[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000754[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000754[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000754[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000758[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000758[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000758[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000758[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000758[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000758[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000758[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000758[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000758[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800075C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF800075C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800075C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800075C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800075C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800075C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800075C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800075C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800075C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000760[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000760[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000760[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000760[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000760[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000760[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000760[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000760[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000760[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000764[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000764[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000764[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000764[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000764[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000764[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000764[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000764[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000764[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000768[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000768[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000768[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000768[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000768[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000768[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000768[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000768[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000768[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800076C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF800076C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800076C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800076C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800076C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800076C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800076C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800076C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800076C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000770[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000770[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000770[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000770[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000770[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000770[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000770[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000770[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000770[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000774[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000774[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000774[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000774[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000774[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000774[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000774[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000774[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000774[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000778[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000778[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000778[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000778[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000778[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000778[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000778[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000778[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000778[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800077C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF800077C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800077C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800077C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800077C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800077C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800077C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800077C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800077C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000780[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000780[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000780[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000780[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000780[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000780[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000780[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000780[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000780[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000784[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000784[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000784[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000784[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000784[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000784[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000784[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000784[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000784[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000788[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000788[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000788[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000788[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000788[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000788[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000788[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000788[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000788[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800078C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800078C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800078C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800078C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800078C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800078C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800078C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800078C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800078C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000790[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000790[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000790[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000790[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000790[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000790[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000790[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000790[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000790[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000794[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000794[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000794[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000794[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000794[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000794[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000794[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000794[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000794[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000798[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000798[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000798[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000798[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000798[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000798[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000798[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000798[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000798[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800079C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800079C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800079C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800079C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800079C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800079C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800079C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800079C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800079C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A8[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A8[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007AC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007AC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007AC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007AC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007AC[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007AC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007AC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007AC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007AC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007B0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007B0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007B0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007B0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007B4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007B4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007B4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007B4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF80007B8[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF80007B8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF80007B8[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF80007B8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B8, 0x00003FFFU ,0x000012E1U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007BC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007BC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007BC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007BC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF80007BC[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF80007BC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007BC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007BC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007BC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007BC, 0x00003FFFU ,0x000012E0U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF80007C0[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF80007C0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x00001240U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF80007C4[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF80007C4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x00001240U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C8[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF80007C8[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF80007C8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007CC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007CC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007CC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007CC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF80007CC[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF80007CC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007CC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007CC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007CC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007D0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007D0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007D0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007D0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007D0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007D0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007D0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007D0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007D0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007D4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007D4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007D4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007D4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007D4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007D4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007D4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007D4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007D4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), + // .. SDIO0_WP_SEL = 55 + // .. ==> 0XF8000830[5:0] = 0x00000037U + // .. ==> MASK : 0x0000003FU VAL : 0x00000037U + // .. SDIO0_CD_SEL = 56 + // .. ==> 0XF8000830[21:16] = 0x00000038U + // .. ==> MASK : 0x003F0000U VAL : 0x00380000U + // .. + EMIT_MASKWRITE(0XF8000830, 0x003F003FU ,0x00380037U), + // .. FINISH: MIO PROGRAMMING + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_peripherals_init_data_3_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: DDR TERM/IBUF_DISABLE_MODE SETTINGS + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B48[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B48[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B48, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B4C[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B4C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B4C, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B50[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B50[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B50, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B54[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B54[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B54, 0x00000180U ,0x00000180U), + // .. FINISH: DDR TERM/IBUF_DISABLE_MODE SETTINGS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // .. START: SRAM/NOR SET OPMODE + // .. FINISH: SRAM/NOR SET OPMODE + // .. START: UART REGISTERS + // .. BDIV = 0x6 + // .. ==> 0XE0001034[7:0] = 0x00000006U + // .. ==> MASK : 0x000000FFU VAL : 0x00000006U + // .. + EMIT_MASKWRITE(0XE0001034, 0x000000FFU ,0x00000006U), + // .. CD = 0x7c + // .. ==> 0XE0001018[15:0] = 0x0000007CU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000007CU + // .. + EMIT_MASKWRITE(0XE0001018, 0x0000FFFFU ,0x0000007CU), + // .. STPBRK = 0x0 + // .. ==> 0XE0001000[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. STTBRK = 0x0 + // .. ==> 0XE0001000[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. RSTTO = 0x0 + // .. ==> 0XE0001000[6:6] = 0x00000000U + // .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. TXDIS = 0x0 + // .. ==> 0XE0001000[5:5] = 0x00000000U + // .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. TXEN = 0x1 + // .. ==> 0XE0001000[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. RXDIS = 0x0 + // .. ==> 0XE0001000[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. RXEN = 0x1 + // .. ==> 0XE0001000[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. TXRES = 0x1 + // .. ==> 0XE0001000[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. RXRES = 0x1 + // .. ==> 0XE0001000[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. + EMIT_MASKWRITE(0XE0001000, 0x000001FFU ,0x00000017U), + // .. CHMODE = 0x0 + // .. ==> 0XE0001004[9:8] = 0x00000000U + // .. ==> MASK : 0x00000300U VAL : 0x00000000U + // .. NBSTOP = 0x0 + // .. ==> 0XE0001004[7:6] = 0x00000000U + // .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. PAR = 0x4 + // .. ==> 0XE0001004[5:3] = 0x00000004U + // .. ==> MASK : 0x00000038U VAL : 0x00000020U + // .. CHRL = 0x0 + // .. ==> 0XE0001004[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. CLKS = 0x0 + // .. ==> 0XE0001004[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XE0001004, 0x000003FFU ,0x00000020U), + // .. BDIV = 0x6 + // .. ==> 0XE0000034[7:0] = 0x00000006U + // .. ==> MASK : 0x000000FFU VAL : 0x00000006U + // .. + EMIT_MASKWRITE(0XE0000034, 0x000000FFU ,0x00000006U), + // .. CD = 0x7c + // .. ==> 0XE0000018[15:0] = 0x0000007CU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000007CU + // .. + EMIT_MASKWRITE(0XE0000018, 0x0000FFFFU ,0x0000007CU), + // .. STPBRK = 0x0 + // .. ==> 0XE0000000[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. STTBRK = 0x0 + // .. ==> 0XE0000000[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. RSTTO = 0x0 + // .. ==> 0XE0000000[6:6] = 0x00000000U + // .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. TXDIS = 0x0 + // .. ==> 0XE0000000[5:5] = 0x00000000U + // .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. TXEN = 0x1 + // .. ==> 0XE0000000[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. RXDIS = 0x0 + // .. ==> 0XE0000000[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. RXEN = 0x1 + // .. ==> 0XE0000000[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. TXRES = 0x1 + // .. ==> 0XE0000000[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. RXRES = 0x1 + // .. ==> 0XE0000000[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. + EMIT_MASKWRITE(0XE0000000, 0x000001FFU ,0x00000017U), + // .. CHMODE = 0x0 + // .. ==> 0XE0000004[9:8] = 0x00000000U + // .. ==> MASK : 0x00000300U VAL : 0x00000000U + // .. NBSTOP = 0x0 + // .. ==> 0XE0000004[7:6] = 0x00000000U + // .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. PAR = 0x4 + // .. ==> 0XE0000004[5:3] = 0x00000004U + // .. ==> MASK : 0x00000038U VAL : 0x00000020U + // .. CHRL = 0x0 + // .. ==> 0XE0000004[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. CLKS = 0x0 + // .. ==> 0XE0000004[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XE0000004, 0x000003FFU ,0x00000020U), + // .. FINISH: UART REGISTERS + // .. START: QSPI REGISTERS + // .. Holdb_dr = 1 + // .. ==> 0XE000D000[19:19] = 0x00000001U + // .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. + EMIT_MASKWRITE(0XE000D000, 0x00080000U ,0x00080000U), + // .. FINISH: QSPI REGISTERS + // .. START: PL POWER ON RESET REGISTERS + // .. PCFG_POR_CNT_4K = 0 + // .. ==> 0XF8007000[29:29] = 0x00000000U + // .. ==> MASK : 0x20000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8007000, 0x20000000U ,0x00000000U), + // .. FINISH: PL POWER ON RESET REGISTERS + // .. START: SMC TIMING CALCULATION REGISTER UPDATE + // .. .. START: NAND SET CYCLE + // .. .. FINISH: NAND SET CYCLE + // .. .. START: OPMODE + // .. .. FINISH: OPMODE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: SRAM/NOR CS0 SET CYCLE + // .. .. FINISH: SRAM/NOR CS0 SET CYCLE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: NOR CS0 BASE ADDRESS + // .. .. FINISH: NOR CS0 BASE ADDRESS + // .. .. START: SRAM/NOR CS1 SET CYCLE + // .. .. FINISH: SRAM/NOR CS1 SET CYCLE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: NOR CS1 BASE ADDRESS + // .. .. FINISH: NOR CS1 BASE ADDRESS + // .. .. START: USB RESET + // .. .. .. START: USB0 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. DIRECTION_0 = 0x18 + // .. .. .. .. ==> 0XE000A204[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x10 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000010U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000010U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. OP_ENABLE_0 = 0x18 + // .. .. .. .. ==> 0XE000A208[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x0 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000000U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000000U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0000U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x10 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000010U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000010U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: USB0 RESET + // .. .. .. START: USB1 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: USB1 RESET + // .. .. FINISH: USB RESET + // .. .. START: ENET RESET + // .. .. .. START: ENET0 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. DIRECTION_0 = 0x18 + // .. .. .. .. ==> 0XE000A204[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x8 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000008U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. OP_ENABLE_0 = 0x18 + // .. .. .. .. ==> 0XE000A208[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x0 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000000U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000000U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70000U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x8 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000008U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: ENET0 RESET + // .. .. .. START: ENET1 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: ENET1 RESET + // .. .. FINISH: ENET RESET + // .. .. START: I2C RESET + // .. .. .. START: I2C0 RESET + // .. .. .. .. START: DIR MODE GPIO BANK0 + // .. .. .. .. FINISH: DIR MODE GPIO BANK0 + // .. .. .. .. START: DIR MODE GPIO BANK1 + // .. .. .. .. FINISH: DIR MODE GPIO BANK1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: I2C0 RESET + // .. .. .. START: I2C1 RESET + // .. .. .. .. START: DIR MODE GPIO BANK0 + // .. .. .. .. FINISH: DIR MODE GPIO BANK0 + // .. .. .. .. START: DIR MODE GPIO BANK1 + // .. .. .. .. FINISH: DIR MODE GPIO BANK1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: I2C1 RESET + // .. .. FINISH: I2C RESET + // .. .. START: NOR CHIP SELECT + // .. .. .. START: DIR MODE BANK 0 + // .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. FINISH: NOR CHIP SELECT + // .. FINISH: SMC TIMING CALCULATION REGISTER UPDATE + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_post_config_3_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: ENABLING LEVEL SHIFTER + // .. USER_LVL_INP_EN_0 = 1 + // .. ==> 0XF8000900[3:3] = 0x00000001U + // .. ==> MASK : 0x00000008U VAL : 0x00000008U + // .. USER_LVL_OUT_EN_0 = 1 + // .. ==> 0XF8000900[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. USER_LVL_INP_EN_1 = 1 + // .. ==> 0XF8000900[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. USER_LVL_OUT_EN_1 = 1 + // .. ==> 0XF8000900[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. + EMIT_MASKWRITE(0XF8000900, 0x0000000FU ,0x0000000FU), + // .. FINISH: ENABLING LEVEL SHIFTER + // .. START: FPGA RESETS TO 0 + // .. reserved_3 = 0 + // .. ==> 0XF8000240[31:25] = 0x00000000U + // .. ==> MASK : 0xFE000000U VAL : 0x00000000U + // .. reserved_FPGA_ACP_RST = 0 + // .. ==> 0XF8000240[24:24] = 0x00000000U + // .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. reserved_FPGA_AXDS3_RST = 0 + // .. ==> 0XF8000240[23:23] = 0x00000000U + // .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. reserved_FPGA_AXDS2_RST = 0 + // .. ==> 0XF8000240[22:22] = 0x00000000U + // .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. reserved_FPGA_AXDS1_RST = 0 + // .. ==> 0XF8000240[21:21] = 0x00000000U + // .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. reserved_FPGA_AXDS0_RST = 0 + // .. ==> 0XF8000240[20:20] = 0x00000000U + // .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. reserved_2 = 0 + // .. ==> 0XF8000240[19:18] = 0x00000000U + // .. ==> MASK : 0x000C0000U VAL : 0x00000000U + // .. reserved_FSSW1_FPGA_RST = 0 + // .. ==> 0XF8000240[17:17] = 0x00000000U + // .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. reserved_FSSW0_FPGA_RST = 0 + // .. ==> 0XF8000240[16:16] = 0x00000000U + // .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. reserved_1 = 0 + // .. ==> 0XF8000240[15:14] = 0x00000000U + // .. ==> MASK : 0x0000C000U VAL : 0x00000000U + // .. reserved_FPGA_FMSW1_RST = 0 + // .. ==> 0XF8000240[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. reserved_FPGA_FMSW0_RST = 0 + // .. ==> 0XF8000240[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. reserved_FPGA_DMA3_RST = 0 + // .. ==> 0XF8000240[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. reserved_FPGA_DMA2_RST = 0 + // .. ==> 0XF8000240[10:10] = 0x00000000U + // .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. reserved_FPGA_DMA1_RST = 0 + // .. ==> 0XF8000240[9:9] = 0x00000000U + // .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. reserved_FPGA_DMA0_RST = 0 + // .. ==> 0XF8000240[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. reserved = 0 + // .. ==> 0XF8000240[7:4] = 0x00000000U + // .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. FPGA3_OUT_RST = 0 + // .. ==> 0XF8000240[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. FPGA2_OUT_RST = 0 + // .. ==> 0XF8000240[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. FPGA1_OUT_RST = 0 + // .. ==> 0XF8000240[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. FPGA0_OUT_RST = 0 + // .. ==> 0XF8000240[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000240, 0xFFFFFFFFU ,0x00000000U), + // .. FINISH: FPGA RESETS TO 0 + // .. START: AFI REGISTERS + // .. .. START: AFI0 REGISTERS + // .. .. FINISH: AFI0 REGISTERS + // .. .. START: AFI1 REGISTERS + // .. .. FINISH: AFI1 REGISTERS + // .. .. START: AFI2 REGISTERS + // .. .. FINISH: AFI2 REGISTERS + // .. .. START: AFI3 REGISTERS + // .. .. FINISH: AFI3 REGISTERS + // .. .. START: AFI2 SECURE REGISTER + // .. .. FINISH: AFI2 SECURE REGISTER + // .. FINISH: AFI REGISTERS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_debug_3_0[] = { + // START: top + // .. START: CROSS TRIGGER CONFIGURATIONS + // .. .. START: UNLOCKING CTI REGISTERS + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8898FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8898FB0, 0xC5ACCE55U), + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8899FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8899FB0, 0xC5ACCE55U), + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8809FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8809FB0, 0xC5ACCE55U), + // .. .. FINISH: UNLOCKING CTI REGISTERS + // .. .. START: ENABLING CTI MODULES AND CHANNELS + // .. .. FINISH: ENABLING CTI MODULES AND CHANNELS + // .. .. START: MAPPING CPU0, CPU1 AND FTM EVENTS TO CTM CHANNELS + // .. .. FINISH: MAPPING CPU0, CPU1 AND FTM EVENTS TO CTM CHANNELS + // .. FINISH: CROSS TRIGGER CONFIGURATIONS + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_pll_init_data_2_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: PLL SLCR REGISTERS + // .. .. START: ARM PLL INIT + // .. .. PLL_RES = 0x4 + // .. .. ==> 0XF8000110[7:4] = 0x00000004U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000040U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000110[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0xfa + // .. .. ==> 0XF8000110[21:12] = 0x000000FAU + // .. .. ==> MASK : 0x003FF000U VAL : 0x000FA000U + // .. .. + EMIT_MASKWRITE(0XF8000110, 0x003FFFF0U ,0x000FA240U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x3c + // .. .. .. ==> 0XF8000100[18:12] = 0x0000003CU + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x0003C000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x0007F000U ,0x0003C000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000100[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000100[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000100[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. ARM_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000001U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000100[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. .. SRCSEL = 0x0 + // .. .. .. ==> 0XF8000120[5:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. .. DIVISOR = 0x2 + // .. .. .. ==> 0XF8000120[13:8] = 0x00000002U + // .. .. .. ==> MASK : 0x00003F00U VAL : 0x00000200U + // .. .. .. CPU_6OR4XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[24:24] = 0x00000001U + // .. .. .. ==> MASK : 0x01000000U VAL : 0x01000000U + // .. .. .. CPU_3OR2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[25:25] = 0x00000001U + // .. .. .. ==> MASK : 0x02000000U VAL : 0x02000000U + // .. .. .. CPU_2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[26:26] = 0x00000001U + // .. .. .. ==> MASK : 0x04000000U VAL : 0x04000000U + // .. .. .. CPU_1XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[27:27] = 0x00000001U + // .. .. .. ==> MASK : 0x08000000U VAL : 0x08000000U + // .. .. .. CPU_PERI_CLKACT = 0x1 + // .. .. .. ==> 0XF8000120[28:28] = 0x00000001U + // .. .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000120, 0x1F003F30U ,0x1F000200U), + // .. .. FINISH: ARM PLL INIT + // .. .. START: DDR PLL INIT + // .. .. PLL_RES = 0x2 + // .. .. ==> 0XF8000114[7:4] = 0x00000002U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000020U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000114[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0x12c + // .. .. ==> 0XF8000114[21:12] = 0x0000012CU + // .. .. ==> MASK : 0x003FF000U VAL : 0x0012C000U + // .. .. + EMIT_MASKWRITE(0XF8000114, 0x003FFFF0U ,0x0012C220U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x20 + // .. .. .. ==> 0XF8000104[18:12] = 0x00000020U + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x00020000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x0007F000U ,0x00020000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000104[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000104[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000104[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. DDR_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[1:1] = 0x00000001U + // .. .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000002U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000104[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. .. DDR_3XCLKACT = 0x1 + // .. .. .. ==> 0XF8000124[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. DDR_2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000124[1:1] = 0x00000001U + // .. .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. .. DDR_3XCLK_DIVISOR = 0x2 + // .. .. .. ==> 0XF8000124[25:20] = 0x00000002U + // .. .. .. ==> MASK : 0x03F00000U VAL : 0x00200000U + // .. .. .. DDR_2XCLK_DIVISOR = 0x3 + // .. .. .. ==> 0XF8000124[31:26] = 0x00000003U + // .. .. .. ==> MASK : 0xFC000000U VAL : 0x0C000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000124, 0xFFF00003U ,0x0C200003U), + // .. .. FINISH: DDR PLL INIT + // .. .. START: IO PLL INIT + // .. .. PLL_RES = 0x4 + // .. .. ==> 0XF8000118[7:4] = 0x00000004U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000040U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000118[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0xfa + // .. .. ==> 0XF8000118[21:12] = 0x000000FAU + // .. .. ==> MASK : 0x003FF000U VAL : 0x000FA000U + // .. .. + EMIT_MASKWRITE(0XF8000118, 0x003FFFF0U ,0x000FA240U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x3c + // .. .. .. ==> 0XF8000108[18:12] = 0x0000003CU + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x0003C000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x0007F000U ,0x0003C000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000108[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000108[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000108[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. IO_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[2:2] = 0x00000001U + // .. .. .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000004U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000108[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. FINISH: IO PLL INIT + // .. FINISH: PLL SLCR REGISTERS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_clock_init_data_2_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: CLOCK CONTROL SLCR REGISTERS + // .. CLKACT = 0x1 + // .. ==> 0XF8000128[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. DIVISOR0 = 0xf + // .. ==> 0XF8000128[13:8] = 0x0000000FU + // .. ==> MASK : 0x00003F00U VAL : 0x00000F00U + // .. DIVISOR1 = 0x7 + // .. ==> 0XF8000128[25:20] = 0x00000007U + // .. ==> MASK : 0x03F00000U VAL : 0x00700000U + // .. + EMIT_MASKWRITE(0XF8000128, 0x03F03F01U ,0x00700F01U), + // .. CLKACT = 0x1 + // .. ==> 0XF8000138[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000138[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000138, 0x00000011U ,0x00000001U), + // .. CLKACT = 0x1 + // .. ==> 0XF8000140[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000140[6:4] = 0x00000000U + // .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. DIVISOR = 0x10 + // .. ==> 0XF8000140[13:8] = 0x00000010U + // .. ==> MASK : 0x00003F00U VAL : 0x00001000U + // .. DIVISOR1 = 0x1 + // .. ==> 0XF8000140[25:20] = 0x00000001U + // .. ==> MASK : 0x03F00000U VAL : 0x00100000U + // .. + EMIT_MASKWRITE(0XF8000140, 0x03F03F71U ,0x00101001U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000150[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x0 + // .. ==> 0XF8000150[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000150[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0x14 + // .. ==> 0XF8000150[13:8] = 0x00000014U + // .. ==> MASK : 0x00003F00U VAL : 0x00001400U + // .. + EMIT_MASKWRITE(0XF8000150, 0x00003F33U ,0x00001401U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000154[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x1 + // .. ==> 0XF8000154[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000154[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0x14 + // .. ==> 0XF8000154[13:8] = 0x00000014U + // .. ==> MASK : 0x00003F00U VAL : 0x00001400U + // .. + EMIT_MASKWRITE(0XF8000154, 0x00003F33U ,0x00001403U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000158[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x1 + // .. ==> 0XF8000158[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000158[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0xc + // .. ==> 0XF8000158[13:8] = 0x0000000CU + // .. ==> MASK : 0x00003F00U VAL : 0x00000C00U + // .. + EMIT_MASKWRITE(0XF8000158, 0x00003F33U ,0x00000C03U), + // .. .. START: TRACE CLOCK + // .. .. FINISH: TRACE CLOCK + // .. .. CLKACT = 0x1 + // .. .. ==> 0XF8000168[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000168[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR = 0xa + // .. .. ==> 0XF8000168[13:8] = 0x0000000AU + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000A00U + // .. .. + EMIT_MASKWRITE(0XF8000168, 0x00003F31U ,0x00000A01U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000170[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x5 + // .. .. ==> 0XF8000170[13:8] = 0x00000005U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000500U + // .. .. DIVISOR1 = 0x4 + // .. .. ==> 0XF8000170[25:20] = 0x00000004U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00400000U + // .. .. + EMIT_MASKWRITE(0XF8000170, 0x03F03F30U ,0x00400500U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000180[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0xa + // .. .. ==> 0XF8000180[13:8] = 0x0000000AU + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000A00U + // .. .. DIVISOR1 = 0x5 + // .. .. ==> 0XF8000180[25:20] = 0x00000005U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00500000U + // .. .. + EMIT_MASKWRITE(0XF8000180, 0x03F03F30U ,0x00500A00U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000190[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x4 + // .. .. ==> 0XF8000190[13:8] = 0x00000004U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000400U + // .. .. DIVISOR1 = 0x3 + // .. .. ==> 0XF8000190[25:20] = 0x00000003U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00300000U + // .. .. + EMIT_MASKWRITE(0XF8000190, 0x03F03F30U ,0x00300400U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF80001A0[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x5 + // .. .. ==> 0XF80001A0[13:8] = 0x00000005U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000500U + // .. .. DIVISOR1 = 0x2 + // .. .. ==> 0XF80001A0[25:20] = 0x00000002U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00200000U + // .. .. + EMIT_MASKWRITE(0XF80001A0, 0x03F03F30U ,0x00200500U), + // .. .. CLK_621_TRUE = 0x1 + // .. .. ==> 0XF80001C4[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. + EMIT_MASKWRITE(0XF80001C4, 0x00000001U ,0x00000001U), + // .. .. DMA_CPU_2XCLKACT = 0x1 + // .. .. ==> 0XF800012C[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. USB0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[2:2] = 0x00000001U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. .. USB1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[3:3] = 0x00000001U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000008U + // .. .. GEM0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[6:6] = 0x00000001U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000040U + // .. .. GEM1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. SDI0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[10:10] = 0x00000001U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000400U + // .. .. SDI1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. SPI0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[14:14] = 0x00000001U + // .. .. ==> MASK : 0x00004000U VAL : 0x00004000U + // .. .. SPI1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[15:15] = 0x00000001U + // .. .. ==> MASK : 0x00008000U VAL : 0x00008000U + // .. .. CAN0_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. CAN1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. I2C0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[18:18] = 0x00000001U + // .. .. ==> MASK : 0x00040000U VAL : 0x00040000U + // .. .. I2C1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. UART0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[20:20] = 0x00000001U + // .. .. ==> MASK : 0x00100000U VAL : 0x00100000U + // .. .. UART1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[21:21] = 0x00000001U + // .. .. ==> MASK : 0x00200000U VAL : 0x00200000U + // .. .. GPIO_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[22:22] = 0x00000001U + // .. .. ==> MASK : 0x00400000U VAL : 0x00400000U + // .. .. LQSPI_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. SMC_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[24:24] = 0x00000001U + // .. .. ==> MASK : 0x01000000U VAL : 0x01000000U + // .. .. + EMIT_MASKWRITE(0XF800012C, 0x01FFCCCDU ,0x017CC44DU), + // .. FINISH: CLOCK CONTROL SLCR REGISTERS + // .. START: THIS SHOULD BE BLANK + // .. FINISH: THIS SHOULD BE BLANK + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_ddr_init_data_2_0[] = { + // START: top + // .. START: DDR INITIALIZATION + // .. .. START: LOCK DDR + // .. .. reg_ddrc_soft_rstb = 0 + // .. .. ==> 0XF8006000[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_powerdown_en = 0x0 + // .. .. ==> 0XF8006000[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_data_bus_width = 0x0 + // .. .. ==> 0XF8006000[3:2] = 0x00000000U + // .. .. ==> MASK : 0x0000000CU VAL : 0x00000000U + // .. .. reg_ddrc_burst8_refresh = 0x0 + // .. .. ==> 0XF8006000[6:4] = 0x00000000U + // .. .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. .. reg_ddrc_rdwr_idle_gap = 0x1 + // .. .. ==> 0XF8006000[13:7] = 0x00000001U + // .. .. ==> MASK : 0x00003F80U VAL : 0x00000080U + // .. .. reg_ddrc_dis_rd_bypass = 0x0 + // .. .. ==> 0XF8006000[14:14] = 0x00000000U + // .. .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_act_bypass = 0x0 + // .. .. ==> 0XF8006000[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_auto_refresh = 0x0 + // .. .. ==> 0XF8006000[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000080U), + // .. .. FINISH: LOCK DDR + // .. .. reg_ddrc_t_rfc_nom_x32 = 0x81 + // .. .. ==> 0XF8006004[11:0] = 0x00000081U + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000081U + // .. .. reg_ddrc_active_ranks = 0x1 + // .. .. ==> 0XF8006004[13:12] = 0x00000001U + // .. .. ==> MASK : 0x00003000U VAL : 0x00001000U + // .. .. reg_ddrc_addrmap_cs_bit0 = 0x0 + // .. .. ==> 0XF8006004[18:14] = 0x00000000U + // .. .. ==> MASK : 0x0007C000U VAL : 0x00000000U + // .. .. reg_ddrc_wr_odt_block = 0x1 + // .. .. ==> 0XF8006004[20:19] = 0x00000001U + // .. .. ==> MASK : 0x00180000U VAL : 0x00080000U + // .. .. reg_ddrc_diff_rank_rd_2cycle_gap = 0x0 + // .. .. ==> 0XF8006004[21:21] = 0x00000000U + // .. .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_cs_bit1 = 0x0 + // .. .. ==> 0XF8006004[26:22] = 0x00000000U + // .. .. ==> MASK : 0x07C00000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_open_bank = 0x0 + // .. .. ==> 0XF8006004[27:27] = 0x00000000U + // .. .. ==> MASK : 0x08000000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_4bank_ram = 0x0 + // .. .. ==> 0XF8006004[28:28] = 0x00000000U + // .. .. ==> MASK : 0x10000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006004, 0x1FFFFFFFU ,0x00081081U), + // .. .. reg_ddrc_hpr_min_non_critical_x32 = 0xf + // .. .. ==> 0XF8006008[10:0] = 0x0000000FU + // .. .. ==> MASK : 0x000007FFU VAL : 0x0000000FU + // .. .. reg_ddrc_hpr_max_starve_x32 = 0xf + // .. .. ==> 0XF8006008[21:11] = 0x0000000FU + // .. .. ==> MASK : 0x003FF800U VAL : 0x00007800U + // .. .. reg_ddrc_hpr_xact_run_length = 0xf + // .. .. ==> 0XF8006008[25:22] = 0x0000000FU + // .. .. ==> MASK : 0x03C00000U VAL : 0x03C00000U + // .. .. + EMIT_MASKWRITE(0XF8006008, 0x03FFFFFFU ,0x03C0780FU), + // .. .. reg_ddrc_lpr_min_non_critical_x32 = 0x1 + // .. .. ==> 0XF800600C[10:0] = 0x00000001U + // .. .. ==> MASK : 0x000007FFU VAL : 0x00000001U + // .. .. reg_ddrc_lpr_max_starve_x32 = 0x2 + // .. .. ==> 0XF800600C[21:11] = 0x00000002U + // .. .. ==> MASK : 0x003FF800U VAL : 0x00001000U + // .. .. reg_ddrc_lpr_xact_run_length = 0x8 + // .. .. ==> 0XF800600C[25:22] = 0x00000008U + // .. .. ==> MASK : 0x03C00000U VAL : 0x02000000U + // .. .. + EMIT_MASKWRITE(0XF800600C, 0x03FFFFFFU ,0x02001001U), + // .. .. reg_ddrc_w_min_non_critical_x32 = 0x1 + // .. .. ==> 0XF8006010[10:0] = 0x00000001U + // .. .. ==> MASK : 0x000007FFU VAL : 0x00000001U + // .. .. reg_ddrc_w_xact_run_length = 0x8 + // .. .. ==> 0XF8006010[14:11] = 0x00000008U + // .. .. ==> MASK : 0x00007800U VAL : 0x00004000U + // .. .. reg_ddrc_w_max_starve_x32 = 0x2 + // .. .. ==> 0XF8006010[25:15] = 0x00000002U + // .. .. ==> MASK : 0x03FF8000U VAL : 0x00010000U + // .. .. + EMIT_MASKWRITE(0XF8006010, 0x03FFFFFFU ,0x00014001U), + // .. .. reg_ddrc_t_rc = 0x1a + // .. .. ==> 0XF8006014[5:0] = 0x0000001AU + // .. .. ==> MASK : 0x0000003FU VAL : 0x0000001AU + // .. .. reg_ddrc_t_rfc_min = 0xa0 + // .. .. ==> 0XF8006014[13:6] = 0x000000A0U + // .. .. ==> MASK : 0x00003FC0U VAL : 0x00002800U + // .. .. reg_ddrc_post_selfref_gap_x32 = 0x10 + // .. .. ==> 0XF8006014[20:14] = 0x00000010U + // .. .. ==> MASK : 0x001FC000U VAL : 0x00040000U + // .. .. + EMIT_MASKWRITE(0XF8006014, 0x001FFFFFU ,0x0004281AU), + // .. .. reg_ddrc_wr2pre = 0x12 + // .. .. ==> 0XF8006018[4:0] = 0x00000012U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000012U + // .. .. reg_ddrc_powerdown_to_x32 = 0x6 + // .. .. ==> 0XF8006018[9:5] = 0x00000006U + // .. .. ==> MASK : 0x000003E0U VAL : 0x000000C0U + // .. .. reg_ddrc_t_faw = 0x16 + // .. .. ==> 0XF8006018[15:10] = 0x00000016U + // .. .. ==> MASK : 0x0000FC00U VAL : 0x00005800U + // .. .. reg_ddrc_t_ras_max = 0x24 + // .. .. ==> 0XF8006018[21:16] = 0x00000024U + // .. .. ==> MASK : 0x003F0000U VAL : 0x00240000U + // .. .. reg_ddrc_t_ras_min = 0x13 + // .. .. ==> 0XF8006018[26:22] = 0x00000013U + // .. .. ==> MASK : 0x07C00000U VAL : 0x04C00000U + // .. .. reg_ddrc_t_cke = 0x4 + // .. .. ==> 0XF8006018[31:28] = 0x00000004U + // .. .. ==> MASK : 0xF0000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006018, 0xF7FFFFFFU ,0x44E458D2U), + // .. .. reg_ddrc_write_latency = 0x5 + // .. .. ==> 0XF800601C[4:0] = 0x00000005U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000005U + // .. .. reg_ddrc_rd2wr = 0x7 + // .. .. ==> 0XF800601C[9:5] = 0x00000007U + // .. .. ==> MASK : 0x000003E0U VAL : 0x000000E0U + // .. .. reg_ddrc_wr2rd = 0xe + // .. .. ==> 0XF800601C[14:10] = 0x0000000EU + // .. .. ==> MASK : 0x00007C00U VAL : 0x00003800U + // .. .. reg_ddrc_t_xp = 0x4 + // .. .. ==> 0XF800601C[19:15] = 0x00000004U + // .. .. ==> MASK : 0x000F8000U VAL : 0x00020000U + // .. .. reg_ddrc_pad_pd = 0x0 + // .. .. ==> 0XF800601C[22:20] = 0x00000000U + // .. .. ==> MASK : 0x00700000U VAL : 0x00000000U + // .. .. reg_ddrc_rd2pre = 0x4 + // .. .. ==> 0XF800601C[27:23] = 0x00000004U + // .. .. ==> MASK : 0x0F800000U VAL : 0x02000000U + // .. .. reg_ddrc_t_rcd = 0x7 + // .. .. ==> 0XF800601C[31:28] = 0x00000007U + // .. .. ==> MASK : 0xF0000000U VAL : 0x70000000U + // .. .. + EMIT_MASKWRITE(0XF800601C, 0xFFFFFFFFU ,0x720238E5U), + // .. .. reg_ddrc_t_ccd = 0x4 + // .. .. ==> 0XF8006020[4:2] = 0x00000004U + // .. .. ==> MASK : 0x0000001CU VAL : 0x00000010U + // .. .. reg_ddrc_t_rrd = 0x6 + // .. .. ==> 0XF8006020[7:5] = 0x00000006U + // .. .. ==> MASK : 0x000000E0U VAL : 0x000000C0U + // .. .. reg_ddrc_refresh_margin = 0x2 + // .. .. ==> 0XF8006020[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. reg_ddrc_t_rp = 0x7 + // .. .. ==> 0XF8006020[15:12] = 0x00000007U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00007000U + // .. .. reg_ddrc_refresh_to_x32 = 0x8 + // .. .. ==> 0XF8006020[20:16] = 0x00000008U + // .. .. ==> MASK : 0x001F0000U VAL : 0x00080000U + // .. .. reg_ddrc_sdram = 0x1 + // .. .. ==> 0XF8006020[21:21] = 0x00000001U + // .. .. ==> MASK : 0x00200000U VAL : 0x00200000U + // .. .. reg_ddrc_mobile = 0x0 + // .. .. ==> 0XF8006020[22:22] = 0x00000000U + // .. .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. .. reg_ddrc_clock_stop_en = 0x0 + // .. .. ==> 0XF8006020[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. reg_ddrc_read_latency = 0x7 + // .. .. ==> 0XF8006020[28:24] = 0x00000007U + // .. .. ==> MASK : 0x1F000000U VAL : 0x07000000U + // .. .. reg_phy_mode_ddr1_ddr2 = 0x1 + // .. .. ==> 0XF8006020[29:29] = 0x00000001U + // .. .. ==> MASK : 0x20000000U VAL : 0x20000000U + // .. .. reg_ddrc_dis_pad_pd = 0x0 + // .. .. ==> 0XF8006020[30:30] = 0x00000000U + // .. .. ==> MASK : 0x40000000U VAL : 0x00000000U + // .. .. reg_ddrc_loopback = 0x0 + // .. .. ==> 0XF8006020[31:31] = 0x00000000U + // .. .. ==> MASK : 0x80000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006020, 0xFFFFFFFCU ,0x272872D0U), + // .. .. reg_ddrc_en_2t_timing_mode = 0x0 + // .. .. ==> 0XF8006024[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_prefer_write = 0x0 + // .. .. ==> 0XF8006024[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_max_rank_rd = 0xf + // .. .. ==> 0XF8006024[5:2] = 0x0000000FU + // .. .. ==> MASK : 0x0000003CU VAL : 0x0000003CU + // .. .. reg_ddrc_mr_wr = 0x0 + // .. .. ==> 0XF8006024[6:6] = 0x00000000U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. .. reg_ddrc_mr_addr = 0x0 + // .. .. ==> 0XF8006024[8:7] = 0x00000000U + // .. .. ==> MASK : 0x00000180U VAL : 0x00000000U + // .. .. reg_ddrc_mr_data = 0x0 + // .. .. ==> 0XF8006024[24:9] = 0x00000000U + // .. .. ==> MASK : 0x01FFFE00U VAL : 0x00000000U + // .. .. ddrc_reg_mr_wr_busy = 0x0 + // .. .. ==> 0XF8006024[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. reg_ddrc_mr_type = 0x0 + // .. .. ==> 0XF8006024[26:26] = 0x00000000U + // .. .. ==> MASK : 0x04000000U VAL : 0x00000000U + // .. .. reg_ddrc_mr_rdata_valid = 0x0 + // .. .. ==> 0XF8006024[27:27] = 0x00000000U + // .. .. ==> MASK : 0x08000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006024, 0x0FFFFFFFU ,0x0000003CU), + // .. .. reg_ddrc_final_wait_x32 = 0x7 + // .. .. ==> 0XF8006028[6:0] = 0x00000007U + // .. .. ==> MASK : 0x0000007FU VAL : 0x00000007U + // .. .. reg_ddrc_pre_ocd_x32 = 0x0 + // .. .. ==> 0XF8006028[10:7] = 0x00000000U + // .. .. ==> MASK : 0x00000780U VAL : 0x00000000U + // .. .. reg_ddrc_t_mrd = 0x4 + // .. .. ==> 0XF8006028[13:11] = 0x00000004U + // .. .. ==> MASK : 0x00003800U VAL : 0x00002000U + // .. .. + EMIT_MASKWRITE(0XF8006028, 0x00003FFFU ,0x00002007U), + // .. .. reg_ddrc_emr2 = 0x8 + // .. .. ==> 0XF800602C[15:0] = 0x00000008U + // .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. reg_ddrc_emr3 = 0x0 + // .. .. ==> 0XF800602C[31:16] = 0x00000000U + // .. .. ==> MASK : 0xFFFF0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800602C, 0xFFFFFFFFU ,0x00000008U), + // .. .. reg_ddrc_mr = 0x930 + // .. .. ==> 0XF8006030[15:0] = 0x00000930U + // .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000930U + // .. .. reg_ddrc_emr = 0x4 + // .. .. ==> 0XF8006030[31:16] = 0x00000004U + // .. .. ==> MASK : 0xFFFF0000U VAL : 0x00040000U + // .. .. + EMIT_MASKWRITE(0XF8006030, 0xFFFFFFFFU ,0x00040930U), + // .. .. reg_ddrc_burst_rdwr = 0x4 + // .. .. ==> 0XF8006034[3:0] = 0x00000004U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000004U + // .. .. reg_ddrc_pre_cke_x1024 = 0x16d + // .. .. ==> 0XF8006034[13:4] = 0x0000016DU + // .. .. ==> MASK : 0x00003FF0U VAL : 0x000016D0U + // .. .. reg_ddrc_post_cke_x1024 = 0x1 + // .. .. ==> 0XF8006034[25:16] = 0x00000001U + // .. .. ==> MASK : 0x03FF0000U VAL : 0x00010000U + // .. .. reg_ddrc_burstchop = 0x0 + // .. .. ==> 0XF8006034[28:28] = 0x00000000U + // .. .. ==> MASK : 0x10000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006034, 0x13FF3FFFU ,0x000116D4U), + // .. .. reg_ddrc_force_low_pri_n = 0x0 + // .. .. ==> 0XF8006038[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_dis_dq = 0x0 + // .. .. ==> 0XF8006038[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_debug_mode = 0x0 + // .. .. ==> 0XF8006038[6:6] = 0x00000000U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. .. reg_phy_wr_level_start = 0x0 + // .. .. ==> 0XF8006038[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. reg_phy_rd_level_start = 0x0 + // .. .. ==> 0XF8006038[8:8] = 0x00000000U + // .. .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. .. reg_phy_dq0_wait_t = 0x0 + // .. .. ==> 0XF8006038[12:9] = 0x00000000U + // .. .. ==> MASK : 0x00001E00U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006038, 0x00001FC3U ,0x00000000U), + // .. .. reg_ddrc_addrmap_bank_b0 = 0x7 + // .. .. ==> 0XF800603C[3:0] = 0x00000007U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000007U + // .. .. reg_ddrc_addrmap_bank_b1 = 0x7 + // .. .. ==> 0XF800603C[7:4] = 0x00000007U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000070U + // .. .. reg_ddrc_addrmap_bank_b2 = 0x7 + // .. .. ==> 0XF800603C[11:8] = 0x00000007U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000700U + // .. .. reg_ddrc_addrmap_col_b5 = 0x0 + // .. .. ==> 0XF800603C[15:12] = 0x00000000U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b6 = 0x0 + // .. .. ==> 0XF800603C[19:16] = 0x00000000U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800603C, 0x000FFFFFU ,0x00000777U), + // .. .. reg_ddrc_addrmap_col_b2 = 0x0 + // .. .. ==> 0XF8006040[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b3 = 0x0 + // .. .. ==> 0XF8006040[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b4 = 0x0 + // .. .. ==> 0XF8006040[11:8] = 0x00000000U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b7 = 0x0 + // .. .. ==> 0XF8006040[15:12] = 0x00000000U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b8 = 0x0 + // .. .. ==> 0XF8006040[19:16] = 0x00000000U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b9 = 0xf + // .. .. ==> 0XF8006040[23:20] = 0x0000000FU + // .. .. ==> MASK : 0x00F00000U VAL : 0x00F00000U + // .. .. reg_ddrc_addrmap_col_b10 = 0xf + // .. .. ==> 0XF8006040[27:24] = 0x0000000FU + // .. .. ==> MASK : 0x0F000000U VAL : 0x0F000000U + // .. .. reg_ddrc_addrmap_col_b11 = 0xf + // .. .. ==> 0XF8006040[31:28] = 0x0000000FU + // .. .. ==> MASK : 0xF0000000U VAL : 0xF0000000U + // .. .. + EMIT_MASKWRITE(0XF8006040, 0xFFFFFFFFU ,0xFFF00000U), + // .. .. reg_ddrc_addrmap_row_b0 = 0x6 + // .. .. ==> 0XF8006044[3:0] = 0x00000006U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000006U + // .. .. reg_ddrc_addrmap_row_b1 = 0x6 + // .. .. ==> 0XF8006044[7:4] = 0x00000006U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000060U + // .. .. reg_ddrc_addrmap_row_b2_11 = 0x6 + // .. .. ==> 0XF8006044[11:8] = 0x00000006U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000600U + // .. .. reg_ddrc_addrmap_row_b12 = 0x6 + // .. .. ==> 0XF8006044[15:12] = 0x00000006U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00006000U + // .. .. reg_ddrc_addrmap_row_b13 = 0x6 + // .. .. ==> 0XF8006044[19:16] = 0x00000006U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00060000U + // .. .. reg_ddrc_addrmap_row_b14 = 0x6 + // .. .. ==> 0XF8006044[23:20] = 0x00000006U + // .. .. ==> MASK : 0x00F00000U VAL : 0x00600000U + // .. .. reg_ddrc_addrmap_row_b15 = 0xf + // .. .. ==> 0XF8006044[27:24] = 0x0000000FU + // .. .. ==> MASK : 0x0F000000U VAL : 0x0F000000U + // .. .. + EMIT_MASKWRITE(0XF8006044, 0x0FFFFFFFU ,0x0F666666U), + // .. .. reg_ddrc_rank0_rd_odt = 0x0 + // .. .. ==> 0XF8006048[2:0] = 0x00000000U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000000U + // .. .. reg_ddrc_rank0_wr_odt = 0x1 + // .. .. ==> 0XF8006048[5:3] = 0x00000001U + // .. .. ==> MASK : 0x00000038U VAL : 0x00000008U + // .. .. reg_ddrc_rank1_rd_odt = 0x1 + // .. .. ==> 0XF8006048[8:6] = 0x00000001U + // .. .. ==> MASK : 0x000001C0U VAL : 0x00000040U + // .. .. reg_ddrc_rank1_wr_odt = 0x1 + // .. .. ==> 0XF8006048[11:9] = 0x00000001U + // .. .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. .. reg_phy_rd_local_odt = 0x0 + // .. .. ==> 0XF8006048[13:12] = 0x00000000U + // .. .. ==> MASK : 0x00003000U VAL : 0x00000000U + // .. .. reg_phy_wr_local_odt = 0x3 + // .. .. ==> 0XF8006048[15:14] = 0x00000003U + // .. .. ==> MASK : 0x0000C000U VAL : 0x0000C000U + // .. .. reg_phy_idle_local_odt = 0x3 + // .. .. ==> 0XF8006048[17:16] = 0x00000003U + // .. .. ==> MASK : 0x00030000U VAL : 0x00030000U + // .. .. reg_ddrc_rank2_rd_odt = 0x0 + // .. .. ==> 0XF8006048[20:18] = 0x00000000U + // .. .. ==> MASK : 0x001C0000U VAL : 0x00000000U + // .. .. reg_ddrc_rank2_wr_odt = 0x0 + // .. .. ==> 0XF8006048[23:21] = 0x00000000U + // .. .. ==> MASK : 0x00E00000U VAL : 0x00000000U + // .. .. reg_ddrc_rank3_rd_odt = 0x0 + // .. .. ==> 0XF8006048[26:24] = 0x00000000U + // .. .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. .. reg_ddrc_rank3_wr_odt = 0x0 + // .. .. ==> 0XF8006048[29:27] = 0x00000000U + // .. .. ==> MASK : 0x38000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006048, 0x3FFFFFFFU ,0x0003C248U), + // .. .. reg_phy_rd_cmd_to_data = 0x0 + // .. .. ==> 0XF8006050[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_phy_wr_cmd_to_data = 0x0 + // .. .. ==> 0XF8006050[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_phy_rdc_we_to_re_delay = 0x8 + // .. .. ==> 0XF8006050[11:8] = 0x00000008U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000800U + // .. .. reg_phy_rdc_fifo_rst_disable = 0x0 + // .. .. ==> 0XF8006050[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_phy_use_fixed_re = 0x1 + // .. .. ==> 0XF8006050[16:16] = 0x00000001U + // .. .. ==> MASK : 0x00010000U VAL : 0x00010000U + // .. .. reg_phy_rdc_fifo_rst_err_cnt_clr = 0x0 + // .. .. ==> 0XF8006050[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_phy_dis_phy_ctrl_rstn = 0x0 + // .. .. ==> 0XF8006050[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_phy_clk_stall_level = 0x0 + // .. .. ==> 0XF8006050[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_num_of_dq0 = 0x7 + // .. .. ==> 0XF8006050[27:24] = 0x00000007U + // .. .. ==> MASK : 0x0F000000U VAL : 0x07000000U + // .. .. reg_phy_wrlvl_num_of_dq0 = 0x7 + // .. .. ==> 0XF8006050[31:28] = 0x00000007U + // .. .. ==> MASK : 0xF0000000U VAL : 0x70000000U + // .. .. + EMIT_MASKWRITE(0XF8006050, 0xFF0F8FFFU ,0x77010800U), + // .. .. reg_ddrc_dll_calib_to_min_x1024 = 0x1 + // .. .. ==> 0XF8006058[7:0] = 0x00000001U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000001U + // .. .. reg_ddrc_dll_calib_to_max_x1024 = 0x1 + // .. .. ==> 0XF8006058[15:8] = 0x00000001U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00000100U + // .. .. reg_ddrc_dis_dll_calib = 0x0 + // .. .. ==> 0XF8006058[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006058, 0x0001FFFFU ,0x00000101U), + // .. .. reg_ddrc_rd_odt_delay = 0x3 + // .. .. ==> 0XF800605C[3:0] = 0x00000003U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000003U + // .. .. reg_ddrc_wr_odt_delay = 0x0 + // .. .. ==> 0XF800605C[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_ddrc_rd_odt_hold = 0x0 + // .. .. ==> 0XF800605C[11:8] = 0x00000000U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000000U + // .. .. reg_ddrc_wr_odt_hold = 0x5 + // .. .. ==> 0XF800605C[15:12] = 0x00000005U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00005000U + // .. .. + EMIT_MASKWRITE(0XF800605C, 0x0000FFFFU ,0x00005003U), + // .. .. reg_ddrc_pageclose = 0x0 + // .. .. ==> 0XF8006060[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_lpr_num_entries = 0x1f + // .. .. ==> 0XF8006060[6:1] = 0x0000001FU + // .. .. ==> MASK : 0x0000007EU VAL : 0x0000003EU + // .. .. reg_ddrc_auto_pre_en = 0x0 + // .. .. ==> 0XF8006060[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. reg_ddrc_refresh_update_level = 0x0 + // .. .. ==> 0XF8006060[8:8] = 0x00000000U + // .. .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. .. reg_ddrc_dis_wc = 0x0 + // .. .. ==> 0XF8006060[9:9] = 0x00000000U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. .. reg_ddrc_dis_collision_page_opt = 0x0 + // .. .. ==> 0XF8006060[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_ddrc_selfref_en = 0x0 + // .. .. ==> 0XF8006060[12:12] = 0x00000000U + // .. .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006060, 0x000017FFU ,0x0000003EU), + // .. .. reg_ddrc_go2critical_hysteresis = 0x0 + // .. .. ==> 0XF8006064[12:5] = 0x00000000U + // .. .. ==> MASK : 0x00001FE0U VAL : 0x00000000U + // .. .. reg_arb_go2critical_en = 0x1 + // .. .. ==> 0XF8006064[17:17] = 0x00000001U + // .. .. ==> MASK : 0x00020000U VAL : 0x00020000U + // .. .. + EMIT_MASKWRITE(0XF8006064, 0x00021FE0U ,0x00020000U), + // .. .. reg_ddrc_wrlvl_ww = 0x41 + // .. .. ==> 0XF8006068[7:0] = 0x00000041U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000041U + // .. .. reg_ddrc_rdlvl_rr = 0x41 + // .. .. ==> 0XF8006068[15:8] = 0x00000041U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00004100U + // .. .. reg_ddrc_dfi_t_wlmrd = 0x28 + // .. .. ==> 0XF8006068[25:16] = 0x00000028U + // .. .. ==> MASK : 0x03FF0000U VAL : 0x00280000U + // .. .. + EMIT_MASKWRITE(0XF8006068, 0x03FFFFFFU ,0x00284141U), + // .. .. dfi_t_ctrlupd_interval_min_x1024 = 0x10 + // .. .. ==> 0XF800606C[7:0] = 0x00000010U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000010U + // .. .. dfi_t_ctrlupd_interval_max_x1024 = 0x16 + // .. .. ==> 0XF800606C[15:8] = 0x00000016U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00001600U + // .. .. + EMIT_MASKWRITE(0XF800606C, 0x0000FFFFU ,0x00001610U), + // .. .. reg_ddrc_dfi_t_ctrl_delay = 0x1 + // .. .. ==> 0XF8006078[3:0] = 0x00000001U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000001U + // .. .. reg_ddrc_dfi_t_dram_clk_disable = 0x1 + // .. .. ==> 0XF8006078[7:4] = 0x00000001U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000010U + // .. .. reg_ddrc_dfi_t_dram_clk_enable = 0x1 + // .. .. ==> 0XF8006078[11:8] = 0x00000001U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000100U + // .. .. reg_ddrc_t_cksre = 0x6 + // .. .. ==> 0XF8006078[15:12] = 0x00000006U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00006000U + // .. .. reg_ddrc_t_cksrx = 0x6 + // .. .. ==> 0XF8006078[19:16] = 0x00000006U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00060000U + // .. .. reg_ddrc_t_ckesr = 0x4 + // .. .. ==> 0XF8006078[25:20] = 0x00000004U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00400000U + // .. .. + EMIT_MASKWRITE(0XF8006078, 0x03FFFFFFU ,0x00466111U), + // .. .. reg_ddrc_t_ckpde = 0x2 + // .. .. ==> 0XF800607C[3:0] = 0x00000002U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000002U + // .. .. reg_ddrc_t_ckpdx = 0x2 + // .. .. ==> 0XF800607C[7:4] = 0x00000002U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000020U + // .. .. reg_ddrc_t_ckdpde = 0x2 + // .. .. ==> 0XF800607C[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. reg_ddrc_t_ckdpdx = 0x2 + // .. .. ==> 0XF800607C[15:12] = 0x00000002U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00002000U + // .. .. reg_ddrc_t_ckcsx = 0x3 + // .. .. ==> 0XF800607C[19:16] = 0x00000003U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00030000U + // .. .. + EMIT_MASKWRITE(0XF800607C, 0x000FFFFFU ,0x00032222U), + // .. .. refresh_timer0_start_value_x32 = 0x0 + // .. .. ==> 0XF80060A0[11:0] = 0x00000000U + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000000U + // .. .. refresh_timer1_start_value_x32 = 0x8 + // .. .. ==> 0XF80060A0[23:12] = 0x00000008U + // .. .. ==> MASK : 0x00FFF000U VAL : 0x00008000U + // .. .. + EMIT_MASKWRITE(0XF80060A0, 0x00FFFFFFU ,0x00008000U), + // .. .. reg_ddrc_dis_auto_zq = 0x0 + // .. .. ==> 0XF80060A4[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_ddr3 = 0x1 + // .. .. ==> 0XF80060A4[1:1] = 0x00000001U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. reg_ddrc_t_mod = 0x200 + // .. .. ==> 0XF80060A4[11:2] = 0x00000200U + // .. .. ==> MASK : 0x00000FFCU VAL : 0x00000800U + // .. .. reg_ddrc_t_zq_long_nop = 0x200 + // .. .. ==> 0XF80060A4[21:12] = 0x00000200U + // .. .. ==> MASK : 0x003FF000U VAL : 0x00200000U + // .. .. reg_ddrc_t_zq_short_nop = 0x40 + // .. .. ==> 0XF80060A4[31:22] = 0x00000040U + // .. .. ==> MASK : 0xFFC00000U VAL : 0x10000000U + // .. .. + EMIT_MASKWRITE(0XF80060A4, 0xFFFFFFFFU ,0x10200802U), + // .. .. t_zq_short_interval_x1024 = 0xcb73 + // .. .. ==> 0XF80060A8[19:0] = 0x0000CB73U + // .. .. ==> MASK : 0x000FFFFFU VAL : 0x0000CB73U + // .. .. dram_rstn_x1024 = 0x69 + // .. .. ==> 0XF80060A8[27:20] = 0x00000069U + // .. .. ==> MASK : 0x0FF00000U VAL : 0x06900000U + // .. .. + EMIT_MASKWRITE(0XF80060A8, 0x0FFFFFFFU ,0x0690CB73U), + // .. .. deeppowerdown_en = 0x0 + // .. .. ==> 0XF80060AC[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. deeppowerdown_to_x1024 = 0xff + // .. .. ==> 0XF80060AC[8:1] = 0x000000FFU + // .. .. ==> MASK : 0x000001FEU VAL : 0x000001FEU + // .. .. + EMIT_MASKWRITE(0XF80060AC, 0x000001FFU ,0x000001FEU), + // .. .. dfi_wrlvl_max_x1024 = 0xfff + // .. .. ==> 0XF80060B0[11:0] = 0x00000FFFU + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000FFFU + // .. .. dfi_rdlvl_max_x1024 = 0xfff + // .. .. ==> 0XF80060B0[23:12] = 0x00000FFFU + // .. .. ==> MASK : 0x00FFF000U VAL : 0x00FFF000U + // .. .. ddrc_reg_twrlvl_max_error = 0x0 + // .. .. ==> 0XF80060B0[24:24] = 0x00000000U + // .. .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. .. ddrc_reg_trdlvl_max_error = 0x0 + // .. .. ==> 0XF80060B0[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. reg_ddrc_dfi_wr_level_en = 0x1 + // .. .. ==> 0XF80060B0[26:26] = 0x00000001U + // .. .. ==> MASK : 0x04000000U VAL : 0x04000000U + // .. .. reg_ddrc_dfi_rd_dqs_gate_level = 0x1 + // .. .. ==> 0XF80060B0[27:27] = 0x00000001U + // .. .. ==> MASK : 0x08000000U VAL : 0x08000000U + // .. .. reg_ddrc_dfi_rd_data_eye_train = 0x1 + // .. .. ==> 0XF80060B0[28:28] = 0x00000001U + // .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. + EMIT_MASKWRITE(0XF80060B0, 0x1FFFFFFFU ,0x1CFFFFFFU), + // .. .. reg_ddrc_2t_delay = 0x0 + // .. .. ==> 0XF80060B4[8:0] = 0x00000000U + // .. .. ==> MASK : 0x000001FFU VAL : 0x00000000U + // .. .. reg_ddrc_skip_ocd = 0x1 + // .. .. ==> 0XF80060B4[9:9] = 0x00000001U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000200U + // .. .. reg_ddrc_dis_pre_bypass = 0x0 + // .. .. ==> 0XF80060B4[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060B4, 0x000007FFU ,0x00000200U), + // .. .. reg_ddrc_dfi_t_rddata_en = 0x6 + // .. .. ==> 0XF80060B8[4:0] = 0x00000006U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000006U + // .. .. reg_ddrc_dfi_t_ctrlup_min = 0x3 + // .. .. ==> 0XF80060B8[14:5] = 0x00000003U + // .. .. ==> MASK : 0x00007FE0U VAL : 0x00000060U + // .. .. reg_ddrc_dfi_t_ctrlup_max = 0x40 + // .. .. ==> 0XF80060B8[24:15] = 0x00000040U + // .. .. ==> MASK : 0x01FF8000U VAL : 0x00200000U + // .. .. + EMIT_MASKWRITE(0XF80060B8, 0x01FFFFFFU ,0x00200066U), + // .. .. Clear_Uncorrectable_DRAM_ECC_error = 0x0 + // .. .. ==> 0XF80060C4[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. Clear_Correctable_DRAM_ECC_error = 0x0 + // .. .. ==> 0XF80060C4[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060C4, 0x00000003U ,0x00000000U), + // .. .. CORR_ECC_LOG_VALID = 0x0 + // .. .. ==> 0XF80060C8[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. ECC_CORRECTED_BIT_NUM = 0x0 + // .. .. ==> 0XF80060C8[7:1] = 0x00000000U + // .. .. ==> MASK : 0x000000FEU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060C8, 0x000000FFU ,0x00000000U), + // .. .. UNCORR_ECC_LOG_VALID = 0x0 + // .. .. ==> 0XF80060DC[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060DC, 0x00000001U ,0x00000000U), + // .. .. STAT_NUM_CORR_ERR = 0x0 + // .. .. ==> 0XF80060F0[15:8] = 0x00000000U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00000000U + // .. .. STAT_NUM_UNCORR_ERR = 0x0 + // .. .. ==> 0XF80060F0[7:0] = 0x00000000U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060F0, 0x0000FFFFU ,0x00000000U), + // .. .. reg_ddrc_ecc_mode = 0x0 + // .. .. ==> 0XF80060F4[2:0] = 0x00000000U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000000U + // .. .. reg_ddrc_dis_scrub = 0x1 + // .. .. ==> 0XF80060F4[3:3] = 0x00000001U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000008U + // .. .. + EMIT_MASKWRITE(0XF80060F4, 0x0000000FU ,0x00000008U), + // .. .. reg_phy_dif_on = 0x0 + // .. .. ==> 0XF8006114[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_phy_dif_off = 0x0 + // .. .. ==> 0XF8006114[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006114, 0x000000FFU ,0x00000000U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006118[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF8006118[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF8006118[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006118[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006118[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006118[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006118, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF800611C[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF800611C[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF800611C[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF800611C[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF800611C[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF800611C[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF800611C, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006120[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF8006120[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF8006120[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006120[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006120[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006120[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006120[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF8006120[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF8006120[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006120[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006120[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006120[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006120, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006124[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF8006124[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF8006124[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006124[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006124[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006124[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006124, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_wrlvl_init_ratio = 0x7 + // .. .. ==> 0XF800612C[9:0] = 0x00000007U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000007U + // .. .. reg_phy_gatelvl_init_ratio = 0x7a + // .. .. ==> 0XF800612C[19:10] = 0x0000007AU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001E800U + // .. .. + EMIT_MASKWRITE(0XF800612C, 0x000FFFFFU ,0x0001E807U), + // .. .. reg_phy_wrlvl_init_ratio = 0x5 + // .. .. ==> 0XF8006130[9:0] = 0x00000005U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000005U + // .. .. reg_phy_gatelvl_init_ratio = 0x7b + // .. .. ==> 0XF8006130[19:10] = 0x0000007BU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001EC00U + // .. .. + EMIT_MASKWRITE(0XF8006130, 0x000FFFFFU ,0x0001EC05U), + // .. .. reg_phy_wrlvl_init_ratio = 0x5 + // .. .. ==> 0XF8006134[9:0] = 0x00000005U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000005U + // .. .. reg_phy_gatelvl_init_ratio = 0x7b + // .. .. ==> 0XF8006134[19:10] = 0x0000007BU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001EC00U + // .. .. + EMIT_MASKWRITE(0XF8006134, 0x000FFFFFU ,0x0001EC05U), + // .. .. reg_phy_wrlvl_init_ratio = 0x1 + // .. .. ==> 0XF8006138[9:0] = 0x00000001U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000001U + // .. .. reg_phy_gatelvl_init_ratio = 0x78 + // .. .. ==> 0XF8006138[19:10] = 0x00000078U + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001E000U + // .. .. + EMIT_MASKWRITE(0XF8006138, 0x000FFFFFU ,0x0001E001U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006140[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006140[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006140[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006140, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006144[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006144[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006144[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006144, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006148[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006148[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006148[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006148, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF800614C[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF800614C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF800614C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800614C, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x87 + // .. .. ==> 0XF8006154[9:0] = 0x00000087U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000087U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006154[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006154[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006154, 0x000FFFFFU ,0x00000087U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x85 + // .. .. ==> 0XF8006158[9:0] = 0x00000085U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000085U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006158[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006158[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006158, 0x000FFFFFU ,0x00000085U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x85 + // .. .. ==> 0XF800615C[9:0] = 0x00000085U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000085U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF800615C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF800615C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800615C, 0x000FFFFFU ,0x00000085U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x81 + // .. .. ==> 0XF8006160[9:0] = 0x00000081U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000081U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006160[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006160[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006160, 0x000FFFFFU ,0x00000081U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xcf + // .. .. ==> 0XF8006168[10:0] = 0x000000CFU + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000CFU + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006168[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006168[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006168, 0x001FFFFFU ,0x000000CFU), + // .. .. reg_phy_fifo_we_slave_ratio = 0xd0 + // .. .. ==> 0XF800616C[10:0] = 0x000000D0U + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000D0U + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF800616C[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF800616C[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800616C, 0x001FFFFFU ,0x000000D0U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xd0 + // .. .. ==> 0XF8006170[10:0] = 0x000000D0U + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000D0U + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006170[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006170[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006170, 0x001FFFFFU ,0x000000D0U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xcd + // .. .. ==> 0XF8006174[10:0] = 0x000000CDU + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000CDU + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006174[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006174[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006174, 0x001FFFFFU ,0x000000CDU), + // .. .. reg_phy_wr_data_slave_ratio = 0xc7 + // .. .. ==> 0XF800617C[9:0] = 0x000000C7U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C7U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF800617C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF800617C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800617C, 0x000FFFFFU ,0x000000C7U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc5 + // .. .. ==> 0XF8006180[9:0] = 0x000000C5U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C5U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006180[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006180[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006180, 0x000FFFFFU ,0x000000C5U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc5 + // .. .. ==> 0XF8006184[9:0] = 0x000000C5U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C5U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006184[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006184[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006184, 0x000FFFFFU ,0x000000C5U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc1 + // .. .. ==> 0XF8006188[9:0] = 0x000000C1U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C1U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006188[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006188[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006188, 0x000FFFFFU ,0x000000C1U), + // .. .. reg_phy_loopback = 0x0 + // .. .. ==> 0XF8006190[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_phy_bl2 = 0x0 + // .. .. ==> 0XF8006190[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_at_spd_atpg = 0x0 + // .. .. ==> 0XF8006190[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_bist_enable = 0x0 + // .. .. ==> 0XF8006190[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_bist_force_err = 0x0 + // .. .. ==> 0XF8006190[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_bist_mode = 0x0 + // .. .. ==> 0XF8006190[6:5] = 0x00000000U + // .. .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. .. reg_phy_invert_clkout = 0x1 + // .. .. ==> 0XF8006190[7:7] = 0x00000001U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. .. reg_phy_all_dq_mpr_rd_resp = 0x0 + // .. .. ==> 0XF8006190[8:8] = 0x00000000U + // .. .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. .. reg_phy_sel_logic = 0x0 + // .. .. ==> 0XF8006190[9:9] = 0x00000000U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_ratio = 0x100 + // .. .. ==> 0XF8006190[19:10] = 0x00000100U + // .. .. ==> MASK : 0x000FFC00U VAL : 0x00040000U + // .. .. reg_phy_ctrl_slave_force = 0x0 + // .. .. ==> 0XF8006190[20:20] = 0x00000000U + // .. .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_delay = 0x0 + // .. .. ==> 0XF8006190[27:21] = 0x00000000U + // .. .. ==> MASK : 0x0FE00000U VAL : 0x00000000U + // .. .. reg_phy_use_rank0_delays = 0x1 + // .. .. ==> 0XF8006190[28:28] = 0x00000001U + // .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. reg_phy_lpddr = 0x0 + // .. .. ==> 0XF8006190[29:29] = 0x00000000U + // .. .. ==> MASK : 0x20000000U VAL : 0x00000000U + // .. .. reg_phy_cmd_latency = 0x0 + // .. .. ==> 0XF8006190[30:30] = 0x00000000U + // .. .. ==> MASK : 0x40000000U VAL : 0x00000000U + // .. .. reg_phy_int_lpbk = 0x0 + // .. .. ==> 0XF8006190[31:31] = 0x00000000U + // .. .. ==> MASK : 0x80000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006190, 0xFFFFFFFFU ,0x10040080U), + // .. .. reg_phy_wr_rl_delay = 0x2 + // .. .. ==> 0XF8006194[4:0] = 0x00000002U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000002U + // .. .. reg_phy_rd_rl_delay = 0x4 + // .. .. ==> 0XF8006194[9:5] = 0x00000004U + // .. .. ==> MASK : 0x000003E0U VAL : 0x00000080U + // .. .. reg_phy_dll_lock_diff = 0xf + // .. .. ==> 0XF8006194[13:10] = 0x0000000FU + // .. .. ==> MASK : 0x00003C00U VAL : 0x00003C00U + // .. .. reg_phy_use_wr_level = 0x1 + // .. .. ==> 0XF8006194[14:14] = 0x00000001U + // .. .. ==> MASK : 0x00004000U VAL : 0x00004000U + // .. .. reg_phy_use_rd_dqs_gate_level = 0x1 + // .. .. ==> 0XF8006194[15:15] = 0x00000001U + // .. .. ==> MASK : 0x00008000U VAL : 0x00008000U + // .. .. reg_phy_use_rd_data_eye_level = 0x1 + // .. .. ==> 0XF8006194[16:16] = 0x00000001U + // .. .. ==> MASK : 0x00010000U VAL : 0x00010000U + // .. .. reg_phy_dis_calib_rst = 0x0 + // .. .. ==> 0XF8006194[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_delay = 0x0 + // .. .. ==> 0XF8006194[19:18] = 0x00000000U + // .. .. ==> MASK : 0x000C0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006194, 0x000FFFFFU ,0x0001FC82U), + // .. .. reg_arb_page_addr_mask = 0x0 + // .. .. ==> 0XF8006204[31:0] = 0x00000000U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006204, 0xFFFFFFFFU ,0x00000000U), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006208[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006208[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006208[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006208[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF8006208[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF8006208, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF800620C[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF800620C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF800620C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF800620C[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF800620C[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF800620C, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006210[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006210[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006210[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006210[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF8006210[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF8006210, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006214[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006214[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006214[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006214[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF8006214[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF8006214, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006218[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006218[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006218[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006218[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006218[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006218, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF800621C[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF800621C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF800621C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF800621C[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF800621C[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800621C, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006220[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006220[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006220[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006220[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006220[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006220, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006224[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006224[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006224[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006224[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006224[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006224, 0x000F03FFU ,0x000003FFU), + // .. .. reg_ddrc_lpddr2 = 0x0 + // .. .. ==> 0XF80062A8[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_per_bank_refresh = 0x0 + // .. .. ==> 0XF80062A8[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_derate_enable = 0x0 + // .. .. ==> 0XF80062A8[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_ddrc_mr4_margin = 0x0 + // .. .. ==> 0XF80062A8[11:4] = 0x00000000U + // .. .. ==> MASK : 0x00000FF0U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80062A8, 0x00000FF7U ,0x00000000U), + // .. .. reg_ddrc_mr4_read_interval = 0x0 + // .. .. ==> 0XF80062AC[31:0] = 0x00000000U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80062AC, 0xFFFFFFFFU ,0x00000000U), + // .. .. reg_ddrc_min_stable_clock_x1 = 0x5 + // .. .. ==> 0XF80062B0[3:0] = 0x00000005U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000005U + // .. .. reg_ddrc_idle_after_reset_x32 = 0x12 + // .. .. ==> 0XF80062B0[11:4] = 0x00000012U + // .. .. ==> MASK : 0x00000FF0U VAL : 0x00000120U + // .. .. reg_ddrc_t_mrw = 0x5 + // .. .. ==> 0XF80062B0[21:12] = 0x00000005U + // .. .. ==> MASK : 0x003FF000U VAL : 0x00005000U + // .. .. + EMIT_MASKWRITE(0XF80062B0, 0x003FFFFFU ,0x00005125U), + // .. .. reg_ddrc_max_auto_init_x1024 = 0xa8 + // .. .. ==> 0XF80062B4[7:0] = 0x000000A8U + // .. .. ==> MASK : 0x000000FFU VAL : 0x000000A8U + // .. .. reg_ddrc_dev_zqinit_x32 = 0x12 + // .. .. ==> 0XF80062B4[17:8] = 0x00000012U + // .. .. ==> MASK : 0x0003FF00U VAL : 0x00001200U + // .. .. + EMIT_MASKWRITE(0XF80062B4, 0x0003FFFFU ,0x000012A8U), + // .. .. START: POLL ON DCI STATUS + // .. .. DONE = 1 + // .. .. ==> 0XF8000B74[13:13] = 0x00000001U + // .. .. ==> MASK : 0x00002000U VAL : 0x00002000U + // .. .. + EMIT_MASKPOLL(0XF8000B74, 0x00002000U), + // .. .. FINISH: POLL ON DCI STATUS + // .. .. START: UNLOCK DDR + // .. .. reg_ddrc_soft_rstb = 0x1 + // .. .. ==> 0XF8006000[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_ddrc_powerdown_en = 0x0 + // .. .. ==> 0XF8006000[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_data_bus_width = 0x0 + // .. .. ==> 0XF8006000[3:2] = 0x00000000U + // .. .. ==> MASK : 0x0000000CU VAL : 0x00000000U + // .. .. reg_ddrc_burst8_refresh = 0x0 + // .. .. ==> 0XF8006000[6:4] = 0x00000000U + // .. .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. .. reg_ddrc_rdwr_idle_gap = 1 + // .. .. ==> 0XF8006000[13:7] = 0x00000001U + // .. .. ==> MASK : 0x00003F80U VAL : 0x00000080U + // .. .. reg_ddrc_dis_rd_bypass = 0x0 + // .. .. ==> 0XF8006000[14:14] = 0x00000000U + // .. .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_act_bypass = 0x0 + // .. .. ==> 0XF8006000[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_auto_refresh = 0x0 + // .. .. ==> 0XF8006000[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000081U), + // .. .. FINISH: UNLOCK DDR + // .. .. START: CHECK DDR STATUS + // .. .. ddrc_reg_operating_mode = 1 + // .. .. ==> 0XF8006054[2:0] = 0x00000001U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000001U + // .. .. + EMIT_MASKPOLL(0XF8006054, 0x00000007U), + // .. .. FINISH: CHECK DDR STATUS + // .. FINISH: DDR INITIALIZATION + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_mio_init_data_2_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: OCM REMAPPING + // .. FINISH: OCM REMAPPING + // .. START: DDRIOB SETTINGS + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B40[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B40[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B40[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B40[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCR_TYPE = 0x0 + // .. ==> 0XF8000B40[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B40[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B40[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B40[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B40[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B40, 0x00000FFFU ,0x00000600U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B44[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B44[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B44[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B44[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCR_TYPE = 0x0 + // .. ==> 0XF8000B44[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B44[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B44[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B44[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B44[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B44, 0x00000FFFU ,0x00000600U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B48[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x1 + // .. ==> 0XF8000B48[2:1] = 0x00000001U + // .. ==> MASK : 0x00000006U VAL : 0x00000002U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B48[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B48[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B48[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B48[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B48[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B48[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B48[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B48, 0x00000FFFU ,0x00000672U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B4C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x1 + // .. ==> 0XF8000B4C[2:1] = 0x00000001U + // .. ==> MASK : 0x00000006U VAL : 0x00000002U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B4C[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B4C[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B4C[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B4C[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B4C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B4C[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B4C[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B4C, 0x00000FFFU ,0x00000672U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B50[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x2 + // .. ==> 0XF8000B50[2:1] = 0x00000002U + // .. ==> MASK : 0x00000006U VAL : 0x00000004U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B50[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B50[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B50[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B50[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B50[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B50[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B50[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B50, 0x00000FFFU ,0x00000674U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B54[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x2 + // .. ==> 0XF8000B54[2:1] = 0x00000002U + // .. ==> MASK : 0x00000006U VAL : 0x00000004U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B54[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B54[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B54[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B54[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B54[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B54[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B54[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B54, 0x00000FFFU ,0x00000674U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B58[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B58[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B58[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B58[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCR_TYPE = 0x0 + // .. ==> 0XF8000B58[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B58[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B58[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B58[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B58[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B58, 0x00000FFFU ,0x00000600U), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B5C[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B5C[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x3 + // .. ==> 0XF8000B5C[18:14] = 0x00000003U + // .. ==> MASK : 0x0007C000U VAL : 0x0000C000U + // .. SLEW_N = 0x3 + // .. ==> 0XF8000B5C[23:19] = 0x00000003U + // .. ==> MASK : 0x00F80000U VAL : 0x00180000U + // .. GTL = 0x0 + // .. ==> 0XF8000B5C[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B5C[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B5C, 0xFFFFFFFFU ,0x0018C61CU), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B60[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B60[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x6 + // .. ==> 0XF8000B60[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. SLEW_N = 0x1f + // .. ==> 0XF8000B60[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. GTL = 0x0 + // .. ==> 0XF8000B60[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B60[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B60, 0xFFFFFFFFU ,0x00F9861CU), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B64[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B64[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x6 + // .. ==> 0XF8000B64[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. SLEW_N = 0x1f + // .. ==> 0XF8000B64[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. GTL = 0x0 + // .. ==> 0XF8000B64[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B64[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B64, 0xFFFFFFFFU ,0x00F9861CU), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B68[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B68[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x6 + // .. ==> 0XF8000B68[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. SLEW_N = 0x1f + // .. ==> 0XF8000B68[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. GTL = 0x0 + // .. ==> 0XF8000B68[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B68[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B68, 0xFFFFFFFFU ,0x00F9861CU), + // .. VREF_INT_EN = 0x0 + // .. ==> 0XF8000B6C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. VREF_SEL = 0x0 + // .. ==> 0XF8000B6C[4:1] = 0x00000000U + // .. ==> MASK : 0x0000001EU VAL : 0x00000000U + // .. VREF_EXT_EN = 0x3 + // .. ==> 0XF8000B6C[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. VREF_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[8:7] = 0x00000000U + // .. ==> MASK : 0x00000180U VAL : 0x00000000U + // .. REFIO_EN = 0x1 + // .. ==> 0XF8000B6C[9:9] = 0x00000001U + // .. ==> MASK : 0x00000200U VAL : 0x00000200U + // .. REFIO_TEST = 0x0 + // .. ==> 0XF8000B6C[11:10] = 0x00000000U + // .. ==> MASK : 0x00000C00U VAL : 0x00000000U + // .. REFIO_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DRST_B_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. CKE_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[14:14] = 0x00000000U + // .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B6C, 0x00007FFFU ,0x00000260U), + // .. .. START: ASSERT RESET + // .. .. RESET = 1 + // .. .. ==> 0XF8000B70[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000021U), + // .. .. FINISH: ASSERT RESET + // .. .. START: DEASSERT RESET + // .. .. RESET = 0 + // .. .. ==> 0XF8000B70[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000020U), + // .. .. FINISH: DEASSERT RESET + // .. .. RESET = 0x1 + // .. .. ==> 0XF8000B70[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. ENABLE = 0x1 + // .. .. ==> 0XF8000B70[1:1] = 0x00000001U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. VRP_TRI = 0x0 + // .. .. ==> 0XF8000B70[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. VRN_TRI = 0x0 + // .. .. ==> 0XF8000B70[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. VRP_OUT = 0x0 + // .. .. ==> 0XF8000B70[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. NREF_OPT1 = 0x0 + // .. .. ==> 0XF8000B70[7:6] = 0x00000000U + // .. .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. .. NREF_OPT2 = 0x0 + // .. .. ==> 0XF8000B70[10:8] = 0x00000000U + // .. .. ==> MASK : 0x00000700U VAL : 0x00000000U + // .. .. NREF_OPT4 = 0x1 + // .. .. ==> 0XF8000B70[13:11] = 0x00000001U + // .. .. ==> MASK : 0x00003800U VAL : 0x00000800U + // .. .. PREF_OPT1 = 0x0 + // .. .. ==> 0XF8000B70[16:14] = 0x00000000U + // .. .. ==> MASK : 0x0001C000U VAL : 0x00000000U + // .. .. PREF_OPT2 = 0x0 + // .. .. ==> 0XF8000B70[19:17] = 0x00000000U + // .. .. ==> MASK : 0x000E0000U VAL : 0x00000000U + // .. .. UPDATE_CONTROL = 0x0 + // .. .. ==> 0XF8000B70[20:20] = 0x00000000U + // .. .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. .. INIT_COMPLETE = 0x0 + // .. .. ==> 0XF8000B70[21:21] = 0x00000000U + // .. .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. .. TST_CLK = 0x0 + // .. .. ==> 0XF8000B70[22:22] = 0x00000000U + // .. .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. .. TST_HLN = 0x0 + // .. .. ==> 0XF8000B70[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. TST_HLP = 0x0 + // .. .. ==> 0XF8000B70[24:24] = 0x00000000U + // .. .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. .. TST_RST = 0x0 + // .. .. ==> 0XF8000B70[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. INT_DCI_EN = 0x0 + // .. .. ==> 0XF8000B70[26:26] = 0x00000000U + // .. .. ==> MASK : 0x04000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x07FFFFFFU ,0x00000823U), + // .. FINISH: DDRIOB SETTINGS + // .. START: MIO PROGRAMMING + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000700[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000700[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000700[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000700[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000700[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000700[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000700[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000700[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000700[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000700, 0x00003FFFU ,0x00001600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000704[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000704[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000704[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000704[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000704[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000704[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000704[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000704[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000704[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000704, 0x00003FFFU ,0x00001600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000708[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000708[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000708[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000708[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000708[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000708[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000708[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000708[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000708[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000708, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800070C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800070C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800070C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800070C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800070C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800070C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800070C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF800070C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800070C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800070C, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000710[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000710[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000710[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000710[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000710[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000710[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000710[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000710[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000710[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000710, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000714[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000714[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000714[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000714[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000714[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000714[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000714[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000714[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000714[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000714, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000718[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000718[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000718[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000718[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000718[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000718[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000718[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000718[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000718[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000718, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800071C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800071C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800071C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800071C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800071C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800071C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800071C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF800071C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800071C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800071C, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000720[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000720[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000720[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000720[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF8000720[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF8000720[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000720[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000720[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000720[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000720, 0x00003FFFU ,0x000006E0U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000724[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000724[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000724[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000724[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF8000724[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF8000724[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000724[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000724[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000724[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000724, 0x00003FFFU ,0x000016E1U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000728[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000728[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000728[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000728[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000728[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000728[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000728[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000728[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000728[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000728, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800072C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800072C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800072C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800072C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF800072C[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF800072C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800072C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF800072C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800072C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800072C, 0x00003FFFU ,0x00001660U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000730[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000730[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000730[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000730[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000730[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000730[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000730[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000730[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000730[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000730, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000734[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000734[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000734[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000734[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000734[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000734[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000734[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000734[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000734[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000734, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000738[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000738[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000738[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000738[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000738[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF8000738[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000738[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000738[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000738[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000738, 0x00003FFFU ,0x00001640U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800073C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800073C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800073C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800073C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF800073C[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF800073C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800073C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF800073C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800073C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800073C, 0x00003FFFU ,0x00001640U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000740[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000740[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000740[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000740[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000740[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000740[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000740[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000740[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000740[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000744[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000744[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000744[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000744[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000744[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000744[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000744[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000744[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000744[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000748[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000748[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000748[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000748[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000748[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000748[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000748[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000748[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000748[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800074C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF800074C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800074C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800074C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800074C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800074C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800074C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800074C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800074C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000750[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000750[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000750[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000750[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000750[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000750[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000750[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000750[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000750[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000754[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000754[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000754[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000754[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000754[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000754[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000754[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000754[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000754[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000758[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000758[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000758[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000758[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000758[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000758[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000758[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000758[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000758[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800075C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF800075C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800075C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800075C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800075C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800075C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800075C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800075C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800075C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000760[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000760[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000760[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000760[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000760[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000760[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000760[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000760[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000760[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000764[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000764[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000764[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000764[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000764[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000764[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000764[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000764[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000764[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000768[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000768[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000768[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000768[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000768[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000768[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000768[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000768[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000768[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800076C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF800076C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800076C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800076C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800076C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800076C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800076C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800076C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800076C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000770[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000770[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000770[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000770[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000770[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000770[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000770[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000770[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000770[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000774[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000774[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000774[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000774[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000774[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000774[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000774[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000774[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000774[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000778[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000778[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000778[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000778[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000778[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000778[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000778[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000778[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000778[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800077C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF800077C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800077C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800077C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800077C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800077C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800077C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800077C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800077C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000780[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000780[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000780[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000780[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000780[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000780[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000780[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000780[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000780[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000784[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000784[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000784[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000784[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000784[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000784[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000784[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000784[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000784[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000788[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000788[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000788[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000788[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000788[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000788[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000788[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000788[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000788[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800078C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800078C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800078C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800078C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800078C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800078C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800078C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800078C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800078C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000790[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000790[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000790[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000790[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000790[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000790[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000790[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000790[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000790[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000794[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000794[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000794[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000794[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000794[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000794[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000794[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000794[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000794[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000798[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000798[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000798[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000798[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000798[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000798[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000798[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000798[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000798[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800079C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800079C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800079C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800079C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800079C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800079C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800079C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800079C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800079C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A8[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A8[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007AC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007AC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007AC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007AC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007AC[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007AC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007AC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007AC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007AC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007B0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007B0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007B0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007B0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007B4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007B4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007B4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007B4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF80007B8[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF80007B8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF80007B8[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF80007B8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B8, 0x00003FFFU ,0x000012E1U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007BC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007BC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007BC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007BC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF80007BC[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF80007BC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007BC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007BC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007BC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007BC, 0x00003FFFU ,0x000012E0U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF80007C0[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF80007C0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x00001240U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF80007C4[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF80007C4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x00001240U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C8[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF80007C8[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF80007C8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007CC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007CC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007CC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007CC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF80007CC[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF80007CC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007CC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007CC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007CC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007D0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007D0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007D0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007D0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007D0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007D0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007D0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007D0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007D0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007D4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007D4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007D4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007D4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007D4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007D4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007D4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007D4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007D4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), + // .. SDIO0_WP_SEL = 55 + // .. ==> 0XF8000830[5:0] = 0x00000037U + // .. ==> MASK : 0x0000003FU VAL : 0x00000037U + // .. SDIO0_CD_SEL = 56 + // .. ==> 0XF8000830[21:16] = 0x00000038U + // .. ==> MASK : 0x003F0000U VAL : 0x00380000U + // .. + EMIT_MASKWRITE(0XF8000830, 0x003F003FU ,0x00380037U), + // .. FINISH: MIO PROGRAMMING + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_peripherals_init_data_2_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: DDR TERM/IBUF_DISABLE_MODE SETTINGS + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B48[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B48[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B48, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B4C[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B4C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B4C, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B50[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B50[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B50, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B54[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B54[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B54, 0x00000180U ,0x00000180U), + // .. FINISH: DDR TERM/IBUF_DISABLE_MODE SETTINGS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // .. START: SRAM/NOR SET OPMODE + // .. FINISH: SRAM/NOR SET OPMODE + // .. START: UART REGISTERS + // .. BDIV = 0x6 + // .. ==> 0XE0001034[7:0] = 0x00000006U + // .. ==> MASK : 0x000000FFU VAL : 0x00000006U + // .. + EMIT_MASKWRITE(0XE0001034, 0x000000FFU ,0x00000006U), + // .. CD = 0x7c + // .. ==> 0XE0001018[15:0] = 0x0000007CU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000007CU + // .. + EMIT_MASKWRITE(0XE0001018, 0x0000FFFFU ,0x0000007CU), + // .. STPBRK = 0x0 + // .. ==> 0XE0001000[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. STTBRK = 0x0 + // .. ==> 0XE0001000[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. RSTTO = 0x0 + // .. ==> 0XE0001000[6:6] = 0x00000000U + // .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. TXDIS = 0x0 + // .. ==> 0XE0001000[5:5] = 0x00000000U + // .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. TXEN = 0x1 + // .. ==> 0XE0001000[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. RXDIS = 0x0 + // .. ==> 0XE0001000[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. RXEN = 0x1 + // .. ==> 0XE0001000[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. TXRES = 0x1 + // .. ==> 0XE0001000[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. RXRES = 0x1 + // .. ==> 0XE0001000[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. + EMIT_MASKWRITE(0XE0001000, 0x000001FFU ,0x00000017U), + // .. IRMODE = 0x0 + // .. ==> 0XE0001004[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. UCLKEN = 0x0 + // .. ==> 0XE0001004[10:10] = 0x00000000U + // .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. CHMODE = 0x0 + // .. ==> 0XE0001004[9:8] = 0x00000000U + // .. ==> MASK : 0x00000300U VAL : 0x00000000U + // .. NBSTOP = 0x0 + // .. ==> 0XE0001004[7:6] = 0x00000000U + // .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. PAR = 0x4 + // .. ==> 0XE0001004[5:3] = 0x00000004U + // .. ==> MASK : 0x00000038U VAL : 0x00000020U + // .. CHRL = 0x0 + // .. ==> 0XE0001004[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. CLKS = 0x0 + // .. ==> 0XE0001004[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XE0001004, 0x00000FFFU ,0x00000020U), + // .. BDIV = 0x6 + // .. ==> 0XE0000034[7:0] = 0x00000006U + // .. ==> MASK : 0x000000FFU VAL : 0x00000006U + // .. + EMIT_MASKWRITE(0XE0000034, 0x000000FFU ,0x00000006U), + // .. CD = 0x7c + // .. ==> 0XE0000018[15:0] = 0x0000007CU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000007CU + // .. + EMIT_MASKWRITE(0XE0000018, 0x0000FFFFU ,0x0000007CU), + // .. STPBRK = 0x0 + // .. ==> 0XE0000000[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. STTBRK = 0x0 + // .. ==> 0XE0000000[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. RSTTO = 0x0 + // .. ==> 0XE0000000[6:6] = 0x00000000U + // .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. TXDIS = 0x0 + // .. ==> 0XE0000000[5:5] = 0x00000000U + // .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. TXEN = 0x1 + // .. ==> 0XE0000000[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. RXDIS = 0x0 + // .. ==> 0XE0000000[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. RXEN = 0x1 + // .. ==> 0XE0000000[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. TXRES = 0x1 + // .. ==> 0XE0000000[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. RXRES = 0x1 + // .. ==> 0XE0000000[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. + EMIT_MASKWRITE(0XE0000000, 0x000001FFU ,0x00000017U), + // .. IRMODE = 0x0 + // .. ==> 0XE0000004[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. UCLKEN = 0x0 + // .. ==> 0XE0000004[10:10] = 0x00000000U + // .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. CHMODE = 0x0 + // .. ==> 0XE0000004[9:8] = 0x00000000U + // .. ==> MASK : 0x00000300U VAL : 0x00000000U + // .. NBSTOP = 0x0 + // .. ==> 0XE0000004[7:6] = 0x00000000U + // .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. PAR = 0x4 + // .. ==> 0XE0000004[5:3] = 0x00000004U + // .. ==> MASK : 0x00000038U VAL : 0x00000020U + // .. CHRL = 0x0 + // .. ==> 0XE0000004[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. CLKS = 0x0 + // .. ==> 0XE0000004[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XE0000004, 0x00000FFFU ,0x00000020U), + // .. FINISH: UART REGISTERS + // .. START: QSPI REGISTERS + // .. Holdb_dr = 1 + // .. ==> 0XE000D000[19:19] = 0x00000001U + // .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. + EMIT_MASKWRITE(0XE000D000, 0x00080000U ,0x00080000U), + // .. FINISH: QSPI REGISTERS + // .. START: PL POWER ON RESET REGISTERS + // .. PCFG_POR_CNT_4K = 0 + // .. ==> 0XF8007000[29:29] = 0x00000000U + // .. ==> MASK : 0x20000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8007000, 0x20000000U ,0x00000000U), + // .. FINISH: PL POWER ON RESET REGISTERS + // .. START: SMC TIMING CALCULATION REGISTER UPDATE + // .. .. START: NAND SET CYCLE + // .. .. FINISH: NAND SET CYCLE + // .. .. START: OPMODE + // .. .. FINISH: OPMODE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: SRAM/NOR CS0 SET CYCLE + // .. .. FINISH: SRAM/NOR CS0 SET CYCLE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: NOR CS0 BASE ADDRESS + // .. .. FINISH: NOR CS0 BASE ADDRESS + // .. .. START: SRAM/NOR CS1 SET CYCLE + // .. .. FINISH: SRAM/NOR CS1 SET CYCLE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: NOR CS1 BASE ADDRESS + // .. .. FINISH: NOR CS1 BASE ADDRESS + // .. .. START: USB RESET + // .. .. .. START: USB0 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. DIRECTION_0 = 0x18 + // .. .. .. .. ==> 0XE000A204[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x10 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000010U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000010U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. OP_ENABLE_0 = 0x18 + // .. .. .. .. ==> 0XE000A208[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x0 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000000U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000000U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0000U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x10 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000010U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000010U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: USB0 RESET + // .. .. .. START: USB1 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: USB1 RESET + // .. .. FINISH: USB RESET + // .. .. START: ENET RESET + // .. .. .. START: ENET0 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. DIRECTION_0 = 0x18 + // .. .. .. .. ==> 0XE000A204[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x8 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000008U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. OP_ENABLE_0 = 0x18 + // .. .. .. .. ==> 0XE000A208[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x0 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000000U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000000U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70000U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x8 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000008U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: ENET0 RESET + // .. .. .. START: ENET1 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: ENET1 RESET + // .. .. FINISH: ENET RESET + // .. .. START: I2C RESET + // .. .. .. START: I2C0 RESET + // .. .. .. .. START: DIR MODE GPIO BANK0 + // .. .. .. .. FINISH: DIR MODE GPIO BANK0 + // .. .. .. .. START: DIR MODE GPIO BANK1 + // .. .. .. .. FINISH: DIR MODE GPIO BANK1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: I2C0 RESET + // .. .. .. START: I2C1 RESET + // .. .. .. .. START: DIR MODE GPIO BANK0 + // .. .. .. .. FINISH: DIR MODE GPIO BANK0 + // .. .. .. .. START: DIR MODE GPIO BANK1 + // .. .. .. .. FINISH: DIR MODE GPIO BANK1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: I2C1 RESET + // .. .. FINISH: I2C RESET + // .. .. START: NOR CHIP SELECT + // .. .. .. START: DIR MODE BANK 0 + // .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. FINISH: NOR CHIP SELECT + // .. FINISH: SMC TIMING CALCULATION REGISTER UPDATE + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_post_config_2_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: ENABLING LEVEL SHIFTER + // .. USER_INP_ICT_EN_0 = 3 + // .. ==> 0XF8000900[1:0] = 0x00000003U + // .. ==> MASK : 0x00000003U VAL : 0x00000003U + // .. USER_INP_ICT_EN_1 = 3 + // .. ==> 0XF8000900[3:2] = 0x00000003U + // .. ==> MASK : 0x0000000CU VAL : 0x0000000CU + // .. + EMIT_MASKWRITE(0XF8000900, 0x0000000FU ,0x0000000FU), + // .. FINISH: ENABLING LEVEL SHIFTER + // .. START: FPGA RESETS TO 0 + // .. reserved_3 = 0 + // .. ==> 0XF8000240[31:25] = 0x00000000U + // .. ==> MASK : 0xFE000000U VAL : 0x00000000U + // .. FPGA_ACP_RST = 0 + // .. ==> 0XF8000240[24:24] = 0x00000000U + // .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. FPGA_AXDS3_RST = 0 + // .. ==> 0XF8000240[23:23] = 0x00000000U + // .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. FPGA_AXDS2_RST = 0 + // .. ==> 0XF8000240[22:22] = 0x00000000U + // .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. FPGA_AXDS1_RST = 0 + // .. ==> 0XF8000240[21:21] = 0x00000000U + // .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. FPGA_AXDS0_RST = 0 + // .. ==> 0XF8000240[20:20] = 0x00000000U + // .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. reserved_2 = 0 + // .. ==> 0XF8000240[19:18] = 0x00000000U + // .. ==> MASK : 0x000C0000U VAL : 0x00000000U + // .. FSSW1_FPGA_RST = 0 + // .. ==> 0XF8000240[17:17] = 0x00000000U + // .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. FSSW0_FPGA_RST = 0 + // .. ==> 0XF8000240[16:16] = 0x00000000U + // .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. reserved_1 = 0 + // .. ==> 0XF8000240[15:14] = 0x00000000U + // .. ==> MASK : 0x0000C000U VAL : 0x00000000U + // .. FPGA_FMSW1_RST = 0 + // .. ==> 0XF8000240[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. FPGA_FMSW0_RST = 0 + // .. ==> 0XF8000240[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. FPGA_DMA3_RST = 0 + // .. ==> 0XF8000240[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. FPGA_DMA2_RST = 0 + // .. ==> 0XF8000240[10:10] = 0x00000000U + // .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. FPGA_DMA1_RST = 0 + // .. ==> 0XF8000240[9:9] = 0x00000000U + // .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. FPGA_DMA0_RST = 0 + // .. ==> 0XF8000240[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. reserved = 0 + // .. ==> 0XF8000240[7:4] = 0x00000000U + // .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. FPGA3_OUT_RST = 0 + // .. ==> 0XF8000240[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. FPGA2_OUT_RST = 0 + // .. ==> 0XF8000240[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. FPGA1_OUT_RST = 0 + // .. ==> 0XF8000240[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. FPGA0_OUT_RST = 0 + // .. ==> 0XF8000240[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000240, 0xFFFFFFFFU ,0x00000000U), + // .. FINISH: FPGA RESETS TO 0 + // .. START: AFI REGISTERS + // .. .. START: AFI0 REGISTERS + // .. .. FINISH: AFI0 REGISTERS + // .. .. START: AFI1 REGISTERS + // .. .. FINISH: AFI1 REGISTERS + // .. .. START: AFI2 REGISTERS + // .. .. FINISH: AFI2 REGISTERS + // .. .. START: AFI3 REGISTERS + // .. .. FINISH: AFI3 REGISTERS + // .. FINISH: AFI REGISTERS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_debug_2_0[] = { + // START: top + // .. START: CROSS TRIGGER CONFIGURATIONS + // .. .. START: UNLOCKING CTI REGISTERS + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8898FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8898FB0, 0xC5ACCE55U), + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8899FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8899FB0, 0xC5ACCE55U), + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8809FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8809FB0, 0xC5ACCE55U), + // .. .. FINISH: UNLOCKING CTI REGISTERS + // .. .. START: ENABLING CTI MODULES AND CHANNELS + // .. .. FINISH: ENABLING CTI MODULES AND CHANNELS + // .. .. START: MAPPING CPU0, CPU1 AND FTM EVENTS TO CTM CHANNELS + // .. .. FINISH: MAPPING CPU0, CPU1 AND FTM EVENTS TO CTM CHANNELS + // .. FINISH: CROSS TRIGGER CONFIGURATIONS + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_pll_init_data_1_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: PLL SLCR REGISTERS + // .. .. START: ARM PLL INIT + // .. .. PLL_RES = 0x4 + // .. .. ==> 0XF8000110[7:4] = 0x00000004U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000040U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000110[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0xfa + // .. .. ==> 0XF8000110[21:12] = 0x000000FAU + // .. .. ==> MASK : 0x003FF000U VAL : 0x000FA000U + // .. .. + EMIT_MASKWRITE(0XF8000110, 0x003FFFF0U ,0x000FA240U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x3c + // .. .. .. ==> 0XF8000100[18:12] = 0x0000003CU + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x0003C000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x0007F000U ,0x0003C000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000100[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000100[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000100[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. ARM_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000001U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000100[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. .. SRCSEL = 0x0 + // .. .. .. ==> 0XF8000120[5:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. .. DIVISOR = 0x2 + // .. .. .. ==> 0XF8000120[13:8] = 0x00000002U + // .. .. .. ==> MASK : 0x00003F00U VAL : 0x00000200U + // .. .. .. CPU_6OR4XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[24:24] = 0x00000001U + // .. .. .. ==> MASK : 0x01000000U VAL : 0x01000000U + // .. .. .. CPU_3OR2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[25:25] = 0x00000001U + // .. .. .. ==> MASK : 0x02000000U VAL : 0x02000000U + // .. .. .. CPU_2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[26:26] = 0x00000001U + // .. .. .. ==> MASK : 0x04000000U VAL : 0x04000000U + // .. .. .. CPU_1XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[27:27] = 0x00000001U + // .. .. .. ==> MASK : 0x08000000U VAL : 0x08000000U + // .. .. .. CPU_PERI_CLKACT = 0x1 + // .. .. .. ==> 0XF8000120[28:28] = 0x00000001U + // .. .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000120, 0x1F003F30U ,0x1F000200U), + // .. .. FINISH: ARM PLL INIT + // .. .. START: DDR PLL INIT + // .. .. PLL_RES = 0x2 + // .. .. ==> 0XF8000114[7:4] = 0x00000002U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000020U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000114[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0x12c + // .. .. ==> 0XF8000114[21:12] = 0x0000012CU + // .. .. ==> MASK : 0x003FF000U VAL : 0x0012C000U + // .. .. + EMIT_MASKWRITE(0XF8000114, 0x003FFFF0U ,0x0012C220U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x20 + // .. .. .. ==> 0XF8000104[18:12] = 0x00000020U + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x00020000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x0007F000U ,0x00020000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000104[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000104[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000104[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. DDR_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[1:1] = 0x00000001U + // .. .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000002U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000104[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. .. DDR_3XCLKACT = 0x1 + // .. .. .. ==> 0XF8000124[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. DDR_2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000124[1:1] = 0x00000001U + // .. .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. .. DDR_3XCLK_DIVISOR = 0x2 + // .. .. .. ==> 0XF8000124[25:20] = 0x00000002U + // .. .. .. ==> MASK : 0x03F00000U VAL : 0x00200000U + // .. .. .. DDR_2XCLK_DIVISOR = 0x3 + // .. .. .. ==> 0XF8000124[31:26] = 0x00000003U + // .. .. .. ==> MASK : 0xFC000000U VAL : 0x0C000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000124, 0xFFF00003U ,0x0C200003U), + // .. .. FINISH: DDR PLL INIT + // .. .. START: IO PLL INIT + // .. .. PLL_RES = 0x4 + // .. .. ==> 0XF8000118[7:4] = 0x00000004U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000040U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000118[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0xfa + // .. .. ==> 0XF8000118[21:12] = 0x000000FAU + // .. .. ==> MASK : 0x003FF000U VAL : 0x000FA000U + // .. .. + EMIT_MASKWRITE(0XF8000118, 0x003FFFF0U ,0x000FA240U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x3c + // .. .. .. ==> 0XF8000108[18:12] = 0x0000003CU + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x0003C000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x0007F000U ,0x0003C000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000108[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000108[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000108[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. IO_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[2:2] = 0x00000001U + // .. .. .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000004U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000108[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. FINISH: IO PLL INIT + // .. FINISH: PLL SLCR REGISTERS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_clock_init_data_1_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: CLOCK CONTROL SLCR REGISTERS + // .. CLKACT = 0x1 + // .. ==> 0XF8000128[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. DIVISOR0 = 0xf + // .. ==> 0XF8000128[13:8] = 0x0000000FU + // .. ==> MASK : 0x00003F00U VAL : 0x00000F00U + // .. DIVISOR1 = 0x7 + // .. ==> 0XF8000128[25:20] = 0x00000007U + // .. ==> MASK : 0x03F00000U VAL : 0x00700000U + // .. + EMIT_MASKWRITE(0XF8000128, 0x03F03F01U ,0x00700F01U), + // .. CLKACT = 0x1 + // .. ==> 0XF8000138[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000138[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000138, 0x00000011U ,0x00000001U), + // .. CLKACT = 0x1 + // .. ==> 0XF8000140[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000140[6:4] = 0x00000000U + // .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. DIVISOR = 0x10 + // .. ==> 0XF8000140[13:8] = 0x00000010U + // .. ==> MASK : 0x00003F00U VAL : 0x00001000U + // .. DIVISOR1 = 0x1 + // .. ==> 0XF8000140[25:20] = 0x00000001U + // .. ==> MASK : 0x03F00000U VAL : 0x00100000U + // .. + EMIT_MASKWRITE(0XF8000140, 0x03F03F71U ,0x00101001U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000150[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x0 + // .. ==> 0XF8000150[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000150[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0x14 + // .. ==> 0XF8000150[13:8] = 0x00000014U + // .. ==> MASK : 0x00003F00U VAL : 0x00001400U + // .. + EMIT_MASKWRITE(0XF8000150, 0x00003F33U ,0x00001401U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000154[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x1 + // .. ==> 0XF8000154[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000154[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0x14 + // .. ==> 0XF8000154[13:8] = 0x00000014U + // .. ==> MASK : 0x00003F00U VAL : 0x00001400U + // .. + EMIT_MASKWRITE(0XF8000154, 0x00003F33U ,0x00001403U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000158[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x1 + // .. ==> 0XF8000158[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000158[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0xc + // .. ==> 0XF8000158[13:8] = 0x0000000CU + // .. ==> MASK : 0x00003F00U VAL : 0x00000C00U + // .. + EMIT_MASKWRITE(0XF8000158, 0x00003F33U ,0x00000C03U), + // .. .. START: TRACE CLOCK + // .. .. FINISH: TRACE CLOCK + // .. .. CLKACT = 0x1 + // .. .. ==> 0XF8000168[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000168[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR = 0xa + // .. .. ==> 0XF8000168[13:8] = 0x0000000AU + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000A00U + // .. .. + EMIT_MASKWRITE(0XF8000168, 0x00003F31U ,0x00000A01U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000170[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x5 + // .. .. ==> 0XF8000170[13:8] = 0x00000005U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000500U + // .. .. DIVISOR1 = 0x4 + // .. .. ==> 0XF8000170[25:20] = 0x00000004U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00400000U + // .. .. + EMIT_MASKWRITE(0XF8000170, 0x03F03F30U ,0x00400500U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000180[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0xa + // .. .. ==> 0XF8000180[13:8] = 0x0000000AU + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000A00U + // .. .. DIVISOR1 = 0x5 + // .. .. ==> 0XF8000180[25:20] = 0x00000005U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00500000U + // .. .. + EMIT_MASKWRITE(0XF8000180, 0x03F03F30U ,0x00500A00U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000190[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x4 + // .. .. ==> 0XF8000190[13:8] = 0x00000004U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000400U + // .. .. DIVISOR1 = 0x3 + // .. .. ==> 0XF8000190[25:20] = 0x00000003U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00300000U + // .. .. + EMIT_MASKWRITE(0XF8000190, 0x03F03F30U ,0x00300400U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF80001A0[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x5 + // .. .. ==> 0XF80001A0[13:8] = 0x00000005U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000500U + // .. .. DIVISOR1 = 0x2 + // .. .. ==> 0XF80001A0[25:20] = 0x00000002U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00200000U + // .. .. + EMIT_MASKWRITE(0XF80001A0, 0x03F03F30U ,0x00200500U), + // .. .. CLK_621_TRUE = 0x1 + // .. .. ==> 0XF80001C4[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. + EMIT_MASKWRITE(0XF80001C4, 0x00000001U ,0x00000001U), + // .. .. DMA_CPU_2XCLKACT = 0x1 + // .. .. ==> 0XF800012C[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. USB0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[2:2] = 0x00000001U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. .. USB1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[3:3] = 0x00000001U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000008U + // .. .. GEM0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[6:6] = 0x00000001U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000040U + // .. .. GEM1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. SDI0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[10:10] = 0x00000001U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000400U + // .. .. SDI1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. SPI0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[14:14] = 0x00000001U + // .. .. ==> MASK : 0x00004000U VAL : 0x00004000U + // .. .. SPI1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[15:15] = 0x00000001U + // .. .. ==> MASK : 0x00008000U VAL : 0x00008000U + // .. .. CAN0_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. CAN1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. I2C0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[18:18] = 0x00000001U + // .. .. ==> MASK : 0x00040000U VAL : 0x00040000U + // .. .. I2C1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. UART0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[20:20] = 0x00000001U + // .. .. ==> MASK : 0x00100000U VAL : 0x00100000U + // .. .. UART1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[21:21] = 0x00000001U + // .. .. ==> MASK : 0x00200000U VAL : 0x00200000U + // .. .. GPIO_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[22:22] = 0x00000001U + // .. .. ==> MASK : 0x00400000U VAL : 0x00400000U + // .. .. LQSPI_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. SMC_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[24:24] = 0x00000001U + // .. .. ==> MASK : 0x01000000U VAL : 0x01000000U + // .. .. + EMIT_MASKWRITE(0XF800012C, 0x01FFCCCDU ,0x017CC44DU), + // .. FINISH: CLOCK CONTROL SLCR REGISTERS + // .. START: THIS SHOULD BE BLANK + // .. FINISH: THIS SHOULD BE BLANK + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_ddr_init_data_1_0[] = { + // START: top + // .. START: DDR INITIALIZATION + // .. .. START: LOCK DDR + // .. .. reg_ddrc_soft_rstb = 0 + // .. .. ==> 0XF8006000[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_powerdown_en = 0x0 + // .. .. ==> 0XF8006000[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_data_bus_width = 0x0 + // .. .. ==> 0XF8006000[3:2] = 0x00000000U + // .. .. ==> MASK : 0x0000000CU VAL : 0x00000000U + // .. .. reg_ddrc_burst8_refresh = 0x0 + // .. .. ==> 0XF8006000[6:4] = 0x00000000U + // .. .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. .. reg_ddrc_rdwr_idle_gap = 0x1 + // .. .. ==> 0XF8006000[13:7] = 0x00000001U + // .. .. ==> MASK : 0x00003F80U VAL : 0x00000080U + // .. .. reg_ddrc_dis_rd_bypass = 0x0 + // .. .. ==> 0XF8006000[14:14] = 0x00000000U + // .. .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_act_bypass = 0x0 + // .. .. ==> 0XF8006000[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_auto_refresh = 0x0 + // .. .. ==> 0XF8006000[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000080U), + // .. .. FINISH: LOCK DDR + // .. .. reg_ddrc_t_rfc_nom_x32 = 0x81 + // .. .. ==> 0XF8006004[11:0] = 0x00000081U + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000081U + // .. .. reg_ddrc_active_ranks = 0x1 + // .. .. ==> 0XF8006004[13:12] = 0x00000001U + // .. .. ==> MASK : 0x00003000U VAL : 0x00001000U + // .. .. reg_ddrc_addrmap_cs_bit0 = 0x0 + // .. .. ==> 0XF8006004[18:14] = 0x00000000U + // .. .. ==> MASK : 0x0007C000U VAL : 0x00000000U + // .. .. reg_ddrc_wr_odt_block = 0x1 + // .. .. ==> 0XF8006004[20:19] = 0x00000001U + // .. .. ==> MASK : 0x00180000U VAL : 0x00080000U + // .. .. reg_ddrc_diff_rank_rd_2cycle_gap = 0x0 + // .. .. ==> 0XF8006004[21:21] = 0x00000000U + // .. .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_cs_bit1 = 0x0 + // .. .. ==> 0XF8006004[26:22] = 0x00000000U + // .. .. ==> MASK : 0x07C00000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_open_bank = 0x0 + // .. .. ==> 0XF8006004[27:27] = 0x00000000U + // .. .. ==> MASK : 0x08000000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_4bank_ram = 0x0 + // .. .. ==> 0XF8006004[28:28] = 0x00000000U + // .. .. ==> MASK : 0x10000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006004, 0x1FFFFFFFU ,0x00081081U), + // .. .. reg_ddrc_hpr_min_non_critical_x32 = 0xf + // .. .. ==> 0XF8006008[10:0] = 0x0000000FU + // .. .. ==> MASK : 0x000007FFU VAL : 0x0000000FU + // .. .. reg_ddrc_hpr_max_starve_x32 = 0xf + // .. .. ==> 0XF8006008[21:11] = 0x0000000FU + // .. .. ==> MASK : 0x003FF800U VAL : 0x00007800U + // .. .. reg_ddrc_hpr_xact_run_length = 0xf + // .. .. ==> 0XF8006008[25:22] = 0x0000000FU + // .. .. ==> MASK : 0x03C00000U VAL : 0x03C00000U + // .. .. + EMIT_MASKWRITE(0XF8006008, 0x03FFFFFFU ,0x03C0780FU), + // .. .. reg_ddrc_lpr_min_non_critical_x32 = 0x1 + // .. .. ==> 0XF800600C[10:0] = 0x00000001U + // .. .. ==> MASK : 0x000007FFU VAL : 0x00000001U + // .. .. reg_ddrc_lpr_max_starve_x32 = 0x2 + // .. .. ==> 0XF800600C[21:11] = 0x00000002U + // .. .. ==> MASK : 0x003FF800U VAL : 0x00001000U + // .. .. reg_ddrc_lpr_xact_run_length = 0x8 + // .. .. ==> 0XF800600C[25:22] = 0x00000008U + // .. .. ==> MASK : 0x03C00000U VAL : 0x02000000U + // .. .. + EMIT_MASKWRITE(0XF800600C, 0x03FFFFFFU ,0x02001001U), + // .. .. reg_ddrc_w_min_non_critical_x32 = 0x1 + // .. .. ==> 0XF8006010[10:0] = 0x00000001U + // .. .. ==> MASK : 0x000007FFU VAL : 0x00000001U + // .. .. reg_ddrc_w_xact_run_length = 0x8 + // .. .. ==> 0XF8006010[14:11] = 0x00000008U + // .. .. ==> MASK : 0x00007800U VAL : 0x00004000U + // .. .. reg_ddrc_w_max_starve_x32 = 0x2 + // .. .. ==> 0XF8006010[25:15] = 0x00000002U + // .. .. ==> MASK : 0x03FF8000U VAL : 0x00010000U + // .. .. + EMIT_MASKWRITE(0XF8006010, 0x03FFFFFFU ,0x00014001U), + // .. .. reg_ddrc_t_rc = 0x1a + // .. .. ==> 0XF8006014[5:0] = 0x0000001AU + // .. .. ==> MASK : 0x0000003FU VAL : 0x0000001AU + // .. .. reg_ddrc_t_rfc_min = 0xa0 + // .. .. ==> 0XF8006014[13:6] = 0x000000A0U + // .. .. ==> MASK : 0x00003FC0U VAL : 0x00002800U + // .. .. reg_ddrc_post_selfref_gap_x32 = 0x10 + // .. .. ==> 0XF8006014[20:14] = 0x00000010U + // .. .. ==> MASK : 0x001FC000U VAL : 0x00040000U + // .. .. + EMIT_MASKWRITE(0XF8006014, 0x001FFFFFU ,0x0004281AU), + // .. .. reg_ddrc_wr2pre = 0x12 + // .. .. ==> 0XF8006018[4:0] = 0x00000012U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000012U + // .. .. reg_ddrc_powerdown_to_x32 = 0x6 + // .. .. ==> 0XF8006018[9:5] = 0x00000006U + // .. .. ==> MASK : 0x000003E0U VAL : 0x000000C0U + // .. .. reg_ddrc_t_faw = 0x16 + // .. .. ==> 0XF8006018[15:10] = 0x00000016U + // .. .. ==> MASK : 0x0000FC00U VAL : 0x00005800U + // .. .. reg_ddrc_t_ras_max = 0x24 + // .. .. ==> 0XF8006018[21:16] = 0x00000024U + // .. .. ==> MASK : 0x003F0000U VAL : 0x00240000U + // .. .. reg_ddrc_t_ras_min = 0x13 + // .. .. ==> 0XF8006018[26:22] = 0x00000013U + // .. .. ==> MASK : 0x07C00000U VAL : 0x04C00000U + // .. .. reg_ddrc_t_cke = 0x4 + // .. .. ==> 0XF8006018[31:28] = 0x00000004U + // .. .. ==> MASK : 0xF0000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006018, 0xF7FFFFFFU ,0x44E458D2U), + // .. .. reg_ddrc_write_latency = 0x5 + // .. .. ==> 0XF800601C[4:0] = 0x00000005U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000005U + // .. .. reg_ddrc_rd2wr = 0x7 + // .. .. ==> 0XF800601C[9:5] = 0x00000007U + // .. .. ==> MASK : 0x000003E0U VAL : 0x000000E0U + // .. .. reg_ddrc_wr2rd = 0xe + // .. .. ==> 0XF800601C[14:10] = 0x0000000EU + // .. .. ==> MASK : 0x00007C00U VAL : 0x00003800U + // .. .. reg_ddrc_t_xp = 0x4 + // .. .. ==> 0XF800601C[19:15] = 0x00000004U + // .. .. ==> MASK : 0x000F8000U VAL : 0x00020000U + // .. .. reg_ddrc_pad_pd = 0x0 + // .. .. ==> 0XF800601C[22:20] = 0x00000000U + // .. .. ==> MASK : 0x00700000U VAL : 0x00000000U + // .. .. reg_ddrc_rd2pre = 0x4 + // .. .. ==> 0XF800601C[27:23] = 0x00000004U + // .. .. ==> MASK : 0x0F800000U VAL : 0x02000000U + // .. .. reg_ddrc_t_rcd = 0x7 + // .. .. ==> 0XF800601C[31:28] = 0x00000007U + // .. .. ==> MASK : 0xF0000000U VAL : 0x70000000U + // .. .. + EMIT_MASKWRITE(0XF800601C, 0xFFFFFFFFU ,0x720238E5U), + // .. .. reg_ddrc_t_ccd = 0x4 + // .. .. ==> 0XF8006020[4:2] = 0x00000004U + // .. .. ==> MASK : 0x0000001CU VAL : 0x00000010U + // .. .. reg_ddrc_t_rrd = 0x6 + // .. .. ==> 0XF8006020[7:5] = 0x00000006U + // .. .. ==> MASK : 0x000000E0U VAL : 0x000000C0U + // .. .. reg_ddrc_refresh_margin = 0x2 + // .. .. ==> 0XF8006020[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. reg_ddrc_t_rp = 0x7 + // .. .. ==> 0XF8006020[15:12] = 0x00000007U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00007000U + // .. .. reg_ddrc_refresh_to_x32 = 0x8 + // .. .. ==> 0XF8006020[20:16] = 0x00000008U + // .. .. ==> MASK : 0x001F0000U VAL : 0x00080000U + // .. .. reg_ddrc_sdram = 0x1 + // .. .. ==> 0XF8006020[21:21] = 0x00000001U + // .. .. ==> MASK : 0x00200000U VAL : 0x00200000U + // .. .. reg_ddrc_mobile = 0x0 + // .. .. ==> 0XF8006020[22:22] = 0x00000000U + // .. .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. .. reg_ddrc_clock_stop_en = 0x0 + // .. .. ==> 0XF8006020[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. reg_ddrc_read_latency = 0x7 + // .. .. ==> 0XF8006020[28:24] = 0x00000007U + // .. .. ==> MASK : 0x1F000000U VAL : 0x07000000U + // .. .. reg_phy_mode_ddr1_ddr2 = 0x1 + // .. .. ==> 0XF8006020[29:29] = 0x00000001U + // .. .. ==> MASK : 0x20000000U VAL : 0x20000000U + // .. .. reg_ddrc_dis_pad_pd = 0x0 + // .. .. ==> 0XF8006020[30:30] = 0x00000000U + // .. .. ==> MASK : 0x40000000U VAL : 0x00000000U + // .. .. reg_ddrc_loopback = 0x0 + // .. .. ==> 0XF8006020[31:31] = 0x00000000U + // .. .. ==> MASK : 0x80000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006020, 0xFFFFFFFCU ,0x272872D0U), + // .. .. reg_ddrc_en_2t_timing_mode = 0x0 + // .. .. ==> 0XF8006024[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_prefer_write = 0x0 + // .. .. ==> 0XF8006024[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_max_rank_rd = 0xf + // .. .. ==> 0XF8006024[5:2] = 0x0000000FU + // .. .. ==> MASK : 0x0000003CU VAL : 0x0000003CU + // .. .. reg_ddrc_mr_wr = 0x0 + // .. .. ==> 0XF8006024[6:6] = 0x00000000U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. .. reg_ddrc_mr_addr = 0x0 + // .. .. ==> 0XF8006024[8:7] = 0x00000000U + // .. .. ==> MASK : 0x00000180U VAL : 0x00000000U + // .. .. reg_ddrc_mr_data = 0x0 + // .. .. ==> 0XF8006024[24:9] = 0x00000000U + // .. .. ==> MASK : 0x01FFFE00U VAL : 0x00000000U + // .. .. ddrc_reg_mr_wr_busy = 0x0 + // .. .. ==> 0XF8006024[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. reg_ddrc_mr_type = 0x0 + // .. .. ==> 0XF8006024[26:26] = 0x00000000U + // .. .. ==> MASK : 0x04000000U VAL : 0x00000000U + // .. .. reg_ddrc_mr_rdata_valid = 0x0 + // .. .. ==> 0XF8006024[27:27] = 0x00000000U + // .. .. ==> MASK : 0x08000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006024, 0x0FFFFFFFU ,0x0000003CU), + // .. .. reg_ddrc_final_wait_x32 = 0x7 + // .. .. ==> 0XF8006028[6:0] = 0x00000007U + // .. .. ==> MASK : 0x0000007FU VAL : 0x00000007U + // .. .. reg_ddrc_pre_ocd_x32 = 0x0 + // .. .. ==> 0XF8006028[10:7] = 0x00000000U + // .. .. ==> MASK : 0x00000780U VAL : 0x00000000U + // .. .. reg_ddrc_t_mrd = 0x4 + // .. .. ==> 0XF8006028[13:11] = 0x00000004U + // .. .. ==> MASK : 0x00003800U VAL : 0x00002000U + // .. .. + EMIT_MASKWRITE(0XF8006028, 0x00003FFFU ,0x00002007U), + // .. .. reg_ddrc_emr2 = 0x8 + // .. .. ==> 0XF800602C[15:0] = 0x00000008U + // .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. reg_ddrc_emr3 = 0x0 + // .. .. ==> 0XF800602C[31:16] = 0x00000000U + // .. .. ==> MASK : 0xFFFF0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800602C, 0xFFFFFFFFU ,0x00000008U), + // .. .. reg_ddrc_mr = 0x930 + // .. .. ==> 0XF8006030[15:0] = 0x00000930U + // .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000930U + // .. .. reg_ddrc_emr = 0x4 + // .. .. ==> 0XF8006030[31:16] = 0x00000004U + // .. .. ==> MASK : 0xFFFF0000U VAL : 0x00040000U + // .. .. + EMIT_MASKWRITE(0XF8006030, 0xFFFFFFFFU ,0x00040930U), + // .. .. reg_ddrc_burst_rdwr = 0x4 + // .. .. ==> 0XF8006034[3:0] = 0x00000004U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000004U + // .. .. reg_ddrc_pre_cke_x1024 = 0x16d + // .. .. ==> 0XF8006034[13:4] = 0x0000016DU + // .. .. ==> MASK : 0x00003FF0U VAL : 0x000016D0U + // .. .. reg_ddrc_post_cke_x1024 = 0x1 + // .. .. ==> 0XF8006034[25:16] = 0x00000001U + // .. .. ==> MASK : 0x03FF0000U VAL : 0x00010000U + // .. .. reg_ddrc_burstchop = 0x0 + // .. .. ==> 0XF8006034[28:28] = 0x00000000U + // .. .. ==> MASK : 0x10000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006034, 0x13FF3FFFU ,0x000116D4U), + // .. .. reg_ddrc_force_low_pri_n = 0x0 + // .. .. ==> 0XF8006038[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_dis_dq = 0x0 + // .. .. ==> 0XF8006038[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_debug_mode = 0x0 + // .. .. ==> 0XF8006038[6:6] = 0x00000000U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. .. reg_phy_wr_level_start = 0x0 + // .. .. ==> 0XF8006038[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. reg_phy_rd_level_start = 0x0 + // .. .. ==> 0XF8006038[8:8] = 0x00000000U + // .. .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. .. reg_phy_dq0_wait_t = 0x0 + // .. .. ==> 0XF8006038[12:9] = 0x00000000U + // .. .. ==> MASK : 0x00001E00U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006038, 0x00001FC3U ,0x00000000U), + // .. .. reg_ddrc_addrmap_bank_b0 = 0x7 + // .. .. ==> 0XF800603C[3:0] = 0x00000007U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000007U + // .. .. reg_ddrc_addrmap_bank_b1 = 0x7 + // .. .. ==> 0XF800603C[7:4] = 0x00000007U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000070U + // .. .. reg_ddrc_addrmap_bank_b2 = 0x7 + // .. .. ==> 0XF800603C[11:8] = 0x00000007U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000700U + // .. .. reg_ddrc_addrmap_col_b5 = 0x0 + // .. .. ==> 0XF800603C[15:12] = 0x00000000U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b6 = 0x0 + // .. .. ==> 0XF800603C[19:16] = 0x00000000U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800603C, 0x000FFFFFU ,0x00000777U), + // .. .. reg_ddrc_addrmap_col_b2 = 0x0 + // .. .. ==> 0XF8006040[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b3 = 0x0 + // .. .. ==> 0XF8006040[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b4 = 0x0 + // .. .. ==> 0XF8006040[11:8] = 0x00000000U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b7 = 0x0 + // .. .. ==> 0XF8006040[15:12] = 0x00000000U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b8 = 0x0 + // .. .. ==> 0XF8006040[19:16] = 0x00000000U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b9 = 0xf + // .. .. ==> 0XF8006040[23:20] = 0x0000000FU + // .. .. ==> MASK : 0x00F00000U VAL : 0x00F00000U + // .. .. reg_ddrc_addrmap_col_b10 = 0xf + // .. .. ==> 0XF8006040[27:24] = 0x0000000FU + // .. .. ==> MASK : 0x0F000000U VAL : 0x0F000000U + // .. .. reg_ddrc_addrmap_col_b11 = 0xf + // .. .. ==> 0XF8006040[31:28] = 0x0000000FU + // .. .. ==> MASK : 0xF0000000U VAL : 0xF0000000U + // .. .. + EMIT_MASKWRITE(0XF8006040, 0xFFFFFFFFU ,0xFFF00000U), + // .. .. reg_ddrc_addrmap_row_b0 = 0x6 + // .. .. ==> 0XF8006044[3:0] = 0x00000006U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000006U + // .. .. reg_ddrc_addrmap_row_b1 = 0x6 + // .. .. ==> 0XF8006044[7:4] = 0x00000006U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000060U + // .. .. reg_ddrc_addrmap_row_b2_11 = 0x6 + // .. .. ==> 0XF8006044[11:8] = 0x00000006U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000600U + // .. .. reg_ddrc_addrmap_row_b12 = 0x6 + // .. .. ==> 0XF8006044[15:12] = 0x00000006U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00006000U + // .. .. reg_ddrc_addrmap_row_b13 = 0x6 + // .. .. ==> 0XF8006044[19:16] = 0x00000006U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00060000U + // .. .. reg_ddrc_addrmap_row_b14 = 0x6 + // .. .. ==> 0XF8006044[23:20] = 0x00000006U + // .. .. ==> MASK : 0x00F00000U VAL : 0x00600000U + // .. .. reg_ddrc_addrmap_row_b15 = 0xf + // .. .. ==> 0XF8006044[27:24] = 0x0000000FU + // .. .. ==> MASK : 0x0F000000U VAL : 0x0F000000U + // .. .. + EMIT_MASKWRITE(0XF8006044, 0x0FFFFFFFU ,0x0F666666U), + // .. .. reg_ddrc_rank0_rd_odt = 0x0 + // .. .. ==> 0XF8006048[2:0] = 0x00000000U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000000U + // .. .. reg_ddrc_rank0_wr_odt = 0x1 + // .. .. ==> 0XF8006048[5:3] = 0x00000001U + // .. .. ==> MASK : 0x00000038U VAL : 0x00000008U + // .. .. reg_ddrc_rank1_rd_odt = 0x1 + // .. .. ==> 0XF8006048[8:6] = 0x00000001U + // .. .. ==> MASK : 0x000001C0U VAL : 0x00000040U + // .. .. reg_ddrc_rank1_wr_odt = 0x1 + // .. .. ==> 0XF8006048[11:9] = 0x00000001U + // .. .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. .. reg_phy_rd_local_odt = 0x0 + // .. .. ==> 0XF8006048[13:12] = 0x00000000U + // .. .. ==> MASK : 0x00003000U VAL : 0x00000000U + // .. .. reg_phy_wr_local_odt = 0x3 + // .. .. ==> 0XF8006048[15:14] = 0x00000003U + // .. .. ==> MASK : 0x0000C000U VAL : 0x0000C000U + // .. .. reg_phy_idle_local_odt = 0x3 + // .. .. ==> 0XF8006048[17:16] = 0x00000003U + // .. .. ==> MASK : 0x00030000U VAL : 0x00030000U + // .. .. reg_ddrc_rank2_rd_odt = 0x0 + // .. .. ==> 0XF8006048[20:18] = 0x00000000U + // .. .. ==> MASK : 0x001C0000U VAL : 0x00000000U + // .. .. reg_ddrc_rank2_wr_odt = 0x0 + // .. .. ==> 0XF8006048[23:21] = 0x00000000U + // .. .. ==> MASK : 0x00E00000U VAL : 0x00000000U + // .. .. reg_ddrc_rank3_rd_odt = 0x0 + // .. .. ==> 0XF8006048[26:24] = 0x00000000U + // .. .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. .. reg_ddrc_rank3_wr_odt = 0x0 + // .. .. ==> 0XF8006048[29:27] = 0x00000000U + // .. .. ==> MASK : 0x38000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006048, 0x3FFFFFFFU ,0x0003C248U), + // .. .. reg_phy_rd_cmd_to_data = 0x0 + // .. .. ==> 0XF8006050[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_phy_wr_cmd_to_data = 0x0 + // .. .. ==> 0XF8006050[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_phy_rdc_we_to_re_delay = 0x8 + // .. .. ==> 0XF8006050[11:8] = 0x00000008U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000800U + // .. .. reg_phy_rdc_fifo_rst_disable = 0x0 + // .. .. ==> 0XF8006050[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_phy_use_fixed_re = 0x1 + // .. .. ==> 0XF8006050[16:16] = 0x00000001U + // .. .. ==> MASK : 0x00010000U VAL : 0x00010000U + // .. .. reg_phy_rdc_fifo_rst_err_cnt_clr = 0x0 + // .. .. ==> 0XF8006050[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_phy_dis_phy_ctrl_rstn = 0x0 + // .. .. ==> 0XF8006050[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_phy_clk_stall_level = 0x0 + // .. .. ==> 0XF8006050[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_num_of_dq0 = 0x7 + // .. .. ==> 0XF8006050[27:24] = 0x00000007U + // .. .. ==> MASK : 0x0F000000U VAL : 0x07000000U + // .. .. reg_phy_wrlvl_num_of_dq0 = 0x7 + // .. .. ==> 0XF8006050[31:28] = 0x00000007U + // .. .. ==> MASK : 0xF0000000U VAL : 0x70000000U + // .. .. + EMIT_MASKWRITE(0XF8006050, 0xFF0F8FFFU ,0x77010800U), + // .. .. reg_ddrc_dll_calib_to_min_x1024 = 0x1 + // .. .. ==> 0XF8006058[7:0] = 0x00000001U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000001U + // .. .. reg_ddrc_dll_calib_to_max_x1024 = 0x1 + // .. .. ==> 0XF8006058[15:8] = 0x00000001U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00000100U + // .. .. reg_ddrc_dis_dll_calib = 0x0 + // .. .. ==> 0XF8006058[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006058, 0x0001FFFFU ,0x00000101U), + // .. .. reg_ddrc_rd_odt_delay = 0x3 + // .. .. ==> 0XF800605C[3:0] = 0x00000003U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000003U + // .. .. reg_ddrc_wr_odt_delay = 0x0 + // .. .. ==> 0XF800605C[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_ddrc_rd_odt_hold = 0x0 + // .. .. ==> 0XF800605C[11:8] = 0x00000000U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000000U + // .. .. reg_ddrc_wr_odt_hold = 0x5 + // .. .. ==> 0XF800605C[15:12] = 0x00000005U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00005000U + // .. .. + EMIT_MASKWRITE(0XF800605C, 0x0000FFFFU ,0x00005003U), + // .. .. reg_ddrc_pageclose = 0x0 + // .. .. ==> 0XF8006060[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_lpr_num_entries = 0x1f + // .. .. ==> 0XF8006060[6:1] = 0x0000001FU + // .. .. ==> MASK : 0x0000007EU VAL : 0x0000003EU + // .. .. reg_ddrc_auto_pre_en = 0x0 + // .. .. ==> 0XF8006060[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. reg_ddrc_refresh_update_level = 0x0 + // .. .. ==> 0XF8006060[8:8] = 0x00000000U + // .. .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. .. reg_ddrc_dis_wc = 0x0 + // .. .. ==> 0XF8006060[9:9] = 0x00000000U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. .. reg_ddrc_dis_collision_page_opt = 0x0 + // .. .. ==> 0XF8006060[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_ddrc_selfref_en = 0x0 + // .. .. ==> 0XF8006060[12:12] = 0x00000000U + // .. .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006060, 0x000017FFU ,0x0000003EU), + // .. .. reg_ddrc_go2critical_hysteresis = 0x0 + // .. .. ==> 0XF8006064[12:5] = 0x00000000U + // .. .. ==> MASK : 0x00001FE0U VAL : 0x00000000U + // .. .. reg_arb_go2critical_en = 0x1 + // .. .. ==> 0XF8006064[17:17] = 0x00000001U + // .. .. ==> MASK : 0x00020000U VAL : 0x00020000U + // .. .. + EMIT_MASKWRITE(0XF8006064, 0x00021FE0U ,0x00020000U), + // .. .. reg_ddrc_wrlvl_ww = 0x41 + // .. .. ==> 0XF8006068[7:0] = 0x00000041U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000041U + // .. .. reg_ddrc_rdlvl_rr = 0x41 + // .. .. ==> 0XF8006068[15:8] = 0x00000041U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00004100U + // .. .. reg_ddrc_dfi_t_wlmrd = 0x28 + // .. .. ==> 0XF8006068[25:16] = 0x00000028U + // .. .. ==> MASK : 0x03FF0000U VAL : 0x00280000U + // .. .. + EMIT_MASKWRITE(0XF8006068, 0x03FFFFFFU ,0x00284141U), + // .. .. dfi_t_ctrlupd_interval_min_x1024 = 0x10 + // .. .. ==> 0XF800606C[7:0] = 0x00000010U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000010U + // .. .. dfi_t_ctrlupd_interval_max_x1024 = 0x16 + // .. .. ==> 0XF800606C[15:8] = 0x00000016U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00001600U + // .. .. + EMIT_MASKWRITE(0XF800606C, 0x0000FFFFU ,0x00001610U), + // .. .. refresh_timer0_start_value_x32 = 0x0 + // .. .. ==> 0XF80060A0[11:0] = 0x00000000U + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000000U + // .. .. refresh_timer1_start_value_x32 = 0x8 + // .. .. ==> 0XF80060A0[23:12] = 0x00000008U + // .. .. ==> MASK : 0x00FFF000U VAL : 0x00008000U + // .. .. + EMIT_MASKWRITE(0XF80060A0, 0x00FFFFFFU ,0x00008000U), + // .. .. reg_ddrc_dis_auto_zq = 0x0 + // .. .. ==> 0XF80060A4[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_ddr3 = 0x1 + // .. .. ==> 0XF80060A4[1:1] = 0x00000001U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. reg_ddrc_t_mod = 0x200 + // .. .. ==> 0XF80060A4[11:2] = 0x00000200U + // .. .. ==> MASK : 0x00000FFCU VAL : 0x00000800U + // .. .. reg_ddrc_t_zq_long_nop = 0x200 + // .. .. ==> 0XF80060A4[21:12] = 0x00000200U + // .. .. ==> MASK : 0x003FF000U VAL : 0x00200000U + // .. .. reg_ddrc_t_zq_short_nop = 0x40 + // .. .. ==> 0XF80060A4[31:22] = 0x00000040U + // .. .. ==> MASK : 0xFFC00000U VAL : 0x10000000U + // .. .. + EMIT_MASKWRITE(0XF80060A4, 0xFFFFFFFFU ,0x10200802U), + // .. .. t_zq_short_interval_x1024 = 0xcb73 + // .. .. ==> 0XF80060A8[19:0] = 0x0000CB73U + // .. .. ==> MASK : 0x000FFFFFU VAL : 0x0000CB73U + // .. .. dram_rstn_x1024 = 0x69 + // .. .. ==> 0XF80060A8[27:20] = 0x00000069U + // .. .. ==> MASK : 0x0FF00000U VAL : 0x06900000U + // .. .. + EMIT_MASKWRITE(0XF80060A8, 0x0FFFFFFFU ,0x0690CB73U), + // .. .. deeppowerdown_en = 0x0 + // .. .. ==> 0XF80060AC[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. deeppowerdown_to_x1024 = 0xff + // .. .. ==> 0XF80060AC[8:1] = 0x000000FFU + // .. .. ==> MASK : 0x000001FEU VAL : 0x000001FEU + // .. .. + EMIT_MASKWRITE(0XF80060AC, 0x000001FFU ,0x000001FEU), + // .. .. dfi_wrlvl_max_x1024 = 0xfff + // .. .. ==> 0XF80060B0[11:0] = 0x00000FFFU + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000FFFU + // .. .. dfi_rdlvl_max_x1024 = 0xfff + // .. .. ==> 0XF80060B0[23:12] = 0x00000FFFU + // .. .. ==> MASK : 0x00FFF000U VAL : 0x00FFF000U + // .. .. ddrc_reg_twrlvl_max_error = 0x0 + // .. .. ==> 0XF80060B0[24:24] = 0x00000000U + // .. .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. .. ddrc_reg_trdlvl_max_error = 0x0 + // .. .. ==> 0XF80060B0[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. reg_ddrc_dfi_wr_level_en = 0x1 + // .. .. ==> 0XF80060B0[26:26] = 0x00000001U + // .. .. ==> MASK : 0x04000000U VAL : 0x04000000U + // .. .. reg_ddrc_dfi_rd_dqs_gate_level = 0x1 + // .. .. ==> 0XF80060B0[27:27] = 0x00000001U + // .. .. ==> MASK : 0x08000000U VAL : 0x08000000U + // .. .. reg_ddrc_dfi_rd_data_eye_train = 0x1 + // .. .. ==> 0XF80060B0[28:28] = 0x00000001U + // .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. + EMIT_MASKWRITE(0XF80060B0, 0x1FFFFFFFU ,0x1CFFFFFFU), + // .. .. reg_ddrc_2t_delay = 0x0 + // .. .. ==> 0XF80060B4[8:0] = 0x00000000U + // .. .. ==> MASK : 0x000001FFU VAL : 0x00000000U + // .. .. reg_ddrc_skip_ocd = 0x1 + // .. .. ==> 0XF80060B4[9:9] = 0x00000001U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000200U + // .. .. reg_ddrc_dis_pre_bypass = 0x0 + // .. .. ==> 0XF80060B4[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060B4, 0x000007FFU ,0x00000200U), + // .. .. reg_ddrc_dfi_t_rddata_en = 0x6 + // .. .. ==> 0XF80060B8[4:0] = 0x00000006U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000006U + // .. .. reg_ddrc_dfi_t_ctrlup_min = 0x3 + // .. .. ==> 0XF80060B8[14:5] = 0x00000003U + // .. .. ==> MASK : 0x00007FE0U VAL : 0x00000060U + // .. .. reg_ddrc_dfi_t_ctrlup_max = 0x40 + // .. .. ==> 0XF80060B8[24:15] = 0x00000040U + // .. .. ==> MASK : 0x01FF8000U VAL : 0x00200000U + // .. .. + EMIT_MASKWRITE(0XF80060B8, 0x01FFFFFFU ,0x00200066U), + // .. .. Clear_Uncorrectable_DRAM_ECC_error = 0x0 + // .. .. ==> 0XF80060C4[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. Clear_Correctable_DRAM_ECC_error = 0x0 + // .. .. ==> 0XF80060C4[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060C4, 0x00000003U ,0x00000000U), + // .. .. CORR_ECC_LOG_VALID = 0x0 + // .. .. ==> 0XF80060C8[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. ECC_CORRECTED_BIT_NUM = 0x0 + // .. .. ==> 0XF80060C8[7:1] = 0x00000000U + // .. .. ==> MASK : 0x000000FEU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060C8, 0x000000FFU ,0x00000000U), + // .. .. UNCORR_ECC_LOG_VALID = 0x0 + // .. .. ==> 0XF80060DC[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060DC, 0x00000001U ,0x00000000U), + // .. .. STAT_NUM_CORR_ERR = 0x0 + // .. .. ==> 0XF80060F0[15:8] = 0x00000000U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00000000U + // .. .. STAT_NUM_UNCORR_ERR = 0x0 + // .. .. ==> 0XF80060F0[7:0] = 0x00000000U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060F0, 0x0000FFFFU ,0x00000000U), + // .. .. reg_ddrc_ecc_mode = 0x0 + // .. .. ==> 0XF80060F4[2:0] = 0x00000000U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000000U + // .. .. reg_ddrc_dis_scrub = 0x1 + // .. .. ==> 0XF80060F4[3:3] = 0x00000001U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000008U + // .. .. + EMIT_MASKWRITE(0XF80060F4, 0x0000000FU ,0x00000008U), + // .. .. reg_phy_dif_on = 0x0 + // .. .. ==> 0XF8006114[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_phy_dif_off = 0x0 + // .. .. ==> 0XF8006114[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006114, 0x000000FFU ,0x00000000U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006118[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF8006118[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF8006118[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006118[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006118[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006118[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006118, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF800611C[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF800611C[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF800611C[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF800611C[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF800611C[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF800611C[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF800611C, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006120[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF8006120[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF8006120[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006120[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006120[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006120[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006120, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006124[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF8006124[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF8006124[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006124[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006124[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006124[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006124, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_wrlvl_init_ratio = 0x7 + // .. .. ==> 0XF800612C[9:0] = 0x00000007U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000007U + // .. .. reg_phy_gatelvl_init_ratio = 0x7a + // .. .. ==> 0XF800612C[19:10] = 0x0000007AU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001E800U + // .. .. + EMIT_MASKWRITE(0XF800612C, 0x000FFFFFU ,0x0001E807U), + // .. .. reg_phy_wrlvl_init_ratio = 0x5 + // .. .. ==> 0XF8006130[9:0] = 0x00000005U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000005U + // .. .. reg_phy_gatelvl_init_ratio = 0x7b + // .. .. ==> 0XF8006130[19:10] = 0x0000007BU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001EC00U + // .. .. + EMIT_MASKWRITE(0XF8006130, 0x000FFFFFU ,0x0001EC05U), + // .. .. reg_phy_wrlvl_init_ratio = 0x5 + // .. .. ==> 0XF8006134[9:0] = 0x00000005U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000005U + // .. .. reg_phy_gatelvl_init_ratio = 0x7b + // .. .. ==> 0XF8006134[19:10] = 0x0000007BU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001EC00U + // .. .. + EMIT_MASKWRITE(0XF8006134, 0x000FFFFFU ,0x0001EC05U), + // .. .. reg_phy_wrlvl_init_ratio = 0x1 + // .. .. ==> 0XF8006138[9:0] = 0x00000001U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000001U + // .. .. reg_phy_gatelvl_init_ratio = 0x78 + // .. .. ==> 0XF8006138[19:10] = 0x00000078U + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001E000U + // .. .. + EMIT_MASKWRITE(0XF8006138, 0x000FFFFFU ,0x0001E001U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006140[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006140[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006140[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006140, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006144[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006144[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006144[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006144, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006148[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006148[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006148[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006148, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF800614C[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF800614C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF800614C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800614C, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x87 + // .. .. ==> 0XF8006154[9:0] = 0x00000087U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000087U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006154[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006154[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006154, 0x000FFFFFU ,0x00000087U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x85 + // .. .. ==> 0XF8006158[9:0] = 0x00000085U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000085U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006158[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006158[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006158, 0x000FFFFFU ,0x00000085U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x85 + // .. .. ==> 0XF800615C[9:0] = 0x00000085U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000085U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF800615C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF800615C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800615C, 0x000FFFFFU ,0x00000085U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x81 + // .. .. ==> 0XF8006160[9:0] = 0x00000081U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000081U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006160[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006160[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006160, 0x000FFFFFU ,0x00000081U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xcf + // .. .. ==> 0XF8006168[10:0] = 0x000000CFU + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000CFU + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006168[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006168[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006168, 0x001FFFFFU ,0x000000CFU), + // .. .. reg_phy_fifo_we_slave_ratio = 0xd0 + // .. .. ==> 0XF800616C[10:0] = 0x000000D0U + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000D0U + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF800616C[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF800616C[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800616C, 0x001FFFFFU ,0x000000D0U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xd0 + // .. .. ==> 0XF8006170[10:0] = 0x000000D0U + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000D0U + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006170[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006170[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006170, 0x001FFFFFU ,0x000000D0U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xcd + // .. .. ==> 0XF8006174[10:0] = 0x000000CDU + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000CDU + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006174[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006174[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006174, 0x001FFFFFU ,0x000000CDU), + // .. .. reg_phy_wr_data_slave_ratio = 0xc7 + // .. .. ==> 0XF800617C[9:0] = 0x000000C7U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C7U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF800617C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF800617C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800617C, 0x000FFFFFU ,0x000000C7U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc5 + // .. .. ==> 0XF8006180[9:0] = 0x000000C5U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C5U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006180[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006180[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006180, 0x000FFFFFU ,0x000000C5U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc5 + // .. .. ==> 0XF8006184[9:0] = 0x000000C5U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C5U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006184[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006184[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006184, 0x000FFFFFU ,0x000000C5U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc1 + // .. .. ==> 0XF8006188[9:0] = 0x000000C1U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C1U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006188[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006188[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006188, 0x000FFFFFU ,0x000000C1U), + // .. .. reg_phy_loopback = 0x0 + // .. .. ==> 0XF8006190[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_phy_bl2 = 0x0 + // .. .. ==> 0XF8006190[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_at_spd_atpg = 0x0 + // .. .. ==> 0XF8006190[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_bist_enable = 0x0 + // .. .. ==> 0XF8006190[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_bist_force_err = 0x0 + // .. .. ==> 0XF8006190[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_bist_mode = 0x0 + // .. .. ==> 0XF8006190[6:5] = 0x00000000U + // .. .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. .. reg_phy_invert_clkout = 0x1 + // .. .. ==> 0XF8006190[7:7] = 0x00000001U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. .. reg_phy_all_dq_mpr_rd_resp = 0x0 + // .. .. ==> 0XF8006190[8:8] = 0x00000000U + // .. .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. .. reg_phy_sel_logic = 0x0 + // .. .. ==> 0XF8006190[9:9] = 0x00000000U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_ratio = 0x100 + // .. .. ==> 0XF8006190[19:10] = 0x00000100U + // .. .. ==> MASK : 0x000FFC00U VAL : 0x00040000U + // .. .. reg_phy_ctrl_slave_force = 0x0 + // .. .. ==> 0XF8006190[20:20] = 0x00000000U + // .. .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_delay = 0x0 + // .. .. ==> 0XF8006190[27:21] = 0x00000000U + // .. .. ==> MASK : 0x0FE00000U VAL : 0x00000000U + // .. .. reg_phy_use_rank0_delays = 0x1 + // .. .. ==> 0XF8006190[28:28] = 0x00000001U + // .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. reg_phy_lpddr = 0x0 + // .. .. ==> 0XF8006190[29:29] = 0x00000000U + // .. .. ==> MASK : 0x20000000U VAL : 0x00000000U + // .. .. reg_phy_cmd_latency = 0x0 + // .. .. ==> 0XF8006190[30:30] = 0x00000000U + // .. .. ==> MASK : 0x40000000U VAL : 0x00000000U + // .. .. reg_phy_int_lpbk = 0x0 + // .. .. ==> 0XF8006190[31:31] = 0x00000000U + // .. .. ==> MASK : 0x80000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006190, 0xFFFFFFFFU ,0x10040080U), + // .. .. reg_phy_wr_rl_delay = 0x2 + // .. .. ==> 0XF8006194[4:0] = 0x00000002U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000002U + // .. .. reg_phy_rd_rl_delay = 0x4 + // .. .. ==> 0XF8006194[9:5] = 0x00000004U + // .. .. ==> MASK : 0x000003E0U VAL : 0x00000080U + // .. .. reg_phy_dll_lock_diff = 0xf + // .. .. ==> 0XF8006194[13:10] = 0x0000000FU + // .. .. ==> MASK : 0x00003C00U VAL : 0x00003C00U + // .. .. reg_phy_use_wr_level = 0x1 + // .. .. ==> 0XF8006194[14:14] = 0x00000001U + // .. .. ==> MASK : 0x00004000U VAL : 0x00004000U + // .. .. reg_phy_use_rd_dqs_gate_level = 0x1 + // .. .. ==> 0XF8006194[15:15] = 0x00000001U + // .. .. ==> MASK : 0x00008000U VAL : 0x00008000U + // .. .. reg_phy_use_rd_data_eye_level = 0x1 + // .. .. ==> 0XF8006194[16:16] = 0x00000001U + // .. .. ==> MASK : 0x00010000U VAL : 0x00010000U + // .. .. reg_phy_dis_calib_rst = 0x0 + // .. .. ==> 0XF8006194[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_delay = 0x0 + // .. .. ==> 0XF8006194[19:18] = 0x00000000U + // .. .. ==> MASK : 0x000C0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006194, 0x000FFFFFU ,0x0001FC82U), + // .. .. reg_arb_page_addr_mask = 0x0 + // .. .. ==> 0XF8006204[31:0] = 0x00000000U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006204, 0xFFFFFFFFU ,0x00000000U), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006208[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006208[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006208[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006208[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF8006208[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF8006208, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF800620C[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF800620C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF800620C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF800620C[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF800620C[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF800620C, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006210[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006210[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006210[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006210[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF8006210[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF8006210, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006214[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006214[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006214[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006214[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF8006214[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF8006214, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006218[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006218[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006218[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006218[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006218[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006218, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF800621C[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF800621C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF800621C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF800621C[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF800621C[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800621C, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006220[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006220[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006220[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006220[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006220[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006220, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006224[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006224[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006224[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006224[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006224[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006224, 0x000F03FFU ,0x000003FFU), + // .. .. reg_ddrc_lpddr2 = 0x0 + // .. .. ==> 0XF80062A8[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_per_bank_refresh = 0x0 + // .. .. ==> 0XF80062A8[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_derate_enable = 0x0 + // .. .. ==> 0XF80062A8[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_ddrc_mr4_margin = 0x0 + // .. .. ==> 0XF80062A8[11:4] = 0x00000000U + // .. .. ==> MASK : 0x00000FF0U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80062A8, 0x00000FF7U ,0x00000000U), + // .. .. reg_ddrc_mr4_read_interval = 0x0 + // .. .. ==> 0XF80062AC[31:0] = 0x00000000U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80062AC, 0xFFFFFFFFU ,0x00000000U), + // .. .. reg_ddrc_min_stable_clock_x1 = 0x5 + // .. .. ==> 0XF80062B0[3:0] = 0x00000005U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000005U + // .. .. reg_ddrc_idle_after_reset_x32 = 0x12 + // .. .. ==> 0XF80062B0[11:4] = 0x00000012U + // .. .. ==> MASK : 0x00000FF0U VAL : 0x00000120U + // .. .. reg_ddrc_t_mrw = 0x5 + // .. .. ==> 0XF80062B0[21:12] = 0x00000005U + // .. .. ==> MASK : 0x003FF000U VAL : 0x00005000U + // .. .. + EMIT_MASKWRITE(0XF80062B0, 0x003FFFFFU ,0x00005125U), + // .. .. reg_ddrc_max_auto_init_x1024 = 0xa8 + // .. .. ==> 0XF80062B4[7:0] = 0x000000A8U + // .. .. ==> MASK : 0x000000FFU VAL : 0x000000A8U + // .. .. reg_ddrc_dev_zqinit_x32 = 0x12 + // .. .. ==> 0XF80062B4[17:8] = 0x00000012U + // .. .. ==> MASK : 0x0003FF00U VAL : 0x00001200U + // .. .. + EMIT_MASKWRITE(0XF80062B4, 0x0003FFFFU ,0x000012A8U), + // .. .. START: POLL ON DCI STATUS + // .. .. DONE = 1 + // .. .. ==> 0XF8000B74[13:13] = 0x00000001U + // .. .. ==> MASK : 0x00002000U VAL : 0x00002000U + // .. .. + EMIT_MASKPOLL(0XF8000B74, 0x00002000U), + // .. .. FINISH: POLL ON DCI STATUS + // .. .. START: UNLOCK DDR + // .. .. reg_ddrc_soft_rstb = 0x1 + // .. .. ==> 0XF8006000[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_ddrc_powerdown_en = 0x0 + // .. .. ==> 0XF8006000[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_data_bus_width = 0x0 + // .. .. ==> 0XF8006000[3:2] = 0x00000000U + // .. .. ==> MASK : 0x0000000CU VAL : 0x00000000U + // .. .. reg_ddrc_burst8_refresh = 0x0 + // .. .. ==> 0XF8006000[6:4] = 0x00000000U + // .. .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. .. reg_ddrc_rdwr_idle_gap = 1 + // .. .. ==> 0XF8006000[13:7] = 0x00000001U + // .. .. ==> MASK : 0x00003F80U VAL : 0x00000080U + // .. .. reg_ddrc_dis_rd_bypass = 0x0 + // .. .. ==> 0XF8006000[14:14] = 0x00000000U + // .. .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_act_bypass = 0x0 + // .. .. ==> 0XF8006000[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_auto_refresh = 0x0 + // .. .. ==> 0XF8006000[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000081U), + // .. .. FINISH: UNLOCK DDR + // .. .. START: CHECK DDR STATUS + // .. .. ddrc_reg_operating_mode = 1 + // .. .. ==> 0XF8006054[2:0] = 0x00000001U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000001U + // .. .. + EMIT_MASKPOLL(0XF8006054, 0x00000007U), + // .. .. FINISH: CHECK DDR STATUS + // .. FINISH: DDR INITIALIZATION + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_mio_init_data_1_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: OCM REMAPPING + // .. FINISH: OCM REMAPPING + // .. START: DDRIOB SETTINGS + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B40[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B40[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B40[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B40[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCR_TYPE = 0x0 + // .. ==> 0XF8000B40[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B40[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B40[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B40[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B40[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B40, 0x00000FFFU ,0x00000600U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B44[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B44[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B44[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B44[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCR_TYPE = 0x0 + // .. ==> 0XF8000B44[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B44[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B44[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B44[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B44[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B44, 0x00000FFFU ,0x00000600U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B48[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x1 + // .. ==> 0XF8000B48[2:1] = 0x00000001U + // .. ==> MASK : 0x00000006U VAL : 0x00000002U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B48[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B48[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B48[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B48[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B48[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B48[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B48[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B48, 0x00000FFFU ,0x00000672U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B4C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x1 + // .. ==> 0XF8000B4C[2:1] = 0x00000001U + // .. ==> MASK : 0x00000006U VAL : 0x00000002U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B4C[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B4C[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B4C[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B4C[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B4C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B4C[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B4C[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B4C, 0x00000FFFU ,0x00000672U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B50[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x2 + // .. ==> 0XF8000B50[2:1] = 0x00000002U + // .. ==> MASK : 0x00000006U VAL : 0x00000004U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B50[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B50[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B50[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B50[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B50[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B50[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B50[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B50, 0x00000FFFU ,0x00000674U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B54[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x2 + // .. ==> 0XF8000B54[2:1] = 0x00000002U + // .. ==> MASK : 0x00000006U VAL : 0x00000004U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B54[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B54[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B54[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B54[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B54[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B54[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B54[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B54, 0x00000FFFU ,0x00000674U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B58[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B58[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B58[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B58[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCR_TYPE = 0x0 + // .. ==> 0XF8000B58[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B58[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B58[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B58[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B58[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B58, 0x00000FFFU ,0x00000600U), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B5C[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B5C[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x3 + // .. ==> 0XF8000B5C[18:14] = 0x00000003U + // .. ==> MASK : 0x0007C000U VAL : 0x0000C000U + // .. SLEW_N = 0x3 + // .. ==> 0XF8000B5C[23:19] = 0x00000003U + // .. ==> MASK : 0x00F80000U VAL : 0x00180000U + // .. GTL = 0x0 + // .. ==> 0XF8000B5C[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B5C[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B5C, 0xFFFFFFFFU ,0x0018C61CU), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B60[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B60[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x6 + // .. ==> 0XF8000B60[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. SLEW_N = 0x1f + // .. ==> 0XF8000B60[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. GTL = 0x0 + // .. ==> 0XF8000B60[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B60[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B60, 0xFFFFFFFFU ,0x00F9861CU), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B64[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B64[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x6 + // .. ==> 0XF8000B64[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. SLEW_N = 0x1f + // .. ==> 0XF8000B64[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. GTL = 0x0 + // .. ==> 0XF8000B64[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B64[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B64, 0xFFFFFFFFU ,0x00F9861CU), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B68[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B68[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x6 + // .. ==> 0XF8000B68[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. SLEW_N = 0x1f + // .. ==> 0XF8000B68[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. GTL = 0x0 + // .. ==> 0XF8000B68[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B68[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B68, 0xFFFFFFFFU ,0x00F9861CU), + // .. VREF_INT_EN = 0x0 + // .. ==> 0XF8000B6C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. VREF_SEL = 0x0 + // .. ==> 0XF8000B6C[4:1] = 0x00000000U + // .. ==> MASK : 0x0000001EU VAL : 0x00000000U + // .. VREF_EXT_EN = 0x3 + // .. ==> 0XF8000B6C[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. VREF_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[8:7] = 0x00000000U + // .. ==> MASK : 0x00000180U VAL : 0x00000000U + // .. REFIO_EN = 0x1 + // .. ==> 0XF8000B6C[9:9] = 0x00000001U + // .. ==> MASK : 0x00000200U VAL : 0x00000200U + // .. REFIO_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DRST_B_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. CKE_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[14:14] = 0x00000000U + // .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B6C, 0x000073FFU ,0x00000260U), + // .. .. START: ASSERT RESET + // .. .. RESET = 1 + // .. .. ==> 0XF8000B70[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000021U), + // .. .. FINISH: ASSERT RESET + // .. .. START: DEASSERT RESET + // .. .. RESET = 0 + // .. .. ==> 0XF8000B70[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000020U), + // .. .. FINISH: DEASSERT RESET + // .. .. RESET = 0x1 + // .. .. ==> 0XF8000B70[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. ENABLE = 0x1 + // .. .. ==> 0XF8000B70[1:1] = 0x00000001U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. VRP_TRI = 0x0 + // .. .. ==> 0XF8000B70[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. VRN_TRI = 0x0 + // .. .. ==> 0XF8000B70[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. VRP_OUT = 0x0 + // .. .. ==> 0XF8000B70[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. NREF_OPT1 = 0x0 + // .. .. ==> 0XF8000B70[7:6] = 0x00000000U + // .. .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. .. NREF_OPT2 = 0x0 + // .. .. ==> 0XF8000B70[10:8] = 0x00000000U + // .. .. ==> MASK : 0x00000700U VAL : 0x00000000U + // .. .. NREF_OPT4 = 0x1 + // .. .. ==> 0XF8000B70[13:11] = 0x00000001U + // .. .. ==> MASK : 0x00003800U VAL : 0x00000800U + // .. .. PREF_OPT1 = 0x0 + // .. .. ==> 0XF8000B70[16:14] = 0x00000000U + // .. .. ==> MASK : 0x0001C000U VAL : 0x00000000U + // .. .. PREF_OPT2 = 0x0 + // .. .. ==> 0XF8000B70[19:17] = 0x00000000U + // .. .. ==> MASK : 0x000E0000U VAL : 0x00000000U + // .. .. UPDATE_CONTROL = 0x0 + // .. .. ==> 0XF8000B70[20:20] = 0x00000000U + // .. .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. .. INIT_COMPLETE = 0x0 + // .. .. ==> 0XF8000B70[21:21] = 0x00000000U + // .. .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. .. TST_CLK = 0x0 + // .. .. ==> 0XF8000B70[22:22] = 0x00000000U + // .. .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. .. TST_HLN = 0x0 + // .. .. ==> 0XF8000B70[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. TST_HLP = 0x0 + // .. .. ==> 0XF8000B70[24:24] = 0x00000000U + // .. .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. .. TST_RST = 0x0 + // .. .. ==> 0XF8000B70[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. INT_DCI_EN = 0x0 + // .. .. ==> 0XF8000B70[26:26] = 0x00000000U + // .. .. ==> MASK : 0x04000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x07FFFFFFU ,0x00000823U), + // .. FINISH: DDRIOB SETTINGS + // .. START: MIO PROGRAMMING + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000700[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000700[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000700[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000700[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000700[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000700[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000700[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000700[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000700[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000700, 0x00003FFFU ,0x00001600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000704[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000704[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000704[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000704[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000704[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000704[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000704[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000704[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000704[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000704, 0x00003FFFU ,0x00001600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000708[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000708[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000708[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000708[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000708[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000708[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000708[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000708[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000708[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000708, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800070C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800070C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800070C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800070C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800070C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800070C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800070C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF800070C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800070C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800070C, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000710[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000710[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000710[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000710[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000710[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000710[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000710[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000710[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000710[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000710, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000714[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000714[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000714[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000714[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000714[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000714[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000714[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000714[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000714[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000714, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000718[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000718[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000718[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000718[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000718[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000718[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000718[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000718[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000718[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000718, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800071C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800071C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800071C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800071C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800071C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800071C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800071C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF800071C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800071C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800071C, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000720[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000720[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000720[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000720[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF8000720[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF8000720[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000720[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000720[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000720[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000720, 0x00003FFFU ,0x000006E0U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000724[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000724[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000724[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000724[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF8000724[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF8000724[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000724[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000724[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000724[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000724, 0x00003FFFU ,0x000016E1U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000728[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000728[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000728[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000728[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000728[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000728[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000728[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000728[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000728[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000728, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800072C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800072C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800072C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800072C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF800072C[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF800072C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800072C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF800072C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800072C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800072C, 0x00003FFFU ,0x00001660U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000730[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000730[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000730[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000730[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000730[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000730[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000730[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000730[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000730[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000730, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000734[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000734[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000734[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000734[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000734[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000734[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000734[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000734[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000734[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000734, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000738[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000738[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000738[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000738[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000738[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF8000738[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000738[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000738[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000738[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000738, 0x00003FFFU ,0x00001640U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800073C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800073C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800073C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800073C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF800073C[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF800073C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800073C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF800073C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800073C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800073C, 0x00003FFFU ,0x00001640U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000740[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000740[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000740[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000740[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000740[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000740[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000740[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000740[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000740[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000744[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000744[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000744[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000744[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000744[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000744[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000744[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000744[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000744[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000748[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000748[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000748[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000748[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000748[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000748[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000748[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000748[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000748[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800074C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF800074C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800074C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800074C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800074C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800074C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800074C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800074C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800074C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000750[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000750[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000750[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000750[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000750[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000750[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000750[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000750[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000750[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000754[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000754[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000754[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000754[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000754[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000754[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000754[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000754[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000754[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000758[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000758[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000758[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000758[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000758[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000758[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000758[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000758[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000758[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800075C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF800075C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800075C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800075C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800075C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800075C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800075C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800075C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800075C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000760[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000760[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000760[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000760[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000760[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000760[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000760[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000760[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000760[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000764[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000764[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000764[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000764[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000764[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000764[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000764[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000764[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000764[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000768[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000768[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000768[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000768[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000768[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000768[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000768[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000768[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000768[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800076C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF800076C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800076C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800076C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800076C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800076C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800076C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800076C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800076C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000770[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000770[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000770[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000770[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000770[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000770[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000770[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000770[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000770[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000774[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000774[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000774[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000774[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000774[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000774[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000774[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000774[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000774[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000778[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000778[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000778[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000778[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000778[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000778[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000778[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000778[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000778[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800077C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF800077C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800077C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800077C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800077C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800077C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800077C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800077C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800077C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000780[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000780[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000780[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000780[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000780[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000780[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000780[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000780[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000780[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000784[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000784[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000784[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000784[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000784[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000784[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000784[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000784[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000784[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000788[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000788[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000788[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000788[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000788[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000788[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000788[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000788[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000788[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800078C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800078C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800078C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800078C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800078C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800078C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800078C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800078C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800078C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000790[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000790[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000790[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000790[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000790[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000790[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000790[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000790[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000790[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000794[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000794[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000794[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000794[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000794[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000794[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000794[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000794[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000794[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000798[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000798[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000798[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000798[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000798[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000798[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000798[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000798[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000798[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800079C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800079C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800079C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800079C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800079C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800079C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800079C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800079C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800079C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A8[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A8[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007AC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007AC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007AC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007AC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007AC[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007AC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007AC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007AC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007AC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007B0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007B0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007B0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007B0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007B4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007B4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007B4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007B4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF80007B8[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF80007B8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF80007B8[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF80007B8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B8, 0x00003FFFU ,0x000012E1U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007BC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007BC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007BC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007BC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF80007BC[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF80007BC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007BC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007BC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007BC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007BC, 0x00003FFFU ,0x000012E0U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF80007C0[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF80007C0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x00001240U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF80007C4[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF80007C4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x00001240U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C8[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF80007C8[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF80007C8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007CC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007CC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007CC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007CC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF80007CC[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF80007CC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007CC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007CC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007CC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007D0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007D0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007D0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007D0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007D0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007D0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007D0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007D0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007D0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007D4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007D4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007D4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007D4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007D4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007D4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007D4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007D4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007D4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), + // .. SDIO0_WP_SEL = 55 + // .. ==> 0XF8000830[5:0] = 0x00000037U + // .. ==> MASK : 0x0000003FU VAL : 0x00000037U + // .. SDIO0_CD_SEL = 56 + // .. ==> 0XF8000830[21:16] = 0x00000038U + // .. ==> MASK : 0x003F0000U VAL : 0x00380000U + // .. + EMIT_MASKWRITE(0XF8000830, 0x003F003FU ,0x00380037U), + // .. FINISH: MIO PROGRAMMING + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_peripherals_init_data_1_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: DDR TERM/IBUF_DISABLE_MODE SETTINGS + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B48[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B48[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B48, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B4C[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B4C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B4C, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B50[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B50[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B50, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B54[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B54[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B54, 0x00000180U ,0x00000180U), + // .. FINISH: DDR TERM/IBUF_DISABLE_MODE SETTINGS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // .. START: SRAM/NOR SET OPMODE + // .. FINISH: SRAM/NOR SET OPMODE + // .. START: UART REGISTERS + // .. BDIV = 0x6 + // .. ==> 0XE0001034[7:0] = 0x00000006U + // .. ==> MASK : 0x000000FFU VAL : 0x00000006U + // .. + EMIT_MASKWRITE(0XE0001034, 0x000000FFU ,0x00000006U), + // .. CD = 0x7c + // .. ==> 0XE0001018[15:0] = 0x0000007CU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000007CU + // .. + EMIT_MASKWRITE(0XE0001018, 0x0000FFFFU ,0x0000007CU), + // .. STPBRK = 0x0 + // .. ==> 0XE0001000[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. STTBRK = 0x0 + // .. ==> 0XE0001000[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. RSTTO = 0x0 + // .. ==> 0XE0001000[6:6] = 0x00000000U + // .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. TXDIS = 0x0 + // .. ==> 0XE0001000[5:5] = 0x00000000U + // .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. TXEN = 0x1 + // .. ==> 0XE0001000[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. RXDIS = 0x0 + // .. ==> 0XE0001000[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. RXEN = 0x1 + // .. ==> 0XE0001000[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. TXRES = 0x1 + // .. ==> 0XE0001000[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. RXRES = 0x1 + // .. ==> 0XE0001000[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. + EMIT_MASKWRITE(0XE0001000, 0x000001FFU ,0x00000017U), + // .. IRMODE = 0x0 + // .. ==> 0XE0001004[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. UCLKEN = 0x0 + // .. ==> 0XE0001004[10:10] = 0x00000000U + // .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. CHMODE = 0x0 + // .. ==> 0XE0001004[9:8] = 0x00000000U + // .. ==> MASK : 0x00000300U VAL : 0x00000000U + // .. NBSTOP = 0x0 + // .. ==> 0XE0001004[7:6] = 0x00000000U + // .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. PAR = 0x4 + // .. ==> 0XE0001004[5:3] = 0x00000004U + // .. ==> MASK : 0x00000038U VAL : 0x00000020U + // .. CHRL = 0x0 + // .. ==> 0XE0001004[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. CLKS = 0x0 + // .. ==> 0XE0001004[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XE0001004, 0x00000FFFU ,0x00000020U), + // .. BDIV = 0x6 + // .. ==> 0XE0000034[7:0] = 0x00000006U + // .. ==> MASK : 0x000000FFU VAL : 0x00000006U + // .. + EMIT_MASKWRITE(0XE0000034, 0x000000FFU ,0x00000006U), + // .. CD = 0x7c + // .. ==> 0XE0000018[15:0] = 0x0000007CU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000007CU + // .. + EMIT_MASKWRITE(0XE0000018, 0x0000FFFFU ,0x0000007CU), + // .. STPBRK = 0x0 + // .. ==> 0XE0000000[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. STTBRK = 0x0 + // .. ==> 0XE0000000[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. RSTTO = 0x0 + // .. ==> 0XE0000000[6:6] = 0x00000000U + // .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. TXDIS = 0x0 + // .. ==> 0XE0000000[5:5] = 0x00000000U + // .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. TXEN = 0x1 + // .. ==> 0XE0000000[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. RXDIS = 0x0 + // .. ==> 0XE0000000[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. RXEN = 0x1 + // .. ==> 0XE0000000[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. TXRES = 0x1 + // .. ==> 0XE0000000[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. RXRES = 0x1 + // .. ==> 0XE0000000[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. + EMIT_MASKWRITE(0XE0000000, 0x000001FFU ,0x00000017U), + // .. IRMODE = 0x0 + // .. ==> 0XE0000004[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. UCLKEN = 0x0 + // .. ==> 0XE0000004[10:10] = 0x00000000U + // .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. CHMODE = 0x0 + // .. ==> 0XE0000004[9:8] = 0x00000000U + // .. ==> MASK : 0x00000300U VAL : 0x00000000U + // .. NBSTOP = 0x0 + // .. ==> 0XE0000004[7:6] = 0x00000000U + // .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. PAR = 0x4 + // .. ==> 0XE0000004[5:3] = 0x00000004U + // .. ==> MASK : 0x00000038U VAL : 0x00000020U + // .. CHRL = 0x0 + // .. ==> 0XE0000004[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. CLKS = 0x0 + // .. ==> 0XE0000004[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XE0000004, 0x00000FFFU ,0x00000020U), + // .. FINISH: UART REGISTERS + // .. START: QSPI REGISTERS + // .. Holdb_dr = 1 + // .. ==> 0XE000D000[19:19] = 0x00000001U + // .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. + EMIT_MASKWRITE(0XE000D000, 0x00080000U ,0x00080000U), + // .. FINISH: QSPI REGISTERS + // .. START: PL POWER ON RESET REGISTERS + // .. PCFG_POR_CNT_4K = 0 + // .. ==> 0XF8007000[29:29] = 0x00000000U + // .. ==> MASK : 0x20000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8007000, 0x20000000U ,0x00000000U), + // .. FINISH: PL POWER ON RESET REGISTERS + // .. START: SMC TIMING CALCULATION REGISTER UPDATE + // .. .. START: NAND SET CYCLE + // .. .. FINISH: NAND SET CYCLE + // .. .. START: OPMODE + // .. .. FINISH: OPMODE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: SRAM/NOR CS0 SET CYCLE + // .. .. FINISH: SRAM/NOR CS0 SET CYCLE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: NOR CS0 BASE ADDRESS + // .. .. FINISH: NOR CS0 BASE ADDRESS + // .. .. START: SRAM/NOR CS1 SET CYCLE + // .. .. FINISH: SRAM/NOR CS1 SET CYCLE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: NOR CS1 BASE ADDRESS + // .. .. FINISH: NOR CS1 BASE ADDRESS + // .. .. START: USB RESET + // .. .. .. START: USB0 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. DIRECTION_0 = 0x18 + // .. .. .. .. ==> 0XE000A204[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x10 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000010U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000010U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. OP_ENABLE_0 = 0x18 + // .. .. .. .. ==> 0XE000A208[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x0 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000000U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000000U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0000U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x10 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000010U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000010U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: USB0 RESET + // .. .. .. START: USB1 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: USB1 RESET + // .. .. FINISH: USB RESET + // .. .. START: ENET RESET + // .. .. .. START: ENET0 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. DIRECTION_0 = 0x18 + // .. .. .. .. ==> 0XE000A204[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x8 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000008U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. OP_ENABLE_0 = 0x18 + // .. .. .. .. ==> 0XE000A208[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x0 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000000U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000000U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70000U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x8 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000008U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: ENET0 RESET + // .. .. .. START: ENET1 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: ENET1 RESET + // .. .. FINISH: ENET RESET + // .. .. START: I2C RESET + // .. .. .. START: I2C0 RESET + // .. .. .. .. START: DIR MODE GPIO BANK0 + // .. .. .. .. FINISH: DIR MODE GPIO BANK0 + // .. .. .. .. START: DIR MODE GPIO BANK1 + // .. .. .. .. FINISH: DIR MODE GPIO BANK1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: I2C0 RESET + // .. .. .. START: I2C1 RESET + // .. .. .. .. START: DIR MODE GPIO BANK0 + // .. .. .. .. FINISH: DIR MODE GPIO BANK0 + // .. .. .. .. START: DIR MODE GPIO BANK1 + // .. .. .. .. FINISH: DIR MODE GPIO BANK1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: I2C1 RESET + // .. .. FINISH: I2C RESET + // .. .. START: NOR CHIP SELECT + // .. .. .. START: DIR MODE BANK 0 + // .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. FINISH: NOR CHIP SELECT + // .. FINISH: SMC TIMING CALCULATION REGISTER UPDATE + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_post_config_1_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: ENABLING LEVEL SHIFTER + // .. USER_INP_ICT_EN_0 = 3 + // .. ==> 0XF8000900[1:0] = 0x00000003U + // .. ==> MASK : 0x00000003U VAL : 0x00000003U + // .. USER_INP_ICT_EN_1 = 3 + // .. ==> 0XF8000900[3:2] = 0x00000003U + // .. ==> MASK : 0x0000000CU VAL : 0x0000000CU + // .. + EMIT_MASKWRITE(0XF8000900, 0x0000000FU ,0x0000000FU), + // .. FINISH: ENABLING LEVEL SHIFTER + // .. START: FPGA RESETS TO 0 + // .. reserved_3 = 0 + // .. ==> 0XF8000240[31:25] = 0x00000000U + // .. ==> MASK : 0xFE000000U VAL : 0x00000000U + // .. FPGA_ACP_RST = 0 + // .. ==> 0XF8000240[24:24] = 0x00000000U + // .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. FPGA_AXDS3_RST = 0 + // .. ==> 0XF8000240[23:23] = 0x00000000U + // .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. FPGA_AXDS2_RST = 0 + // .. ==> 0XF8000240[22:22] = 0x00000000U + // .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. FPGA_AXDS1_RST = 0 + // .. ==> 0XF8000240[21:21] = 0x00000000U + // .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. FPGA_AXDS0_RST = 0 + // .. ==> 0XF8000240[20:20] = 0x00000000U + // .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. reserved_2 = 0 + // .. ==> 0XF8000240[19:18] = 0x00000000U + // .. ==> MASK : 0x000C0000U VAL : 0x00000000U + // .. FSSW1_FPGA_RST = 0 + // .. ==> 0XF8000240[17:17] = 0x00000000U + // .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. FSSW0_FPGA_RST = 0 + // .. ==> 0XF8000240[16:16] = 0x00000000U + // .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. reserved_1 = 0 + // .. ==> 0XF8000240[15:14] = 0x00000000U + // .. ==> MASK : 0x0000C000U VAL : 0x00000000U + // .. FPGA_FMSW1_RST = 0 + // .. ==> 0XF8000240[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. FPGA_FMSW0_RST = 0 + // .. ==> 0XF8000240[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. FPGA_DMA3_RST = 0 + // .. ==> 0XF8000240[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. FPGA_DMA2_RST = 0 + // .. ==> 0XF8000240[10:10] = 0x00000000U + // .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. FPGA_DMA1_RST = 0 + // .. ==> 0XF8000240[9:9] = 0x00000000U + // .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. FPGA_DMA0_RST = 0 + // .. ==> 0XF8000240[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. reserved = 0 + // .. ==> 0XF8000240[7:4] = 0x00000000U + // .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. FPGA3_OUT_RST = 0 + // .. ==> 0XF8000240[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. FPGA2_OUT_RST = 0 + // .. ==> 0XF8000240[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. FPGA1_OUT_RST = 0 + // .. ==> 0XF8000240[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. FPGA0_OUT_RST = 0 + // .. ==> 0XF8000240[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000240, 0xFFFFFFFFU ,0x00000000U), + // .. FINISH: FPGA RESETS TO 0 + // .. START: AFI REGISTERS + // .. .. START: AFI0 REGISTERS + // .. .. FINISH: AFI0 REGISTERS + // .. .. START: AFI1 REGISTERS + // .. .. FINISH: AFI1 REGISTERS + // .. .. START: AFI2 REGISTERS + // .. .. FINISH: AFI2 REGISTERS + // .. .. START: AFI3 REGISTERS + // .. .. FINISH: AFI3 REGISTERS + // .. FINISH: AFI REGISTERS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_debug_1_0[] = { + // START: top + // .. START: CROSS TRIGGER CONFIGURATIONS + // .. .. START: UNLOCKING CTI REGISTERS + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8898FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8898FB0, 0xC5ACCE55U), + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8899FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8899FB0, 0xC5ACCE55U), + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8809FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8809FB0, 0xC5ACCE55U), + // .. .. FINISH: UNLOCKING CTI REGISTERS + // .. .. START: ENABLING CTI MODULES AND CHANNELS + // .. .. FINISH: ENABLING CTI MODULES AND CHANNELS + // .. .. START: MAPPING CPU0, CPU1 AND FTM EVENTS TO CTM CHANNELS + // .. .. FINISH: MAPPING CPU0, CPU1 AND FTM EVENTS TO CTM CHANNELS + // .. FINISH: CROSS TRIGGER CONFIGURATIONS + // FINISH: top + // + EMIT_EXIT(), + + // +}; + + +#include "xil_io.h" +#define PS7_MASK_POLL_TIME 100000000 + +char* +getPS7MessageInfo(unsigned key) { + + char* err_msg = ""; + switch (key) { + case PS7_INIT_SUCCESS: err_msg = "PS7 initialization successful"; break; + case PS7_INIT_CORRUPT: err_msg = "PS7 init Data Corrupted"; break; + case PS7_INIT_TIMEOUT: err_msg = "PS7 init mask poll timeout"; break; + case PS7_POLL_FAILED_DDR_INIT: err_msg = "Mask Poll failed for DDR Init"; break; + case PS7_POLL_FAILED_DMA: err_msg = "Mask Poll failed for PLL Init"; break; + case PS7_POLL_FAILED_PLL: err_msg = "Mask Poll failed for DMA done bit"; break; + default: err_msg = "Undefined error status"; break; + } + + return err_msg; +} + +unsigned long +ps7GetSiliconVersion () { + // Read PS version from MCTRL register [31:28] + unsigned long mask = 0xF0000000; + unsigned long *addr = (unsigned long*) 0XF8007080; + unsigned long ps_version = (*addr & mask) >> 28; + return ps_version; +} + +void mask_write (unsigned long add , unsigned long mask, unsigned long val ) { + volatile unsigned long *addr = (volatile unsigned long*) add; + *addr = ( val & mask ) | ( *addr & ~mask); + //xil_printf("MaskWrite : 0x%x--> 0x%x \n \r" ,add, *addr); +} + + +int mask_poll(unsigned long add , unsigned long mask ) { + volatile unsigned long *addr = (volatile unsigned long*) add; + int i = 0; + while (!(*addr & mask)) { + if (i == PS7_MASK_POLL_TIME) { + return -1; + } + i++; + } + return 1; + //xil_printf("MaskPoll : 0x%x --> 0x%x \n \r" , add, *addr); +} + +unsigned long mask_read(unsigned long add , unsigned long mask ) { + volatile unsigned long *addr = (volatile unsigned long*) add; + unsigned long val = (*addr & mask); + //xil_printf("MaskRead : 0x%x --> 0x%x \n \r" , add, val); + return val; +} + + + +int +ps7_config(unsigned long * ps7_config_init) +{ + unsigned long *ptr = ps7_config_init; + + unsigned long opcode; // current instruction .. + unsigned long args[16]; // no opcode has so many args ... + int numargs; // number of arguments of this instruction + int j; // general purpose index + + volatile unsigned long *addr; // some variable to make code readable + unsigned long val,mask; // some variable to make code readable + + int finish = -1 ; // loop while this is negative ! + int i = 0; // Timeout variable + + while( finish < 0 ) { + numargs = ptr[0] & 0xF; + opcode = ptr[0] >> 4; + + for( j = 0 ; j < numargs ; j ++ ) + args[j] = ptr[j+1]; + ptr += numargs + 1; + + + switch ( opcode ) { + + case OPCODE_EXIT: + finish = PS7_INIT_SUCCESS; + break; + + case OPCODE_CLEAR: + addr = (unsigned long*) args[0]; + *addr = 0; + break; + + case OPCODE_WRITE: + addr = (unsigned long*) args[0]; + val = args[1]; + *addr = val; + break; + + case OPCODE_MASKWRITE: + addr = (unsigned long*) args[0]; + mask = args[1]; + val = args[2]; + *addr = ( val & mask ) | ( *addr & ~mask); + break; + + case OPCODE_MASKPOLL: + addr = (unsigned long*) args[0]; + mask = args[1]; + i = 0; + while (!(*addr & mask)) { + if (i == PS7_MASK_POLL_TIME) { + finish = PS7_INIT_TIMEOUT; + break; + } + i++; + } + break; + case OPCODE_MASKDELAY: + addr = (unsigned long*) args[0]; + mask = args[1]; + int delay = get_number_of_cycles_for_delay(mask); + perf_reset_and_start_timer(); + while ((*addr < delay)) { + } + break; + default: + finish = PS7_INIT_CORRUPT; + break; + } + } + return finish; +} + +unsigned long *ps7_mio_init_data = ps7_mio_init_data_3_0; +unsigned long *ps7_pll_init_data = ps7_pll_init_data_3_0; +unsigned long *ps7_clock_init_data = ps7_clock_init_data_3_0; +unsigned long *ps7_ddr_init_data = ps7_ddr_init_data_3_0; +unsigned long *ps7_peripherals_init_data = ps7_peripherals_init_data_3_0; + +int +ps7_post_config() +{ + // Get the PS_VERSION on run time + unsigned long si_ver = ps7GetSiliconVersion (); + int ret = -1; + if (si_ver == PCW_SILICON_VERSION_1) { + ret = ps7_config (ps7_post_config_1_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } else if (si_ver == PCW_SILICON_VERSION_2) { + ret = ps7_config (ps7_post_config_2_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } else { + ret = ps7_config (ps7_post_config_3_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } + return PS7_INIT_SUCCESS; +} + +int +ps7_debug() +{ + // Get the PS_VERSION on run time + unsigned long si_ver = ps7GetSiliconVersion (); + int ret = -1; + if (si_ver == PCW_SILICON_VERSION_1) { + ret = ps7_config (ps7_debug_1_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } else if (si_ver == PCW_SILICON_VERSION_2) { + ret = ps7_config (ps7_debug_2_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } else { + ret = ps7_config (ps7_debug_3_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } + return PS7_INIT_SUCCESS; +} + + +int +ps7_init() +{ + // Get the PS_VERSION on run time + unsigned long si_ver = ps7GetSiliconVersion (); + int ret; + //int pcw_ver = 0; + + if (si_ver == PCW_SILICON_VERSION_1) { + ps7_mio_init_data = ps7_mio_init_data_1_0; + ps7_pll_init_data = ps7_pll_init_data_1_0; + ps7_clock_init_data = ps7_clock_init_data_1_0; + ps7_ddr_init_data = ps7_ddr_init_data_1_0; + ps7_peripherals_init_data = ps7_peripherals_init_data_1_0; + //pcw_ver = 1; + + } else if (si_ver == PCW_SILICON_VERSION_2) { + ps7_mio_init_data = ps7_mio_init_data_2_0; + ps7_pll_init_data = ps7_pll_init_data_2_0; + ps7_clock_init_data = ps7_clock_init_data_2_0; + ps7_ddr_init_data = ps7_ddr_init_data_2_0; + ps7_peripherals_init_data = ps7_peripherals_init_data_2_0; + //pcw_ver = 2; + + } else { + ps7_mio_init_data = ps7_mio_init_data_3_0; + ps7_pll_init_data = ps7_pll_init_data_3_0; + ps7_clock_init_data = ps7_clock_init_data_3_0; + ps7_ddr_init_data = ps7_ddr_init_data_3_0; + ps7_peripherals_init_data = ps7_peripherals_init_data_3_0; + //pcw_ver = 3; + } + + // MIO init + ret = ps7_config (ps7_mio_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + + // PLL init + ret = ps7_config (ps7_pll_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + + // Clock init + ret = ps7_config (ps7_clock_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + + // DDR init + ret = ps7_config (ps7_ddr_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + + + + // Peripherals init + ret = ps7_config (ps7_peripherals_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + //xil_printf ("\n PCW Silicon Version : %d.0", pcw_ver); + return PS7_INIT_SUCCESS; +} + + + + +/* For delay calculation using global timer */ + +/* start timer */ + void perf_start_clock(void) +{ + *(volatile unsigned int*)SCU_GLOBAL_TIMER_CONTROL = ((1 << 0) | // Timer Enable + (1 << 3) | // Auto-increment + (0 << 8) // Pre-scale + ); +} + +/* stop timer and reset timer count regs */ + void perf_reset_clock(void) +{ + perf_disable_clock(); + *(volatile unsigned int*)SCU_GLOBAL_TIMER_COUNT_L32 = 0; + *(volatile unsigned int*)SCU_GLOBAL_TIMER_COUNT_U32 = 0; +} + +/* Compute mask for given delay in miliseconds*/ +int get_number_of_cycles_for_delay(unsigned int delay) +{ + // GTC is always clocked at 1/2 of the CPU frequency (CPU_3x2x) + return (APU_FREQ*delay/(2*1000)); + +} + +/* stop timer */ + void perf_disable_clock(void) +{ + *(volatile unsigned int*)SCU_GLOBAL_TIMER_CONTROL = 0; +} + +void perf_reset_and_start_timer() +{ + perf_reset_clock(); + perf_start_clock(); +} + + + + diff --git a/fpga/usrp3/top/e31x/ip/fifo_4k_2clk/Makefile.inc b/fpga/usrp3/top/e31x/ip/fifo_4k_2clk/Makefile.inc new file mode 100644 index 000000000..e022d9a1c --- /dev/null +++ b/fpga/usrp3/top/e31x/ip/fifo_4k_2clk/Makefile.inc @@ -0,0 +1,15 @@ +# +# Copyright 2014 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_FIFO_4K_2CLK_SRCS = $(IP_BUILD_DIR)/fifo_4k_2clk/fifo_4k_2clk.xci + +IP_FIFO_4K_2CLK_OUTS = $(addprefix $(IP_BUILD_DIR)/fifo_4k_2clk/, \ +fifo_4k_2clk.xci.out \ +synth/fifo_4k_2clk.vhd \ +) + +$(IP_FIFO_4K_2CLK_SRCS) $(IP_FIFO_4K_2CLK_OUTS) : $(IP_DIR)/fifo_4k_2clk/fifo_4k_2clk.xci + $(call BUILD_VIVADO_IP,fifo_4k_2clk,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) diff --git a/fpga/usrp3/top/e31x/ip/fifo_4k_2clk/fifo_4k_2clk.xci b/fpga/usrp3/top/e31x/ip/fifo_4k_2clk/fifo_4k_2clk.xci new file mode 100644 index 000000000..45633b29c --- /dev/null +++ b/fpga/usrp3/top/e31x/ip/fifo_4k_2clk/fifo_4k_2clk.xci @@ -0,0 +1,575 @@ + + + xilinx.com + xci + unknown + 1.0 + + + fifo_4k_2clk + + + + + + 100000000 + 0 + 0.000 + + + 100000000 + 0 + 0.000 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + + + 100000000 + 0 + 0.000 + + 100000000 + 0 + 0.000 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + + + 100000000 + 0 + 0.000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 4 + 0 + 32 + 1 + 1 + 1 + 64 + 1 + 8 + 1 + 1 + 1 + 1 + 0 + 0 + 9 + BlankString + 72 + 1 + 32 + 64 + 1 + 64 + 2 + 0 + 72 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + zynq + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 2 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + 1 + BlankString + 1 + 0 + 0 + 0 + 0 + 1 + 512x72 + 1kx18 + 512x36 + 1kx36 + 512x36 + 1kx36 + 512x36 + 4 + 1022 + 1022 + 1022 + 1022 + 1022 + 1022 + 5 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 511 + 1023 + 1023 + 1023 + 1023 + 1023 + 1023 + 510 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 10 + 512 + 1 + 9 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 2 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 10 + 512 + 1024 + 16 + 1024 + 16 + 1024 + 16 + 1 + 9 + 10 + 4 + 10 + 4 + 10 + 4 + 1 + 32 + 0 + 0 + false + false + false + 0 + 0 + Slave_Interface_Clock_Enable + Common_Clock + fifo_4k_2clk + 64 + false + 9 + false + false + 0 + 4 + 1022 + 1022 + 1022 + 1022 + 1022 + 1022 + 5 + false + false + false + false + false + false + false + false + false + Hard_ECC + false + false + false + false + false + false + true + false + false + true + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Independent_Clocks_Block_RAM + 1 + 511 + 1023 + 1023 + 1023 + 1023 + 1023 + 1023 + 510 + false + false + false + 0 + Native + false + false + false + false + false + false + false + false + false + false + false + false + false + false + 72 + 512 + 1024 + 16 + 1024 + 16 + 1024 + 16 + false + 72 + 512 + Embedded_Reg + false + false + Active_High + Active_High + AXI4 + First_Word_Fall_Through + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + READ_WRITE + 0 + 1 + true + 10 + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + true + Asynchronous_Reset + false + 0 + 0 + 0 + 0 + 0 + 4 + false + false + Active_High + Active_High + true + false + false + true + false + Active_High + 0 + false + Active_High + 1 + true + 10 + false + FIFO + false + false + false + false + FIFO + FIFO + 2 + 2 + false + FIFO + FIFO + FIFO + zynq + + + xc7z020 + clg484 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Flow + 4 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/e31x/ip/fifo_short_2clk/Makefile.inc b/fpga/usrp3/top/e31x/ip/fifo_short_2clk/Makefile.inc new file mode 100644 index 000000000..8c5c54213 --- /dev/null +++ b/fpga/usrp3/top/e31x/ip/fifo_short_2clk/Makefile.inc @@ -0,0 +1,15 @@ +# +# Copyright 2014 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_FIFO_SHORT_2CLK_SRCS = $(IP_BUILD_DIR)/fifo_short_2clk/fifo_short_2clk.xci + +IP_FIFO_SHORT_2CLK_OUTS = $(addprefix $(IP_BUILD_DIR)/fifo_short_2clk/, \ +fifo_short_2clk.xci.out \ +synth/fifo_short_2clk.vhd \ +) + +$(IP_FIFO_SHORT_2CLK_SRCS) $(IP_FIFO_SHORT_2CLK_OUTS) : $(IP_DIR)/fifo_short_2clk/fifo_short_2clk.xci + $(call BUILD_VIVADO_IP,fifo_short_2clk,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) diff --git a/fpga/usrp3/top/e31x/ip/fifo_short_2clk/fifo_short_2clk.xci b/fpga/usrp3/top/e31x/ip/fifo_short_2clk/fifo_short_2clk.xci new file mode 100644 index 000000000..a07af7845 --- /dev/null +++ b/fpga/usrp3/top/e31x/ip/fifo_short_2clk/fifo_short_2clk.xci @@ -0,0 +1,577 @@ + + + xilinx.com + xci + unknown + 1.0 + + + fifo_short_2clk + + + + + + 100000000 + 0 + 0.000 + + + 100000000 + 0 + 0.000 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + + + 100000000 + 0 + 0.000 + + 100000000 + 0 + 0.000 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + + + 100000000 + 0 + 0.000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 4 + 0 + 32 + 1 + 1 + 1 + 64 + 1 + 8 + 1 + 1 + 1 + 1 + 0 + 0 + 5 + BlankString + 72 + 1 + 32 + 64 + 1 + 64 + 2 + 0 + 72 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + zynq + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 2 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + 2 + BlankString + 1 + 0 + 0 + 0 + 0 + 1 + 512x72 + 1kx18 + 512x36 + 1kx36 + 512x36 + 1kx36 + 512x36 + 4 + 1022 + 1022 + 1022 + 1022 + 1022 + 1022 + 5 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 31 + 1023 + 1023 + 1023 + 1023 + 1023 + 1023 + 30 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 6 + 32 + 1 + 5 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 2 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 6 + 32 + 1024 + 16 + 1024 + 16 + 1024 + 16 + 1 + 5 + 10 + 4 + 10 + 4 + 10 + 4 + 1 + 32 + 0 + 0 + false + false + false + 0 + 0 + Slave_Interface_Clock_Enable + Common_Clock + fifo_short_2clk + 64 + false + 5 + false + false + 0 + 4 + 1022 + 1022 + 1022 + 1022 + 1022 + 1022 + 5 + false + false + false + false + false + false + false + false + false + Hard_ECC + false + false + false + false + false + false + true + false + false + true + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Independent_Clocks_Distributed_RAM + 1 + 31 + 1023 + 1023 + 1023 + 1023 + 1023 + 1023 + 30 + false + false + false + 0 + Native + false + false + false + false + false + false + false + false + false + false + false + false + false + false + 72 + 32 + 1024 + 16 + 1024 + 16 + 1024 + 16 + false + 72 + 32 + Embedded_Reg + false + false + Active_High + Active_High + AXI4 + First_Word_Fall_Through + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + READ_WRITE + 0 + 1 + true + 6 + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + true + Asynchronous_Reset + false + 0 + 0 + 0 + 0 + 0 + 4 + false + false + Active_High + Active_High + true + false + false + true + false + Active_High + 0 + false + Active_High + 1 + true + 6 + false + FIFO + false + false + false + false + FIFO + FIFO + 2 + 2 + false + FIFO + FIFO + FIFO + zynq + + + xc7z020 + clg484 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Flow + 4 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/e31x/ip/mig_7series_0/Makefile.inc b/fpga/usrp3/top/e31x/ip/mig_7series_0/Makefile.inc new file mode 100644 index 000000000..0be73f919 --- /dev/null +++ b/fpga/usrp3/top/e31x/ip/mig_7series_0/Makefile.inc @@ -0,0 +1,32 @@ +# +# Copyright 2015 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_MIG_7SERIES_0_SRCS = $(IP_BUILD_DIR)/mig_7series_0/mig_7series_0.xci + +IP_MIG_7SERIES_0_OUTS = $(addprefix $(IP_BUILD_DIR)/mig_7series_0/, \ +mig_7series_0.xci.out \ +mig_7series_0/user_design/rtl/mig_7series_0.v \ +mig_7series_0/user_design/rtl/mig_7series_0_mig.v \ +) + +IP_MIG_7SERIES_TG_SRCS = $(addprefix $(IP_BUILD_DIR)/mig_7series_0/, \ +mig_7series_0/example_design/rtl/example_top.v \ +mig_7series_0/example_design/rtl/traffic_gen/mig_7series_v4_2_axi4_tg.v \ +mig_7series_0/example_design/rtl/traffic_gen/mig_7series_v4_2_axi4_wrapper.v \ +mig_7series_0/example_design/rtl/traffic_gen/mig_7series_v4_2_cmd_prbs_gen_axi.v \ +mig_7series_0/example_design/rtl/traffic_gen/mig_7series_v4_2_data_gen_chk.v \ +mig_7series_0/example_design/rtl/traffic_gen/mig_7series_v4_2_tg.v \ +) + +IP_MIG_7SERIES_0_SIM_OUTS = $(addprefix $(IP_BUILD_DIR)/mig_7series_0/, \ +mig_7series_0/example_design/sim/ddr3_model.sv \ +mig_7series_0/example_design/sim/ddr3_model_parameters.vh \ +) + +$(IP_MIG_7SERIES_0_SRCS) $(IP_MIG_7SERIES_0_OUTS) : $(IP_DIR)/mig_7series_0/mig_7series_0.xci $(IP_DIR)/mig_7series_0/mig_*.prj + ln -fs mig_$(subst /,,$(PART_ID)).prj $(IP_DIR)/mig_7series_0/mig_a.prj # Hack: This won't allow parallel IP builds + $(call BUILD_VIVADO_IP,mig_7series_0,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) + rm -f $(IP_DIR)/mig_7series_0/mig_a.prj diff --git a/fpga/usrp3/top/e31x/ip/mig_7series_0/mig_7series_0.xci b/fpga/usrp3/top/e31x/ip/mig_7series_0/mig_7series_0.xci new file mode 100644 index 000000000..5b99fe23c --- /dev/null +++ b/fpga/usrp3/top/e31x/ip/mig_7series_0/mig_7series_0.xci @@ -0,0 +1,2648 @@ + + + xilinx.com + xci + unknown + 1.0 + + + mig_7series_0 + + + 0 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + false + 100000000 + + + + 100000000 + 0 + 0.000 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 29 + 0 + 0 + 0 + + 128 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 12 + 0 + 256 + 2 + 1 + 2 + 1 + 0.000 + AXI4 + READ_WRITE + 0 + 0 + 1 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 0 + 29 + 32 + 32 + 4 + 1048576 + 128 + 12 + 536870912 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 29 + 3 + 1 + 1 + 1 + 16 + OFF + 2 + 1 + 2 + 16 + 1 + OFF + 15 + 0 + 1 + 1 + 4 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100000000 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 800 + 1 + 0.000 + ACTIVE_HIGH + 29 + 1 + 8 + 18 + OFF + 1 + NOBUF + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + SINGLE + INTERNAL + FALSE + 1 + Custom + mig_7series_0 + Custom + Custom + mig_a.prj + zynq + + + xc7z020 + clg484 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Flow + 1 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/e31x/ip/mig_7series_0/mig_xc7z020clg484-1.prj b/fpga/usrp3/top/e31x/ip/mig_7series_0/mig_xc7z020clg484-1.prj new file mode 100644 index 000000000..f8c67329b --- /dev/null +++ b/fpga/usrp3/top/e31x/ip/mig_7series_0/mig_xc7z020clg484-1.prj @@ -0,0 +1,140 @@ + + + + mig_7series_0 + 1 + 1 + OFF + 1024 + ON + Enabled + xc7z020-clg484/-1 + 4.0 + Single-Ended + No Buffer + ACTIVE HIGH + FALSE + 1 + 50 Ohms + 0 + + 7z/xc7z020i-clg484 + + + DDR3_SDRAM/Components/MT41K256M16XX-125 + 2500 + 1.8V + 4:1 + 100 + 0 + 800 + 1.000 + 1 + 1 + 1 + 1 + 16 + 1 + 1 + Disabled + Normal + 4 + FALSE + + 15 + 10 + 3 + 1.5V + BANK_ROW_COLUMN + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 8 - Fixed + Sequential + 6 + Normal + No + Slow Exit + Enable + RZQ/6 + Disable + Disable + RZQ/6 + 0 + Disabled + Enabled + Output Buffer Enabled + Full Array + 5 + Enabled + Normal + Dynamic ODT off + AXI + + RD_PRI_REG + 29 + 128 + 12 + 1 + + + + diff --git a/fpga/usrp3/top/e31x/ip/mig_7series_0/mig_xc7z020clg484-3.prj b/fpga/usrp3/top/e31x/ip/mig_7series_0/mig_xc7z020clg484-3.prj new file mode 100644 index 000000000..635ea1471 --- /dev/null +++ b/fpga/usrp3/top/e31x/ip/mig_7series_0/mig_xc7z020clg484-3.prj @@ -0,0 +1,140 @@ + + + + mig_7series_0 + 1 + 1 + OFF + 1024 + ON + Enabled + xc7z020-clg484/-3 + 4.0 + Single-Ended + No Buffer + ACTIVE HIGH + FALSE + 1 + 50 Ohms + 0 + + 7z/xc7z020i-clg484 + + + DDR3_SDRAM/Components/MT41K256M16XX-125 + 2500 + 1.8V + 4:1 + 100 + 0 + 800 + 1.000 + 1 + 1 + 1 + 1 + 16 + 1 + 1 + Disabled + Normal + 4 + FALSE + + 15 + 10 + 3 + 1.5V + BANK_ROW_COLUMN + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 8 - Fixed + Sequential + 6 + Normal + No + Slow Exit + Enable + RZQ/6 + Disable + Disable + RZQ/6 + 0 + Disabled + Enabled + Output Buffer Enabled + Full Array + 5 + Enabled + Normal + Dynamic ODT off + AXI + + RD_PRI_REG + 29 + 128 + 12 + 1 + + + + diff --git a/fpga/usrp3/top/e31x/ppsloop.v b/fpga/usrp3/top/e31x/ppsloop.v new file mode 100644 index 000000000..ea720a373 --- /dev/null +++ b/fpga/usrp3/top/e31x/ppsloop.v @@ -0,0 +1,415 @@ +// +// Copyright 2015 Ettus Research +// + +`timescale 1ns / 1ps + + +module ppsloop( + input reset, + input xoclk, // 40 MHz from VCTCXO + input ppsgps, + input ppsext, + input [1:0] refsel, + output reg lpps, + output reg is10meg, + output reg ispps, + output reg reflck, + output plllck,// status of things + output sclk, + output mosi, + output sync_n, + input [15:0] dac_dflt + ); + wire ppsref = (refsel==2'b00)?ppsgps: + (refsel==2'b11)?ppsext: + 1'b0; + // reference pps to discilpline the VCTX|CXO to, from GPS or EXT in + + wire clk_200M_o, clk; + BUFG x_clk_gen ( .I(clk_200M_o), .O(clk)); + wire clk_40M; + + wire n_pps = (refsel==2'b01) | (refsel==2'b10); + reg _npps, no_pps; + always @(posedge clk) { no_pps, _npps } <= { _npps, n_pps }; + + PLLE2_ADV #(.BANDWIDTH("OPTIMIZED"), .COMPENSATION("INTERNAL"), + .DIVCLK_DIVIDE(1), + .CLKFBOUT_MULT(30), + .CLKOUT0_DIVIDE(6), + .CLKOUT1_DIVIDE(30), + .CLKIN1_PERIOD(25.0) + ) + clkgen ( + .PWRDWN(1'b0), .RST(1'b0), + .CLKIN1(xoclk), + .CLKOUT0(clk_200M_o), + .CLKOUT1(clk_40M), + .LOCKED(plllck) + ); + + // state machine to manage reference detection and xo adjustment steps + reg [2:0] sstate, nxt_sstate; + localparam REFDET=3'b000; + localparam CFADJ=3'b001; + localparam SLEDGEA=3'b010; + localparam SLEDGEB=3'b011; + localparam FINEADJ=3'b100; + + // state machine to manage lead-lag count + reg [1:0] llstate, nxt_llstate; + localparam READY=2'b00; + localparam COUNT=2'b01; + localparam DONE=2'b11; + localparam WAIT=2'b10; + + /* Counter generating a local pps for the xo derived clock domains. + nxt_lcnt is manipulated by a state machine (sstate) to allow + quick re-alignment of the local pps rising edge with that of + the reference. + */ + reg [27:0] lcnt, nxt_lcnt; + wire recycle = (28'd199_999_999==lcnt); // sets the period, 1 sec + + always @(posedge clk) begin + sstate <= nxt_sstate; + lcnt <= nxt_lcnt; + lpps <= lcnt > 28'd150_000_000; // ~25% duty cycle + end + + /* Reference signal detection: + * Count the time interval between rising edges on the reference + * signal. The interval counter "rcnt" is restarted at rising edges + * of ppsref. "ppsref" could be either a pps signal, or a 10 MHz clock. + * Register "rlst" captures the value of rcnt at each rising edge. + * From this count value, we know the reference frequency. + */ + reg [27:0] rcnt, rlst; + reg signed [28:0] rdiff; + wire signed [28:0] srlst = { 1'b0, rlst }; // sign extended version of rlst + wire [27:0] nxt_rcnt; + reg rcnt_ovfl; + reg [3:0] ple; // pipeline from reference rising edge det. + wire valid_ref = is10meg | ispps; + + + /* If the reference is at 10 MHz, derive a reference pps using a counter + * to feed the frequency control logic. To detect a 0.5 ppm deviation + * on a 10 MHz signal using counters requires the better part of a second + * anyway, so samples at a 1 Hz rate are appropriate. This allows much of + * the same logic to be used for pps or 10 Mhz references. + */ + reg [23:0] tcnt; + reg tpps; + wire [23:0] nxt_tcnt = (~is10meg | tcnt==24'd9999999) ? 24'b0 : tcnt+1'b1; + always @(posedge ppsref) begin + /* note this is clocked by the reference signal and is not useful when + * the reference is a pps. + */ + tcnt <= nxt_tcnt; + tpps <= (tcnt>24'd7499999); + end + + /* The reference needs to be synchronized into the local clock domain, + * and while the local 'pps' is generated synchronously within this + * domain, it gets passed through identical stages to maintain + * the time relationship between detected rising edges. + */ + reg [2:0] refsmp; + reg [2:0] tsmp; + reg [2:0] xosmp; + always @(posedge clk) begin + // apply same sync delay to all pps flavors + refsmp <= { refsmp[1:0], ppsref}; + tsmp <= { tsmp[1:0], tpps}; + xosmp <= { xosmp[1:0], lpps }; + end + + + wire rising_r = (refsmp[2:1]==2'b01); + wire rising_t = (tsmp[2:1]==2'b01); + wire rising_ref = is10meg ? rising_t : rising_r; + wire rising_xo = (xosmp[2:1]==2'b01); + wire lead = rising_xo & ~rising_ref; + wire lag = ~rising_xo & rising_ref; + wire trig = rising_xo ^ rising_ref; + wire dtrig = rising_xo & rising_ref; + wire untrig = rising_xo | rising_ref; + wire llrdy = (is10meg ? ~tsmp[2] : ~refsmp[2]) & ~xosmp[2]; + wire rhigh = is10meg ? tsmp[1] : refsmp[1]; + + + reg [5:0] pcnt; + reg pcnt_ovfl; + wire [5:0] nxt_pcnt = (rising_r | pcnt_ovfl) ? 6'b0 : pcnt+1'b1; + always @(posedge clk) begin + pcnt <= nxt_pcnt; + if (rcnt_ovfl) + is10meg <= 1'b0; + else if (pcnt == 6'b111111) begin + pcnt_ovfl <= 1'b1; + is10meg <= 1'b0; + end + else if (rising_r) begin + is10meg <= (pcnt > 6'd16) & (pcnt < 6'd24); + pcnt_ovfl <= 1'b0; + end + end + + reg rr; + assign nxt_rcnt = rr ? 28'b0 : rcnt+1'b1; + always @(posedge clk) begin + rr <= rising_ref; + ple[3:0] <= {ple[2:0],rising_ref & valid_ref}; + + rcnt <= nxt_rcnt; + + // set the overflow flag if no reference edge is detected and + // hold it asserted until an edge does arrive. This allows clearing of + // the other flags, even if there is no reference. + if (rcnt==28'b1111111111111111111111111111) + rcnt_ovfl <= 1'b1; + else if (rr) + rcnt_ovfl <= 1'b0; + + if (rr) begin + // a rising edge arrived, grab the count and compare to bounds + rlst <= rcnt; + end + if (rr | rcnt_ovfl) begin + ispps <= ~is10meg & ~rcnt_ovfl & (rcnt > 28'd199997000) & (rcnt < 200003000); + /* reference frequency detect limits: + * 10M sampled with 200M should be 20 cycles, 16-24 provides xtra margin + * to allow for tolerances and possibly sampling at jittery edges + * allow +- 15 ppm on a pps signal + */ + + end + end + + + reg signed [27:0] coarse; + reg [15:0] dacv = 16'd32767; // power-on default mid-scale + wire signed [16:0] sdacv = { 1'b0, dacv}; + /* to exit coarse adjustment, the frequency error shall be small for + * several cycles + */ + reg esmall; + reg [2:0] es; + + reg pr; + + + /* The xo can be on-frequency while the rising edges are still + * out-of-phase, so a phase detector is also required. The + * counter "llcnt" accumulates how many ticks local pps leads + * or lags the reference pps . The range of this counter + * need not be as large as "rcnt". The count increments + * or decrements based upon which signal has a rising edge first, + * and the count is halted when the other rising edge occurs. + * Both signals are required to transition back to the low state + * to re-arm the detection state machine. + */ + reg llcntena; + reg lead_lagn; + reg signed [11:0] llcnt, nxt_llcnt; + wire signed [11:0] incr = lead_lagn ? -12'sd1 : 12'sd1; // -1 lead, +1 lag + reg [3:0] llsmall; + reg llovfl; + + reg [2:0] refs1, refs0; + reg refchanged; + reg refinternal; + always @(posedge clk) begin + refs1 <= { refs1[1:0], refsel[1] }; + refs0 <= { refs0[1:0], refsel[0] }; + refchanged <= { refs1[2], refs0[2] } != { refs1[1], refs0[1] }; + refinternal <= refs1[2] ^ refs0[2]; // not gps or external + + // compute how far off the expected period we are + if (ple[1]) begin + rdiff <= srlst-29'd199999999; + end + + // compute an adjustment for the dac + if (ple[2]) begin + // if rdiff is (+), the xo is fast + // include a bit of gain for quick adjustment + // an approximate gain was initially determined by 'theory' using + // the xo tuning sensitivity, and was find-tuned 'by hand' + // by observing the loop behaviour (with rdiff instrumented and + // pps signals connected out to an oscilloscope). + coarse <= sdacv - (rdiff <<< 3); + end + + // determine when the period error is small + if (ple[2] | rcnt_ovfl) begin + es <= { es[1:0], (rdiff<29'sd8 && rdiff>-29'sd8) }; + esmall <= valid_ref & ~rcnt_ovfl & (es[2:0] == 3'b111); + end + else if (sstate==REFDET) begin + es <= 3'b0; + esmall <= 1'b0; + end + + // assign the dac value when doing coarse-adjustment + // in the fine-adjust phaase, the PI control filtering takes over + if (ple[3] & (sstate==CFADJ)) begin + dacv <= coarse[15:0]; + end + else if (sstate==REFDET) begin + dacv <= 16'd32767; // center the DAC + end + end + + + always @(*) begin + nxt_sstate=sstate; + pr = 1'b0; + nxt_lcnt = recycle ? 26'd0 : lcnt + 1'b1; + case (sstate) + REFDET: begin // determine reference type + pr = 1'b0; + if (valid_ref) nxt_sstate = CFADJ; + end + CFADJ: begin // coarse freqency adjustment + pr = 1'b1; + if (esmall) nxt_sstate = SLEDGEA; + end + SLEDGEA: begin // ensure local pps is low and wait for a ref edge + pr = 1'b1; // preload the integrator + if (rhigh) nxt_sstate = SLEDGEB; + end + SLEDGEB: begin // force local pps rising edge to match reference + nxt_lcnt = 26'd0; + pr = 1'b1; // preload the integrator + if(rhigh) begin + nxt_lcnt = 28'd149_999_998; // force rising edge in a couple cycles + nxt_sstate = FINEADJ; + end + end + FINEADJ: begin // wide-ish bandwidth PI control + if (~valid_ref | llovfl) nxt_sstate = REFDET; + end + default: begin + nxt_sstate = REFDET; + end + endcase + // overriding conditions: + if (refinternal | refchanged | rcnt_ovfl ) nxt_sstate = REFDET; + end + + reg llsena; + always @(posedge clk) begin + llstate <= nxt_llstate; + if (llcntena) llcnt <= nxt_llcnt; + if (llstate==READY) lead_lagn <= lead; + if (llsena) llsmall <= { (llsmall[2:0] == 3'b111), llsmall[1:0], + (llcnt < 12'sd3)&(llcnt > -12'sd3)}; + if (llcntena) llovfl <= (llcnt>12'sd1800) | (llcnt< -12'sd1800); + end + + reg ppsfltena; + always @(*) begin + // values to hold by default: + nxt_llstate = llstate; + llcntena=1'b0; + nxt_llcnt=llcnt; + ppsfltena = 1'b0; + llsena = 1'b0; + + case (llstate) + READY: begin + nxt_llcnt=12'b0; + if (trig | dtrig) begin + nxt_llstate = trig ? COUNT : DONE; + llcntena=1'b1; + // even if dtrig, set llcnt to 0 to feed the filter pipe + end + end + COUNT: begin + if (untrig) begin // the second edge arrived + nxt_llstate = DONE; + end + else begin + llcntena=1'b1; + nxt_llcnt=llcnt+incr; + end + end + DONE: begin + nxt_llstate = WAIT; + ppsfltena = 1'b1; + end + WAIT: begin + if (llrdy) begin + nxt_llstate = READY; + llsena = 1'b1; + end + end + endcase + if (sstate==REFDET) begin + nxt_llstate = READY; + llcntena=1'b0; + ppsfltena = 1'b0; + llsena = 1'b0; + end + end + + + reg[15:0] daco; + + reg [1:0] enchain=2'b00; + always @(posedge clk) enchain <= { enchain[1:0], ppsfltena & (enchain==2'b00) }; + + reg signed [23:0] integ; + reg signed [23:0] prop; + wire signed [23:0] nxt_integ = integ + (llcnt <<< 6); + wire signed [23:0] nxt_prop = (llcnt <<< 7); + wire signed [23:0] eff = integ + prop; + wire urng = eff[23], orng = eff[23:22]==2'b01; + reg erng; + /* The values for proportional and integral gain terms were originally + * estimated using a model that accounted for the xo tuning sensitivity. + * When implemented, the loop dynamics observed differed significantly + * from model results, probably as a result of the Xilinx PLL + * (which was not modelled) being present in the loop. The gain values + * were find-tuned 'by hand' by observing the loop behaviour (with llcnt + * instrumented) and pps signals connected out to an oscilloscope). + */ + + always @(posedge clk) begin + if (no_pps) begin + daco <= dac_dflt; + end + else if (pr) begin + integ <= { 2'b00, dacv, 6'b0 }; // precharge the accumulator + daco <= dacv; + end + else begin + if (enchain[0]) begin + integ <= nxt_integ; + prop <= nxt_prop; + end + if (enchain[1]) begin + daco <= eff[21:6]; + erng <= urng | orng; + end + end + end + + wire fadj= (sstate==FINEADJ); + always @(posedge clk) begin + reflck <= refinternal | fadj; + end + + ad5662_auto_spi dac + ( + .clk(clk), + .dat(daco), + .sclk(sclk), + .mosi(mosi), + .sync_n(sync_n) + ); + +endmodule diff --git a/fpga/usrp3/top/e31x/setupenv.sh b/fpga/usrp3/top/e31x/setupenv.sh new file mode 100644 index 000000000..940ccede2 --- /dev/null +++ b/fpga/usrp3/top/e31x/setupenv.sh @@ -0,0 +1,11 @@ +#!/bin/bash + +VIVADO_VER=2019.1 +DISPLAY_NAME="USRP-E31x" +REPO_BASE_PATH=$(cd "$(dirname "${BASH_SOURCE[0]}")/../.." && pwd) + +declare -A PRODUCT_ID_MAP +PRODUCT_ID_MAP["E310_SG1"]="zynq/xc7z020/clg484/-1" +PRODUCT_ID_MAP["E310_SG3"]="zynq/xc7z020/clg484/-3" + +source $REPO_BASE_PATH/tools/scripts/setupenv_base.sh diff --git a/fpga/usrp3/top/e31x/sim/dram_test/Makefile b/fpga/usrp3/top/e31x/sim/dram_test/Makefile new file mode 100644 index 000000000..164f956f3 --- /dev/null +++ b/fpga/usrp3/top/e31x/sim/dram_test/Makefile @@ -0,0 +1,65 @@ +# +# Copyright 2015 Ettus Research LLC +# + +#------------------------------------------------- +# Top-of-Makefile +#------------------------------------------------- +# Define BASE_DIR to point to the "top" dir +BASE_DIR = $(abspath ../../..) +# Include viv_sim_preample after defining BASE_DIR +include $(BASE_DIR)/../tools/make/viv_sim_preamble.mak + +#------------------------------------------------- +# Design Specific +#------------------------------------------------- +# Define part using PART_ID (//) +ARCH = zynq +PART_ID= xc7z020/clg484/-1 + +# Include makefiles and sources for the DUT and its dependencies +include $(BASE_DIR)/../lib/fifo/Makefile.srcs +include $(BASE_DIR)/../lib/axi/Makefile.srcs +include $(BASE_DIR)/../lib/control/Makefile.srcs + +DESIGN_SRCS = $(abspath \ +$(FIFO_SRCS) \ +$(AXI_SRCS) \ +$(CONTROL_LIB_SRCS) \ +) + +#------------------------------------------------- +# IP Specific +#------------------------------------------------- +# If simulation contains IP, define the IP_DIR and point +# it to the base level IP directory +IP_DIR = ../../ip + +# Include makefiles and sources for all IP components +# *after* defining the IP_DIR +include $(IP_DIR)/mig_7series_0/Makefile.inc + +DESIGN_SRCS += $(abspath \ +$(IP_MIG_7SERIES_0_SRCS) \ +) + +#------------------------------------------------- +# Testbench Specific +#------------------------------------------------- +# Define only one toplevel module +SIM_TOP = dram_test_tb + +SIM_SRCS = \ +$(abspath dram_test_tb.sv) \ +$(IP_MIG_7SERIES_0_SIM_OUTS) \ +$(IP_MIG_7SERIES_TG_SRCS) \ +$(SIM_GENERAL_SRCS) \ +$(SIM_AXI_SRCS) + +#------------------------------------------------- +# Bottom-of-Makefile +#------------------------------------------------- +# Include all simulator specific makefiles here +# Each should define a unique target to simulate +# e.g. xsim, vsim, etc and a common "clean" target +include $(BASE_DIR)/../tools/make/viv_simulator.mak diff --git a/fpga/usrp3/top/e31x/sim/dram_test/dram_test_tb.sv b/fpga/usrp3/top/e31x/sim/dram_test/dram_test_tb.sv new file mode 100644 index 000000000..e447c80a6 --- /dev/null +++ b/fpga/usrp3/top/e31x/sim/dram_test/dram_test_tb.sv @@ -0,0 +1,121 @@ +// +// Copyright 2016 Ettus Research +// + + +`timescale 1ns/1ps +`define SIM_TIMEOUT_US 10000 +`define NS_PER_TICK 1 +`define NUM_TEST_CASES 3 + +`define SIM_RUNTIME_US 100 + +`include "sim_clks_rsts.vh" +`include "sim_exec_report.vh" + +module dram_test_tb(); + `TEST_BENCH_INIT("dram_test_tb",`NUM_TEST_CASES,`NS_PER_TICK) + + // Define all clocks and resets + `DEFINE_CLK(sys_clk, 10, 50) //100MHz sys_clk to generate DDR3 clocking + `DEFINE_CLK(ref_clk, 5, 50) //200MHz ref_clk to generate DDR3 clocking + `DEFINE_RESET(sys_rst, 0, 250000) //100ns for GSR to deassert + + // Initialize DUT + wire calib_complete; + + wire [15:0] ddr3_dq; // Data pins. Input for Reads; Output for Writes. + wire [1:0] ddr3_dqs_n; // Data Strobes. Input for Reads; Output for Writes. + wire [1:0] ddr3_dqs_p; + wire [14:0] ddr3_addr; // Address + wire [2:0] ddr3_ba; // Bank Address + wire ddr3_ras_n; // Row Address Strobe. + wire ddr3_cas_n; // Column address select + wire ddr3_we_n; // Write Enable + wire ddr3_reset_n; // SDRAM reset pin. + wire [0:0] ddr3_ck_p; // Differential clock + wire [0:0] ddr3_ck_n; + wire [0:0] ddr3_cke; // Clock Enable + wire [0:0] ddr3_cs_n; // Chip Select + wire [3:0] ddr3_dm; // Data Mask [3] = UDM.U26; [2] = LDM.U26; + wire [0:0] ddr3_odt; // On-Die termination enable. + + ddr3_model #( + .DEBUG(1) //Disable verbose prints + ) sdram_i0 ( + .rst_n (ddr3_reset_n), + .ck (ddr3_ck_p), + .ck_n (ddr3_ck_n), + .cke (ddr3_cke), + .cs_n (1'b0), + .ras_n (ddr3_ras_n), + .cas_n (ddr3_cas_n), + .we_n (ddr3_we_n), + .dm_tdqs (ddr3_dm[1:0]), + .ba (ddr3_ba), + .addr (ddr3_addr), + .dq (ddr3_dq[15:0]), + .dqs (ddr3_dqs_p[1:0]), + .dqs_n (ddr3_dqs_n[1:0]), + .tdqs_n (), // Unused on x16 + .odt (ddr3_odt) + ); + + example_top inst_example_top + ( + .ddr3_dq (ddr3_dq), + .ddr3_dqs_n (ddr3_dqs_n), + .ddr3_dqs_p (ddr3_dqs_p), + .ddr3_addr (ddr3_addr), + .ddr3_ba (ddr3_ba), + .ddr3_ras_n (ddr3_ras_n), + .ddr3_cas_n (ddr3_cas_n), + .ddr3_we_n (ddr3_we_n), + .ddr3_reset_n (ddr3_reset_n), + .ddr3_ck_p (ddr3_ck_p), + .ddr3_ck_n (ddr3_ck_n), + .ddr3_cke (ddr3_cke), + .ddr3_dm (ddr3_dm), + .ddr3_odt (ddr3_odt), + .sys_clk_i (sys_clk), + .clk_ref_i (ref_clk), + .tg_compare_error (tg_compare_error), + .init_calib_complete (calib_complete), + .sys_rst (sys_rst) + ); + + // + //Make sure we catch the error condition + // + reg tg_compare_error_reg; + always @ (posedge sys_clk) + if (sys_rst) + tg_compare_error_reg = 1'b0; + else + tg_compare_error_reg = tg_compare_error | tg_compare_error_reg; + + //------------------------------------------ + //Main thread for testbench execution + //------------------------------------------ + + initial begin : tb_main + + `TEST_CASE_START("Wait for reset"); + while (sys_rst) @(posedge sys_clk); + `TEST_CASE_DONE((~sys_rst)); + + repeat (200) @(posedge sys_clk); + + `TEST_CASE_START("Wait for initial calibration to complete"); + while (calib_complete !== 1'b1) @(posedge sys_clk); + `TEST_CASE_DONE(calib_complete); + + `TEST_CASE_START("Run for a while, then check for error"); + repeat (2_000_000) @(posedge sys_clk); + `ASSERT_ERROR(tg_compare_error_reg == 1'b0, "Test generator reported error"); + `TEST_CASE_DONE(1'b1); + `TEST_BENCH_DONE; + + end + +endmodule diff --git a/fpga/usrp3/top/e31x/sim/e310_io_tb/Makefile b/fpga/usrp3/top/e31x/sim/e310_io_tb/Makefile new file mode 100644 index 000000000..bf4922c21 --- /dev/null +++ b/fpga/usrp3/top/e31x/sim/e310_io_tb/Makefile @@ -0,0 +1,40 @@ +# +# Copyright 2015 Ettus Research LLC +# + +#------------------------------------------------- +# Top-of-Makefile +#------------------------------------------------- +# Define BASE_DIR to point to the "top" dir +BASE_DIR = $(abspath ../../..) +# Include viv_sim_preample after defining BASE_DIR +include $(BASE_DIR)/../tools/make/viv_sim_preamble.mak + +#------------------------------------------------- +# Design Specific +#------------------------------------------------- +# Define part using PART_ID (//) +ARCH = zynq +PART_ID= xc7z020/clg484/-1 + +DESIGN_SRCS = $(abspath ../../e310_io.v) \ + $(abspath $(addprefix $(BASE_DIR)/../lib/control/, \ + synchronizer.v \ + synchronizer_impl.v)) + +#------------------------------------------------- +# Testbench Specific +#------------------------------------------------- +# Define only one toplevel module +SIM_TOP = e310_io_tb + +SIM_SRCS = \ +$(abspath e310_io_tb.sv) + +#------------------------------------------------- +# Bottom-of-Makefile +#------------------------------------------------- +# Include all simulator specific makefiles here +# Each should define a unique target to simulate +# e.g. xsim, vsim, etc and a common "clean" target +include $(BASE_DIR)/../tools/make/viv_simulator.mak diff --git a/fpga/usrp3/top/e31x/sim/e310_io_tb/e310_io_tb.sv b/fpga/usrp3/top/e31x/sim/e310_io_tb/e310_io_tb.sv new file mode 100644 index 000000000..66e086644 --- /dev/null +++ b/fpga/usrp3/top/e31x/sim/e310_io_tb/e310_io_tb.sv @@ -0,0 +1,230 @@ +// +// Copyright 2015 Ettus Research +// +// Test bench for E310 I/O interface to AD9361. + +`timescale 1ns/1ps +`define SIM_TIMEOUT_US 20 +`define NS_PER_TICK 1 +`define NUM_TEST_CASES 6 + +`include "sim_clks_rsts.vh" +`include "sim_exec_report.vh" + +module e310_io_tb(); + `TEST_BENCH_INIT("e310_io_tb",`NUM_TEST_CASES,`NS_PER_TICK) + + // Define all clocks and resets + `DEFINE_CLK(rx_clk, 16.27, 50) // ~61.44 MHz clock from AD9361 + `DEFINE_RESET(areset, 0, 100) // 100ns reset + + reg mimo; + wire radio_clk, radio_rst; + wire [11:0] rx_i0, rx_i1, rx_q0, rx_q1; + wire rx_stb; + reg [11:0] tx_i0, tx_i1, tx_q0, tx_q1; + wire tx_stb; + reg rx_frame; + reg [11:0] rx_data; + wire tx_clk; + wire tx_frame; + wire [11:0] tx_data; + e310_io e310_io ( + .areset(areset), + .mimo(mimo), + .radio_clk(radio_clk), + .radio_rst(radio_rst), + .rx_i0(rx_i0), + .rx_q0(rx_q0), + .rx_i1(rx_i1), + .rx_q1(rx_q1), + .rx_stb(rx_stb), + .tx_i0(tx_i0), + .tx_q0(tx_q0), + .tx_i1(tx_i1), + .tx_q1(tx_q1), + .tx_stb(tx_stb), + .rx_clk(rx_clk), + .rx_frame(rx_frame), + .rx_data(rx_data), + .tx_clk(tx_clk), + .tx_frame(tx_frame), + .tx_data(tx_data)); + + /******************************************************** + ** Test Bench + ********************************************************/ + initial begin : tb_main + mimo <= 1'b0; + tx_i0 <= 'd0; + tx_q0 <= 'd0; + tx_i1 <= 'd0; + tx_q1 <= 'd0; + rx_data <= 'd0; + rx_frame <= 1'b0; + `TEST_CASE_START("Wait for reset"); + while (areset) @(posedge radio_clk); + `TEST_CASE_DONE((~areset)); + + repeat (10) @(posedge radio_clk); + + `TEST_CASE_START("Test RX channel 0,1"); + mimo <= 1'b0; + rx_data <= 'd0; + repeat (10) @(posedge radio_clk); + fork + begin + for (int i = 1; i < 64; i = i + 2) begin + @(posedge radio_clk); + rx_frame <= 1'b1; + rx_data <= i; + @(negedge radio_clk); + rx_frame <= 1'b0; + rx_data <= i+1; + end + end + begin + while ({rx_i0, rx_q0} == 24'd0) @(posedge radio_clk); + for (int i = 1; i < 64; i = i + 2) begin + // RX should be replicated across both ports + `ASSERT_ERROR(rx_i0 == i, "RX0 I incorrect!"); + `ASSERT_ERROR(rx_q0 == i+1, "RX0 Q incorrect!"); + `ASSERT_ERROR(rx_i1 == i, "RX1 I incorrect!"); + `ASSERT_ERROR(rx_q1 == i+1, "RX1 Q incorrect!"); + @(posedge radio_clk); + end + end + join + `TEST_CASE_DONE(1); + + `TEST_CASE_START("Test RX channels 0 & 1 (MIMO mode)"); + mimo <= 1'b1; + rx_frame <= 1'b0; + rx_data <= 'd0; + repeat (10) @(posedge radio_clk); + fork + begin + for (int i = 1; i < 64; i = i + 2) begin + @(posedge radio_clk); + rx_frame <= ~rx_frame; + rx_data <= i; + @(negedge radio_clk); + rx_data <= i+1; + end + end + begin + while ({rx_i0, rx_q0} == 24'd0) @(posedge radio_clk); + for (int i = 1; i < 32; i = i + 4) begin + // RX should be replicated across both ports + `ASSERT_ERROR(rx_i0 == i, "RX0 I incorrect!"); + `ASSERT_ERROR(rx_q0 == i+1, "RX0 Q incorrect!"); + @(posedge radio_clk); + `ASSERT_ERROR(rx_i1 == i+2, "RX1 I incorrect!"); + `ASSERT_ERROR(rx_q1 == i+3, "RX1 Q incorrect!"); + @(posedge radio_clk); + end + end + join + `TEST_CASE_DONE(1); + + `TEST_CASE_START("Test TX channel 0"); + mimo <= 1'b0; + tx_i0 <= 'd0; + tx_q0 <= 'd0; + tx_i1 <= 'd0; + tx_q1 <= 'd0; + repeat (10) @(posedge radio_clk); + // TX0 + fork + begin + for (int i = 1; i < 64; i = i + 2) begin + tx_i0 <= i; + tx_q0 <= i+1; + @(posedge radio_clk); + end + end + begin + while (tx_data == 12'd0) @(posedge tx_clk); + for (int i = 1; i < 64; i = i + 2) begin + // RX should be replicated across both ports + `ASSERT_ERROR(tx_data == i, "TX0 I data incorrect!"); + `ASSERT_ERROR(tx_frame == 1'b1, "TX frame incorrect"); + @(negedge tx_clk); + `ASSERT_ERROR(tx_data == i+1, "TX0 Q data incorrect!"); + `ASSERT_ERROR(tx_frame == 1'b0, "TX frame incorrect"); + @(posedge tx_clk); + end + end + join + `TEST_CASE_DONE(1); + + `TEST_CASE_START("Test TX channel 1"); + mimo <= 1'b0; + tx_i0 <= 'd0; + tx_q0 <= 'd0; + tx_i1 <= 'd0; + tx_q1 <= 'd0; + repeat (10) @(posedge radio_clk); + fork + begin + for (int i = 1; i < 64; i = i + 2) begin + tx_i1 <= i; + tx_q1 <= i+1; + @(posedge radio_clk); + end + end + begin + while (tx_data == 12'd0) @(posedge tx_clk); + for (int i = 1; i < 64; i = i + 2) begin + `ASSERT_ERROR(tx_data == i, "TX1 I data incorrect!"); + `ASSERT_ERROR(tx_frame == 1'b1, "TX frame incorrect"); + @(negedge tx_clk); + `ASSERT_ERROR(tx_data == i+1, "TX1 Q data incorrect!"); + `ASSERT_ERROR(tx_frame == 1'b0, "TX frame incorrect"); + @(posedge tx_clk); + end + end + join + `TEST_CASE_DONE(1); + + `TEST_CASE_START("Test TX channel 0 & 1 (MIMO)"); + mimo <= 1'b1; + tx_i0 <= 'd0; + tx_q0 <= 'd0; + tx_i1 <= 'd0; + tx_q1 <= 'd0; + repeat (10) @(posedge radio_clk); + fork + begin + for (int i = 1; i < 32; i = i + 4) begin + tx_i0 <= i; + tx_q0 <= i+1; + tx_i1 <= i+2; + tx_q1 <= i+3; + @(posedge radio_clk); + while (tx_stb) @(posedge radio_clk); + end + end + begin + while (tx_data == 12'd0) @(posedge tx_clk); + for (int i = 1; i < 32; i = i + 4) begin + `ASSERT_ERROR(tx_data == i, "TX0 I data incorrect!"); + `ASSERT_ERROR(tx_frame == 1'b1, "TX frame incorrect"); + @(negedge tx_clk); + `ASSERT_ERROR(tx_data == i+1, "TX0 Q data incorrect!"); + `ASSERT_ERROR(tx_frame == 1'b1, "TX frame incorrect"); + @(posedge tx_clk); + `ASSERT_ERROR(tx_data == i+2, "TX1 I data incorrect!"); + `ASSERT_ERROR(tx_frame == 1'b0, "TX frame incorrect"); + @(negedge tx_clk); + `ASSERT_ERROR(tx_data == i+3, "TX1 Q data incorrect!"); + `ASSERT_ERROR(tx_frame == 1'b0, "TX frame incorrect"); + @(posedge tx_clk); + end + end + join + `TEST_CASE_DONE(1); + `TEST_BENCH_DONE; + end + +endmodule diff --git a/fpga/usrp3/top/e31x/sim/e3x0/catcap_ddr_cmos/catcap_tb.build b/fpga/usrp3/top/e31x/sim/e3x0/catcap_ddr_cmos/catcap_tb.build new file mode 100755 index 000000000..759549e4b --- /dev/null +++ b/fpga/usrp3/top/e31x/sim/e3x0/catcap_ddr_cmos/catcap_tb.build @@ -0,0 +1,21 @@ + +#!/bin/sh + +rm -rf isim* +rm -rf catcap_tb +rm -rf fuse* +\ +# --sourcelibdir ../../models \ + +vlogcomp \ + --sourcelibext .v \ + --sourcelibdir ../../../top/e300/coregen \ + --sourcelibdir ../../control_lib \ + --sourcelibdir ../../../top/e300/ \ + --sourcelibdir $XILINX/verilog/src \ + --sourcelibdir $XILINX/verilog/src/unisims \ + --work work \ + catcap_tb.v + + +fuse -o catcap_tb catcap_tb diff --git a/fpga/usrp3/top/e31x/sim/e3x0/catcap_ddr_cmos/catcap_tb.v b/fpga/usrp3/top/e31x/sim/e3x0/catcap_ddr_cmos/catcap_tb.v new file mode 100644 index 000000000..4e05e6ed4 --- /dev/null +++ b/fpga/usrp3/top/e31x/sim/e3x0/catcap_ddr_cmos/catcap_tb.v @@ -0,0 +1,114 @@ +// +// Copyright 2014 Ettus Research, a National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// +`timescale 1ns/1ps + +module catcap_tb(); + + wire GSR, GTS; + glbl glbl( ); + + reg clk = 0; + reg ddrclk = 0; + reg reset = 1; + + always #100 clk = ~clk; + always @(negedge clk) ddrclk <= ~ddrclk; + + initial $dumpfile("catcap_tb.vcd"); + initial $dumpvars(0,catcap_tb); + + wire [11:0] i0 = {4'hA,count}; + wire [11:0] q0 = {4'hB,count}; + wire [11:0] i1 = {4'hC,count}; + wire [11:0] q1 = {4'hD,count}; + + reg mimo; + reg [11:0] pins; + reg frame; + reg [7:0] count; + + initial + begin + #1000 reset = 0; + MIMO_BURST(4); + MIMO_BURST(5); + BURST(4); + BURST(5); + #2000; + $finish; + end + + task BURST; + input [7:0] len; + begin + frame <= 0; + @(posedge clk); + @(posedge clk); + mimo <= 0; + @(posedge clk); + @(posedge clk); + @(posedge clk); + @(posedge ddrclk); + count <= 0; + repeat(len) + begin + @(posedge clk); + pins <= i0; + frame <= 1; + @(posedge clk); + pins <= q0; + frame <= 0; + count <= count + 1; + end + end + endtask // BURST + + task MIMO_BURST; + input [7:0] len; + begin + frame <= 0; + @(posedge clk); + @(posedge clk); + mimo <= 1; + @(posedge clk); + @(posedge clk); + @(posedge clk); + @(posedge ddrclk); + count <= 0; + repeat(len) + begin + @(posedge clk); + pins <= i0; + frame <= 1; + @(posedge clk); + pins <= q0; + @(posedge clk); + pins <= i1; + frame <= 0; + @(posedge clk); + pins <= q1; + count <= count + 1; + end + @(posedge clk); + @(posedge clk); + end + endtask // MIMO_BURST + + wire rx_clk, rx_strobe; + wire [11:0] i0o,i1o,q0o,q1o; + + catcap_ddr_cmos catcap + (.data_clk(ddrclk), + .reset(reset), + .mimo(mimo), + .rx_frame(frame), + .rx_d(pins), + .rx_clk(rx_clk), + .rx_strobe(rx_strobe), + .i0(i0o),.q0(q0o), + .i1(i1o),.q1(q1o)); + +endmodule // hb_chain_tb diff --git a/fpga/usrp3/top/e31x/sim/e3x0/catgen_ddr_cmos/catgen_tb.build b/fpga/usrp3/top/e31x/sim/e3x0/catgen_ddr_cmos/catgen_tb.build new file mode 100755 index 000000000..6512340f1 --- /dev/null +++ b/fpga/usrp3/top/e31x/sim/e3x0/catgen_ddr_cmos/catgen_tb.build @@ -0,0 +1,21 @@ + +#!/bin/sh + +rm -rf isim* +rm -rf catgen_tb +rm -rf fuse* +\ +# --sourcelibdir ../../models \ + +vlogcomp \ + --sourcelibext .v \ + --sourcelibdir ../../../top/e300/coregen \ + --sourcelibdir ../../control_lib \ + --sourcelibdir ../../../top/e300 \ + --sourcelibdir $XILINX/verilog/src \ + --sourcelibdir $XILINX/verilog/src/unisims \ + --work work \ + catgen_tb.v + + +fuse -o catgen_tb catgen_tb diff --git a/fpga/usrp3/top/e31x/sim/e3x0/catgen_ddr_cmos/catgen_tb.v b/fpga/usrp3/top/e31x/sim/e3x0/catgen_ddr_cmos/catgen_tb.v new file mode 100644 index 000000000..070364b41 --- /dev/null +++ b/fpga/usrp3/top/e31x/sim/e3x0/catgen_ddr_cmos/catgen_tb.v @@ -0,0 +1,102 @@ +// +// Copyright 2014 Ettus Research, a National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// +`timescale 1ns/1ps + +module catgen_tb(); + + wire GSR, GTS; + glbl glbl( ); + + reg clk = 0; + reg reset = 1; + wire ddrclk; + + always #100 clk = ~clk; + + initial $dumpfile("catgen_tb.vcd"); + initial $dumpvars(0,catgen_tb); + + wire [11:0] pins; + wire frame; + + reg mimo; + reg [7:0] count; + reg tx_strobe; + + wire [11:0] i0 = {4'hA,count}; + wire [11:0] q0 = {4'hB,count}; + wire [11:0] i1 = {4'hC,count}; + wire [11:0] q1 = {4'hD,count}; + + initial + begin + #1000 reset = 0; + BURST(4); + BURST(5); + MIMO_BURST(4); + MIMO_BURST(5); + #2000; + $finish; + end + + task BURST; + input [7:0] len; + + begin + tx_strobe <= 0; + mimo <= 0; + count <= 0; + @(posedge clk); + @(posedge clk); + repeat(len) + begin + tx_strobe <= 1; + @(posedge clk); + count <= count + 1; + end + tx_strobe <= 0; + @(posedge clk); + @(posedge clk); + @(posedge clk); + end + endtask // BURST + + task MIMO_BURST; + input [7:0] len; + + begin + tx_strobe <= 0; + mimo <= 1; + count <= 0; + @(posedge clk); + @(posedge clk); + repeat(len) + begin + tx_strobe <= 1; + @(posedge clk); + tx_strobe <= 0; + @(posedge clk); + count <= count + 1; + end + tx_strobe <= 0; + @(posedge clk); + @(posedge clk); + @(posedge clk); + end + endtask // BURST + + catgen_ddr_cmos catgen + (.data_clk(ddrclk), + .reset(reset), + .mimo(mimo), + .tx_frame(frame), + .tx_d(pins), + .tx_clk(clk), + .tx_strobe(tx_strobe), + .i0(i0),.q0(q0), + .i1(i1),.q1(q1)); + +endmodule // hb_chain_tb diff --git a/fpga/usrp3/top/e31x/spi_slave.v b/fpga/usrp3/top/e31x/spi_slave.v new file mode 100644 index 000000000..d8d48115d --- /dev/null +++ b/fpga/usrp3/top/e31x/spi_slave.v @@ -0,0 +1,107 @@ +// +// Copyright 2015 Ettus Research LLC +// + +`ifndef LOG2 +`define LOG2(N) (\ + N < 2 ? 0 : \ + N < 4 ? 1 : \ + N < 8 ? 2 : \ + N < 16 ? 3 : \ + N < 32 ? 4 : \ + N < 64 ? 5 : \ + N < 128 ? 6 : \ + N < 256 ? 7 : \ + N < 512 ? 8 : \ + N < 1024 ? 9 : \ + 10) +`endif + +module spi_slave +#( + parameter DEPTH = 64 +) +( + // sys connect + input clk, + input rst, + + // spi slave port + input ss, + input mosi, + output miso, + input sck, + + // parallel data io port + output parallel_stb, + input [DEPTH-1:0] parallel_din, + output [DEPTH-1:0] parallel_dout +); + reg mosi_d, mosi_q; + reg ss_d, ss_q; + reg sck_d, sck_q; + reg sck_old_d, sck_old_q; + reg miso_d, miso_q; + + reg [DEPTH-1:0] data_d, data_q; + reg parallel_stb_d, parallel_stb_q; + reg [`LOG2(DEPTH)-1:0] bit_ct_d, bit_ct_q; + reg [DEPTH-1:0] parallel_dout_d, parallel_dout_q; + + assign miso = miso_q; + assign parallel_stb = parallel_stb_q; + assign parallel_dout = parallel_dout_q; + + always @(*) begin + ss_d = ss; + mosi_d = mosi; + miso_d = miso_q; + sck_d = sck; + sck_old_d = sck_q; + data_d = data_q; + parallel_stb_d = 1'b0; + bit_ct_d = bit_ct_q; + parallel_dout_d = parallel_dout_q; + + if (ss_q) begin + bit_ct_d = 'h0; + data_d = parallel_din; + miso_d = data_q[DEPTH-1]; + end + else begin + if (!sck_old_q && sck_q) begin // rising edge + data_d = {data_q[DEPTH-1-1:0], mosi_q}; + bit_ct_d = bit_ct_q + 1'b1; + if (bit_ct_q == (DEPTH - 1)) begin + parallel_dout_d = {data_q[DEPTH-1-1:0], mosi_q}; + parallel_stb_d = 1'b1; + data_d = parallel_din; + end + end + else if (sck_old_q && !sck_q) begin // falling edge + miso_d = data_q[DEPTH-1]; + end + end + end + + always @(posedge clk) begin + if (rst) begin + parallel_stb_q <= 1'b0; + bit_ct_q <= 'h0; + parallel_dout_q <= 'h0; + miso_q <= 1'b1; + end else begin + parallel_stb_q <= parallel_stb_d; + bit_ct_q <= bit_ct_d; + parallel_dout_q <= parallel_dout_d; + miso_q <= miso_d; + end + + sck_q <= sck_d; + mosi_q <= mosi_d; + ss_q <= ss_d; + data_q <= data_d; + sck_old_q <= sck_old_d; + end + +endmodule diff --git a/fpga/usrp3/top/e320/.gitignore b/fpga/usrp3/top/e320/.gitignore new file mode 100644 index 000000000..1b0a8a728 --- /dev/null +++ b/fpga/usrp3/top/e320/.gitignore @@ -0,0 +1,8 @@ +build +build-* +isim* +fuse* +tmp* +*.log +*.jou +*impact* diff --git a/fpga/usrp3/top/e320/Makefile b/fpga/usrp3/top/e320/Makefile new file mode 100644 index 000000000..b15d4317c --- /dev/null +++ b/fpga/usrp3/top/e320/Makefile @@ -0,0 +1,100 @@ +# +# Copyright 2018-2019 Ettus Research, a National Instruments Brand +# + +# NOTE: All comments prefixed with a "##" will be displayed as a part of the "make help" target +##------------------- +##USRP E3XX FPGA Help +##------------------- +##Usage: +## make +## +##Output: +## build/usrp__fpga_.bit: Configuration bitstream with header +## build/usrp__fpga_.dts: Device tree source file +## build/usrp__fpga_.rpt: Build report (includes utilization and timing summary) + +1G_DEFS=SFP_1GBE=1 BUILD_1G=1 $(OPTIONS) +XG_DEFS=SFP_10GBE=1 BUILD_10G=1 $(OPTIONS) +AA_DEFS=SFP_AURORA=1 BUILD_AURORA=1 $(OPTIONS) + +# Set build option (check RTL, run synthesis, or do a full build) +ifndef TARGET + ifdef CHECK + TARGET = rtl + else ifdef SYNTH + TARGET = synth + else + TARGET = bin + endif +endif +TOP ?= e320 + +DEFAULT_IMAGE_CORE_FILE_E320=e320_rfnoc_image_core.v +DEFAULT_EDGE_FILE_E320=$(abspath e320_static_router.hex) + +# vivado_build($1=Device, $2=Definitions) +vivado_build = make -f Makefile.e320.inc $(TARGET) NAME=$@ ARCH=$(XIL_ARCH_$1) PART_ID=$(XIL_PART_ID_$1) $2 TOP_MODULE=$(TOP) EXTRA_DEFS="$2" DEFAULT_RFNOC_IMAGE_CORE_FILE=$(DEFAULT_IMAGE_CORE_FILE_$1) DEFAULT_EDGE_FILE=$(DEFAULT_EDGE_FILE_$1) + +# post_build($1=Device, $2=Option) +ifeq ($(TARGET),bin) + post_build = @\ + mkdir -p build; \ + echo "Exporting bitstream file..."; \ + cp build-$(1)_$(2)/e320.bit build/usrp_`echo $(1) | tr A-Z a-z`_fpga_$(2).bit; \ + echo "Exporting build report..."; \ + cp build-$(1)_$(2)/build.rpt build/usrp_`echo $(1) | tr A-Z a-z`_fpga_$(2).rpt; \ + echo "Build DONE ... $(1)_$(2)"; +else + post_build = @echo "Skipping bitfile export." +endif + +## +##Supported Targets +##----------------- + +all: E320_1G E320_XG ##(Default target) + +##E320_1G: 1GigE on SFP+ Port. +E320_1G: build/usrp_e320_fpga_1G.dts + $(call vivado_build,E320,$(1G_DEFS) E320=1) + $(call post_build,E320,1G) + +##E320_XG: 10GigE on SFP+ Port. +E320_XG: build/usrp_e320_fpga_XG.dts + $(call vivado_build,E320,$(XG_DEFS) E320=1) + $(call post_build,E320,XG) + +##E320_AA: Aurora on SFP+ Port. +E320_AA: build/usrp_e320_fpga_AA.dts + $(call vivado_build,E320,$(AA_DEFS) E320=1) + $(call post_build,E320,AA) + + +build/%.dts: dts/%.dts dts/*.dtsi + -mkdir -p build + ${CC} -o $@ -E -I dts -nostdinc -undef -x assembler-with-cpp -D__DTS__ $< + +clean: ##Clean up all target build outputs. + @echo "Cleaning targets..." + @rm -rf build-E3*_* + @rm -rf build + +cleanall: ##Clean up all target and ip build outputs. + @echo "Cleaning targets and IP..." + @rm -rf build-ip + @rm -rf build-E3*_* + @rm -rf build + +help: ##Show this help message. + @grep -h "##" Makefile | grep -v "\"##\"" | sed -e 's/\\$$//' | sed -e 's/##//' + +## +##Supported Options +##----------------- +##GUI=1 Launch the build in the Vivado GUI. +##CHECK=1 Launch the syntax checker instead of building a bitfile. +##SYNTH=1 Launch the build but stop after synthesis. +##TOP= Specify a top module for syntax checking. (Optional. Default is the bitfile top) + +.PHONY: all clean cleanall help diff --git a/fpga/usrp3/top/e320/Makefile.e320.inc b/fpga/usrp3/top/e320/Makefile.e320.inc new file mode 100644 index 000000000..a20e6c0ff --- /dev/null +++ b/fpga/usrp3/top/e320/Makefile.e320.inc @@ -0,0 +1,141 @@ +# +# Copyright 2018-2019 Ettus Research, a National Instruments Brand +# + +################################################## +# Project Setup +################################################## +TOP_MODULE = +# NAME = +# PART_ID = +# ARCH = + +################################################## +# Include other makefiles +################################################## + +BASE_DIR = $(abspath ..) +IP_DIR = $(abspath ./ip) +include $(BASE_DIR)/../tools/make/viv_design_builder.mak + +include $(IP_DIR)/Makefile.inc +include ../n3xx/coregen_dsp/Makefile.srcs +include $(LIB_DIR)/ip/Makefile.inc +include $(LIB_DIR)/hls/Makefile.inc +include $(LIB_DIR)/control/Makefile.srcs +include $(LIB_DIR)/fifo/Makefile.srcs +include $(LIB_DIR)/simple_gemac/Makefile.srcs +include $(LIB_DIR)/axi/Makefile.srcs +include $(LIB_DIR)/timing/Makefile.srcs +include $(LIB_DIR)/packet_proc/Makefile.srcs +include $(LIB_DIR)/xge/Makefile.srcs +include $(LIB_DIR)/xge_interface/Makefile.srcs +include $(LIB_DIR)/dsp/Makefile.srcs +include $(LIB_DIR)/io_cap_gen/Makefile.srcs +include $(LIB_DIR)/rfnoc/Makefile.srcs +# For sake of convenience, we include the Makefile.srcs for DRAM FIFO, DDC, and +# DUC, and of course the radio. Any other block needs to use the +# RFNOC_OOT_MAKEFILE_SRCS variable (see below). +include $(LIB_DIR)/rfnoc/blocks/rfnoc_block_axi_ram_fifo/Makefile.srcs +include $(LIB_DIR)/rfnoc/blocks/rfnoc_block_radio/Makefile.srcs +include $(LIB_DIR)/rfnoc/blocks/rfnoc_block_ddc/Makefile.srcs +include $(LIB_DIR)/rfnoc/blocks/rfnoc_block_duc/Makefile.srcs +# If out-of-tree modules want to be compiled into this image, then they need to +# pass in the RFNOC_OOT_MAKEFILE_SRCS as a list of Makefile.srcs files. +# Those files need to amend the RFNOC_OOT_SRCS variable with a list of actual +# source files. +include $(RFNOC_OOT_MAKEFILE_SRCS) + +IMAGE_CORE ?= $(DEFAULT_RFNOC_IMAGE_CORE_FILE) +EDGE_FILE ?= $(DEFAULT_EDGE_FILE) + +################################################## +# Sources +################################################## +TOP_SRCS = \ +e320.v \ +e320_core.v \ +e320_clocking.v \ +n3xx_sfp_wrapper.v \ +n3xx_mgt_io_core.v \ +$(IMAGE_CORE) + +MB_XDC = \ +mb_pins.xdc \ +mb_timing.xdc + +ifdef BUILD_10G +MB_XDC += $(abspath e320_10ge.xdc) +endif + +ifdef BUILD_1G +MB_XDC += $(abspath e320_1ge.xdc) +endif + +ifdef BUILD_AURORA +MB_XDC += $(abspath e320_aurora.xdc) +endif + +ifdef SFP_10GBE +MB_XDC += $(abspath e320_10ge_port0.xdc) +endif + +ifndef NO_DRAM_FIFOS +DRAM_SRCS = $(IP_DRAM_XCI_SRCS) $(abspath e320_dram.xdc) +else +DRAM_SRCS = +endif + +# The XDC files must be read in a specific order, motherboard first and then daughterboard. +# Outside of that, all the other sources can be read in any order desired. +DESIGN_SRCS = \ +$(abspath $(TOP_SRCS)) \ +$(CONTROL_LIB_SRCS) \ +$(IP_XCI_SRCS) \ +$(TEN_GIGE_PHY_SRCS) \ +$(XGE_SRCS) \ +$(XGE_INTERFACE_SRCS) \ +$(PACKET_PROC_SRCS) \ +$(AXI_SRCS) \ +$(FIFO_SRCS) \ +$(ONE_GIGE_PHY_SRCS) \ +$(SIMPLE_GEMAC_SRCS) \ +$(AURORA_PHY_SRCS) \ +$(BD_SRCS) \ +$(TIMING_SRCS) \ +$(CAT_CAP_GEN_SRCS) \ +$(DRAM_SRCS) \ +$(COREGEN_DSP_SRCS) \ +$(DSP_SRCS) \ +$(LIB_IP_XCI_SRCS) \ +$(LIB_HLS_IP_SRCS) \ +$(EXTRAM_SRCS) \ +$(CAP_GEN_GENERIC_SRCS) \ +$(RFNOC_SRCS) \ +$(RFNOC_OOT_SRCS) \ +$(RFNOC_FRAMEWORK_SRCS) \ +$(RFNOC_BLOCK_AXI_RAM_FIFO_SRCS) \ +$(RFNOC_BLOCK_DUC_SRCS) $(RFNOC_BLOCK_DDC_SRCS) \ +$(RFNOC_BLOCK_RADIO_SRCS) \ +$(abspath $(MB_XDC)) + +EDGE_TBL_DEF="RFNOC_EDGE_TBL_FILE=$(EDGE_FILE)" + +################################################## +# Dependency Targets +################################################## +.SECONDEXPANSION: + +VERILOG_DEFS=$(EXTRA_DEFS) $(CUSTOM_DEFS) $(GIT_HASH_VERILOG_DEF) $(EDGE_TBL_DEF) + +# DESIGN_SRCS and VERILOG_DEFS must be defined +bin: .prereqs $$(DESIGN_SRCS) ip + $(call BUILD_VIVADO_DESIGN,$(abspath ./build_e320.tcl),$(TOP_MODULE),$(ARCH),$(PART_ID)) + +synth: .prereqs $$(DESIGN_SRCS) ip + $(call BUILD_VIVADO_DESIGN,$(TOOLS_DIR)/scripts/viv_synth.tcl,$(TOP_MODULE),$(ARCH),$(PART_ID)) + +rtl: .prereqs $$(DESIGN_SRCS) ip + $(call CHECK_VIVADO_DESIGN,$(TOOLS_DIR)/scripts/viv_check_syntax.tcl,$(TOP_MODULE),$(ARCH),$(PART_ID)) + +.PHONY: bin rtl diff --git a/fpga/usrp3/top/e320/build_e320.tcl b/fpga/usrp3/top/e320/build_e320.tcl new file mode 100644 index 000000000..757195865 --- /dev/null +++ b/fpga/usrp3/top/e320/build_e320.tcl @@ -0,0 +1,39 @@ +# +# Copyright 2016 Ettus Research +# + +source $::env(VIV_TOOLS_DIR)/scripts/viv_utils.tcl +source $::env(VIV_TOOLS_DIR)/scripts/viv_strategies.tcl + +# STEP#1: Create project, add sources, refresh IP +vivado_utils::initialize_project + +# STEP#2: Run synthesis +vivado_utils::synthesize_design +vivado_utils::generate_post_synth_reports + +# STEP#3: Run implementation strategy +set e3xx_strategy [dict create] +dict set e3xx_strategy "opt_design.is_enabled" 1 +dict set e3xx_strategy "opt_design.directive" "Default" +dict set e3xx_strategy "post_opt_power_opt_design.is_enabled" 0 +dict set e3xx_strategy "place_design.directive" "Default" +dict set e3xx_strategy "post_place_power_opt_design.is_enabled" 0 +dict set e3xx_strategy "post_place_phys_opt_design.is_enabled" 1 +dict set e3xx_strategy "post_place_phys_opt_design.directive" "Default" +dict set e3xx_strategy "route_design.directive" "Default" +dict set e3xx_strategy "route_design.more_options" "-tns_cleanup" +dict set e3xx_strategy "post_route_phys_opt_design.is_enabled" 1 +dict set e3xx_strategy "post_route_phys_opt_design.directive" "Default" +vivado_strategies::implement_design $e3xx_strategy + +# STEP#4: Generate reports +vivado_utils::generate_post_route_reports + +# STEP#5: Generate a bitstream, netlist and debug probes +set_property BITSTREAM.CONFIG.USR_ACCESS TIMESTAMP [get_designs *] +set byte_swap_bin 1 +vivado_utils::write_implementation_outputs $byte_swap_bin + +# Cleanup +vivado_utils::close_batch_project diff --git a/fpga/usrp3/top/e320/dev_config.json b/fpga/usrp3/top/e320/dev_config.json new file mode 100644 index 000000000..eb6ce9dc0 --- /dev/null +++ b/fpga/usrp3/top/e320/dev_config.json @@ -0,0 +1,3 @@ +{ + "warning": { "ignore": ["."]} +} diff --git a/fpga/usrp3/top/e320/dts/dma-common.dtsi b/fpga/usrp3/top/e320/dts/dma-common.dtsi new file mode 100644 index 000000000..0f3dde41d --- /dev/null +++ b/fpga/usrp3/top/e320/dts/dma-common.dtsi @@ -0,0 +1,358 @@ +// SPDX-License-Identifier: GPL-2.0 OR X11 +/* + * Copyright (c) 2018 National Instruments Corp + */ + +&fpga_full { + tx_dma0: dma@43CA0000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43CA0000 0x10000>; + interrupts = <0 53 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <0>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <1>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + tx_dma1: dma@43CB0000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43CB0000 0x10000>; + interrupts = <0 53 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <0>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <1>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + tx_dma2: dma@43CC0000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43CC0000 0x10000>; + interrupts = <0 53 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <0>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <1>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + tx_dma3: dma@43CD0000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43CD0000 0x10000>; + interrupts = <0 53 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <0>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <1>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + tx_dma4: dma@43CE0000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43CE0000 0x10000>; + interrupts = <0 53 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <0>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <1>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + tx_dma5: dma@43CF0000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43CF0000 0x10000>; + interrupts = <0 53 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <0>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <1>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + rx_dma0: dma@43C00000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43C00000 0x10000>; + interrupts = <0 52 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <1>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <0>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + rx_dma1: dma@43C10000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43C10000 0x10000>; + interrupts = <0 52 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <1>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <0>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + rx_dma2: dma@43C20000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43C20000 0x10000>; + interrupts = <0 52 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <1>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <0>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + rx_dma3: dma@43C30000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43C30000 0x10000>; + interrupts = <0 52 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <1>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <0>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + rx_dma4: dma@43C40000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43C40000 0x10000>; + interrupts = <0 52 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <1>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <0>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + rx_dma5: dma@43C50000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43C50000 0x10000>; + interrupts = <0 52 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <1>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <0>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + + usrp_rx_dma0: usrp-rx-dma@43c00000 { + compatible = "ettus,usrp-rx-dma"; + dmas = <&rx_dma0 0>; + dma-names = "dma"; + port-id = <0>; + status = "okay"; + + regmap = <&dma_conf0>; + offset = <0x0>; + }; + + usrp_rx_dma1: usrp-rx-dma@43c10000 { + compatible = "ettus,usrp-rx-dma"; + dmas = <&rx_dma1 0>; + dma-names = "dma"; + port-id = <1>; + + regmap = <&dma_conf0>; + offset = <0x4>; + }; + + usrp_rx_dma2: usrp-rx-dma@43c20000 { + compatible = "ettus,usrp-rx-dma"; + dmas = <&rx_dma2 0>; + dma-names = "dma"; + port-id = <2>; + + regmap = <&dma_conf0>; + offset = <0x8>; + }; + + usrp_rx_dma3: usrp-rx-dma@43c30000 { + compatible = "ettus,usrp-rx-dma"; + dmas = <&rx_dma3 0>; + dma-names = "dma"; + port-id = <3>; + + regmap = <&dma_conf0>; + offset = <0xc>; + }; + + usrp_rx_dma4: usrp-rx-dma@43c40000 { + compatible = "ettus,usrp-rx-dma"; + dmas = <&rx_dma4 0>; + dma-names = "dma"; + port-id = <4>; + + regmap = <&dma_conf0>; + offset = <0x10>; + }; + + usrp_rx_dma5: usrp-rx-dma@43c50000 { + compatible = "ettus,usrp-rx-dma"; + dmas = <&rx_dma5 0>; + dma-names = "dma"; + port-id = <5>; + + regmap = <&dma_conf0>; + offset = <0x14>; + }; + + usrp_tx_dma0: usrp-tx-dma@43ca0000 { + compatible = "ettus,usrp-tx-dma"; + dmas = <&tx_dma0 0>; + dma-names = "dma"; + port-id = <0>; + }; + + usrp_tx_dma1: usrp-tx-dma@43cb0000 { + compatible = "ettus,usrp-tx-dma"; + dmas = <&tx_dma1 0>; + dma-names = "dma"; + port-id = <1>; + }; + + usrp_tx_dma2: usrp-tx-dma@43cc0000 { + compatible = "ettus,usrp-tx-dma"; + dmas = <&tx_dma2 0>; + dma-names = "dma"; + port-id = <2>; + status = "okay"; + }; + + usrp_tx_dma3: usrp-tx-dma@43cd0000 { + compatible = "ettus,usrp-tx-dma"; + dmas = <&tx_dma3 0>; + dma-names = "dma"; + port-id = <3>; + }; + + usrp_tx_dma4: usrp-tx-dma@43ce0000 { + compatible = "ettus,usrp-tx-dma"; + dmas = <&tx_dma4 0>; + dma-names = "dma"; + port-id = <4>; + status = "okay"; + }; + + usrp_tx_dma5: usrp-tx-dma@43cf0000 { + compatible = "ettus,usrp-tx-dma"; + dmas = <&tx_dma5 0>; + dma-names = "dma"; + port-id = <5>; + }; + + dma_conf0: dma_conf0@42080000 { + compatible = "syscon"; + reg = <0x42080000 0x1000>; + status = "okay"; + }; +}; diff --git a/fpga/usrp3/top/e320/dts/e320-common.dtsi b/fpga/usrp3/top/e320/dts/e320-common.dtsi new file mode 100644 index 000000000..470596f33 --- /dev/null +++ b/fpga/usrp3/top/e320/dts/e320-common.dtsi @@ -0,0 +1,46 @@ +// SPDX-License-Identifier: GPL-2.0 OR X11 +/* + * Copyright (c) 2018 National Instruments Corp + */ + +&fpga_full { + uio@40010000 { + compatible = "usrp-uio"; + reg = <0x40010000 0x2000>; + reg-names = "mboard-regs"; + status = "okay"; + }; + + uio@40014000 { + compatible = "usrp-uio"; + reg = <0x40014000 0x4000>; + reg-names = "dboard-regs"; + status = "okay"; + }; +}; + +&spi0 { + status = "okay"; + + cs-gpios = <0>, <0>, <0>, <&gpio0 62 0>; + + spidev0: spidev@0 { + compatible = "rohm,dh2228fv"; + reg = <0>; + status = "okay"; + spi-max-frequency = <1000000>; + }; +}; + +&spi1 { + status = "okay"; + + cs-gpios = <0>, <0>, <0>, <&gpio0 63 0>; + + spidev1: spidev@0 { + compatible = "rohm,dh2228fv"; + reg = <0>; + status = "okay"; + spi-max-frequency = <1000000>; + }; +}; diff --git a/fpga/usrp3/top/e320/dts/e320-fpga.dtsi b/fpga/usrp3/top/e320/dts/e320-fpga.dtsi new file mode 100644 index 000000000..3f245d0a2 --- /dev/null +++ b/fpga/usrp3/top/e320/dts/e320-fpga.dtsi @@ -0,0 +1,8 @@ +// SPDX-License-Identifier: GPL-2.0 OR X11 +/* + * Copyright (c) 2018 National Instruments Corp + */ + +&fpga_full { + firmware-name = "e320.bin"; +}; diff --git a/fpga/usrp3/top/e320/dts/usrp_e320_fpga_1G.dts b/fpga/usrp3/top/e320/dts/usrp_e320_fpga_1G.dts new file mode 100644 index 000000000..c1d76ae4f --- /dev/null +++ b/fpga/usrp3/top/e320/dts/usrp_e320_fpga_1G.dts @@ -0,0 +1,47 @@ +// SPDX-License-Identifier: GPL-2.0 OR X11 +/* + * Copyright (c) 2018 National Instruments Corp + * + */ + +/dts-v1/; +/plugin/; + +#include "e320-fpga.dtsi" + +&fpga_full { + nixge0: ethernet@40000000 { + compatible = "ni,xge-enet-2.00"; + reg = <0x40000000 0x6000>; + + clocks = <&clkc 15>; + clock-names = "bus_clk"; + + nvmem-cells = <ð1_addr>; + nvmem-cell-names = "address"; + + interrupts = <0 29 4>, <0 30 4>; + interrupt-names = "rx", "tx"; + interrupt-parent = <&intc>; + status = "okay"; + + phy-mode = "xgmii"; + + fixed-link { + speed = <1000>; + full-duplex; + /* 114 = 54 (MIOs) + 60 (EMIO 60) */ + link-gpios = <&gpio0 114 0>; + }; + }; + + uio@40006000 { + compatible = "usrp-uio"; + reg = <0x40006000 0x2000>; // FIXME: Addresses + reg-names = "misc-enet-regs"; + status = "okay"; + }; +}; + +#include "e320-common.dtsi" +#include "dma-common.dtsi" diff --git a/fpga/usrp3/top/e320/dts/usrp_e320_fpga_AA.dts b/fpga/usrp3/top/e320/dts/usrp_e320_fpga_AA.dts new file mode 100644 index 000000000..04f67d002 --- /dev/null +++ b/fpga/usrp3/top/e320/dts/usrp_e320_fpga_AA.dts @@ -0,0 +1,22 @@ +// SPDX-License-Identifier: GPL-2.0 OR X11 +/* + * Copyright (c) 2018 National Instruments Corp + * + */ + +/dts-v1/; +/plugin/; + +#include "e320-fpga.dtsi" + +&fpga_full { + uio@40004000 { + compatible = "usrp-uio"; + reg = <0x40004000 0x1000>; //FIXME + reg-names = "misc-auro-regs"; + status = "okay"; + }; +}; + +#include "e320-common.dtsi" +#include "dma-common.dtsi" diff --git a/fpga/usrp3/top/e320/dts/usrp_e320_fpga_XG.dts b/fpga/usrp3/top/e320/dts/usrp_e320_fpga_XG.dts new file mode 100644 index 000000000..3c64cfbf7 --- /dev/null +++ b/fpga/usrp3/top/e320/dts/usrp_e320_fpga_XG.dts @@ -0,0 +1,47 @@ +// SPDX-License-Identifier: GPL-2.0 OR X11 +/* + * Copyright (c) 2018 National Instruments Corp + */ + +/dts-v1/; +/plugin/; + +#include "e320-fpga.dtsi" + +&fpga_full { + nixge0: ethernet@40000000 { + compatible = "ni,xge-enet-2.00"; + reg = <0x40000000 0x6000>; //FIXME + + clocks = <&clkc 15>; + clock-names = "bus_clk"; + + nvmem-cells = <ð1_addr>; + nvmem-cell-names = "address"; + + interrupts = <0 29 4>, <0 30 4>; + interrupt-names = "rx", "tx"; + interrupt-parent = <&intc>; + status = "okay"; + + phy-mode = "xgmii"; + phy-handle = <ðernet_phy1>; + + mdio { + ethernet_phy1: ethernet-phy@4 { + compatible = "ethernet-phy-ieee802.3-c45"; + reg = <4>; + }; + }; + }; + + uio@40006000 { + compatible = "usrp-uio"; + reg = <0x40006000 0x2000>; //FIXME + reg-names = "misc-enet-regs"; + status = "okay"; + }; +}; + +#include "e320-common.dtsi" +#include "dma-common.dtsi" diff --git a/fpga/usrp3/top/e320/e320.v b/fpga/usrp3/top/e320/e320.v new file mode 100644 index 000000000..8d766e325 --- /dev/null +++ b/fpga/usrp3/top/e320/e320.v @@ -0,0 +1,1739 @@ +///////////////////////////////////////////////////////////////////// +// +// Copyright 2018 Ettus Research, A National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// +// Module: e320 +// Description: +// E320 Top Level +// +///////////////////////////////////////////////////////////////////// + +`default_nettype none +module e320 ( + + // 5V Power Enable + output wire ENA_PAPWR, + + // GPIO + output wire EN_GPIO_2V5, + output wire EN_GPIO_3V3, + output wire EN_GPIO_VAR_SUPPLY, + inout wire [7:0] GPIO_PREBUFF, + inout wire [7:0] GPIO_DIR, + output wire GPIO_OE_N, + + // GPS + output wire CLK_GPS_PWR_EN, + output wire GPS_INITSURV_N, + output wire GPS_RST_N, + input wire GPS_ALARM, + input wire GPS_LOCK, + input wire GPS_PHASELOCK, + input wire GPS_SURVEY, + input wire GPS_WARMUP, + + // SFP + input wire SFP1_RX_P, + input wire SFP1_RX_N, + output wire SFP1_TX_P, + output wire SFP1_TX_N, + input wire SFP1_RXLOS, + input wire SFP1_TXFAULT, + input wire SFP1_MOD_ABS, // Unused + output wire SFP1_RS0, + output wire SFP1_RS1, + output wire SFP1_TXDISABLE, + + // MGT Clocks + + output wire CLK_PLL_SCLK, + output wire CLK_PLL_SDATA, + output wire CLK_PLL_SLE, + + input wire CLK_MGT_125M_P, + input wire CLK_MGT_125M_N, + input wire CLK_MGT_156_25M_P, + input wire CLK_MGT_156_25M_N, + + // PS Connections + inout wire [53:0] PS_MIO, + inout wire PS_CLK, + inout wire PS_SRST_B, + inout wire PS_POR_B, + inout wire DDR_MODCLK_P, + inout wire DDR_MODCLK_N, + inout wire PS_DDR3_CKE, + inout wire PS_DDR3_RESET_N, + inout wire [31:0] PS_DDR3_DQ, + inout wire [14:0] PS_DDR3_ADDR, + inout wire [3:0] PS_DDR3_DM, + inout wire [2:0] PS_DDR3_BA, + inout wire [3:0] PS_DDR3_DQS_P, + inout wire [3:0] PS_DDR3_DQS_N, + inout wire PS_DDR3_ODT, + inout wire PS_DDR3_VRN, + inout wire PS_DDR3_VRP, + inout wire PS_DDR3_WE_N, + inout wire PS_DDR3_CS_N, + inout wire PS_DDR3_CAS_N, + inout wire PS_DDR3_RAS_N, + + // PL DRAM Interface + input wire sys_clk_p, + input wire sys_clk_n, + // + inout wire [31:0] ddr3_dq, + inout wire [3:0] ddr3_dqs_n, + inout wire [3:0] ddr3_dqs_p, + output wire [3:0] ddr3_dm, + // + output wire [2:0] ddr3_ba, + output wire [15:0] ddr3_addr, + output wire ddr3_ras_n, + output wire ddr3_cas_n, + output wire ddr3_we_n, + // + output wire [0:0] ddr3_cs_n, + output wire [0:0] ddr3_cke, + output wire [0:0] ddr3_odt, + // + output wire [0:0] ddr3_ck_p, + output wire [0:0] ddr3_ck_n, + // + output wire ddr3_reset_n, + + // LEDs + output wire LED_LINK1, + output wire LED_ACT1, + + // PPS, REFCLK + input wire CLK_SYNC_INT, // PPS from GPS + input wire CLK_SYNC_INT_RAW, // PPS_RAW from GPS (Unused) + input wire CLK_SYNC_EXT, // PPS from external connector + input wire CLK_REF_RAW, // FPGA reference clock (GPS or external) + output wire CLK_REF_SEL, // Select for GPS or external reference clock + input wire CLK_MUX_OUT, // RF clock locked status + + // RF LVDS Data Interface + // + // Receive + input wire RX_CLK_P, + input wire RX_CLK_N, + input wire RX_FRAME_P, + input wire RX_FRAME_N, + input wire [5:0] RX_DATA_P, + input wire [5:0] RX_DATA_N, + // + // TraNSMIT + output wire TX_CLK_P, + output wire TX_CLK_N, + output wire TX_FRAME_P, + output wire TX_FRAME_N, + output wire [5:0] TX_DATA_P, + output wire [5:0] TX_DATA_N, + + // Switches + output wire [2:0] FE1_SEL, + output wire [2:0] FE2_SEL, + output wire [1:0] RX1_SEL, + output wire [1:0] RX2_SEL, + output wire [5:0] RX1_BSEL, + output wire [5:0] RX2_BSEL, + output wire [5:0] TX1_BSEL, + output wire [5:0] TX2_BSEL, + + // SPI + input wire XCVR_SPI_MISO, + output wire XCVR_SPI_MOSI, + output wire XCVR_SPI_CLK, + output wire XCVR_SPI_CS_N, + + // AD9361 + output wire XCVR_ENABLE, + output wire XCVR_SYNC, + output wire XCVR_TXNRX, + output wire XCVR_ENA_AGC, + output wire XCVR_RESET_N, + input wire [7:0] XCVR_CTRL_OUT, + + // Amplifiers + output wire TX_HFAMP1_ENA, + output wire TX_HFAMP2_ENA, + output wire TX_LFAMP1_ENA, + output wire TX_LFAMP2_ENA, + + // LEDs + output wire RX1_GRN_ENA, + output wire RX2_GRN_ENA, + output wire TX1_RED_ENA, + output wire TX2_RED_ENA, + output wire TXRX1_GRN_ENA, + output wire TXRX2_GRN_ENA + +); + + `ifdef SFP_1GBE + parameter PROTOCOL = "1GbE"; + parameter MDIO_EN = 1'b1; + parameter MDIO_PHYADDR = 5'd4; + `elsif SFP_10GBE + parameter PROTOCOL = "10GbE"; + parameter MDIO_EN = 1'b1; + parameter MDIO_PHYADDR = 5'd4; + `elsif SFP_AURORA + parameter PROTOCOL = "Aurora"; + parameter MDIO_EN = 1'b0; + parameter MDIO_PHYADDR = 5'd0; + `else + parameter PROTOCOL = "Disabled"; + parameter MDIO_EN = 1'b0; + parameter MDIO_PHYADDR = 5'd0; + `endif + + // Constants + localparam REG_AWIDTH = 14; // log2(0x4000) + localparam REG_DWIDTH = 32; + localparam DB_GPIO_WIDTH = 32; + localparam FP_GPIO_OFFSET = 32; // Offset within ps_gpio_* + localparam FP_GPIO_WIDTH = 8; + + //If bus_clk freq ever changes, update this parameter accordingly. + localparam BUS_CLK_RATE = 32'd200000000; //200 MHz bus_clk rate. + localparam SFP_PORTNUM = 8'b0; // Only one SFP port + localparam NUM_RADIOS = 1; + localparam NUM_CHANNELS_PER_RADIO = 2; + localparam NUM_DBOARDS = 1; + localparam NUM_CHANNELS = NUM_RADIOS * NUM_CHANNELS_PER_RADIO; + localparam [15:0] RFNOC_PROTOVER = {8'd1, 8'd0}; + + // Clocks + wire xgige_clk156; + wire bus_clk; + wire radio_clk; + wire reg_clk; + wire clk40; + wire ddr3_dma_clk; + wire FCLK_CLK0; + wire FCLK_CLK1; + wire FCLK_CLK2; + wire FCLK_CLK3; + + // Resets + wire global_rst; + wire bus_rst; + wire radio_rst; + wire reg_rstn; + wire clk40_rst; + wire clk40_rstn; + wire FCLK_RESET0_N; + + // Regport for SFP + wire m_axi_net_arvalid; + wire m_axi_net_awvalid; + wire m_axi_net_bready; + wire m_axi_net_rready; + wire m_axi_net_wvalid; + wire [11:0] m_axi_net_arid; + wire [11:0] m_axi_net_awid; + wire [11:0] m_axi_net_wid; + wire [31:0] m_axi_net_araddr; + wire [31:0] m_axi_net_awaddr; + wire [31:0] m_axi_net_wdata; + wire [3:0] m_axi_net_wstrb; + wire m_axi_net_arready; + wire m_axi_net_awready; + wire m_axi_net_bvalid; + wire m_axi_net_rlast; + wire m_axi_net_rvalid; + wire m_axi_net_wready; + wire [1:0] m_axi_net_bresp; + wire [1:0] m_axi_net_rresp; + wire [31:0] m_axi_net_rdata; + + // Crossbar + wire m_axi_xbar_arvalid; + wire m_axi_xbar_awvalid; + wire m_axi_xbar_bready; + wire m_axi_xbar_rready; + wire m_axi_xbar_wvalid; + wire [11:0] m_axi_xbar_arid; + wire [11:0] m_axi_xbar_awid; + wire [11:0] m_axi_xbar_wid; + wire [31:0] m_axi_xbar_araddr; + wire [31:0] m_axi_xbar_awaddr; + wire [31:0] m_axi_xbar_wdata; + wire [3:0] m_axi_xbar_wstrb; + wire m_axi_xbar_arready; + wire m_axi_xbar_awready; + wire m_axi_xbar_bvalid; + wire m_axi_xbar_rlast; + wire m_axi_xbar_rvalid; + wire m_axi_xbar_wready; + wire [1:0] m_axi_xbar_bresp; + wire [1:0] m_axi_xbar_rresp; + wire [31:0] m_axi_xbar_rdata; + + // ETH DMA + wire m_axi_eth_dma_arvalid; + wire m_axi_eth_dma_awvalid; + wire m_axi_eth_dma_bready; + wire m_axi_eth_dma_rready; + wire m_axi_eth_dma_wvalid; + wire [11:0] m_axi_eth_dma_arid; + wire [11:0] m_axi_eth_dma_awid; + wire [11:0] m_axi_eth_dma_wid; + wire [31:0] m_axi_eth_dma_araddr; + wire [31:0] m_axi_eth_dma_awaddr; + wire [31:0] m_axi_eth_dma_wdata; + wire [3:0] m_axi_eth_dma_wstrb; + wire m_axi_eth_dma_arready; + wire m_axi_eth_dma_awready; + wire m_axi_eth_dma_bvalid; + wire m_axi_eth_dma_rlast; + wire m_axi_eth_dma_rvalid; + wire m_axi_eth_dma_wready; + wire [1:0] m_axi_eth_dma_bresp; + wire [1:0] m_axi_eth_dma_rresp; + wire [31:0] m_axi_eth_dma_rdata; + + // Processing System + wire [15:0] IRQ_F2P; + + // DMA xport adapter to PS + wire [63:0] m_axis_dma_tdata; + wire [3:0] m_axis_dma_tuser; + wire m_axis_dma_tlast; + wire m_axis_dma_tready; + wire m_axis_dma_tvalid; + + wire [63:0] s_axis_dma_tdata; + wire [3:0] s_axis_dma_tdest; + wire s_axis_dma_tlast; + wire s_axis_dma_tready; + wire s_axis_dma_tvalid; + + // HP0 -- High Performance port 0 + wire [5:0] s_axi_hp0_awid; + wire [31:0] s_axi_hp0_awaddr; + wire [2:0] s_axi_hp0_awprot; + wire s_axi_hp0_awvalid; + wire s_axi_hp0_awready; + wire [63:0] s_axi_hp0_wdata; + wire [7:0] s_axi_hp0_wstrb; + wire s_axi_hp0_wvalid; + wire s_axi_hp0_wready; + wire [1:0] s_axi_hp0_bresp; + wire s_axi_hp0_bvalid; + wire s_axi_hp0_bready; + wire [5:0] s_axi_hp0_arid; + wire [31:0] s_axi_hp0_araddr; + wire [2:0] s_axi_hp0_arprot; + wire s_axi_hp0_arvalid; + wire s_axi_hp0_arready; + wire [63:0] s_axi_hp0_rdata; + wire [1:0] s_axi_hp0_rresp; + wire s_axi_hp0_rvalid; + wire s_axi_hp0_rready; + wire s_axi_hp0_rlast; + wire [3:0] s_axi_hp0_arcache; + wire [7:0] s_axi_hp0_awlen; + wire [2:0] s_axi_hp0_awsize; + wire [1:0] s_axi_hp0_awburst; + wire [3:0] s_axi_hp0_awcache; + wire s_axi_hp0_wlast; + wire [7:0] s_axi_hp0_arlen; + wire [1:0] s_axi_hp0_arburst; + wire [2:0] s_axi_hp0_arsize; + + wire [4:0] s_axi_eth_descriptor_awid; + wire [31:0] s_axi_eth_descriptor_awaddr; + wire [2:0] s_axi_eth_descriptor_awprot; + wire s_axi_eth_descriptor_awvalid; + wire s_axi_eth_descriptor_awready; + wire [31:0] s_axi_eth_descriptor_wdata; + wire [3:0] s_axi_eth_descriptor_wstrb; + wire s_axi_eth_descriptor_wvalid; + wire s_axi_eth_descriptor_wready; + wire [1:0] s_axi_eth_descriptor_bresp; + wire s_axi_eth_descriptor_bvalid; + wire s_axi_eth_descriptor_bready; + wire [4:0] s_axi_eth_descriptor_arid; + wire [31:0] s_axi_eth_descriptor_araddr; + wire [2:0] s_axi_eth_descriptor_arprot; + wire s_axi_eth_descriptor_arvalid; + wire s_axi_eth_descriptor_arready; + wire [31:0] s_axi_eth_descriptor_rdata; + wire [1:0] s_axi_eth_descriptor_rresp; + wire s_axi_eth_descriptor_rvalid; + wire s_axi_eth_descriptor_rready; + wire s_axi_eth_descriptor_rlast; + wire [3:0] s_axi_eth_descriptor_arcache; + wire [7:0] s_axi_eth_descriptor_awlen; + wire [2:0] s_axi_eth_descriptor_awsize; + wire [1:0] s_axi_eth_descriptor_awburst; + wire [3:0] s_axi_eth_descriptor_awcache; + wire s_axi_eth_descriptor_wlast; + wire [7:0] s_axi_eth_descriptor_arlen; + wire [1:0] s_axi_eth_descriptor_arburst; + wire [2:0] s_axi_eth_descriptor_arsize; + + // ARM ethernet dma clock crossing + wire [63:0] arm_eth_tx_tdata; + wire arm_eth_tx_tvalid; + wire arm_eth_tx_tlast; + wire arm_eth_tx_tready; + wire [3:0] arm_eth_tx_tuser; + wire [7:0] arm_eth_tx_tkeep; + + wire [63:0] arm_eth_tx_tdata_b; + wire arm_eth_tx_tvalid_b; + wire arm_eth_tx_tlast_b; + wire arm_eth_tx_tready_b; + wire [3:0] arm_eth_tx_tuser_b; + wire [7:0] arm_eth_tx_tkeep_b; + + wire [63:0] arm_eth_rx_tdata; + wire arm_eth_rx_tvalid; + wire arm_eth_rx_tlast; + wire arm_eth_rx_tready; + wire [3:0] arm_eth_rx_tuser; + wire [7:0] arm_eth_rx_tkeep; + + wire [63:0] arm_eth_rx_tdata_b; + wire arm_eth_rx_tvalid_b; + wire arm_eth_rx_tlast_b; + wire arm_eth_rx_tready_b; + wire [3:0] arm_eth_rx_tuser_b; + wire [7:0] arm_eth_rx_tkeep_b; + + wire arm_eth_rx_irq; + wire arm_eth_tx_irq; + + // Vita to Ethernet + wire [63:0] v2e_tdata; + wire v2e_tlast; + wire v2e_tvalid; + wire v2e_tready; + + // Ethernet to Vita + wire [63:0] e2v_tdata; + wire e2v_tlast; + wire e2v_tvalid; + wire e2v_tready; + + // Misc + wire [31:0] sfp_port_info; + wire sfp_link_up; + wire [15:0] device_id; + wire clocks_locked; + + ///////////////////////////////////////////////////////////////////// + // + // Resets: + // - PL - Global Reset --> Bus Reset + // --> Radio Reset + // - PS - FCLK_RESET0_N --> clk40_rst(n) + // + ////////////////////////////////////////////////////////////////////// + + // Global synchronous reset, on the bus_clk domain. De-asserts after 85 + // bus_clk cycles. Asserted by default. + por_gen por_gen ( + .clk(bus_clk), + .reset_out(global_rst) + ); + + // Synchronous reset for the radio_clk domain + reset_sync radio_reset_gen ( + .clk(radio_clk), + .reset_in(~clocks_locked), + .reset_out(radio_rst) + ); + + // Synchronous reset for the bus_clk domain + reset_sync bus_reset_gen ( + .clk(bus_clk), + .reset_in(~clocks_locked), + .reset_out(bus_rst) + ); + + + // PS-based Resets // + // + // Synchronous reset for the clk40 domain. This is derived from the PS reset 0. + reset_sync clk40_reset_gen ( + .clk(clk40), + .reset_in(~FCLK_RESET0_N), + .reset_out(clk40_rst) + ); + // Invert for various modules. + assign clk40_rstn = ~clk40_rst; + assign reg_rstn = clk40_rstn; + + ///////////////////////////////////////////////////////////////////// + // + // Clocks and PPS + // + ///////////////////////////////////////////////////////////////////// + + wire pps_refclk; + wire [1:0] pps_select; + wire ref_select; + wire refclk_locked_busclk; + + assign clk40 = FCLK_CLK1; // 40 MHz + assign bus_clk = FCLK_CLK3; // 200 MHz + assign reg_clk = clk40; + + e320_clocking e320_clocking_i ( + .global_rst(global_rst), + .ref_clk_from_pin(CLK_REF_RAW), + .ref_clk(), + .clk156(xgige_clk156), + .ddr3_dma_clk(ddr3_dma_clk), + .clocks_locked(clocks_locked), + .ext_pps_from_pin(CLK_SYNC_EXT), + .gps_pps_from_pin(CLK_SYNC_INT), + .pps_select(pps_select), + .pps_refclk(pps_refclk) + ); + + assign CLK_REF_SEL = ref_select; + + synchronizer synchronize_rf_clk_lock ( + .clk(bus_clk), .rst(1'b0), .in(CLK_MUX_OUT), .out(refclk_locked_busclk) + ); + + ///////////////////////////////////////////////////////////////////// + // + // PL DDR3 Memory Interface + // + ///////////////////////////////////////////////////////////////////// + + wire ddr3_axi_clk; // 1/4 DDR external clock rate + wire ddr3_axi_rst; // Synchronized to ddr_sys_clk + wire ddr3_running; // DRAM calibration complete. + wire [11:0] device_temp; + + // Slave Interface Write Address Ports + wire [3:0] ddr3_axi_awid; + wire [31:0] ddr3_axi_awaddr; + wire [7:0] ddr3_axi_awlen; + wire [2:0] ddr3_axi_awsize; + wire [1:0] ddr3_axi_awburst; + wire [0:0] ddr3_axi_awlock; + wire [3:0] ddr3_axi_awcache; + wire [2:0] ddr3_axi_awprot; + wire [3:0] ddr3_axi_awqos; + wire ddr3_axi_awvalid; + wire ddr3_axi_awready; + // Slave Interface Write Data Ports + wire [255:0] ddr3_axi_wdata; + wire [31:0] ddr3_axi_wstrb; + wire ddr3_axi_wlast; + wire ddr3_axi_wvalid; + wire ddr3_axi_wready; + // Slave Interface Write Response Ports + wire ddr3_axi_bready; + wire [3:0] ddr3_axi_bid; + wire [1:0] ddr3_axi_bresp; + wire ddr3_axi_bvalid; + // Slave Interface Read Address Ports + wire [3:0] ddr3_axi_arid; + wire [31:0] ddr3_axi_araddr; + wire [7:0] ddr3_axi_arlen; + wire [2:0] ddr3_axi_arsize; + wire [1:0] ddr3_axi_arburst; + wire [0:0] ddr3_axi_arlock; + wire [3:0] ddr3_axi_arcache; + wire [2:0] ddr3_axi_arprot; + wire [3:0] ddr3_axi_arqos; + wire ddr3_axi_arvalid; + wire ddr3_axi_arready; + // Slave Interface Read Data Ports + wire ddr3_axi_rready; + wire [3:0] ddr3_axi_rid; + wire [255:0] ddr3_axi_rdata; + wire [1:0] ddr3_axi_rresp; + wire ddr3_axi_rlast; + wire ddr3_axi_rvalid; + + reg ddr3_axi_rst_reg_n; + + // Copied this reset circuit from example design. + always @(posedge ddr3_axi_clk) + ddr3_axi_rst_reg_n <= ~ddr3_axi_rst; + + ddr3_32bit u_ddr3_32bit ( + // Memory interface ports + .ddr3_addr (ddr3_addr), + .ddr3_ba (ddr3_ba), + .ddr3_cas_n (ddr3_cas_n), + .ddr3_ck_n (ddr3_ck_n), + .ddr3_ck_p (ddr3_ck_p), + .ddr3_cke (ddr3_cke), + .ddr3_ras_n (ddr3_ras_n), + .ddr3_reset_n (ddr3_reset_n), + .ddr3_we_n (ddr3_we_n), + .ddr3_dq (ddr3_dq), + .ddr3_dqs_n (ddr3_dqs_n), + .ddr3_dqs_p (ddr3_dqs_p), + .init_calib_complete (ddr3_running), + .device_temp_i (device_temp), + .ddr3_cs_n (ddr3_cs_n), + .ddr3_dm (ddr3_dm), + .ddr3_odt (ddr3_odt), + // Application interface ports + .ui_clk (ddr3_axi_clk), + .ui_clk_sync_rst (ddr3_axi_rst), + .aresetn (ddr3_axi_rst_reg_n), + .app_sr_req (1'b0), + .app_sr_active (), + .app_ref_req (1'b0), + .app_ref_ack (), + .app_zq_req (1'b0), + .app_zq_ack (), + // Slave Interface Write Address Ports + .s_axi_awid (ddr3_axi_awid), + .s_axi_awaddr (ddr3_axi_awaddr[30:0]), + .s_axi_awlen (ddr3_axi_awlen), + .s_axi_awsize (ddr3_axi_awsize), + .s_axi_awburst (ddr3_axi_awburst), + .s_axi_awlock (ddr3_axi_awlock), + .s_axi_awcache (ddr3_axi_awcache), + .s_axi_awprot (ddr3_axi_awprot), + .s_axi_awqos (ddr3_axi_awqos), + .s_axi_awvalid (ddr3_axi_awvalid), + .s_axi_awready (ddr3_axi_awready), + // Slave Interface Write Data Ports + .s_axi_wdata (ddr3_axi_wdata), + .s_axi_wstrb (ddr3_axi_wstrb), + .s_axi_wlast (ddr3_axi_wlast), + .s_axi_wvalid (ddr3_axi_wvalid), + .s_axi_wready (ddr3_axi_wready), + // Slave Interface Write Response Ports + .s_axi_bid (ddr3_axi_bid), + .s_axi_bresp (ddr3_axi_bresp), + .s_axi_bvalid (ddr3_axi_bvalid), + .s_axi_bready (ddr3_axi_bready), + // Slave Interface Read Address Ports + .s_axi_arid (ddr3_axi_arid), + .s_axi_araddr (ddr3_axi_araddr[30:0]), + .s_axi_arlen (ddr3_axi_arlen), + .s_axi_arsize (ddr3_axi_arsize), + .s_axi_arburst (ddr3_axi_arburst), + .s_axi_arlock (ddr3_axi_arlock), + .s_axi_arcache (ddr3_axi_arcache), + .s_axi_arprot (ddr3_axi_arprot), + .s_axi_arqos (ddr3_axi_arqos), + .s_axi_arvalid (ddr3_axi_arvalid), + .s_axi_arready (ddr3_axi_arready), + // Slave Interface Read Data Ports + .s_axi_rid (ddr3_axi_rid), + .s_axi_rdata (ddr3_axi_rdata), + .s_axi_rresp (ddr3_axi_rresp), + .s_axi_rlast (ddr3_axi_rlast), + .s_axi_rvalid (ddr3_axi_rvalid), + .s_axi_rready (ddr3_axi_rready), + // System Clock Ports + .sys_clk_p (sys_clk_p), + .sys_clk_n (sys_clk_n), + .clk_ref_i (bus_clk), + .sys_rst (bus_rst) + ); + + // Temperature monitor module + mig_7series_v4_2_tempmon #( + .TEMP_MON_CONTROL ("INTERNAL"), + .XADC_CLK_PERIOD (5000) // In ps, should match xadc_clk period + ) tempmon_i ( + .clk (bus_clk), + .xadc_clk (bus_clk), + .rst (bus_rst), + .device_temp_i (12'd0), // Not used for "INTERNAL" + .device_temp (device_temp) + ); + + + ///////////////////////////////////////////////////////////////////// + // + // Front-Panel GPIO + // + ///////////////////////////////////////////////////////////////////// + + wire [31:0] fp_gpio_ctrl; + wire [FP_GPIO_WIDTH-1:0] fp_gpio_in; + wire [FP_GPIO_WIDTH-1:0] fp_gpio_out; + wire [FP_GPIO_WIDTH-1:0] fp_gpio_tri; + + // Turn on GPIO buffers + assign GPIO_OE_N = fp_gpio_ctrl[0]; + + // Enable GPIO supply + assign EN_GPIO_VAR_SUPPLY = fp_gpio_ctrl[1]; + + // GPIO Voltage (1.8V, 2.5V, or 3.3V) + // + // 3V3 2V5 | Voltage + // ----------------- + // 0 0 | 1.8 V + // 0 1 | 2.5 V + // 1 0 | 3.3 V + assign EN_GPIO_2V5 = fp_gpio_ctrl[2]; + assign EN_GPIO_3V3 = fp_gpio_ctrl[3]; + + genvar i; + generate + for (i = 0; i < FP_GPIO_WIDTH; i = i+1) begin : gen_gpio_iobuf + assign fp_gpio_in[i] = GPIO_PREBUFF[i]; + assign GPIO_PREBUFF[i] = fp_gpio_tri[i] ? 1'bZ : fp_gpio_out[i]; + assign GPIO_DIR[i] = ~fp_gpio_tri[i]; + end + endgenerate + + + ///////////////////////////////////////////////////////////////////// + // + // GPIO Interface + // - Control Filter Banks + // - LEDs + // + ///////////////////////////////////////////////////////////////////// + + // Flattened Radio GPIO control + wire [DB_GPIO_WIDTH*NUM_CHANNELS-1:0] db_gpio_out_flat; + wire [DB_GPIO_WIDTH*NUM_CHANNELS-1:0] db_gpio_ddr_flat; + wire [DB_GPIO_WIDTH*NUM_CHANNELS-1:0] db_gpio_in_flat; + wire [32*NUM_CHANNELS-1:0] leds_flat; + + // Radio GPIO control + wire [DB_GPIO_WIDTH-1:0] db_gpio_in[0:NUM_CHANNELS-1]; + wire [DB_GPIO_WIDTH-1:0] db_gpio_out[0:NUM_CHANNELS-1]; + wire [DB_GPIO_WIDTH-1:0] db_gpio_ddr[0:NUM_CHANNELS-1]; + wire [DB_GPIO_WIDTH-1:0] db_gpio_pins[0:NUM_CHANNELS-1]; + wire [31:0] leds[0:NUM_CHANNELS-1]; + + generate + for (i = 0; i < NUM_CHANNELS; i = i + 1) begin + + assign db_gpio_in_flat[DB_GPIO_WIDTH*i +: DB_GPIO_WIDTH] = db_gpio_in[i]; + assign db_gpio_out[i] = db_gpio_out_flat[DB_GPIO_WIDTH*i +: DB_GPIO_WIDTH]; + assign db_gpio_ddr[i] = db_gpio_ddr_flat[DB_GPIO_WIDTH*i +: DB_GPIO_WIDTH]; + assign leds[i] = leds_flat[32*i +: 32]; + + gpio_atr_io #( + .WIDTH(DB_GPIO_WIDTH) + ) gpio_atr_db_inst ( + .clk(radio_clk), + .gpio_pins(db_gpio_pins[i]), + .gpio_ddr(db_gpio_ddr[i]), + .gpio_out(db_gpio_out[i]), + .gpio_in(db_gpio_in[i]) + ); + end + endgenerate + + // DB_GPIO and LED pin assignments with software mapping + + // Channel 1 + assign {TX_HFAMP1_ENA, // HF TX AMP + TX_LFAMP1_ENA, // LF TX AMP + FE1_SEL[2:0], // TRX Switch + TX1_BSEL[5:3], // TX_SW2 + TX1_BSEL[2:0], // TX_SW1 + RX1_SEL[1:0], // RX_SW3 + RX1_BSEL[5:3], // RX_SW2 + RX1_BSEL[2:0] // RX_SW1 + } = db_gpio_pins[0]; + + assign {RX1_GRN_ENA, //TX/RX led + TX1_RED_ENA, //TX/RX led + TXRX1_GRN_ENA //RX2 led + } = leds[0]; + + // Channel 2 + assign {TX_HFAMP2_ENA, // HF TX AMP + TX_LFAMP2_ENA, // LF TX AMP + FE2_SEL[2:0], // TRX Switch + TX2_BSEL[5:3], // TX_SW2 + TX2_BSEL[2:0], // TX_SW1 + RX2_SEL[1:0], // RX_SW3 + RX2_BSEL[5:3], // RX_SW2 + RX2_BSEL[2:0] // RX_SW1 + } = db_gpio_pins[1]; + + assign {RX2_GRN_ENA, + TX2_RED_ENA, + TXRX2_GRN_ENA + } = leds[1]; + + ///////////////////////////////////////////////////////////////////// + // + // 5V Power Supply (TX Amplifier) + // + ///////////////////////////////////////////////////////////////////// + + assign ENA_PAPWR = 1'b1; + + ///////////////////////////////////////////////////////////////////// + // + // AD9361 Interface + // + ///////////////////////////////////////////////////////////////////// + + wire [NUM_CHANNELS*32-1:0] rx_flat, tx_flat; + + wire [11:0] rx_i0, rx_q0, tx_i0, tx_q0; + wire [11:0] rx_i1, rx_q1, tx_i1, tx_q1; + + wire [NUM_CHANNELS-1:0] rx_stb, tx_stb; + wire [NUM_CHANNELS-1:0] rx_atr, tx_atr; + + wire [REG_DWIDTH-1:0] dboard_ctrl; + wire [REG_DWIDTH-1:0] dboard_status; + wire mimo_busclk, mimo_radioclk; + wire tx_chan_sel_busclk, tx_chan_sel_radioclk; + wire rx_aligned; + + wire tx_pll_lock_busclk, rx_pll_lock_busclk; + + synchronizer synchronizer_tx_pll_lock ( + .clk(bus_clk), .rst(1'b0), .in(XCVR_CTRL_OUT[7]), .out(tx_pll_lock_busclk) + ); + + synchronizer synchronizer_rx_pll_lock ( + .clk(bus_clk), .rst(1'b0), .in(XCVR_CTRL_OUT[6]), .out(rx_pll_lock_busclk) + ); + + assign dboard_status = { + 24'b0, + tx_pll_lock_busclk, // TX PLL Lock + rx_pll_lock_busclk, // RX PLL Lock + 6'b0 + }; + + assign mimo_busclk = dboard_ctrl[0]; + assign tx_chan_sel_busclk = dboard_ctrl[1]; + + synchronizer synchronizer_mimo_radioclk ( + .clk(radio_clk), .rst(1'b0), .in(mimo_busclk), .out(mimo_radioclk) + ); + + synchronizer synchronizer_tx_chan_sel_radioclk ( + .clk(radio_clk), .rst(1'b0), .in(tx_chan_sel_busclk), .out(tx_chan_sel_radioclk) + ); + + assign rx_flat = {rx_i1, 4'b0, rx_q1, 4'b0, + rx_i0, 4'b0, rx_q0, 4'b0}; + + assign tx_q0 = tx_flat[15:4]; + assign tx_i0 = tx_flat[31:20]; + assign tx_q1 = tx_flat[47:36]; + assign tx_i1 = tx_flat[63:52]; + + // Tx and Rx have samples on every clock, so keep stb asserted + assign rx_stb = { 1'b1, 1'b1 }; + assign tx_stb = { 1'b1, 1'b1 }; + + // These delays depend on the internal clock routing delays of the FPGA. + // Valid timing constraints are required to confirm that setup/hold are met + // at both the input and output interfaces. + localparam INPUT_DATA_DELAY = 27; + localparam OUTPUT_DATA_DELAY = 19; + + assign XCVR_ENABLE = 1'b1; + assign XCVR_SYNC = 1'b0; + assign XCVR_TXNRX = 1'b1; + assign XCVR_ENA_AGC = 1'b1; + assign XCVR_RESET_N = ~bus_rst; + + cat_io_lvds_dual_mode #( + .INVERT_FRAME_RX (0), + .INVERT_DATA_RX (6'b00_0000), + .INVERT_FRAME_TX (0), + .INVERT_DATA_TX (6'b00_0000), + .USE_CLOCK_IDELAY (0), + .USE_DATA_IDELAY (1), + .DATA_IDELAY_MODE ("FIXED"), + .CLOCK_IDELAY_MODE ("FIXED"), + .INPUT_CLOCK_DELAY (0), + .INPUT_DATA_DELAY (INPUT_DATA_DELAY), + .USE_CLOCK_ODELAY (1), + .USE_DATA_ODELAY (1), + .DATA_ODELAY_MODE ("FIXED"), + .CLOCK_ODELAY_MODE ("FIXED"), + .OUTPUT_CLOCK_DELAY (0), + .OUTPUT_DATA_DELAY (OUTPUT_DATA_DELAY) + ) cat_io_lvds_dual_mode_i0 ( + .rst (radio_rst), + .clk200 (bus_clk), // 200 MHz clock + + // Data and frame timing + .a_mimo (mimo_busclk), + .a_tx_ch (tx_chan_sel_busclk), + + // Delay control interface (not used) + .ctrl_clk (bus_clk), + // + .ctrl_in_data_delay (5'b00000), + .ctrl_in_clk_delay (5'b00000), + .ctrl_ld_in_data_delay (1'b0), + .ctrl_ld_in_clk_delay (1'b0), + // + .ctrl_out_data_delay (5'b00000), + .ctrl_out_clk_delay (5'b00000), + .ctrl_ld_out_data_delay (1'b0), + .ctrl_ld_out_clk_delay (1'b0), + + // Sample interface + .radio_clk (radio_clk), + .rx_aligned (rx_aligned), + // + .rx_i0 (rx_i0), + .rx_q0 (rx_q0), + .rx_i1 (rx_i1), + .rx_q1 (rx_q1), + // + .tx_i0 (tx_i0), + .tx_q0 (tx_q0), + .tx_i1 (tx_i1), + .tx_q1 (tx_q1), + + // AD9361 interface + .rx_clk_p (RX_CLK_P), + .rx_clk_n (RX_CLK_N), + .rx_frame_p (RX_FRAME_P), + .rx_frame_n (RX_FRAME_N), + .rx_d_p (RX_DATA_P), + .rx_d_n (RX_DATA_N), + // + .tx_clk_p (TX_CLK_P), + .tx_clk_n (TX_CLK_N), + .tx_frame_p (TX_FRAME_P), + .tx_frame_n (TX_FRAME_N), + .tx_d_p (TX_DATA_P), + .tx_d_n (TX_DATA_N) + ); + + ///////////////////////////////////////////////////////////////////// + // + // SFP Connections: + // - 1G + // - 10G + // - Aurora + // + ////////////////////////////////////////////////////////////////////// + + //-------------------------------------------------------------- + // SFP Reference Clocks: + // 1G requires 125 MHz reference clock + //-------------------------------------------------------------- + + wire gige_refclk; + wire gige_refclk_bufg; + + // dont_touch required for good SI on clock + (* dont_touch = "true" *) IBUFDS_GTE2 gige_refclk_ibuf ( + .ODIV2(), + .CEB (1'b0), + .I (CLK_MGT_125M_P), + .IB(CLK_MGT_125M_N), + .O (gige_refclk) + ); + + BUFG bufg_gige_refclk_i ( + .I(gige_refclk), + .O(gige_refclk_bufg) + ); + + //-------------------------------------------------------------- + // SFP Reference Clocks: + // XG requires 156.25 MHz reference clock + //-------------------------------------------------------------- + + wire xgige_refclk; + wire xgige_dclk; + + // dont_touch required for good SI on clock + (* dont_touch = "true" *) IBUFDS_GTE2 ten_gige_refclk_ibuf ( + .ODIV2(), + .CEB (1'b0), + .I (CLK_MGT_156_25M_P), + .IB(CLK_MGT_156_25M_N), + .O (xgige_refclk) + ); + + ten_gige_phy_clk_gen xgige_clk_gen_i ( + .refclk_ibuf(xgige_refclk), + .clk156(xgige_clk156), + .dclk(xgige_dclk) + ); + + //-------------------------------------------------------------- + // SFP Reference Clocks: + // XG requires 156.25 MHz reference clock + //-------------------------------------------------------------- + + wire aurora_refclk; + wire aurora_clk156; + wire aurora_init_clk; + + // Use the 156.25MHz reference clock for Aurora + assign aurora_refclk = xgige_refclk; + assign aurora_clk156 = xgige_clk156; + assign aurora_init_clk = xgige_dclk; + + wire sfp_gt_refclk; + wire sfp_gb_refclk; + wire sfp_misc_clk; + + // Make SFP1_RS1 open drain to avoid a short circuit when it is connected to + // ground by the SFP module (per the SFP+ specification). + wire SFP1_RS1_t; + assign SFP1_RS1 = SFP1_RS1_t ? 1'bZ : 1'b0; + + // Select Reference Clock according to Protocol + generate + if (PROTOCOL == "10GbE") begin + + assign sfp_gt_refclk = xgige_refclk; + assign sfp_gb_refclk = xgige_clk156; + assign sfp_misc_clk = xgige_dclk; + assign SFP1_RS0 = 1'b1; + assign SFP1_RS1_t = 1'b1; + + end else if (PROTOCOL == "1GbE") begin + + assign sfp_gt_refclk = gige_refclk; + assign sfp_gb_refclk = gige_refclk_bufg; + assign sfp_misc_clk = gige_refclk_bufg; + assign SFP1_RS0 = 1'b0; + assign SFP1_RS1_t = 1'b0; + + end else if (PROTOCOL == "Aurora") begin + + assign sfp_gt_refclk = aurora_refclk; + assign sfp_gb_refclk = aurora_clk156; + assign sfp_misc_clk = aurora_init_clk; + assign SFP1_RS0 = 1'b1; + assign SFP1_RS1_t = 1'b1; + + end else begin + + assign sfp_gt_refclk = 1'b0; + assign sfp_gb_refclk = 1'b0; + assign sfp_misc_clk = 1'b0; + assign SFP1_RS0 = 1'b0; + assign SFP1_RS1_t = 1'b0; + + end + endgenerate + + ///////////////////////////////////////////////////////////////////// + // + // SFP Wrapper: All protocols (1G/XG/AA) + eth_switch + // + ///////////////////////////////////////////////////////////////////// + + n3xx_sfp_wrapper #( + .PROTOCOL(PROTOCOL), + .MDIO_EN(MDIO_EN), + .MDIO_PHYADDR(MDIO_PHYADDR), + .DWIDTH(REG_DWIDTH), // Width of the AXI4-Lite data bus (must be 32 or 64) + .AWIDTH(REG_AWIDTH), // Width of the address bus + .PORTNUM(SFP_PORTNUM) + ) sfp_wrapper_i ( + .areset(bus_rst), + .gt_refclk(sfp_gt_refclk), + .gb_refclk(sfp_gb_refclk), + .misc_clk(sfp_misc_clk), + + .bus_rst(bus_rst), + .bus_clk(bus_clk), + .user_clk(), + .sync_clk(), + + // GT_COMMON + .qpllreset(), + .qplllock(1'b0), + .qplloutclk(1'b0), + .qplloutrefclk(1'b0), + .qpllrefclklost(), + + .mmcm_locked(1'b0), + .gt_pll_lock(), + + .txp(SFP1_TX_P), + .txn(SFP1_TX_N), + .rxp(SFP1_RX_P), + .rxn(SFP1_RX_N), + + .sfpp_rxlos(SFP1_RXLOS), + .sfpp_tx_fault(SFP1_TXFAULT), + .sfpp_tx_disable(SFP1_TXDISABLE), + + // Clock and reset + .s_axi_aclk(reg_clk), + .s_axi_aresetn(reg_rstn), + // AXI4-Lite: Write address port (domain: s_axi_aclk) + .s_axi_awaddr(m_axi_net_awaddr[REG_AWIDTH-1:0]), + .s_axi_awvalid(m_axi_net_awvalid), + .s_axi_awready(m_axi_net_awready), + // AXI4-Lite: Write data port (domain: s_axi_aclk) + .s_axi_wdata(m_axi_net_wdata), + .s_axi_wstrb(m_axi_net_wstrb), + .s_axi_wvalid(m_axi_net_wvalid), + .s_axi_wready(m_axi_net_wready), + // AXI4-Lite: Write response port (domain: s_axi_aclk) + .s_axi_bresp(m_axi_net_bresp), + .s_axi_bvalid(m_axi_net_bvalid), + .s_axi_bready(m_axi_net_bready), + // AXI4-Lite: Read address port (domain: s_axi_aclk) + .s_axi_araddr(m_axi_net_araddr[REG_AWIDTH-1:0]), + .s_axi_arvalid(m_axi_net_arvalid), + .s_axi_arready(m_axi_net_arready), + // AXI4-Lite: Read data port (domain: s_axi_aclk) + .s_axi_rdata(m_axi_net_rdata), + .s_axi_rresp(m_axi_net_rresp), + .s_axi_rvalid(m_axi_net_rvalid), + .s_axi_rready(m_axi_net_rready), + + // Ethernet to Vita + .e2v_tdata(e2v_tdata), + .e2v_tlast(e2v_tlast), + .e2v_tvalid(e2v_tvalid), + .e2v_tready(e2v_tready), + + // Vita to Ethernet + .v2e_tdata(v2e_tdata), + .v2e_tlast(v2e_tlast), + .v2e_tvalid(v2e_tvalid), + .v2e_tready(v2e_tready), + + // Ethernet to CPU + .e2c_tdata(arm_eth_rx_tdata_b), + .e2c_tkeep(arm_eth_rx_tkeep_b), + .e2c_tlast(arm_eth_rx_tlast_b), + .e2c_tvalid(arm_eth_rx_tvalid_b), + .e2c_tready(arm_eth_rx_tready_b), + + // CPU to Ethernet + .c2e_tdata(arm_eth_tx_tdata_b), + .c2e_tkeep(arm_eth_tx_tkeep_b), + .c2e_tlast(arm_eth_tx_tlast_b), + .c2e_tvalid(arm_eth_tx_tvalid_b), + .c2e_tready(arm_eth_tx_tready_b), + + // Misc + .port_info(sfp_port_info), + .device_id(device_id), + + // LED + .link_up(sfp_link_up), + .activity(LED_ACT1) + ); + + assign ps_gpio_in[60] = ps_gpio_tri[60] ? sfp_link_up : ps_gpio_out[60]; + assign LED_LINK1 = sfp_link_up; + + ///////////////////////////////////////////////////////////////////// + // + // Ethernet DMA (SFP to ARM) + // + ////////////////////////////////////////////////////////////////////// + + assign IRQ_F2P[0] = arm_eth_rx_irq; + assign IRQ_F2P[1] = arm_eth_tx_irq; + + assign {s_axi_hp0_awid, s_axi_hp0_arid} = 12'd0; + assign {s_axi_eth_descriptor_awid, s_axi_eth_descriptor_arid} = 10'd0; + + axi_eth_dma inst_axi_eth_dma ( + .s_axi_lite_aclk(clk40), + .m_axi_sg_aclk(clk40), + .m_axi_mm2s_aclk(clk40), + .m_axi_s2mm_aclk(clk40), + .axi_resetn(clk40_rstn), + + .s_axi_lite_awaddr(m_axi_eth_dma_awaddr), //FIXME: Synthesis Warning: port width(10) doesn't match 32 + .s_axi_lite_awvalid(m_axi_eth_dma_awvalid), + .s_axi_lite_awready(m_axi_eth_dma_awready), + + .s_axi_lite_wdata(m_axi_eth_dma_wdata), + .s_axi_lite_wvalid(m_axi_eth_dma_wvalid), + .s_axi_lite_wready(m_axi_eth_dma_wready), + + .s_axi_lite_bresp(m_axi_eth_dma_bresp), + .s_axi_lite_bvalid(m_axi_eth_dma_bvalid), + .s_axi_lite_bready(m_axi_eth_dma_bready), + + .s_axi_lite_araddr(m_axi_eth_dma_araddr), //FIXME: Synthesis Warning: port width(10) doesn't match 32 + .s_axi_lite_arvalid(m_axi_eth_dma_arvalid), + .s_axi_lite_arready(m_axi_eth_dma_arready), + + .s_axi_lite_rdata(m_axi_eth_dma_rdata), + .s_axi_lite_rresp(m_axi_eth_dma_rresp), + .s_axi_lite_rvalid(m_axi_eth_dma_rvalid), + .s_axi_lite_rready(m_axi_eth_dma_rready), + + .m_axi_sg_awaddr(s_axi_eth_descriptor_awaddr), + .m_axi_sg_awlen(s_axi_eth_descriptor_awlen), + .m_axi_sg_awsize(s_axi_eth_descriptor_awsize), + .m_axi_sg_awburst(s_axi_eth_descriptor_awburst), + .m_axi_sg_awprot(s_axi_eth_descriptor_awprot), + .m_axi_sg_awcache(s_axi_eth_descriptor_awcache), + .m_axi_sg_awvalid(s_axi_eth_descriptor_awvalid), + .m_axi_sg_awready(s_axi_eth_descriptor_awready), + .m_axi_sg_wdata(s_axi_eth_descriptor_wdata), + .m_axi_sg_wstrb(s_axi_eth_descriptor_wstrb), + .m_axi_sg_wlast(s_axi_eth_descriptor_wlast), + .m_axi_sg_wvalid(s_axi_eth_descriptor_wvalid), + .m_axi_sg_wready(s_axi_eth_descriptor_wready), + .m_axi_sg_bresp(s_axi_eth_descriptor_bresp), + .m_axi_sg_bvalid(s_axi_eth_descriptor_bvalid), + .m_axi_sg_bready(s_axi_eth_descriptor_bready), + .m_axi_sg_araddr(s_axi_eth_descriptor_araddr), + .m_axi_sg_arlen(s_axi_eth_descriptor_arlen), + .m_axi_sg_arsize(s_axi_eth_descriptor_arsize), + .m_axi_sg_arburst(s_axi_eth_descriptor_arburst), + .m_axi_sg_arprot(s_axi_eth_descriptor_arprot), + .m_axi_sg_arcache(s_axi_eth_descriptor_arcache), + .m_axi_sg_arvalid(s_axi_eth_descriptor_arvalid), + .m_axi_sg_arready(s_axi_eth_descriptor_arready), + .m_axi_sg_rdata(s_axi_eth_descriptor_rdata), + .m_axi_sg_rresp(s_axi_eth_descriptor_rresp), + .m_axi_sg_rlast(s_axi_eth_descriptor_rlast), + .m_axi_sg_rvalid(s_axi_eth_descriptor_rvalid), + .m_axi_sg_rready(s_axi_eth_descriptor_rready), + + .m_axi_mm2s_araddr(s_axi_hp0_araddr), + .m_axi_mm2s_arlen(s_axi_hp0_arlen), + .m_axi_mm2s_arsize(s_axi_hp0_arsize), + .m_axi_mm2s_arburst(s_axi_hp0_arburst), + .m_axi_mm2s_arprot(s_axi_hp0_arprot), + .m_axi_mm2s_arcache(s_axi_hp0_arcache), + .m_axi_mm2s_arvalid(s_axi_hp0_arvalid), + .m_axi_mm2s_arready(s_axi_hp0_arready), + .m_axi_mm2s_rdata(s_axi_hp0_rdata), + .m_axi_mm2s_rresp(s_axi_hp0_rresp), + .m_axi_mm2s_rlast(s_axi_hp0_rlast), + .m_axi_mm2s_rvalid(s_axi_hp0_rvalid), + .m_axi_mm2s_rready(s_axi_hp0_rready), + + .mm2s_prmry_reset_out_n(), + .m_axis_mm2s_tdata(arm_eth_tx_tdata), + .m_axis_mm2s_tkeep(arm_eth_tx_tkeep), + .m_axis_mm2s_tvalid(arm_eth_tx_tvalid), + .m_axis_mm2s_tready(arm_eth_tx_tready), + .m_axis_mm2s_tlast(arm_eth_tx_tlast), + + .m_axi_s2mm_awaddr(s_axi_hp0_awaddr), + .m_axi_s2mm_awlen(s_axi_hp0_awlen), + .m_axi_s2mm_awsize(s_axi_hp0_awsize), + .m_axi_s2mm_awburst(s_axi_hp0_awburst), + .m_axi_s2mm_awprot(s_axi_hp0_awprot), + .m_axi_s2mm_awcache(s_axi_hp0_awcache), + .m_axi_s2mm_awvalid(s_axi_hp0_awvalid), + .m_axi_s2mm_awready(s_axi_hp0_awready), + .m_axi_s2mm_wdata(s_axi_hp0_wdata), + .m_axi_s2mm_wstrb(s_axi_hp0_wstrb), + .m_axi_s2mm_wlast(s_axi_hp0_wlast), + .m_axi_s2mm_wvalid(s_axi_hp0_wvalid), + .m_axi_s2mm_wready(s_axi_hp0_wready), + .m_axi_s2mm_bresp(s_axi_hp0_bresp), + .m_axi_s2mm_bvalid(s_axi_hp0_bvalid), + .m_axi_s2mm_bready(s_axi_hp0_bready), + + .s2mm_prmry_reset_out_n(), + .s_axis_s2mm_tdata(arm_eth_rx_tdata), + .s_axis_s2mm_tkeep(arm_eth_rx_tkeep), + .s_axis_s2mm_tvalid(arm_eth_rx_tvalid), + .s_axis_s2mm_tready(arm_eth_rx_tready), + .s_axis_s2mm_tlast(arm_eth_rx_tlast), + + .mm2s_introut(arm_eth_tx_irq), + .s2mm_introut(arm_eth_rx_irq), + .axi_dma_tstvec() + ); + + // Clock crossing fifo from dma(clk40) to sfp(bus_clk) + axi_fifo_2clk #(.WIDTH(1+8+64), .SIZE(5)) eth_tx_fifo_2clk_i ( + .reset(clk40_rst), + .i_aclk(clk40), + .i_tdata({arm_eth_tx_tlast, arm_eth_tx_tkeep, arm_eth_tx_tdata}), + .i_tvalid(arm_eth_tx_tvalid), + .i_tready(arm_eth_tx_tready), + .o_aclk(bus_clk), + .o_tdata({arm_eth_tx_tlast_b, arm_eth_tx_tkeep_b, arm_eth_tx_tdata_b}), + .o_tvalid(arm_eth_tx_tvalid_b), + .o_tready(arm_eth_tx_tready_b) + ); + + // Clock crossing fifo from sfp(bus_clk) to dma(clk40) + axi_fifo_2clk #(.WIDTH(1+8+64), .SIZE(5)) eth_rx_fifo_2clk_i ( + .reset(bus_rst), + .i_aclk(bus_clk), + .i_tdata({arm_eth_rx_tlast_b, arm_eth_rx_tkeep_b, arm_eth_rx_tdata_b}), + .i_tvalid(arm_eth_rx_tvalid_b), + .i_tready(arm_eth_rx_tready_b), + .o_aclk(clk40), + .o_tdata({arm_eth_rx_tlast, arm_eth_rx_tkeep, arm_eth_rx_tdata}), + .o_tvalid(arm_eth_rx_tvalid), + .o_tready(arm_eth_rx_tready) + ); + + ///////////////////////////////////////////////////////////////////// + // + // PS Connections + // + ////////////////////////////////////////////////////////////////////// + + wire [63:0] ps_gpio_in; + wire [63:0] ps_gpio_out; + wire [63:0] ps_gpio_tri; + + e320_ps_bd e320_ps_bd_i ( + // DDR Interface + .DDR_VRN(PS_DDR3_VRN), + .DDR_VRP(PS_DDR3_VRP), + .DDR_addr(PS_DDR3_ADDR), + .DDR_ba(PS_DDR3_BA), + .DDR_cas_n(PS_DDR3_CAS_N), + .DDR_ck_n(DDR_MODCLK_N), + .DDR_ck_p(DDR_MODCLK_P), + .DDR_cke(PS_DDR3_CKE), + .DDR_cs_n(PS_DDR3_CS_N), + .DDR_dm(PS_DDR3_DM), + .DDR_dq(PS_DDR3_DQ), + .DDR_dqs_n(PS_DDR3_DQS_N), + .DDR_dqs_p(PS_DDR3_DQS_P), + .DDR_odt(PS_DDR3_ODT), + .DDR_ras_n(PS_DDR3_RAS_N), + .DDR_reset_n(PS_DDR3_RESET_N), + .DDR_we_n(PS_DDR3_WE_N), + + // Clocks + .FCLK_CLK0(FCLK_CLK0), + .FCLK_CLK1(FCLK_CLK1), + .FCLK_CLK2(FCLK_CLK2), + .FCLK_CLK3(FCLK_CLK3), + + // Resets + .FCLK_RESET0_N(FCLK_RESET0_N), + + // GPIO + .GPIO_0_tri_i(ps_gpio_in), + .GPIO_0_tri_o(ps_gpio_out), + .GPIO_0_tri_t(ps_gpio_tri), + + // Interrupts + .IRQ_F2P(IRQ_F2P), + + // MIO + .MIO(PS_MIO), + + .PS_CLK(PS_CLK), + .PS_PORB(PS_POR_B), + .PS_SRSTB(PS_SRST_B), + + // SPI + .SPI0_MISO_I(XCVR_SPI_MISO), + .SPI0_MISO_O(), + .SPI0_MISO_T(), + .SPI0_MOSI_I(1'b0), + .SPI0_MOSI_O(XCVR_SPI_MOSI), + .SPI0_MOSI_T(), + .SPI0_SCLK_I(1'b0), + .SPI0_SCLK_O(XCVR_SPI_CLK), + .SPI0_SCLK_T(), + .SPI0_SS1_O(), + .SPI0_SS2_O(), + .SPI0_SS_I(1'b1), + .SPI0_SS_O(XCVR_SPI_CS_N), + .SPI0_SS_T(), + + .SPI1_MISO_I(1'b0), + .SPI1_MISO_O(), + .SPI1_MISO_T(), + .SPI1_MOSI_I(1'b0), + .SPI1_MOSI_O(CLK_PLL_SDATA), + .SPI1_MOSI_T(), + .SPI1_SCLK_I(1'b0), + .SPI1_SCLK_O(CLK_PLL_SCLK), + .SPI1_SCLK_T(), + .SPI1_SS1_O(), + .SPI1_SS2_O(), + .SPI1_SS_I(1'b1), + .SPI1_SS_O(CLK_PLL_SLE), + .SPI1_SS_T(), + + // Eth DMA Descriptor + .s_axi_eth_descriptor_araddr(s_axi_eth_descriptor_araddr), + .s_axi_eth_descriptor_arburst(s_axi_eth_descriptor_arburst), + .s_axi_eth_descriptor_arcache(s_axi_eth_descriptor_arcache), + .s_axi_eth_descriptor_arid(s_axi_eth_descriptor_arid), + .s_axi_eth_descriptor_arlen(s_axi_eth_descriptor_arlen), + .s_axi_eth_descriptor_arlock(1'b0), + .s_axi_eth_descriptor_arprot(s_axi_eth_descriptor_arprot), + .s_axi_eth_descriptor_arqos(4'b0), + .s_axi_eth_descriptor_arready(s_axi_eth_descriptor_arready), + .s_axi_eth_descriptor_arsize(s_axi_eth_descriptor_arsize), + .s_axi_eth_descriptor_arvalid(s_axi_eth_descriptor_arvalid), + .s_axi_eth_descriptor_awaddr(s_axi_eth_descriptor_awaddr), + .s_axi_eth_descriptor_awburst(s_axi_eth_descriptor_awburst), + .s_axi_eth_descriptor_awcache(s_axi_eth_descriptor_awcache), + .s_axi_eth_descriptor_awid(s_axi_eth_descriptor_awid), + .s_axi_eth_descriptor_awlen(s_axi_eth_descriptor_awlen), + .s_axi_eth_descriptor_awlock(1'b0), + .s_axi_eth_descriptor_awprot(s_axi_eth_descriptor_awprot), + .s_axi_eth_descriptor_awqos(4'b0), + .s_axi_eth_descriptor_awready(s_axi_eth_descriptor_awready), + .s_axi_eth_descriptor_awsize(s_axi_eth_descriptor_awsize), + .s_axi_eth_descriptor_awvalid(s_axi_eth_descriptor_awvalid), + .s_axi_eth_descriptor_bid(), + .s_axi_eth_descriptor_bready(s_axi_eth_descriptor_bready), + .s_axi_eth_descriptor_bresp(s_axi_eth_descriptor_bresp), + .s_axi_eth_descriptor_bvalid(s_axi_eth_descriptor_bvalid), + .s_axi_eth_descriptor_rdata(s_axi_eth_descriptor_rdata), + .s_axi_eth_descriptor_rid(), + .s_axi_eth_descriptor_rlast(s_axi_eth_descriptor_rlast), + .s_axi_eth_descriptor_rready(s_axi_eth_descriptor_rready), + .s_axi_eth_descriptor_rresp(s_axi_eth_descriptor_rresp), + .s_axi_eth_descriptor_rvalid(s_axi_eth_descriptor_rvalid), + .s_axi_eth_descriptor_wdata(s_axi_eth_descriptor_wdata), + .s_axi_eth_descriptor_wlast(s_axi_eth_descriptor_wlast), + .s_axi_eth_descriptor_wready(s_axi_eth_descriptor_wready), + .s_axi_eth_descriptor_wstrb(s_axi_eth_descriptor_wstrb), + .s_axi_eth_descriptor_wvalid(s_axi_eth_descriptor_wvalid), + + // HP0 - Eth DMA + .S_AXI_HP0_ACLK(clk40), + .S_AXI_HP0_ARESETN(clk40_rstn), + .S_AXI_HP0_araddr(s_axi_hp0_araddr), + .S_AXI_HP0_arburst(s_axi_hp0_arburst), + .S_AXI_HP0_arcache(s_axi_hp0_arcache), + .S_AXI_HP0_arid(s_axi_hp0_arid), + .S_AXI_HP0_arlen(s_axi_hp0_arlen), + .S_AXI_HP0_arlock(1'b0), + .S_AXI_HP0_arprot(s_axi_hp0_arprot), + .S_AXI_HP0_arqos(4'b0), + .S_AXI_HP0_arready(s_axi_hp0_arready), + .S_AXI_HP0_arsize(s_axi_hp0_arsize), + .S_AXI_HP0_arvalid(s_axi_hp0_arvalid), + .S_AXI_HP0_awaddr(s_axi_hp0_awaddr), + .S_AXI_HP0_awburst(s_axi_hp0_awburst), + .S_AXI_HP0_awcache(s_axi_hp0_awcache), + .S_AXI_HP0_awid(s_axi_hp0_awid), + .S_AXI_HP0_awlen(s_axi_hp0_awlen), + .S_AXI_HP0_awlock(1'b0), + .S_AXI_HP0_awprot(s_axi_hp0_awprot), + .S_AXI_HP0_awqos(4'b0), + .S_AXI_HP0_awready(s_axi_hp0_awready), + .S_AXI_HP0_awsize(s_axi_hp0_awsize), + .S_AXI_HP0_awvalid(s_axi_hp0_awvalid), + .S_AXI_HP0_bid(), + .S_AXI_HP0_bready(s_axi_hp0_bready), + .S_AXI_HP0_bresp(s_axi_hp0_bresp), + .S_AXI_HP0_bvalid(s_axi_hp0_bvalid), + .S_AXI_HP0_rdata(s_axi_hp0_rdata), + .S_AXI_HP0_rid(), + .S_AXI_HP0_rlast(s_axi_hp0_rlast), + .S_AXI_HP0_rready(s_axi_hp0_rready), + .S_AXI_HP0_rresp(s_axi_hp0_rresp), + .S_AXI_HP0_rvalid(s_axi_hp0_rvalid), + .S_AXI_HP0_wdata(s_axi_hp0_wdata), + .S_AXI_HP0_wlast(s_axi_hp0_wlast), + .S_AXI_HP0_wready(s_axi_hp0_wready), + .S_AXI_HP0_wstrb(s_axi_hp0_wstrb), + .S_AXI_HP0_wvalid(s_axi_hp0_wvalid), + .m_axi_eth_dma_araddr(m_axi_eth_dma_araddr), + .m_axi_eth_dma_arprot(), + .m_axi_eth_dma_arready(m_axi_eth_dma_arready), + .m_axi_eth_dma_arvalid(m_axi_eth_dma_arvalid), + .m_axi_eth_dma_awaddr(m_axi_eth_dma_awaddr), + .m_axi_eth_dma_awprot(), + .m_axi_eth_dma_awready(m_axi_eth_dma_awready), + .m_axi_eth_dma_awvalid(m_axi_eth_dma_awvalid), + .m_axi_eth_dma_bready(m_axi_eth_dma_bready), + .m_axi_eth_dma_bresp(m_axi_eth_dma_bresp), + .m_axi_eth_dma_bvalid(m_axi_eth_dma_bvalid), + .m_axi_eth_dma_rdata(m_axi_eth_dma_rdata), + .m_axi_eth_dma_rready(m_axi_eth_dma_rready), + .m_axi_eth_dma_rresp(m_axi_eth_dma_rresp), + .m_axi_eth_dma_rvalid(m_axi_eth_dma_rvalid), + .m_axi_eth_dma_wdata(m_axi_eth_dma_wdata), + .m_axi_eth_dma_wready(m_axi_eth_dma_wready), + .m_axi_eth_dma_wstrb(m_axi_eth_dma_wstrb), + .m_axi_eth_dma_wvalid(m_axi_eth_dma_wvalid), + + // MGT IO Regport + .m_axi_net_araddr(m_axi_net_araddr), + .m_axi_net_arprot(), + .m_axi_net_arready(m_axi_net_arready), + .m_axi_net_arvalid(m_axi_net_arvalid), + .m_axi_net_awaddr(m_axi_net_awaddr), + .m_axi_net_awprot(), + .m_axi_net_awready(m_axi_net_awready), + .m_axi_net_awvalid(m_axi_net_awvalid), + .m_axi_net_bready(m_axi_net_bready), + .m_axi_net_bresp(m_axi_net_bresp), + .m_axi_net_bvalid(m_axi_net_bvalid), + .m_axi_net_rdata(m_axi_net_rdata), + .m_axi_net_rready(m_axi_net_rready), + .m_axi_net_rresp(m_axi_net_rresp), + .m_axi_net_rvalid(m_axi_net_rvalid), + .m_axi_net_wdata(m_axi_net_wdata), + .m_axi_net_wready(m_axi_net_wready), + .m_axi_net_wstrb(m_axi_net_wstrb), + .m_axi_net_wvalid(m_axi_net_wvalid), + + // XBAR Regport + .m_axi_xbar_araddr(m_axi_xbar_araddr), + .m_axi_xbar_arprot(), + .m_axi_xbar_arready(m_axi_xbar_arready), + .m_axi_xbar_arvalid(m_axi_xbar_arvalid), + .m_axi_xbar_awaddr(m_axi_xbar_awaddr), + .m_axi_xbar_awprot(), + .m_axi_xbar_awready(m_axi_xbar_awready), + .m_axi_xbar_awvalid(m_axi_xbar_awvalid), + .m_axi_xbar_bready(m_axi_xbar_bready), + .m_axi_xbar_bresp(m_axi_xbar_bresp), + .m_axi_xbar_bvalid(m_axi_xbar_bvalid), + .m_axi_xbar_rdata(m_axi_xbar_rdata), + .m_axi_xbar_rready(m_axi_xbar_rready), + .m_axi_xbar_rresp(m_axi_xbar_rresp), + .m_axi_xbar_rvalid(m_axi_xbar_rvalid), + .m_axi_xbar_wdata(m_axi_xbar_wdata), + .m_axi_xbar_wready(m_axi_xbar_wready), + .m_axi_xbar_wstrb(m_axi_xbar_wstrb), + .m_axi_xbar_wvalid(m_axi_xbar_wvalid), + + // USB + .USBIND_0_port_indctl(), + .USBIND_0_vbus_pwrfault(), + .USBIND_0_vbus_pwrselect(), + + .bus_clk(bus_clk), + .bus_rstn(~bus_rst), + .clk40(clk40), + .clk40_rstn(clk40_rstn), + .S_AXI_GP0_ACLK(clk40), + .S_AXI_GP0_ARESETN(clk40_rstn), + + // DMA + .s_axis_dma_tdata(s_axis_dma_tdata), + .s_axis_dma_tdest(s_axis_dma_tdest), + .s_axis_dma_tlast(s_axis_dma_tlast), + .s_axis_dma_tready(s_axis_dma_tready), + .s_axis_dma_tvalid(s_axis_dma_tvalid), + .m_axis_dma_tdata(m_axis_dma_tdata), + .m_axis_dma_tuser(m_axis_dma_tuser), + .m_axis_dma_tlast(m_axis_dma_tlast), + .m_axis_dma_tready(m_axis_dma_tready), + .m_axis_dma_tvalid(m_axis_dma_tvalid) + ); + + ///////////////////////////////////////////////////////////////////// + // + // GPSDO Control and Status + // + ///////////////////////////////////////////////////////////////////// + + wire [31:0] gps_ctrl; + wire [31:0] gps_status; + + assign CLK_GPS_PWR_EN = gps_ctrl[0]; + assign GPS_RST_N = gps_ctrl[1]; + assign GPS_INITSURV_N = gps_ctrl[2]; + assign gps_status[0] = GPS_LOCK; + assign gps_status[1] = GPS_ALARM; + assign gps_status[2] = GPS_PHASELOCK; + assign gps_status[3] = GPS_SURVEY; + assign gps_status[4] = GPS_WARMUP; + assign gps_status[31:5] = 'd0; + + ///////////////////////////////////////////////////////////////////// + // + // E320 Core: + // - xbar + // - Radio + // - DMA + // - DRAM + // - CEs + // + ////////////////////////////////////////////////////////////////////// + + wire [31:0] build_datestamp; + + USR_ACCESSE2 usr_access_i ( + .DATA(build_datestamp), .CFGCLK(), .DATAVALID() + ); + + e320_core #( + .REG_AWIDTH(REG_AWIDTH), + .BUS_CLK_RATE(BUS_CLK_RATE), + .NUM_RADIOS(NUM_RADIOS), + .NUM_CHANNELS(NUM_CHANNELS), + .NUM_DBOARDS(NUM_DBOARDS), + .FP_GPIO_WIDTH(FP_GPIO_WIDTH), + .DB_GPIO_WIDTH(DB_GPIO_WIDTH) + ) e320_core_i ( + + //Clocks and resets + .radio_clk(radio_clk), + .radio_rst(radio_rst), + .bus_clk(bus_clk), + .bus_rst(bus_rst), + .ddr3_dma_clk(ddr3_dma_clk), + .clk40(clk40), + + // Clocking and PPS Controls/Indicators + .pps_refclk(pps_refclk), + .refclk_locked(refclk_locked_busclk), + .pps_select(pps_select), + .ref_select(ref_select), + + .s_axi_aclk(clk40), + .s_axi_aresetn(clk40_rstn), + // AXI4-Lite: Write address port (domain: s_axi_aclk) + .s_axi_awaddr(m_axi_xbar_awaddr), + .s_axi_awvalid(m_axi_xbar_awvalid), + .s_axi_awready(m_axi_xbar_awready), + // AXI4-Lite: Write data port (domain: s_axi_aclk) + .s_axi_wdata(m_axi_xbar_wdata), + .s_axi_wstrb(m_axi_xbar_wstrb), + .s_axi_wvalid(m_axi_xbar_wvalid), + .s_axi_wready(m_axi_xbar_wready), + // AXI4-Lite: Write response port (domain: s_axi_aclk) + .s_axi_bresp(m_axi_xbar_bresp), + .s_axi_bvalid(m_axi_xbar_bvalid), + .s_axi_bready(m_axi_xbar_bready), + // AXI4-Lite: Read address port (domain: s_axi_aclk) + .s_axi_araddr(m_axi_xbar_araddr), + .s_axi_arvalid(m_axi_xbar_arvalid), + .s_axi_arready(m_axi_xbar_arready), + // AXI4-Lite: Read data port (domain: s_axi_aclk) + .s_axi_rdata(m_axi_xbar_rdata), + .s_axi_rresp(m_axi_xbar_rresp), + .s_axi_rvalid(m_axi_xbar_rvalid), + .s_axi_rready(m_axi_xbar_rready), + + // DRAM signals + .ddr3_axi_clk (ddr3_axi_clk), + .ddr3_axi_rst (ddr3_axi_rst), + .ddr3_running (ddr3_running), + // Slave Interface Write Address Ports + .ddr3_axi_awid (ddr3_axi_awid), + .ddr3_axi_awaddr (ddr3_axi_awaddr), + .ddr3_axi_awlen (ddr3_axi_awlen), + .ddr3_axi_awsize (ddr3_axi_awsize), + .ddr3_axi_awburst (ddr3_axi_awburst), + .ddr3_axi_awlock (ddr3_axi_awlock), + .ddr3_axi_awcache (ddr3_axi_awcache), + .ddr3_axi_awprot (ddr3_axi_awprot), + .ddr3_axi_awqos (ddr3_axi_awqos), + .ddr3_axi_awvalid (ddr3_axi_awvalid), + .ddr3_axi_awready (ddr3_axi_awready), + // Slave Interface Write Data Ports + .ddr3_axi_wdata (ddr3_axi_wdata), + .ddr3_axi_wstrb (ddr3_axi_wstrb), + .ddr3_axi_wlast (ddr3_axi_wlast), + .ddr3_axi_wvalid (ddr3_axi_wvalid), + .ddr3_axi_wready (ddr3_axi_wready), + // Slave Interface Write Response Ports + .ddr3_axi_bid (ddr3_axi_bid), + .ddr3_axi_bresp (ddr3_axi_bresp), + .ddr3_axi_bvalid (ddr3_axi_bvalid), + .ddr3_axi_bready (ddr3_axi_bready), + // Slave Interface Read Address Ports + .ddr3_axi_arid (ddr3_axi_arid), + .ddr3_axi_araddr (ddr3_axi_araddr), + .ddr3_axi_arlen (ddr3_axi_arlen), + .ddr3_axi_arsize (ddr3_axi_arsize), + .ddr3_axi_arburst (ddr3_axi_arburst), + .ddr3_axi_arlock (ddr3_axi_arlock), + .ddr3_axi_arcache (ddr3_axi_arcache), + .ddr3_axi_arprot (ddr3_axi_arprot), + .ddr3_axi_arqos (ddr3_axi_arqos), + .ddr3_axi_arvalid (ddr3_axi_arvalid), + .ddr3_axi_arready (ddr3_axi_arready), + // Slave Interface Read Data Ports + .ddr3_axi_rid (ddr3_axi_rid), + .ddr3_axi_rdata (ddr3_axi_rdata), + .ddr3_axi_rresp (ddr3_axi_rresp), + .ddr3_axi_rlast (ddr3_axi_rlast), + .ddr3_axi_rvalid (ddr3_axi_rvalid), + .ddr3_axi_rready (ddr3_axi_rready), + + + // Radio ATR + .rx_atr(rx_atr), + .tx_atr(tx_atr), + + // Front-Panel GPIO + .fp_gpio_in(fp_gpio_in), + .fp_gpio_tri(fp_gpio_tri), + .fp_gpio_out(fp_gpio_out), + + // PS GPIO Connection + .ps_gpio_tri(ps_gpio_tri[FP_GPIO_WIDTH+FP_GPIO_OFFSET-1: FP_GPIO_OFFSET]), + .ps_gpio_out(ps_gpio_out[FP_GPIO_WIDTH+FP_GPIO_OFFSET-1: FP_GPIO_OFFSET]), + .ps_gpio_in(ps_gpio_in[FP_GPIO_WIDTH+FP_GPIO_OFFSET-1: FP_GPIO_OFFSET]), + + // DB GPIO + .db_gpio_out_flat(db_gpio_out_flat), + .db_gpio_ddr_flat(db_gpio_ddr_flat), + .db_gpio_in_flat(db_gpio_in_flat), + .db_gpio_fab_flat(32'b0), // FIXME: Incorrect width + + // TX/RX LEDs + .leds_flat(leds_flat), + + // Radio Strobes + .rx_stb(rx_stb), + .tx_stb(tx_stb), + + // Radio Data + .rx(rx_flat), + .tx(tx_flat), + + // DMA to PS + .m_dma_tdata(s_axis_dma_tdata), + .m_dma_tdest(s_axis_dma_tdest), + .m_dma_tlast(s_axis_dma_tlast), + .m_dma_tready(s_axis_dma_tready), + .m_dma_tvalid(s_axis_dma_tvalid), + + .s_dma_tdata(m_axis_dma_tdata), + .s_dma_tuser(m_axis_dma_tuser), + .s_dma_tlast(m_axis_dma_tlast), + .s_dma_tready(m_axis_dma_tready), + .s_dma_tvalid(m_axis_dma_tvalid), + + // VITA to Ethernet + .v2e_tdata(v2e_tdata), + .v2e_tvalid(v2e_tvalid), + .v2e_tlast(v2e_tlast), + .v2e_tready(v2e_tready), + + // Ethernet to VITA + .e2v_tdata(e2v_tdata), + .e2v_tlast(e2v_tlast), + .e2v_tvalid(e2v_tvalid), + .e2v_tready(e2v_tready), + + .build_datestamp(build_datestamp), + .sfp_ports_info(sfp_port_info), + .gps_status(gps_status), + .gps_ctrl(gps_ctrl), + .dboard_status(dboard_status), + .xadc_readback({20'h0, device_temp}), + .fp_gpio_ctrl(fp_gpio_ctrl), + .dboard_ctrl(dboard_ctrl), + .device_id(device_id) + ); + +endmodule // e320 +`default_nettype wire diff --git a/fpga/usrp3/top/e320/e320_10ge.xdc b/fpga/usrp3/top/e320/e320_10ge.xdc new file mode 100644 index 000000000..ad84a1b7f --- /dev/null +++ b/fpga/usrp3/top/e320/e320_10ge.xdc @@ -0,0 +1,16 @@ +# +# Copyright 2017 Ettus Research, A National Instruments Company +# SPDX-License-Identifier: LGPL-3.0-or-later +# + + +# No need for any asynchronous clock groups between bus_clk and the recovered clocks, +# because bus_clk already has a blanket asynchronous constraint from the top level XDC. + +# Remove analysis between the xge_clk and the recovered clocks from the MGT PHYs, +# since they cannot be related to one another with any known phase or period. +set_clock_groups -asynchronous -group [get_clocks -filter {NAME =~ sfp_wrapper_*/mgt_io_i/ten_gige_phy_i/ten_gig_eth_pcs_pma_i/*/gtxe2_i/RXOUTCLK}] -group [get_clocks xge_clk] +set_clock_groups -asynchronous -group [get_clocks -filter {NAME =~ sfp_wrapper_*/mgt_io_i/ten_gige_phy_i/ten_gig_eth_pcs_pma_i/*/gtxe2_i/TXOUTCLK}] -group [get_clocks xge_clk] + +set_false_path -to [get_pins -of_objects [get_cells -hier -filter {NAME =~ sfp_wrapper_*/mgt_io_i/ten_gige_phy_i/*sync1_r_reg*}] -filter {NAME =~ *PRE}] +set_false_path -to [get_pins -of_objects [get_cells -hier -filter {NAME =~ sfp_wrapper_*/mgt_io_i/ten_gige_phy_i/*sync1_r_reg*}] -filter {NAME =~ *CLR}] diff --git a/fpga/usrp3/top/e320/e320_10ge_port0.xdc b/fpga/usrp3/top/e320/e320_10ge_port0.xdc new file mode 100644 index 000000000..3cb98091b --- /dev/null +++ b/fpga/usrp3/top/e320/e320_10ge_port0.xdc @@ -0,0 +1,7 @@ +# +# Copyright 2017 Ettus Research, A National Instruments Company +# SPDX-License-Identifier: LGPL-3.0 +# + +set_property LOC GTXE2_CHANNEL_X0Y12 [get_cells -hierarchical -filter {NAME =~ "*sfp_wrapper_0/mgt_io_i/ten_gige_phy_i/*gtxe2_i*" && PRIMITIVE_TYPE == IO.gt.GTXE2_CHANNEL}] +set_property LOC GTXE2_COMMON_X0Y12 [get_cells -hierarchical -filter {NAME =~ "*gtxe2_common_0_i*" && PRIMITIVE_TYPE == IO.gt.GTXE2_COMMON}] diff --git a/fpga/usrp3/top/e320/e320_1ge.xdc b/fpga/usrp3/top/e320/e320_1ge.xdc new file mode 100644 index 000000000..7d5f51641 --- /dev/null +++ b/fpga/usrp3/top/e320/e320_1ge.xdc @@ -0,0 +1,15 @@ +# +# Copyright 2017 Ettus Research, A National Instruments Company +# SPDX-License-Identifier: LGPL-3.0 +# + + +# No need for any asynchronous clock groups between clk100 and the recovered clocks, +# because clk100 already has a blanket asynchronous constraint from the top level XDC. +set_clock_groups -asynchronous -group [get_clocks clk100] -group [get_clocks ge_clk] +set_clock_groups -asynchronous -group [get_clocks clk100] -group [get_clocks -of_objects [get_pins sfp_wrapper_*/mgt_io_i/one_gige_phy_i/*/core_clocking_i/mmcm_*/CLKOUT0]] +set_clock_groups -asynchronous -group [get_clocks clk100] -group [get_clocks -of_objects [get_pins sfp_wrapper_*/mgt_io_i/one_gige_phy_i/*/core_clocking_i/mmcm_*/CLKOUT1]] + +set_false_path -to [get_pins -hier -filter {NAME =~ sfp_wrapper_*/mgt_io_i/one_gige_phy_i/*reset_sync*/PRE}] +set_false_path -to [get_pins -hier -filter {NAME =~ sfp_wrapper_*/mgt_io_i/one_gige_phy_i/*/pma_reset_pipe_reg*/PRE}] +set_false_path -to [get_pins -hier -filter {NAME =~ sfp_wrapper_*/mgt_io_i/one_gige_phy_i/*/pma_reset_pipe*[0]/D}] diff --git a/fpga/usrp3/top/e320/e320_aurora.xdc b/fpga/usrp3/top/e320/e320_aurora.xdc new file mode 100644 index 000000000..3f6860de3 --- /dev/null +++ b/fpga/usrp3/top/e320/e320_aurora.xdc @@ -0,0 +1,13 @@ +# +# Copyright 2017 Ettus Research, A National Instruments Company +# SPDX-License-Identifier: LGPL-3.0 +# + + +create_generated_clock -name aurora_init_clk [get_pins -hierarchical -filter {NAME =~ "*aurora_clk_gen_i/dclk_divide_by_2_buf/O"}] + +set_clock_groups -asynchronous -group [get_clocks bus_clk] -group [get_clocks aurora_init_clk] + +set_false_path -to [get_pins -hierarchical -filter {NAME =~ "sfp_wrapper_*/mgt_io_i/aurora_phy*/aurora_64b66b_pcs_pma*/*/gt_reset_sync/stg1_*_cdc_to_reg/D"}] + +set_false_path -to [get_pins -hierarchical -filter {NAME =~ "*npio*/aurora_phy*/aurora_64b66b_pcs_pma*/*/gt_reset_sync/stg1_*_cdc_to_reg/D"}] diff --git a/fpga/usrp3/top/e320/e320_clocking.v b/fpga/usrp3/top/e320/e320_clocking.v new file mode 100644 index 000000000..fff99180e --- /dev/null +++ b/fpga/usrp3/top/e320/e320_clocking.v @@ -0,0 +1,220 @@ +///////////////////////////////////////////////////////////////////// +// +// Copyright 2018 Ettus Research, A National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// +// Module: e320_clocking.v +// +// Purpose: +// +// TODO: First, instantiate clock input buffers on all clocks to provide termination +// for the PCB traces. +// +// Second, PPS inputs from the back panel (called external) and the GPSDO are captured by +// the Reference Clock. Selection is performed amongst these and the internally-generated +// options. +// +////////////////////////////////////////////////////////////////////// + +module e320_clocking ( + input global_rst, + + // Reference Clk + input ref_clk_from_pin, + output ref_clk, + + // Input clocks + input clk156, // 156.25 MHz + + // Output clocks + output ddr3_dma_clk, + output reg clocks_locked = 1'b0, + + // PPS Capture & Selection + input ext_pps_from_pin, + input gps_pps_from_pin, + input [1:0] pps_select, + output reg pps_refclk +); + + //TODO: Code is same as n3xx, try reusing it. + + // Clock Buffering and Generation : /////////////////////////////////////////////////// + // + // Manually instantiate input buffers on all clocks, and a global buffer on the + // Reference Clock for use in the rest of the design. All other clocks must have + // global buffers other places, since the declarations here are for SI purposes. + // + /////////////////////////////////////////////////////////////////////////////////////// + + wire ref_clk_buf; + + // FPGA Reference Clock Buffering + // + // Only require an IBUF and BUFG here, since an MMCM is (thankfully) not needed + // to meet timing with the PPS signal. + IBUFG ref_clk_ibuf ( + .O(ref_clk_buf), + .I(ref_clk_from_pin) + ); + + BUFG ref_clk_bufg ( + .I(ref_clk_buf), + .O(ref_clk) + ); + + wire pps_ext_refclk; + wire pps_gps_refclk; + wire [1:0] pps_select_refclk; + + // Capture the external PPSs with a FF before sending them to the mux. To be safe, + // we double-synchronize the external signals. If we meet timing (which we should) + // then this is a two-cycle delay. If we don't meet timing, then it's 1-2 cycles + // and our system timing is thrown off--but at least our downstream logic doesn't + // go metastable! + synchronizer #( + .FALSE_PATH_TO_IN(0) + ) ext_pps_dsync ( + .clk(ref_clk), .rst(1'b0), .in(ext_pps_from_pin), .out(pps_ext_refclk) + ); + // Same deal with the GPSDO PPS input. Double-sync, then use it. + synchronizer #( + .FALSE_PATH_TO_IN(0) + ) gps_pps_dsync ( + .clk(ref_clk), .rst(1'b0), .in(gps_pps_from_pin), .out(pps_gps_refclk) + ); + + // Synchronize the select bits over to the reference clock as well. Note that this is + // a vector, so we could have some non-one-hot values creep through when changing. + // See the note below as to why this is safe. + synchronizer #( + .FALSE_PATH_TO_IN(1), + .WIDTH(2) + ) pps_select_dsync ( + .clk(ref_clk), .rst(1'b0), .in(pps_select), .out(pps_select_refclk) + ); + + // Bit locations for the pps_select vector. + localparam BIT_PPS_SEL_INT = 0; + localparam BIT_PPS_SEL_EXT = 1; + + // PPS MUX - selects internal/gpsdo or external PPS. + always @(posedge ref_clk) begin + + // Encoding is one-hot on these bits. It is possible when the vector is being double- + // synchronized to the reference clock domain that there could be multiple bits + // asserted simultaneously. This is not problematic because the order of operations + // in the following selection mux should take over and only one PPS should win. + // This could result in glitches, but that is expected during ANY PPS switchover + // since the switch is performed asynchronously to the PPS signal. + if (pps_select_refclk[BIT_PPS_SEL_INT]) begin + pps_refclk <= pps_gps_refclk; + end else if (pps_select_refclk[BIT_PPS_SEL_EXT]) begin + pps_refclk <= pps_ext_refclk; + end else begin + pps_refclk <= pps_gps_refclk; + end + end + + //--------------------------------------------------------------------------- + // Clock Generation + //--------------------------------------------------------------------------- + + MMCME2_ADV #( + .BANDWIDTH ("OPTIMIZED"), + .CLKOUT4_CASCADE ("FALSE"), + .COMPENSATION ("ZHOLD"), + .STARTUP_WAIT ("FALSE"), + .DIVCLK_DIVIDE (1), + .CLKFBOUT_MULT_F (6.000), + .CLKFBOUT_PHASE (0.000), + .CLKFBOUT_USE_FINE_PS ("FALSE"), + .CLKOUT0_DIVIDE_F (3.125), + .CLKOUT0_PHASE (0.000), + .CLKOUT0_DUTY_CYCLE (0.500), + .CLKOUT0_USE_FINE_PS ("FALSE"), + .CLKIN1_PERIOD (6.400)) + mmcm_adv_inst ( + .CLKFBOUT (clkfbout), + .CLKFBOUTB (), + .CLKOUT0 (ddr3_dma_clk_raw), + .CLKOUT0B (), + .CLKOUT1 (), + .CLKOUT1B (), + .CLKOUT2 (), + .CLKOUT2B (), + .CLKOUT3 (), + .CLKOUT3B (), + .CLKOUT4 (), + .CLKOUT5 (), + .CLKOUT6 (), + // Input clock control + .CLKFBIN (clkfbout), + .CLKIN1 (clk156), + .CLKIN2 (1'b0), + // Tied to always select the primary input clock + .CLKINSEL (1'b1), + // Ports for dynamic reconfiguration + .DADDR (7'h0), + .DCLK (1'b0), + .DEN (1'b0), + .DI (16'h0), + .DO (), + .DRDY (), + .DWE (1'b0), + // Ports for dynamic phase shift + .PSCLK (1'b0), + .PSEN (1'b0), + .PSINCDEC (1'b0), + .PSDONE (), + // Other control and status signals + .LOCKED (locked_raw), + .CLKINSTOPPED (), + .CLKFBSTOPPED (), + .PWRDWN (1'b0), + .RST (global_rst)); + + BUFG clk300_bufg + (.O (ddr3_dma_clk), + .I (ddr3_dma_clk_raw)); + + + //--------------------------------------------------------------------------- + // Lock Signal + //--------------------------------------------------------------------------- + // + // We assume that the LOCKED signal from the MMCM is not necessarily a clean + // asynchronous signal, so we want to make sure that the MMCM is really + // locked before we assert our clocks_locked output. + // + //--------------------------------------------------------------------------- + + reg [9:0] locked_count = ~0; + + synchronizer lock_sync_i ( + .clk(clk156), .rst(1'b0), .in(locked_raw), .out(locked_sync) + ); + + // Filter the locked signal + always @(posedge clk156 or posedge global_rst) + begin + if (global_rst) begin + locked_count <= ~0; + clocks_locked <= 0; + end else begin + if (~locked_sync) begin + locked_count <= ~0; + clocks_locked <= 1'b0; + end else begin + if (locked_count == 0) begin + clocks_locked <= 1'b1; + end else begin + clocks_locked <= 1'b0; + locked_count <= locked_count - 1; + end + end + end + end + +endmodule diff --git a/fpga/usrp3/top/e320/e320_core.v b/fpga/usrp3/top/e320/e320_core.v new file mode 100644 index 000000000..f8fccecc0 --- /dev/null +++ b/fpga/usrp3/top/e320/e320_core.v @@ -0,0 +1,1103 @@ +///////////////////////////////////////////////////////////////////// +// +// Copyright 2018 Ettus Research, A National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0 +// +// Module: e320_core +// Description: +// - Motherboard Registers +// - DRAM Interconnect +// - Radio Front End control +// - Timekeeper +// - RFNoC Image Core +// +///////////////////////////////////////////////////////////////////// + +`default_nettype none +module e320_core #( + parameter REG_DWIDTH = 32, // Width of the AXI4-Lite data bus (must be 32 or 64) + parameter REG_AWIDTH = 32, // Width of the address bus + parameter BUS_CLK_RATE = 200000000, // bus_clk rate + parameter NUM_RADIOS = 1, + parameter NUM_CHANNELS = 2, + parameter NUM_DBOARDS = 1, + parameter NUM_CHANNELS_PER_DBOARD = 2, + parameter FP_GPIO_WIDTH = 8, // Front panel GPIO width + parameter DB_GPIO_WIDTH = 16, // Daughterboard GPIO width + parameter CHDR_WIDTH = 16'd64 , + parameter RFNOC_PROTOVER = {8'd1, 8'd0} +)( + // Clocks and resets + input wire radio_clk, + input wire radio_rst, + input wire bus_clk, + input wire bus_rst, + input wire ddr3_dma_clk, + input wire clk40, + + // Motherboard Registers: AXI lite interface + input wire s_axi_aclk, + input wire s_axi_aresetn, + input wire [REG_AWIDTH-1:0] s_axi_awaddr, + input wire s_axi_awvalid, + output wire s_axi_awready, + + input wire [REG_DWIDTH-1:0] s_axi_wdata, + input wire [REG_DWIDTH/8-1:0] s_axi_wstrb, + input wire s_axi_wvalid, + output wire s_axi_wready, + + output wire [1:0] s_axi_bresp, + output wire s_axi_bvalid, + input wire s_axi_bready, + + input wire [REG_AWIDTH-1:0] s_axi_araddr, + input wire s_axi_arvalid, + output wire s_axi_arready, + + output wire [REG_DWIDTH-1:0] s_axi_rdata, + output wire [1:0] s_axi_rresp, + output wire s_axi_rvalid, + input wire s_axi_rready, + + // PPS and Clock Control + input wire pps_refclk, + input wire refclk_locked, + output reg [1:0] pps_select, + output reg ref_select, + + // PS GPIO source + input wire [FP_GPIO_WIDTH-1:0] ps_gpio_out, + input wire [FP_GPIO_WIDTH-1:0] ps_gpio_tri, + output wire [FP_GPIO_WIDTH-1:0] ps_gpio_in, + + // Front Panel GPIO + input wire [FP_GPIO_WIDTH-1:0] fp_gpio_in, + output wire [FP_GPIO_WIDTH-1:0] fp_gpio_tri, + output wire [FP_GPIO_WIDTH-1:0] fp_gpio_out, + + // Radio GPIO control + output wire [DB_GPIO_WIDTH*NUM_CHANNELS-1:0] db_gpio_out_flat, + output wire [DB_GPIO_WIDTH*NUM_CHANNELS-1:0] db_gpio_ddr_flat, + input wire [DB_GPIO_WIDTH*NUM_CHANNELS-1:0] db_gpio_in_flat, + input wire [DB_GPIO_WIDTH*NUM_CHANNELS-1:0] db_gpio_fab_flat, + + // TX/RX LEDs + output wire [32*NUM_CHANNELS-1:0] leds_flat, + + // Radio ATR + output wire [NUM_CHANNELS-1:0] rx_atr, + output wire [NUM_CHANNELS-1:0] tx_atr, + + // Radio Data + input wire [NUM_CHANNELS-1:0] rx_stb, + input wire [NUM_CHANNELS-1:0] tx_stb, + input wire [32*NUM_CHANNELS-1:0] rx, + output wire [32*NUM_CHANNELS-1:0] tx, + + // AXI4 DDR3 Interface + input wire ddr3_axi_clk, + input wire ddr3_axi_rst, + input wire ddr3_running, + // Write Address Ports + output wire [3:0] ddr3_axi_awid, + output wire [31:0] ddr3_axi_awaddr, + output wire [7:0] ddr3_axi_awlen, + output wire [2:0] ddr3_axi_awsize, + output wire [1:0] ddr3_axi_awburst, + output wire [0:0] ddr3_axi_awlock, + output wire [3:0] ddr3_axi_awcache, + output wire [2:0] ddr3_axi_awprot, + output wire [3:0] ddr3_axi_awqos, + output wire ddr3_axi_awvalid, + input wire ddr3_axi_awready, + // Write Data Ports + output wire [255:0] ddr3_axi_wdata, + output wire [31:0] ddr3_axi_wstrb, + output wire ddr3_axi_wlast, + output wire ddr3_axi_wvalid, + input wire ddr3_axi_wready, + // Write Response Ports + output wire ddr3_axi_bready, + input wire [3:0] ddr3_axi_bid, + input wire [1:0] ddr3_axi_bresp, + input wire ddr3_axi_bvalid, + // Read Address Ports + output wire [3:0] ddr3_axi_arid, + output wire [31:0] ddr3_axi_araddr, + output wire [7:0] ddr3_axi_arlen, + output wire [2:0] ddr3_axi_arsize, + output wire [1:0] ddr3_axi_arburst, + output wire [0:0] ddr3_axi_arlock, + output wire [3:0] ddr3_axi_arcache, + output wire [2:0] ddr3_axi_arprot, + output wire [3:0] ddr3_axi_arqos, + output wire ddr3_axi_arvalid, + input wire ddr3_axi_arready, + // Read Data Ports + output wire ddr3_axi_rready, + input wire [3:0] ddr3_axi_rid, + input wire [255:0] ddr3_axi_rdata, + input wire [1:0] ddr3_axi_rresp, + input wire ddr3_axi_rlast, + input wire ddr3_axi_rvalid, + + + + // DMA xport adapter to PS + input wire [63:0] s_dma_tdata, + input wire [3:0] s_dma_tuser, + input wire s_dma_tlast, + output wire s_dma_tready, + input wire s_dma_tvalid, + + output wire [63:0] m_dma_tdata, + output wire [3:0] m_dma_tdest, + output wire m_dma_tlast, + input wire m_dma_tready, + output wire m_dma_tvalid, + + // e2v (Ethernet to Vita) and v2e (Vita to Ethernet) + output wire [63:0] v2e_tdata, + output wire v2e_tvalid, + output wire v2e_tlast, + input wire v2e_tready, + + input wire [63:0] e2v_tdata, + input wire e2v_tlast, + input wire e2v_tvalid, + output wire e2v_tready, + + // Misc + input wire [31:0] build_datestamp, + input wire [31:0] sfp_ports_info, + input wire [31:0] gps_status, + output reg [31:0] gps_ctrl, + input wire [31:0] dboard_status, + input wire [31:0] xadc_readback, + output reg [31:0] fp_gpio_ctrl, + output reg [31:0] dboard_ctrl, + output reg [15:0] device_id +); + + ///////////////////////////////////////////////////////////////////////////////// + // + // FPGA Compatibility Number + // Rules for modifying compat number: + // - Major is updated when the FPGA is changed and requires a software + // change as a result. + // - Minor is updated when a new feature is added to the FPGA that does not + // break software compatibility. + // + ///////////////////////////////////////////////////////////////////////////////// + + localparam [15:0] COMPAT_MAJOR = 16'd5; + localparam [15:0] COMPAT_MINOR = 16'd0; + + ///////////////////////////////////////////////////////////////////////////////// + + ///////////////////////////////////////////////////////////////////////////////// + // + // Motherboard Registers + // + ///////////////////////////////////////////////////////////////////////////////// + + // Register base + localparam REG_BASE_MISC = 14'h0; + localparam REG_BASE_TIMEKEEPER = 14'h1000; + + // Misc Registers + localparam REG_COMPAT_NUM = REG_BASE_MISC + 14'h00; + localparam REG_DATESTAMP = REG_BASE_MISC + 14'h04; + localparam REG_GIT_HASH = REG_BASE_MISC + 14'h08; + localparam REG_SCRATCH = REG_BASE_MISC + 14'h0C; + localparam REG_DEVICE_ID = REG_BASE_MISC + 14'h10; + localparam REG_RFNOC_INFO = REG_BASE_MISC + 14'h14; + localparam REG_CLOCK_CTRL = REG_BASE_MISC + 14'h18; + localparam REG_XADC_READBACK = REG_BASE_MISC + 14'h1C; + localparam REG_BUS_CLK_RATE = REG_BASE_MISC + 14'h20; + localparam REG_BUS_CLK_COUNT = REG_BASE_MISC + 14'h24; + localparam REG_SFP_PORT_INFO = REG_BASE_MISC + 14'h28; + localparam REG_FP_GPIO_CTRL = REG_BASE_MISC + 14'h2C; + localparam REG_FP_GPIO_MASTER = REG_BASE_MISC + 14'h30; + localparam REG_FP_GPIO_RADIO_SRC = REG_BASE_MISC + 14'h34; + localparam REG_GPS_CTRL = REG_BASE_MISC + 14'h38; + localparam REG_GPS_STATUS = REG_BASE_MISC + 14'h3C; + localparam REG_DBOARD_CTRL = REG_BASE_MISC + 14'h40; + localparam REG_DBOARD_STATUS = REG_BASE_MISC + 14'h44; + localparam REG_NUM_TIMEKEEPERS = REG_BASE_MISC + 14'h48; + + localparam NUM_TIMEKEEPERS = 16'd1; + + wire m_ctrlport_req_wr; + wire m_ctrlport_req_rd; + wire [19:0] m_ctrlport_req_addr; + wire [31:0] m_ctrlport_req_data; + wire m_ctrlport_req_has_time; + wire [63:0] m_ctrlport_req_time; + wire m_ctrlport_resp_ack; + wire [31:0] m_ctrlport_resp_data; + + reg [31:0] fp_gpio_master_reg = 32'h0; + reg [31:0] fp_gpio_src_reg = 32'h0; + + wire reg_wr_req; + wire [REG_AWIDTH-1:0] reg_wr_addr; + wire [REG_DWIDTH-1:0] reg_wr_data; + wire reg_rd_req; + wire [REG_AWIDTH-1:0] reg_rd_addr; + wire reg_rd_resp; + wire [REG_DWIDTH-1:0] reg_rd_data; + + reg reg_rd_resp_glob; + reg [REG_DWIDTH-1:0] reg_rd_data_glob; + wire reg_rd_resp_tk; + wire [REG_DWIDTH-1:0] reg_rd_data_tk; + + reg [31:0] scratch_reg = 32'h0; + reg [31:0] bus_counter = 32'h0; + + always @(posedge bus_clk) begin + if (bus_rst) + bus_counter <= 32'd0; + else + bus_counter <= bus_counter + 32'd1; + end + + // Regport Master to convert AXI4-Lite to regport + axil_regport_master #( + .DWIDTH (REG_DWIDTH), // Width of the AXI4-Lite data bus (must be 32 or 64) + .AWIDTH (REG_AWIDTH), // Width of the address bus + .WRBASE (0), // Write address base + .RDBASE (0), // Read address base + .TIMEOUT (10) // log2(timeout). Read will timeout after (2^TIMEOUT - 1) cycles + ) core_regport_master_i ( + // Clock and reset + .s_axi_aclk (s_axi_aclk), + .s_axi_aresetn (s_axi_aresetn), + // AXI4-Lite: Write address port (domain: s_axi_aclk) + .s_axi_awaddr (s_axi_awaddr), + .s_axi_awvalid (s_axi_awvalid), + .s_axi_awready (s_axi_awready), + // AXI4-Lite: Write data port (domain: s_axi_aclk) + .s_axi_wdata (s_axi_wdata), + .s_axi_wstrb (s_axi_wstrb), + .s_axi_wvalid (s_axi_wvalid), + .s_axi_wready (s_axi_wready), + // AXI4-Lite: Write response port (domain: s_axi_aclk) + .s_axi_bresp (s_axi_bresp), + .s_axi_bvalid (s_axi_bvalid), + .s_axi_bready (s_axi_bready), + // AXI4-Lite: Read address port (domain: s_axi_aclk) + .s_axi_araddr (s_axi_araddr), + .s_axi_arvalid (s_axi_arvalid), + .s_axi_arready (s_axi_arready), + // AXI4-Lite: Read data port (domain: s_axi_aclk) + .s_axi_rdata (s_axi_rdata), + .s_axi_rresp (s_axi_rresp), + .s_axi_rvalid (s_axi_rvalid), + .s_axi_rready (s_axi_rready), + // Register port: Write port (domain: reg_clk) + .reg_clk (bus_clk), + .reg_wr_req (reg_wr_req), + .reg_wr_addr (reg_wr_addr), + .reg_wr_data (reg_wr_data), + .reg_wr_keep (/*unused*/), + // Register port: Read port (domain: reg_clk) + .reg_rd_req (reg_rd_req), + .reg_rd_addr (reg_rd_addr), + .reg_rd_resp (reg_rd_resp), + .reg_rd_data (reg_rd_data) + ); + + //-------------------------------------------------------------------- + // Global Registers + // ------------------------------------------------------------------- + + // Write Registers + always @ (posedge bus_clk) begin + if (bus_rst) begin + scratch_reg <= 32'h0; + pps_select <= 2'b01; // Default to internal + ref_select <= 1'b0; // Default to internal + fp_gpio_ctrl <= 32'h9; // Default to OFF - 4'b1001 + gps_ctrl <= 32'h3; // Default to gps_en, out of reset + dboard_ctrl <= 32'h1; // Default to mimo + device_id <= 16'h0; + end else if (reg_wr_req) begin + case (reg_wr_addr) + REG_DEVICE_ID: begin + device_id <= reg_wr_data[15:0]; + end + REG_FP_GPIO_MASTER: begin + fp_gpio_master_reg <= reg_wr_data; + end + REG_FP_GPIO_RADIO_SRC: begin + fp_gpio_src_reg <= reg_wr_data; + end + REG_SCRATCH: begin + scratch_reg <= reg_wr_data; + end + REG_CLOCK_CTRL: begin + pps_select <= reg_wr_data[1:0]; + ref_select <= reg_wr_data[2]; + end + REG_FP_GPIO_CTRL: begin + fp_gpio_ctrl <= reg_wr_data; + end + REG_GPS_CTRL: begin + gps_ctrl <= reg_wr_data; + end + REG_DBOARD_CTRL: begin + dboard_ctrl <= reg_wr_data; + end + endcase + end + end + + // Read Registers + always @ (posedge bus_clk) begin + if (bus_rst) begin + reg_rd_resp_glob <= 1'b0; + end + else begin + + if (reg_rd_req) begin + reg_rd_resp_glob <= 1'b1; + + case (reg_rd_addr) + REG_DEVICE_ID: + reg_rd_data_glob <= device_id; + + REG_RFNOC_INFO: + reg_rd_data_glob <= {CHDR_WIDTH[15:0], RFNOC_PROTOVER[15:0]}; + + REG_COMPAT_NUM: + reg_rd_data_glob <= {COMPAT_MAJOR[15:0], COMPAT_MINOR[15:0]}; + + REG_FP_GPIO_CTRL: + reg_rd_data_glob <= fp_gpio_ctrl; + + REG_FP_GPIO_MASTER: + reg_rd_data_glob <= fp_gpio_master_reg; + + REG_FP_GPIO_RADIO_SRC: + reg_rd_data_glob <= fp_gpio_src_reg; + + REG_DATESTAMP: + reg_rd_data_glob <= build_datestamp; + + REG_GIT_HASH: + `ifndef GIT_HASH + `define GIT_HASH 32'h0BADC0DE + `endif + reg_rd_data_glob <= `GIT_HASH; + + REG_SCRATCH: + reg_rd_data_glob <= scratch_reg; + + REG_CLOCK_CTRL: begin + reg_rd_data_glob <= 32'b0; + reg_rd_data_glob[1:0] <= pps_select; + reg_rd_data_glob[2] <= ref_select; + reg_rd_data_glob[3] <= refclk_locked; + end + + REG_XADC_READBACK: + reg_rd_data_glob <= xadc_readback; + + REG_BUS_CLK_RATE: + reg_rd_data_glob <= BUS_CLK_RATE; + + REG_BUS_CLK_COUNT: + reg_rd_data_glob <= bus_counter; + + REG_SFP_PORT_INFO: + reg_rd_data_glob <= sfp_ports_info; + + REG_GPS_CTRL: + reg_rd_data_glob <= gps_ctrl; + + REG_GPS_STATUS: + reg_rd_data_glob <= gps_status; + + REG_DBOARD_CTRL: + reg_rd_data_glob <= dboard_ctrl; + + REG_DBOARD_STATUS: + reg_rd_data_glob <= dboard_status; + + REG_NUM_TIMEKEEPERS: + reg_rd_data_glob <= NUM_TIMEKEEPERS; + + default: + reg_rd_resp_glob <= 1'b0; + endcase + end + else if (reg_rd_resp_glob) begin + reg_rd_resp_glob <= 1'b0; + end + end + end + + wire pps_radioclk; + + // Synchronize the PPS signal to the radio clock domain + synchronizer pps_radio_sync ( + .clk(radio_clk), .rst(1'b0), .in(pps_refclk), .out(pps_radioclk) + ); + + + ///////////////////////////////////////////////////////////////////////////// + // + // DRAM + // + ///////////////////////////////////////////////////////////////////////////// + + localparam NUM_DRAM_FIFOS = 2; + + wire ddr3_dma_rst; + + synchronizer #( + .INITIAL_VAL(1'b1) + ) ddr3_dma_rst_sync_i ( + .clk(ddr3_dma_clk), .rst(1'b0), .in(ddr3_axi_rst), .out(ddr3_dma_rst) + ); + + // AXI4 MM buses + wire [0:0] dram_axi_awid [0:NUM_DRAM_FIFOS-1]; + wire [31:0] dram_axi_awaddr [0:NUM_DRAM_FIFOS-1]; + wire [7:0] dram_axi_awlen [0:NUM_DRAM_FIFOS-1]; + wire [2:0] dram_axi_awsize [0:NUM_DRAM_FIFOS-1]; + wire [1:0] dram_axi_awburst [0:NUM_DRAM_FIFOS-1]; + wire [0:0] dram_axi_awlock [0:NUM_DRAM_FIFOS-1]; + wire [3:0] dram_axi_awcache [0:NUM_DRAM_FIFOS-1]; + wire [2:0] dram_axi_awprot [0:NUM_DRAM_FIFOS-1]; + wire [3:0] dram_axi_awqos [0:NUM_DRAM_FIFOS-1]; + wire [3:0] dram_axi_awregion [0:NUM_DRAM_FIFOS-1]; + wire [0:0] dram_axi_awuser [0:NUM_DRAM_FIFOS-1]; + wire dram_axi_awvalid [0:NUM_DRAM_FIFOS-1]; + wire dram_axi_awready [0:NUM_DRAM_FIFOS-1]; + wire [63:0] dram_axi_wdata [0:NUM_DRAM_FIFOS-1]; + wire [7:0] dram_axi_wstrb [0:NUM_DRAM_FIFOS-1]; + wire dram_axi_wlast [0:NUM_DRAM_FIFOS-1]; + wire [0:0] dram_axi_wuser [0:NUM_DRAM_FIFOS-1]; + wire dram_axi_wvalid [0:NUM_DRAM_FIFOS-1]; + wire dram_axi_wready [0:NUM_DRAM_FIFOS-1]; + wire [0:0] dram_axi_bid [0:NUM_DRAM_FIFOS-1]; + wire [1:0] dram_axi_bresp [0:NUM_DRAM_FIFOS-1]; + wire [0:0] dram_axi_buser [0:NUM_DRAM_FIFOS-1]; + wire dram_axi_bvalid [0:NUM_DRAM_FIFOS-1]; + wire dram_axi_bready [0:NUM_DRAM_FIFOS-1]; + wire [0:0] dram_axi_arid [0:NUM_DRAM_FIFOS-1]; + wire [31:0] dram_axi_araddr [0:NUM_DRAM_FIFOS-1]; + wire [7:0] dram_axi_arlen [0:NUM_DRAM_FIFOS-1]; + wire [2:0] dram_axi_arsize [0:NUM_DRAM_FIFOS-1]; + wire [1:0] dram_axi_arburst [0:NUM_DRAM_FIFOS-1]; + wire [0:0] dram_axi_arlock [0:NUM_DRAM_FIFOS-1]; + wire [3:0] dram_axi_arcache [0:NUM_DRAM_FIFOS-1]; + wire [2:0] dram_axi_arprot [0:NUM_DRAM_FIFOS-1]; + wire [3:0] dram_axi_arqos [0:NUM_DRAM_FIFOS-1]; + wire [3:0] dram_axi_arregion [0:NUM_DRAM_FIFOS-1]; + wire [0:0] dram_axi_aruser [0:NUM_DRAM_FIFOS-1]; + wire dram_axi_arvalid [0:NUM_DRAM_FIFOS-1]; + wire dram_axi_arready [0:NUM_DRAM_FIFOS-1]; + wire [0:0] dram_axi_rid [0:NUM_DRAM_FIFOS-1]; + wire [63:0] dram_axi_rdata [0:NUM_DRAM_FIFOS-1]; + wire [1:0] dram_axi_rresp [0:NUM_DRAM_FIFOS-1]; + wire dram_axi_rlast [0:NUM_DRAM_FIFOS-1]; + wire [0:0] dram_axi_ruser [0:NUM_DRAM_FIFOS-1]; + wire dram_axi_rvalid [0:NUM_DRAM_FIFOS-1]; + wire dram_axi_rready [0:NUM_DRAM_FIFOS-1]; + + axi_intercon_4x64_256_bd_wrapper axi_intercon_2x64_256_bd_i ( + .S00_AXI_ACLK (ddr3_dma_clk ), + .S00_AXI_ARESETN (~ddr3_dma_rst ), + .S00_AXI_AWID (dram_axi_awid [0]), + .S00_AXI_AWADDR (dram_axi_awaddr [0]), + .S00_AXI_AWLEN (dram_axi_awlen [0]), + .S00_AXI_AWSIZE (dram_axi_awsize [0]), + .S00_AXI_AWBURST (dram_axi_awburst [0]), + .S00_AXI_AWLOCK (dram_axi_awlock [0]), + .S00_AXI_AWCACHE (dram_axi_awcache [0]), + .S00_AXI_AWPROT (dram_axi_awprot [0]), + .S00_AXI_AWQOS (dram_axi_awqos [0]), + .S00_AXI_AWREGION (dram_axi_awregion[0]), + .S00_AXI_AWVALID (dram_axi_awvalid [0]), + .S00_AXI_AWREADY (dram_axi_awready [0]), + .S00_AXI_WDATA (dram_axi_wdata [0]), + .S00_AXI_WSTRB (dram_axi_wstrb [0]), + .S00_AXI_WLAST (dram_axi_wlast [0]), + .S00_AXI_WVALID (dram_axi_wvalid [0]), + .S00_AXI_WREADY (dram_axi_wready [0]), + .S00_AXI_BID (dram_axi_bid [0]), + .S00_AXI_BRESP (dram_axi_bresp [0]), + .S00_AXI_BVALID (dram_axi_bvalid [0]), + .S00_AXI_BREADY (dram_axi_bready [0]), + .S00_AXI_ARID (dram_axi_arid [0]), + .S00_AXI_ARADDR (dram_axi_araddr [0]), + .S00_AXI_ARLEN (dram_axi_arlen [0]), + .S00_AXI_ARSIZE (dram_axi_arsize [0]), + .S00_AXI_ARBURST (dram_axi_arburst [0]), + .S00_AXI_ARLOCK (dram_axi_arlock [0]), + .S00_AXI_ARCACHE (dram_axi_arcache [0]), + .S00_AXI_ARPROT (dram_axi_arprot [0]), + .S00_AXI_ARQOS (dram_axi_arqos [0]), + .S00_AXI_ARREGION (dram_axi_arregion[0]), + .S00_AXI_ARVALID (dram_axi_arvalid [0]), + .S00_AXI_ARREADY (dram_axi_arready [0]), + .S00_AXI_RID (dram_axi_rid [0]), + .S00_AXI_RDATA (dram_axi_rdata [0]), + .S00_AXI_RRESP (dram_axi_rresp [0]), + .S00_AXI_RLAST (dram_axi_rlast [0]), + .S00_AXI_RVALID (dram_axi_rvalid [0]), + .S00_AXI_RREADY (dram_axi_rready [0]), + // + .S01_AXI_ACLK (ddr3_dma_clk ), + .S01_AXI_ARESETN (~ddr3_dma_rst ), + .S01_AXI_AWID (dram_axi_awid [1]), + .S01_AXI_AWADDR (dram_axi_awaddr [1]), + .S01_AXI_AWLEN (dram_axi_awlen [1]), + .S01_AXI_AWSIZE (dram_axi_awsize [1]), + .S01_AXI_AWBURST (dram_axi_awburst [1]), + .S01_AXI_AWLOCK (dram_axi_awlock [1]), + .S01_AXI_AWCACHE (dram_axi_awcache [1]), + .S01_AXI_AWPROT (dram_axi_awprot [1]), + .S01_AXI_AWQOS (dram_axi_awqos [1]), + .S01_AXI_AWREGION (dram_axi_awregion[1]), + .S01_AXI_AWVALID (dram_axi_awvalid [1]), + .S01_AXI_AWREADY (dram_axi_awready [1]), + .S01_AXI_WDATA (dram_axi_wdata [1]), + .S01_AXI_WSTRB (dram_axi_wstrb [1]), + .S01_AXI_WLAST (dram_axi_wlast [1]), + .S01_AXI_WVALID (dram_axi_wvalid [1]), + .S01_AXI_WREADY (dram_axi_wready [1]), + .S01_AXI_BID (dram_axi_bid [1]), + .S01_AXI_BRESP (dram_axi_bresp [1]), + .S01_AXI_BVALID (dram_axi_bvalid [1]), + .S01_AXI_BREADY (dram_axi_bready [1]), + .S01_AXI_ARID (dram_axi_arid [1]), + .S01_AXI_ARADDR (dram_axi_araddr [1]), + .S01_AXI_ARLEN (dram_axi_arlen [1]), + .S01_AXI_ARSIZE (dram_axi_arsize [1]), + .S01_AXI_ARBURST (dram_axi_arburst [1]), + .S01_AXI_ARLOCK (dram_axi_arlock [1]), + .S01_AXI_ARCACHE (dram_axi_arcache [1]), + .S01_AXI_ARPROT (dram_axi_arprot [1]), + .S01_AXI_ARQOS (dram_axi_arqos [1]), + .S01_AXI_ARREGION (dram_axi_arregion[1]), + .S01_AXI_ARVALID (dram_axi_arvalid [1]), + .S01_AXI_ARREADY (dram_axi_arready [1]), + .S01_AXI_RID (dram_axi_rid [1]), + .S01_AXI_RDATA (dram_axi_rdata [1]), + .S01_AXI_RRESP (dram_axi_rresp [1]), + .S01_AXI_RLAST (dram_axi_rlast [1]), + .S01_AXI_RVALID (dram_axi_rvalid [1]), + .S01_AXI_RREADY (dram_axi_rready [1]), + // + .S02_AXI_ACLK (ddr3_dma_clk ), + .S02_AXI_ARESETN (~ddr3_dma_rst ), + .S02_AXI_AWID (dram_axi_awid [2]), + .S02_AXI_AWADDR (dram_axi_awaddr [2]), + .S02_AXI_AWLEN (dram_axi_awlen [2]), + .S02_AXI_AWSIZE (dram_axi_awsize [2]), + .S02_AXI_AWBURST (dram_axi_awburst [2]), + .S02_AXI_AWLOCK (dram_axi_awlock [2]), + .S02_AXI_AWCACHE (dram_axi_awcache [2]), + .S02_AXI_AWPROT (dram_axi_awprot [2]), + .S02_AXI_AWQOS (dram_axi_awqos [2]), + .S02_AXI_AWREGION (dram_axi_awregion[2]), + .S02_AXI_AWVALID (dram_axi_awvalid [2]), + .S02_AXI_AWREADY (dram_axi_awready [2]), + .S02_AXI_WDATA (dram_axi_wdata [2]), + .S02_AXI_WSTRB (dram_axi_wstrb [2]), + .S02_AXI_WLAST (dram_axi_wlast [2]), + .S02_AXI_WVALID (dram_axi_wvalid [2]), + .S02_AXI_WREADY (dram_axi_wready [2]), + .S02_AXI_BID (dram_axi_bid [2]), + .S02_AXI_BRESP (dram_axi_bresp [2]), + .S02_AXI_BVALID (dram_axi_bvalid [2]), + .S02_AXI_BREADY (dram_axi_bready [2]), + .S02_AXI_ARID (dram_axi_arid [2]), + .S02_AXI_ARADDR (dram_axi_araddr [2]), + .S02_AXI_ARLEN (dram_axi_arlen [2]), + .S02_AXI_ARSIZE (dram_axi_arsize [2]), + .S02_AXI_ARBURST (dram_axi_arburst [2]), + .S02_AXI_ARLOCK (dram_axi_arlock [2]), + .S02_AXI_ARCACHE (dram_axi_arcache [2]), + .S02_AXI_ARPROT (dram_axi_arprot [2]), + .S02_AXI_ARQOS (dram_axi_arqos [2]), + .S02_AXI_ARREGION (dram_axi_arregion[2]), + .S02_AXI_ARVALID (dram_axi_arvalid [2]), + .S02_AXI_ARREADY (dram_axi_arready [2]), + .S02_AXI_RID (dram_axi_rid [2]), + .S02_AXI_RDATA (dram_axi_rdata [2]), + .S02_AXI_RRESP (dram_axi_rresp [2]), + .S02_AXI_RLAST (dram_axi_rlast [2]), + .S02_AXI_RVALID (dram_axi_rvalid [2]), + .S02_AXI_RREADY (dram_axi_rready [2]), + // + .S03_AXI_ACLK (ddr3_dma_clk ), + .S03_AXI_ARESETN (~ddr3_dma_rst ), + .S03_AXI_AWID (dram_axi_awid [3]), + .S03_AXI_AWADDR (dram_axi_awaddr [3]), + .S03_AXI_AWLEN (dram_axi_awlen [3]), + .S03_AXI_AWSIZE (dram_axi_awsize [3]), + .S03_AXI_AWBURST (dram_axi_awburst [3]), + .S03_AXI_AWLOCK (dram_axi_awlock [3]), + .S03_AXI_AWCACHE (dram_axi_awcache [3]), + .S03_AXI_AWPROT (dram_axi_awprot [3]), + .S03_AXI_AWQOS (dram_axi_awqos [3]), + .S03_AXI_AWREGION (dram_axi_awregion[3]), + .S03_AXI_AWVALID (dram_axi_awvalid [3]), + .S03_AXI_AWREADY (dram_axi_awready [3]), + .S03_AXI_WDATA (dram_axi_wdata [3]), + .S03_AXI_WSTRB (dram_axi_wstrb [3]), + .S03_AXI_WLAST (dram_axi_wlast [3]), + .S03_AXI_WVALID (dram_axi_wvalid [3]), + .S03_AXI_WREADY (dram_axi_wready [3]), + .S03_AXI_BID (dram_axi_bid [3]), + .S03_AXI_BRESP (dram_axi_bresp [3]), + .S03_AXI_BVALID (dram_axi_bvalid [3]), + .S03_AXI_BREADY (dram_axi_bready [3]), + .S03_AXI_ARID (dram_axi_arid [3]), + .S03_AXI_ARADDR (dram_axi_araddr [3]), + .S03_AXI_ARLEN (dram_axi_arlen [3]), + .S03_AXI_ARSIZE (dram_axi_arsize [3]), + .S03_AXI_ARBURST (dram_axi_arburst [3]), + .S03_AXI_ARLOCK (dram_axi_arlock [3]), + .S03_AXI_ARCACHE (dram_axi_arcache [3]), + .S03_AXI_ARPROT (dram_axi_arprot [3]), + .S03_AXI_ARQOS (dram_axi_arqos [3]), + .S03_AXI_ARREGION (dram_axi_arregion[3]), + .S03_AXI_ARVALID (dram_axi_arvalid [3]), + .S03_AXI_ARREADY (dram_axi_arready [3]), + .S03_AXI_RID (dram_axi_rid [3]), + .S03_AXI_RDATA (dram_axi_rdata [3]), + .S03_AXI_RRESP (dram_axi_rresp [3]), + .S03_AXI_RLAST (dram_axi_rlast [3]), + .S03_AXI_RVALID (dram_axi_rvalid [3]), + .S03_AXI_RREADY (dram_axi_rready [3]), + // + .M00_AXI_ACLK (ddr3_axi_clk ), + .M00_AXI_ARESETN (~ddr3_axi_rst ), + .M00_AXI_AWID (ddr3_axi_awid ), + .M00_AXI_AWADDR (ddr3_axi_awaddr ), + .M00_AXI_AWLEN (ddr3_axi_awlen ), + .M00_AXI_AWSIZE (ddr3_axi_awsize ), + .M00_AXI_AWBURST (ddr3_axi_awburst ), + .M00_AXI_AWLOCK (ddr3_axi_awlock ), + .M00_AXI_AWCACHE (ddr3_axi_awcache ), + .M00_AXI_AWPROT (ddr3_axi_awprot ), + .M00_AXI_AWQOS (ddr3_axi_awqos ), + .M00_AXI_AWREGION ( ), + .M00_AXI_AWVALID (ddr3_axi_awvalid ), + .M00_AXI_AWREADY (ddr3_axi_awready ), + .M00_AXI_WDATA (ddr3_axi_wdata ), + .M00_AXI_WSTRB (ddr3_axi_wstrb ), + .M00_AXI_WLAST (ddr3_axi_wlast ), + .M00_AXI_WVALID (ddr3_axi_wvalid ), + .M00_AXI_WREADY (ddr3_axi_wready ), + .M00_AXI_BID (ddr3_axi_bid ), + .M00_AXI_BRESP (ddr3_axi_bresp ), + .M00_AXI_BVALID (ddr3_axi_bvalid ), + .M00_AXI_BREADY (ddr3_axi_bready ), + .M00_AXI_ARID (ddr3_axi_arid ), + .M00_AXI_ARADDR (ddr3_axi_araddr ), + .M00_AXI_ARLEN (ddr3_axi_arlen ), + .M00_AXI_ARSIZE (ddr3_axi_arsize ), + .M00_AXI_ARBURST (ddr3_axi_arburst ), + .M00_AXI_ARLOCK (ddr3_axi_arlock ), + .M00_AXI_ARCACHE (ddr3_axi_arcache ), + .M00_AXI_ARPROT (ddr3_axi_arprot ), + .M00_AXI_ARQOS (ddr3_axi_arqos ), + .M00_AXI_ARREGION ( ), + .M00_AXI_ARVALID (ddr3_axi_arvalid ), + .M00_AXI_ARREADY (ddr3_axi_arready ), + .M00_AXI_RID (ddr3_axi_rid ), + .M00_AXI_RDATA (ddr3_axi_rdata ), + .M00_AXI_RRESP (ddr3_axi_rresp ), + .M00_AXI_RLAST (ddr3_axi_rlast ), + .M00_AXI_RVALID (ddr3_axi_rvalid ), + .M00_AXI_RREADY (ddr3_axi_rready ) + ); + + + ///////////////////////////////////////////////////////////////////////////// + // + // DMA Transport Adapter + // + ///////////////////////////////////////////////////////////////////////////// + wire [63:0] dmao_tdata; + wire dmao_tlast; + wire dmao_tvalid; + wire dmao_tready; + + wire [63:0] dmai_tdata; + wire dmai_tlast; + wire dmai_tvalid; + wire dmai_tready; + + liberio_chdr64_adapter #( + .DMA_ID_WIDTH (4) + ) dma_xport_adapter ( + .clk (bus_clk), + .rst (bus_rst), + .device_id (device_id), + // From DMA engine to core + .s_dma_tdata (s_dma_tdata), + .s_dma_tuser (s_dma_tuser), + .s_dma_tlast (s_dma_tlast), + .s_dma_tvalid (s_dma_tvalid), + .s_dma_tready (s_dma_tready), + // From core to DMA engine + .m_dma_tdata (m_dma_tdata), + .m_dma_tuser (m_dma_tdest), + .m_dma_tlast (m_dma_tlast), + .m_dma_tvalid (m_dma_tvalid), + .m_dma_tready (m_dma_tready), + // CHDR buses + .s_chdr_tdata (dmao_tdata), + .s_chdr_tlast (dmao_tlast), + .s_chdr_tvalid (dmao_tvalid), + .s_chdr_tready (dmao_tready), + .m_chdr_tdata (dmai_tdata), + .m_chdr_tlast (dmai_tlast), + .m_chdr_tvalid (dmai_tvalid), + .m_chdr_tready (dmai_tready) + ); + + ///////////////////////////////////////////////////////////////////////////// + // + // Radio Daughter board and Front End Control + // + ///////////////////////////////////////////////////////////////////////////// + + // Radio Daughter board GPIO + wire [DB_GPIO_WIDTH-1:0] db_gpio_in[0:NUM_CHANNELS-1]; + wire [DB_GPIO_WIDTH-1:0] db_gpio_out[0:NUM_CHANNELS-1]; + wire [DB_GPIO_WIDTH-1:0] db_gpio_ddr[0:NUM_CHANNELS-1]; + wire [DB_GPIO_WIDTH-1:0] db_gpio_fab[0:NUM_CHANNELS-1]; + wire [31:0] radio_gpio_out[0:NUM_CHANNELS-1]; + wire [31:0] radio_gpio_ddr[0:NUM_CHANNELS-1]; + wire [31:0] radio_gpio_in[0:NUM_CHANNELS-1]; + wire [31:0] leds[0:NUM_CHANNELS-1]; + + // Daughter board I/O + wire rx_running[0:NUM_CHANNELS-1], tx_running[0:NUM_CHANNELS-1]; + wire [31:0] rx_int[0:NUM_CHANNELS-1], rx_data[0:NUM_CHANNELS-1], tx_int[0:NUM_CHANNELS-1], tx_data[0:NUM_CHANNELS-1]; + //wire rx_stb[0:NUM_CHANNELS-1], tx_stb[0:NUM_CHANNELS-1]; + wire db_fe_set_stb[0:NUM_CHANNELS-1]; + wire [7:0] db_fe_set_addr[0:NUM_CHANNELS-1]; + wire [31:0] db_fe_set_data[0:NUM_CHANNELS-1]; + wire db_fe_rb_stb[0:NUM_CHANNELS-1]; + wire [7:0] db_fe_rb_addr[0:NUM_CHANNELS-1]; + wire [63:0] db_fe_rb_data[0:NUM_CHANNELS-1]; + + wire [NUM_RADIOS-1:0] sync_out; + + genvar i; + generate + for (i = 0; i < NUM_CHANNELS; i = i + 1) begin + assign rx_atr[i] = rx_running[i]; + assign tx_atr[i] = tx_running[i]; + end + endgenerate + + + //------------------------------------ + // Daughterboard Control + // ----------------------------------- + + localparam [7:0] SR_DB_BASE = 8'd160; + localparam [7:0] RB_DB_BASE = 8'd16; + + generate + for (i = 0; i < NUM_CHANNELS; i = i + 1) begin: gen_db_control + db_control #( + .USE_SPI_CLK(0), + .SR_BASE(SR_DB_BASE), + .RB_BASE(RB_DB_BASE) + ) db_control_i ( + .clk(radio_clk), .reset(radio_rst), + .set_stb(db_fe_set_stb[i]), .set_addr(db_fe_set_addr[i]), .set_data(db_fe_set_data[i]), + .rb_stb(db_fe_rb_stb[i]), .rb_addr(db_fe_rb_addr[i]), .rb_data(db_fe_rb_data[i]), + .run_rx(rx_running[i]), .run_tx(tx_running[i]), + .misc_ins(32'h0), .misc_outs(), + .fp_gpio_in(radio_gpio_in[i]), .fp_gpio_out(radio_gpio_out[i]), .fp_gpio_ddr(radio_gpio_ddr[i]), .fp_gpio_fab(32'h0), + .db_gpio_in(db_gpio_in[i]), .db_gpio_out(db_gpio_out[i]), .db_gpio_ddr(db_gpio_ddr[i]), .db_gpio_fab(), + .leds(leds[i]), + .spi_clk(1'b0), .spi_rst(1'b0), .sen(), .sclk(), .mosi(), .miso(1'b0) + ); + end + endgenerate + + generate + for (i = 0; i < NUM_CHANNELS; i = i + 1) begin: gen_gpio_control + // Radio Data + assign rx_data[i] = rx[32*i+31:32*i]; + assign tx[32*i+31:32*i] = tx_data[i]; + // GPIO + assign db_gpio_out_flat[DB_GPIO_WIDTH*i +: DB_GPIO_WIDTH] = db_gpio_out[i]; + assign db_gpio_ddr_flat[DB_GPIO_WIDTH*i +: DB_GPIO_WIDTH] = db_gpio_ddr[i]; + assign db_gpio_in[i] = db_gpio_in_flat[DB_GPIO_WIDTH*i +: DB_GPIO_WIDTH]; + assign db_gpio_fab[i] = db_gpio_fab_flat[DB_GPIO_WIDTH*i +: DB_GPIO_WIDTH]; + // LEDs + assign leds_flat[32*i+31:32*i] = leds[i]; + end + endgenerate + + ///////////////////////////////////////////////////////////////////////////// + // + // Front-panel GPIO + // + ///////////////////////////////////////////////////////////////////////////// + + wire [FP_GPIO_WIDTH-1:0] radio_gpio_in_sync; + wire [FP_GPIO_WIDTH-1:0] radio_gpio_src_out; + reg [FP_GPIO_WIDTH-1:0] radio_gpio_src_out_reg; + wire [FP_GPIO_WIDTH-1:0] radio_gpio_src_ddr; + reg [FP_GPIO_WIDTH-1:0] radio_gpio_src_ddr_reg = ~0; + + // Double-synchronize the inputs to the PS + synchronizer #( + .INITIAL_VAL(1'b0), .WIDTH(FP_GPIO_WIDTH) + ) ps_gpio_in_sync_i ( + .clk(bus_clk), .rst(1'b0), .in(fp_gpio_in), .out(ps_gpio_in) + ); + + // Double-synchronize the inputs to the radio + synchronizer #( + .INITIAL_VAL(1'b0), .WIDTH(FP_GPIO_WIDTH) + ) radio_gpio_in_sync_i ( + .clk(radio_clk), .rst(1'b0), .in(fp_gpio_in), .out(radio_gpio_in_sync) + ); + + // Map the double-synchronized inputs to all radio channels + generate + for (i=0; i + + xilinx.com + xci + unknown + 1.0 + + + aurora_64b66b_pcs_pma + + + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + false + 100000000 + false + 100000000 + false + 100000000 + false + 100000000 + false + 100000000 + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 0 + 0.000 + + + + 0 + 0.000 + + + + 0 + 0.000 + + + + 0 + 0.000 + + + + 0 + 0.000 + 0 + 0 + + + + 100000000 + 0 + 0.000 + + 100000000 + 0 + 0.000 + + + 0 + 0.000 + false + 100000000 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + 0 + 0 + 0 + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + 0 + 0 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + 0 + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + + + + 100000000 + 0 + 0.000 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0.000 + 156.25 + undef + + 100000000 + 0 + 0.000 + + 0 + 0.000 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 8 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 1 + 0 + 0 + undef + 0.000 + 8 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + false + BL7 + BL8 + none + BL7 + BL8 + none + BL7 + BL8 + none + BL7 + BL8 + none + BL7 + BL8 + none + X0Y0 + X0Y0 + 1 + QPLL + none + false + false + none + 8 + 1 + left + 1 + 4 + 1 + false + GTXQ0 + None + None + None + None + 1 + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + gtx + false + 78.125 + 4 + 10312.5 + false + IMM + 1 + 0 + 0 + 0 + 0 + true + 66 + 1 + 156250.0 + 2 + 1 + false + TX + true + 1 + right + false + true + false + axi4_stream + false + xc7z045 + ffg900 + -3 + 156.250 + X0Y0 + aurora_64b66b_pcs_pma + NONE + Duplex + 7 + 78.125 + AXI4_LITE + None + 1 + 20 + Streaming + true + false + None + false + AC + AUTO + 0 + PROGRAMMABLE + 800 + false + false + X0Y0 + 1 + left + false + false + false + GTXQ0 + None + None + None + None + 1 + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + gtx + 78.125 + 10.3125 + LCPLL + none + none + none + none + 156.250 + 156.250 + 156.250 + none + X0Y0 + X0Y0 + right + false + true + false + 1 + aurora_64b66b_pcs_pma + 78.125 + 20 + AC + AUTO + 0 + PROGRAMMABLE + 800 + false + false + 0 + false + false + Duplex + AXI4_LITE + None + Streaming + zynq + + + xc7z045 + ffg900 + VERILOG + + MIXED + -3 + + + TRUE + TRUE + IP_Flow + 0 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/e320/ip/aurora_64b66b_pcs_pma/aurora_axis_mac.v b/fpga/usrp3/top/e320/ip/aurora_64b66b_pcs_pma/aurora_axis_mac.v new file mode 100644 index 000000000..39ed52f8c --- /dev/null +++ b/fpga/usrp3/top/e320/ip/aurora_64b66b_pcs_pma/aurora_axis_mac.v @@ -0,0 +1,329 @@ +// +// Copyright 2016 Ettus Research LLC +// + +module aurora_axis_mac #( + parameter PHY_ENDIANNESS = "LITTLE", //{"LITTLE, "BIG"} + parameter PACKET_MODE = 0, + parameter MAX_PACKET_SIZE = 512, + parameter BIST_ENABLED = 1 +) ( + // Clocks and resets + input phy_clk, + input phy_rst, + input sys_clk, + input sys_rst, + input clear, + // PHY TX Interface (Synchronous to phy_clk) + output [63:0] phy_m_axis_tdata, + output phy_m_axis_tvalid, + input phy_m_axis_tready, + // PHY RX Interface (Synchronous to phy_clk) + input [63:0] phy_s_axis_tdata, + input phy_s_axis_tvalid, + // User TX Interface (Synchronous to sys_clk) + input [63:0] s_axis_tdata, + input s_axis_tlast, + input s_axis_tvalid, + output s_axis_tready, + // User RX Interface (Synchronous to sys_clk) + output [63:0] m_axis_tdata, + output m_axis_tlast, + output m_axis_tvalid, + input m_axis_tready, + // PHY Status Inputs (Synchronous to phy_clk) + input channel_up, + input hard_err, + input soft_err, + // Status and Error Outputs (Synchronous to sys_clk) + output [31:0] overruns, + output [31:0] soft_errors, + output reg [31:0] checksum_errors, + output critical_err, + // BIST Interface (Synchronous to sys_clk) + input bist_gen_en, + input [5:0] bist_gen_rate, + input bist_checker_en, + input bist_loopback_en, + output reg bist_checker_locked, + output reg [47:0] bist_checker_samps, + output reg [47:0] bist_checker_errors +); + + // ---------------------------------------------- + // Resets, Clears, Clock crossings + // ---------------------------------------------- + + wire phy_s_axis_tready; // Internal only. The PHY has no backpressure signal. + + // Stay idle if the PHY is not up or if it experiences a fatal error + wire clear_sysclk, clear_phyclk; + synchronizer #(.INITIAL_VAL(1'b1)) clear_sync_phyclk_i ( + .clk(phy_clk), .rst(1'b0 /* no reset */), .in((~channel_up) | hard_err | clear), .out(clear_phyclk)); + synchronizer #(.INITIAL_VAL(1'b1)) clear_sync_sysclk_i ( + .clk(sys_clk), .rst(1'b0 /* no reset */), .in(clear_phyclk), .out(clear_sysclk)); + + // ---------------------------------------------- + // Counters + // ---------------------------------------------- + + reg [31:0] overruns_reg; + reg [31:0] soft_errors_reg; + + // Counter for recoverable errors. For reporting only. + always @(posedge phy_clk) + if (phy_rst | clear_phyclk) + soft_errors_reg <= 32'd0; + else if (soft_err) + soft_errors_reg <= soft_errors_reg + 32'd1; + + // Tag an overrun if the FIFO is full. Samples will get dropped + always @(posedge phy_clk) + if (phy_rst | clear_phyclk) + overruns_reg <= 32'd0; + else if (phy_s_axis_tvalid & ~phy_s_axis_tready) + overruns_reg <= overruns_reg + 32'd1; + + wire [7:0] dummy0; + fifo_short_2clk status_counters_2clk_i ( + .rst(phy_rst), + .wr_clk(phy_clk), .din({8'h00, soft_errors_reg, overruns_reg}), .wr_en(1'b1), .full(), .wr_data_count(), + .rd_clk(sys_clk), .dout({dummy0, soft_errors, overruns}), .rd_en(1'b1), .empty(), .rd_data_count() + ); + + // ---------------------------------------------- + // BIST Wires + // ---------------------------------------------- + + wire [63:0] bist_o_tdata; + wire bist_o_tvalid, bist_o_tready; + wire [63:0] bist_i_tdata; + wire bist_i_tvalid, bist_i_tready; + wire [63:0] loopback_tdata; + wire loopback_tvalid, loopback_tready; + reg bist_gen_en_reg = 1'b0, bist_checker_en_reg = 1'b0, bist_loopback_en_reg = 1'b0; + reg [5:0] bist_gen_rate_reg = 'd0; + + generate if (BIST_ENABLED == 1) begin + // Pipeline control signals + always @(posedge sys_clk) begin + if (sys_rst | clear_sysclk) begin + bist_gen_en_reg <= 1'b0; + bist_checker_en_reg <= 1'b0; + bist_loopback_en_reg <= 1'b0; + bist_gen_rate_reg <= 'd0; + end else begin + bist_gen_en_reg <= bist_gen_en; + bist_checker_en_reg <= bist_checker_en; + bist_loopback_en_reg <= bist_loopback_en; + bist_gen_rate_reg <= bist_gen_rate; + end + end + end endgenerate + // ---------------------------------------------- + // RX Data Path + // ---------------------------------------------- + + wire [63:0] i_raw_tdata; + wire i_raw_tvalid, i_raw_tready; + + wire [63:0] i_pip_tdata; + wire i_pip_tvalid, i_pip_tready; + + wire [63:0] i_pkt_tdata; + wire i_pkt_tlast, i_pkt_tvalid, i_pkt_tready; + + wire [63:0] i_gt_tdata; + wire i_gt_tlast, i_gt_tvalid, i_gt_tready; + + wire checksum_err; + + wire [63:0] phy_s_axis_tdata_endian, phy_m_axis_tdata_endian; + + generate if (PHY_ENDIANNESS == "BIG") begin + assign phy_s_axis_tdata_endian = { + phy_s_axis_tdata[7:0], phy_s_axis_tdata[15:8], phy_s_axis_tdata[23:16], phy_s_axis_tdata[31:24], + phy_s_axis_tdata[39:32], phy_s_axis_tdata[47:40], phy_s_axis_tdata[55:48], phy_s_axis_tdata[63:56] + }; + assign phy_m_axis_tdata = { + phy_m_axis_tdata_endian[7:0], phy_m_axis_tdata_endian[15:8], phy_m_axis_tdata_endian[23:16], phy_m_axis_tdata_endian[31:24], + phy_m_axis_tdata_endian[39:32], phy_m_axis_tdata_endian[47:40], phy_m_axis_tdata_endian[55:48], phy_m_axis_tdata_endian[63:56] + }; + end else begin + assign phy_s_axis_tdata_endian = phy_s_axis_tdata; + assign phy_m_axis_tdata = phy_m_axis_tdata_endian; + end endgenerate + + // Large FIFO must be able to run input side at 64b@156MHz to sustain 10Gb Rx. + axi64_4k_2clk_fifo ingress_fifo_i ( + .s_aresetn(~phy_rst), .s_aclk(phy_clk), + .s_axis_tdata(phy_s_axis_tdata_endian), .s_axis_tlast(phy_s_axis_tvalid), .s_axis_tuser(4'h0), + .s_axis_tvalid(phy_s_axis_tvalid), .s_axis_tready(phy_s_axis_tready), .axis_wr_data_count(), + .m_aclk(sys_clk), + .m_axis_tdata(i_raw_tdata), .m_axis_tlast(), .m_axis_tuser(), + .m_axis_tvalid(i_raw_tvalid), .m_axis_tready(i_raw_tready), .axis_rd_data_count() + ); + + // AXI-Flop to ease timing + axi_fifo_flop #(.WIDTH(64)) input_pipe_i0 ( + .clk(sys_clk), .reset(sys_rst), .clear(clear_sysclk), + .i_tdata(i_raw_tdata), .i_tvalid(i_raw_tvalid), .i_tready(i_raw_tready), + .o_tdata(i_pip_tdata), .o_tvalid(i_pip_tvalid), + .o_tready(bist_checker_en_reg ? bist_i_tready : (bist_loopback_en_reg ? loopback_tready : i_pip_tready)), + .space(), .occupied() + ); + + assign bist_i_tdata = i_pip_tdata; + assign bist_i_tvalid = i_pip_tvalid & bist_checker_en_reg; + + assign loopback_tdata = i_pip_tdata; + assign loopback_tvalid = i_pip_tvalid & bist_loopback_en_reg; + + axi_strip_preamble #(.WIDTH(64), .MAX_PKT_SIZE(MAX_PACKET_SIZE)) axi_strip_preamble_i ( + .clk(sys_clk), .reset(sys_rst), .clear(clear_sysclk), + .i_tdata(i_pip_tdata), .i_tvalid(i_pip_tvalid & ~bist_checker_en_reg & ~bist_loopback_en_reg), .i_tready(i_pip_tready), + .o_tdata(i_gt_tdata), .o_tlast(i_gt_tlast), .o_tvalid(i_gt_tvalid), .o_tready(i_gt_tready), + .crc_err(checksum_err), .pkt_dropped(), .crit_error(critical_err) + ); + + axi_fifo_flop #(.WIDTH(65)) input_pipe_i1 ( + .clk(sys_clk), .reset(sys_rst), .clear(clear_sysclk), + .i_tdata({i_gt_tlast, i_gt_tdata}), .i_tvalid(i_gt_tvalid), .i_tready(i_gt_tready), + .o_tdata({m_axis_tlast, m_axis_tdata}), .o_tvalid(m_axis_tvalid), .o_tready(m_axis_tready), + .space(), .occupied() + ); + + always @(posedge sys_clk) + if (sys_rst | clear_sysclk) + checksum_errors <= 32'd0; + else if (checksum_err) + checksum_errors <= checksum_errors + 32'd1; + + // ---------------------------------------------- + // TX Data Path + // ---------------------------------------------- + + wire [63:0] o_pkt_tdata; + wire o_pkt_tlast, o_pkt_tvalid, o_pkt_tready; + + wire [63:0] o_pip_tdata; + wire o_pip_tvalid, o_pip_tready; + + wire [63:0] o_raw_tdata; + wire o_raw_tvalid, o_raw_tready; + + // AXI-Flop to ease timing + axi_fifo_flop #(.WIDTH(65)) output_pipe_i0 ( + .clk(sys_clk), .reset(sys_rst), .clear(clear_sysclk), + .i_tdata({s_axis_tlast, s_axis_tdata}), .i_tvalid(s_axis_tvalid), .i_tready(s_axis_tready), + .o_tdata({o_pkt_tlast, o_pkt_tdata}), .o_tvalid(o_pkt_tvalid), .o_tready(o_pkt_tready), + .space(), .occupied() + ); + + // Insert preamble and EOP + axi_add_preamble #(.WIDTH(64)) axi_add_preamble_i ( + .clk(sys_clk), .reset(sys_rst), .clear(clear_sysclk), + .i_tdata(o_pkt_tdata), .i_tlast(o_pkt_tlast), .i_tvalid(o_pkt_tvalid), .i_tready(o_pkt_tready), + .o_tdata(o_pip_tdata), .o_tvalid(o_pip_tvalid), .o_tready(o_pip_tready & ~bist_gen_en_reg & ~bist_loopback_en_reg) + ); + + // AXI-Flop to ease timing + axi_fifo_flop #(.WIDTH(64)) output_pipe_i1 ( + .clk(sys_clk), .reset(sys_rst), .clear(clear_sysclk), + .i_tdata(bist_gen_en_reg ? bist_o_tdata : (bist_loopback_en_reg ? loopback_tdata : o_pip_tdata)), + .i_tvalid(bist_gen_en_reg ? bist_o_tvalid : (bist_loopback_en_reg ? loopback_tvalid : o_pip_tvalid)), + .i_tready(o_pip_tready), + .o_tdata(o_raw_tdata), .o_tvalid(o_raw_tvalid), .o_tready(o_raw_tready), + .space(), .occupied() + ); + + assign bist_o_tready = o_pip_tready; + assign loopback_tready = o_pip_tready; + + // Egress FIFO + axi64_4k_2clk_fifo egress_fifo_i ( + .s_aresetn(~phy_rst), .s_aclk(sys_clk), + .s_axis_tdata(o_raw_tdata), .s_axis_tlast(o_raw_tvalid), .s_axis_tuser(4'h0), + .s_axis_tvalid(o_raw_tvalid), .s_axis_tready(o_raw_tready), .axis_wr_data_count(), + .m_aclk(phy_clk), + .m_axis_tdata(phy_m_axis_tdata_endian), .m_axis_tlast(), .m_axis_tuser(), + .m_axis_tvalid(phy_m_axis_tvalid), .m_axis_tready(phy_m_axis_tready), .axis_rd_data_count() + ); + + // ------------------------------------------------- + // BIST: Generator and checker for a LFSR polynomial + // ------------------------------------------------- + localparam LFSR_LEN = 32; + localparam LFSR_SEED = {LFSR_LEN{1'b1}}; + + function [LFSR_LEN-1:0] compute_lfsr_next; + input [LFSR_LEN-1:0] current; + // Maximal length polynomial: x^32 + x^22 + x^2 + x^1 + 1 + compute_lfsr_next = {current[30:0], current[31]^current[21]^current[1]^current[0]}; + endfunction + + function [63:0] lfsr_to_axis; + input [LFSR_LEN-1:0] lfsr; + lfsr_to_axis = {~lfsr, lfsr}; + endfunction + + function [LFSR_LEN-1:0] axis_to_lfsr; + input [63:0] axis; + axis_to_lfsr = axis[LFSR_LEN-1:0]; + endfunction + + generate if (BIST_ENABLED == 1) begin + // Throttle outgoing LFSR to based on the specified rate + // BIST Throughput = sys_clk BW * (bist_gen_rate+1)/64 + reg [5:0] throttle_cnt; + always @(posedge sys_clk) begin + if (sys_rst | clear_sysclk) + throttle_cnt <= 6'd0; + else if (bist_gen_en_reg) + throttle_cnt <= throttle_cnt + 6'd1; + end + // NOTE: This techinically violates AXIS spec (valid revocation) + assign bist_o_tvalid = bist_gen_en_reg && (throttle_cnt <= bist_gen_rate_reg); + + // Unsynchronized LFSR generator (for BIST output) + reg [LFSR_LEN-1:0] lfsr_gen = LFSR_SEED, lfsr_check = LFSR_SEED; + always @(posedge sys_clk) begin + if (sys_rst | clear_sysclk | ~bist_gen_en_reg) + lfsr_gen <= LFSR_SEED; + else if (bist_o_tready & bist_o_tvalid) + lfsr_gen <= compute_lfsr_next(lfsr_gen); + end + assign bist_o_tdata = lfsr_to_axis(lfsr_gen); + + // Synchronized LFSR checker (for BIST input) + wire [LFSR_LEN-1:0] lfsr_next = compute_lfsr_next(lfsr_check);; + always @(posedge sys_clk) begin + if (sys_rst | clear_sysclk | ~bist_checker_en_reg) begin + bist_checker_locked <= 1'b0; + lfsr_check <= LFSR_SEED; + end else if (bist_i_tvalid && bist_i_tready) begin + lfsr_check <= axis_to_lfsr(bist_i_tdata); + if (bist_i_tdata == lfsr_to_axis(LFSR_SEED)) + bist_checker_locked <= 1'b1; + end + end + + // LFSR checker + always @(posedge sys_clk) begin + if (bist_checker_locked) begin + if (bist_i_tvalid & bist_i_tready) begin + bist_checker_samps <= bist_checker_samps + 48'd1; + if (bist_i_tdata != lfsr_to_axis(lfsr_next)) begin + bist_checker_errors <= bist_checker_errors + 48'd1; + end + end + end else begin + bist_checker_samps <= 48'd0; + bist_checker_errors <= 48'd0; + end + end + assign bist_i_tready = 1'b1; + end endgenerate + +endmodule + diff --git a/fpga/usrp3/top/e320/ip/aurora_64b66b_pcs_pma/aurora_phy_x1.v b/fpga/usrp3/top/e320/ip/aurora_64b66b_pcs_pma/aurora_phy_x1.v new file mode 100644 index 000000000..c3fc89749 --- /dev/null +++ b/fpga/usrp3/top/e320/ip/aurora_64b66b_pcs_pma/aurora_phy_x1.v @@ -0,0 +1,370 @@ +// +// Copyright 2016 Ettus Research LLC +// + +module aurora_phy_x1 #( + parameter SIMULATION = 0 +)( + // Clocks and Resets + input areset, + input refclk, + input init_clk, + output user_clk, + output user_rst, + // GTX Serial I/O + input rx_p, + input rx_n, + output tx_p, + output tx_n, + // AXI4-Stream TX Interface + input [63:0] s_axis_tdata, + input s_axis_tvalid, + output s_axis_tready, + // AXI4-Stream RX Interface + output [63:0] m_axis_tdata, + output m_axis_tvalid, + // AXI4-Lite Config Interface + input [31:0] s_axi_awaddr, + input [31:0] s_axi_araddr, + input [31:0] s_axi_wdata, + input [3:0] s_axi_wstrb, + input s_axi_awvalid, + input s_axi_rready, + output [31:0] s_axi_rdata, + output s_axi_awready, + output s_axi_wready, + output s_axi_bvalid, + output [1:0] s_axi_bresp, + output [1:0] s_axi_rresp, + input s_axi_bready, + output s_axi_arready, + output s_axi_rvalid, + input s_axi_arvalid, + input s_axi_wvalid, + // Status and Error Reporting Interface + output reg channel_up, + output reg hard_err, + output reg soft_err +); + + //-------------------------------------------------------------- + // Status and Error Signals + //-------------------------------------------------------------- + wire hard_err_i, soft_err_i, channel_up_i, lane_up_i; + always @(posedge user_clk) begin + hard_err <= hard_err_i; + soft_err <= soft_err_i; + channel_up <= channel_up_i && lane_up_i; + end + + //-------------------------------------------------------------- + // Reset and PMA Init Sequence + //-------------------------------------------------------------- + // Requirements from PG074: + // - It is expected that user_clock is stable when the reset_pb signal is applied. + // - During the board power-on sequence, both the pma_init and reset_pb signals are + // expected to be High. INIT_CLK and GT_REFCLK are expected to be stable during + // power-on for the proper functioning of the Aurora 64B/66B core. When both clocks are + // stable, pma_init is deasserted followed by the deassertion of reset_pb. + // - Normal Operation Reset Sequence: + // 1. Assert reset. Wait for a minimum time equal to 128*user_clk's time-period. + // 2. Assert pma_init. Keep pma_init and reset asserted for at least one second to prevent + // the transmission of CC characters and ensure that the remote agent detects a hot plug event. + // 3. Deassert pma_init. + // 4. Deassert reset_pb. + + localparam PWRON_PMA_INIT_CYC = 32'd1024; + localparam SYSRST_ASSERT_CYC = 32'd128; + localparam PMA_INIT_ASSERT_CYC_LOG2 = (SIMULATION == 1) ? 4 : 26; + localparam SYSRST_DEASSERT_CYC = 32'd20; + + wire reset_iclk, pma_init, reset_pb; + wire gt_pll_lock, gt_pll_lock_iclk, mmcm_locked, mmcm_locked_iclk; + + synchronizer #( .STAGES(3), .INITIAL_VAL(1'b1) ) input_rst_sync_i ( + .clk(init_clk), .rst(1'b0), .in(areset), .out(reset_iclk) + ); + + synchronizer #( .STAGES(3), .INITIAL_VAL(1'b0) ) gt_pll_lock_sync_i ( + .clk(init_clk), .rst(1'b0), .in(gt_pll_lock), .out(gt_pll_lock_iclk) + ); + + synchronizer #( .STAGES(3), .INITIAL_VAL(1'b0) ) mmcm_locked_sync_i ( + .clk(init_clk), .rst(1'b0), .in(mmcm_locked), .out(mmcm_locked_iclk) + ); + + localparam [2:0] RST_ST_PWRON_PMA_INIT = 3'd0; + localparam [2:0] RST_ST_PWRON_PMA_SYSRST = 3'd1; + localparam [2:0] RST_ST_IDLE = 3'd2; + localparam [2:0] RST_ST_SYSRST_PRE = 3'd3; + localparam [2:0] RST_ST_PMA_INIT = 3'd4; + localparam [2:0] RST_ST_SYSRST_POST = 3'd5; + + reg [2:0] rst_state = RST_ST_PWRON_PMA_INIT; + reg [31:0] rst_counter = PWRON_PMA_INIT_CYC; + + always @(posedge init_clk) begin + case (rst_state) + RST_ST_PWRON_PMA_INIT: begin + if (rst_counter == 32'd0) begin + rst_state <= RST_ST_PWRON_PMA_SYSRST; + rst_counter <= SYSRST_DEASSERT_CYC; + end else begin + rst_counter <= rst_counter - 32'd1; + end + end + RST_ST_PWRON_PMA_SYSRST: begin + if (rst_counter == 32'd0) begin + rst_state <= RST_ST_IDLE; + end else begin + rst_counter <= rst_counter - 32'd1; + end + end + RST_ST_IDLE: begin + if (reset_iclk) begin + rst_state <= RST_ST_SYSRST_PRE; + rst_counter <= SYSRST_ASSERT_CYC; + end + end + RST_ST_SYSRST_PRE: begin + if (rst_counter == 32'd0) begin + rst_state <= RST_ST_PMA_INIT; + rst_counter <= {{(32-PMA_INIT_ASSERT_CYC_LOG2){1'b0}}, {PMA_INIT_ASSERT_CYC_LOG2{1'b1}}}; + end else if (mmcm_locked_iclk) begin + rst_counter <= rst_counter - 32'd1; + end + end + RST_ST_PMA_INIT: begin + if (rst_counter == 32'd0) begin + rst_state <= RST_ST_SYSRST_POST; + rst_counter <= SYSRST_DEASSERT_CYC; + end else begin + rst_counter <= rst_counter - 32'd1; + end + end + RST_ST_SYSRST_POST: begin + if (rst_counter == 32'd0) begin + rst_state <= RST_ST_IDLE; + end else begin + rst_counter <= rst_counter - 32'd1; + end + end + endcase + end + + assign reset_pb = (rst_state != RST_ST_IDLE); + assign pma_init = (rst_state == RST_ST_PMA_INIT || rst_state == RST_ST_PWRON_PMA_INIT); + + //-------------------------------------------------------------- + // Clocking + //-------------------------------------------------------------- + + wire tx_out_clk, tx_out_clk_bufg; + wire sync_clk_i; + wire user_clk_i; + wire mmcm_fb_clk; + wire sync_clk; + + localparam MULT = 10; + localparam DIVIDE = 5; + localparam CLK_PERIOD = 3.103; + localparam OUT0_DIVIDE = 4; + localparam OUT1_DIVIDE = 2; + localparam OUT2_DIVIDE = 6; + localparam OUT3_DIVIDE = 8; + + MMCME2_ADV #( + .BANDWIDTH ("OPTIMIZED"), + .CLKOUT4_CASCADE ("FALSE"), + .COMPENSATION ("ZHOLD"), + .STARTUP_WAIT ("FALSE"), + .DIVCLK_DIVIDE (DIVIDE), + .CLKFBOUT_MULT_F (MULT), + .CLKFBOUT_PHASE (0.000), + .CLKFBOUT_USE_FINE_PS ("FALSE"), + .CLKOUT0_DIVIDE_F (OUT0_DIVIDE), + .CLKOUT0_PHASE (0.000), + .CLKOUT0_DUTY_CYCLE (0.500), + .CLKOUT0_USE_FINE_PS ("FALSE"), + .CLKIN1_PERIOD (CLK_PERIOD), + .CLKOUT1_DIVIDE (OUT1_DIVIDE), + .CLKOUT1_PHASE (0.000), + .CLKOUT1_DUTY_CYCLE (0.500), + .CLKOUT1_USE_FINE_PS ("FALSE"), + .CLKOUT2_DIVIDE (OUT2_DIVIDE), + .CLKOUT2_PHASE (0.000), + .CLKOUT2_DUTY_CYCLE (0.500), + .CLKOUT2_USE_FINE_PS ("FALSE"), + .CLKOUT3_DIVIDE (OUT3_DIVIDE), + .CLKOUT3_PHASE (0.000), + .CLKOUT3_DUTY_CYCLE (0.500), + .CLKOUT3_USE_FINE_PS ("FALSE"), + .REF_JITTER1 (0.010) + ) mmcm_adv_inst ( + .CLKFBOUT (mmcm_fb_clk), + .CLKFBOUTB (), + .CLKOUT0 (user_clk_i), + .CLKOUT0B (), + .CLKOUT1 (sync_clk_i), + .CLKOUT1B (), + .CLKOUT2 (), + .CLKOUT2B (), + .CLKOUT3 (), + .CLKOUT3B (), + .CLKOUT4 (), + .CLKOUT5 (), + .CLKOUT6 (), + // Input clock control + .CLKFBIN (mmcm_fb_clk), + .CLKIN1 (tx_out_clk_bufg), + .CLKIN2 (1'b0), + // Tied to always select the primary input clock + .CLKINSEL (1'b1), + // Ports for dynamic reconfiguration + .DADDR (7'h0), + .DCLK (1'b0), + .DEN (1'b0), + .DI (16'h0), + .DO (), + .DRDY (), + .DWE (1'b0), + // Ports for dynamic phase shift + .PSCLK (1'b0), + .PSEN (1'b0), + .PSINCDEC (1'b0), + .PSDONE (), + // Other control and status signals + .LOCKED (mmcm_locked), + .CLKINSTOPPED (), + .CLKFBSTOPPED (), + .PWRDWN (1'b0), + .RST (!gt_pll_lock) + ); + + // BUFG for the feedback clock. The feedback signal is phase aligned to the input + // and must come from the CLK0 or CLK2X output of the PLL. In this case, we use + // the CLK0 output. + BUFG txout_clock_net_i ( + .I(tx_out_clk), + .O(tx_out_clk_bufg) + ); + BUFG user_clk_net_i ( + .I(user_clk_i), + .O(user_clk) + ); + BUFG sync_clock_net_i ( + .I(sync_clk_i), + .O(sync_clk) + ); + + //-------------------------------------------------------------- + // GT Common + //-------------------------------------------------------------- + + wire gt_qpllclk_quad1_i; + wire gt_qpllrefclk_quad1_i; + wire gt_to_common_qpllreset_i; + wire gt_qpllrefclklost_i; + wire gt_qplllock_i; + + wire [7:0] qpll_drpaddr_in_i = 8'h0; + wire [15:0] qpll_drpdi_in_i = 16'h0; + wire qpll_drpen_in_i = 1'b0; + wire qpll_drpwe_in_i = 1'b0; + wire [15:0] qpll_drpdo_out_i; + wire qpll_drprdy_out_i; + + aurora_64b66b_pcs_pma_gt_common_wrapper gt_common_support ( + .gt_qpllclk_quad1_out (gt_qpllclk_quad1_i), + .gt_qpllrefclk_quad1_out (gt_qpllrefclk_quad1_i), + .GT0_GTREFCLK0_COMMON_IN (refclk), + //----------------------- Common Block - QPLL Ports ------------------------ + .GT0_QPLLLOCK_OUT (gt_qplllock_i), + .GT0_QPLLRESET_IN (gt_to_common_qpllreset_i), + .GT0_QPLLLOCKDETCLK_IN (init_clk), + .GT0_QPLLREFCLKLOST_OUT (gt_qpllrefclklost_i), + //---------------------- Common DRP Ports ---------------------- + .qpll_drpaddr_in (qpll_drpaddr_in_i), + .qpll_drpdi_in (qpll_drpdi_in_i), + .qpll_drpclk_in (init_clk), + .qpll_drpdo_out (qpll_drpdo_out_i), + .qpll_drprdy_out (qpll_drprdy_out_i), + .qpll_drpen_in (qpll_drpen_in_i), + .qpll_drpwe_in (qpll_drpwe_in_i) + ); + + //-------------------------------------------------------------- + // IP Instantiation + //-------------------------------------------------------------- + + wire gt_rxcdrovrden_i = 1'b0; + wire [2:0] loopback_i = 3'b000; + wire power_down_i = 1'b0; + + aurora_64b66b_pcs_pma aurora_64b66b_pcs_pma_i ( + .refclk1_in (refclk), + // TX AXI4-S Interface + .s_axi_tx_tdata (s_axis_tdata), + .s_axi_tx_tvalid (s_axis_tvalid), + .s_axi_tx_tready (s_axis_tready), + // RX AXI4-S Interface + .m_axi_rx_tdata (m_axis_tdata), + .m_axi_rx_tvalid (m_axis_tvalid), + // GTX Serial I/O + .rxp (rx_p), + .rxn (rx_n), + .txp (tx_p), + .txn (tx_n), + // Status and Error + .hard_err (hard_err_i), + .soft_err (soft_err_i), + .channel_up (channel_up_i), + .lane_up (lane_up_i), + // System Interface + .mmcm_not_locked (!mmcm_locked), + .user_clk (user_clk), + .sync_clk (sync_clk), + .reset_pb (reset_pb), + .gt_rxcdrovrden_in (gt_rxcdrovrden_i), + .power_down (power_down_i), + .loopback (loopback_i), + .pma_init (pma_init), + .gt_pll_lock (gt_pll_lock), + .drp_clk_in (init_clk), + .gt_qpllclk_quad1_in (gt_qpllclk_quad1_i), + .gt_qpllrefclk_quad1_in (gt_qpllrefclk_quad1_i), + .gt_to_common_qpllreset_out(gt_to_common_qpllreset_i), + .gt_qplllock_in (gt_qplllock_i), + .gt_qpllrefclklost_in (gt_qpllrefclklost_i), + // AXI4-Lite config + .s_axi_awaddr (s_axi_awaddr), + .s_axi_awvalid (s_axi_awvalid), + .s_axi_awready (s_axi_awready), + .s_axi_wdata (s_axi_wdata), + .s_axi_wstrb (s_axi_wstrb), + .s_axi_wvalid (s_axi_wvalid), + .s_axi_wready (s_axi_wready), + .s_axi_bvalid (s_axi_bvalid), + .s_axi_bresp (s_axi_bresp), + .s_axi_bready (s_axi_bready), + .s_axi_araddr (s_axi_araddr), + .s_axi_arvalid (s_axi_arvalid), + .s_axi_arready (s_axi_arready), + .s_axi_rdata (s_axi_rdata), + .s_axi_rvalid (s_axi_rvalid), + .s_axi_rresp (s_axi_rresp), + .s_axi_rready (s_axi_rready), + // GTXE2 COMMON DRP Ports + .qpll_drpaddr_in (qpll_drpaddr_in_i), + .qpll_drpdi_in (qpll_drpdi_in_i), + .qpll_drpdo_out (), + .qpll_drprdy_out (), + .qpll_drpen_in (qpll_drpen_in_i), + .qpll_drpwe_in (qpll_drpwe_in_i), + .init_clk (init_clk), + .link_reset_out (), + .sys_reset_out (user_rst), + .tx_out_clk (tx_out_clk) + ); + + endmodule diff --git a/fpga/usrp3/top/e320/ip/axi64_4k_2clk_fifo/Makefile.inc b/fpga/usrp3/top/e320/ip/axi64_4k_2clk_fifo/Makefile.inc new file mode 100644 index 000000000..75bdf99c3 --- /dev/null +++ b/fpga/usrp3/top/e320/ip/axi64_4k_2clk_fifo/Makefile.inc @@ -0,0 +1,15 @@ +# +# Copyright 2014 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_AXI64_4K_2CLK_FIFO_SRCS = $(IP_BUILD_DIR)/axi64_4k_2clk_fifo/axi64_4k_2clk_fifo.xci + +IP_AXI64_4K_2CLK_FIFO_OUTS = $(addprefix $(IP_BUILD_DIR)/axi64_4k_2clk_fifo/, \ +axi64_4k_2clk_fifo.xci.out \ +synth/axi64_4k_2clk_fifo.vhd \ +) + +$(IP_AXI64_4K_2CLK_FIFO_SRCS) $(IP_AXI64_4K_2CLK_FIFO_OUTS) : $(IP_DIR)/axi64_4k_2clk_fifo/axi64_4k_2clk_fifo.xci + $(call BUILD_VIVADO_IP,axi64_4k_2clk_fifo,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) diff --git a/fpga/usrp3/top/e320/ip/axi64_4k_2clk_fifo/axi64_4k_2clk_fifo.xci b/fpga/usrp3/top/e320/ip/axi64_4k_2clk_fifo/axi64_4k_2clk_fifo.xci new file mode 100644 index 000000000..26d706087 --- /dev/null +++ b/fpga/usrp3/top/e320/ip/axi64_4k_2clk_fifo/axi64_4k_2clk_fifo.xci @@ -0,0 +1,584 @@ + + + xilinx.com + xci + unknown + 1.0 + + + axi64_4k_2clk_fifo + + + + + + 100000000 + 0 + 0.000 + + + 100000000 + 0 + 0.000 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 1 + 1 + 0 + 0 + undef + 0.000 + 8 + 0 + 0 + 4 + + + + 100000000 + 0 + 0.000 + + 100000000 + 0 + 0.000 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 1 + 1 + 0 + 0 + undef + 0.000 + 8 + 0 + 0 + 4 + + + + 100000000 + 0 + 0.000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 64 + 1 + 1 + 8 + 8 + 4 + 0 + 32 + 1 + 1 + 1 + 64 + 1 + 8 + 1 + 1 + 1 + 1 + 0 + 0 + 10 + BlankString + 18 + 69 + 32 + 64 + 32 + 64 + 2 + 0 + 18 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + zynq + 1 + 0 + 0 + 1 + 0 + 0 + 0 + 1 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 11 + 12 + 11 + 12 + 11 + 12 + 0 + 1 + 1 + BlankString + 1 + 0 + 0 + 0 + 1 + 0 + 4kx4 + 512x72 + 512x36 + 1kx36 + 512x36 + 1kx36 + 512x36 + 2 + 509 + 13 + 1021 + 13 + 1021 + 13 + 3 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1022 + 511 + 15 + 1023 + 15 + 1023 + 15 + 1021 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 10 + 1024 + 1 + 10 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 2 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 10 + 1024 + 512 + 16 + 1024 + 16 + 1024 + 16 + 1 + 10 + 9 + 4 + 10 + 4 + 10 + 4 + 1 + 32 + 0 + 0 + false + false + false + 0 + 0 + Slave_Interface_Clock_Enable + Independent_Clock + axi64_4k_2clk_fifo + 64 + false + 10 + false + false + 0 + 2 + 509 + 13 + 1021 + 13 + 1021 + 13 + 3 + false + false + true + false + false + false + false + false + false + Hard_ECC + false + false + false + false + false + false + true + false + true + true + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Independent_Clocks_Block_RAM + Independent_Clocks_Distributed_RAM + Independent_Clocks_Block_RAM + Independent_Clocks_Distributed_RAM + Independent_Clocks_Block_RAM + Independent_Clocks_Distributed_RAM + Common_Clock_Block_RAM + 1 + 1022 + 511 + 15 + 1023 + 15 + 1023 + 15 + 1021 + false + false + false + 0 + AXI_STREAM + false + false + false + false + false + false + false + false + false + false + false + false + false + false + 18 + 1024 + 512 + 16 + 1024 + 16 + 1024 + 16 + false + 18 + 1024 + Embedded_Reg + false + false + Active_High + Active_High + AXI4 + Standard_FIFO + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + READ_WRITE + 0 + 1 + false + 10 + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + true + Asynchronous_Reset + false + 8 + 0 + 0 + 8 + 8 + 4 + false + false + Active_High + Active_High + true + false + false + false + false + Active_High + 0 + false + Active_High + 1 + false + 10 + false + FIFO + false + false + false + false + FIFO + FIFO + 2 + 2 + false + FIFO + FIFO + FIFO + zynq + + + xc7z100 + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 4 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/e320/ip/axi64_8k_2clk_fifo/Makefile.inc b/fpga/usrp3/top/e320/ip/axi64_8k_2clk_fifo/Makefile.inc new file mode 100644 index 000000000..647ca003b --- /dev/null +++ b/fpga/usrp3/top/e320/ip/axi64_8k_2clk_fifo/Makefile.inc @@ -0,0 +1,15 @@ +# +# Copyright 2014 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_AXI64_8K_2CLK_FIFO_SRCS = $(IP_BUILD_DIR)/axi64_8k_2clk_fifo/axi64_8k_2clk_fifo.xci + +IP_AXI64_8K_2CLK_FIFO_OUTS = $(addprefix $(IP_BUILD_DIR)/axi64_8k_2clk_fifo/, \ +axi64_8k_2clk_fifo.xci.out \ +synth/axi64_8k_2clk_fifo.vhd \ +) + +$(IP_AXI64_8K_2CLK_FIFO_SRCS) $(IP_AXI64_8K_2CLK_FIFO_OUTS) : $(IP_DIR)/axi64_8k_2clk_fifo/axi64_8k_2clk_fifo.xci + $(call BUILD_VIVADO_IP,axi64_8k_2clk_fifo,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) diff --git a/fpga/usrp3/top/e320/ip/axi64_8k_2clk_fifo/axi64_8k_2clk_fifo.xci b/fpga/usrp3/top/e320/ip/axi64_8k_2clk_fifo/axi64_8k_2clk_fifo.xci new file mode 100644 index 000000000..2f6ec0d88 --- /dev/null +++ b/fpga/usrp3/top/e320/ip/axi64_8k_2clk_fifo/axi64_8k_2clk_fifo.xci @@ -0,0 +1,582 @@ + + + xilinx.com + xci + unknown + 1.0 + + + axi64_8k_2clk_fifo + + + + + + 100000000 + 0 + 0.000 + + + 100000000 + 0 + 0.000 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 1 + 1 + 0 + 0 + undef + 0.000 + 8 + 0 + 0 + 4 + + + + 100000000 + 0 + 0.000 + + 100000000 + 0 + 0.000 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 1 + 1 + 0 + 0 + undef + 0.000 + 8 + 0 + 0 + 4 + + + + 100000000 + 0 + 0.000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 64 + 1 + 1 + 8 + 8 + 4 + 0 + 32 + 1 + 1 + 1 + 64 + 1 + 8 + 1 + 1 + 1 + 1 + 0 + 0 + 10 + BlankString + 18 + 69 + 32 + 64 + 32 + 64 + 2 + 0 + 18 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + zynq + 1 + 0 + 0 + 1 + 0 + 0 + 0 + 1 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 11 + 12 + 11 + 12 + 11 + 12 + 0 + 1 + 1 + BlankString + 1 + 0 + 0 + 0 + 1 + 0 + 4kx4 + 1kx36 + 512x36 + 1kx36 + 512x36 + 1kx36 + 512x36 + 2 + 1021 + 13 + 1021 + 13 + 1021 + 13 + 3 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1022 + 1023 + 15 + 1023 + 15 + 1023 + 15 + 1021 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 10 + 1024 + 1 + 10 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 2 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 10 + 1024 + 1024 + 16 + 1024 + 16 + 1024 + 16 + 1 + 10 + 10 + 4 + 10 + 4 + 10 + 4 + 1 + 32 + 0 + 0 + false + false + false + 0 + 0 + Slave_Interface_Clock_Enable + Independent_Clock + axi64_8k_2clk_fifo + 64 + false + 10 + false + false + 0 + 2 + 1021 + 13 + 1021 + 13 + 1021 + 13 + 3 + false + false + true + false + false + false + false + false + false + Hard_ECC + false + false + false + false + false + false + true + false + true + true + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Independent_Clocks_Block_RAM + Independent_Clocks_Distributed_RAM + Independent_Clocks_Block_RAM + Independent_Clocks_Distributed_RAM + Independent_Clocks_Block_RAM + Independent_Clocks_Distributed_RAM + Common_Clock_Block_RAM + 1 + 1022 + 1023 + 15 + 1023 + 15 + 1023 + 15 + 1021 + false + false + false + 0 + AXI_STREAM + false + false + false + false + false + false + false + false + false + false + false + false + false + false + 18 + 1024 + 1024 + 16 + 1024 + 16 + 1024 + 16 + false + 18 + 1024 + Embedded_Reg + false + false + Active_High + Active_High + AXI4 + Standard_FIFO + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + READ_WRITE + 0 + 1 + false + 10 + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + true + Asynchronous_Reset + false + 8 + 0 + 0 + 8 + 8 + 4 + false + false + Active_High + Active_High + true + false + false + false + false + Active_High + 0 + false + Active_High + 1 + false + 10 + false + FIFO + false + false + false + false + FIFO + FIFO + 2 + 2 + false + FIFO + FIFO + FIFO + zynq + + + xc7z100 + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 4 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/e320/ip/axi_eth_dma/Makefile.inc b/fpga/usrp3/top/e320/ip/axi_eth_dma/Makefile.inc new file mode 100644 index 000000000..658891469 --- /dev/null +++ b/fpga/usrp3/top/e320/ip/axi_eth_dma/Makefile.inc @@ -0,0 +1,14 @@ +# +# Copyright 2017 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_AXI_ETH_DMA_SRCS = $(IP_BUILD_DIR)/axi_eth_dma/axi_eth_dma.xci + +IP_AXI_ETH_DMA_OUTS = $(addprefix $(IP_BUILD_DIR)/axi_eth_dma/, \ +axi_eth_dma.xci.out \ +) + +$(IP_AXI_ETH_DMA_SRCS) $(IP_AXI_ETH_DMA_OUTS) : $(IP_DIR)/axi_eth_dma/axi_eth_dma.xci + $(call BUILD_VIVADO_IP,axi_eth_dma,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) diff --git a/fpga/usrp3/top/e320/ip/axi_eth_dma/axi_eth_dma.xci b/fpga/usrp3/top/e320/ip/axi_eth_dma/axi_eth_dma.xci new file mode 100644 index 000000000..ec1df69ec --- /dev/null +++ b/fpga/usrp3/top/e320/ip/axi_eth_dma/axi_eth_dma.xci @@ -0,0 +1,412 @@ + + + xilinx.com + xci + unknown + 1.0 + + + axi_eth_dma + + + 0 + 0 + 1 + 0 + 32 + 0 + 0 + 0 + + 64 + 100000000 + 1 + 1 + 1 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 256 + 1 + 2 + 1 + 0.000 + AXI4 + READ_WRITE + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + 100000000 + 1 + 1 + 1 + 0 + 0 + undef + 0.000 + 8 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 64 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 256 + 1 + 2 + 1 + 0.000 + AXI4 + READ_ONLY + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0.000 + 32 + 0 + 0 + 0 + + 64 + 100000000 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 256 + 2 + 1 + 1 + 0.000 + AXI4 + WRITE_ONLY + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0.000 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 1 + 1 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 0.000 + AXI4 + READ_WRITE + 0 + 0 + 0 + 0 + + + 100000000 + 0 + 0.000 + 1 + 0 + 0 + + 100000000 + 1 + 1 + 1 + 0 + 0 + undef + 0.000 + 8 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + 10 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0.000 + 125 + 0 + zynq + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + 16 + 32 + 64 + 32 + 64 + 32 + 64 + 32 + 1 + 1 + 0 + 16 + 0 + 23 + 0 + 32 + 64 + axi_eth_dma + 32 + 125 + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 64 + 64 + 64 + 0 + 16 + 1 + 1 + 0 + 16 + 64 + 0 + 23 + 0 + 0 + zynq + + + xc7z100 + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 20 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/e320/ip/axi_intercon_4x64_256_bd/Makefile.inc b/fpga/usrp3/top/e320/ip/axi_intercon_4x64_256_bd/Makefile.inc new file mode 100644 index 000000000..2f5ba57c6 --- /dev/null +++ b/fpga/usrp3/top/e320/ip/axi_intercon_4x64_256_bd/Makefile.inc @@ -0,0 +1,17 @@ +# +# Copyright 2016 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_AXI_INTERCON_4X64_256_BD_SRCS = $(IP_BUILD_DIR)/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd.bd \ +$(IP_BUILD_DIR)/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd.bxml \ +$(IP_BUILD_DIR)/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd_wrapper.v + +BD_AXI_INTERCON_4X64_256_BD_OUTS = $(addprefix $(IP_BUILD_DIR)/axi_intercon_4x64_256_bd/, \ +axi_intercon_4x64_256_bd.bd.out \ +axi_intercon_4x64_256_bd_ooc.xdc \ +) + +$(IP_AXI_INTERCON_4X64_256_BD_SRCS) $(IP_AXI_INTERCON_4X64_256_BD_OUTS) : $(IP_DIR)/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd.bd + $(call BUILD_VIVADO_BD,axi_intercon_4x64_256_bd,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR)) diff --git a/fpga/usrp3/top/e320/ip/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd.bd b/fpga/usrp3/top/e320/ip/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd.bd new file mode 100644 index 000000000..eb6c30100 --- /dev/null +++ b/fpga/usrp3/top/e320/ip/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd.bd @@ -0,0 +1,2191 @@ + + + + + xilinx.com + BlockDiagram + axi_intercon_4x64_256_bd + 1.00.a + + + isTop + true + + + + + M00_AXI + + + + + + DATA_WIDTH + 256 + + + + + + + + PROTOCOL + AXI4 + + + + + + + + FREQ_HZ + 200000000 + + + + + + + + ID_WIDTH + 4 + + + + + + + + ADDR_WIDTH + 32 + + + + + + + + AWUSER_WIDTH + 0 + + + + + + + + ARUSER_WIDTH + 0 + + + + + + + + WUSER_WIDTH + 0 + + + + + + + + RUSER_WIDTH + 0 + + + + + + + + BUSER_WIDTH + 0 + + + + + + + + READ_WRITE_MODE + READ_WRITE + + + + + + + + HAS_BURST + 1 + + + + + + + + HAS_LOCK + 1 + + + + + + + + HAS_PROT + 1 + + + + + + + + HAS_CACHE + 1 + + + + + + + + HAS_QOS + 1 + + + + + + + + HAS_REGION + 1 + + + + + + + + HAS_WSTRB + 1 + + + + + + + + HAS_BRESP + 1 + + + + + + + + HAS_RRESP + 1 + + + + + + + + SUPPORTS_NARROW_BURST + 1 + + + + + + + + NUM_READ_OUTSTANDING + 2 + + + + + + + + NUM_WRITE_OUTSTANDING + 2 + + + + + + + + MAX_BURST_LENGTH + 256 + + + + + + + + PHASE + 0.000 + + + + + + + + CLK_DOMAIN + axi_intercon_4x64_128_bd_M00_ACLK + + + + + + + + + + S00_AXI + + + + + + DATA_WIDTH + 64 + + + + + + + + PROTOCOL + AXI4 + + + + + + + + FREQ_HZ + 350000000 + + + + + + + + ID_WIDTH + 1 + + + + + + + + ADDR_WIDTH + 32 + + + + + + + + AWUSER_WIDTH + 0 + + + + + + + + ARUSER_WIDTH + 0 + + + + + + + + WUSER_WIDTH + 0 + + + + + + + + RUSER_WIDTH + 0 + + + + + + + + BUSER_WIDTH + 0 + + + + + + + + READ_WRITE_MODE + READ_WRITE + + + + + + + + HAS_BURST + 1 + + + + + + + + HAS_LOCK + 1 + + + + + + + + HAS_PROT + 1 + + + + + + + + HAS_CACHE + 1 + + + + + + + + HAS_QOS + 1 + + + + + + + + HAS_REGION + 1 + + + + + + + + HAS_WSTRB + 1 + + + + + + + + HAS_BRESP + 1 + + + + + + + + HAS_RRESP + 1 + + + + + + + + SUPPORTS_NARROW_BURST + 1 + + + + + + + + NUM_READ_OUTSTANDING + 2 + + + + + + + + NUM_WRITE_OUTSTANDING + 2 + + + + + + + + MAX_BURST_LENGTH + 256 + + + + + + + + PHASE + 0.000 + + + + + + + + CLK_DOMAIN + axi_intercon_4x64_128_bd_S00_ACLK + + + + + + + + + + S01_AXI + + + + + + DATA_WIDTH + 64 + + + + + + + + PROTOCOL + AXI4 + + + + + + + + FREQ_HZ + 350000000 + + + + + + + + ID_WIDTH + 1 + + + + + + + + ADDR_WIDTH + 32 + + + + + + + + AWUSER_WIDTH + 0 + + + + + + + + ARUSER_WIDTH + 0 + + + + + + + + WUSER_WIDTH + 0 + + + + + + + + RUSER_WIDTH + 0 + + + + + + + + BUSER_WIDTH + 0 + + + + + + + + READ_WRITE_MODE + READ_WRITE + + + + + + + + HAS_BURST + 1 + + + + + + + + HAS_LOCK + 1 + + + + + + + + HAS_PROT + 1 + + + + + + + + HAS_CACHE + 1 + + + + + + + + HAS_QOS + 1 + + + + + + + + HAS_REGION + 1 + + + + + + + + HAS_WSTRB + 1 + + + + + + + + HAS_BRESP + 1 + + + + + + + + HAS_RRESP + 1 + + + + + + + + SUPPORTS_NARROW_BURST + 1 + + + + + + + + NUM_READ_OUTSTANDING + 2 + + + + + + + + NUM_WRITE_OUTSTANDING + 2 + + + + + + + + MAX_BURST_LENGTH + 256 + + + + + + + + PHASE + 0.000 + + + + + + + + CLK_DOMAIN + axi_intercon_4x64_128_bd_S01_ACLK + + + + + + + + + + S02_AXI + + + + + + DATA_WIDTH + 64 + + + + + + + + PROTOCOL + AXI4 + + + + + + + + FREQ_HZ + 350000000 + + + + + + + + ID_WIDTH + 1 + + + + + + + + ADDR_WIDTH + 32 + + + + + + + + AWUSER_WIDTH + 0 + + + + + + + + ARUSER_WIDTH + 0 + + + + + + + + WUSER_WIDTH + 0 + + + + + + + + RUSER_WIDTH + 0 + + + + + + + + BUSER_WIDTH + 0 + + + + + + + + READ_WRITE_MODE + READ_WRITE + + + + + + + + HAS_BURST + 1 + + + + + + + + HAS_LOCK + 1 + + + + + + + + HAS_PROT + 1 + + + + + + + + HAS_CACHE + 1 + + + + + + + + HAS_QOS + 1 + + + + + + + + HAS_REGION + 1 + + + + + + + + HAS_WSTRB + 1 + + + + + + + + HAS_BRESP + 1 + + + + + + + + HAS_RRESP + 1 + + + + + + + + SUPPORTS_NARROW_BURST + 1 + + + + + + + + NUM_READ_OUTSTANDING + 2 + + + + + + + + NUM_WRITE_OUTSTANDING + 2 + + + + + + + + MAX_BURST_LENGTH + 256 + + + + + + + + PHASE + 0.000 + + + + + + + + CLK_DOMAIN + axi_intercon_4x64_128_bd_S00_ACLK + + + + + + + + + + S03_AXI + + + + + + DATA_WIDTH + 64 + + + + + + + + PROTOCOL + AXI4 + + + + + + + + FREQ_HZ + 350000000 + + + + + + + + ID_WIDTH + 1 + + + + + + + + ADDR_WIDTH + 32 + + + + + + + + AWUSER_WIDTH + 0 + + + + + + + + ARUSER_WIDTH + 0 + + + + + + + + WUSER_WIDTH + 0 + + + + + + + + RUSER_WIDTH + 0 + + + + + + + + BUSER_WIDTH + 0 + + + + + + + + READ_WRITE_MODE + READ_WRITE + + + + + + + + HAS_BURST + 1 + + + + + + + + HAS_LOCK + 1 + + + + + + + + HAS_PROT + 1 + + + + + + + + HAS_CACHE + 1 + + + + + + + + HAS_QOS + 1 + + + + + + + + HAS_REGION + 1 + + + + + + + + HAS_WSTRB + 1 + + + + + + + + HAS_BRESP + 1 + + + + + + + + HAS_RRESP + 1 + + + + + + + + SUPPORTS_NARROW_BURST + 1 + + + + + + + + NUM_READ_OUTSTANDING + 2 + + + + + + + + NUM_WRITE_OUTSTANDING + 2 + + + + + + + + MAX_BURST_LENGTH + 256 + + + + + + + + PHASE + 0.000 + + + + + + + + CLK_DOMAIN + axi_intercon_4x64_128_bd_S00_ACLK + + + + + + + + + + CLK.M00_AXI_ACLK + Clk + Clock + + + + + + + CLK + + + M00_AXI_ACLK + + + + + + FREQ_HZ + 200000000 + + + + + + + + PHASE + 0.000 + + + + + + + + CLK_DOMAIN + axi_intercon_4x64_128_bd_M00_ACLK + + + + + + + + ASSOCIATED_BUSIF + M00_AXI + + + + + + + + ASSOCIATED_RESET + M00_AXI_ARESETN + + + + + + + + + + RST.M00_AXI_ARESETN + Reset + Reset + + + + + + + RST + + + M00_AXI_ARESETN + + + + + + POLARITY + ACTIVE_LOW + + + + + + + + + + CLK.S00_AXI_ACLK + Clk + Clock + + + + + + + CLK + + + S00_AXI_ACLK + + + + + + FREQ_HZ + 350000000 + + + + + + + + PHASE + 0.000 + + + + + + + + CLK_DOMAIN + axi_intercon_4x64_128_bd_S00_ACLK + + + + + + + + ASSOCIATED_BUSIF + S00_AXI + + + + + + + + ASSOCIATED_RESET + S00_AXI_ARESETN + + + + + + + + + + RST.S00_AXI_ARESETN + Reset + Reset + + + + + + + RST + + + S00_AXI_ARESETN + + + + + + POLARITY + ACTIVE_LOW + + + + + + + + + + CLK.S01_AXI_ACLK + Clk + Clock + + + + + + + CLK + + + S01_AXI_ACLK + + + + + + FREQ_HZ + 350000000 + + + + + + + + PHASE + 0.000 + + + + + + + + CLK_DOMAIN + axi_intercon_4x64_128_bd_S01_ACLK + + + + + + + + ASSOCIATED_BUSIF + S01_AXI + + + + + + + + ASSOCIATED_RESET + S01_AXI_ARESETN + + + + + + + + + + RST.S01_AXI_ARESETN + Reset + Reset + + + + + + + RST + + + S01_AXI_ARESETN + + + + + + POLARITY + ACTIVE_LOW + + + + + + + + + + CLK.S02_AXI_ACLK + Clk + Clock + + + + + + + CLK + + + S02_AXI_ACLK + + + + + + FREQ_HZ + 350000000 + + + + + + + + PHASE + 0.000 + + + + + + + + CLK_DOMAIN + axi_intercon_4x64_128_bd_S00_ACLK + + + + + + + + ASSOCIATED_BUSIF + S02_AXI + + + + + + + + ASSOCIATED_RESET + S00_AXI_ARESETN:S02_AXI_ARESETN + + + + + + + + + + RST.S02_AXI_ARESETN + Reset + Reset + + + + + + + RST + + + S02_AXI_ARESETN + + + + + + POLARITY + ACTIVE_LOW + + + + + + + + + + CLK.S03_AXI_ACLK + Clk + Clock + + + + + + + CLK + + + S03_AXI_ACLK + + + + + + FREQ_HZ + 350000000 + + + + + + + + PHASE + 0.000 + + + + + + + + CLK_DOMAIN + axi_intercon_4x64_128_bd_S00_ACLK + + + + + + + + ASSOCIATED_BUSIF + S02_AXI:S03_AXI + + + + + + + + ASSOCIATED_RESET + S00_AXI_ARESETN:S02_AXI_ARESETN:S03_AXI_ARESETN + + + + + + + + + + RST.S03_AXI_ARESETN + Reset + Reset + + + + + + + RST + + + S03_AXI_ARESETN + + + + + + POLARITY + ACTIVE_LOW + + + + + + + + + + + + + BlockDiagram + :vivado.xilinx.com: + + + + + + M00_AXI_ACLK + + in + + + + M00_AXI_ARESETN + + in + + + + S00_AXI_ACLK + + in + + + + S00_AXI_ARESETN + + in + + + + S01_AXI_ACLK + + in + + + + S01_AXI_ARESETN + + in + + + + S02_AXI_ACLK + + in + + + + S02_AXI_ARESETN + + in + + + + S03_AXI_ACLK + + in + + + + S03_AXI_ARESETN + + in + + + + + + + S00_AXI + 4G + 32 + + + SEG_M00_AXI_Reg + /M00_AXI/Reg + 0x00000000 + 1G + + + + + S01_AXI + 4G + 32 + + + SEG_M00_AXI_Reg + /M00_AXI/Reg + 0x00000000 + 1G + + + + + S02_AXI + 4G + 32 + + + SEG_M00_AXI_Reg + /M00_AXI/Reg + 0x00000000 + 1G + + + + + S03_AXI + 4G + 32 + + + SEG_M00_AXI_Reg + /M00_AXI/Reg + 0x00000000 + 1G + + + + + + + M00_AXI + + Reg + 0 + 64K + 32 + register + + + + + + + xilinx.com + BlockDiagram + axi_intercon_4x64_256_bd_imp + 1.00.a + + + m00_rs + + + axi_intercon_4x64_256_bd_m00_rs_0 + 4 + 1 + 1 + 1 + + + + s00_rs + + + axi_intercon_4x64_256_bd_s00_rs_0 + 1 + 1 + 1 + 1 + 1 + + + + s00_width_conv + + + axi_intercon_4x64_256_bd_s00_width_conv_0 + 64 + 256 + 2 + 1 + 2 + + + + s01_rs + + + axi_intercon_4x64_256_bd_s01_rs_0 + 1 + 1 + 1 + 1 + 1 + + + + s01_width_conv + + + axi_intercon_4x64_256_bd_s01_width_conv_0 + 64 + 256 + 2 + 1 + 2 + + + + xbar + + + axi_intercon_4x64_256_bd_xbar_0 + 4 + 1 + 2 + 256 + SAMD + 4 + 0 + 0x00000004 + 0x00000008 + 0x0000000c + 0x00000010 + 0x00000014 + 0x00000018 + 0x0000001c + 0x00000020 + 0x00000024 + 0x00000028 + 0x0000002c + 0x00000030 + 0x00000034 + 0x00000038 + 0x0000003c + + + + s01_rs_256 + + + axi_intercon_4x64_256_bd_s01_rs_256_0 + 1 + 1 + 1 + 1 + 1 + + + + s00_rs_256 + + + axi_intercon_4x64_256_bd_s00_rs_256_0 + 1 + 1 + 1 + 1 + 1 + + + + s02_rs + + + axi_intercon_4x64_256_bd_s02_rs_0 + 1 + 1 + 1 + 1 + 1 + + + + s03_rs + + + axi_intercon_4x64_256_bd_s03_rs_0 + 1 + 1 + 1 + 1 + 1 + + + + s02_width_conv + + + axi_intercon_4x64_256_bd_s02_width_conv_0 + 64 + 256 + 2 + 1 + 2 + + + + s03_width_conv + + + axi_intercon_4x64_256_bd_s03_width_conv_0 + 64 + 256 + 2 + 1 + 2 + + + + s03_rs_256 + + + axi_intercon_4x64_256_bd_s03_rs_256_0 + 1 + 1 + 1 + 1 + 1 + + + + s02_rs_256 + + + axi_intercon_4x64_256_bd_s02_rs_256_0 + 1 + 1 + 1 + 1 + 1 + + + + + + s00_rs_M_AXI + + + + + s01_rs_M_AXI + + + + + xbar_M00_AXI + + + + + s00_rs_256_M_AXI + + + + + s01_rs_256_M_AXI + + + + + s00_width_conv_M_AXI + + + + + s01_width_conv_M_AXI + + + + + s02_rs_M_AXI + + + + + s03_rs_M_AXI + + + + + s02_width_conv_M_AXI + + + + + s03_width_conv_M_AXI + + + + + s02_rs_256_M_AXI + + + + + s03_rs_256_M_AXI + + + + + + + M00_AXI_ACLK_1 + + + + + + + + + + + + + + M00_AXI_ARESETN_1 + + + + + + + + + + + + + + S00_AXI_ACLK_1 + + + + + + S00_AXI_ARESETN_1 + + + + + + S01_AXI_ACLK_1 + + + + + + S01_AXI_ARESETN_1 + + + + + + S02_AXI_ACLK_1 + + + + + + S02_AXI_ARESETN_1 + + + + + + S03_AXI_ACLK_1 + + + + + + S03_AXI_ARESETN_1 + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/e320/ip/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd.bxml b/fpga/usrp3/top/e320/ip/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd.bxml new file mode 100644 index 000000000..217597784 --- /dev/null +++ b/fpga/usrp3/top/e320/ip/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd.bxml @@ -0,0 +1,123 @@ + + + + Composite Fileset + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/e320/ip/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd_wrapper.v b/fpga/usrp3/top/e320/ip/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd_wrapper.v new file mode 100644 index 000000000..71e446f92 --- /dev/null +++ b/fpga/usrp3/top/e320/ip/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd_wrapper.v @@ -0,0 +1,419 @@ +//Copyright 1986-2015 Xilinx, Inc. All Rights Reserved. +`timescale 1 ps / 1 ps + +module axi_intercon_4x64_256_bd_wrapper ( + input M00_AXI_ACLK, + input M00_AXI_ARESETN, + output [31:0]M00_AXI_ARADDR, + output [1:0]M00_AXI_ARBURST, + output [3:0]M00_AXI_ARCACHE, + output [3:0]M00_AXI_ARID, + output [7:0]M00_AXI_ARLEN, + output [0:0]M00_AXI_ARLOCK, + output [2:0]M00_AXI_ARPROT, + output [3:0]M00_AXI_ARQOS, + input M00_AXI_ARREADY, + output [3:0]M00_AXI_ARREGION, + output [2:0]M00_AXI_ARSIZE, + output M00_AXI_ARVALID, + output [31:0]M00_AXI_AWADDR, + output [1:0]M00_AXI_AWBURST, + output [3:0]M00_AXI_AWCACHE, + output [3:0]M00_AXI_AWID, + output [7:0]M00_AXI_AWLEN, + output [0:0]M00_AXI_AWLOCK, + output [2:0]M00_AXI_AWPROT, + output [3:0]M00_AXI_AWQOS, + input M00_AXI_AWREADY, + output [3:0]M00_AXI_AWREGION, + output [2:0]M00_AXI_AWSIZE, + output M00_AXI_AWVALID, + input [3:0]M00_AXI_BID, + output M00_AXI_BREADY, + input [1:0]M00_AXI_BRESP, + input M00_AXI_BVALID, + input [255:0]M00_AXI_RDATA, + input [3:0]M00_AXI_RID, + input M00_AXI_RLAST, + output M00_AXI_RREADY, + input [1:0]M00_AXI_RRESP, + input M00_AXI_RVALID, + output [255:0]M00_AXI_WDATA, + output M00_AXI_WLAST, + input M00_AXI_WREADY, + output [31:0]M00_AXI_WSTRB, + output M00_AXI_WVALID, + input S00_AXI_ACLK, + input S00_AXI_ARESETN, + input [31:0]S00_AXI_ARADDR, + input [1:0]S00_AXI_ARBURST, + input [3:0]S00_AXI_ARCACHE, + input [0:0]S00_AXI_ARID, + input [7:0]S00_AXI_ARLEN, + input [0:0]S00_AXI_ARLOCK, + input [2:0]S00_AXI_ARPROT, + input [3:0]S00_AXI_ARQOS, + output S00_AXI_ARREADY, + input [3:0]S00_AXI_ARREGION, + input [2:0]S00_AXI_ARSIZE, + input S00_AXI_ARVALID, + input [31:0]S00_AXI_AWADDR, + input [1:0]S00_AXI_AWBURST, + input [3:0]S00_AXI_AWCACHE, + input [0:0]S00_AXI_AWID, + input [7:0]S00_AXI_AWLEN, + input [0:0]S00_AXI_AWLOCK, + input [2:0]S00_AXI_AWPROT, + input [3:0]S00_AXI_AWQOS, + output S00_AXI_AWREADY, + input [3:0]S00_AXI_AWREGION, + input [2:0]S00_AXI_AWSIZE, + input S00_AXI_AWVALID, + output [0:0]S00_AXI_BID, + input S00_AXI_BREADY, + output [1:0]S00_AXI_BRESP, + output S00_AXI_BVALID, + output [63:0]S00_AXI_RDATA, + output [0:0]S00_AXI_RID, + output S00_AXI_RLAST, + input S00_AXI_RREADY, + output [1:0]S00_AXI_RRESP, + output S00_AXI_RVALID, + input [63:0]S00_AXI_WDATA, + input S00_AXI_WLAST, + output S00_AXI_WREADY, + input [7:0]S00_AXI_WSTRB, + input S00_AXI_WVALID, + input S01_AXI_ACLK, + input S01_AXI_ARESETN, + input [31:0]S01_AXI_ARADDR, + input [1:0]S01_AXI_ARBURST, + input [3:0]S01_AXI_ARCACHE, + input [0:0]S01_AXI_ARID, + input [7:0]S01_AXI_ARLEN, + input [0:0]S01_AXI_ARLOCK, + input [2:0]S01_AXI_ARPROT, + input [3:0]S01_AXI_ARQOS, + output S01_AXI_ARREADY, + input [3:0]S01_AXI_ARREGION, + input [2:0]S01_AXI_ARSIZE, + input S01_AXI_ARVALID, + input [31:0]S01_AXI_AWADDR, + input [1:0]S01_AXI_AWBURST, + input [3:0]S01_AXI_AWCACHE, + input [0:0]S01_AXI_AWID, + input [7:0]S01_AXI_AWLEN, + input [0:0]S01_AXI_AWLOCK, + input [2:0]S01_AXI_AWPROT, + input [3:0]S01_AXI_AWQOS, + output S01_AXI_AWREADY, + input [3:0]S01_AXI_AWREGION, + input [2:0]S01_AXI_AWSIZE, + input S01_AXI_AWVALID, + output [0:0]S01_AXI_BID, + input S01_AXI_BREADY, + output [1:0]S01_AXI_BRESP, + output S01_AXI_BVALID, + output [63:0]S01_AXI_RDATA, + output [0:0]S01_AXI_RID, + output S01_AXI_RLAST, + input S01_AXI_RREADY, + output [1:0]S01_AXI_RRESP, + output S01_AXI_RVALID, + input [63:0]S01_AXI_WDATA, + input S01_AXI_WLAST, + output S01_AXI_WREADY, + input [7:0]S01_AXI_WSTRB, + input S01_AXI_WVALID, + input S02_AXI_ACLK, + input S02_AXI_ARESETN, + input [31:0]S02_AXI_ARADDR, + input [1:0]S02_AXI_ARBURST, + input [3:0]S02_AXI_ARCACHE, + input [0:0]S02_AXI_ARID, + input [7:0]S02_AXI_ARLEN, + input [0:0]S02_AXI_ARLOCK, + input [2:0]S02_AXI_ARPROT, + input [3:0]S02_AXI_ARQOS, + output S02_AXI_ARREADY, + input [3:0]S02_AXI_ARREGION, + input [2:0]S02_AXI_ARSIZE, + input S02_AXI_ARVALID, + input [31:0]S02_AXI_AWADDR, + input [1:0]S02_AXI_AWBURST, + input [3:0]S02_AXI_AWCACHE, + input [0:0]S02_AXI_AWID, + input [7:0]S02_AXI_AWLEN, + input [0:0]S02_AXI_AWLOCK, + input [2:0]S02_AXI_AWPROT, + input [3:0]S02_AXI_AWQOS, + output S02_AXI_AWREADY, + input [3:0]S02_AXI_AWREGION, + input [2:0]S02_AXI_AWSIZE, + input S02_AXI_AWVALID, + output [0:0]S02_AXI_BID, + input S02_AXI_BREADY, + output [1:0]S02_AXI_BRESP, + output S02_AXI_BVALID, + output [63:0]S02_AXI_RDATA, + output [0:0]S02_AXI_RID, + output S02_AXI_RLAST, + input S02_AXI_RREADY, + output [1:0]S02_AXI_RRESP, + output S02_AXI_RVALID, + input [63:0]S02_AXI_WDATA, + input S02_AXI_WLAST, + output S02_AXI_WREADY, + input [7:0]S02_AXI_WSTRB, + input S02_AXI_WVALID, + input S03_AXI_ACLK, + input S03_AXI_ARESETN, + input [31:0]S03_AXI_ARADDR, + input [1:0]S03_AXI_ARBURST, + input [3:0]S03_AXI_ARCACHE, + input [0:0]S03_AXI_ARID, + input [7:0]S03_AXI_ARLEN, + input [0:0]S03_AXI_ARLOCK, + input [2:0]S03_AXI_ARPROT, + input [3:0]S03_AXI_ARQOS, + output S03_AXI_ARREADY, + input [3:0]S03_AXI_ARREGION, + input [2:0]S03_AXI_ARSIZE, + input S03_AXI_ARVALID, + input [31:0]S03_AXI_AWADDR, + input [1:0]S03_AXI_AWBURST, + input [3:0]S03_AXI_AWCACHE, + input [0:0]S03_AXI_AWID, + input [7:0]S03_AXI_AWLEN, + input [0:0]S03_AXI_AWLOCK, + input [2:0]S03_AXI_AWPROT, + input [3:0]S03_AXI_AWQOS, + output S03_AXI_AWREADY, + input [3:0]S03_AXI_AWREGION, + input [2:0]S03_AXI_AWSIZE, + input S03_AXI_AWVALID, + output [0:0]S03_AXI_BID, + input S03_AXI_BREADY, + output [1:0]S03_AXI_BRESP, + output S03_AXI_BVALID, + output [63:0]S03_AXI_RDATA, + output [0:0]S03_AXI_RID, + output S03_AXI_RLAST, + input S03_AXI_RREADY, + output [1:0]S03_AXI_RRESP, + output S03_AXI_RVALID, + input [63:0]S03_AXI_WDATA, + input S03_AXI_WLAST, + output S03_AXI_WREADY, + input [7:0]S03_AXI_WSTRB, + input S03_AXI_WVALID +); + + axi_intercon_4x64_256_bd axi_intercon_4x64_256_bd_i ( + .M00_AXI_ACLK(M00_AXI_ACLK), + .M00_AXI_ARESETN(M00_AXI_ARESETN), + .M00_AXI_araddr(M00_AXI_ARADDR), + .M00_AXI_arburst(M00_AXI_ARBURST), + .M00_AXI_arcache(M00_AXI_ARCACHE), + .M00_AXI_arid(M00_AXI_ARID), + .M00_AXI_arlen(M00_AXI_ARLEN), + .M00_AXI_arlock(M00_AXI_ARLOCK), + .M00_AXI_arprot(M00_AXI_ARPROT), + .M00_AXI_arqos(M00_AXI_ARQOS), + .M00_AXI_arready(M00_AXI_ARREADY), + .M00_AXI_arregion(M00_AXI_ARREGION), + .M00_AXI_arsize(M00_AXI_ARSIZE), + .M00_AXI_arvalid(M00_AXI_ARVALID), + .M00_AXI_awaddr(M00_AXI_AWADDR), + .M00_AXI_awburst(M00_AXI_AWBURST), + .M00_AXI_awcache(M00_AXI_AWCACHE), + .M00_AXI_awid(M00_AXI_AWID), + .M00_AXI_awlen(M00_AXI_AWLEN), + .M00_AXI_awlock(M00_AXI_AWLOCK), + .M00_AXI_awprot(M00_AXI_AWPROT), + .M00_AXI_awqos(M00_AXI_AWQOS), + .M00_AXI_awready(M00_AXI_AWREADY), + .M00_AXI_awregion(M00_AXI_AWREGION), + .M00_AXI_awsize(M00_AXI_AWSIZE), + .M00_AXI_awvalid(M00_AXI_AWVALID), + .M00_AXI_bid(M00_AXI_BID), + .M00_AXI_bready(M00_AXI_BREADY), + .M00_AXI_bresp(M00_AXI_BRESP), + .M00_AXI_bvalid(M00_AXI_BVALID), + .M00_AXI_rdata(M00_AXI_RDATA), + .M00_AXI_rid(M00_AXI_RID), + .M00_AXI_rlast(M00_AXI_RLAST), + .M00_AXI_rready(M00_AXI_RREADY), + .M00_AXI_rresp(M00_AXI_RRESP), + .M00_AXI_rvalid(M00_AXI_RVALID), + .M00_AXI_wdata(M00_AXI_WDATA), + .M00_AXI_wlast(M00_AXI_WLAST), + .M00_AXI_wready(M00_AXI_WREADY), + .M00_AXI_wstrb(M00_AXI_WSTRB), + .M00_AXI_wvalid(M00_AXI_WVALID), + .S00_AXI_ACLK(S00_AXI_ACLK), + .S00_AXI_ARESETN(S00_AXI_ARESETN), + .S00_AXI_araddr(S00_AXI_ARADDR), + .S00_AXI_arburst(S00_AXI_ARBURST), + .S00_AXI_arcache(S00_AXI_ARCACHE), + .S00_AXI_arid(S00_AXI_ARID), + .S00_AXI_arlen(S00_AXI_ARLEN), + .S00_AXI_arlock(S00_AXI_ARLOCK), + .S00_AXI_arprot(S00_AXI_ARPROT), + .S00_AXI_arqos(S00_AXI_ARQOS), + .S00_AXI_arready(S00_AXI_ARREADY), + .S00_AXI_arregion(S00_AXI_ARREGION), + .S00_AXI_arsize(S00_AXI_ARSIZE), + .S00_AXI_arvalid(S00_AXI_ARVALID), + .S00_AXI_awaddr(S00_AXI_AWADDR), + .S00_AXI_awburst(S00_AXI_AWBURST), + .S00_AXI_awcache(S00_AXI_AWCACHE), + .S00_AXI_awid(S00_AXI_AWID), + .S00_AXI_awlen(S00_AXI_AWLEN), + .S00_AXI_awlock(S00_AXI_AWLOCK), + .S00_AXI_awprot(S00_AXI_AWPROT), + .S00_AXI_awqos(S00_AXI_AWQOS), + .S00_AXI_awready(S00_AXI_AWREADY), + .S00_AXI_awregion(S00_AXI_AWREGION), + .S00_AXI_awsize(S00_AXI_AWSIZE), + .S00_AXI_awvalid(S00_AXI_AWVALID), + .S00_AXI_bid(S00_AXI_BID), + .S00_AXI_bready(S00_AXI_BREADY), + .S00_AXI_bresp(S00_AXI_BRESP), + .S00_AXI_bvalid(S00_AXI_BVALID), + .S00_AXI_rdata(S00_AXI_RDATA), + .S00_AXI_rid(S00_AXI_RID), + .S00_AXI_rlast(S00_AXI_RLAST), + .S00_AXI_rready(S00_AXI_RREADY), + .S00_AXI_rresp(S00_AXI_RRESP), + .S00_AXI_rvalid(S00_AXI_RVALID), + .S00_AXI_wdata(S00_AXI_WDATA), + .S00_AXI_wlast(S00_AXI_WLAST), + .S00_AXI_wready(S00_AXI_WREADY), + .S00_AXI_wstrb(S00_AXI_WSTRB), + .S00_AXI_wvalid(S00_AXI_WVALID), + .S01_AXI_ACLK(S01_AXI_ACLK), + .S01_AXI_ARESETN(S01_AXI_ARESETN), + .S01_AXI_araddr(S01_AXI_ARADDR), + .S01_AXI_arburst(S01_AXI_ARBURST), + .S01_AXI_arcache(S01_AXI_ARCACHE), + .S01_AXI_arid(S01_AXI_ARID), + .S01_AXI_arlen(S01_AXI_ARLEN), + .S01_AXI_arlock(S01_AXI_ARLOCK), + .S01_AXI_arprot(S01_AXI_ARPROT), + .S01_AXI_arqos(S01_AXI_ARQOS), + .S01_AXI_arready(S01_AXI_ARREADY), + .S01_AXI_arregion(S01_AXI_ARREGION), + .S01_AXI_arsize(S01_AXI_ARSIZE), + .S01_AXI_arvalid(S01_AXI_ARVALID), + .S01_AXI_awaddr(S01_AXI_AWADDR), + .S01_AXI_awburst(S01_AXI_AWBURST), + .S01_AXI_awcache(S01_AXI_AWCACHE), + .S01_AXI_awid(S01_AXI_AWID), + .S01_AXI_awlen(S01_AXI_AWLEN), + .S01_AXI_awlock(S01_AXI_AWLOCK), + .S01_AXI_awprot(S01_AXI_AWPROT), + .S01_AXI_awqos(S01_AXI_AWQOS), + .S01_AXI_awready(S01_AXI_AWREADY), + .S01_AXI_awregion(S01_AXI_AWREGION), + .S01_AXI_awsize(S01_AXI_AWSIZE), + .S01_AXI_awvalid(S01_AXI_AWVALID), + .S01_AXI_bid(S01_AXI_BID), + .S01_AXI_bready(S01_AXI_BREADY), + .S01_AXI_bresp(S01_AXI_BRESP), + .S01_AXI_bvalid(S01_AXI_BVALID), + .S01_AXI_rdata(S01_AXI_RDATA), + .S01_AXI_rid(S01_AXI_RID), + .S01_AXI_rlast(S01_AXI_RLAST), + .S01_AXI_rready(S01_AXI_RREADY), + .S01_AXI_rresp(S01_AXI_RRESP), + .S01_AXI_rvalid(S01_AXI_RVALID), + .S01_AXI_wdata(S01_AXI_WDATA), + .S01_AXI_wlast(S01_AXI_WLAST), + .S01_AXI_wready(S01_AXI_WREADY), + .S01_AXI_wstrb(S01_AXI_WSTRB), + .S01_AXI_wvalid(S01_AXI_WVALID), + .S02_AXI_ACLK(S02_AXI_ACLK), + .S02_AXI_ARESETN(S02_AXI_ARESETN), + .S02_AXI_araddr(S02_AXI_ARADDR), + .S02_AXI_arburst(S02_AXI_ARBURST), + .S02_AXI_arcache(S02_AXI_ARCACHE), + .S02_AXI_arid(S02_AXI_ARID), + .S02_AXI_arlen(S02_AXI_ARLEN), + .S02_AXI_arlock(S02_AXI_ARLOCK), + .S02_AXI_arprot(S02_AXI_ARPROT), + .S02_AXI_arqos(S02_AXI_ARQOS), + .S02_AXI_arready(S02_AXI_ARREADY), + .S02_AXI_arregion(S02_AXI_ARREGION), + .S02_AXI_arsize(S02_AXI_ARSIZE), + .S02_AXI_arvalid(S02_AXI_ARVALID), + .S02_AXI_awaddr(S02_AXI_AWADDR), + .S02_AXI_awburst(S02_AXI_AWBURST), + .S02_AXI_awcache(S02_AXI_AWCACHE), + .S02_AXI_awid(S02_AXI_AWID), + .S02_AXI_awlen(S02_AXI_AWLEN), + .S02_AXI_awlock(S02_AXI_AWLOCK), + .S02_AXI_awprot(S02_AXI_AWPROT), + .S02_AXI_awqos(S02_AXI_AWQOS), + .S02_AXI_awready(S02_AXI_AWREADY), + .S02_AXI_awregion(S02_AXI_AWREGION), + .S02_AXI_awsize(S02_AXI_AWSIZE), + .S02_AXI_awvalid(S02_AXI_AWVALID), + .S02_AXI_bid(S02_AXI_BID), + .S02_AXI_bready(S02_AXI_BREADY), + .S02_AXI_bresp(S02_AXI_BRESP), + .S02_AXI_bvalid(S02_AXI_BVALID), + .S02_AXI_rdata(S02_AXI_RDATA), + .S02_AXI_rid(S02_AXI_RID), + .S02_AXI_rlast(S02_AXI_RLAST), + .S02_AXI_rready(S02_AXI_RREADY), + .S02_AXI_rresp(S02_AXI_RRESP), + .S02_AXI_rvalid(S02_AXI_RVALID), + .S02_AXI_wdata(S02_AXI_WDATA), + .S02_AXI_wlast(S02_AXI_WLAST), + .S02_AXI_wready(S02_AXI_WREADY), + .S02_AXI_wstrb(S02_AXI_WSTRB), + .S02_AXI_wvalid(S02_AXI_WVALID), + .S03_AXI_ACLK(S03_AXI_ACLK), + .S03_AXI_ARESETN(S03_AXI_ARESETN), + .S03_AXI_araddr(S03_AXI_ARADDR), + .S03_AXI_arburst(S03_AXI_ARBURST), + .S03_AXI_arcache(S03_AXI_ARCACHE), + .S03_AXI_arid(S03_AXI_ARID), + .S03_AXI_arlen(S03_AXI_ARLEN), + .S03_AXI_arlock(S03_AXI_ARLOCK), + .S03_AXI_arprot(S03_AXI_ARPROT), + .S03_AXI_arqos(S03_AXI_ARQOS), + .S03_AXI_arready(S03_AXI_ARREADY), + .S03_AXI_arregion(S03_AXI_ARREGION), + .S03_AXI_arsize(S03_AXI_ARSIZE), + .S03_AXI_arvalid(S03_AXI_ARVALID), + .S03_AXI_awaddr(S03_AXI_AWADDR), + .S03_AXI_awburst(S03_AXI_AWBURST), + .S03_AXI_awcache(S03_AXI_AWCACHE), + .S03_AXI_awid(S03_AXI_AWID), + .S03_AXI_awlen(S03_AXI_AWLEN), + .S03_AXI_awlock(S03_AXI_AWLOCK), + .S03_AXI_awprot(S03_AXI_AWPROT), + .S03_AXI_awqos(S03_AXI_AWQOS), + .S03_AXI_awready(S03_AXI_AWREADY), + .S03_AXI_awregion(S03_AXI_AWREGION), + .S03_AXI_awsize(S03_AXI_AWSIZE), + .S03_AXI_awvalid(S03_AXI_AWVALID), + .S03_AXI_bid(S03_AXI_BID), + .S03_AXI_bready(S03_AXI_BREADY), + .S03_AXI_bresp(S03_AXI_BRESP), + .S03_AXI_bvalid(S03_AXI_BVALID), + .S03_AXI_rdata(S03_AXI_RDATA), + .S03_AXI_rid(S03_AXI_RID), + .S03_AXI_rlast(S03_AXI_RLAST), + .S03_AXI_rready(S03_AXI_RREADY), + .S03_AXI_rresp(S03_AXI_RRESP), + .S03_AXI_rvalid(S03_AXI_RVALID), + .S03_AXI_wdata(S03_AXI_WDATA), + .S03_AXI_wlast(S03_AXI_WLAST), + .S03_AXI_wready(S03_AXI_WREADY), + .S03_AXI_wstrb(S03_AXI_WSTRB), + .S03_AXI_wvalid(S03_AXI_WVALID) + ); +endmodule diff --git a/fpga/usrp3/top/e320/ip/ddr3_32bit/Makefile.inc b/fpga/usrp3/top/e320/ip/ddr3_32bit/Makefile.inc new file mode 100644 index 000000000..90b0be153 --- /dev/null +++ b/fpga/usrp3/top/e320/ip/ddr3_32bit/Makefile.inc @@ -0,0 +1,26 @@ +# +# Copyright 2014 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_DDR3_32BIT_SRCS = \ +$(IP_BUILD_DIR)/ddr3_32bit/ddr3_32bit.xci \ +$(IP_BUILD_DIR)/ddr3_32bit/ddr3_32bit/user_design/rtl/clocking/mig_7series_v4_2_tempmon.v + +IP_DDR3_32BIT_OUTS = $(addprefix $(IP_BUILD_DIR)/ddr3_32bit/, \ +ddr3_32bit.xci.out \ +ddr3_32bit/user_design/rtl/ddr3_32bit.v \ +ddr3_32bit/user_design/rtl/ddr3_32bit_mig.v \ +) + +IP_DDR3_32BIT_SIM_OUTS = $(addprefix $(IP_BUILD_DIR)/ddr3_32bit/, \ +ddr3_32bit/example_design/sim/ddr3_model.sv \ +ddr3_32bit/example_design/sim/ddr3_model_parameters.vh \ +) + + +$(IP_DDR3_32BIT_SRCS) $(IP_DDR3_32BIT_OUTS) : $(IP_DIR)/ddr3_32bit/ddr3_32bit.xci $(IP_DIR)/ddr3_32bit/mig_*.prj + cp -f $(IP_DIR)/ddr3_32bit/mig_$(subst /,,$(PART_ID)).prj $(IP_DIR)/ddr3_32bit/mig_a.prj # Note: This won't allow parallel IP builds + $(call BUILD_VIVADO_IP,ddr3_32bit,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) + rm -f $(IP_DIR)/ddr3_32bit/mig_a.prj diff --git a/fpga/usrp3/top/e320/ip/ddr3_32bit/ddr3_32bit.xci b/fpga/usrp3/top/e320/ip/ddr3_32bit/ddr3_32bit.xci new file mode 100644 index 000000000..70d1ff5f1 --- /dev/null +++ b/fpga/usrp3/top/e320/ip/ddr3_32bit/ddr3_32bit.xci @@ -0,0 +1,2648 @@ + + + xilinx.com + xci + unknown + 1.0 + + + ddr3_32bit + + + 0 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + false + 100000000 + + + + 100000000 + 0 + 0.000 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 31 + 0 + 0 + 0 + + 256 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 4 + 0 + 256 + 2 + 1 + 2 + 1 + 0.000 + AXI4 + READ_WRITE + 0 + 0 + 1 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 0 + 31 + 32 + 32 + 4 + 1048576 + 256 + 4 + 2147483648 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 30 + 3 + 1 + 1 + 1 + 32 + OFF + 4 + 2 + 4 + 32 + 1 + OFF + 16 + 1 + 1 + 1 + 4 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 166666667 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 666 + 1 + 0.000 + ACTIVE_HIGH + 29 + 1 + 8 + 18 + OFF + 1 + NOBUF + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + EXTERNAL + FALSE + 1 + Custom + ddr3_32bit + Custom + Custom + mig_a.prj + zynq + + + xc7z045 + ffg900 + VERILOG + + MIXED + -3 + + + TRUE + TRUE + IP_Flow + 1 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/e320/ip/ddr3_32bit/mig_xc7z045ffg900-3.prj b/fpga/usrp3/top/e320/ip/ddr3_32bit/mig_xc7z045ffg900-3.prj new file mode 100644 index 000000000..eebc03e4c --- /dev/null +++ b/fpga/usrp3/top/e320/ip/ddr3_32bit/mig_xc7z045ffg900-3.prj @@ -0,0 +1,161 @@ + + + + ddr3_32bit + 1 + 1 + OFF + 1024 + ON + Disabled + xc7z045-ffg900/-3 + 4.0 + Differential + No Buffer + ACTIVE HIGH + FALSE + 0 + 50 Ohms + 0 + + DDR3_SDRAM/Components/MT41K512M8XX-125 + 1500 + 1.8V + 4:1 + 133.333 + 0 + 666 + 1.000 + 1 + 1 + 1 + 1 + 32 + 1 + 1 + Disabled + Normal + 4 + TRUE + MT41K512M16XX-125 + 16 + 10 + 3 + 1.5V + ROW_BANK_COLUMN + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 8 - Fixed + Sequential + 9 + Normal + No + Slow Exit + Enable + RZQ/7 + Disable + Enable + RZQ/6 + 0 + Disabled + Enabled + Output Buffer Enabled + Full Array + 7 + Enabled + Normal + Dynamic ODT off + AXI + + ROUND_ROBIN + 31 + 256 + 4 + 1 + + + + diff --git a/fpga/usrp3/top/e320/ip/e320_ps_bd/Makefile.inc b/fpga/usrp3/top/e320/ip/e320_ps_bd/Makefile.inc new file mode 100644 index 000000000..23db0e8e8 --- /dev/null +++ b/fpga/usrp3/top/e320/ip/e320_ps_bd/Makefile.inc @@ -0,0 +1,35 @@ +# +# Copyright 2018 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak +include $(LIB_DIR)/rfnoc/utils/Makefile.srcs + +IP_E320_PS_ORIG_SRCS = $(addprefix $(IP_DIR)/e320_ps_bd/, \ +e320_ps_bd.tcl \ +chdr_dma_rx.tcl \ +chdr_dma_tx.tcl \ +chdr_dma_frame_size.tcl \ +chdr_dma_top.tcl \ +) + +IP_E320_PS_BDTCL_SRCS = $(addprefix $(IP_BUILD_DIR)/e320_ps_bd/, \ +e320_ps_bd.tcl \ +chdr_dma_rx.tcl \ +chdr_dma_tx.tcl \ +chdr_dma_frame_size.tcl \ +chdr_dma_top.tcl \ +) + +IP_E320_PS_HDL_SRCS = $(RFNOC_UTIL_SRCS) + +IP_E320_PS_BD_SRCS = $(IP_BUILD_DIR)/e320_ps_bd/e320_ps_bd/e320_ps_bd.bd + +BD_E320_PS_BD_OUTS = $(addprefix $(IP_BUILD_DIR)/e320_ps_bd/, \ +e320_ps_bd.bd.out \ +e320_ps_bd/e320_ps_bd_ooc.xdc \ +) + +$(IP_E320_PS_BD_SRCS) $(BD_E320_PS_BD_OUTS) $(IP_E320_PS_BDTCL_SRCS): $(IP_E320_PS_ORIG_SRCS) $(IP_E320_PS_HDL_SRCS) + $(call BUILD_VIVADO_BDTCL,e320_ps_bd,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),$(LIB_DIR)/vivado_ipi,$(IP_E320_PS_HDL_SRCS)) + diff --git a/fpga/usrp3/top/e320/ip/e320_ps_bd/chdr_dma_frame_size.tcl b/fpga/usrp3/top/e320/ip/e320_ps_bd/chdr_dma_frame_size.tcl new file mode 100644 index 000000000..387f67d9c --- /dev/null +++ b/fpga/usrp3/top/e320/ip/e320_ps_bd/chdr_dma_frame_size.tcl @@ -0,0 +1,59 @@ +# Hierarchical cell: mtu +proc create_hier_cell_mtu { parentCell nameHier numPorts } { + + if { $parentCell eq "" || $nameHier eq "" } { + puts "ERROR: create_hier_cell_mtu() - Empty argument(s)!" + return + } + + # Get object for parentCell + set parentObj [get_bd_cells $parentCell] + if { $parentObj == "" } { + puts "ERROR: Unable to find parent cell <$parentCell>!" + return + } + + # Make sure parentObj is hier blk + set parentType [get_property TYPE $parentObj] + if { $parentType ne "hier" } { + puts "ERROR: Parent <$parentObj> has TYPE = <$parentType>. Expected to be ." + return + } + + # Save current instance; Restore later + set oldCurInst [current_bd_instance .] + + # Set parent object as current + current_bd_instance $parentObj + + # Create cell and set as current instance + set hier_obj [create_bd_cell -type hier $nameHier] + current_bd_instance $hier_obj + + # Create cells and wire everything up + create_bd_pin -dir I -from [expr $numPorts * 32 - 1] -to 0 mtu_regs + connect_bd_net -net mtu_regs_1 [get_bd_pins mtu_regs] + # BUG: Vivado 2015.4 does not connect nets the first time with just the driver + connect_bd_net -quiet -net mtu_regs_1 [get_bd_pins mtu_regs] + + for {set i 0} {$i < $numPorts} {incr i} { + # Create instance: xlslice_0, and set properties + set xlslice [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlslice:1.0 xlslice_$i ] + set_property -dict [ list \ + CONFIG.DIN_FROM [expr $i * 32 + 15] \ + CONFIG.DIN_TO [expr $i * 32] \ + CONFIG.DIN_WIDTH [expr $numPorts * 32] \ + CONFIG.DOUT_WIDTH {16} \ + ] $xlslice + + connect_bd_net -net mtu_regs_1 [get_bd_pins $xlslice/Din] + + create_bd_pin -dir O -from 15 -to 0 mtu$i + connect_bd_net [get_bd_pins mtu$i] [get_bd_pins $xlslice/Dout] + } + + # Restore current instance + current_bd_instance $oldCurInst +} + + diff --git a/fpga/usrp3/top/e320/ip/e320_ps_bd/chdr_dma_rx.tcl b/fpga/usrp3/top/e320/ip/e320_ps_bd/chdr_dma_rx.tcl new file mode 100644 index 000000000..500771071 --- /dev/null +++ b/fpga/usrp3/top/e320/ip/e320_ps_bd/chdr_dma_rx.tcl @@ -0,0 +1,339 @@ +set scriptDir [file dirname [info script]] + +source "$scriptDir/chdr_dma_frame_size.tcl" + +proc create_hier_cell_rx_dma_channel { parentCell nameHier } { + + if { $parentCell eq "" || $nameHier eq "" } { + puts "ERROR: create_hier_cell_dma() - Empty argument(s)!" + return + } + + # Get object for parentCell + set parentObj [get_bd_cells $parentCell] + if { $parentObj == "" } { + puts "ERROR: Unable to find parent cell <$parentCell>!" + return + } + + # Make sure parentObj is hier blk + set parentType [get_property TYPE $parentObj] + if { $parentType ne "hier" } { + puts "ERROR: Parent <$parentObj> has TYPE = <$parentType>. Expected to be ." + return + } + + # Save current instance; Restore later + set oldCurInst [current_bd_instance .] + + # Set parent object as current + current_bd_instance $parentObj + + # Create cell and set as current instance + set hier_obj [create_bd_cell -type hier $nameHier] + current_bd_instance $hier_obj + + ######################### + # Pin list + ######################### + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 S_AXIS + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_dest_axi + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi + + create_bd_pin -dir I -from 15 -to 0 frame_size + create_bd_pin -dir O -type intr irq + create_bd_pin -dir I -type rst m_dest_axi_aresetn + create_bd_pin -dir I -type clk s_axi_aclk + create_bd_pin -dir I -type rst s_axi_aresetn + create_bd_pin -dir I -type clk s_axis_aclk + + ######################### + # Instantiate IPs + ######################### + set reset_inv [ create_bd_cell -type ip -vlnv xilinx.com:ip:util_vector_logic:2.0 reset_inv ] + set_property -dict [ list \ + CONFIG.C_SIZE {1} \ + CONFIG.C_OPERATION {not} \ + ] $reset_inv + + set chdr_padder [ create_bd_cell -type module -reference chdr_pad_packet chdr_padder ] + set_property -dict [ list \ + CONFIG.CHDR_W {64} \ + ] $chdr_padder + set_property CONFIG.POLARITY ACTIVE_HIGH [get_bd_pins chdr_padder/rst] + + set axi_rx_dmac [ create_bd_cell -type ip -vlnv analog.com:user:axi_dmac:1.0 axi_rx_dmac ] + set_property -dict [ list \ + CONFIG.ASYNC_CLK_DEST_REQ {true} \ + CONFIG.ASYNC_CLK_REQ_SRC {true} \ + CONFIG.ASYNC_CLK_SRC_DEST {false} \ + CONFIG.DMA_AXI_PROTOCOL_DEST {1} \ + CONFIG.DMA_TYPE_SRC {1} \ + CONFIG.SYNC_TRANSFER_START {false} \ + ] $axi_rx_dmac + + ######################### + # Wiring + ######################### + + # Top-level connections + connect_bd_net -net aclk_1 \ + [get_bd_pins s_axis_aclk] \ + [get_bd_pins chdr_padder/clk] \ + [get_bd_pins axi_rx_dmac/m_dest_axi_aclk] \ + [get_bd_pins axi_rx_dmac/s_axis_aclk] + connect_bd_net -net aresetn_1 \ + [get_bd_pins m_dest_axi_aresetn] \ + [get_bd_pins reset_inv/Op1] \ + [get_bd_pins axi_rx_dmac/m_dest_axi_aresetn] + connect_bd_net -net areset_1 \ + [get_bd_pins reset_inv/Res] \ + [get_bd_pins chdr_padder/rst] + connect_bd_net -net s_axi_aclk_1 \ + [get_bd_pins s_axi_aclk] \ + [get_bd_pins axi_rx_dmac/s_axi_aclk] + connect_bd_net -net s_axi_aresetn_1 \ + [get_bd_pins s_axi_aresetn] \ + [get_bd_pins axi_rx_dmac/s_axi_aresetn] + connect_bd_net -net axi_rx_dmac_irq \ + [get_bd_pins irq] \ + [get_bd_pins axi_rx_dmac/irq] + connect_bd_net -net mtu \ + [get_bd_pins frame_size] \ + [get_bd_pins chdr_padder/len] + + # Control and DMA ports + connect_bd_intf_net -intf_net axi_rx_dmac_s_axi \ + [get_bd_intf_pins s_axi] \ + [get_bd_intf_pins axi_rx_dmac/s_axi] + connect_bd_intf_net -intf_net axi_rx_dmac_m_dest_axi \ + [get_bd_intf_pins m_dest_axi] \ + [get_bd_intf_pins axi_rx_dmac/m_dest_axi] + + # AXI-Stream ports + connect_bd_intf_net -intf_net s_axis_dma \ + [get_bd_intf_pins S_AXIS] \ + [get_bd_intf_pins chdr_padder/s_axis] + connect_bd_intf_net -intf_net s_axis_dma_padded \ + [get_bd_intf_pins chdr_padder/m_axis] \ + [get_bd_intf_pins axi_rx_dmac/s_axis] + + # Restore current instance + current_bd_instance $oldCurInst +} + +# Hierarchical cell: rx +proc create_hier_cell_rx_dma { parentCell nameHier numPorts } { + + if { $parentCell eq "" || $nameHier eq "" || $numPorts eq "" } { + puts "ERROR: create_hier_cell_rx() - Empty argument(s)!" + return + } + + # Get object for parentCell + set parentObj [get_bd_cells $parentCell] + if { $parentObj == "" } { + puts "ERROR: Unable to find parent cell <$parentCell>!" + return + } + + if { $numPorts < 1 } { + puts "ERROR: numPorts invalid: $numPorts" + return + } + + # Make sure parentObj is hier blk + set parentType [get_property TYPE $parentObj] + if { $parentType ne "hier" } { + puts "ERROR: Parent <$parentObj> has TYPE = <$parentType>. Expected to be ." + return + } + + # Save current instance; Restore later + set oldCurInst [current_bd_instance .] + + # Set parent object as current + current_bd_instance $parentObj + + # Create cell and set as current instance + set hier_obj [create_bd_cell -type hier $nameHier] + current_bd_instance $hier_obj + + ######################### + # Pin list + ######################### + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 M_AXI_RX_DMA + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 S_AXIS_DMA + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_rx_dmac + + create_bd_pin -dir I bus_clk + create_bd_pin -dir I bus_rstn + create_bd_pin -dir I clk40 + create_bd_pin -dir I clk40_rstn + create_bd_pin -dir O -from [expr $numPorts - 1] -to 0 irq + create_bd_pin -dir I -from [expr $numPorts * 32 - 1] -to 0 mtu_regs + ######################### + # Instantiate IPs + ######################### + # For sharing one S_AXI_HP port across all RX DMA engines + set axi_crossbar_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_crossbar:2.1 axi_crossbar_0 ] + set_property -dict [ list \ + CONFIG.CONNECTIVITY_MODE {SASD} \ + CONFIG.NUM_MI {1} \ + CONFIG.NUM_SI $numPorts \ + CONFIG.R_REGISTER {1} \ + ] $axi_crossbar_0 + + # For fanning out AXI-Lite bus to all RX DMA engines + set axi_interconnect_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_interconnect_0 ] + set_property -dict [ list \ + CONFIG.NUM_MI $numPorts \ + ] $axi_interconnect_0 + + # Routes AXI-Stream to appropriate RX DMA engine + set axis_switch_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axis_switch:1.1 axis_switch_0 ] + set_property -dict [ list \ + CONFIG.DECODER_REG {1} \ + CONFIG.NUM_MI $numPorts \ + CONFIG.NUM_SI {1} \ + ] $axis_switch_0 + + # Cross domains from incoming AXI-Stream to RX DMA engines domain + # Note that the fifo_generator_0 is hard-coded to have 4 TDEST bits, so we + # are limited to 16 RX DMA channels + set fifo_generator_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:fifo_generator:13.2 fifo_generator_0 ] + set_property -dict [ list \ + CONFIG.Clock_Type_AXI {Independent_Clock} \ + CONFIG.Empty_Threshold_Assert_Value_axis {1021} \ + CONFIG.Empty_Threshold_Assert_Value_rach {13} \ + CONFIG.Empty_Threshold_Assert_Value_rdch {1021} \ + CONFIG.Empty_Threshold_Assert_Value_wach {13} \ + CONFIG.Empty_Threshold_Assert_Value_wdch {1021} \ + CONFIG.Empty_Threshold_Assert_Value_wrch {13} \ + CONFIG.Enable_TLAST {true} \ + CONFIG.FIFO_Implementation_axis {Independent_Clocks_Block_RAM} \ + CONFIG.FIFO_Implementation_rach {Independent_Clocks_Distributed_RAM} \ + CONFIG.FIFO_Implementation_rdch {Independent_Clocks_Block_RAM} \ + CONFIG.FIFO_Implementation_wach {Independent_Clocks_Distributed_RAM} \ + CONFIG.FIFO_Implementation_wdch {Independent_Clocks_Block_RAM} \ + CONFIG.FIFO_Implementation_wrch {Independent_Clocks_Distributed_RAM} \ + CONFIG.Full_Flags_Reset_Value {1} \ + CONFIG.Full_Threshold_Assert_Value_axis {1023} \ + CONFIG.Full_Threshold_Assert_Value_rach {15} \ + CONFIG.Full_Threshold_Assert_Value_wach {15} \ + CONFIG.Full_Threshold_Assert_Value_wrch {15} \ + CONFIG.HAS_TKEEP {false} \ + CONFIG.INTERFACE_TYPE {AXI_STREAM} \ + CONFIG.Input_Depth_axis {1024} \ + CONFIG.Reset_Type {Asynchronous_Reset} \ + CONFIG.TDATA_NUM_BYTES {8} \ + CONFIG.TDEST_WIDTH {4} \ + CONFIG.TKEEP_WIDTH {0} \ + CONFIG.TSTRB_WIDTH {8} \ + CONFIG.TUSER_WIDTH {0} \ + ] $fifo_generator_0 + + set rx_dmac_irq_concat [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconcat:2.1 rx_dmac_irq_concat ] + set_property -dict [ list \ + CONFIG.NUM_PORTS $numPorts \ + ] $rx_dmac_irq_concat + + create_hier_cell_mtu $hier_obj mtu $numPorts + + ######################### + # Wiring + ######################### + connect_bd_intf_net -intf_net S00_AXIS_1 \ + [get_bd_intf_pins S_AXIS_DMA] \ + [get_bd_intf_pins fifo_generator_0/S_AXIS] + + connect_bd_intf_net -intf_net axi_crossbar_0_M00_AXI \ + [get_bd_intf_pins M_AXI_RX_DMA] \ + [get_bd_intf_pins axi_crossbar_0/M00_AXI] + + connect_bd_intf_net -intf_net fifo_generator_0_M_AXIS \ + [get_bd_intf_pins axis_switch_0/S00_AXIS] \ + [get_bd_intf_pins fifo_generator_0/M_AXIS] + + connect_bd_intf_net -intf_net s_axi_rx_dmac_1 \ + [get_bd_intf_pins s_axi_rx_dmac] \ + [get_bd_intf_pins axi_interconnect_0/S00_AXI] + + connect_bd_net -net aresetn_1 \ + [get_bd_pins bus_rstn] \ + [get_bd_pins fifo_generator_0/s_aresetn] + connect_bd_net -net bus_clk \ + [get_bd_pins bus_clk] \ + [get_bd_pins fifo_generator_0/s_aclk] + + connect_bd_net -net clk40 \ + [get_bd_pins clk40] \ + [get_bd_pins axi_crossbar_0/aclk] \ + [get_bd_pins axi_interconnect_0/ACLK] \ + [get_bd_pins axi_interconnect_0/S00_ACLK] \ + [get_bd_pins axis_switch_0/aclk] \ + [get_bd_pins fifo_generator_0/m_aclk] + + connect_bd_net -net clk40_rstn \ + [get_bd_pins clk40_rstn] \ + [get_bd_pins axi_crossbar_0/aresetn] \ + [get_bd_pins axi_interconnect_0/ARESETN] \ + [get_bd_pins axi_interconnect_0/S00_ARESETN] \ + [get_bd_pins axis_switch_0/aresetn] + + connect_bd_net -net mtu_regs_1 \ + [get_bd_pins mtu_regs] \ + [get_bd_pins mtu/mtu_regs] + + connect_bd_net -net rx_dmac_irq_concat_dout \ + [get_bd_pins irq] \ + [get_bd_pins rx_dmac_irq_concat/dout] + + ######################### + # Per-port Section + ######################### + for {set i 0} {$i < $numPorts} {incr i} { + puts "Instantiating rx_dma port ${i}" + create_hier_cell_rx_dma_channel $hier_obj dma$i + + set_property -dict [ list \ + [format "CONFIG.S%02d_SINGLE_THREAD" ${i}] {1} \ + ] $axi_crossbar_0 + + connect_bd_intf_net -intf_net [format "axis_switch_0_M%02d_AXIS" ${i}] \ + [get_bd_intf_pins [format "axis_switch_0/M%02d_AXIS" ${i}]] \ + [get_bd_intf_pins dma${i}/S_AXIS] + + connect_bd_intf_net -intf_net [format "axi_interconnect_0_M%02d_AXI" ${i}] \ + [get_bd_intf_pins [format "axi_interconnect_0/M%02d_AXI" ${i}]] \ + [get_bd_intf_pins dma${i}/s_axi] + + connect_bd_intf_net -intf_net dma${i}_m_dest_axi \ + [get_bd_intf_pins [format "axi_crossbar_0/S%02d_AXI" ${i}]] \ + [get_bd_intf_pins dma${i}/m_dest_axi] + + connect_bd_net -net clk40 \ + [get_bd_pins [format "axi_interconnect_0/M%02d_ACLK" ${i}]] \ + [get_bd_pins dma${i}/s_axi_aclk] \ + [get_bd_pins dma${i}/s_axis_aclk] + + connect_bd_net -net clk40_rstn \ + [get_bd_pins [format "axi_interconnect_0/M%02d_ARESETN" ${i}]] \ + [get_bd_pins dma${i}/m_dest_axi_aresetn] \ + [get_bd_pins dma${i}/s_axi_aresetn] + + connect_bd_net -net dma${i}_irq \ + [get_bd_pins dma${i}/irq] \ + [get_bd_pins rx_dmac_irq_concat/In${i}] + + connect_bd_net -net frame_size_${i} \ + [get_bd_pins dma${i}/frame_size] \ + [get_bd_pins mtu/mtu${i}] + } + + # Restore current instance + current_bd_instance $oldCurInst +} + + + diff --git a/fpga/usrp3/top/e320/ip/e320_ps_bd/chdr_dma_top.tcl b/fpga/usrp3/top/e320/ip/e320_ps_bd/chdr_dma_top.tcl new file mode 100644 index 000000000..9a4e832aa --- /dev/null +++ b/fpga/usrp3/top/e320/ip/e320_ps_bd/chdr_dma_top.tcl @@ -0,0 +1,159 @@ +set scriptDir [file dirname [info script]] + +source "$scriptDir/chdr_dma_rx.tcl" +source "$scriptDir/chdr_dma_tx.tcl" + +# Hierarchical cell: dma +proc create_hier_cell_dma { parentCell nameHier numPorts } { + + if { $parentCell eq "" || $nameHier eq "" || $numPorts eq "" } { + puts "ERROR: create_hier_cell_dma() - Empty argument(s)!" + return + } + + # Get object for parentCell + set parentObj [get_bd_cells $parentCell] + if { $parentObj == "" } { + puts "ERROR: Unable to find parent cell <$parentCell>!" + return + } + + if { $numPorts < 2 } { + puts "ERROR: numPorts invalid: $numPorts" + return + } + + # Make sure parentObj is hier blk + set parentType [get_property TYPE $parentObj] + if { $parentType ne "hier" } { + puts "ERROR: Parent <$parentObj> has TYPE = <$parentType>. Expected to be ." + return + } + + # Save current instance; Restore later + set oldCurInst [current_bd_instance .] + + # Set parent object as current + current_bd_instance $parentObj + + # Create cell and set as current instance + set hier_obj [create_bd_cell -type hier $nameHier] + current_bd_instance $hier_obj + + ######################### + # Pin list + ######################### + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 m_axis_dma + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 M_AXI_RX_DMA + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 M_AXI_TX_DMA + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 s_axis_dma + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_rx_dmac + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_tx_dmac + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_regfile + + create_bd_pin -dir I bus_clk + create_bd_pin -dir I bus_rstn + create_bd_pin -dir I clk40 + create_bd_pin -dir I clk40_rstn + create_bd_pin -dir O rx_irq + create_bd_pin -dir O tx_irq + + ######################### + # Instantiate IPs + ######################### + # Create instance: rx + create_hier_cell_rx_dma $hier_obj rx $numPorts + + # Create instance: tx + create_hier_cell_tx_dma $hier_obj tx $numPorts + + # Used to set frame size of RX DMA engines + set axi_regfile_0 [ create_bd_cell -type ip -vlnv ettus.com:ip:axi_regfile:1.0 axi_regfile_0 ] + set_property -dict [ list \ +CONFIG.NUM_REGS $numPorts \ + ] $axi_regfile_0 + + set util_reduced_logic_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:util_reduced_logic:2.0 util_reduced_logic_0 ] + set_property -dict [ list \ +CONFIG.C_OPERATION {or} \ +CONFIG.C_SIZE $numPorts \ + ] $util_reduced_logic_0 + + set util_reduced_logic_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:util_reduced_logic:2.0 util_reduced_logic_1 ] + set_property -dict [ list \ +CONFIG.C_OPERATION {or} \ +CONFIG.C_SIZE $numPorts \ + ] $util_reduced_logic_1 + + ######################### + # Wiring + ######################### + # Clocks and resets + connect_bd_net -net bus_clk_1 \ + [get_bd_pins bus_clk] \ + [get_bd_pins rx/bus_clk] \ + [get_bd_pins tx/bus_clk] + connect_bd_net -net bus_rstn_1 \ + [get_bd_pins bus_rstn] \ + [get_bd_pins rx/bus_rstn] \ + [get_bd_pins tx/bus_rstn] + connect_bd_net -net clk40_1 \ + [get_bd_pins clk40] \ + [get_bd_pins rx/clk40] \ + [get_bd_pins tx/clk40] \ + [get_bd_pins axi_regfile_0/S_AXI_ACLK] + connect_bd_net -net clk40_rstn_1 \ + [get_bd_pins clk40_rstn] \ + [get_bd_pins axi_regfile_0/S_AXI_ARESETN] \ + [get_bd_pins rx/clk40_rstn] \ + [get_bd_pins tx/clk40_rstn] + + # AXI buses + connect_bd_intf_net -intf_net s_axi_rx_dmac_1 \ + [get_bd_intf_pins s_axi_rx_dmac] \ + [get_bd_intf_pins rx/s_axi_rx_dmac] + connect_bd_intf_net -intf_net rx_dma_M_AXI_RX_DMA \ + [get_bd_intf_pins M_AXI_RX_DMA] \ + [get_bd_intf_pins rx/M_AXI_RX_DMA] + connect_bd_intf_net -intf_net s_axi_tx_dmac_1 \ + [get_bd_intf_pins s_axi_tx_dmac] \ + [get_bd_intf_pins tx/s_axi_tx_dmac] + connect_bd_intf_net -intf_net tx_M_AXI_TX_DMA \ + [get_bd_intf_pins M_AXI_TX_DMA] \ + [get_bd_intf_pins tx/M_AXI_TX_DMA] + connect_bd_intf_net -intf_net s_axi_regfile_1 \ + [get_bd_intf_pins s_axi_regfile] \ + [get_bd_intf_pins axi_regfile_0/S_AXI] + + # RX CHDR + connect_bd_intf_net -intf_net s_axis_dma_1 \ + [get_bd_intf_pins s_axis_dma] \ + [get_bd_intf_pins rx/S_AXIS_DMA] + + # TX CHDR + connect_bd_intf_net -intf_net m_axis_dma_1 \ + [get_bd_intf_pins tx/M_AXIS_DMA] \ + [get_bd_intf_pins m_axis_dma] + + # IRQs and Frame Sizes + connect_bd_net -net frame_sizes \ + [get_bd_pins axi_regfile_0/regs] \ + [get_bd_pins rx/mtu_regs] + connect_bd_net -net rx_irq1 \ + [get_bd_pins rx/irq] \ + [get_bd_pins util_reduced_logic_0/Op1] + connect_bd_net -net tx_irq1 \ + [get_bd_pins tx/irq] \ + [get_bd_pins util_reduced_logic_1/Op1] + connect_bd_net -net util_reduced_logic_0_Res \ + [get_bd_pins rx_irq] \ + [get_bd_pins util_reduced_logic_0/Res] + connect_bd_net -net util_reduced_logic_1_Res \ + [get_bd_pins tx_irq] \ + [get_bd_pins util_reduced_logic_1/Res] + + # Restore current instance + current_bd_instance $oldCurInst +} + + diff --git a/fpga/usrp3/top/e320/ip/e320_ps_bd/chdr_dma_tx.tcl b/fpga/usrp3/top/e320/ip/e320_ps_bd/chdr_dma_tx.tcl new file mode 100644 index 000000000..e2d160f69 --- /dev/null +++ b/fpga/usrp3/top/e320/ip/e320_ps_bd/chdr_dma_tx.tcl @@ -0,0 +1,193 @@ +# Hierarchical cell: tx +proc create_hier_cell_tx_dma { parentCell nameHier numPorts } { + + if { $parentCell eq "" || $nameHier eq "" || $numPorts eq "" } { + puts "ERROR: create_hier_cell_tx() - Empty argument(s)!" + return + } + + # Get object for parentCell + set parentObj [get_bd_cells $parentCell] + if { $parentObj == "" } { + puts "ERROR: Unable to find parent cell <$parentCell>!" + return + } + + if { $numPorts < 1 } { + puts "ERROR: numPorts invalid: $numPorts" + return + } + + # Make sure parentObj is hier blk + set parentType [get_property TYPE $parentObj] + if { $parentType ne "hier" } { + puts "ERROR: Parent <$parentObj> has TYPE = <$parentType>. Expected to be ." + return + } + + # Save current instance; Restore later + set oldCurInst [current_bd_instance .] + + # Set parent object as current + current_bd_instance $parentObj + + # Create cell and set as current instance + set hier_obj [create_bd_cell -type hier $nameHier] + current_bd_instance $hier_obj + + ######################### + # Pin list + ######################### + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 M_AXIS_DMA + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 M_AXI_TX_DMA + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_tx_dmac + + create_bd_pin -dir I bus_clk + create_bd_pin -dir I bus_rstn + create_bd_pin -dir I clk40 + create_bd_pin -dir I clk40_rstn + create_bd_pin -dir O -from [expr $numPorts - 1] -to 0 irq + + ######################### + # Instantiate IPs + ######################### + set axi_interconnect_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_interconnect_0 ] + set_property -dict [ list \ + CONFIG.NUM_MI $numPorts \ + ] $axi_interconnect_0 + + set axi_crossbar_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_crossbar:2.1 axi_crossbar_0 ] + set_property -dict [ list \ + CONFIG.NUM_MI {1} \ + CONFIG.NUM_SI $numPorts + ] $axi_crossbar_0 + + set axis_interconnect_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axis_interconnect:2.1 axis_interconnect_0 ] + set_property -dict [ list \ + CONFIG.ARB_ON_TLAST {1} \ + CONFIG.ARB_ON_MAX_XFERS {0} \ + CONFIG.ENABLE_ADVANCED_OPTIONS {1} \ + CONFIG.M00_HAS_REGSLICE {1} \ + CONFIG.NUM_MI {1} \ + CONFIG.NUM_SI $numPorts \ + ] $axis_interconnect_0 + + set xlconcat_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconcat:2.1 xlconcat_0 ] + set_property -dict [ list \ + CONFIG.NUM_PORTS $numPorts \ + ] $xlconcat_0 + + set xlconstant_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconstant:1.1 xlconstant_0 ] + set_property -dict [ list \ +CONFIG.CONST_VAL {0} \ + ] $xlconstant_0 + + ######################### + # Wiring + ######################### + connect_bd_net -net bus_clk \ + [get_bd_pins bus_clk] \ + [get_bd_pins axis_interconnect_0/ACLK] \ + [get_bd_pins axis_interconnect_0/M00_AXIS_ACLK] + connect_bd_net -net bus_rstn \ + [get_bd_pins bus_rstn] \ + [get_bd_pins axis_interconnect_0/ARESETN] \ + [get_bd_pins axis_interconnect_0/M00_AXIS_ARESETN] + connect_bd_net -net clk40 \ + [get_bd_pins clk40] \ + [get_bd_pins axi_crossbar_0/aclk] \ + [get_bd_pins axi_interconnect_0/ACLK] \ + [get_bd_pins axi_interconnect_0/S00_ACLK] + connect_bd_net -net clk40_rstn \ + [get_bd_pins clk40_rstn] \ + [get_bd_pins axi_crossbar_0/aresetn] \ + [get_bd_pins axi_interconnect_0/ARESETN] \ + [get_bd_pins axi_interconnect_0/S00_ARESETN] + + connect_bd_net -net xlconstant_0_dout \ + [get_bd_pins xlconstant_0/dout] + connect_bd_net -net xlconcat_0_dout \ + [get_bd_pins irq] \ + [get_bd_pins xlconcat_0/dout] + + connect_bd_intf_net -intf_net M_AXI_TX_DMAC_1 \ + [get_bd_intf_pins s_axi_tx_dmac] \ + [get_bd_intf_pins axi_interconnect_0/S00_AXI] + connect_bd_intf_net -intf_net axi_crossbar_0_M00_AXI \ + [get_bd_intf_pins M_AXI_TX_DMA] \ + [get_bd_intf_pins axi_crossbar_0/M00_AXI] + connect_bd_intf_net -intf_net axis_interconnect_0_M00_AXIS \ + [get_bd_intf_pins M_AXIS_DMA] \ + [get_bd_intf_pins axis_interconnect_0/M00_AXIS] + + ######################### + # Per-port Section + ######################### + for {set i 0} {$i < $numPorts} {incr i} { + # Configure each port on axi_crossbar and axis_interconnect + puts "Creating TX dma port ${i}" + set_property [format "CONFIG.S%02d_SINGLE_THREAD" ${i}] {1} $axi_crossbar_0 + set_property -dict [ list \ + [format "CONFIG.S%02d_HAS_REGSLICE" ${i}] {1} \ + ] $axis_interconnect_0 + + set axi_tx_dmac [ create_bd_cell -type ip -vlnv analog.com:user:axi_dmac:1.0 axi_tx_dmac_$i ] + set_property -dict [ list \ + CONFIG.DMA_TYPE_DEST {1} \ + CONFIG.DMA_TYPE_SRC {0} \ + ] $axi_tx_dmac + + # Add a tuser signal indicating which DMA channel originated the packet + # Hard-coded to handle up to 16 DMA channels + # Convert i (in decimal) to 4-bit binary: + binary scan [binary format c ${i}] B* i_binary + set i_binary [string range ${i_binary} end-3 end] + + set tuser_appender [ create_bd_cell -type ip -vlnv xilinx.com:ip:axis_subset_converter:1.1 axis_subset_converter_${i} ] + set_property -dict [ list \ + CONFIG.M_TUSER_WIDTH.VALUE_SRC USER \ + ] $tuser_appender + set_property -dict [ list \ + CONFIG.M_TUSER_WIDTH {4} \ + CONFIG.TUSER_REMAP 4'b${i_binary} \ + ] $tuser_appender + + connect_bd_intf_net -intf_net [format "axis_subset_converter_%d_S_AXIS" ${i}] \ + [get_bd_intf_pins $axi_tx_dmac/m_axis] \ + [get_bd_intf_pins ${tuser_appender}/S_AXIS] + connect_bd_intf_net -intf_net [format "S%02d_AXIS_1" ${i}] \ + [get_bd_intf_pins ${tuser_appender}/M_AXIS] \ + [get_bd_intf_pins [format "axis_interconnect_0/S%02d_AXIS" ${i}]] + connect_bd_intf_net -intf_net axi_dmac_${i}_m_src_axi \ + [get_bd_intf_pins [format "axi_crossbar_0/S%02d_AXI" ${i}]] \ + [get_bd_intf_pins $axi_tx_dmac/m_src_axi] + connect_bd_intf_net -intf_net [format "axi_interconnect_0_M%02d_AXI" ${i}] \ + [get_bd_intf_pins [format "axi_interconnect_0/M%02d_AXI" ${i}]] \ + [get_bd_intf_pins $axi_tx_dmac/s_axi] + + connect_bd_net [get_bd_pins $axi_tx_dmac/irq] [get_bd_pins xlconcat_0/In${i}] + + connect_bd_net -net clk40 \ + [get_bd_pins [format "axi_interconnect_0/M%02d_ACLK" ${i}]]\ + [get_bd_pins $axi_tx_dmac/m_axis_aclk] \ + [get_bd_pins $axi_tx_dmac/m_src_axi_aclk] \ + [get_bd_pins $axi_tx_dmac/s_axi_aclk] \ + [get_bd_pins $tuser_appender/aclk] \ + [get_bd_pins [format "axis_interconnect_0/S%02d_AXIS_ACLK" ${i}]] + + connect_bd_net -net clk40_rstn \ + [get_bd_pins [format "axi_interconnect_0/M%02d_ARESETN" ${i}]] \ + [get_bd_pins $axi_tx_dmac/m_src_axi_aresetn] \ + [get_bd_pins $axi_tx_dmac/s_axi_aresetn] \ + [get_bd_pins $tuser_appender/aresetn] \ + [get_bd_pins [format "axis_interconnect_0/S%02d_AXIS_ARESETN" ${i}]] + + connect_bd_net -net xlconstant_0_dout \ + [get_bd_pins [format "axis_interconnect_0/S%02d_ARB_REQ_SUPPRESS" ${i}]] + } + + # Restore current instance + current_bd_instance $oldCurInst +} + + diff --git a/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.pdf b/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.pdf new file mode 100644 index 000000000..158c6caac --- /dev/null +++ b/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.pdf @@ -0,0 +1,7164 @@ +%PDF-1.4 +1 0 obj +<< + /Title (first) + /Author (sugandha) + /Producer (Concept Engineering GmbH) + /Creator (Nlview 6.6.11 2017-06-12 bk=1.3860 VDI=40 GEI=35) + /CreationDate (D:20180320170927) +>> +endobj +2 0 obj +<< + /Type /Catalog + /Pages 3 0 R + /Outlines 7 0 R + /PageMode /UseThumbs + /ViewerPreferences << /DisplayDocTitle true >> +>> +endobj +4 0 obj +<< + /Type /Font + /Subtype /Type1 + /Name /F1 + /BaseFont /Helvetica + /Encoding /MacRomanEncoding +>> +endobj +5 0 obj +<< + /ExtGState 6 0 R + /Font << /F1 4 0 R >> + /ColorSpace << /PCS [/Pattern /DeviceRGB] >> + /Pattern 8 0 R + /XObject 9 0 R +>> +endobj +% +% Nlview page 1 +% (user space scaling 0.279435) +% +10 0 obj +<< + /Type /Page + /Parent 3 0 R + /Resources 5 0 R + /Contents 11 0 R + /MediaBox [0 0 612 792] + /Rotate 0 +>> +endobj +11 0 obj +<< + /Length 53730 +>> +stream +1 0 0 1 0 2.53669 cm +1 0 0 1 28.8 28.8 cm +0.279435 0 0 -0.279435 0 0 cm +1 0 0 1 0 -2610 cm +0 0 1984 2610 re +W n +/GS gs +1 0 0 1 239 470 cm +q +1.000 1.000 1.000 rg +/GSa0 gs +-239 -470 1984 2611 re +f +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 20 m +1580 13 l +1594 13 l +1601 20 l +1594 27 l +1580 27 l +h f +Q +[] 0 d +3 w +0.165 0.369 0.435 RG +/GSA0 gs +1580 20 m +1580 13 l +1594 13 l +1601 20 l +1594 27 l +1580 27 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 20 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(DDR) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 40 m +1587 33 l +1596 33 l +1603 40 l +1596 47 l +1587 47 l +h f +Q +1 w +0.063 0.133 0.208 RG +1580 40 m +1587 33 l +1596 33 l +1603 40 l +1596 47 l +1587 47 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1607 40 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(DDR_VRN) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 -320 m +1587 -327 l +1596 -327 l +1603 -320 l +1596 -313 l +1587 -313 l +h f +Q +1580 -320 m +1587 -327 l +1596 -327 l +1603 -320 l +1596 -313 l +1587 -313 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1607 -320 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(DDR_VRP) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 80 m +1580 73 l +1594 73 l +1601 80 l +1594 87 l +1580 87 l +h f +Q +1580 80 m +1580 73 l +1594 73 l +1601 80 l +1594 87 l +1580 87 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 80 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(FCLK_CLK0) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 640 m +1580 633 l +1594 633 l +1601 640 l +1594 647 l +1580 647 l +h f +Q +1580 640 m +1580 633 l +1594 633 l +1601 640 l +1594 647 l +1580 647 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 640 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(FCLK_CLK1) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 620 m +1580 613 l +1594 613 l +1601 620 l +1594 627 l +1580 627 l +h f +Q +1580 620 m +1580 613 l +1594 613 l +1601 620 l +1594 627 l +1580 627 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 620 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(FCLK_CLK2) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 140 m +1580 133 l +1594 133 l +1601 140 l +1594 147 l +1580 147 l +h f +Q +1580 140 m +1580 133 l +1594 133 l +1601 140 l +1594 147 l +1580 147 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 140 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(FCLK_CLK3) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 600 m +1580 593 l +1594 593 l +1601 600 l +1594 607 l +1580 607 l +h f +Q +1580 600 m +1580 593 l +1594 593 l +1601 600 l +1594 607 l +1580 607 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 600 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(FCLK_RESET0_N) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 -420 m +1580 -427 l +1594 -427 l +1601 -420 l +1594 -413 l +1580 -413 l +h f +Q +3 w +0.165 0.369 0.435 RG +1580 -420 m +1580 -427 l +1594 -427 l +1601 -420 l +1594 -413 l +1580 -413 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 -420 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(GPIO_0) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +-40 20 m +-47 27 l +-61 27 l +-61 13 l +-47 13 l +h f +Q +0.063 0.133 0.208 RG +-40 20 m +-47 27 l +-61 27 l +-61 13 l +-47 13 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 -65 20 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-80.04 -4.308 Td +(IRQ_F2P[15:0]) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 200 m +1587 193 l +1596 193 l +1603 200 l +1596 207 l +1587 207 l +h f +Q +1580 200 m +1587 193 l +1596 193 l +1603 200 l +1596 207 l +1587 207 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1607 200 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(MIO[53:0]) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 -280 m +1587 -287 l +1596 -287 l +1603 -280 l +1596 -273 l +1587 -273 l +h f +Q +1 w +1580 -280 m +1587 -287 l +1596 -287 l +1603 -280 l +1596 -273 l +1587 -273 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1607 -280 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(PS_CLK) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 -260 m +1587 -267 l +1596 -267 l +1603 -260 l +1596 -253 l +1587 -253 l +h f +Q +1580 -260 m +1587 -267 l +1596 -267 l +1603 -260 l +1596 -253 l +1587 -253 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1607 -260 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(PS_PORB) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 260 m +1587 253 l +1596 253 l +1603 260 l +1596 267 l +1587 267 l +h f +Q +1580 260 m +1587 253 l +1596 253 l +1603 260 l +1596 267 l +1587 267 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1607 260 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(PS_SRSTB) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +-40 40 m +-47 47 l +-61 47 l +-61 33 l +-47 33 l +h f +Q +-40 40 m +-47 47 l +-61 47 l +-61 33 l +-47 33 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 -65 40 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-73.368 -4.308 Td +(SPI0_MISO_I) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 -80 m +1580 -87 l +1594 -87 l +1601 -80 l +1594 -73 l +1580 -73 l +h f +Q +1580 -80 m +1580 -87 l +1594 -87 l +1601 -80 l +1594 -73 l +1580 -73 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 -80 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(SPI0_MISO_O) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 -60 m +1580 -67 l +1594 -67 l +1601 -60 l +1594 -53 l +1580 -53 l +h f +Q +1580 -60 m +1580 -67 l +1594 -67 l +1601 -60 l +1594 -53 l +1580 -53 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 -60 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(SPI0_MISO_T) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +-40 -90 m +-47 -83 l +-61 -83 l +-61 -97 l +-47 -97 l +h f +Q +-40 -90 m +-47 -83 l +-61 -83 l +-61 -97 l +-47 -97 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 -65 -90 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-73.368 -4.308 Td +(SPI0_MOSI_I) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 -140 m +1580 -147 l +1594 -147 l +1601 -140 l +1594 -133 l +1580 -133 l +h f +Q +1580 -140 m +1580 -147 l +1594 -147 l +1601 -140 l +1594 -133 l +1580 -133 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 -140 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(SPI0_MOSI_O) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 -120 m +1580 -127 l +1594 -127 l +1601 -120 l +1594 -113 l +1580 -113 l +h f +Q +1580 -120 m +1580 -127 l +1594 -127 l +1601 -120 l +1594 -113 l +1580 -113 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 -120 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(SPI0_MOSI_T) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +-40 -120 m +-47 -113 l +-61 -113 l +-61 -127 l +-47 -127 l +h f +Q +-40 -120 m +-47 -113 l +-61 -113 l +-61 -127 l +-47 -127 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 -65 -120 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-74.04 -4.308 Td +(SPI0_SCLK_I) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 360 m +1580 353 l +1594 353 l +1601 360 l +1594 367 l +1580 367 l +h f +Q +1580 360 m +1580 353 l +1594 353 l +1601 360 l +1594 367 l +1580 367 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 360 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(SPI0_SCLK_O) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 380 m +1580 373 l +1594 373 l +1601 380 l +1594 387 l +1580 387 l +h f +Q +1580 380 m +1580 373 l +1594 373 l +1601 380 l +1594 387 l +1580 387 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 380 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(SPI0_SCLK_T) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +-40 100 m +-47 107 l +-61 107 l +-61 93 l +-47 93 l +h f +Q +-40 100 m +-47 107 l +-61 107 l +-61 93 l +-47 93 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 -65 100 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-58.704 -4.308 Td +(SPI0_SS_I) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 400 m +1580 393 l +1594 393 l +1601 400 l +1594 407 l +1580 407 l +h f +Q +1580 400 m +1580 393 l +1594 393 l +1601 400 l +1594 407 l +1580 407 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 400 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(SPI0_SS_O) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 420 m +1580 413 l +1594 413 l +1601 420 l +1594 427 l +1580 427 l +h f +Q +1580 420 m +1580 413 l +1594 413 l +1601 420 l +1594 427 l +1580 427 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 420 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(SPI0_SS_T) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 440 m +1580 433 l +1594 433 l +1601 440 l +1594 447 l +1580 447 l +h f +Q +1580 440 m +1580 433 l +1594 433 l +1601 440 l +1594 447 l +1580 447 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 440 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(SPI0_SS1_O) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 460 m +1580 453 l +1594 453 l +1601 460 l +1594 467 l +1580 467 l +h f +Q +1580 460 m +1580 453 l +1594 453 l +1601 460 l +1594 467 l +1580 467 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 460 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(SPI0_SS2_O) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +-40 200 m +-47 207 l +-61 207 l +-61 193 l +-47 193 l +h f +Q +-40 200 m +-47 207 l +-61 207 l +-61 193 l +-47 193 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 -65 200 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-73.368 -4.308 Td +(SPI1_MISO_I) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 220 m +1580 213 l +1594 213 l +1601 220 l +1594 227 l +1580 227 l +h f +Q +1580 220 m +1580 213 l +1594 213 l +1601 220 l +1594 227 l +1580 227 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 220 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(SPI1_MISO_O) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 240 m +1580 233 l +1594 233 l +1601 240 l +1594 247 l +1580 247 l +h f +Q +1580 240 m +1580 233 l +1594 233 l +1601 240 l +1594 247 l +1580 247 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 240 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(SPI1_MISO_T) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +-40 140 m +-47 147 l +-61 147 l +-61 133 l +-47 133 l +h f +Q +-40 140 m +-47 147 l +-61 147 l +-61 133 l +-47 133 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 -65 140 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-73.368 -4.308 Td +(SPI1_MOSI_I) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 160 m +1580 153 l +1594 153 l +1601 160 l +1594 167 l +1580 167 l +h f +Q +1580 160 m +1580 153 l +1594 153 l +1601 160 l +1594 167 l +1580 167 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 160 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(SPI1_MOSI_O) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 180 m +1580 173 l +1594 173 l +1601 180 l +1594 187 l +1580 187 l +h f +Q +1580 180 m +1580 173 l +1594 173 l +1601 180 l +1594 187 l +1580 187 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 180 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(SPI1_MOSI_T) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +-40 80 m +-47 87 l +-61 87 l +-61 73 l +-47 73 l +h f +Q +-40 80 m +-47 87 l +-61 87 l +-61 73 l +-47 73 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 -65 80 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-74.04 -4.308 Td +(SPI1_SCLK_I) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 100 m +1580 93 l +1594 93 l +1601 100 l +1594 107 l +1580 107 l +h f +Q +1580 100 m +1580 93 l +1594 93 l +1601 100 l +1594 107 l +1580 107 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 100 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(SPI1_SCLK_O) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 120 m +1580 113 l +1594 113 l +1601 120 l +1594 127 l +1580 127 l +h f +Q +1580 120 m +1580 113 l +1594 113 l +1601 120 l +1594 127 l +1580 127 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 120 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(SPI1_SCLK_T) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +-40 260 m +-47 267 l +-61 267 l +-61 253 l +-47 253 l +h f +Q +-40 260 m +-47 267 l +-61 267 l +-61 253 l +-47 253 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 -65 260 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-58.704 -4.308 Td +(SPI1_SS_I) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 280 m +1580 273 l +1594 273 l +1601 280 l +1594 287 l +1580 287 l +h f +Q +1580 280 m +1580 273 l +1594 273 l +1601 280 l +1594 287 l +1580 287 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 280 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(SPI1_SS_O) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 340 m +1580 333 l +1594 333 l +1601 340 l +1594 347 l +1580 347 l +h f +Q +1580 340 m +1580 333 l +1594 333 l +1601 340 l +1594 347 l +1580 347 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 340 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(SPI1_SS_T) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 300 m +1580 293 l +1594 293 l +1601 300 l +1594 307 l +1580 307 l +h f +Q +1580 300 m +1580 293 l +1594 293 l +1601 300 l +1594 307 l +1580 307 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 300 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(SPI1_SS1_O) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 320 m +1580 313 l +1594 313 l +1601 320 l +1594 327 l +1580 327 l +h f +Q +1580 320 m +1580 313 l +1594 313 l +1601 320 l +1594 327 l +1580 327 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 320 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(SPI1_SS2_O) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +-40 120 m +-47 127 l +-61 127 l +-61 113 l +-47 113 l +h f +Q +-40 120 m +-47 127 l +-61 127 l +-61 113 l +-47 113 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 -65 120 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-102.72 -4.308 Td +(S_AXI_GP0_ACLK) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +-40 380 m +-47 387 l +-61 387 l +-61 373 l +-47 373 l +h f +Q +-40 380 m +-47 387 l +-61 387 l +-61 373 l +-47 373 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 -65 380 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-128.052 -4.308 Td +(S_AXI_GP0_ARESETN) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +-40 160 m +-47 167 l +-61 167 l +-61 153 l +-47 153 l +h f +Q +3 w +0.165 0.369 0.435 RG +-40 160 m +-47 167 l +-61 167 l +-61 153 l +-47 153 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 -65 160 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-64.032 -4.308 Td +(S_AXI_HP0) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +-40 180 m +-47 187 l +-61 187 l +-61 173 l +-47 173 l +h f +Q +1 w +0.063 0.133 0.208 RG +-40 180 m +-47 187 l +-61 187 l +-61 173 l +-47 173 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 -65 180 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-102.048 -4.308 Td +(S_AXI_HP0_ACLK) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +-40 60 m +-47 67 l +-61 67 l +-61 53 l +-47 53 l +h f +Q +-40 60 m +-47 67 l +-61 67 l +-61 53 l +-47 53 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 -65 60 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-127.38 -4.308 Td +(S_AXI_HP0_ARESETN) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 480 m +1580 473 l +1594 473 l +1601 480 l +1594 487 l +1580 487 l +h f +Q +3 w +0.165 0.369 0.435 RG +1580 480 m +1580 473 l +1594 473 l +1601 480 l +1594 487 l +1580 487 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 480 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(USBIND_0) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +247 32 m +453 32 l +453 32 l +456 33 l +458 34 l +459 36 l +460 39 l +460 39 l +460 261 l +460 261 l +459 264 l +458 266 l +456 267 l +453 268 l +453 268 l +247 268 l +247 268 l +244 267 l +242 266 l +241 264 l +240 261 l +240 261 l +240 39 l +240 39 l +241 36 l +242 34 l +244 33 l +h f +Q +q +1 0 0 1 360 150 cm +1 0 0 1 -7 -7 cm +14 0 0 -14 0 14 cm /Im0 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 350 30 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-57.03 2.484 Td +(axi_interconnect_hp0) Tj +ET +Q +q +0.255 0.380 0.624 rg +/GSa0 gs +1 0 0 1 350 270 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-44.358 -8.616 Td +(AXI Interconnect) Tj +ET +Q +q +1 0 0 1 251 43 cm +1 0 0 1 -8 -8 cm +16 0 0 -16 0 16 cm /Im1 Do +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +230 51 10 18 re +f +Q +q +1 0 0 1 249.5 60.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 235 60 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im3 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 257 60 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S00_AXI) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +460 141 10 18 re +f +Q +q +1 0 0 1 450.5 150.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 465 150 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im4 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 443 150 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-41.13 -3.59 Td +(M00_AXI) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +230 71 10 18 re +f +Q +q +1 0 0 1 249.5 80.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 235 80 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im3 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 257 80 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S01_AXI) Tj +ET +Q +0.000 0.000 0.000 RG +230 100 m +240 100 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 242 100 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(ACLK) Tj +ET +Q +230 120 m +240 120 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 242 120 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(ARESETN) Tj +ET +Q +230 140 m +240 140 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 242 140 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S00_ACLK) Tj +ET +Q +230 160 m +240 160 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 242 160 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S00_ARESETN) Tj +ET +Q +230 180 m +240 180 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 242 180 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(M00_ACLK) Tj +ET +Q +230 200 m +240 200 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 242 200 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(M00_ARESETN) Tj +ET +Q +230 220 m +240 220 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 242 220 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S01_ACLK) Tj +ET +Q +230 240 m +240 240 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 242 240 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S01_ARESETN) Tj +ET +Q +1 w +0.255 0.380 0.624 RG +247 32 m +453 32 l +S +460 39 m +460.003 38.8889 460.003 38.7778 460 38.6667 c +459.908 34.8927 456.774 31.908 453 32 c +S +460 39 m +460 261 l +S +453 268 m +453.111 268.003 453.222 268.003 453.333 268 c +457.107 267.908 460.092 264.774 460 261 c +S +453 268 m +247 268 l +S +240 261 m +239.997 261.111 239.997 261.222 240 261.333 c +240.092 265.107 243.226 268.092 247 268 c +S +240 261 m +240 39 l +S +247 32 m +246.889 31.9973 246.778 31.9973 246.667 32 c +242.893 32.092 239.908 35.2261 240 39 c +S +q +0.929 0.965 0.996 rg +/GSa0 gs +247 312 m +453 312 l +453 312 l +456 313 l +458 314 l +459 316 l +460 319 l +460 319 l +460 721 l +460 721 l +459 724 l +458 726 l +456 727 l +453 728 l +453 728 l +247 728 l +247 728 l +244 727 l +242 726 l +241 724 l +240 721 l +240 721 l +240 319 l +240 319 l +241 316 l +242 314 l +244 313 l +h f +Q +q +1 0 0 1 360 520 cm +1 0 0 1 -7 -7 cm +14 0 0 -14 0 14 cm /Im0 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 350 310 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-50.358 2.484 Td +(axi_interconnect_0) Tj +ET +Q +q +0.255 0.380 0.624 rg +/GSa0 gs +1 0 0 1 350 730 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-44.358 -8.616 Td +(AXI Interconnect) Tj +ET +Q +q +1 0 0 1 251 323 cm +1 0 0 1 -8 -8 cm +16 0 0 -16 0 16 cm /Im1 Do +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +230 331 10 18 re +f +Q +q +1 0 0 1 249.5 340.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 235 340 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im3 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 257 340 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S00_AXI) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +460 451 10 18 re +f +Q +q +1 0 0 1 450.5 460.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 465 460 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im4 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 443 460 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-41.13 -3.59 Td +(M00_AXI) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +460 471 10 18 re +f +Q +q +1 0 0 1 450.5 480.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 465 480 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im4 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 443 480 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-41.13 -3.59 Td +(M01_AXI) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +460 491 10 18 re +f +Q +q +1 0 0 1 450.5 500.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 465 500 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im4 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 443 500 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-41.13 -3.59 Td +(M02_AXI) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +460 511 10 18 re +f +Q +q +1 0 0 1 450.5 520.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 465 520 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im4 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 443 520 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-41.13 -3.59 Td +(M03_AXI) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +460 531 10 18 re +f +Q +q +1 0 0 1 450.5 540.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 465 540 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im4 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 443 540 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-41.13 -3.59 Td +(M04_AXI) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +460 551 10 18 re +f +Q +q +1 0 0 1 450.5 560.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 465 560 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im4 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 443 560 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-41.13 -3.59 Td +(M05_AXI) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +460 571 10 18 re +f +Q +q +1 0 0 1 450.5 580.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 465 580 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im4 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 443 580 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-41.13 -3.59 Td +(M06_AXI) Tj +ET +Q +3 w +0.000 0.000 0.000 RG +230 360 m +240 360 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 242 360 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(ACLK) Tj +ET +Q +230 380 m +240 380 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 242 380 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(ARESETN) Tj +ET +Q +230 400 m +240 400 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 242 400 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S00_ACLK) Tj +ET +Q +230 420 m +240 420 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 242 420 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S00_ARESETN) Tj +ET +Q +230 440 m +240 440 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 242 440 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(M00_ACLK) Tj +ET +Q +230 460 m +240 460 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 242 460 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(M00_ARESETN) Tj +ET +Q +230 480 m +240 480 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 242 480 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(M01_ACLK) Tj +ET +Q +230 500 m +240 500 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 242 500 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(M01_ARESETN) Tj +ET +Q +230 520 m +240 520 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 242 520 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(M02_ACLK) Tj +ET +Q +230 540 m +240 540 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 242 540 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(M02_ARESETN) Tj +ET +Q +230 560 m +240 560 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 242 560 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(M03_ACLK) Tj +ET +Q +230 580 m +240 580 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 242 580 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(M03_ARESETN) Tj +ET +Q +230 600 m +240 600 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 242 600 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(M04_ACLK) Tj +ET +Q +230 620 m +240 620 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 242 620 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(M04_ARESETN) Tj +ET +Q +230 640 m +240 640 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 242 640 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(M05_ACLK) Tj +ET +Q +230 660 m +240 660 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 242 660 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(M05_ARESETN) Tj +ET +Q +230 680 m +240 680 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 242 680 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(M06_ACLK) Tj +ET +Q +230 700 m +240 700 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 242 700 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(M06_ARESETN) Tj +ET +Q +1 w +0.255 0.380 0.624 RG +247 312 m +453 312 l +S +460 319 m +460.003 318.889 460.003 318.778 460 318.667 c +459.908 314.893 456.774 311.908 453 312 c +S +460 319 m +460 721 l +S +453 728 m +453.111 728.003 453.222 728.003 453.333 728 c +457.107 727.908 460.092 724.774 460 721 c +S +453 728 m +247 728 l +S +240 721 m +239.997 721.111 239.997 721.222 240 721.333 c +240.092 725.107 243.226 728.092 247 728 c +S +240 721 m +240 319 l +S +247 312 m +246.889 311.997 246.778 311.997 246.667 312 c +242.893 312.092 239.908 315.226 240 319 c +S +q +0.867 0.831 0.816 rg +/GSa0 gs +-40 220 m +-47 227 l +-61 227 l +-61 213 l +-47 213 l +h f +Q +0.063 0.133 0.208 RG +-40 220 m +-47 227 l +-61 227 l +-61 213 l +-47 213 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 -65 220 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-40.68 -4.308 Td +(bus_clk) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +-40 240 m +-47 247 l +-61 247 l +-61 233 l +-47 233 l +h f +Q +-40 240 m +-47 247 l +-61 247 l +-61 233 l +-47 233 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 -65 240 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-46.02 -4.308 Td +(bus_rstn) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +-40 -50 m +-47 -43 l +-61 -43 l +-61 -57 l +-47 -57 l +h f +Q +-40 -50 m +-47 -43 l +-61 -43 l +-61 -57 l +-47 -57 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 -65 -50 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-28.008 -4.308 Td +(clk40) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +-40 280 m +-47 287 l +-61 287 l +-61 273 l +-47 273 l +h f +Q +-40 280 m +-47 287 l +-61 287 l +-61 273 l +-47 273 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 -65 280 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-54.684 -4.308 Td +(clk40_rstn) Tj +ET +Q +q +0.667 0.769 0.969 rg +/GSa0 gs +207 772 m +493 772 l +493 772 l +496 773 l +498 774 l +499 776 l +500 779 l +500 779 l +500 981 l +500 981 l +499 984 l +498 986 l +496 987 l +493 988 l +493 988 l +207 988 l +207 988 l +204 987 l +202 986 l +201 984 l +200 981 l +200 981 l +200 779 l +200 779 l +201 776 l +202 774 l +204 773 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 350 770 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-11.67 2.484 Td +(dma) Tj +ET +Q +q +1 0 0 1 211 783 cm +1 0 0 1 -8 -8 cm +16 0 0 -16 0 16 cm /Im1 Do +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +500 831 10 18 re +f +Q +q +1 0 0 1 490.5 840.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 505 840 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im5 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 483 840 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-56.69 -3.59 Td +(o_cvita_dma) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +500 851 10 18 re +f +Q +q +1 0 0 1 490.5 860.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 505 860 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im4 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 483 860 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-77.24 -3.59 Td +(M_AXI_RX_DMA) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +500 871 10 18 re +f +Q +q +1 0 0 1 490.5 880.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 505 880 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im4 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 483 880 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-76.13 -3.59 Td +(M_AXI_TX_DMA) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +190 791 10 18 re +f +Q +q +1 0 0 1 209.5 800.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 195 800 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im6 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 217 800 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(i_cvita_dma) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +190 811 10 18 re +f +Q +q +1 0 0 1 209.5 820.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 195 820 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im3 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 217 820 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(s_axi_rx_dmac) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +190 831 10 18 re +f +Q +q +1 0 0 1 209.5 840.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 195 840 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im3 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 217 840 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(s_axi_tx_dmac) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +190 851 10 18 re +f +Q +q +1 0 0 1 209.5 860.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 195 860 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im3 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 217 860 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(s_axi_regfile) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +190 871 10 18 re +f +Q +q +1 0 0 1 209.5 880.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 195 880 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im3 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 217 880 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(s_axi_dma_rx_mapper) Tj +ET +Q +3 w +0.000 0.000 0.000 RG +190 900 m +200 900 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 202 900 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(bus_clk) Tj +ET +Q +190 920 m +200 920 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 202 920 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(bus_rstn) Tj +ET +Q +190 940 m +200 940 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 202 940 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(clk40) Tj +ET +Q +190 960 m +200 960 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 202 960 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(clk40_rstn) Tj +ET +Q +510 900 m +500 900 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 498 900 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-25 -3.59 Td +(rx_irq) Tj +ET +Q +510 920 m +500 920 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 498 920 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-24.45 -3.59 Td +(tx_irq) Tj +ET +Q +1 w +0.255 0.380 0.624 RG +207 772 m +493 772 l +S +500 779 m +500.003 778.889 500.003 778.778 500 778.667 c +499.908 774.893 496.774 771.908 493 772 c +S +500 779 m +500 981 l +S +493 988 m +493.111 988.003 493.222 988.003 493.333 988 c +497.107 987.908 500.092 984.774 500 981 c +S +493 988 m +207 988 l +S +200 981 m +199.997 981.111 199.997 981.222 200 981.333 c +200.092 985.107 203.226 988.092 207 988 c +S +200 981 m +200 779 l +S +207 772 m +206.889 771.997 206.778 771.997 206.667 772 c +202.893 772.092 199.908 775.226 200 779 c +S +q +0.867 0.831 0.816 rg +/GSa0 gs +-40 300 m +-47 307 l +-61 307 l +-61 293 l +-47 293 l +h f +Q +3 w +0.165 0.369 0.435 RG +-40 300 m +-47 307 l +-61 307 l +-61 293 l +-47 293 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 -65 300 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-64.02 -4.308 Td +(i_cvita_dma) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 500 m +1580 493 l +1594 493 l +1601 500 l +1594 507 l +1580 507 l +h f +Q +1580 500 m +1580 493 l +1594 493 l +1601 500 l +1594 507 l +1580 507 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 500 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(m_axi_eth_dma) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 520 m +1580 513 l +1594 513 l +1601 520 l +1594 527 l +1580 527 l +h f +Q +1580 520 m +1580 513 l +1594 513 l +1601 520 l +1594 527 l +1580 527 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 520 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(m_axi_net) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 540 m +1580 533 l +1594 533 l +1601 540 l +1594 547 l +1580 547 l +h f +Q +1580 540 m +1580 533 l +1594 533 l +1601 540 l +1594 547 l +1580 547 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 540 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(m_axi_xbar) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +1580 560 m +1580 553 l +1594 553 l +1601 560 l +1594 567 l +1580 567 l +h f +Q +1580 560 m +1580 553 l +1594 553 l +1601 560 l +1594 567 l +1580 567 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1605 560 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(o_cvita_dma) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +767 -440 m +1133 -440 l +1133 -440 l +1136 -439 l +1138 -438 l +1139 -436 l +1140 -433 l +1140 -433 l +1140 493 l +1140 493 l +1139 496 l +1138 498 l +1136 499 l +1133 500 l +1133 500 l +767 500 l +767 500 l +764 499 l +762 498 l +761 496 l +760 493 l +760 493 l +760 -433 l +760 -433 l +761 -436 l +762 -438 l +764 -439 l +h f +Q +q +1 0 0 1 975 30 cm +1 0 0 1 -50 -15 cm +100 0 0 -30 0 30 cm /Im7 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 950 -442 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-61.356 2.484 Td +(processing_system7_0) Tj +ET +Q +q +0.255 0.380 0.624 rg +/GSa0 gs +1 0 0 1 950 502 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-73.02 -8.616 Td +(ZYNQ7 Processing System) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +1140 -429 10 18 re +f +Q +q +1 0 0 1 1130.5 -419.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 1145 -420 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im5 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1123 -420 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-36.13 -3.59 Td +(GPIO_0) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +1140 -409 10 18 re +f +Q +q +1 0 0 1 1130.5 -399.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 1145 -400 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im5 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1123 -400 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-21.66 -3.59 Td +(DDR) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +1140 -389 10 138 re +f +Q +q +1 0 0 1 1130.5 -379.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im8 Do +Q +q +1 0 0 1 1145 -380 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im5 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1123 -380 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-45.57 -3.59 Td +(FIXED_IO) Tj +ET +Q +0.000 0.000 0.000 RG +1150 -360 m +1140 -360 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1123 -365 m +1118 -360 l +1123 -355 l +1128 -360 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 -360 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-43.91 -3.59 Td +(MIO[53:0]) Tj +ET +Q +2 w +1150 -340 m +1140 -340 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1123 -345 m +1118 -340 l +1123 -335 l +1128 -340 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 -340 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-48.33 -3.59 Td +(DDR_VRN) Tj +ET +Q +1150 -320 m +1140 -320 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1123 -325 m +1118 -320 l +1123 -315 l +1128 -320 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 -320 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-47.78 -3.59 Td +(DDR_VRP) Tj +ET +Q +1150 -300 m +1140 -300 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1123 -305 m +1118 -300 l +1123 -295 l +1128 -300 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 -300 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-52.24 -3.59 Td +(PS_SRSTB) Tj +ET +Q +1150 -280 m +1140 -280 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1123 -285 m +1118 -280 l +1123 -275 l +1128 -280 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 -280 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-38.35 -3.59 Td +(PS_CLK) Tj +ET +Q +1150 -260 m +1140 -260 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1123 -265 m +1118 -260 l +1123 -255 l +1128 -260 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 -260 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-47.24 -3.59 Td +(PS_PORB) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +1140 -249 10 298 re +f +Q +q +1 0 0 1 1130.5 -239.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im8 Do +Q +q +1 0 0 1 1145 -240 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im5 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1123 -240 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-27.24 -3.59 Td +(SPI_0) Tj +ET +Q +1150 -220 m +1140 -220 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1127 -225 m +1119 -220 l +1127 -215 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 -220 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-61.7 -3.59 Td +(SPI0_SCLK_I) Tj +ET +Q +1150 -200 m +1140 -200 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1119 -205 m +1127 -200 l +1119 -195 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 -200 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-66.7 -3.59 Td +(SPI0_SCLK_O) Tj +ET +Q +1150 -180 m +1140 -180 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1119 -185 m +1127 -180 l +1119 -175 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 -180 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-65.03 -3.59 Td +(SPI0_SCLK_T) Tj +ET +Q +1150 -160 m +1140 -160 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1127 -165 m +1119 -160 l +1127 -155 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 -160 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-61.14 -3.59 Td +(SPI0_MOSI_I) Tj +ET +Q +1150 -140 m +1140 -140 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1119 -145 m +1127 -140 l +1119 -135 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 -140 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-66.14 -3.59 Td +(SPI0_MOSI_O) Tj +ET +Q +1150 -120 m +1140 -120 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1119 -125 m +1127 -120 l +1119 -115 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 -120 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-64.47 -3.59 Td +(SPI0_MOSI_T) Tj +ET +Q +1150 -100 m +1140 -100 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1127 -105 m +1119 -100 l +1127 -95 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 -100 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-61.14 -3.59 Td +(SPI0_MISO_I) Tj +ET +Q +1150 -80 m +1140 -80 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1119 -85 m +1127 -80 l +1119 -75 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 -80 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-66.14 -3.59 Td +(SPI0_MISO_O) Tj +ET +Q +1150 -60 m +1140 -60 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1119 -65 m +1127 -60 l +1119 -55 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 -60 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-64.47 -3.59 Td +(SPI0_MISO_T) Tj +ET +Q +1150 -40 m +1140 -40 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1127 -45 m +1119 -40 l +1127 -35 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 -40 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-48.92 -3.59 Td +(SPI0_SS_I) Tj +ET +Q +1150 -20 m +1140 -20 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1119 -25 m +1127 -20 l +1119 -15 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 -20 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-53.92 -3.59 Td +(SPI0_SS_O) Tj +ET +Q +1150 0 m +1140 0 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1119 -5 m +1127 0 l +1119 5 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 0 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-59.48 -3.59 Td +(SPI0_SS1_O) Tj +ET +Q +1150 20 m +1140 20 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1119 15 m +1127 20 l +1119 25 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 20 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-59.48 -3.59 Td +(SPI0_SS2_O) Tj +ET +Q +1150 40 m +1140 40 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1119 35 m +1127 40 l +1119 45 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 40 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-52.25 -3.59 Td +(SPI0_SS_T) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +1140 51 10 298 re +f +Q +q +1 0 0 1 1130.5 60.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im8 Do +Q +q +1 0 0 1 1145 60 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im5 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1123 60 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-27.24 -3.59 Td +(SPI_1) Tj +ET +Q +1150 80 m +1140 80 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1127 75 m +1119 80 l +1127 85 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 80 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-61.7 -3.59 Td +(SPI1_SCLK_I) Tj +ET +Q +1150 100 m +1140 100 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1119 95 m +1127 100 l +1119 105 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 100 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-66.7 -3.59 Td +(SPI1_SCLK_O) Tj +ET +Q +1150 120 m +1140 120 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1119 115 m +1127 120 l +1119 125 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 120 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-65.03 -3.59 Td +(SPI1_SCLK_T) Tj +ET +Q +1150 140 m +1140 140 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1127 135 m +1119 140 l +1127 145 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 140 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-61.14 -3.59 Td +(SPI1_MOSI_I) Tj +ET +Q +1150 160 m +1140 160 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1119 155 m +1127 160 l +1119 165 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 160 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-66.14 -3.59 Td +(SPI1_MOSI_O) Tj +ET +Q +1150 180 m +1140 180 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1119 175 m +1127 180 l +1119 185 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 180 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-64.47 -3.59 Td +(SPI1_MOSI_T) Tj +ET +Q +1150 200 m +1140 200 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1127 195 m +1119 200 l +1127 205 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 200 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-61.14 -3.59 Td +(SPI1_MISO_I) Tj +ET +Q +1150 220 m +1140 220 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1119 215 m +1127 220 l +1119 225 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 220 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-66.14 -3.59 Td +(SPI1_MISO_O) Tj +ET +Q +1150 240 m +1140 240 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1119 235 m +1127 240 l +1119 245 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 240 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-64.47 -3.59 Td +(SPI1_MISO_T) Tj +ET +Q +1150 260 m +1140 260 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1127 255 m +1119 260 l +1127 265 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 260 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-48.92 -3.59 Td +(SPI1_SS_I) Tj +ET +Q +1150 280 m +1140 280 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1119 275 m +1127 280 l +1119 285 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 280 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-53.92 -3.59 Td +(SPI1_SS_O) Tj +ET +Q +1150 300 m +1140 300 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1119 295 m +1127 300 l +1119 305 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 300 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-59.48 -3.59 Td +(SPI1_SS1_O) Tj +ET +Q +1150 320 m +1140 320 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1119 315 m +1127 320 l +1119 325 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 320 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-59.48 -3.59 Td +(SPI1_SS2_O) Tj +ET +Q +1150 340 m +1140 340 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +1119 335 m +1127 340 l +1119 345 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1118 340 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-52.25 -3.59 Td +(SPI1_SS_T) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +1140 351 10 18 re +f +Q +q +1 0 0 1 1130.5 360.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 1145 360 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im5 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1123 360 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-48.9 -3.59 Td +(USBIND_0) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +750 -79 10 18 re +f +Q +q +1 0 0 1 769.5 -69.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 755 -70 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im6 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 777 -70 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S_AXI_HP0_FIFO_CTRL) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +750 -59 10 18 re +f +Q +q +1 0 0 1 769.5 -49.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 755 -50 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im6 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 777 -50 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S_AXI_HP1_FIFO_CTRL) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +750 -39 10 18 re +f +Q +q +1 0 0 1 769.5 -29.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 755 -30 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im6 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 777 -30 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S_AXI_HP2_FIFO_CTRL) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +1140 371 10 18 re +f +Q +q +1 0 0 1 1130.5 380.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 1145 380 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im4 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1123 380 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-55.58 -3.59 Td +(M_AXI_GP0) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +750 -19 10 18 re +f +Q +q +1 0 0 1 769.5 -9.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 755 -10 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im3 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 777 -10 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S_AXI_HP0) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +750 1 10 18 re +f +Q +q +1 0 0 1 769.5 10.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 755 10 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im3 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 777 10 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S_AXI_HP1) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +750 21 10 18 re +f +Q +q +1 0 0 1 769.5 30.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im2 Do +Q +q +1 0 0 1 755 30 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im3 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 777 30 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S_AXI_HP2) Tj +ET +Q +3 w +750 50 m +760 50 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 762 50 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(M_AXI_GP0_ACLK) Tj +ET +Q +750 70 m +760 70 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 762 70 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S_AXI_HP0_ACLK) Tj +ET +Q +750 90 m +760 90 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 762 90 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S_AXI_HP1_ACLK) Tj +ET +Q +750 110 m +760 110 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 762 110 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S_AXI_HP2_ACLK) Tj +ET +Q +5 w +750 130 m +760 130 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 762 130 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(IRQ_F2P[0:0]) Tj +ET +Q +3 w +1150 400 m +1140 400 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1138 400 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-56.13 -3.59 Td +(FCLK_CLK0) Tj +ET +Q +1150 420 m +1140 420 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1138 420 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-56.13 -3.59 Td +(FCLK_CLK1) Tj +ET +Q +1150 440 m +1140 440 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1138 440 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-56.13 -3.59 Td +(FCLK_CLK2) Tj +ET +Q +1150 460 m +1140 460 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1138 460 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-56.13 -3.59 Td +(FCLK_CLK3) Tj +ET +Q +1146 480 m +1146 478.343 1144.66 477 1143 477 c +1141.34 477 1140 478.343 1140 480 c +1140 481.657 1141.34 483 1143 483 c +1144.66 483 1146 481.657 1146 480 c +S +1150 480 m +1146 480 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1138 480 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-82.8 -3.59 Td +(FCLK_RESET0_N) Tj +ET +Q +1 w +0.255 0.380 0.624 RG +767 -440 m +1133 -440 l +S +1140 -433 m +1140 -433.111 1140 -433.222 1140 -433.333 c +1139.91 -437.107 1136.77 -440.092 1133 -440 c +S +1140 -433 m +1140 493 l +S +1133 500 m +1133.11 500.003 1133.22 500.003 1133.33 500 c +1137.11 499.908 1140.09 496.774 1140 493 c +S +1133 500 m +767 500 l +S +760 493 m +759.997 493.111 759.997 493.222 760 493.333 c +760.092 497.107 763.226 500.092 767 500 c +S +760 493 m +760 -433 l +S +767 -440 m +766.889 -440.003 766.778 -440.003 766.667 -440 c +762.893 -439.908 759.908 -436.774 760 -433 c +S +q +0.867 0.831 0.816 rg +/GSa0 gs +-40 320 m +-47 327 l +-61 327 l +-61 313 l +-47 313 l +h f +Q +3 w +0.165 0.369 0.435 RG +-40 320 m +-47 327 l +-61 327 l +-61 313 l +-47 313 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 -65 320 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-110.712 -4.308 Td +(s_axi_eth_descriptor) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +877 1910 m +1023 1910 l +1023 1910 l +1026 1911 l +1028 1912 l +1029 1914 l +1030 1917 l +1030 1917 l +1030 2103 l +1030 2103 l +1029 2106 l +1028 2108 l +1026 2109 l +1023 2110 l +1023 2110 l +877 2110 l +877 2110 l +874 2109 l +872 2108 l +871 2106 l +870 2103 l +870 2103 l +870 1917 l +870 1917 l +871 1914 l +872 1912 l +874 1911 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 950 1908 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-28.68 2.484 Td +(xlconcat_0) Tj +ET +Q +q +0.255 0.380 0.624 rg +/GSa0 gs +1 0 0 1 950 2112 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-19.008 -8.616 Td +(Concat) Tj +ET +Q +5 w +0.000 0.000 0.000 RG +860 1930 m +870 1930 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 872 1930 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(In0[7:0]) Tj +ET +Q +860 1950 m +870 1950 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 872 1950 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(In1[0:0]) Tj +ET +Q +860 1970 m +870 1970 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 872 1970 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(In2[0:0]) Tj +ET +Q +860 1990 m +870 1990 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 872 1990 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(In3[0:0]) Tj +ET +Q +860 2010 m +870 2010 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 872 2010 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(In4[0:0]) Tj +ET +Q +860 2030 m +870 2030 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 872 2030 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(In5[0:0]) Tj +ET +Q +860 2050 m +870 2050 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 872 2050 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(In6[0:0]) Tj +ET +Q +860 2070 m +870 2070 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 872 2070 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(In7[0:0]) Tj +ET +Q +860 2090 m +870 2090 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 872 2090 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(In8[0:0]) Tj +ET +Q +1040 2010 m +1030 2010 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1028 2010 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-44.48 -3.59 Td +(dout[15:0]) Tj +ET +Q +1 w +0.255 0.380 0.624 RG +877 1910 m +1023 1910 l +S +1030 1917 m +1030 1916.89 1030 1916.78 1030 1916.67 c +1029.91 1912.89 1026.77 1909.91 1023 1910 c +S +1030 1917 m +1030 2103 l +S +1023 2110 m +1023.11 2110 1023.22 2110 1023.33 2110 c +1027.11 2109.91 1030.09 2106.77 1030 2103 c +S +1023 2110 m +877 2110 l +S +870 2103 m +869.997 2103.11 869.997 2103.22 870 2103.33 c +870.092 2107.11 873.226 2110.09 877 2110 c +S +870 2103 m +870 1917 l +S +877 1910 m +876.889 1910 876.778 1910 876.667 1910 c +872.893 1910.09 869.908 1913.23 870 1917 c +S +q +0.929 0.965 0.996 rg +/GSa0 gs +277 1140 m +423 1140 l +423 1140 l +426 1141 l +428 1142 l +429 1144 l +430 1147 l +430 1147 l +430 1173 l +430 1173 l +429 1176 l +428 1178 l +426 1179 l +423 1180 l +423 1180 l +277 1180 l +277 1180 l +274 1179 l +272 1178 l +271 1176 l +270 1173 l +270 1173 l +270 1147 l +270 1147 l +271 1144 l +272 1142 l +274 1141 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 350 1138 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-23.004 2.484 Td +(xlslice_2) Tj +ET +Q +q +0.255 0.380 0.624 rg +/GSa0 gs +1 0 0 1 350 1182 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-13.002 -8.616 Td +(Slice) Tj +ET +Q +5 w +0.000 0.000 0.000 RG +260 1160 m +270 1160 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 272 1160 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(Din[15:0]) Tj +ET +Q +440 1160 m +430 1160 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 428 1160 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-40.58 -3.59 Td +(Dout[7:0]) Tj +ET +Q +1 w +0.255 0.380 0.624 RG +277 1140 m +423 1140 l +S +430 1147 m +430.003 1146.89 430.003 1146.78 430 1146.67 c +429.908 1142.89 426.774 1139.91 423 1140 c +S +430 1147 m +430 1173 l +S +423 1180 m +423.111 1180 423.222 1180 423.333 1180 c +427.107 1179.91 430.092 1176.77 430 1173 c +S +423 1180 m +277 1180 l +S +270 1173 m +269.997 1173.11 269.997 1173.22 270 1173.33 c +270.092 1177.11 273.226 1180.09 277 1180 c +S +270 1173 m +270 1147 l +S +277 1140 m +276.889 1140 276.778 1140 276.667 1140 c +272.893 1140.09 269.908 1143.23 270 1147 c +S +3 w +0.063 0.133 0.208 RG +-40 20 m +10 20 l +10 1160 l +260 1160 l +S +1 w +-40 40 m +-20 40 l +-20 -140 l +720 -140 l +720 590 l +1210 590 l +1210 -100 l +1150 -100 l +S +-40 -90 m +-10 -90 l +-10 -130 l +700 -130 l +700 600 l +1240 600 l +1240 -160 l +1150 -160 l +S +-40 -120 m +670 -120 l +670 610 l +1250 610 l +1250 -220 l +1150 -220 l +S +-40 100 m +0 100 l +0 -110 l +630 -110 l +630 620 l +1230 620 l +1230 -40 l +1150 -40 l +S +-40 200 m +40 200 l +40 -100 l +690 -100 l +690 570 l +1180 570 l +1180 200 l +1150 200 l +S +-40 140 m +30 140 l +30 -90 l +660 -90 l +660 580 l +1190 580 l +1190 140 l +1150 140 l +S +-40 80 m +20 80 l +20 -80 l +590 -80 l +590 630 l +1220 630 l +1220 80 l +1150 80 l +S +-40 260 m +80 260 l +80 -70 l +570 -70 l +570 640 l +1200 640 l +1200 260 l +1150 260 l +S +-40 120 m +60 120 l +60 360 l +230 360 l +S +-40 380 m +230 380 l +S +230 220 m +110 220 l +110 -60 l +710 -60 l +710 70 l +750 70 l +S +110 180 m +230 180 l +S +-40 180 m +110 180 l +S +110 140 m +230 140 l +S +110 100 m +230 100 l +S +q +0.063 0.133 0.208 rg +/GSa0 gs +108 178 5 5 re +f +Q +q +0.063 0.133 0.208 rg +/GSa0 gs +108 138 5 5 re +f +Q +q +0.063 0.133 0.208 rg +/GSa0 gs +108 98 5 5 re +f +Q +-40 60 m +70 60 l +70 240 l +230 240 l +S +70 120 m +230 120 l +S +70 170 m +210 170 l +210 160 l +230 160 l +S +70 200 m +230 200 l +S +q +0.063 0.133 0.208 rg +/GSa0 gs +68 118 5 5 re +f +Q +q +0.063 0.133 0.208 rg +/GSa0 gs +68 168 5 5 re +f +Q +q +0.063 0.133 0.208 rg +/GSa0 gs +68 198 5 5 re +f +Q +4 w +0.255 0.380 0.624 RG +-40 160 m +90 160 l +90 60 l +230 60 l +S +230 340 m +150 340 l +150 -20 l +620 -20 l +620 550 l +1170 550 l +1170 380 l +1150 380 l +S +470 460 m +650 460 l +650 530 l +1290 530 l +1290 500 l +1580 500 l +S +470 480 m +610 480 l +610 540 l +1330 540 l +1330 520 l +1580 520 l +S +470 500 m +580 500 l +580 560 l +1380 560 l +1380 540 l +1580 540 l +S +190 880 m +120 880 l +120 -40 l +540 -40 l +540 520 l +470 520 l +S +190 840 m +130 840 l +130 -30 l +560 -30 l +560 560 l +470 560 l +S +470 150 m +580 150 l +580 -10 l +750 -10 l +S +1 w +0.063 0.133 0.208 RG +-40 220 m +30 220 l +30 900 l +190 900 l +S +30 560 m +230 560 l +S +q +0.063 0.133 0.208 rg +/GSa0 gs +28 558 5 5 re +f +Q +-40 240 m +0 240 l +0 920 l +190 920 l +S +0 580 m +230 580 l +S +q +0.063 0.133 0.208 rg +/GSa0 gs +-2 578 5 5 re +f +Q +-40 -50 m +50 -50 l +50 940 l +190 940 l +S +50 -50 m +680 -50 l +680 110 l +750 110 l +S +680 50 m +750 50 l +S +680 90 m +750 90 l +S +q +0.063 0.133 0.208 rg +/GSa0 gs +678 48 5 5 re +f +Q +q +0.063 0.133 0.208 rg +/GSa0 gs +678 88 5 5 re +f +Q +50 400 m +230 400 l +S +50 440 m +230 440 l +S +50 480 m +230 480 l +S +50 520 m +230 520 l +S +50 600 m +230 600 l +S +50 640 m +230 640 l +S +50 680 m +230 680 l +S +q +0.063 0.133 0.208 rg +/GSa0 gs +48 -52 5 5 re +f +Q +q +0.063 0.133 0.208 rg +/GSa0 gs +48 398 5 5 re +f +Q +q +0.063 0.133 0.208 rg +/GSa0 gs +48 438 5 5 re +f +Q +q +0.063 0.133 0.208 rg +/GSa0 gs +48 478 5 5 re +f +Q +q +0.063 0.133 0.208 rg +/GSa0 gs +48 518 5 5 re +f +Q +q +0.063 0.133 0.208 rg +/GSa0 gs +48 598 5 5 re +f +Q +q +0.063 0.133 0.208 rg +/GSa0 gs +48 638 5 5 re +f +Q +q +0.063 0.133 0.208 rg +/GSa0 gs +48 678 5 5 re +f +Q +-40 280 m +-20 280 l +-20 960 l +190 960 l +S +-20 420 m +230 420 l +S +-20 460 m +230 460 l +S +-20 500 m +230 500 l +S +-20 540 m +230 540 l +S +-20 620 m +230 620 l +S +-20 660 m +230 660 l +S +-20 700 m +230 700 l +S +q +0.063 0.133 0.208 rg +/GSa0 gs +-22 418 5 5 re +f +Q +q +0.063 0.133 0.208 rg +/GSa0 gs +-22 458 5 5 re +f +Q +q +0.063 0.133 0.208 rg +/GSa0 gs +-22 498 5 5 re +f +Q +q +0.063 0.133 0.208 rg +/GSa0 gs +-22 538 5 5 re +f +Q +q +0.063 0.133 0.208 rg +/GSa0 gs +-22 618 5 5 re +f +Q +q +0.063 0.133 0.208 rg +/GSa0 gs +-22 658 5 5 re +f +Q +q +0.063 0.133 0.208 rg +/GSa0 gs +-22 698 5 5 re +f +Q +1150 -340 m +1380 -340 l +1380 40 l +1580 40 l +S +1150 -320 m +1580 -320 l +S +4 w +0.255 0.380 0.624 RG +510 860 m +600 860 l +600 10 l +750 10 l +S +510 880 m +640 880 l +640 30 l +750 30 l +S +1 w +0.063 0.133 0.208 RG +510 920 m +540 920 l +540 1970 l +860 1970 l +S +4 w +0.255 0.380 0.624 RG +-40 300 m +-10 300 l +-10 800 l +190 800 l +S +3 w +0.063 0.133 0.208 RG +1150 -360 m +1390 -360 l +1390 200 l +1580 200 l +S +4 w +0.255 0.380 0.624 RG +510 840 m +620 840 l +620 650 l +1400 650 l +1400 560 l +1580 560 l +S +1150 -400 m +1400 -400 l +1400 20 l +1580 20 l +S +1 w +0.063 0.133 0.208 RG +1150 400 m +1300 400 l +1300 80 l +1580 80 l +S +1150 420 m +1280 420 l +1280 640 l +1580 640 l +S +1150 440 m +1270 440 l +1270 620 l +1580 620 l +S +1150 460 m +1260 460 l +1260 140 l +1580 140 l +S +1150 480 m +1260 480 l +1260 600 l +1580 600 l +S +4 w +0.255 0.380 0.624 RG +1150 -420 m +1580 -420 l +S +1 w +0.063 0.133 0.208 RG +1150 -80 m +1580 -80 l +S +1150 -60 m +1580 -60 l +S +1150 -140 m +1580 -140 l +S +1150 -120 m +1580 -120 l +S +1150 -200 m +1360 -200 l +1360 360 l +1580 360 l +S +1150 -180 m +1350 -180 l +1350 380 l +1580 380 l +S +1150 -20 m +1340 -20 l +1340 400 l +1580 400 l +S +1150 40 m +1320 40 l +1320 420 l +1580 420 l +S +1150 0 m +1330 0 l +1330 440 l +1580 440 l +S +1150 20 m +1310 20 l +1310 460 l +1580 460 l +S +1150 220 m +1580 220 l +S +1150 240 m +1580 240 l +S +1150 160 m +1580 160 l +S +1150 180 m +1580 180 l +S +1150 100 m +1580 100 l +S +1150 120 m +1580 120 l +S +1150 280 m +1580 280 l +S +1150 340 m +1580 340 l +S +1150 300 m +1580 300 l +S +1150 320 m +1580 320 l +S +4 w +0.255 0.380 0.624 RG +1150 360 m +1290 360 l +1290 480 l +1580 480 l +S +1 w +0.063 0.133 0.208 RG +1150 -280 m +1580 -280 l +S +1150 -260 m +1580 -260 l +S +1150 -300 m +1370 -300 l +1370 260 l +1580 260 l +S +510 900 m +680 900 l +680 1950 l +860 1950 l +S +4 w +0.255 0.380 0.624 RG +-40 320 m +100 320 l +100 80 l +230 80 l +S +190 860 m +140 860 l +140 -10 l +550 -10 l +550 580 l +470 580 l +S +190 820 m +160 820 l +160 0 l +530 0 l +530 540 l +470 540 l +S +3 w +0.063 0.133 0.208 RG +750 130 m +730 130 l +730 660 l +1170 660 l +1170 2010 l +1040 2010 l +S +440 1160 m +590 1160 l +590 1930 l +860 1930 l +S +endstream +endobj +3 0 obj +<< + /Type /Pages + /Kids + [ + 10 0 R + ] + /Count 1 + /ProcSet [ /PDF /Text /ImageB /ImageC ] +>> +endobj +6 0 obj +<< + /GS << /Type /ExtGState + /LC 0 + /LJ 0 + /ML 4.0 + /ca 1.0 + /CA 1.0 + /AIS false + /SMask /None + >> + /GSa0 << /Type /ExtGState /ca 1 >> + /GSA0 << /Type /ExtGState /CA 1 >> +>> +endobj +23 0 obj +<< + /Title (axi_interconnect_0 axi_interconnect_0_imp) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 159 421 226 546] + /Parent 22 0 R + /Next 24 0 R +>> +endobj +24 0 obj +<< + /Title (axi_interconnect_hp0 axi_interconnect_hp0_imp) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 159 550 226 624] + /Parent 22 0 R + /Prev 23 0 R + /Next 25 0 R +>> +endobj +25 0 obj +<< + /Title (dma dma_imp) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 148 352 238 417] + /Parent 22 0 R + /Prev 24 0 R + /Next 26 0 R +>> +endobj +26 0 obj +<< + /Title (processing_system7_0 e320_ps_bd_processing_system7_0_0) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 305 485 416 756] + /Parent 22 0 R + /Prev 25 0 R + /Next 27 0 R +>> +endobj +27 0 obj +<< + /Title (xlconcat_0 e320_ps_bd_xlconcat_0_1) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 335 35 386 99] + /Parent 22 0 R + /Prev 26 0 R + /Next 28 0 R +>> +endobj +28 0 obj +<< + /Title (xlslice_2 e320_ps_bd_xlslice_2_1) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 168 295 218 314] + /Parent 22 0 R + /Prev 27 0 R +>> +endobj +22 0 obj +<< + /Title (instances) + /C [0.0 0.4 0.0] + /F 1 + /Parent 21 0 R + /First 23 0 R + /Last 28 0 R + /Count 6 + /Next 29 0 R +>> +endobj +30 0 obj +<< + /Title (DDR output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 620 551 626] + /Parent 29 0 R + /Next 31 0 R +>> +endobj +31 0 obj +<< + /Title (DDR_VRN inout) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 615 560 620] + /Parent 29 0 R + /Prev 30 0 R + /Next 32 0 R +>> +endobj +32 0 obj +<< + /Title (DDR_VRP inout) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 716 560 720] + /Parent 29 0 R + /Prev 31 0 R + /Next 33 0 R +>> +endobj +33 0 obj +<< + /Title (FCLK_CLK0 output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 604 562 609] + /Parent 29 0 R + /Prev 32 0 R + /Next 34 0 R +>> +endobj +34 0 obj +<< + /Title (FCLK_CLK1 output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 448 562 452] + /Parent 29 0 R + /Prev 33 0 R + /Next 35 0 R +>> +endobj +35 0 obj +<< + /Title (FCLK_CLK2 output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 453 562 458] + /Parent 29 0 R + /Prev 34 0 R + /Next 36 0 R +>> +endobj +36 0 obj +<< + /Title (FCLK_CLK3 output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 587 562 592] + /Parent 29 0 R + /Prev 35 0 R + /Next 37 0 R +>> +endobj +37 0 obj +<< + /Title (FCLK_RESET0_N output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 459 571 463] + /Parent 29 0 R + /Prev 36 0 R + /Next 38 0 R +>> +endobj +38 0 obj +<< + /Title (GPIO_0 output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 743 556 749] + /Parent 29 0 R + /Prev 37 0 R + /Next 39 0 R +>> +endobj +39 0 obj +<< + /Title (PS_CLK inout) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 704 557 710] + /Parent 29 0 R + /Prev 38 0 R + /Next 40 0 R +>> +endobj +40 0 obj +<< + /Title (PS_PORB inout) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 699 560 704] + /Parent 29 0 R + /Prev 39 0 R + /Next 41 0 R +>> +endobj +41 0 obj +<< + /Title (PS_SRSTB inout) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 554 561 558] + /Parent 29 0 R + /Prev 40 0 R + /Next 42 0 R +>> +endobj +42 0 obj +<< + /Title (SPI0_MISO_I input) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 57 615 84 620] + /Parent 29 0 R + /Prev 41 0 R + /Next 43 0 R +>> +endobj +43 0 obj +<< + /Title (SPI0_MISO_O output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 649 566 653] + /Parent 29 0 R + /Prev 42 0 R + /Next 44 0 R +>> +endobj +44 0 obj +<< + /Title (SPI0_MISO_T output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 643 565 648] + /Parent 29 0 R + /Prev 43 0 R + /Next 45 0 R +>> +endobj +45 0 obj +<< + /Title (SPI0_MOSI_I input) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 57 652 84 656] + /Parent 29 0 R + /Prev 44 0 R + /Next 46 0 R +>> +endobj +46 0 obj +<< + /Title (SPI0_MOSI_O output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 666 566 670] + /Parent 29 0 R + /Prev 45 0 R + /Next 47 0 R +>> +endobj +47 0 obj +<< + /Title (SPI0_MOSI_T output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 660 565 665] + /Parent 29 0 R + /Prev 46 0 R + /Next 48 0 R +>> +endobj +48 0 obj +<< + /Title (SPI0_SCLK_I input) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 56 660 84 665] + /Parent 29 0 R + /Prev 47 0 R + /Next 49 0 R +>> +endobj +49 0 obj +<< + /Title (SPI0_SCLK_O output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 526 566 530] + /Parent 29 0 R + /Prev 48 0 R + /Next 50 0 R +>> +endobj +50 0 obj +<< + /Title (SPI0_SCLK_T output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 520 565 525] + /Parent 29 0 R + /Prev 49 0 R + /Next 51 0 R +>> +endobj +51 0 obj +<< + /Title (SPI0_SS1_O output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 504 563 508] + /Parent 29 0 R + /Prev 50 0 R + /Next 52 0 R +>> +endobj +52 0 obj +<< + /Title (SPI0_SS2_O output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 498 563 503] + /Parent 29 0 R + /Prev 51 0 R + /Next 53 0 R +>> +endobj +53 0 obj +<< + /Title (SPI0_SS_I input) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 61 599 84 603] + /Parent 29 0 R + /Prev 52 0 R + /Next 54 0 R +>> +endobj +54 0 obj +<< + /Title (SPI0_SS_O output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 515 561 519] + /Parent 29 0 R + /Prev 53 0 R + /Next 55 0 R +>> +endobj +55 0 obj +<< + /Title (SPI0_SS_T output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 509 561 514] + /Parent 29 0 R + /Prev 54 0 R + /Next 56 0 R +>> +endobj +56 0 obj +<< + /Title (SPI1_MISO_I input) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 57 571 84 575] + /Parent 29 0 R + /Prev 55 0 R + /Next 57 0 R +>> +endobj +57 0 obj +<< + /Title (SPI1_MISO_O output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 565 566 570] + /Parent 29 0 R + /Prev 56 0 R + /Next 58 0 R +>> +endobj +58 0 obj +<< + /Title (SPI1_MISO_T output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 560 565 564] + /Parent 29 0 R + /Prev 57 0 R + /Next 59 0 R +>> +endobj +59 0 obj +<< + /Title (SPI1_MOSI_I input) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 57 587 84 592] + /Parent 29 0 R + /Prev 58 0 R + /Next 60 0 R +>> +endobj +60 0 obj +<< + /Title (SPI1_MOSI_O output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 582 566 586] + /Parent 29 0 R + /Prev 59 0 R + /Next 61 0 R +>> +endobj +61 0 obj +<< + /Title (SPI1_MOSI_T output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 576 565 581] + /Parent 29 0 R + /Prev 60 0 R + /Next 62 0 R +>> +endobj +62 0 obj +<< + /Title (SPI1_SCLK_I input) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 56 604 84 609] + /Parent 29 0 R + /Prev 61 0 R + /Next 63 0 R +>> +endobj +63 0 obj +<< + /Title (SPI1_SCLK_O output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 599 566 603] + /Parent 29 0 R + /Prev 62 0 R + /Next 64 0 R +>> +endobj +64 0 obj +<< + /Title (SPI1_SCLK_T output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 593 565 598] + /Parent 29 0 R + /Prev 63 0 R + /Next 65 0 R +>> +endobj +65 0 obj +<< + /Title (SPI1_SS1_O output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 543 563 547] + /Parent 29 0 R + /Prev 64 0 R + /Next 66 0 R +>> +endobj +66 0 obj +<< + /Title (SPI1_SS2_O output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 537 563 542] + /Parent 29 0 R + /Prev 65 0 R + /Next 67 0 R +>> +endobj +67 0 obj +<< + /Title (SPI1_SS_I input) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 61 554 84 558] + /Parent 29 0 R + /Prev 66 0 R + /Next 68 0 R +>> +endobj +68 0 obj +<< + /Title (SPI1_SS_O output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 548 561 553] + /Parent 29 0 R + /Prev 67 0 R + /Next 69 0 R +>> +endobj +69 0 obj +<< + /Title (SPI1_SS_T output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 532 561 536] + /Parent 29 0 R + /Prev 68 0 R + /Next 70 0 R +>> +endobj +70 0 obj +<< + /Title (S_AXI_GP0_ACLK input) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 48 593 84 598] + /Parent 29 0 R + /Prev 69 0 R + /Next 71 0 R +>> +endobj +71 0 obj +<< + /Title (S_AXI_GP0_ARESETN input) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 41 520 84 525] + /Parent 29 0 R + /Prev 70 0 R + /Next 72 0 R +>> +endobj +72 0 obj +<< + /Title (S_AXI_HP0 input) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 59 581 85 587] + /Parent 29 0 R + /Prev 71 0 R + /Next 73 0 R +>> +endobj +73 0 obj +<< + /Title (S_AXI_HP0_ACLK input) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 48 576 85 581] + /Parent 29 0 R + /Prev 72 0 R + /Next 74 0 R +>> +endobj +74 0 obj +<< + /Title (S_AXI_HP0_ARESETN input) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 41 610 84 614] + /Parent 29 0 R + /Prev 73 0 R + /Next 75 0 R +>> +endobj +75 0 obj +<< + /Title (USBIND_0 output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 492 560 497] + /Parent 29 0 R + /Prev 74 0 R + /Next 76 0 R +>> +endobj +76 0 obj +<< + /Title (bus_clk input) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 66 565 84 570] + /Parent 29 0 R + /Prev 75 0 R + /Next 77 0 R +>> +endobj +77 0 obj +<< + /Title (bus_rstn input) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 64 560 84 564] + /Parent 29 0 R + /Prev 76 0 R + /Next 78 0 R +>> +endobj +78 0 obj +<< + /Title (clk40 input) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 69 641 84 645] + /Parent 29 0 R + /Prev 77 0 R + /Next 79 0 R +>> +endobj +79 0 obj +<< + /Title (clk40_rstn input) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 62 548 84 553] + /Parent 29 0 R + /Prev 78 0 R + /Next 80 0 R +>> +endobj +80 0 obj +<< + /Title (i_cvita_dma input) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 59 542 85 548] + /Parent 29 0 R + /Prev 79 0 R + /Next 81 0 R +>> +endobj +81 0 obj +<< + /Title (m_axi_eth_dma output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 486 567 492] + /Parent 29 0 R + /Prev 80 0 R + /Next 82 0 R +>> +endobj +82 0 obj +<< + /Title (m_axi_net output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 481 559 486] + /Parent 29 0 R + /Prev 81 0 R + /Next 83 0 R +>> +endobj +83 0 obj +<< + /Title (m_axi_xbar output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 475 561 481] + /Parent 29 0 R + /Prev 82 0 R + /Next 84 0 R +>> +endobj +84 0 obj +<< + /Title (o_cvita_dma output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 470 563 475] + /Parent 29 0 R + /Prev 83 0 R + /Next 85 0 R +>> +endobj +85 0 obj +<< + /Title (s_axi_eth_descriptor input) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 46 537 85 542] + /Parent 29 0 R + /Prev 84 0 R +>> +endobj +29 0 obj +<< + /Title (ports) + /C [0.0 0.4 0.0] + /F 1 + /Parent 21 0 R + /First 30 0 R + /Last 85 0 R + /Count 56 + /Prev 22 0 R + /Next 86 0 R +>> +endobj +87 0 obj +<< + /Title (IRQ_F2P input) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 55 620 85 626] + /Parent 86 0 R + /Next 88 0 R +>> +endobj +88 0 obj +<< + /Title (MIO inout) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 536 570 559 576] + /Parent 86 0 R + /Prev 87 0 R +>> +endobj +86 0 obj +<< + /Title (portBuses) + /C [0.0 0.4 0.0] + /F 1 + /Parent 21 0 R + /First 87 0 R + /Last 88 0 R + /Count 2 + /Prev 29 0 R + /Next 89 0 R +>> +endobj +90 0 obj +<< + /Title (IRQ_F2P_1) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 83 304 169 624] + /Parent 89 0 R + /Next 91 0 R +>> +endobj +91 0 obj +<< + /Title (S00_AXI_1) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 136 474 423 636] + /Parent 89 0 R + /Prev 90 0 R + /Next 92 0 R +>> +endobj +92 0 obj +<< + /Title (SPI0_MISO_I_1) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 84 464 433 668] + /Parent 89 0 R + /Prev 91 0 R + /Next 93 0 R +>> +endobj +93 0 obj +<< + /Title (SPI0_MOSI_I_1) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 84 461 442 674] + /Parent 89 0 R + /Prev 92 0 R + /Next 94 0 R +>> +endobj +94 0 obj +<< + /Title (SPI0_SCLK_I_1) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 84 458 445 691] + /Parent 89 0 R + /Prev 93 0 R + /Next 95 0 R +>> +endobj +95 0 obj +<< + /Title (SPI0_SS_I_1) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 84 455 439 660] + /Parent 89 0 R + /Prev 94 0 R + /Next 96 0 R +>> +endobj +96 0 obj +<< + /Title (SPI1_MISO_I_0_1) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 84 469 425 657] + /Parent 89 0 R + /Prev 95 0 R + /Next 97 0 R +>> +endobj +97 0 obj +<< + /Title (SPI1_MOSI_I_0_1) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 84 466 428 654] + /Parent 89 0 R + /Prev 96 0 R + /Next 98 0 R +>> +endobj +98 0 obj +<< + /Title (SPI1_SCLK_I_0_1) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 84 453 436 651] + /Parent 89 0 R + /Prev 97 0 R + /Next 99 0 R +>> +endobj +99 0 obj +<< + /Title (SPI1_SS_I_0_1) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 84 450 431 649] + /Parent 89 0 R + /Prev 98 0 R + /Next 100 0 R +>> +endobj +100 0 obj +<< + /Title (S_AXI_GP0_ACLK_1) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 84 528 160 596] + /Parent 89 0 R + /Prev 99 0 R + /Next 101 0 R +>> +endobj +101 0 obj +<< + /Title (S_AXI_GP0_ARESETN_1) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 84 523 159 523] + /Parent 89 0 R + /Prev 100 0 R + /Next 102 0 R +>> +endobj +102 0 obj +<< + /Title (S_AXI_HP0_1) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 83 583 160 613] + /Parent 89 0 R + /Prev 101 0 R + /Next 103 0 R +>> +endobj +103 0 obj +<< + /Title (S_AXI_HP0_ACLK_1) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 84 567 305 646] + /Parent 89 0 R + /Prev 102 0 R + /Next 104 0 R +>> +endobj +104 0 obj +<< + /Title (S_AXI_HP0_ARESETN_1) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 84 561 160 612] + /Parent 89 0 R + /Prev 103 0 R + /Next 105 0 R +>> +endobj +105 0 obj +<< + /Title (axi_interconnect_0_M00_AXI) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 225 480 538 501] + /Parent 89 0 R + /Prev 104 0 R + /Next 106 0 R +>> +endobj +106 0 obj +<< + /Title (axi_interconnect_0_M01_AXI) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 225 477 538 496] + /Parent 89 0 R + /Prev 105 0 R + /Next 107 0 R +>> +endobj +107 0 obj +<< + /Title (axi_interconnect_0_M02_AXI) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 225 471 538 490] + /Parent 89 0 R + /Prev 106 0 R + /Next 108 0 R +>> +endobj +108 0 obj +<< + /Title (axi_interconnect_0_M03_AXI) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 127 382 247 641] + /Parent 89 0 R + /Prev 107 0 R + /Next 109 0 R +>> +endobj +109 0 obj +<< + /Title (axi_interconnect_0_M05_AXI) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 130 393 253 638] + /Parent 89 0 R + /Prev 108 0 R + /Next 110 0 R +>> +endobj +110 0 obj +<< + /Title (axi_protocol_converter_hp0_M_AXI) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 225 586 306 633] + /Parent 89 0 R + /Prev 109 0 R + /Next 111 0 R +>> +endobj +111 0 obj +<< + /Title (bus_clk) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 84 377 159 568] + /Parent 89 0 R + /Prev 110 0 R + /Next 112 0 R +>> +endobj +112 0 obj +<< + /Title (bus_rstn) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 84 371 159 562] + /Parent 89 0 R + /Prev 111 0 R + /Next 113 0 R +>> +endobj +113 0 obj +<< + /Title (clk40) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 84 366 305 643] + /Parent 89 0 R + /Prev 112 0 R + /Next 114 0 R +>> +endobj +114 0 obj +<< + /Title (clk40_rstn) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 84 360 159 551] + /Parent 89 0 R + /Prev 113 0 R + /Next 115 0 R +>> +endobj +115 0 obj +<< + /Title (ddr_vrn) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 617 537 724] + /Parent 89 0 R + /Prev 114 0 R + /Next 116 0 R +>> +endobj +116 0 obj +<< + /Title (ddr_vrp) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 718 537 718] + /Parent 89 0 R + /Prev 115 0 R + /Next 117 0 R +>> +endobj +117 0 obj +<< + /Title (dma_M_AXI_RX_DMA) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 236 387 306 627] + /Parent 89 0 R + /Prev 116 0 R + /Next 118 0 R +>> +endobj +118 0 obj +<< + /Title (dma_M_AXI_TX_DMA) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 236 382 306 622] + /Parent 89 0 R + /Prev 117 0 R + /Next 119 0 R +>> +endobj +119 0 obj +<< + /Title (dma_tx_irq) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 237 78 336 372] + /Parent 89 0 R + /Prev 118 0 R + /Next 120 0 R +>> +endobj +120 0 obj +<< + /Title (i_cvita_dma_1) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 83 404 149 546] + /Parent 89 0 R + /Prev 119 0 R + /Next 121 0 R +>> +endobj +121 0 obj +<< + /Title (mio) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 572 537 730] + /Parent 89 0 R + /Prev 120 0 R + /Next 122 0 R +>> +endobj +122 0 obj +<< + /Title (o_cvita_dma_1) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 236 393 538 473] + /Parent 89 0 R + /Prev 121 0 R + /Next 123 0 R +>> +endobj +123 0 obj +<< + /Title (processing_system7_0_DDR) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 415 622 538 742] + /Parent 89 0 R + /Prev 122 0 R + /Next 124 0 R +>> +endobj +124 0 obj +<< + /Title (processing_system7_0_FCLK_CLK0) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 517 537 607] + /Parent 89 0 R + /Prev 123 0 R + /Next 125 0 R +>> +endobj +125 0 obj +<< + /Title (processing_system7_0_FCLK_CLK1) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 450 537 512] + /Parent 89 0 R + /Prev 124 0 R + /Next 126 0 R +>> +endobj +126 0 obj +<< + /Title (processing_system7_0_FCLK_CLK2) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 455 537 506] + /Parent 89 0 R + /Prev 125 0 R + /Next 127 0 R +>> +endobj +127 0 obj +<< + /Title (processing_system7_0_FCLK_CLK3) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 500 537 590] + /Parent 89 0 R + /Prev 126 0 R + /Next 128 0 R +>> +endobj +128 0 obj +<< + /Title (processing_system7_0_FCLK_RESET0_N) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 461 537 495] + /Parent 89 0 R + /Prev 127 0 R + /Next 129 0 R +>> +endobj +129 0 obj +<< + /Title (processing_system7_0_GPIO_0) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 746 537 746] + /Parent 89 0 R + /Prev 128 0 R + /Next 130 0 R +>> +endobj +130 0 obj +<< + /Title (processing_system7_0_SPI0_MISO_O) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 651 537 651] + /Parent 89 0 R + /Prev 129 0 R + /Next 131 0 R +>> +endobj +131 0 obj +<< + /Title (processing_system7_0_SPI0_MISO_T) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 646 537 646] + /Parent 89 0 R + /Prev 130 0 R + /Next 132 0 R +>> +endobj +132 0 obj +<< + /Title (processing_system7_0_SPI0_MOSI_O) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 668 537 668] + /Parent 89 0 R + /Prev 131 0 R + /Next 133 0 R +>> +endobj +133 0 obj +<< + /Title (processing_system7_0_SPI0_MOSI_T) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 662 537 662] + /Parent 89 0 R + /Prev 132 0 R + /Next 134 0 R +>> +endobj +134 0 obj +<< + /Title (processing_system7_0_SPI0_SCLK_O) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 528 537 685] + /Parent 89 0 R + /Prev 133 0 R + /Next 135 0 R +>> +endobj +135 0 obj +<< + /Title (processing_system7_0_SPI0_SCLK_T) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 522 537 679] + /Parent 89 0 R + /Prev 134 0 R + /Next 136 0 R +>> +endobj +136 0 obj +<< + /Title (processing_system7_0_SPI0_SS1_O) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 506 537 629] + /Parent 89 0 R + /Prev 135 0 R + /Next 137 0 R +>> +endobj +137 0 obj +<< + /Title (processing_system7_0_SPI0_SS2_O) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 500 537 624] + /Parent 89 0 R + /Prev 136 0 R + /Next 138 0 R +>> +endobj +138 0 obj +<< + /Title (processing_system7_0_SPI0_SS_O) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 517 537 635] + /Parent 89 0 R + /Prev 137 0 R + /Next 139 0 R +>> +endobj +139 0 obj +<< + /Title (processing_system7_0_SPI0_SS_T) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 511 537 618] + /Parent 89 0 R + /Prev 138 0 R + /Next 140 0 R +>> +endobj +140 0 obj +<< + /Title (processing_system7_0_SPI1_MISO_O) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 567 537 567] + /Parent 89 0 R + /Prev 139 0 R + /Next 141 0 R +>> +endobj +141 0 obj +<< + /Title (processing_system7_0_SPI1_MISO_T) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 562 537 562] + /Parent 89 0 R + /Prev 140 0 R + /Next 142 0 R +>> +endobj +142 0 obj +<< + /Title (processing_system7_0_SPI1_MOSI_O) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 584 537 584] + /Parent 89 0 R + /Prev 141 0 R + /Next 143 0 R +>> +endobj +143 0 obj +<< + /Title (processing_system7_0_SPI1_MOSI_T) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 579 537 579] + /Parent 89 0 R + /Prev 142 0 R + /Next 144 0 R +>> +endobj +144 0 obj +<< + /Title (processing_system7_0_SPI1_SCLK_O) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 601 537 601] + /Parent 89 0 R + /Prev 143 0 R + /Next 145 0 R +>> +endobj +145 0 obj +<< + /Title (processing_system7_0_SPI1_SCLK_T) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 595 537 595] + /Parent 89 0 R + /Prev 144 0 R + /Next 146 0 R +>> +endobj +146 0 obj +<< + /Title (processing_system7_0_SPI1_SS1_O) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 545 537 545] + /Parent 89 0 R + /Prev 145 0 R + /Next 147 0 R +>> +endobj +147 0 obj +<< + /Title (processing_system7_0_SPI1_SS2_O) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 539 537 539] + /Parent 89 0 R + /Prev 146 0 R + /Next 148 0 R +>> +endobj +148 0 obj +<< + /Title (processing_system7_0_SPI1_SS_O) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 551 537 551] + /Parent 89 0 R + /Prev 147 0 R + /Next 149 0 R +>> +endobj +149 0 obj +<< + /Title (processing_system7_0_SPI1_SS_T) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 534 537 534] + /Parent 89 0 R + /Prev 148 0 R + /Next 150 0 R +>> +endobj +150 0 obj +<< + /Title (processing_system7_0_USBIND_0) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 415 494 538 529] + /Parent 89 0 R + /Prev 149 0 R + /Next 151 0 R +>> +endobj +151 0 obj +<< + /Title (ps_clk) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 707 537 707] + /Parent 89 0 R + /Prev 150 0 R + /Next 152 0 R +>> +endobj +152 0 obj +<< + /Title (ps_porb) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 701 537 701] + /Parent 89 0 R + /Prev 151 0 R + /Next 153 0 R +>> +endobj +153 0 obj +<< + /Title (ps_srstb) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 416 556 537 713] + /Parent 89 0 R + /Prev 152 0 R + /Next 154 0 R +>> +endobj +154 0 obj +<< + /Title (rx_dma_irq) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 237 84 336 378] + /Parent 89 0 R + /Prev 153 0 R + /Next 155 0 R +>> +endobj +155 0 obj +<< + /Title (s_axi_eth_descriptor_1) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 83 538 160 608] + /Parent 89 0 R + /Prev 154 0 R + /Next 156 0 R +>> +endobj +156 0 obj +<< + /Title (s_axi_regfile_1) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 133 387 250 633] + /Parent 89 0 R + /Prev 155 0 R + /Next 157 0 R +>> +endobj +157 0 obj +<< + /Title (s_axi_rx_dmac_1) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 139 399 244 630] + /Parent 89 0 R + /Prev 156 0 R + /Next 158 0 R +>> +endobj +158 0 obj +<< + /Title (xlconcat_0_dout) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 298 66 423 593] + /Parent 89 0 R + /Prev 157 0 R + /Next 159 0 R +>> +endobj +159 0 obj +<< + /Title (xlslice_2_Dout) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 217 89 336 306] + /Parent 89 0 R + /Prev 158 0 R +>> +endobj +89 0 obj +<< + /Title (nets) + /C [0.0 0.4 0.0] + /F 1 + /Parent 21 0 R + /First 90 0 R + /Last 159 0 R + /Count 70 + /Prev 86 0 R + /Next 160 0 R +>> +endobj +160 0 obj +<< + /Title (netBundles) + /C [0.0 0.4 0.0] + /F 1 + /Parent 21 0 R + /First 0 0 R + /Last 0 0 R + /Count 0 + /Prev 89 0 R +>> +endobj +21 0 obj +<< + /Title (Nlview page 1) + /C [0.4 0.0 0.0] + /Dest [10 0 R /Fit] + /Parent 7 0 R + /First 22 0 R + /Last 160 0 R + /Count 5 +>> +endobj +8 0 obj +<< +>> +endobj +9 0 obj +<< + /Im0 12 0 R + /Im1 13 0 R + /Im2 14 0 R + /Im3 15 0 R + /Im4 16 0 R + /Im5 17 0 R + /Im6 18 0 R + /Im7 19 0 R + /Im8 20 0 R +>> +endobj +161 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceGray + /BitsPerComponent 8 + /Width 48 + /Height 60 + /Length 2880 +>> +stream +@@@@@@@@@@@@@@@@@@@@@@pp@@@@@@@@@@@00@@@@@@@@@@@00000000000000000000000000@@@@@@@@@@@@@@@@@@@@@@pp@@@@@@@@@@@@@@@@@@@@@@0000000000000000000000000000@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@endstream +endobj +12 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceRGB + /BitsPerComponent 8 + /Width 48 + /Height 60 + /SMask 161 0 R + /Length 8640 +>> +stream +.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.N.N.N.N.N.N.N.N.N.N.N.N.N.N.N.N.N.N.N.N.N.N.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.P0P0P.P.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.P.O/N0P0P/N.O.P.O.O.O.O.O.O.O.O.O.O.O0P0P0P0P0P0P0P0P0P0P0P0P.O.O/N0P0P/N.O.O0P0P0P0P0P0P0P0P0P0P0P0P0P.O.O/N0P0P/N.O.O0P0P.O.O/N0P0P/N.O.O0P0P.O.O/N0P0P/N.O.O0P0P.O.O/N0P0P/N.O.O0P0P.O.O.O.O.O.O0P0P-O.O.O-O0P0P.O.O.O.O0P0P/N.O.O.O.O/N0P0P/N.O.O0P0P.O.O/N0P0P/N.O.O0P0P.O.O/N0P0P/N.O.O0P0P.O.O/N0P0P/N.O.O0P0P.O.O/N0P.O.O.O.O.O.O.O.O.O.O.O0P/N.O.O0P0P.O.O/N0P.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.P.O.O0P0P.O.O.P.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O0P.N0P0P.N0P.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.N.N.N.N.N.N.N.N.N.N.N.N.N.N.N.N.N.N.N.N.N.N.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.P0P0P.P.O.O.O.O.O.O.O.O.O.O.O0P0P0P0P0P0P0P0P0P0P0P.P.O/N0P0P/N.O.P0P0P0P0P0P0P0P0P0P0P0P0P.O.O/N0P0P/N.O.O0P0P.O.O/N0P0P/N.O.O0P0P.O.O/N0P0P/N.O.O0P0P.O.O/N0P0P/N.O.O0P0P.O.O/N0P0P/N.O.O0P0P.O.O.O.O.O.O0P0P-O.O.O-O0P0P.O.O.O.O0P0P/N.O.O.O.O/N0P0P/N.O.O0P0P.O.O/N0P0P/N.O.O0P0P.O.O/N0P0P/N.O.O0P0P.O.O/N0P.O.O.O.O.O.O.O.O.O.O.O0P/N.O.O0P0P.O.O/N0P.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O0P/N.O.O0P0P.O.O/N0P.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.P.O.O0P0P.O.O.P.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O0P.N0P0P.N0P.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.N.N.N.N.N.N.N.N.N.N.N.N.N.N.N.N.N.N.N.N.N.N.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O.O0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0Pendstream +endobj +162 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceGray + /BitsPerComponent 8 + /Width 44 + /Height 44 + /Length 1936 +>> +stream +3333333333333333333333333'~c?9 r3  ~Z!H̍0endstream +endobj +13 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceRGB + /BitsPerComponent 8 + /Width 44 + /Height 44 + /SMask 162 0 R + /Length 5808 +>> +stream +2P2P2P2P2P2P2P2P2P2P2P2P2P2P2P2P2P2P2P2P2P2P2P2P2P4N3U3O3N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N1MUU1M2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3M1L2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3N1I+U2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N1N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2P3N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N1N+U2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3N3U2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3U2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3U2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3U2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3U2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3U2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3U2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3U2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3U2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3U2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3U2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3U2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3U2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3U2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3U2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3U2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3U2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3U2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3U2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3U2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3U2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3U2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3U2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3U2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N9U2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3O3O2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N.MUU2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N3N3M2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N1N+U3M2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N+U2N1M2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N1M2N3N0Pendstream +endobj +163 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceGray + /BitsPerComponent 8 + /Width 16 + /Height 16 + /Length 256 +>> +stream +@@@@ +@@ @@@@@@pp@@@@@@@@pp@@@@@@@@@@@@endstream +endobj +14 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceRGB + /BitsPerComponent 8 + /Width 16 + /Height 16 + /SMask 163 0 R + /Length 768 +>> +stream +IIIfff4P2N2N4P```4P2N2N4PMMM4P2N2N4PUUU@@@UUU4P2N2N4P[[[4P4P4P4P2M2N2N2M4P4P4P4P2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N4P4P4P4P2M2N2N2M4P4P4P4P4P2N2N4P4P2N2N4P4P2N2N4P4P2N2N4Pendstream +endobj +164 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceGray + /BitsPerComponent 8 + /Width 10 + /Height 18 + /Length 180 +>> +stream +endstream +endobj +15 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceRGB + /BitsPerComponent 8 + /Width 10 + /Height 18 + /SMask 164 0 R + /Length 540 +>> +stream +?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?nendstream +endobj +165 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceGray + /BitsPerComponent 8 + /Width 10 + /Height 18 + /Length 180 +>> +stream +endstream +endobj +16 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceRGB + /BitsPerComponent 8 + /Width 10 + /Height 18 + /SMask 165 0 R + /Length 540 +>> +stream +?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?nendstream +endobj +166 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceGray + /BitsPerComponent 8 + /Width 10 + /Height 18 + /Length 180 +>> +stream +endstream +endobj +17 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceRGB + /BitsPerComponent 8 + /Width 10 + /Height 18 + /SMask 166 0 R + /Length 540 +>> +stream +Fl?n?n?n?n?nFl?n?n?n?n?nFl?n?n?n?n?nFl?n?n?n?n?nFl?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?nendstream +endobj +167 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceGray + /BitsPerComponent 8 + /Width 10 + /Height 18 + /Length 180 +>> +stream +endstream +endobj +18 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceRGB + /BitsPerComponent 8 + /Width 10 + /Height 18 + /SMask 167 0 R + /Length 540 +>> +stream +?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?n?nendstream +endobj +168 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceGray + /BitsPerComponent 8 + /Width 100 + /Height 31 + /Length 3100 +>> +stream +` `0@@p@0P00` ``@``π@p pϿp@@@@@@@@@@@Pp` P 00``pp0P 0@ p`0p0`0P@P@PP000`  pP0` 0P@ @@@@@@@@@@00Ppp@ PP`@P000p@p pp0 0 π`P@`@ `0@P@`@@`0`@00 0π@@@@@@@@@@@@@@@@0@0 @00@ 0p `0``endstream +endobj +19 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceRGB + /BitsPerComponent 8 + /Width 100 + /Height 31 + /SMask 168 0 R + /Length 9300 +>> +stream +EjEjEjEjEjEjEjEjEjEjEjEj@pEjEjEjEjEjEjEjEjEjEjEjEj@pEjEjEjEjEjEjEjEjEjEjEjEi@pEjEjEjEjEjEjEjEjEjEjEjEj@pEjEjEjEjEjEjEjEjEjEjEjFjHhEjEjEjEjEjEjEjEjEjEjEjEjEjEjEjEjEjEjEjEjEjEjEjEjDlppphllikkjjlhlljjjFiEjEjEjEjEjEjEjEjEjEjEjEjjjjiiliiliiliiliiliiliiliiliiliiliiliiliiliiliiljjmjjliiliilhhphjkiiliilpppjjmiilijlpppiimiiljjmhlliilijlijlijlijlijlijlijkjjmEjEiEiEiEiEiEiEiEiEiEi+cչչչչչչչչչչhllijlijlijlijlijlijlijlijlijlijlijlijlijlijlijlikkhhpijlijliilikkijlijljjljjlijlijlhjkiilijljjlhjkijlijlijlijliiliiliilijlijlijlijlpppϹչչչչչչչչչչոppphllhllhllhllhllhllhllhllhllhllhlliilijlijlijkpppikkijlijljjmhhpijlijlijljjlijlijlijliiliilijljjlijlijlijlijlhhphhpiimijlijlijlpppϹչչչչչչչչչչոiilijlijljjjijlijlijlpppiilijlijljjjjjlijlijlijlijlpppiilijljjljjlijlijljjmjjmijlijliimչչչչչչչչչչչikkijlijlikkjjjijlijlhjkiilijlijljjljjlijliiliilijliiliilijljjlhhpijlijlijliimijlijlhhpչչչչչչչչչչչտjjjijlijliiljjlijlijlhllpppijlijlijljjlijliilhhpijlijlikkiilijljjlhjkijlijlppppppijlijlhjkչչչչչչչչչչչտpppijkijlijlpppijkijlijkijlijlijljjjjjlijliilikkijlijljjjiilijljjlijlijlhjkhjkijlijlչչչչչչչչչչչպhjkijlijliilhllijlijljjliilijlijljjljjlijliiliilijlijliilijljjlhllijlijliiliilijlijljjjչչչչչչչչչչչպjjmijlijliimiimijlijlhhppppijlijlijljjlijliilhhpijlijljjliilijljjlikkijlijlppppppijlijliilչչչչչչչչչչչպhhpijlijlijlppppppijlijliiliimijlijljjjjjlijliiliilijlijlhlliilijljjljjlijlijlijlijljjl׷ӷӷӷӷӷӷӷӷӷӺijlijlijljjjiilijlijlhjkijlijlikkjjlijliilijlijlijkpppiilijljjliimijliilijlijljjliimijlijlikkijlijlijlijliiljjlijliilpppijlijliimiilijljjliilijliiliilijljjlhllijlijlijlhhpijlijlijlhhpjjlijliiliilijlijliilijlijljjljjlijlijlijlijljjlpppijlijlijlpppiilijliiljjlijliilijlijlijlpppjjlijljjljjlijlijlijlijljjmiilijlijlhlliilijliiljjlijliilpppijkijlijljjlijljjliilijlijljjjjjjijlijljjjikkijlijliimiilijliiljjlijliilhllijlijlikkjjlijljjlhhpijlijlikkikkijlijljjjijlijlijliilijliiljjlijliiliimijlijlhhpjjlijljjlijlijlijlhjkijlijljjjijkijlhjkpppijkijlijlhhpiilijliiljjlijliilpppijkijlijljjlijljjljjmijlijliiliilijlijlijlijlhllhjkijlijljjmiilijliiljjlijliilhllijlijlijlijljjlijkijlijlhhppppijlijlijlijljjmijlijlijliilijliiljjlijliiliimijlijlijljjljjjijlijlijlhlliilijlijlijlijlijlijlijlijlijlijlijlijlijlijlijlijlijlijlijlijlhlliilijliiljjlijliilijlijlijljjljjmijlijlijliiljjlhllhlljjlijlijlijlijlijlijlhjkjjmhjkijljjjjjmijlijlijlijlijlijlijlijlijlijlijlijlijlijlijlijlhlliilijliiljjlijliiljjjijlijljjljjjiilijlijlijlijlijlijlijlijlijlhhpjjjijlijliimjjlijlijljjlhllhllhllhllhllhllhllhllhllhllhllhllhllhllhllhllpppjjjhlljjjhhphlljjjjjjhllhhpjjjjjlijliiliilhjkikkhhpjjmiiliiljjjjjmhjkjjljjmendstream +endobj +169 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceGray + /BitsPerComponent 8 + /Width 16 + /Height 16 + /Length 256 +>> +stream + + @@@@@@@@@@@@@@@@@@@@@@@@endstream +endobj +20 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceRGB + /BitsPerComponent 8 + /Width 16 + /Height 16 + /SMask 169 0 R + /Length 768 +>> +stream +IIIfff```MMMUUU@@@UUU[[[4P4P4P4P4P4P4P4P4P4P4P4P2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N2N4P4P4P4P4P4P4P4P4P4P4P4Pendstream +endobj +7 0 obj +<< + /Type /Outline + /First 21 0 R + /Last 21 0 R + /Count 1 +>> +endobj +xref +0 170 +0000000000 65535 f +0000000009 00000 n +0000000211 00000 n +0000054624 00000 n +0000000361 00000 n +0000000488 00000 n +0000054743 00000 n +0000118677 00000 n +0000078858 00000 n +0000078879 00000 n +0000000698 00000 n +0000000839 00000 n +0000082069 00000 n +0000092986 00000 n +0000099390 00000 n +0000100677 00000 n +0000101736 00000 n +0000102795 00000 n +0000103854 00000 n +0000107835 00000 n +0000117732 00000 n +0000078699 00000 n +0000056096 00000 n +0000055009 00000 n +0000055184 00000 n +0000055380 00000 n +0000055542 00000 n +0000055747 00000 n +0000055930 00000 n +0000065576 00000 n +0000056256 00000 n +0000056400 00000 n +0000056564 00000 n +0000056728 00000 n +0000056895 00000 n +0000057062 00000 n +0000057229 00000 n +0000057396 00000 n +0000057567 00000 n +0000057731 00000 n +0000057894 00000 n +0000058058 00000 n +0000058223 00000 n +0000058389 00000 n +0000058558 00000 n +0000058727 00000 n +0000058893 00000 n +0000059062 00000 n +0000059231 00000 n +0000059397 00000 n +0000059566 00000 n +0000059735 00000 n +0000059903 00000 n +0000060071 00000 n +0000060235 00000 n +0000060402 00000 n +0000060569 00000 n +0000060735 00000 n +0000060904 00000 n +0000061073 00000 n +0000061239 00000 n +0000061408 00000 n +0000061577 00000 n +0000061743 00000 n +0000061912 00000 n +0000062081 00000 n +0000062249 00000 n +0000062417 00000 n +0000062581 00000 n +0000062748 00000 n +0000062915 00000 n +0000063084 00000 n +0000063256 00000 n +0000063420 00000 n +0000063589 00000 n +0000063761 00000 n +0000063927 00000 n +0000064089 00000 n +0000064252 00000 n +0000064412 00000 n +0000064577 00000 n +0000064743 00000 n +0000064914 00000 n +0000065081 00000 n +0000065249 00000 n +0000065418 00000 n +0000066038 00000 n +0000065750 00000 n +0000065895 00000 n +0000078364 00000 n +0000066215 00000 n +0000066357 00000 n +0000066517 00000 n +0000066680 00000 n +0000066843 00000 n +0000067006 00000 n +0000067167 00000 n +0000067332 00000 n +0000067497 00000 n +0000067662 00000 n +0000067826 00000 n +0000067994 00000 n +0000068166 00000 n +0000068330 00000 n +0000068499 00000 n +0000068671 00000 n +0000068851 00000 n +0000069031 00000 n +0000069211 00000 n +0000069391 00000 n +0000069571 00000 n +0000069757 00000 n +0000069917 00000 n +0000070078 00000 n +0000070236 00000 n +0000070399 00000 n +0000070560 00000 n +0000070721 00000 n +0000070891 00000 n +0000071061 00000 n +0000071224 00000 n +0000071390 00000 n +0000071547 00000 n +0000071714 00000 n +0000071892 00000 n +0000072076 00000 n +0000072260 00000 n +0000072444 00000 n +0000072628 00000 n +0000072816 00000 n +0000072997 00000 n +0000073183 00000 n +0000073369 00000 n +0000073555 00000 n +0000073741 00000 n +0000073927 00000 n +0000074113 00000 n +0000074298 00000 n +0000074483 00000 n +0000074667 00000 n +0000074851 00000 n +0000075037 00000 n +0000075223 00000 n +0000075409 00000 n +0000075595 00000 n +0000075781 00000 n +0000075967 00000 n +0000076152 00000 n +0000076337 00000 n +0000076521 00000 n +0000076705 00000 n +0000076888 00000 n +0000077048 00000 n +0000077209 00000 n +0000077371 00000 n +0000077534 00000 n +0000077709 00000 n +0000077878 00000 n +0000078047 00000 n +0000078215 00000 n +0000078539 00000 n +0000079026 00000 n +0000090887 00000 n +0000098972 00000 n +0000100335 00000 n +0000101394 00000 n +0000102453 00000 n +0000103512 00000 n +0000104571 00000 n +0000117314 00000 n +trailer +<< + /Size 170 + /Info 1 0 R + /Root 2 0 R +>> +startxref +118759 +%%EOF diff --git a/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl b/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl new file mode 100644 index 000000000..04ba4accd --- /dev/null +++ b/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl @@ -0,0 +1,823 @@ +# CHANGE DESIGN NAME HERE +set design_name e320_ps_bd + +# Creating design if needed +set errMsg "" +set nRet 0 + +set cur_design [current_bd_design -quiet] +set list_cells [get_bd_cells -quiet] + +create_bd_design $design_name +current_bd_design $design_name + +if { $nRet != 0 } { + puts $errMsg + return $nRet +} + +set scriptDir [file dirname [info script]] + +################################################################## +# DESIGN PROCs +################################################################## +source "$scriptDir/chdr_dma_top.tcl" + +# Procedure to create entire design; Provide argument to make +# procedure reusable. If parentCell is "", will use root. +proc create_root_design { parentCell } { + + if { $parentCell eq "" } { + set parentCell [get_bd_cells /] + } + + # Get object for parentCell + set parentObj [get_bd_cells $parentCell] + if { $parentObj == "" } { + puts "ERROR: Unable to find parent cell <$parentCell>!" + return + } + + # Make sure parentObj is hier blk + set parentType [get_property TYPE $parentObj] + if { $parentType ne "hier" } { + puts "ERROR: Parent <$parentObj> has TYPE = <$parentType>. Expected to be ." + return + } + + # Save current instance; Restore later + set oldCurInst [current_bd_instance .] + + # Set parent object as current + current_bd_instance $parentObj + + + # Create interface ports + set DDR [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:ddrx_rtl:1.0 DDR ] + set GPIO_0 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:gpio_rtl:1.0 GPIO_0 ] + set m_axis_dma [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 m_axis_dma ] + set s_axis_dma [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 s_axis_dma ] + set_property -dict [ list \ + CONFIG.HAS_TLAST 1 \ + CONFIG.TDATA_NUM_BYTES 8 \ + CONFIG.TDEST_WIDTH 4 \ + ] $s_axis_dma + set m_axi_eth_dma [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_eth_dma ] + set_property -dict [ list \ + CONFIG.ADDR_WIDTH {32} \ + CONFIG.DATA_WIDTH {32} \ + CONFIG.FREQ_HZ {40000000} \ + CONFIG.HAS_BURST {0} \ + CONFIG.HAS_CACHE {0} \ + CONFIG.HAS_LOCK {0} \ + CONFIG.HAS_PROT {0} \ + CONFIG.HAS_QOS {0} \ + CONFIG.HAS_WSTRB {1} \ + CONFIG.NUM_READ_OUTSTANDING {2} \ + CONFIG.NUM_WRITE_OUTSTANDING {2} \ + CONFIG.PROTOCOL {AXI4LITE} \ + ] $m_axi_eth_dma + set m_axi_net [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_net ] + set_property -dict [ list \ + CONFIG.ADDR_WIDTH {32} \ + CONFIG.DATA_WIDTH {32} \ + CONFIG.FREQ_HZ {40000000} \ + CONFIG.HAS_BURST {0} \ + CONFIG.HAS_CACHE {0} \ + CONFIG.HAS_LOCK {0} \ + CONFIG.HAS_PROT {0} \ + CONFIG.HAS_QOS {0} \ + CONFIG.HAS_WSTRB {0} \ + CONFIG.NUM_READ_OUTSTANDING {2} \ + CONFIG.NUM_WRITE_OUTSTANDING {2} \ + CONFIG.PROTOCOL {AXI4LITE} \ + ] $m_axi_net + set m_axi_xbar [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_xbar ] + set_property -dict [ list \ + CONFIG.ADDR_WIDTH {32} \ + CONFIG.DATA_WIDTH {32} \ + CONFIG.FREQ_HZ {40000000} \ + CONFIG.HAS_BURST {0} \ + CONFIG.HAS_CACHE {0} \ + CONFIG.HAS_LOCK {0} \ + CONFIG.HAS_PROT {0} \ + CONFIG.HAS_QOS {0} \ + CONFIG.HAS_WSTRB {0} \ + CONFIG.NUM_READ_OUTSTANDING {2} \ + CONFIG.NUM_WRITE_OUTSTANDING {2} \ + CONFIG.PROTOCOL {AXI4LITE} \ + ] $m_axi_xbar + set s_axi_eth_descriptor [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_eth_descriptor ] + set_property -dict [ list \ + CONFIG.ADDR_WIDTH {32} \ + CONFIG.ARUSER_WIDTH {0} \ + CONFIG.AWUSER_WIDTH {0} \ + CONFIG.BUSER_WIDTH {0} \ + CONFIG.DATA_WIDTH {32} \ + CONFIG.FREQ_HZ {40000000} \ + CONFIG.HAS_BRESP {1} \ + CONFIG.HAS_BURST {1} \ + CONFIG.HAS_CACHE {1} \ + CONFIG.HAS_LOCK {1} \ + CONFIG.HAS_PROT {1} \ + CONFIG.HAS_QOS {1} \ + CONFIG.HAS_REGION {0} \ + CONFIG.HAS_RRESP {1} \ + CONFIG.HAS_WSTRB {1} \ + CONFIG.ID_WIDTH {5} \ + CONFIG.MAX_BURST_LENGTH {16} \ + CONFIG.NUM_READ_OUTSTANDING {8} \ + CONFIG.NUM_READ_THREADS {1} \ + CONFIG.NUM_WRITE_OUTSTANDING {8} \ + CONFIG.NUM_WRITE_THREADS {1} \ + CONFIG.PROTOCOL {AXI4} \ + CONFIG.READ_WRITE_MODE {READ_WRITE} \ + CONFIG.RUSER_BITS_PER_BYTE {0} \ + CONFIG.RUSER_WIDTH {0} \ + CONFIG.SUPPORTS_NARROW_BURST {1} \ + CONFIG.WUSER_BITS_PER_BYTE {0} \ + CONFIG.WUSER_WIDTH {0} \ + ] $s_axi_eth_descriptor + set S_AXI_HP0 [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 S_AXI_HP0 ] + set_property -dict [ list \ + CONFIG.ADDR_WIDTH {32} \ + CONFIG.ARUSER_WIDTH {0} \ + CONFIG.AWUSER_WIDTH {0} \ + CONFIG.BUSER_WIDTH {0} \ + CONFIG.DATA_WIDTH {64} \ + CONFIG.FREQ_HZ {40000000} \ + CONFIG.HAS_BRESP {1} \ + CONFIG.HAS_BURST {1} \ + CONFIG.HAS_CACHE {1} \ + CONFIG.HAS_LOCK {1} \ + CONFIG.HAS_PROT {1} \ + CONFIG.HAS_QOS {1} \ + CONFIG.HAS_REGION {0} \ + CONFIG.HAS_RRESP {1} \ + CONFIG.HAS_WSTRB {1} \ + CONFIG.ID_WIDTH {5} \ + CONFIG.MAX_BURST_LENGTH {16} \ + CONFIG.NUM_READ_OUTSTANDING {8} \ + CONFIG.NUM_READ_THREADS {1} \ + CONFIG.NUM_WRITE_OUTSTANDING {8} \ + CONFIG.NUM_WRITE_THREADS {1} \ + CONFIG.PROTOCOL {AXI4} \ + CONFIG.READ_WRITE_MODE {READ_WRITE} \ + CONFIG.RUSER_BITS_PER_BYTE {0} \ + CONFIG.RUSER_WIDTH {0} \ + CONFIG.SUPPORTS_NARROW_BURST {1} \ + CONFIG.WUSER_BITS_PER_BYTE {0} \ + CONFIG.WUSER_WIDTH {0} \ + ] $S_AXI_HP0 + set USBIND_0 [ create_bd_intf_port -mode Master -vlnv xilinx.com:display_processing_system7:usbctrl_rtl:1.0 USBIND_0 ] + + # Create ports + set DDR_VRN [ create_bd_port -dir IO DDR_VRN ] + set DDR_VRP [ create_bd_port -dir IO DDR_VRP ] + set FCLK_CLK0 [ create_bd_port -dir O -type clk FCLK_CLK0 ] + set FCLK_CLK1 [ create_bd_port -dir O -type clk FCLK_CLK1 ] + set FCLK_CLK2 [ create_bd_port -dir O -type clk FCLK_CLK2 ] + set FCLK_CLK3 [ create_bd_port -dir O -type clk FCLK_CLK3 ] + set FCLK_RESET0_N [ create_bd_port -dir O -type rst FCLK_RESET0_N ] + set IRQ_F2P [ create_bd_port -dir I -from 15 -to 0 -type intr IRQ_F2P ] + set_property -dict [ list \ + CONFIG.PortWidth {16} \ + CONFIG.SENSITIVITY {EDGE_RISING} \ + ] $IRQ_F2P + set MIO [ create_bd_port -dir IO -from 53 -to 0 MIO ] + set PS_CLK [ create_bd_port -dir IO PS_CLK ] + set PS_PORB [ create_bd_port -dir IO PS_PORB ] + set PS_SRSTB [ create_bd_port -dir IO PS_SRSTB ] + set SPI0_MISO_I [ create_bd_port -dir I SPI0_MISO_I ] + set SPI0_MISO_O [ create_bd_port -dir O SPI0_MISO_O ] + set SPI0_MISO_T [ create_bd_port -dir O SPI0_MISO_T ] + set SPI0_MOSI_I [ create_bd_port -dir I SPI0_MOSI_I ] + set SPI0_MOSI_O [ create_bd_port -dir O SPI0_MOSI_O ] + set SPI0_MOSI_T [ create_bd_port -dir O SPI0_MOSI_T ] + set SPI0_SCLK_I [ create_bd_port -dir I SPI0_SCLK_I ] + set SPI0_SCLK_O [ create_bd_port -dir O SPI0_SCLK_O ] + set SPI0_SCLK_T [ create_bd_port -dir O SPI0_SCLK_T ] + set SPI0_SS1_O [ create_bd_port -dir O SPI0_SS1_O ] + set SPI0_SS2_O [ create_bd_port -dir O SPI0_SS2_O ] + set SPI0_SS_I [ create_bd_port -dir I SPI0_SS_I ] + set SPI0_SS_O [ create_bd_port -dir O SPI0_SS_O ] + set SPI0_SS_T [ create_bd_port -dir O SPI0_SS_T ] + set SPI1_MISO_I [ create_bd_port -dir I SPI1_MISO_I ] + set SPI1_MISO_O [ create_bd_port -dir O SPI1_MISO_O ] + set SPI1_MISO_T [ create_bd_port -dir O SPI1_MISO_T ] + set SPI1_MOSI_I [ create_bd_port -dir I SPI1_MOSI_I ] + set SPI1_MOSI_O [ create_bd_port -dir O SPI1_MOSI_O ] + set SPI1_MOSI_T [ create_bd_port -dir O SPI1_MOSI_T ] + set SPI1_SCLK_I [ create_bd_port -dir I SPI1_SCLK_I ] + set SPI1_SCLK_O [ create_bd_port -dir O SPI1_SCLK_O ] + set SPI1_SCLK_T [ create_bd_port -dir O SPI1_SCLK_T ] + set SPI1_SS1_O [ create_bd_port -dir O SPI1_SS1_O ] + set SPI1_SS2_O [ create_bd_port -dir O SPI1_SS2_O ] + set SPI1_SS_I [ create_bd_port -dir I SPI1_SS_I ] + set SPI1_SS_O [ create_bd_port -dir O SPI1_SS_O ] + set SPI1_SS_T [ create_bd_port -dir O SPI1_SS_T ] + set S_AXI_GP0_ACLK [ create_bd_port -dir I -type clk S_AXI_GP0_ACLK ] + set_property -dict [ list \ + CONFIG.ASSOCIATED_RESET {S_AXI_GP0_ARESETN} \ + CONFIG.FREQ_HZ {40000000} \ + ] $S_AXI_GP0_ACLK + set S_AXI_GP0_ARESETN [ create_bd_port -dir I -type rst S_AXI_GP0_ARESETN ] + set S_AXI_HP0_ACLK [ create_bd_port -dir I -type clk S_AXI_HP0_ACLK ] + set_property -dict [ list \ + CONFIG.FREQ_HZ {40000000} \ + ] $S_AXI_HP0_ACLK + set S_AXI_HP0_ARESETN [ create_bd_port -dir I -type rst S_AXI_HP0_ARESETN ] + set bus_clk [ create_bd_port -dir I -type clk bus_clk ] + set_property -dict [ list \ + CONFIG.ASSOCIATED_BUSIF {m_axis_dma:s_axis_dma} \ + CONFIG.ASSOCIATED_RESET {bus_rstn} \ + CONFIG.FREQ_HZ {200000000} \ + ] $bus_clk + set bus_rstn [ create_bd_port -dir I -type rst bus_rstn ] + set clk40 [ create_bd_port -dir I -type clk clk40 ] + set_property -dict [ list \ + CONFIG.ASSOCIATED_BUSIF {m_axi_net:m_axi_xbar:m_axi_eth_dma} \ + CONFIG.ASSOCIATED_RESET {clk40_rstn} \ + CONFIG.FREQ_HZ {40000000} \ + ] $clk40 + set clk40_rstn [ create_bd_port -dir I -type rst clk40_rstn ] + # Create instance: axi_interconnect_hp0, and set properties + set axi_interconnect_hp0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_interconnect_hp0 ] + set_property -dict [ list \ + CONFIG.ENABLE_ADVANCED_OPTIONS {0} \ + CONFIG.NUM_MI {1} \ + CONFIG.NUM_SI {2} \ + ] $axi_interconnect_hp0 + + + # Create instance: axi_interconnect_0, and set properties + set axi_interconnect_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_interconnect_0 ] + set_property -dict [ list \ + CONFIG.ENABLE_ADVANCED_OPTIONS {0} \ + CONFIG.NUM_MI {6} \ + ] $axi_interconnect_0 + + # Create instance: dma + create_hier_cell_dma [current_bd_instance .] dma 6 + + # Create instance: processing_system7_0, and set properties + set processing_system7_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:processing_system7:5.5 processing_system7_0 ] + set_property -dict [ list \ + CONFIG.PCW_ACT_APU_PERIPHERAL_FREQMHZ {1000.000000} \ + CONFIG.PCW_ACT_CAN_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_DCI_PERIPHERAL_FREQMHZ {10.158730} \ + CONFIG.PCW_ACT_ENET0_PERIPHERAL_FREQMHZ {125.000000} \ + CONFIG.PCW_ACT_ENET1_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_FPGA0_PERIPHERAL_FREQMHZ {100.000000} \ + CONFIG.PCW_ACT_FPGA1_PERIPHERAL_FREQMHZ {40.000000} \ + CONFIG.PCW_ACT_FPGA2_PERIPHERAL_FREQMHZ {166.666672} \ + CONFIG.PCW_ACT_FPGA3_PERIPHERAL_FREQMHZ {200.000000} \ + CONFIG.PCW_ACT_PCAP_PERIPHERAL_FREQMHZ {200.000000} \ + CONFIG.PCW_ACT_QSPI_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_SDIO_PERIPHERAL_FREQMHZ {100.000000} \ + CONFIG.PCW_ACT_SMC_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_SPI_PERIPHERAL_FREQMHZ {166.666672} \ + CONFIG.PCW_ACT_TPIU_PERIPHERAL_FREQMHZ {200.000000} \ + CONFIG.PCW_ACT_TTC0_CLK0_PERIPHERAL_FREQMHZ {166.666672} \ + CONFIG.PCW_ACT_TTC0_CLK1_PERIPHERAL_FREQMHZ {166.666672} \ + CONFIG.PCW_ACT_TTC0_CLK2_PERIPHERAL_FREQMHZ {166.666672} \ + CONFIG.PCW_ACT_TTC1_CLK0_PERIPHERAL_FREQMHZ {166.666672} \ + CONFIG.PCW_ACT_TTC1_CLK1_PERIPHERAL_FREQMHZ {166.666672} \ + CONFIG.PCW_ACT_TTC1_CLK2_PERIPHERAL_FREQMHZ {166.666672} \ + CONFIG.PCW_ACT_UART_PERIPHERAL_FREQMHZ {100.000000} \ + CONFIG.PCW_ACT_WDT_PERIPHERAL_FREQMHZ {166.666672} \ + CONFIG.PCW_APU_PERIPHERAL_FREQMHZ {1000} \ + CONFIG.PCW_ARMPLL_CTRL_FBDIV {60} \ + CONFIG.PCW_CAN_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_CAN_PERIPHERAL_DIVISOR1 {1} \ + CONFIG.PCW_CLK0_FREQ {100000000} \ + CONFIG.PCW_CLK1_FREQ {40000000} \ + CONFIG.PCW_CLK2_FREQ {166666672} \ + CONFIG.PCW_CLK3_FREQ {200000000} \ + CONFIG.PCW_CPU_CPU_PLL_FREQMHZ {2000.000} \ + CONFIG.PCW_CPU_PERIPHERAL_DIVISOR0 {2} \ + CONFIG.PCW_DCI_PERIPHERAL_DIVISOR0 {15} \ + CONFIG.PCW_DCI_PERIPHERAL_DIVISOR1 {7} \ + CONFIG.PCW_DDRPLL_CTRL_FBDIV {32} \ + CONFIG.PCW_DDR_DDR_PLL_FREQMHZ {1066.667} \ + CONFIG.PCW_DDR_PERIPHERAL_DIVISOR0 {2} \ + CONFIG.PCW_DDR_RAM_HIGHADDR {0x3FFFFFFF} \ + CONFIG.PCW_ENET0_ENET0_IO {MIO 16 .. 27} \ + CONFIG.PCW_ENET0_GRP_MDIO_ENABLE {1} \ + CONFIG.PCW_ENET0_GRP_MDIO_IO {MIO 52 .. 53} \ + CONFIG.PCW_ENET0_PERIPHERAL_CLKSRC {IO PLL} \ + CONFIG.PCW_ENET0_PERIPHERAL_DIVISOR0 {16} \ + CONFIG.PCW_ENET0_PERIPHERAL_DIVISOR1 {1} \ + CONFIG.PCW_ENET0_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_ENET0_PERIPHERAL_FREQMHZ {1000 Mbps} \ + CONFIG.PCW_ENET0_RESET_ENABLE {1} \ + CONFIG.PCW_ENET0_RESET_IO {MIO 3} \ + CONFIG.PCW_ENET1_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_ENET1_PERIPHERAL_DIVISOR1 {1} \ + CONFIG.PCW_ENET1_RESET_ENABLE {0} \ + CONFIG.PCW_ENET_RESET_ENABLE {1} \ + CONFIG.PCW_ENET_RESET_SELECT {Share reset pin} \ + CONFIG.PCW_EN_CLK1_PORT {1} \ + CONFIG.PCW_EN_CLK2_PORT {1} \ + CONFIG.PCW_EN_CLK3_PORT {1} \ + CONFIG.PCW_EN_EMIO_ENET0 {0} \ + CONFIG.PCW_EN_EMIO_GPIO {1} \ + CONFIG.PCW_EN_EMIO_I2C1 {0} \ + CONFIG.PCW_EN_EMIO_PJTAG {0} \ + CONFIG.PCW_EN_EMIO_SPI0 {1} \ + CONFIG.PCW_EN_EMIO_SPI1 {1} \ + CONFIG.PCW_EN_EMIO_UART0 {0} \ + CONFIG.PCW_EN_ENET0 {1} \ + CONFIG.PCW_EN_GPIO {1} \ + CONFIG.PCW_EN_I2C0 {1} \ + CONFIG.PCW_EN_I2C1 {1} \ + CONFIG.PCW_EN_PJTAG {1} \ + CONFIG.PCW_EN_RST1_PORT {0} \ + CONFIG.PCW_EN_RST2_PORT {0} \ + CONFIG.PCW_EN_RST3_PORT {0} \ + CONFIG.PCW_EN_SDIO0 {1} \ + CONFIG.PCW_EN_SPI0 {1} \ + CONFIG.PCW_EN_SPI1 {1} \ + CONFIG.PCW_EN_UART0 {1} \ + CONFIG.PCW_EN_UART1 {1} \ + CONFIG.PCW_EN_USB0 {1} \ + CONFIG.PCW_FCLK0_PERIPHERAL_DIVISOR0 {5} \ + CONFIG.PCW_FCLK0_PERIPHERAL_DIVISOR1 {4} \ + CONFIG.PCW_FCLK1_PERIPHERAL_DIVISOR0 {10} \ + CONFIG.PCW_FCLK1_PERIPHERAL_DIVISOR1 {5} \ + CONFIG.PCW_FCLK2_PERIPHERAL_DIVISOR0 {4} \ + CONFIG.PCW_FCLK2_PERIPHERAL_DIVISOR1 {3} \ + CONFIG.PCW_FCLK3_PERIPHERAL_DIVISOR0 {5} \ + CONFIG.PCW_FCLK3_PERIPHERAL_DIVISOR1 {2} \ + CONFIG.PCW_FCLK_CLK1_BUF {TRUE} \ + CONFIG.PCW_FCLK_CLK2_BUF {TRUE} \ + CONFIG.PCW_FCLK_CLK3_BUF {TRUE} \ + CONFIG.PCW_FPGA0_PERIPHERAL_FREQMHZ {100} \ + CONFIG.PCW_FPGA1_PERIPHERAL_FREQMHZ {40} \ + CONFIG.PCW_FPGA2_PERIPHERAL_FREQMHZ {166.6667} \ + CONFIG.PCW_FPGA3_PERIPHERAL_FREQMHZ {200} \ + CONFIG.PCW_FPGA_FCLK0_ENABLE {1} \ + CONFIG.PCW_FPGA_FCLK1_ENABLE {1} \ + CONFIG.PCW_FPGA_FCLK2_ENABLE {1} \ + CONFIG.PCW_FPGA_FCLK3_ENABLE {1} \ + CONFIG.PCW_GPIO_EMIO_GPIO_ENABLE {1} \ + CONFIG.PCW_GPIO_EMIO_GPIO_IO {64} \ + CONFIG.PCW_GPIO_EMIO_GPIO_WIDTH {64} \ + CONFIG.PCW_GPIO_MIO_GPIO_ENABLE {1} \ + CONFIG.PCW_GPIO_MIO_GPIO_IO {MIO} \ + CONFIG.PCW_I2C0_GRP_INT_ENABLE {0} \ + CONFIG.PCW_I2C0_I2C0_IO {MIO 14 .. 15} \ + CONFIG.PCW_I2C0_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_I2C0_RESET_ENABLE {0} \ + CONFIG.PCW_I2C0_RESET_IO {} \ + CONFIG.PCW_IOPLL_CTRL_FBDIV {60} \ + CONFIG.PCW_IO_IO_PLL_FREQMHZ {2000.000} \ + CONFIG.PCW_IRQ_F2P_INTR {1} \ + CONFIG.PCW_MIO_0_DIRECTION {inout} \ + CONFIG.PCW_MIO_0_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_0_PULLUP {enabled} \ + CONFIG.PCW_MIO_0_SLEW {slow} \ + CONFIG.PCW_MIO_10_DIRECTION {in} \ + CONFIG.PCW_MIO_10_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_10_PULLUP {enabled} \ + CONFIG.PCW_MIO_10_SLEW {slow} \ + CONFIG.PCW_MIO_11_DIRECTION {out} \ + CONFIG.PCW_MIO_11_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_11_PULLUP {enabled} \ + CONFIG.PCW_MIO_11_SLEW {slow} \ + CONFIG.PCW_MIO_12_DIRECTION {in} \ + CONFIG.PCW_MIO_12_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_12_PULLUP {enabled} \ + CONFIG.PCW_MIO_12_SLEW {slow} \ + CONFIG.PCW_MIO_13_DIRECTION {in} \ + CONFIG.PCW_MIO_13_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_13_PULLUP {enabled} \ + CONFIG.PCW_MIO_13_SLEW {slow} \ + CONFIG.PCW_MIO_14_DIRECTION {inout} \ + CONFIG.PCW_MIO_14_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_14_PULLUP {enabled} \ + CONFIG.PCW_MIO_14_SLEW {slow} \ + CONFIG.PCW_MIO_15_DIRECTION {inout} \ + CONFIG.PCW_MIO_15_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_15_PULLUP {enabled} \ + CONFIG.PCW_MIO_15_SLEW {slow} \ + CONFIG.PCW_MIO_16_DIRECTION {out} \ + CONFIG.PCW_MIO_16_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_16_PULLUP {disabled} \ + CONFIG.PCW_MIO_16_SLEW {fast} \ + CONFIG.PCW_MIO_17_DIRECTION {out} \ + CONFIG.PCW_MIO_17_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_17_PULLUP {disabled} \ + CONFIG.PCW_MIO_17_SLEW {fast} \ + CONFIG.PCW_MIO_18_DIRECTION {out} \ + CONFIG.PCW_MIO_18_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_18_PULLUP {disabled} \ + CONFIG.PCW_MIO_18_SLEW {fast} \ + CONFIG.PCW_MIO_19_DIRECTION {out} \ + CONFIG.PCW_MIO_19_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_19_PULLUP {disabled} \ + CONFIG.PCW_MIO_19_SLEW {fast} \ + CONFIG.PCW_MIO_1_DIRECTION {inout} \ + CONFIG.PCW_MIO_1_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_1_PULLUP {enabled} \ + CONFIG.PCW_MIO_1_SLEW {slow} \ + CONFIG.PCW_MIO_20_DIRECTION {out} \ + CONFIG.PCW_MIO_20_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_20_PULLUP {disabled} \ + CONFIG.PCW_MIO_20_SLEW {fast} \ + CONFIG.PCW_MIO_21_DIRECTION {out} \ + CONFIG.PCW_MIO_21_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_21_PULLUP {disabled} \ + CONFIG.PCW_MIO_21_SLEW {fast} \ + CONFIG.PCW_MIO_22_DIRECTION {in} \ + CONFIG.PCW_MIO_22_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_22_PULLUP {disabled} \ + CONFIG.PCW_MIO_22_SLEW {fast} \ + CONFIG.PCW_MIO_23_DIRECTION {in} \ + CONFIG.PCW_MIO_23_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_23_PULLUP {disabled} \ + CONFIG.PCW_MIO_23_SLEW {fast} \ + CONFIG.PCW_MIO_24_DIRECTION {in} \ + CONFIG.PCW_MIO_24_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_24_PULLUP {disabled} \ + CONFIG.PCW_MIO_24_SLEW {fast} \ + CONFIG.PCW_MIO_25_DIRECTION {in} \ + CONFIG.PCW_MIO_25_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_25_PULLUP {disabled} \ + CONFIG.PCW_MIO_25_SLEW {fast} \ + CONFIG.PCW_MIO_26_DIRECTION {in} \ + CONFIG.PCW_MIO_26_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_26_PULLUP {disabled} \ + CONFIG.PCW_MIO_26_SLEW {fast} \ + CONFIG.PCW_MIO_27_DIRECTION {in} \ + CONFIG.PCW_MIO_27_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_27_PULLUP {disabled} \ + CONFIG.PCW_MIO_27_SLEW {fast} \ + CONFIG.PCW_MIO_28_DIRECTION {inout} \ + CONFIG.PCW_MIO_28_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_28_PULLUP {enabled} \ + CONFIG.PCW_MIO_28_SLEW {slow} \ + CONFIG.PCW_MIO_29_DIRECTION {in} \ + CONFIG.PCW_MIO_29_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_29_PULLUP {enabled} \ + CONFIG.PCW_MIO_29_SLEW {slow} \ + CONFIG.PCW_MIO_2_DIRECTION {inout} \ + CONFIG.PCW_MIO_2_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_2_PULLUP {disabled} \ + CONFIG.PCW_MIO_2_SLEW {slow} \ + CONFIG.PCW_MIO_30_DIRECTION {out} \ + CONFIG.PCW_MIO_30_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_30_PULLUP {enabled} \ + CONFIG.PCW_MIO_30_SLEW {slow} \ + CONFIG.PCW_MIO_31_DIRECTION {in} \ + CONFIG.PCW_MIO_31_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_31_PULLUP {enabled} \ + CONFIG.PCW_MIO_31_SLEW {slow} \ + CONFIG.PCW_MIO_32_DIRECTION {inout} \ + CONFIG.PCW_MIO_32_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_32_PULLUP {enabled} \ + CONFIG.PCW_MIO_32_SLEW {slow} \ + CONFIG.PCW_MIO_33_DIRECTION {inout} \ + CONFIG.PCW_MIO_33_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_33_PULLUP {enabled} \ + CONFIG.PCW_MIO_33_SLEW {slow} \ + CONFIG.PCW_MIO_34_DIRECTION {inout} \ + CONFIG.PCW_MIO_34_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_34_PULLUP {enabled} \ + CONFIG.PCW_MIO_34_SLEW {slow} \ + CONFIG.PCW_MIO_35_DIRECTION {inout} \ + CONFIG.PCW_MIO_35_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_35_PULLUP {enabled} \ + CONFIG.PCW_MIO_35_SLEW {slow} \ + CONFIG.PCW_MIO_36_DIRECTION {in} \ + CONFIG.PCW_MIO_36_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_36_PULLUP {enabled} \ + CONFIG.PCW_MIO_36_SLEW {slow} \ + CONFIG.PCW_MIO_37_DIRECTION {inout} \ + CONFIG.PCW_MIO_37_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_37_PULLUP {enabled} \ + CONFIG.PCW_MIO_37_SLEW {slow} \ + CONFIG.PCW_MIO_38_DIRECTION {inout} \ + CONFIG.PCW_MIO_38_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_38_PULLUP {enabled} \ + CONFIG.PCW_MIO_38_SLEW {slow} \ + CONFIG.PCW_MIO_39_DIRECTION {inout} \ + CONFIG.PCW_MIO_39_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_39_PULLUP {enabled} \ + CONFIG.PCW_MIO_39_SLEW {slow} \ + CONFIG.PCW_MIO_3_DIRECTION {out} \ + CONFIG.PCW_MIO_3_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_3_PULLUP {disabled} \ + CONFIG.PCW_MIO_3_SLEW {slow} \ + CONFIG.PCW_MIO_40_DIRECTION {inout} \ + CONFIG.PCW_MIO_40_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_40_PULLUP {enabled} \ + CONFIG.PCW_MIO_40_SLEW {slow} \ + CONFIG.PCW_MIO_41_DIRECTION {inout} \ + CONFIG.PCW_MIO_41_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_41_PULLUP {enabled} \ + CONFIG.PCW_MIO_41_SLEW {slow} \ + CONFIG.PCW_MIO_42_DIRECTION {inout} \ + CONFIG.PCW_MIO_42_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_42_PULLUP {enabled} \ + CONFIG.PCW_MIO_42_SLEW {slow} \ + CONFIG.PCW_MIO_43_DIRECTION {inout} \ + CONFIG.PCW_MIO_43_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_43_PULLUP {enabled} \ + CONFIG.PCW_MIO_43_SLEW {slow} \ + CONFIG.PCW_MIO_44_DIRECTION {inout} \ + CONFIG.PCW_MIO_44_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_44_PULLUP {enabled} \ + CONFIG.PCW_MIO_44_SLEW {slow} \ + CONFIG.PCW_MIO_45_DIRECTION {inout} \ + CONFIG.PCW_MIO_45_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_45_PULLUP {enabled} \ + CONFIG.PCW_MIO_45_SLEW {slow} \ + CONFIG.PCW_MIO_46_DIRECTION {in} \ + CONFIG.PCW_MIO_46_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_46_PULLUP {enabled} \ + CONFIG.PCW_MIO_46_SLEW {slow} \ + CONFIG.PCW_MIO_47_DIRECTION {out} \ + CONFIG.PCW_MIO_47_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_47_PULLUP {enabled} \ + CONFIG.PCW_MIO_47_SLEW {slow} \ + CONFIG.PCW_MIO_48_DIRECTION {inout} \ + CONFIG.PCW_MIO_48_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_48_PULLUP {enabled} \ + CONFIG.PCW_MIO_48_SLEW {slow} \ + CONFIG.PCW_MIO_49_DIRECTION {inout} \ + CONFIG.PCW_MIO_49_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_49_PULLUP {enabled} \ + CONFIG.PCW_MIO_49_SLEW {slow} \ + CONFIG.PCW_MIO_4_DIRECTION {out} \ + CONFIG.PCW_MIO_4_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_4_PULLUP {disabled} \ + CONFIG.PCW_MIO_4_SLEW {slow} \ + CONFIG.PCW_MIO_50_DIRECTION {inout} \ + CONFIG.PCW_MIO_50_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_50_PULLUP {enabled} \ + CONFIG.PCW_MIO_50_SLEW {slow} \ + CONFIG.PCW_MIO_51_DIRECTION {inout} \ + CONFIG.PCW_MIO_51_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_51_PULLUP {enabled} \ + CONFIG.PCW_MIO_51_SLEW {slow} \ + CONFIG.PCW_MIO_52_DIRECTION {out} \ + CONFIG.PCW_MIO_52_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_52_PULLUP {enabled} \ + CONFIG.PCW_MIO_52_SLEW {slow} \ + CONFIG.PCW_MIO_53_DIRECTION {inout} \ + CONFIG.PCW_MIO_53_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_53_PULLUP {enabled} \ + CONFIG.PCW_MIO_53_SLEW {slow} \ + CONFIG.PCW_MIO_5_DIRECTION {inout} \ + CONFIG.PCW_MIO_5_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_5_PULLUP {disabled} \ + CONFIG.PCW_MIO_5_SLEW {slow} \ + CONFIG.PCW_MIO_6_DIRECTION {inout} \ + CONFIG.PCW_MIO_6_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_6_PULLUP {disabled} \ + CONFIG.PCW_MIO_6_SLEW {slow} \ + CONFIG.PCW_MIO_7_DIRECTION {out} \ + CONFIG.PCW_MIO_7_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_7_PULLUP {disabled} \ + CONFIG.PCW_MIO_7_SLEW {slow} \ + CONFIG.PCW_MIO_8_DIRECTION {out} \ + CONFIG.PCW_MIO_8_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_8_PULLUP {disabled} \ + CONFIG.PCW_MIO_8_SLEW {slow} \ + CONFIG.PCW_MIO_9_DIRECTION {in} \ + CONFIG.PCW_MIO_9_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_9_PULLUP {enabled} \ + CONFIG.PCW_MIO_9_SLEW {slow} \ + CONFIG.PCW_MIO_TREE_PERIPHERALS {GPIO#GPIO#GPIO#ENET Reset#USB Reset#GPIO#GPIO#GPIO#UART 1#UART 1#PJTAG#PJTAG#PJTAG#PJTAG#I2C 0#I2C 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#UART 0#UART 0#I2C 1#I2C 1#GPIO#GPIO#Enet 0#Enet 0} \ + CONFIG.PCW_MIO_TREE_SIGNALS {gpio[0]#gpio[1]#gpio[2]#reset#reset#gpio[5]#gpio[6]#gpio[7]#tx#rx#tdi#tdo#tck#tms#scl#sda#tx_clk#txd[0]#txd[1]#txd[2]#txd[3]#tx_ctl#rx_clk#rxd[0]#rxd[1]#rxd[2]#rxd[3]#rx_ctl#data[4]#dir#stp#nxt#data[0]#data[1]#data[2]#data[3]#clk#data[5]#data[6]#data[7]#clk#cmd#data[0]#data[1]#data[2]#data[3]#rx#tx#scl#sda#gpio[50]#gpio[51]#mdc#mdio} \ + CONFIG.PCW_PCAP_PERIPHERAL_DIVISOR0 {10} \ + CONFIG.PCW_PJTAG_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_PJTAG_PJTAG_IO {MIO 10 .. 13} \ + CONFIG.PCW_PRESET_BANK0_VOLTAGE {LVCMOS 3.3V} \ + CONFIG.PCW_PRESET_BANK1_VOLTAGE {LVCMOS 1.8V} \ + CONFIG.PCW_QSPI_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_SD0_GRP_CD_ENABLE {0} \ + CONFIG.PCW_SD0_GRP_POW_ENABLE {0} \ + CONFIG.PCW_SD0_GRP_WP_ENABLE {0} \ + CONFIG.PCW_SD0_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_SD0_SD0_IO {MIO 40 .. 45} \ + CONFIG.PCW_SDIO_PERIPHERAL_DIVISOR0 {20} \ + CONFIG.PCW_SDIO_PERIPHERAL_FREQMHZ {100} \ + CONFIG.PCW_SDIO_PERIPHERAL_VALID {1} \ + CONFIG.PCW_SMC_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_SPI0_GRP_SS0_ENABLE {1} \ + CONFIG.PCW_SPI0_GRP_SS0_IO {EMIO} \ + CONFIG.PCW_SPI0_GRP_SS1_ENABLE {1} \ + CONFIG.PCW_SPI0_GRP_SS1_IO {EMIO} \ + CONFIG.PCW_SPI0_GRP_SS2_ENABLE {1} \ + CONFIG.PCW_SPI0_GRP_SS2_IO {EMIO} \ + CONFIG.PCW_SPI0_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_SPI0_SPI0_IO {EMIO} \ + CONFIG.PCW_SPI1_GRP_SS0_ENABLE {1} \ + CONFIG.PCW_SPI1_GRP_SS0_IO {EMIO} \ + CONFIG.PCW_SPI1_GRP_SS1_ENABLE {1} \ + CONFIG.PCW_SPI1_GRP_SS1_IO {EMIO} \ + CONFIG.PCW_SPI1_GRP_SS2_ENABLE {1} \ + CONFIG.PCW_SPI1_GRP_SS2_IO {EMIO} \ + CONFIG.PCW_SPI1_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_SPI1_SPI1_IO {EMIO} \ + CONFIG.PCW_SPI_PERIPHERAL_DIVISOR0 {12} \ + CONFIG.PCW_SPI_PERIPHERAL_FREQMHZ {166.666666} \ + CONFIG.PCW_SPI_PERIPHERAL_VALID {1} \ + CONFIG.PCW_TPIU_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_UART0_GRP_FULL_ENABLE {0} \ + CONFIG.PCW_UART0_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_UART0_UART0_IO {MIO 46 .. 47} \ + CONFIG.PCW_UART1_GRP_FULL_ENABLE {0} \ + CONFIG.PCW_UART1_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_UART1_UART1_IO {MIO 8 .. 9} \ + CONFIG.PCW_UART_PERIPHERAL_DIVISOR0 {20} \ + CONFIG.PCW_UART_PERIPHERAL_FREQMHZ {100} \ + CONFIG.PCW_UART_PERIPHERAL_VALID {1} \ + CONFIG.PCW_UIPARAM_ACT_DDR_FREQ_MHZ {533.333374} \ + CONFIG.PCW_UIPARAM_DDR_BANK_ADDR_COUNT {3} \ + CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY0 {0.096} \ + CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY1 {0.102} \ + CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY2 {0.100} \ + CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY3 {0.090} \ + CONFIG.PCW_UIPARAM_DDR_CL {7} \ + CONFIG.PCW_UIPARAM_DDR_COL_ADDR_COUNT {10} \ + CONFIG.PCW_UIPARAM_DDR_CWL {6} \ + CONFIG.PCW_UIPARAM_DDR_DEVICE_CAPACITY {4096 MBits} \ + CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_0 {0.054} \ + CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_1 {0.040} \ + CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_2 {0.041} \ + CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_3 {0.010} \ + CONFIG.PCW_UIPARAM_DDR_DRAM_WIDTH {16 Bits} \ + CONFIG.PCW_UIPARAM_DDR_PARTNO {MT41K256M16 RE-125} \ + CONFIG.PCW_UIPARAM_DDR_ROW_ADDR_COUNT {15} \ + CONFIG.PCW_UIPARAM_DDR_SPEED_BIN {DDR3_1066F} \ + CONFIG.PCW_UIPARAM_DDR_T_FAW {40.0} \ + CONFIG.PCW_UIPARAM_DDR_T_RAS_MIN {35.0} \ + CONFIG.PCW_UIPARAM_DDR_T_RC {48.75} \ + CONFIG.PCW_UIPARAM_DDR_T_RCD {7} \ + CONFIG.PCW_UIPARAM_DDR_T_RP {7} \ + CONFIG.PCW_USB0_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_USB0_PERIPHERAL_FREQMHZ {60} \ + CONFIG.PCW_USB0_RESET_ENABLE {1} \ + CONFIG.PCW_USB0_RESET_IO {MIO 4} \ + CONFIG.PCW_USB0_USB0_IO {MIO 28 .. 39} \ + CONFIG.PCW_USB1_RESET_ENABLE {0} \ + CONFIG.PCW_USB_RESET_ENABLE {1} \ + CONFIG.PCW_USB_RESET_SELECT {Share reset pin} \ + CONFIG.PCW_USE_FABRIC_INTERRUPT {1} \ + CONFIG.PCW_USE_HIGH_OCM {1} \ + CONFIG.PCW_USE_PS_SLCR_REGISTERS {1} \ + CONFIG.PCW_USE_S_AXI_GP0 {0} \ + CONFIG.PCW_USE_S_AXI_GP1 {0} \ + CONFIG.PCW_USE_S_AXI_HP0 {1} \ + CONFIG.PCW_USE_S_AXI_HP1 {1} \ + CONFIG.PCW_USE_S_AXI_HP2 {1} \ + CONFIG.PCW_USE_S_AXI_HP3 {0} \ + ] $processing_system7_0 + + # Create instance: xlconcat_0, and set properties + set xlconcat_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconcat:2.1 xlconcat_0 ] + set_property -dict [ list \ + CONFIG.IN0_WIDTH {8} \ + CONFIG.NUM_PORTS {9} \ + ] $xlconcat_0 + + # Create instance: xlslice_2, and set properties + set xlslice_2 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlslice:1.0 xlslice_2 ] + set_property -dict [ list \ + CONFIG.DIN_FROM {7} \ + CONFIG.DIN_TO {0} \ + CONFIG.DIN_WIDTH {16} \ + CONFIG.DOUT_WIDTH {8} \ + ] $xlslice_2 + + # Create interface connections + connect_bd_intf_net -intf_net S00_AXI_1 [get_bd_intf_pins axi_interconnect_0/S00_AXI] [get_bd_intf_pins processing_system7_0/M_AXI_GP0] + connect_bd_intf_net -intf_net s_axi_eth_descriptor_1 [get_bd_intf_ports s_axi_eth_descriptor] [get_bd_intf_pins axi_interconnect_hp0/S01_AXI] + connect_bd_intf_net -intf_net S_AXI_HP0_1 [get_bd_intf_ports S_AXI_HP0] [get_bd_intf_pins axi_interconnect_hp0/S00_AXI] + connect_bd_intf_net -intf_net axi_interconnect_0_M00_AXI [get_bd_intf_ports m_axi_eth_dma] [get_bd_intf_pins axi_interconnect_0/M00_AXI] + connect_bd_intf_net -intf_net axi_interconnect_0_M01_AXI [get_bd_intf_ports m_axi_net] [get_bd_intf_pins axi_interconnect_0/M01_AXI] + connect_bd_intf_net -intf_net axi_interconnect_0_M02_AXI [get_bd_intf_ports m_axi_xbar] [get_bd_intf_pins axi_interconnect_0/M02_AXI] + connect_bd_intf_net -intf_net axi_interconnect_0_M05_AXI [get_bd_intf_pins axi_interconnect_0/M05_AXI] [get_bd_intf_pins dma/s_axi_tx_dmac] + connect_bd_intf_net -intf_net axi_protocol_converter_hp0_M_AXI [get_bd_intf_pins axi_interconnect_hp0/M00_AXI] [get_bd_intf_pins processing_system7_0/S_AXI_HP0] + connect_bd_intf_net -intf_net dma_M_AXI_RX_DMA [get_bd_intf_pins dma/M_AXI_RX_DMA] [get_bd_intf_pins processing_system7_0/S_AXI_HP1] + connect_bd_intf_net -intf_net dma_M_AXI_TX_DMA [get_bd_intf_pins dma/M_AXI_TX_DMA] [get_bd_intf_pins processing_system7_0/S_AXI_HP2] + connect_bd_intf_net -intf_net s_axis_dma_1 [get_bd_intf_ports s_axis_dma] [get_bd_intf_pins dma/s_axis_dma] + connect_bd_intf_net -intf_net m_axis_dma_1 [get_bd_intf_ports m_axis_dma] [get_bd_intf_pins dma/m_axis_dma] + connect_bd_intf_net -intf_net processing_system7_0_DDR [get_bd_intf_ports DDR] [get_bd_intf_pins processing_system7_0/DDR] + connect_bd_intf_net -intf_net processing_system7_0_GPIO_0 [get_bd_intf_ports GPIO_0] [get_bd_intf_pins processing_system7_0/GPIO_0] + connect_bd_intf_net -intf_net processing_system7_0_USBIND_0 [get_bd_intf_ports USBIND_0] [get_bd_intf_pins processing_system7_0/USBIND_0] + connect_bd_intf_net -intf_net s_axi_regfile_1 [get_bd_intf_pins axi_interconnect_0/M03_AXI] [get_bd_intf_pins dma/s_axi_regfile] + connect_bd_intf_net -intf_net s_axi_rx_dmac_1 [get_bd_intf_pins axi_interconnect_0/M04_AXI] [get_bd_intf_pins dma/s_axi_rx_dmac] + + # Create port connections + connect_bd_net -net IRQ_F2P_1 [get_bd_ports IRQ_F2P] [get_bd_pins xlslice_2/Din] + connect_bd_net -net SPI0_MISO_I_1 [get_bd_ports SPI0_MISO_I] [get_bd_pins processing_system7_0/SPI0_MISO_I] + connect_bd_net -net SPI0_MOSI_I_1 [get_bd_ports SPI0_MOSI_I] [get_bd_pins processing_system7_0/SPI0_MOSI_I] + connect_bd_net -net SPI0_SCLK_I_1 [get_bd_ports SPI0_SCLK_I] [get_bd_pins processing_system7_0/SPI0_SCLK_I] + connect_bd_net -net SPI0_SS_I_1 [get_bd_ports SPI0_SS_I] [get_bd_pins processing_system7_0/SPI0_SS_I] + connect_bd_net -net SPI1_MISO_I_0_1 [get_bd_ports SPI1_MISO_I] [get_bd_pins processing_system7_0/SPI1_MISO_I] + connect_bd_net -net SPI1_MOSI_I_0_1 [get_bd_ports SPI1_MOSI_I] [get_bd_pins processing_system7_0/SPI1_MOSI_I] + connect_bd_net -net SPI1_SCLK_I_0_1 [get_bd_ports SPI1_SCLK_I] [get_bd_pins processing_system7_0/SPI1_SCLK_I] + connect_bd_net -net SPI1_SS_I_0_1 [get_bd_ports SPI1_SS_I] [get_bd_pins processing_system7_0/SPI1_SS_I] + connect_bd_net -net S_AXI_GP0_ACLK_1 [get_bd_ports S_AXI_GP0_ACLK] [get_bd_pins axi_interconnect_0/ACLK] + connect_bd_net -net S_AXI_GP0_ARESETN_1 [get_bd_ports S_AXI_GP0_ARESETN] [get_bd_pins axi_interconnect_0/ARESETN] + connect_bd_net -net S_AXI_HP0_ACLK_1 [get_bd_ports S_AXI_HP0_ACLK] [get_bd_pins axi_interconnect_hp0/ACLK] [get_bd_pins axi_interconnect_hp0/M00_ACLK] [get_bd_pins axi_interconnect_hp0/S00_ACLK] [get_bd_pins axi_interconnect_hp0/S01_ACLK] [get_bd_pins processing_system7_0/S_AXI_HP0_ACLK] + connect_bd_net -net S_AXI_HP0_ARESETN_1 [get_bd_ports S_AXI_HP0_ARESETN] [get_bd_pins axi_interconnect_hp0/ARESETN] [get_bd_pins axi_interconnect_hp0/M00_ARESETN] [get_bd_pins axi_interconnect_hp0/S00_ARESETN] [get_bd_pins axi_interconnect_hp0/S01_ARESETN] + connect_bd_net -net bus_clk [get_bd_ports bus_clk] [get_bd_pins dma/bus_clk] + connect_bd_net -net bus_rstn [get_bd_ports bus_rstn] [get_bd_pins dma/bus_rstn] + connect_bd_net -net clk40 [get_bd_ports clk40] [get_bd_pins axi_interconnect_0/M00_ACLK] [get_bd_pins axi_interconnect_0/M01_ACLK] [get_bd_pins axi_interconnect_0/M02_ACLK] [get_bd_pins axi_interconnect_0/M04_ACLK] [get_bd_pins axi_interconnect_0/M05_ACLK] [get_bd_pins axi_interconnect_0/M03_ACLK] [get_bd_pins axi_interconnect_0/S00_ACLK] [get_bd_pins dma/clk40] [get_bd_pins processing_system7_0/M_AXI_GP0_ACLK] [get_bd_pins processing_system7_0/S_AXI_HP1_ACLK] [get_bd_pins processing_system7_0/S_AXI_HP2_ACLK] + connect_bd_net -net clk40_rstn [get_bd_ports clk40_rstn] [get_bd_pins axi_interconnect_0/M00_ARESETN] [get_bd_pins axi_interconnect_0/M01_ARESETN] [get_bd_pins axi_interconnect_0/M02_ARESETN] [get_bd_pins axi_interconnect_0/M04_ARESETN] [get_bd_pins axi_interconnect_0/M05_ARESETN] [get_bd_pins axi_interconnect_0/M03_ARESETN] [get_bd_pins axi_interconnect_0/S00_ARESETN] [get_bd_pins dma/clk40_rstn] + connect_bd_net -net ddr_vrn [get_bd_ports DDR_VRN] [get_bd_pins processing_system7_0/DDR_VRN] + connect_bd_net -net ddr_vrp [get_bd_ports DDR_VRP] [get_bd_pins processing_system7_0/DDR_VRP] + connect_bd_net -net dma_tx_irq [get_bd_pins dma/tx_irq] [get_bd_pins xlconcat_0/In2] + connect_bd_net -net mio [get_bd_ports MIO] [get_bd_pins processing_system7_0/MIO] + connect_bd_net -net processing_system7_0_FCLK_CLK0 [get_bd_ports FCLK_CLK0] [get_bd_pins processing_system7_0/FCLK_CLK0] + connect_bd_net -net processing_system7_0_FCLK_CLK1 [get_bd_ports FCLK_CLK1] [get_bd_pins processing_system7_0/FCLK_CLK1] + connect_bd_net -net processing_system7_0_FCLK_CLK2 [get_bd_ports FCLK_CLK2] [get_bd_pins processing_system7_0/FCLK_CLK2] + connect_bd_net -net processing_system7_0_FCLK_CLK3 [get_bd_ports FCLK_CLK3] [get_bd_pins processing_system7_0/FCLK_CLK3] + connect_bd_net -net processing_system7_0_FCLK_RESET0_N [get_bd_ports FCLK_RESET0_N] [get_bd_pins processing_system7_0/FCLK_RESET0_N] + connect_bd_net -net processing_system7_0_SPI0_MISO_O [get_bd_ports SPI0_MISO_O] [get_bd_pins processing_system7_0/SPI0_MISO_O] + connect_bd_net -net processing_system7_0_SPI0_MISO_T [get_bd_ports SPI0_MISO_T] [get_bd_pins processing_system7_0/SPI0_MISO_T] + connect_bd_net -net processing_system7_0_SPI0_MOSI_O [get_bd_ports SPI0_MOSI_O] [get_bd_pins processing_system7_0/SPI0_MOSI_O] + connect_bd_net -net processing_system7_0_SPI0_MOSI_T [get_bd_ports SPI0_MOSI_T] [get_bd_pins processing_system7_0/SPI0_MOSI_T] + connect_bd_net -net processing_system7_0_SPI0_SCLK_O [get_bd_ports SPI0_SCLK_O] [get_bd_pins processing_system7_0/SPI0_SCLK_O] + connect_bd_net -net processing_system7_0_SPI0_SCLK_T [get_bd_ports SPI0_SCLK_T] [get_bd_pins processing_system7_0/SPI0_SCLK_T] + connect_bd_net -net processing_system7_0_SPI0_SS1_O [get_bd_ports SPI0_SS1_O] [get_bd_pins processing_system7_0/SPI0_SS1_O] + connect_bd_net -net processing_system7_0_SPI0_SS2_O [get_bd_ports SPI0_SS2_O] [get_bd_pins processing_system7_0/SPI0_SS2_O] + connect_bd_net -net processing_system7_0_SPI0_SS_O [get_bd_ports SPI0_SS_O] [get_bd_pins processing_system7_0/SPI0_SS_O] + connect_bd_net -net processing_system7_0_SPI0_SS_T [get_bd_ports SPI0_SS_T] [get_bd_pins processing_system7_0/SPI0_SS_T] + connect_bd_net -net processing_system7_0_SPI1_MISO_O [get_bd_ports SPI1_MISO_O] [get_bd_pins processing_system7_0/SPI1_MISO_O] + connect_bd_net -net processing_system7_0_SPI1_MISO_T [get_bd_ports SPI1_MISO_T] [get_bd_pins processing_system7_0/SPI1_MISO_T] + connect_bd_net -net processing_system7_0_SPI1_MOSI_O [get_bd_ports SPI1_MOSI_O] [get_bd_pins processing_system7_0/SPI1_MOSI_O] + connect_bd_net -net processing_system7_0_SPI1_MOSI_T [get_bd_ports SPI1_MOSI_T] [get_bd_pins processing_system7_0/SPI1_MOSI_T] + connect_bd_net -net processing_system7_0_SPI1_SCLK_O [get_bd_ports SPI1_SCLK_O] [get_bd_pins processing_system7_0/SPI1_SCLK_O] + connect_bd_net -net processing_system7_0_SPI1_SCLK_T [get_bd_ports SPI1_SCLK_T] [get_bd_pins processing_system7_0/SPI1_SCLK_T] + connect_bd_net -net processing_system7_0_SPI1_SS1_O [get_bd_ports SPI1_SS1_O] [get_bd_pins processing_system7_0/SPI1_SS1_O] + connect_bd_net -net processing_system7_0_SPI1_SS2_O [get_bd_ports SPI1_SS2_O] [get_bd_pins processing_system7_0/SPI1_SS2_O] + connect_bd_net -net processing_system7_0_SPI1_SS_O [get_bd_ports SPI1_SS_O] [get_bd_pins processing_system7_0/SPI1_SS_O] + connect_bd_net -net processing_system7_0_SPI1_SS_T [get_bd_ports SPI1_SS_T] [get_bd_pins processing_system7_0/SPI1_SS_T] + connect_bd_net -net ps_clk [get_bd_ports PS_CLK] [get_bd_pins processing_system7_0/PS_CLK] + connect_bd_net -net ps_porb [get_bd_ports PS_PORB] [get_bd_pins processing_system7_0/PS_PORB] + connect_bd_net -net ps_srstb [get_bd_ports PS_SRSTB] [get_bd_pins processing_system7_0/PS_SRSTB] + connect_bd_net -net rx_dma_irq [get_bd_pins dma/rx_irq] [get_bd_pins xlconcat_0/In1] + connect_bd_net -net xlconcat_0_dout [get_bd_pins processing_system7_0/IRQ_F2P] [get_bd_pins xlconcat_0/dout] + connect_bd_net -net xlslice_2_Dout [get_bd_pins xlconcat_0/In0] [get_bd_pins xlslice_2/Dout] + + # Create address segments + create_bd_addr_seg -range 0x00004000 -offset 0x40000000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs m_axi_eth_dma/Reg] SEG_m_axi_eth_dma_Reg + create_bd_addr_seg -range 0x00004000 -offset 0x40004000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs m_axi_net/Reg] SEG_m_axi_net_Reg + create_bd_addr_seg -range 0x00004000 -offset 0x40010000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs m_axi_xbar/Reg] SEG_m_axi_xbar_Reg + create_bd_addr_seg -range 0x00001000 -offset 0x42080000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/axi_regfile_0/S_AXI/regs] SEG_axi_regfile_0_regs + create_bd_addr_seg -range 0x00010000 -offset 0x43C00000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/rx/dma0/axi_rx_dmac/s_axi/axi_lite] SEG_axi_rx_dmac_axi_lite + create_bd_addr_seg -range 0x00010000 -offset 0x43C10000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/rx/dma1/axi_rx_dmac/s_axi/axi_lite] SEG_axi_rx_dmac_axi_lite4 + create_bd_addr_seg -range 0x00010000 -offset 0x43C20000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/rx/dma2/axi_rx_dmac/s_axi/axi_lite] SEG_axi_rx_dmac_axi_lite6 + create_bd_addr_seg -range 0x00010000 -offset 0x43C30000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/rx/dma3/axi_rx_dmac/s_axi/axi_lite] SEG_axi_rx_dmac_axi_lite8 + create_bd_addr_seg -range 0x00010000 -offset 0x43C40000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/rx/dma4/axi_rx_dmac/s_axi/axi_lite] SEG_axi_rx_dmac_axi_lite10 + create_bd_addr_seg -range 0x00010000 -offset 0x43C50000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/rx/dma5/axi_rx_dmac/s_axi/axi_lite] SEG_axi_rx_dmac_axi_lite12 + create_bd_addr_seg -range 0x00010000 -offset 0x43CA0000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/tx/axi_tx_dmac_0/s_axi/axi_lite] SEG_axi_tx_dmac_0_axi_lite + create_bd_addr_seg -range 0x00010000 -offset 0x43CB0000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/tx/axi_tx_dmac_1/s_axi/axi_lite] SEG_axi_tx_dmac_1_axi_lite + create_bd_addr_seg -range 0x00010000 -offset 0x43CC0000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/tx/axi_tx_dmac_2/s_axi/axi_lite] SEG_axi_tx_dmac_2_axi_lite + create_bd_addr_seg -range 0x00010000 -offset 0x43CD0000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/tx/axi_tx_dmac_3/s_axi/axi_lite] SEG_axi_tx_dmac_3_axi_lite + create_bd_addr_seg -range 0x00010000 -offset 0x43CE0000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/tx/axi_tx_dmac_4/s_axi/axi_lite] SEG_axi_tx_dmac_4_axi_lite + create_bd_addr_seg -range 0x00010000 -offset 0x43CF0000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/tx/axi_tx_dmac_5/s_axi/axi_lite] SEG_axi_tx_dmac_5_axi_lite + create_bd_addr_seg -range 0x40000000 -offset 0x00000000 [get_bd_addr_spaces dma/tx/axi_tx_dmac_0/m_src_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP2/HP2_DDR_LOWOCM] SEG_processing_system7_0_HP2_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x00000000 [get_bd_addr_spaces dma/tx/axi_tx_dmac_1/m_src_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP2/HP2_DDR_LOWOCM] SEG_processing_system7_0_HP2_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x00000000 [get_bd_addr_spaces dma/tx/axi_tx_dmac_2/m_src_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP2/HP2_DDR_LOWOCM] SEG_processing_system7_0_HP2_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x00000000 [get_bd_addr_spaces dma/tx/axi_tx_dmac_3/m_src_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP2/HP2_DDR_LOWOCM] SEG_processing_system7_0_HP2_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x00000000 [get_bd_addr_spaces dma/tx/axi_tx_dmac_4/m_src_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP2/HP2_DDR_LOWOCM] SEG_processing_system7_0_HP2_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x00000000 [get_bd_addr_spaces dma/tx/axi_tx_dmac_5/m_src_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP2/HP2_DDR_LOWOCM] SEG_processing_system7_0_HP2_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x00000000 [get_bd_addr_spaces dma/rx/dma0/axi_rx_dmac/m_dest_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP1/HP1_DDR_LOWOCM] SEG_processing_system7_0_HP1_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x00000000 [get_bd_addr_spaces dma/rx/dma1/axi_rx_dmac/m_dest_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP1/HP1_DDR_LOWOCM] SEG_processing_system7_0_HP1_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x00000000 [get_bd_addr_spaces dma/rx/dma2/axi_rx_dmac/m_dest_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP1/HP1_DDR_LOWOCM] SEG_processing_system7_0_HP1_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x00000000 [get_bd_addr_spaces dma/rx/dma3/axi_rx_dmac/m_dest_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP1/HP1_DDR_LOWOCM] SEG_processing_system7_0_HP1_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x00000000 [get_bd_addr_spaces dma/rx/dma4/axi_rx_dmac/m_dest_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP1/HP1_DDR_LOWOCM] SEG_processing_system7_0_HP1_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x00000000 [get_bd_addr_spaces dma/rx/dma5/axi_rx_dmac/m_dest_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP1/HP1_DDR_LOWOCM] SEG_processing_system7_0_HP1_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x00000000 [get_bd_addr_spaces s_axi_eth_descriptor] [get_bd_addr_segs processing_system7_0/S_AXI_HP0/HP0_DDR_LOWOCM] SEG_processing_system7_0_GP0_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x00000000 [get_bd_addr_spaces S_AXI_HP0] [get_bd_addr_segs processing_system7_0/S_AXI_HP0/HP0_DDR_LOWOCM] SEG_processing_system7_0_HP0_DDR_LOWOCM + + + # Restore current instance + current_bd_instance $oldCurInst + + save_bd_design +} +# End of create_root_design() + + +################################################################## +# MAIN FLOW +################################################################## + +create_root_design "" + + diff --git a/fpga/usrp3/top/e320/ip/e320_ps_bd/ps7_init.c b/fpga/usrp3/top/e320/ip/e320_ps_bd/ps7_init.c new file mode 100644 index 000000000..1ad91d83a --- /dev/null +++ b/fpga/usrp3/top/e320/ip/e320_ps_bd/ps7_init.c @@ -0,0 +1,13335 @@ +/****************************************************************************** +* +* (c) Copyright 2010-2014 Xilinx, Inc. All rights reserved. +* +* Permission is hereby granted, free of charge, to any person obtaining a copy of this +* software and associated documentation files (the "Software"), to deal in the Software +* without restriction, including without limitation the rights to use, copy, modify, merge, +* publish, distribute, sublicense, and/or sell copies of the Software, and to permit +* persons to whom the Software is furnished to do so, subject to the following conditions: +* +* The above copyright notice and this permission notice shall be included in all copies or +* substantial portions of the Software. +* +* Use of the Software is limited solely to applications: (a) running on a Xilinx device, or +* (b) that interact with a Xilinx device through a bus or interconnect. +* +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING +* BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND +* NONINFRINGEMENT. IN NO EVENT SHALL THE X CONSORTIUM BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN +* CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. +* +* Except as contained in this notice, the name of the Xilinx shall not be used in advertising or +* otherwise to promote the sale, use or other dealings in this Software without prior written +* authorization from Xilinx. +* +******************************************************************************/ +/****************************************************************************/ +/** +* +* @file ps7_init.c +* +* This file is automatically generated +* +*****************************************************************************/ + +#include "ps7_init.h" + +unsigned long ps7_pll_init_data_3_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: PLL SLCR REGISTERS + // .. .. START: ARM PLL INIT + // .. .. PLL_RES = 0x4 + // .. .. ==> 0XF8000110[7:4] = 0x00000004U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000040U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000110[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0xfa + // .. .. ==> 0XF8000110[21:12] = 0x000000FAU + // .. .. ==> MASK : 0x003FF000U VAL : 0x000FA000U + // .. .. + EMIT_MASKWRITE(0XF8000110, 0x003FFFF0U ,0x000FA240U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x3c + // .. .. .. ==> 0XF8000100[18:12] = 0x0000003CU + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x0003C000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x0007F000U ,0x0003C000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000100[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000100[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000100[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. ARM_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000001U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000100[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. .. SRCSEL = 0x0 + // .. .. .. ==> 0XF8000120[5:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. .. DIVISOR = 0x2 + // .. .. .. ==> 0XF8000120[13:8] = 0x00000002U + // .. .. .. ==> MASK : 0x00003F00U VAL : 0x00000200U + // .. .. .. CPU_6OR4XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[24:24] = 0x00000001U + // .. .. .. ==> MASK : 0x01000000U VAL : 0x01000000U + // .. .. .. CPU_3OR2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[25:25] = 0x00000001U + // .. .. .. ==> MASK : 0x02000000U VAL : 0x02000000U + // .. .. .. CPU_2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[26:26] = 0x00000001U + // .. .. .. ==> MASK : 0x04000000U VAL : 0x04000000U + // .. .. .. CPU_1XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[27:27] = 0x00000001U + // .. .. .. ==> MASK : 0x08000000U VAL : 0x08000000U + // .. .. .. CPU_PERI_CLKACT = 0x1 + // .. .. .. ==> 0XF8000120[28:28] = 0x00000001U + // .. .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000120, 0x1F003F30U ,0x1F000200U), + // .. .. FINISH: ARM PLL INIT + // .. .. START: DDR PLL INIT + // .. .. PLL_RES = 0x2 + // .. .. ==> 0XF8000114[7:4] = 0x00000002U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000020U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000114[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0x12c + // .. .. ==> 0XF8000114[21:12] = 0x0000012CU + // .. .. ==> MASK : 0x003FF000U VAL : 0x0012C000U + // .. .. + EMIT_MASKWRITE(0XF8000114, 0x003FFFF0U ,0x0012C220U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x20 + // .. .. .. ==> 0XF8000104[18:12] = 0x00000020U + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x00020000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x0007F000U ,0x00020000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000104[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000104[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000104[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. DDR_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[1:1] = 0x00000001U + // .. .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000002U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000104[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. .. DDR_3XCLKACT = 0x1 + // .. .. .. ==> 0XF8000124[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. DDR_2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000124[1:1] = 0x00000001U + // .. .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. .. DDR_3XCLK_DIVISOR = 0x2 + // .. .. .. ==> 0XF8000124[25:20] = 0x00000002U + // .. .. .. ==> MASK : 0x03F00000U VAL : 0x00200000U + // .. .. .. DDR_2XCLK_DIVISOR = 0x3 + // .. .. .. ==> 0XF8000124[31:26] = 0x00000003U + // .. .. .. ==> MASK : 0xFC000000U VAL : 0x0C000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000124, 0xFFF00003U ,0x0C200003U), + // .. .. FINISH: DDR PLL INIT + // .. .. START: IO PLL INIT + // .. .. PLL_RES = 0x4 + // .. .. ==> 0XF8000118[7:4] = 0x00000004U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000040U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000118[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0xfa + // .. .. ==> 0XF8000118[21:12] = 0x000000FAU + // .. .. ==> MASK : 0x003FF000U VAL : 0x000FA000U + // .. .. + EMIT_MASKWRITE(0XF8000118, 0x003FFFF0U ,0x000FA240U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x3c + // .. .. .. ==> 0XF8000108[18:12] = 0x0000003CU + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x0003C000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x0007F000U ,0x0003C000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000108[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000108[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000108[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. IO_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[2:2] = 0x00000001U + // .. .. .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000004U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000108[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. FINISH: IO PLL INIT + // .. FINISH: PLL SLCR REGISTERS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_clock_init_data_3_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: CLOCK CONTROL SLCR REGISTERS + // .. CLKACT = 0x1 + // .. ==> 0XF8000128[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. DIVISOR0 = 0xf + // .. ==> 0XF8000128[13:8] = 0x0000000FU + // .. ==> MASK : 0x00003F00U VAL : 0x00000F00U + // .. DIVISOR1 = 0x7 + // .. ==> 0XF8000128[25:20] = 0x00000007U + // .. ==> MASK : 0x03F00000U VAL : 0x00700000U + // .. + EMIT_MASKWRITE(0XF8000128, 0x03F03F01U ,0x00700F01U), + // .. CLKACT = 0x1 + // .. ==> 0XF8000138[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000138[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000138, 0x00000011U ,0x00000001U), + // .. CLKACT = 0x1 + // .. ==> 0XF8000140[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000140[6:4] = 0x00000000U + // .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. DIVISOR = 0x10 + // .. ==> 0XF8000140[13:8] = 0x00000010U + // .. ==> MASK : 0x00003F00U VAL : 0x00001000U + // .. DIVISOR1 = 0x1 + // .. ==> 0XF8000140[25:20] = 0x00000001U + // .. ==> MASK : 0x03F00000U VAL : 0x00100000U + // .. + EMIT_MASKWRITE(0XF8000140, 0x03F03F71U ,0x00101001U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000150[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x0 + // .. ==> 0XF8000150[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000150[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0x14 + // .. ==> 0XF8000150[13:8] = 0x00000014U + // .. ==> MASK : 0x00003F00U VAL : 0x00001400U + // .. + EMIT_MASKWRITE(0XF8000150, 0x00003F33U ,0x00001401U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000154[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x1 + // .. ==> 0XF8000154[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000154[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0x14 + // .. ==> 0XF8000154[13:8] = 0x00000014U + // .. ==> MASK : 0x00003F00U VAL : 0x00001400U + // .. + EMIT_MASKWRITE(0XF8000154, 0x00003F33U ,0x00001403U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000158[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x1 + // .. ==> 0XF8000158[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000158[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0xc + // .. ==> 0XF8000158[13:8] = 0x0000000CU + // .. ==> MASK : 0x00003F00U VAL : 0x00000C00U + // .. + EMIT_MASKWRITE(0XF8000158, 0x00003F33U ,0x00000C03U), + // .. .. START: TRACE CLOCK + // .. .. FINISH: TRACE CLOCK + // .. .. CLKACT = 0x1 + // .. .. ==> 0XF8000168[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000168[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR = 0xa + // .. .. ==> 0XF8000168[13:8] = 0x0000000AU + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000A00U + // .. .. + EMIT_MASKWRITE(0XF8000168, 0x00003F31U ,0x00000A01U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000170[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x5 + // .. .. ==> 0XF8000170[13:8] = 0x00000005U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000500U + // .. .. DIVISOR1 = 0x4 + // .. .. ==> 0XF8000170[25:20] = 0x00000004U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00400000U + // .. .. + EMIT_MASKWRITE(0XF8000170, 0x03F03F30U ,0x00400500U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000180[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0xa + // .. .. ==> 0XF8000180[13:8] = 0x0000000AU + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000A00U + // .. .. DIVISOR1 = 0x5 + // .. .. ==> 0XF8000180[25:20] = 0x00000005U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00500000U + // .. .. + EMIT_MASKWRITE(0XF8000180, 0x03F03F30U ,0x00500A00U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000190[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x4 + // .. .. ==> 0XF8000190[13:8] = 0x00000004U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000400U + // .. .. DIVISOR1 = 0x3 + // .. .. ==> 0XF8000190[25:20] = 0x00000003U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00300000U + // .. .. + EMIT_MASKWRITE(0XF8000190, 0x03F03F30U ,0x00300400U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF80001A0[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x5 + // .. .. ==> 0XF80001A0[13:8] = 0x00000005U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000500U + // .. .. DIVISOR1 = 0x2 + // .. .. ==> 0XF80001A0[25:20] = 0x00000002U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00200000U + // .. .. + EMIT_MASKWRITE(0XF80001A0, 0x03F03F30U ,0x00200500U), + // .. .. CLK_621_TRUE = 0x1 + // .. .. ==> 0XF80001C4[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. + EMIT_MASKWRITE(0XF80001C4, 0x00000001U ,0x00000001U), + // .. .. DMA_CPU_2XCLKACT = 0x1 + // .. .. ==> 0XF800012C[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. USB0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[2:2] = 0x00000001U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. .. USB1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[3:3] = 0x00000001U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000008U + // .. .. GEM0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[6:6] = 0x00000001U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000040U + // .. .. GEM1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. SDI0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[10:10] = 0x00000001U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000400U + // .. .. SDI1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. SPI0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[14:14] = 0x00000001U + // .. .. ==> MASK : 0x00004000U VAL : 0x00004000U + // .. .. SPI1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[15:15] = 0x00000001U + // .. .. ==> MASK : 0x00008000U VAL : 0x00008000U + // .. .. CAN0_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. CAN1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. I2C0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[18:18] = 0x00000001U + // .. .. ==> MASK : 0x00040000U VAL : 0x00040000U + // .. .. I2C1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. UART0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[20:20] = 0x00000001U + // .. .. ==> MASK : 0x00100000U VAL : 0x00100000U + // .. .. UART1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[21:21] = 0x00000001U + // .. .. ==> MASK : 0x00200000U VAL : 0x00200000U + // .. .. GPIO_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[22:22] = 0x00000001U + // .. .. ==> MASK : 0x00400000U VAL : 0x00400000U + // .. .. LQSPI_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. SMC_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[24:24] = 0x00000001U + // .. .. ==> MASK : 0x01000000U VAL : 0x01000000U + // .. .. + EMIT_MASKWRITE(0XF800012C, 0x01FFCCCDU ,0x017CC44DU), + // .. FINISH: CLOCK CONTROL SLCR REGISTERS + // .. START: THIS SHOULD BE BLANK + // .. FINISH: THIS SHOULD BE BLANK + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_ddr_init_data_3_0[] = { + // START: top + // .. START: DDR INITIALIZATION + // .. .. START: LOCK DDR + // .. .. reg_ddrc_soft_rstb = 0 + // .. .. ==> 0XF8006000[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_powerdown_en = 0x0 + // .. .. ==> 0XF8006000[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_data_bus_width = 0x0 + // .. .. ==> 0XF8006000[3:2] = 0x00000000U + // .. .. ==> MASK : 0x0000000CU VAL : 0x00000000U + // .. .. reg_ddrc_burst8_refresh = 0x0 + // .. .. ==> 0XF8006000[6:4] = 0x00000000U + // .. .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. .. reg_ddrc_rdwr_idle_gap = 0x1 + // .. .. ==> 0XF8006000[13:7] = 0x00000001U + // .. .. ==> MASK : 0x00003F80U VAL : 0x00000080U + // .. .. reg_ddrc_dis_rd_bypass = 0x0 + // .. .. ==> 0XF8006000[14:14] = 0x00000000U + // .. .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_act_bypass = 0x0 + // .. .. ==> 0XF8006000[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_auto_refresh = 0x0 + // .. .. ==> 0XF8006000[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000080U), + // .. .. FINISH: LOCK DDR + // .. .. reg_ddrc_t_rfc_nom_x32 = 0x81 + // .. .. ==> 0XF8006004[11:0] = 0x00000081U + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000081U + // .. .. reserved_reg_ddrc_active_ranks = 0x1 + // .. .. ==> 0XF8006004[13:12] = 0x00000001U + // .. .. ==> MASK : 0x00003000U VAL : 0x00001000U + // .. .. reg_ddrc_addrmap_cs_bit0 = 0x0 + // .. .. ==> 0XF8006004[18:14] = 0x00000000U + // .. .. ==> MASK : 0x0007C000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006004, 0x0007FFFFU ,0x00001081U), + // .. .. reg_ddrc_hpr_min_non_critical_x32 = 0xf + // .. .. ==> 0XF8006008[10:0] = 0x0000000FU + // .. .. ==> MASK : 0x000007FFU VAL : 0x0000000FU + // .. .. reg_ddrc_hpr_max_starve_x32 = 0xf + // .. .. ==> 0XF8006008[21:11] = 0x0000000FU + // .. .. ==> MASK : 0x003FF800U VAL : 0x00007800U + // .. .. reg_ddrc_hpr_xact_run_length = 0xf + // .. .. ==> 0XF8006008[25:22] = 0x0000000FU + // .. .. ==> MASK : 0x03C00000U VAL : 0x03C00000U + // .. .. + EMIT_MASKWRITE(0XF8006008, 0x03FFFFFFU ,0x03C0780FU), + // .. .. reg_ddrc_lpr_min_non_critical_x32 = 0x1 + // .. .. ==> 0XF800600C[10:0] = 0x00000001U + // .. .. ==> MASK : 0x000007FFU VAL : 0x00000001U + // .. .. reg_ddrc_lpr_max_starve_x32 = 0x2 + // .. .. ==> 0XF800600C[21:11] = 0x00000002U + // .. .. ==> MASK : 0x003FF800U VAL : 0x00001000U + // .. .. reg_ddrc_lpr_xact_run_length = 0x8 + // .. .. ==> 0XF800600C[25:22] = 0x00000008U + // .. .. ==> MASK : 0x03C00000U VAL : 0x02000000U + // .. .. + EMIT_MASKWRITE(0XF800600C, 0x03FFFFFFU ,0x02001001U), + // .. .. reg_ddrc_w_min_non_critical_x32 = 0x1 + // .. .. ==> 0XF8006010[10:0] = 0x00000001U + // .. .. ==> MASK : 0x000007FFU VAL : 0x00000001U + // .. .. reg_ddrc_w_xact_run_length = 0x8 + // .. .. ==> 0XF8006010[14:11] = 0x00000008U + // .. .. ==> MASK : 0x00007800U VAL : 0x00004000U + // .. .. reg_ddrc_w_max_starve_x32 = 0x2 + // .. .. ==> 0XF8006010[25:15] = 0x00000002U + // .. .. ==> MASK : 0x03FF8000U VAL : 0x00010000U + // .. .. + EMIT_MASKWRITE(0XF8006010, 0x03FFFFFFU ,0x00014001U), + // .. .. reg_ddrc_t_rc = 0x1a + // .. .. ==> 0XF8006014[5:0] = 0x0000001AU + // .. .. ==> MASK : 0x0000003FU VAL : 0x0000001AU + // .. .. reg_ddrc_t_rfc_min = 0xa0 + // .. .. ==> 0XF8006014[13:6] = 0x000000A0U + // .. .. ==> MASK : 0x00003FC0U VAL : 0x00002800U + // .. .. reg_ddrc_post_selfref_gap_x32 = 0x10 + // .. .. ==> 0XF8006014[20:14] = 0x00000010U + // .. .. ==> MASK : 0x001FC000U VAL : 0x00040000U + // .. .. + EMIT_MASKWRITE(0XF8006014, 0x001FFFFFU ,0x0004281AU), + // .. .. reg_ddrc_wr2pre = 0x12 + // .. .. ==> 0XF8006018[4:0] = 0x00000012U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000012U + // .. .. reg_ddrc_powerdown_to_x32 = 0x6 + // .. .. ==> 0XF8006018[9:5] = 0x00000006U + // .. .. ==> MASK : 0x000003E0U VAL : 0x000000C0U + // .. .. reg_ddrc_t_faw = 0x16 + // .. .. ==> 0XF8006018[15:10] = 0x00000016U + // .. .. ==> MASK : 0x0000FC00U VAL : 0x00005800U + // .. .. reg_ddrc_t_ras_max = 0x24 + // .. .. ==> 0XF8006018[21:16] = 0x00000024U + // .. .. ==> MASK : 0x003F0000U VAL : 0x00240000U + // .. .. reg_ddrc_t_ras_min = 0x13 + // .. .. ==> 0XF8006018[26:22] = 0x00000013U + // .. .. ==> MASK : 0x07C00000U VAL : 0x04C00000U + // .. .. reg_ddrc_t_cke = 0x4 + // .. .. ==> 0XF8006018[31:28] = 0x00000004U + // .. .. ==> MASK : 0xF0000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006018, 0xF7FFFFFFU ,0x44E458D2U), + // .. .. reg_ddrc_write_latency = 0x5 + // .. .. ==> 0XF800601C[4:0] = 0x00000005U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000005U + // .. .. reg_ddrc_rd2wr = 0x7 + // .. .. ==> 0XF800601C[9:5] = 0x00000007U + // .. .. ==> MASK : 0x000003E0U VAL : 0x000000E0U + // .. .. reg_ddrc_wr2rd = 0xe + // .. .. ==> 0XF800601C[14:10] = 0x0000000EU + // .. .. ==> MASK : 0x00007C00U VAL : 0x00003800U + // .. .. reg_ddrc_t_xp = 0x4 + // .. .. ==> 0XF800601C[19:15] = 0x00000004U + // .. .. ==> MASK : 0x000F8000U VAL : 0x00020000U + // .. .. reg_ddrc_pad_pd = 0x0 + // .. .. ==> 0XF800601C[22:20] = 0x00000000U + // .. .. ==> MASK : 0x00700000U VAL : 0x00000000U + // .. .. reg_ddrc_rd2pre = 0x4 + // .. .. ==> 0XF800601C[27:23] = 0x00000004U + // .. .. ==> MASK : 0x0F800000U VAL : 0x02000000U + // .. .. reg_ddrc_t_rcd = 0x7 + // .. .. ==> 0XF800601C[31:28] = 0x00000007U + // .. .. ==> MASK : 0xF0000000U VAL : 0x70000000U + // .. .. + EMIT_MASKWRITE(0XF800601C, 0xFFFFFFFFU ,0x720238E5U), + // .. .. reg_ddrc_t_ccd = 0x4 + // .. .. ==> 0XF8006020[4:2] = 0x00000004U + // .. .. ==> MASK : 0x0000001CU VAL : 0x00000010U + // .. .. reg_ddrc_t_rrd = 0x6 + // .. .. ==> 0XF8006020[7:5] = 0x00000006U + // .. .. ==> MASK : 0x000000E0U VAL : 0x000000C0U + // .. .. reg_ddrc_refresh_margin = 0x2 + // .. .. ==> 0XF8006020[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. reg_ddrc_t_rp = 0x7 + // .. .. ==> 0XF8006020[15:12] = 0x00000007U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00007000U + // .. .. reg_ddrc_refresh_to_x32 = 0x8 + // .. .. ==> 0XF8006020[20:16] = 0x00000008U + // .. .. ==> MASK : 0x001F0000U VAL : 0x00080000U + // .. .. reg_ddrc_mobile = 0x0 + // .. .. ==> 0XF8006020[22:22] = 0x00000000U + // .. .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. .. reg_ddrc_en_dfi_dram_clk_disable = 0x0 + // .. .. ==> 0XF8006020[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. reg_ddrc_read_latency = 0x7 + // .. .. ==> 0XF8006020[28:24] = 0x00000007U + // .. .. ==> MASK : 0x1F000000U VAL : 0x07000000U + // .. .. reg_phy_mode_ddr1_ddr2 = 0x1 + // .. .. ==> 0XF8006020[29:29] = 0x00000001U + // .. .. ==> MASK : 0x20000000U VAL : 0x20000000U + // .. .. reg_ddrc_dis_pad_pd = 0x0 + // .. .. ==> 0XF8006020[30:30] = 0x00000000U + // .. .. ==> MASK : 0x40000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006020, 0x7FDFFFFCU ,0x270872D0U), + // .. .. reg_ddrc_en_2t_timing_mode = 0x0 + // .. .. ==> 0XF8006024[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_prefer_write = 0x0 + // .. .. ==> 0XF8006024[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_mr_wr = 0x0 + // .. .. ==> 0XF8006024[6:6] = 0x00000000U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. .. reg_ddrc_mr_addr = 0x0 + // .. .. ==> 0XF8006024[8:7] = 0x00000000U + // .. .. ==> MASK : 0x00000180U VAL : 0x00000000U + // .. .. reg_ddrc_mr_data = 0x0 + // .. .. ==> 0XF8006024[24:9] = 0x00000000U + // .. .. ==> MASK : 0x01FFFE00U VAL : 0x00000000U + // .. .. ddrc_reg_mr_wr_busy = 0x0 + // .. .. ==> 0XF8006024[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. reg_ddrc_mr_type = 0x0 + // .. .. ==> 0XF8006024[26:26] = 0x00000000U + // .. .. ==> MASK : 0x04000000U VAL : 0x00000000U + // .. .. reg_ddrc_mr_rdata_valid = 0x0 + // .. .. ==> 0XF8006024[27:27] = 0x00000000U + // .. .. ==> MASK : 0x08000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006024, 0x0FFFFFC3U ,0x00000000U), + // .. .. reg_ddrc_final_wait_x32 = 0x7 + // .. .. ==> 0XF8006028[6:0] = 0x00000007U + // .. .. ==> MASK : 0x0000007FU VAL : 0x00000007U + // .. .. reg_ddrc_pre_ocd_x32 = 0x0 + // .. .. ==> 0XF8006028[10:7] = 0x00000000U + // .. .. ==> MASK : 0x00000780U VAL : 0x00000000U + // .. .. reg_ddrc_t_mrd = 0x4 + // .. .. ==> 0XF8006028[13:11] = 0x00000004U + // .. .. ==> MASK : 0x00003800U VAL : 0x00002000U + // .. .. + EMIT_MASKWRITE(0XF8006028, 0x00003FFFU ,0x00002007U), + // .. .. reg_ddrc_emr2 = 0x8 + // .. .. ==> 0XF800602C[15:0] = 0x00000008U + // .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. reg_ddrc_emr3 = 0x0 + // .. .. ==> 0XF800602C[31:16] = 0x00000000U + // .. .. ==> MASK : 0xFFFF0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800602C, 0xFFFFFFFFU ,0x00000008U), + // .. .. reg_ddrc_mr = 0x930 + // .. .. ==> 0XF8006030[15:0] = 0x00000930U + // .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000930U + // .. .. reg_ddrc_emr = 0x4 + // .. .. ==> 0XF8006030[31:16] = 0x00000004U + // .. .. ==> MASK : 0xFFFF0000U VAL : 0x00040000U + // .. .. + EMIT_MASKWRITE(0XF8006030, 0xFFFFFFFFU ,0x00040930U), + // .. .. reg_ddrc_burst_rdwr = 0x4 + // .. .. ==> 0XF8006034[3:0] = 0x00000004U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000004U + // .. .. reg_ddrc_pre_cke_x1024 = 0x16d + // .. .. ==> 0XF8006034[13:4] = 0x0000016DU + // .. .. ==> MASK : 0x00003FF0U VAL : 0x000016D0U + // .. .. reg_ddrc_post_cke_x1024 = 0x1 + // .. .. ==> 0XF8006034[25:16] = 0x00000001U + // .. .. ==> MASK : 0x03FF0000U VAL : 0x00010000U + // .. .. reg_ddrc_burstchop = 0x0 + // .. .. ==> 0XF8006034[28:28] = 0x00000000U + // .. .. ==> MASK : 0x10000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006034, 0x13FF3FFFU ,0x000116D4U), + // .. .. reg_ddrc_force_low_pri_n = 0x0 + // .. .. ==> 0XF8006038[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_dis_dq = 0x0 + // .. .. ==> 0XF8006038[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006038, 0x00000003U ,0x00000000U), + // .. .. reg_ddrc_addrmap_bank_b0 = 0x7 + // .. .. ==> 0XF800603C[3:0] = 0x00000007U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000007U + // .. .. reg_ddrc_addrmap_bank_b1 = 0x7 + // .. .. ==> 0XF800603C[7:4] = 0x00000007U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000070U + // .. .. reg_ddrc_addrmap_bank_b2 = 0x7 + // .. .. ==> 0XF800603C[11:8] = 0x00000007U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000700U + // .. .. reg_ddrc_addrmap_col_b5 = 0x0 + // .. .. ==> 0XF800603C[15:12] = 0x00000000U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b6 = 0x0 + // .. .. ==> 0XF800603C[19:16] = 0x00000000U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800603C, 0x000FFFFFU ,0x00000777U), + // .. .. reg_ddrc_addrmap_col_b2 = 0x0 + // .. .. ==> 0XF8006040[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b3 = 0x0 + // .. .. ==> 0XF8006040[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b4 = 0x0 + // .. .. ==> 0XF8006040[11:8] = 0x00000000U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b7 = 0x0 + // .. .. ==> 0XF8006040[15:12] = 0x00000000U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b8 = 0x0 + // .. .. ==> 0XF8006040[19:16] = 0x00000000U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b9 = 0xf + // .. .. ==> 0XF8006040[23:20] = 0x0000000FU + // .. .. ==> MASK : 0x00F00000U VAL : 0x00F00000U + // .. .. reg_ddrc_addrmap_col_b10 = 0xf + // .. .. ==> 0XF8006040[27:24] = 0x0000000FU + // .. .. ==> MASK : 0x0F000000U VAL : 0x0F000000U + // .. .. reg_ddrc_addrmap_col_b11 = 0xf + // .. .. ==> 0XF8006040[31:28] = 0x0000000FU + // .. .. ==> MASK : 0xF0000000U VAL : 0xF0000000U + // .. .. + EMIT_MASKWRITE(0XF8006040, 0xFFFFFFFFU ,0xFFF00000U), + // .. .. reg_ddrc_addrmap_row_b0 = 0x6 + // .. .. ==> 0XF8006044[3:0] = 0x00000006U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000006U + // .. .. reg_ddrc_addrmap_row_b1 = 0x6 + // .. .. ==> 0XF8006044[7:4] = 0x00000006U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000060U + // .. .. reg_ddrc_addrmap_row_b2_11 = 0x6 + // .. .. ==> 0XF8006044[11:8] = 0x00000006U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000600U + // .. .. reg_ddrc_addrmap_row_b12 = 0x6 + // .. .. ==> 0XF8006044[15:12] = 0x00000006U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00006000U + // .. .. reg_ddrc_addrmap_row_b13 = 0x6 + // .. .. ==> 0XF8006044[19:16] = 0x00000006U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00060000U + // .. .. reg_ddrc_addrmap_row_b14 = 0x6 + // .. .. ==> 0XF8006044[23:20] = 0x00000006U + // .. .. ==> MASK : 0x00F00000U VAL : 0x00600000U + // .. .. reg_ddrc_addrmap_row_b15 = 0xf + // .. .. ==> 0XF8006044[27:24] = 0x0000000FU + // .. .. ==> MASK : 0x0F000000U VAL : 0x0F000000U + // .. .. + EMIT_MASKWRITE(0XF8006044, 0x0FFFFFFFU ,0x0F666666U), + // .. .. reg_phy_rd_local_odt = 0x0 + // .. .. ==> 0XF8006048[13:12] = 0x00000000U + // .. .. ==> MASK : 0x00003000U VAL : 0x00000000U + // .. .. reg_phy_wr_local_odt = 0x3 + // .. .. ==> 0XF8006048[15:14] = 0x00000003U + // .. .. ==> MASK : 0x0000C000U VAL : 0x0000C000U + // .. .. reg_phy_idle_local_odt = 0x3 + // .. .. ==> 0XF8006048[17:16] = 0x00000003U + // .. .. ==> MASK : 0x00030000U VAL : 0x00030000U + // .. .. reserved_reg_ddrc_rank0_wr_odt = 0x1 + // .. .. ==> 0XF8006048[5:3] = 0x00000001U + // .. .. ==> MASK : 0x00000038U VAL : 0x00000008U + // .. .. reserved_reg_ddrc_rank0_rd_odt = 0x0 + // .. .. ==> 0XF8006048[2:0] = 0x00000000U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006048, 0x0003F03FU ,0x0003C008U), + // .. .. reg_phy_rd_cmd_to_data = 0x0 + // .. .. ==> 0XF8006050[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_phy_wr_cmd_to_data = 0x0 + // .. .. ==> 0XF8006050[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_phy_rdc_we_to_re_delay = 0x8 + // .. .. ==> 0XF8006050[11:8] = 0x00000008U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000800U + // .. .. reg_phy_rdc_fifo_rst_disable = 0x0 + // .. .. ==> 0XF8006050[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_phy_use_fixed_re = 0x1 + // .. .. ==> 0XF8006050[16:16] = 0x00000001U + // .. .. ==> MASK : 0x00010000U VAL : 0x00010000U + // .. .. reg_phy_rdc_fifo_rst_err_cnt_clr = 0x0 + // .. .. ==> 0XF8006050[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_phy_dis_phy_ctrl_rstn = 0x0 + // .. .. ==> 0XF8006050[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_phy_clk_stall_level = 0x0 + // .. .. ==> 0XF8006050[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_num_of_dq0 = 0x7 + // .. .. ==> 0XF8006050[27:24] = 0x00000007U + // .. .. ==> MASK : 0x0F000000U VAL : 0x07000000U + // .. .. reg_phy_wrlvl_num_of_dq0 = 0x7 + // .. .. ==> 0XF8006050[31:28] = 0x00000007U + // .. .. ==> MASK : 0xF0000000U VAL : 0x70000000U + // .. .. + EMIT_MASKWRITE(0XF8006050, 0xFF0F8FFFU ,0x77010800U), + // .. .. reg_ddrc_dis_dll_calib = 0x0 + // .. .. ==> 0XF8006058[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006058, 0x00010000U ,0x00000000U), + // .. .. reg_ddrc_rd_odt_delay = 0x3 + // .. .. ==> 0XF800605C[3:0] = 0x00000003U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000003U + // .. .. reg_ddrc_wr_odt_delay = 0x0 + // .. .. ==> 0XF800605C[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_ddrc_rd_odt_hold = 0x0 + // .. .. ==> 0XF800605C[11:8] = 0x00000000U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000000U + // .. .. reg_ddrc_wr_odt_hold = 0x5 + // .. .. ==> 0XF800605C[15:12] = 0x00000005U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00005000U + // .. .. + EMIT_MASKWRITE(0XF800605C, 0x0000FFFFU ,0x00005003U), + // .. .. reg_ddrc_pageclose = 0x0 + // .. .. ==> 0XF8006060[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_lpr_num_entries = 0x1f + // .. .. ==> 0XF8006060[6:1] = 0x0000001FU + // .. .. ==> MASK : 0x0000007EU VAL : 0x0000003EU + // .. .. reg_ddrc_auto_pre_en = 0x0 + // .. .. ==> 0XF8006060[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. reg_ddrc_refresh_update_level = 0x0 + // .. .. ==> 0XF8006060[8:8] = 0x00000000U + // .. .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. .. reg_ddrc_dis_wc = 0x0 + // .. .. ==> 0XF8006060[9:9] = 0x00000000U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. .. reg_ddrc_dis_collision_page_opt = 0x0 + // .. .. ==> 0XF8006060[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_ddrc_selfref_en = 0x0 + // .. .. ==> 0XF8006060[12:12] = 0x00000000U + // .. .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006060, 0x000017FFU ,0x0000003EU), + // .. .. reg_ddrc_go2critical_hysteresis = 0x0 + // .. .. ==> 0XF8006064[12:5] = 0x00000000U + // .. .. ==> MASK : 0x00001FE0U VAL : 0x00000000U + // .. .. reg_arb_go2critical_en = 0x1 + // .. .. ==> 0XF8006064[17:17] = 0x00000001U + // .. .. ==> MASK : 0x00020000U VAL : 0x00020000U + // .. .. + EMIT_MASKWRITE(0XF8006064, 0x00021FE0U ,0x00020000U), + // .. .. reg_ddrc_wrlvl_ww = 0x41 + // .. .. ==> 0XF8006068[7:0] = 0x00000041U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000041U + // .. .. reg_ddrc_rdlvl_rr = 0x41 + // .. .. ==> 0XF8006068[15:8] = 0x00000041U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00004100U + // .. .. reg_ddrc_dfi_t_wlmrd = 0x28 + // .. .. ==> 0XF8006068[25:16] = 0x00000028U + // .. .. ==> MASK : 0x03FF0000U VAL : 0x00280000U + // .. .. + EMIT_MASKWRITE(0XF8006068, 0x03FFFFFFU ,0x00284141U), + // .. .. dfi_t_ctrlupd_interval_min_x1024 = 0x10 + // .. .. ==> 0XF800606C[7:0] = 0x00000010U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000010U + // .. .. dfi_t_ctrlupd_interval_max_x1024 = 0x16 + // .. .. ==> 0XF800606C[15:8] = 0x00000016U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00001600U + // .. .. + EMIT_MASKWRITE(0XF800606C, 0x0000FFFFU ,0x00001610U), + // .. .. reg_ddrc_dfi_t_ctrl_delay = 0x1 + // .. .. ==> 0XF8006078[3:0] = 0x00000001U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000001U + // .. .. reg_ddrc_dfi_t_dram_clk_disable = 0x1 + // .. .. ==> 0XF8006078[7:4] = 0x00000001U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000010U + // .. .. reg_ddrc_dfi_t_dram_clk_enable = 0x1 + // .. .. ==> 0XF8006078[11:8] = 0x00000001U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000100U + // .. .. reg_ddrc_t_cksre = 0x6 + // .. .. ==> 0XF8006078[15:12] = 0x00000006U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00006000U + // .. .. reg_ddrc_t_cksrx = 0x6 + // .. .. ==> 0XF8006078[19:16] = 0x00000006U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00060000U + // .. .. reg_ddrc_t_ckesr = 0x4 + // .. .. ==> 0XF8006078[25:20] = 0x00000004U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00400000U + // .. .. + EMIT_MASKWRITE(0XF8006078, 0x03FFFFFFU ,0x00466111U), + // .. .. reg_ddrc_t_ckpde = 0x2 + // .. .. ==> 0XF800607C[3:0] = 0x00000002U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000002U + // .. .. reg_ddrc_t_ckpdx = 0x2 + // .. .. ==> 0XF800607C[7:4] = 0x00000002U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000020U + // .. .. reg_ddrc_t_ckdpde = 0x2 + // .. .. ==> 0XF800607C[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. reg_ddrc_t_ckdpdx = 0x2 + // .. .. ==> 0XF800607C[15:12] = 0x00000002U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00002000U + // .. .. reg_ddrc_t_ckcsx = 0x3 + // .. .. ==> 0XF800607C[19:16] = 0x00000003U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00030000U + // .. .. + EMIT_MASKWRITE(0XF800607C, 0x000FFFFFU ,0x00032222U), + // .. .. reg_ddrc_dis_auto_zq = 0x0 + // .. .. ==> 0XF80060A4[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_ddr3 = 0x1 + // .. .. ==> 0XF80060A4[1:1] = 0x00000001U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. reg_ddrc_t_mod = 0x200 + // .. .. ==> 0XF80060A4[11:2] = 0x00000200U + // .. .. ==> MASK : 0x00000FFCU VAL : 0x00000800U + // .. .. reg_ddrc_t_zq_long_nop = 0x200 + // .. .. ==> 0XF80060A4[21:12] = 0x00000200U + // .. .. ==> MASK : 0x003FF000U VAL : 0x00200000U + // .. .. reg_ddrc_t_zq_short_nop = 0x40 + // .. .. ==> 0XF80060A4[31:22] = 0x00000040U + // .. .. ==> MASK : 0xFFC00000U VAL : 0x10000000U + // .. .. + EMIT_MASKWRITE(0XF80060A4, 0xFFFFFFFFU ,0x10200802U), + // .. .. t_zq_short_interval_x1024 = 0xcb73 + // .. .. ==> 0XF80060A8[19:0] = 0x0000CB73U + // .. .. ==> MASK : 0x000FFFFFU VAL : 0x0000CB73U + // .. .. dram_rstn_x1024 = 0x69 + // .. .. ==> 0XF80060A8[27:20] = 0x00000069U + // .. .. ==> MASK : 0x0FF00000U VAL : 0x06900000U + // .. .. + EMIT_MASKWRITE(0XF80060A8, 0x0FFFFFFFU ,0x0690CB73U), + // .. .. deeppowerdown_en = 0x0 + // .. .. ==> 0XF80060AC[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. deeppowerdown_to_x1024 = 0xff + // .. .. ==> 0XF80060AC[8:1] = 0x000000FFU + // .. .. ==> MASK : 0x000001FEU VAL : 0x000001FEU + // .. .. + EMIT_MASKWRITE(0XF80060AC, 0x000001FFU ,0x000001FEU), + // .. .. dfi_wrlvl_max_x1024 = 0xfff + // .. .. ==> 0XF80060B0[11:0] = 0x00000FFFU + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000FFFU + // .. .. dfi_rdlvl_max_x1024 = 0xfff + // .. .. ==> 0XF80060B0[23:12] = 0x00000FFFU + // .. .. ==> MASK : 0x00FFF000U VAL : 0x00FFF000U + // .. .. ddrc_reg_twrlvl_max_error = 0x0 + // .. .. ==> 0XF80060B0[24:24] = 0x00000000U + // .. .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. .. ddrc_reg_trdlvl_max_error = 0x0 + // .. .. ==> 0XF80060B0[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. reg_ddrc_dfi_wr_level_en = 0x1 + // .. .. ==> 0XF80060B0[26:26] = 0x00000001U + // .. .. ==> MASK : 0x04000000U VAL : 0x04000000U + // .. .. reg_ddrc_dfi_rd_dqs_gate_level = 0x1 + // .. .. ==> 0XF80060B0[27:27] = 0x00000001U + // .. .. ==> MASK : 0x08000000U VAL : 0x08000000U + // .. .. reg_ddrc_dfi_rd_data_eye_train = 0x1 + // .. .. ==> 0XF80060B0[28:28] = 0x00000001U + // .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. + EMIT_MASKWRITE(0XF80060B0, 0x1FFFFFFFU ,0x1CFFFFFFU), + // .. .. reg_ddrc_skip_ocd = 0x1 + // .. .. ==> 0XF80060B4[9:9] = 0x00000001U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000200U + // .. .. + EMIT_MASKWRITE(0XF80060B4, 0x00000200U ,0x00000200U), + // .. .. reg_ddrc_dfi_t_rddata_en = 0x6 + // .. .. ==> 0XF80060B8[4:0] = 0x00000006U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000006U + // .. .. reg_ddrc_dfi_t_ctrlup_min = 0x3 + // .. .. ==> 0XF80060B8[14:5] = 0x00000003U + // .. .. ==> MASK : 0x00007FE0U VAL : 0x00000060U + // .. .. reg_ddrc_dfi_t_ctrlup_max = 0x40 + // .. .. ==> 0XF80060B8[24:15] = 0x00000040U + // .. .. ==> MASK : 0x01FF8000U VAL : 0x00200000U + // .. .. + EMIT_MASKWRITE(0XF80060B8, 0x01FFFFFFU ,0x00200066U), + // .. .. Clear_Uncorrectable_DRAM_ECC_error = 0x0 + // .. .. ==> 0XF80060C4[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. Clear_Correctable_DRAM_ECC_error = 0x0 + // .. .. ==> 0XF80060C4[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060C4, 0x00000003U ,0x00000000U), + // .. .. CORR_ECC_LOG_VALID = 0x0 + // .. .. ==> 0XF80060C8[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. ECC_CORRECTED_BIT_NUM = 0x0 + // .. .. ==> 0XF80060C8[7:1] = 0x00000000U + // .. .. ==> MASK : 0x000000FEU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060C8, 0x000000FFU ,0x00000000U), + // .. .. UNCORR_ECC_LOG_VALID = 0x0 + // .. .. ==> 0XF80060DC[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060DC, 0x00000001U ,0x00000000U), + // .. .. STAT_NUM_CORR_ERR = 0x0 + // .. .. ==> 0XF80060F0[15:8] = 0x00000000U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00000000U + // .. .. STAT_NUM_UNCORR_ERR = 0x0 + // .. .. ==> 0XF80060F0[7:0] = 0x00000000U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060F0, 0x0000FFFFU ,0x00000000U), + // .. .. reg_ddrc_ecc_mode = 0x0 + // .. .. ==> 0XF80060F4[2:0] = 0x00000000U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000000U + // .. .. reg_ddrc_dis_scrub = 0x1 + // .. .. ==> 0XF80060F4[3:3] = 0x00000001U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000008U + // .. .. + EMIT_MASKWRITE(0XF80060F4, 0x0000000FU ,0x00000008U), + // .. .. reg_phy_dif_on = 0x0 + // .. .. ==> 0XF8006114[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_phy_dif_off = 0x0 + // .. .. ==> 0XF8006114[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006114, 0x000000FFU ,0x00000000U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006118[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006118[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006118[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006118[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006118, 0x7FFFFFCFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF800611C[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF800611C[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF800611C[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF800611C[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF800611C, 0x7FFFFFCFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006120[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006120[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006120[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006120[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006120, 0x7FFFFFCFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006124[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006124[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006124[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006124[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006124, 0x7FFFFFCFU ,0x40000001U), + // .. .. reg_phy_wrlvl_init_ratio = 0x7 + // .. .. ==> 0XF800612C[9:0] = 0x00000007U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000007U + // .. .. reg_phy_gatelvl_init_ratio = 0x7a + // .. .. ==> 0XF800612C[19:10] = 0x0000007AU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001E800U + // .. .. + EMIT_MASKWRITE(0XF800612C, 0x000FFFFFU ,0x0001E807U), + // .. .. reg_phy_wrlvl_init_ratio = 0x5 + // .. .. ==> 0XF8006130[9:0] = 0x00000005U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000005U + // .. .. reg_phy_gatelvl_init_ratio = 0x7b + // .. .. ==> 0XF8006130[19:10] = 0x0000007BU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001EC00U + // .. .. + EMIT_MASKWRITE(0XF8006130, 0x000FFFFFU ,0x0001EC05U), + // .. .. reg_phy_wrlvl_init_ratio = 0x5 + // .. .. ==> 0XF8006134[9:0] = 0x00000005U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000005U + // .. .. reg_phy_gatelvl_init_ratio = 0x7b + // .. .. ==> 0XF8006134[19:10] = 0x0000007BU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001EC00U + // .. .. + EMIT_MASKWRITE(0XF8006134, 0x000FFFFFU ,0x0001EC05U), + // .. .. reg_phy_wrlvl_init_ratio = 0x1 + // .. .. ==> 0XF8006138[9:0] = 0x00000001U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000001U + // .. .. reg_phy_gatelvl_init_ratio = 0x78 + // .. .. ==> 0XF8006138[19:10] = 0x00000078U + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001E000U + // .. .. + EMIT_MASKWRITE(0XF8006138, 0x000FFFFFU ,0x0001E001U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006140[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006140[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006140[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006140, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006144[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006144[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006144[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006144, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006148[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006148[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006148[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006148, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF800614C[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF800614C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF800614C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800614C, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x87 + // .. .. ==> 0XF8006154[9:0] = 0x00000087U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000087U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006154[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006154[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006154, 0x000FFFFFU ,0x00000087U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x85 + // .. .. ==> 0XF8006158[9:0] = 0x00000085U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000085U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006158[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006158[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006158, 0x000FFFFFU ,0x00000085U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x85 + // .. .. ==> 0XF800615C[9:0] = 0x00000085U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000085U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF800615C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF800615C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800615C, 0x000FFFFFU ,0x00000085U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x81 + // .. .. ==> 0XF8006160[9:0] = 0x00000081U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000081U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006160[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006160[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006160, 0x000FFFFFU ,0x00000081U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xcf + // .. .. ==> 0XF8006168[10:0] = 0x000000CFU + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000CFU + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006168[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006168[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006168, 0x001FFFFFU ,0x000000CFU), + // .. .. reg_phy_fifo_we_slave_ratio = 0xd0 + // .. .. ==> 0XF800616C[10:0] = 0x000000D0U + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000D0U + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF800616C[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF800616C[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800616C, 0x001FFFFFU ,0x000000D0U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xd0 + // .. .. ==> 0XF8006170[10:0] = 0x000000D0U + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000D0U + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006170[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006170[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006170, 0x001FFFFFU ,0x000000D0U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xcd + // .. .. ==> 0XF8006174[10:0] = 0x000000CDU + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000CDU + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006174[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006174[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006174, 0x001FFFFFU ,0x000000CDU), + // .. .. reg_phy_wr_data_slave_ratio = 0xc7 + // .. .. ==> 0XF800617C[9:0] = 0x000000C7U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C7U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF800617C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF800617C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800617C, 0x000FFFFFU ,0x000000C7U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc5 + // .. .. ==> 0XF8006180[9:0] = 0x000000C5U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C5U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006180[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006180[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006180, 0x000FFFFFU ,0x000000C5U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc5 + // .. .. ==> 0XF8006184[9:0] = 0x000000C5U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C5U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006184[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006184[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006184, 0x000FFFFFU ,0x000000C5U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc1 + // .. .. ==> 0XF8006188[9:0] = 0x000000C1U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C1U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006188[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006188[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006188, 0x000FFFFFU ,0x000000C1U), + // .. .. reg_phy_bl2 = 0x0 + // .. .. ==> 0XF8006190[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_at_spd_atpg = 0x0 + // .. .. ==> 0XF8006190[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_bist_enable = 0x0 + // .. .. ==> 0XF8006190[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_bist_force_err = 0x0 + // .. .. ==> 0XF8006190[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_bist_mode = 0x0 + // .. .. ==> 0XF8006190[6:5] = 0x00000000U + // .. .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. .. reg_phy_invert_clkout = 0x1 + // .. .. ==> 0XF8006190[7:7] = 0x00000001U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. .. reg_phy_sel_logic = 0x0 + // .. .. ==> 0XF8006190[9:9] = 0x00000000U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_ratio = 0x100 + // .. .. ==> 0XF8006190[19:10] = 0x00000100U + // .. .. ==> MASK : 0x000FFC00U VAL : 0x00040000U + // .. .. reg_phy_ctrl_slave_force = 0x0 + // .. .. ==> 0XF8006190[20:20] = 0x00000000U + // .. .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_delay = 0x0 + // .. .. ==> 0XF8006190[27:21] = 0x00000000U + // .. .. ==> MASK : 0x0FE00000U VAL : 0x00000000U + // .. .. reg_phy_lpddr = 0x0 + // .. .. ==> 0XF8006190[29:29] = 0x00000000U + // .. .. ==> MASK : 0x20000000U VAL : 0x00000000U + // .. .. reg_phy_cmd_latency = 0x0 + // .. .. ==> 0XF8006190[30:30] = 0x00000000U + // .. .. ==> MASK : 0x40000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006190, 0x6FFFFEFEU ,0x00040080U), + // .. .. reg_phy_wr_rl_delay = 0x2 + // .. .. ==> 0XF8006194[4:0] = 0x00000002U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000002U + // .. .. reg_phy_rd_rl_delay = 0x4 + // .. .. ==> 0XF8006194[9:5] = 0x00000004U + // .. .. ==> MASK : 0x000003E0U VAL : 0x00000080U + // .. .. reg_phy_dll_lock_diff = 0xf + // .. .. ==> 0XF8006194[13:10] = 0x0000000FU + // .. .. ==> MASK : 0x00003C00U VAL : 0x00003C00U + // .. .. reg_phy_use_wr_level = 0x1 + // .. .. ==> 0XF8006194[14:14] = 0x00000001U + // .. .. ==> MASK : 0x00004000U VAL : 0x00004000U + // .. .. reg_phy_use_rd_dqs_gate_level = 0x1 + // .. .. ==> 0XF8006194[15:15] = 0x00000001U + // .. .. ==> MASK : 0x00008000U VAL : 0x00008000U + // .. .. reg_phy_use_rd_data_eye_level = 0x1 + // .. .. ==> 0XF8006194[16:16] = 0x00000001U + // .. .. ==> MASK : 0x00010000U VAL : 0x00010000U + // .. .. reg_phy_dis_calib_rst = 0x0 + // .. .. ==> 0XF8006194[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_delay = 0x0 + // .. .. ==> 0XF8006194[19:18] = 0x00000000U + // .. .. ==> MASK : 0x000C0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006194, 0x000FFFFFU ,0x0001FC82U), + // .. .. reg_arb_page_addr_mask = 0x0 + // .. .. ==> 0XF8006204[31:0] = 0x00000000U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006204, 0xFFFFFFFFU ,0x00000000U), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006208[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006208[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006208[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006208[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006208, 0x000703FFU ,0x000003FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF800620C[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF800620C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF800620C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF800620C[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800620C, 0x000703FFU ,0x000003FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006210[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006210[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006210[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006210[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006210, 0x000703FFU ,0x000003FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006214[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006214[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006214[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006214[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006214, 0x000703FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006218[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006218[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006218[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006218[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006218[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006218, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF800621C[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF800621C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF800621C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF800621C[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF800621C[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800621C, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006220[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006220[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006220[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006220[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006220[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006220, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006224[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006224[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006224[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006224[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006224[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006224, 0x000F03FFU ,0x000003FFU), + // .. .. reg_ddrc_lpddr2 = 0x0 + // .. .. ==> 0XF80062A8[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_derate_enable = 0x0 + // .. .. ==> 0XF80062A8[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_ddrc_mr4_margin = 0x0 + // .. .. ==> 0XF80062A8[11:4] = 0x00000000U + // .. .. ==> MASK : 0x00000FF0U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80062A8, 0x00000FF5U ,0x00000000U), + // .. .. reg_ddrc_mr4_read_interval = 0x0 + // .. .. ==> 0XF80062AC[31:0] = 0x00000000U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80062AC, 0xFFFFFFFFU ,0x00000000U), + // .. .. reg_ddrc_min_stable_clock_x1 = 0x5 + // .. .. ==> 0XF80062B0[3:0] = 0x00000005U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000005U + // .. .. reg_ddrc_idle_after_reset_x32 = 0x12 + // .. .. ==> 0XF80062B0[11:4] = 0x00000012U + // .. .. ==> MASK : 0x00000FF0U VAL : 0x00000120U + // .. .. reg_ddrc_t_mrw = 0x5 + // .. .. ==> 0XF80062B0[21:12] = 0x00000005U + // .. .. ==> MASK : 0x003FF000U VAL : 0x00005000U + // .. .. + EMIT_MASKWRITE(0XF80062B0, 0x003FFFFFU ,0x00005125U), + // .. .. reg_ddrc_max_auto_init_x1024 = 0xa8 + // .. .. ==> 0XF80062B4[7:0] = 0x000000A8U + // .. .. ==> MASK : 0x000000FFU VAL : 0x000000A8U + // .. .. reg_ddrc_dev_zqinit_x32 = 0x12 + // .. .. ==> 0XF80062B4[17:8] = 0x00000012U + // .. .. ==> MASK : 0x0003FF00U VAL : 0x00001200U + // .. .. + EMIT_MASKWRITE(0XF80062B4, 0x0003FFFFU ,0x000012A8U), + // .. .. START: POLL ON DCI STATUS + // .. .. DONE = 1 + // .. .. ==> 0XF8000B74[13:13] = 0x00000001U + // .. .. ==> MASK : 0x00002000U VAL : 0x00002000U + // .. .. + EMIT_MASKPOLL(0XF8000B74, 0x00002000U), + // .. .. FINISH: POLL ON DCI STATUS + // .. .. START: UNLOCK DDR + // .. .. reg_ddrc_soft_rstb = 0x1 + // .. .. ==> 0XF8006000[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_ddrc_powerdown_en = 0x0 + // .. .. ==> 0XF8006000[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_data_bus_width = 0x0 + // .. .. ==> 0XF8006000[3:2] = 0x00000000U + // .. .. ==> MASK : 0x0000000CU VAL : 0x00000000U + // .. .. reg_ddrc_burst8_refresh = 0x0 + // .. .. ==> 0XF8006000[6:4] = 0x00000000U + // .. .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. .. reg_ddrc_rdwr_idle_gap = 1 + // .. .. ==> 0XF8006000[13:7] = 0x00000001U + // .. .. ==> MASK : 0x00003F80U VAL : 0x00000080U + // .. .. reg_ddrc_dis_rd_bypass = 0x0 + // .. .. ==> 0XF8006000[14:14] = 0x00000000U + // .. .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_act_bypass = 0x0 + // .. .. ==> 0XF8006000[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_auto_refresh = 0x0 + // .. .. ==> 0XF8006000[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000081U), + // .. .. FINISH: UNLOCK DDR + // .. .. START: CHECK DDR STATUS + // .. .. ddrc_reg_operating_mode = 1 + // .. .. ==> 0XF8006054[2:0] = 0x00000001U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000001U + // .. .. + EMIT_MASKPOLL(0XF8006054, 0x00000007U), + // .. .. FINISH: CHECK DDR STATUS + // .. FINISH: DDR INITIALIZATION + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_mio_init_data_3_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: OCM REMAPPING + // .. FINISH: OCM REMAPPING + // .. START: DDRIOB SETTINGS + // .. reserved_INP_POWER = 0x0 + // .. ==> 0XF8000B40[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B40[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE_B = 0x0 + // .. ==> 0XF8000B40[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B40[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCI_TYPE = 0x0 + // .. ==> 0XF8000B40[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B40[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B40[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B40[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B40[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B40, 0x00000FFFU ,0x00000600U), + // .. reserved_INP_POWER = 0x0 + // .. ==> 0XF8000B44[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B44[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE_B = 0x0 + // .. ==> 0XF8000B44[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B44[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCI_TYPE = 0x0 + // .. ==> 0XF8000B44[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B44[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B44[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B44[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B44[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B44, 0x00000FFFU ,0x00000600U), + // .. reserved_INP_POWER = 0x0 + // .. ==> 0XF8000B48[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x1 + // .. ==> 0XF8000B48[2:1] = 0x00000001U + // .. ==> MASK : 0x00000006U VAL : 0x00000002U + // .. DCI_UPDATE_B = 0x0 + // .. ==> 0XF8000B48[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B48[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCI_TYPE = 0x3 + // .. ==> 0XF8000B48[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B48[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B48[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B48[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B48[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B48, 0x00000FFFU ,0x00000672U), + // .. reserved_INP_POWER = 0x0 + // .. ==> 0XF8000B4C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x1 + // .. ==> 0XF8000B4C[2:1] = 0x00000001U + // .. ==> MASK : 0x00000006U VAL : 0x00000002U + // .. DCI_UPDATE_B = 0x0 + // .. ==> 0XF8000B4C[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B4C[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCI_TYPE = 0x3 + // .. ==> 0XF8000B4C[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B4C[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B4C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B4C[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B4C[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B4C, 0x00000FFFU ,0x00000672U), + // .. reserved_INP_POWER = 0x0 + // .. ==> 0XF8000B50[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x2 + // .. ==> 0XF8000B50[2:1] = 0x00000002U + // .. ==> MASK : 0x00000006U VAL : 0x00000004U + // .. DCI_UPDATE_B = 0x0 + // .. ==> 0XF8000B50[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B50[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCI_TYPE = 0x3 + // .. ==> 0XF8000B50[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B50[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B50[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B50[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B50[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B50, 0x00000FFFU ,0x00000674U), + // .. reserved_INP_POWER = 0x0 + // .. ==> 0XF8000B54[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x2 + // .. ==> 0XF8000B54[2:1] = 0x00000002U + // .. ==> MASK : 0x00000006U VAL : 0x00000004U + // .. DCI_UPDATE_B = 0x0 + // .. ==> 0XF8000B54[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B54[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCI_TYPE = 0x3 + // .. ==> 0XF8000B54[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B54[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B54[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B54[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B54[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B54, 0x00000FFFU ,0x00000674U), + // .. reserved_INP_POWER = 0x0 + // .. ==> 0XF8000B58[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B58[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE_B = 0x0 + // .. ==> 0XF8000B58[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B58[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCI_TYPE = 0x0 + // .. ==> 0XF8000B58[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B58[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B58[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B58[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B58[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B58, 0x00000FFFU ,0x00000600U), + // .. reserved_DRIVE_P = 0x1c + // .. ==> 0XF8000B5C[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. reserved_DRIVE_N = 0xc + // .. ==> 0XF8000B5C[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. reserved_SLEW_P = 0x3 + // .. ==> 0XF8000B5C[18:14] = 0x00000003U + // .. ==> MASK : 0x0007C000U VAL : 0x0000C000U + // .. reserved_SLEW_N = 0x3 + // .. ==> 0XF8000B5C[23:19] = 0x00000003U + // .. ==> MASK : 0x00F80000U VAL : 0x00180000U + // .. reserved_GTL = 0x0 + // .. ==> 0XF8000B5C[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. reserved_RTERM = 0x0 + // .. ==> 0XF8000B5C[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B5C, 0xFFFFFFFFU ,0x0018C61CU), + // .. reserved_DRIVE_P = 0x1c + // .. ==> 0XF8000B60[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. reserved_DRIVE_N = 0xc + // .. ==> 0XF8000B60[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. reserved_SLEW_P = 0x6 + // .. ==> 0XF8000B60[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. reserved_SLEW_N = 0x1f + // .. ==> 0XF8000B60[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. reserved_GTL = 0x0 + // .. ==> 0XF8000B60[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. reserved_RTERM = 0x0 + // .. ==> 0XF8000B60[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B60, 0xFFFFFFFFU ,0x00F9861CU), + // .. reserved_DRIVE_P = 0x1c + // .. ==> 0XF8000B64[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. reserved_DRIVE_N = 0xc + // .. ==> 0XF8000B64[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. reserved_SLEW_P = 0x6 + // .. ==> 0XF8000B64[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. reserved_SLEW_N = 0x1f + // .. ==> 0XF8000B64[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. reserved_GTL = 0x0 + // .. ==> 0XF8000B64[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. reserved_RTERM = 0x0 + // .. ==> 0XF8000B64[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B64, 0xFFFFFFFFU ,0x00F9861CU), + // .. reserved_DRIVE_P = 0x1c + // .. ==> 0XF8000B68[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. reserved_DRIVE_N = 0xc + // .. ==> 0XF8000B68[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. reserved_SLEW_P = 0x6 + // .. ==> 0XF8000B68[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. reserved_SLEW_N = 0x1f + // .. ==> 0XF8000B68[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. reserved_GTL = 0x0 + // .. ==> 0XF8000B68[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. reserved_RTERM = 0x0 + // .. ==> 0XF8000B68[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B68, 0xFFFFFFFFU ,0x00F9861CU), + // .. VREF_INT_EN = 0x0 + // .. ==> 0XF8000B6C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. VREF_SEL = 0x0 + // .. ==> 0XF8000B6C[4:1] = 0x00000000U + // .. ==> MASK : 0x0000001EU VAL : 0x00000000U + // .. VREF_EXT_EN = 0x3 + // .. ==> 0XF8000B6C[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. reserved_VREF_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[8:7] = 0x00000000U + // .. ==> MASK : 0x00000180U VAL : 0x00000000U + // .. REFIO_EN = 0x1 + // .. ==> 0XF8000B6C[9:9] = 0x00000001U + // .. ==> MASK : 0x00000200U VAL : 0x00000200U + // .. reserved_REFIO_TEST = 0x0 + // .. ==> 0XF8000B6C[11:10] = 0x00000000U + // .. ==> MASK : 0x00000C00U VAL : 0x00000000U + // .. reserved_REFIO_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. reserved_DRST_B_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. reserved_CKE_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[14:14] = 0x00000000U + // .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B6C, 0x00007FFFU ,0x00000260U), + // .. .. START: ASSERT RESET + // .. .. RESET = 1 + // .. .. ==> 0XF8000B70[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x00000001U ,0x00000001U), + // .. .. FINISH: ASSERT RESET + // .. .. START: DEASSERT RESET + // .. .. RESET = 0 + // .. .. ==> 0XF8000B70[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reserved_VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000020U), + // .. .. FINISH: DEASSERT RESET + // .. .. RESET = 0x1 + // .. .. ==> 0XF8000B70[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. ENABLE = 0x1 + // .. .. ==> 0XF8000B70[1:1] = 0x00000001U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. reserved_VRP_TRI = 0x0 + // .. .. ==> 0XF8000B70[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reserved_VRN_TRI = 0x0 + // .. .. ==> 0XF8000B70[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reserved_VRP_OUT = 0x0 + // .. .. ==> 0XF8000B70[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reserved_VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. NREF_OPT1 = 0x0 + // .. .. ==> 0XF8000B70[7:6] = 0x00000000U + // .. .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. .. NREF_OPT2 = 0x0 + // .. .. ==> 0XF8000B70[10:8] = 0x00000000U + // .. .. ==> MASK : 0x00000700U VAL : 0x00000000U + // .. .. NREF_OPT4 = 0x1 + // .. .. ==> 0XF8000B70[13:11] = 0x00000001U + // .. .. ==> MASK : 0x00003800U VAL : 0x00000800U + // .. .. PREF_OPT1 = 0x0 + // .. .. ==> 0XF8000B70[15:14] = 0x00000000U + // .. .. ==> MASK : 0x0000C000U VAL : 0x00000000U + // .. .. PREF_OPT2 = 0x0 + // .. .. ==> 0XF8000B70[19:17] = 0x00000000U + // .. .. ==> MASK : 0x000E0000U VAL : 0x00000000U + // .. .. UPDATE_CONTROL = 0x0 + // .. .. ==> 0XF8000B70[20:20] = 0x00000000U + // .. .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. .. reserved_INIT_COMPLETE = 0x0 + // .. .. ==> 0XF8000B70[21:21] = 0x00000000U + // .. .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. .. reserved_TST_CLK = 0x0 + // .. .. ==> 0XF8000B70[22:22] = 0x00000000U + // .. .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. .. reserved_TST_HLN = 0x0 + // .. .. ==> 0XF8000B70[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. reserved_TST_HLP = 0x0 + // .. .. ==> 0XF8000B70[24:24] = 0x00000000U + // .. .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. .. reserved_TST_RST = 0x0 + // .. .. ==> 0XF8000B70[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. reserved_INT_DCI_EN = 0x0 + // .. .. ==> 0XF8000B70[26:26] = 0x00000000U + // .. .. ==> MASK : 0x04000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x07FEFFFFU ,0x00000823U), + // .. FINISH: DDRIOB SETTINGS + // .. START: MIO PROGRAMMING + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000700[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000700[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000700[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000700[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000700[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000700[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000700[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000700[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000700[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000700, 0x00003FFFU ,0x00001600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000704[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000704[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000704[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000704[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000704[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000704[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000704[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000704[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000704[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000704, 0x00003FFFU ,0x00001600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000708[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000708[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000708[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000708[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000708[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000708[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000708[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000708[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000708[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000708, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800070C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800070C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800070C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800070C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800070C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800070C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800070C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF800070C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800070C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800070C, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000710[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000710[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000710[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000710[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000710[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000710[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000710[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000710[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000710[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000710, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000714[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000714[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000714[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000714[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000714[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000714[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000714[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000714[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000714[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000714, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000718[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000718[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000718[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000718[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000718[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000718[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000718[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000718[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000718[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000718, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800071C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800071C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800071C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800071C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800071C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800071C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800071C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF800071C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800071C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800071C, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000720[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000720[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000720[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000720[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF8000720[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF8000720[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000720[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000720[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000720[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000720, 0x00003FFFU ,0x000006E0U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000724[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000724[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000724[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000724[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF8000724[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF8000724[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000724[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000724[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000724[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000724, 0x00003FFFU ,0x000016E1U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000728[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000728[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000728[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000728[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000728[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000728[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000728[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000728[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000728[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000728, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800072C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800072C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800072C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800072C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF800072C[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF800072C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800072C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF800072C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800072C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800072C, 0x00003FFFU ,0x00001660U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000730[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000730[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000730[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000730[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000730[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000730[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000730[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000730[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000730[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000730, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000734[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000734[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000734[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000734[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000734[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000734[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000734[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000734[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000734[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000734, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000738[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000738[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000738[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000738[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000738[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF8000738[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000738[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000738[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000738[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000738, 0x00003FFFU ,0x00001640U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800073C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800073C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800073C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800073C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF800073C[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF800073C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800073C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF800073C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800073C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800073C, 0x00003FFFU ,0x00001640U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000740[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000740[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000740[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000740[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000740[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000740[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000740[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000740[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000740[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000744[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000744[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000744[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000744[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000744[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000744[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000744[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000744[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000744[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000748[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000748[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000748[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000748[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000748[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000748[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000748[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000748[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000748[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800074C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF800074C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800074C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800074C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800074C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800074C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800074C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800074C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800074C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000750[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000750[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000750[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000750[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000750[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000750[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000750[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000750[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000750[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000754[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000754[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000754[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000754[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000754[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000754[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000754[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000754[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000754[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000758[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000758[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000758[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000758[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000758[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000758[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000758[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000758[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000758[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800075C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF800075C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800075C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800075C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800075C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800075C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800075C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800075C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800075C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000760[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000760[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000760[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000760[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000760[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000760[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000760[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000760[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000760[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000764[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000764[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000764[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000764[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000764[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000764[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000764[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000764[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000764[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000768[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000768[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000768[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000768[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000768[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000768[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000768[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000768[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000768[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800076C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF800076C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800076C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800076C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800076C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800076C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800076C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800076C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800076C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000770[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000770[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000770[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000770[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000770[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000770[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000770[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000770[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000770[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000774[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000774[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000774[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000774[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000774[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000774[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000774[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000774[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000774[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000778[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000778[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000778[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000778[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000778[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000778[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000778[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000778[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000778[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800077C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF800077C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800077C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800077C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800077C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800077C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800077C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800077C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800077C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000780[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000780[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000780[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000780[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000780[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000780[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000780[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000780[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000780[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000784[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000784[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000784[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000784[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000784[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000784[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000784[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000784[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000784[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000788[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000788[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000788[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000788[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000788[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000788[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000788[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000788[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000788[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800078C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800078C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800078C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800078C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800078C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800078C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800078C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800078C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800078C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000790[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000790[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000790[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000790[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000790[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000790[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000790[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000790[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000790[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000794[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000794[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000794[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000794[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000794[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000794[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000794[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000794[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000794[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000798[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000798[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000798[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000798[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000798[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000798[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000798[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000798[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000798[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800079C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800079C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800079C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800079C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800079C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800079C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800079C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800079C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800079C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A8[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A8[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007AC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007AC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007AC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007AC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007AC[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007AC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007AC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007AC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007AC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007B0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007B0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007B0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007B0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007B4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007B4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007B4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007B4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF80007B8[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF80007B8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF80007B8[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF80007B8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B8, 0x00003FFFU ,0x000012E1U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007BC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007BC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007BC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007BC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF80007BC[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF80007BC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007BC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007BC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007BC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007BC, 0x00003FFFU ,0x000012E0U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF80007C0[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF80007C0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x00001240U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF80007C4[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF80007C4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x00001240U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C8[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF80007C8[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF80007C8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007CC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007CC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007CC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007CC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF80007CC[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF80007CC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007CC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007CC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007CC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007D0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007D0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007D0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007D0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007D0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007D0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007D0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007D0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007D0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007D4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007D4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007D4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007D4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007D4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007D4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007D4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007D4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007D4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), + // .. SDIO0_WP_SEL = 55 + // .. ==> 0XF8000830[5:0] = 0x00000037U + // .. ==> MASK : 0x0000003FU VAL : 0x00000037U + // .. SDIO0_CD_SEL = 56 + // .. ==> 0XF8000830[21:16] = 0x00000038U + // .. ==> MASK : 0x003F0000U VAL : 0x00380000U + // .. + EMIT_MASKWRITE(0XF8000830, 0x003F003FU ,0x00380037U), + // .. FINISH: MIO PROGRAMMING + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_peripherals_init_data_3_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: DDR TERM/IBUF_DISABLE_MODE SETTINGS + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B48[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B48[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B48, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B4C[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B4C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B4C, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B50[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B50[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B50, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B54[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B54[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B54, 0x00000180U ,0x00000180U), + // .. FINISH: DDR TERM/IBUF_DISABLE_MODE SETTINGS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // .. START: SRAM/NOR SET OPMODE + // .. FINISH: SRAM/NOR SET OPMODE + // .. START: UART REGISTERS + // .. BDIV = 0x6 + // .. ==> 0XE0001034[7:0] = 0x00000006U + // .. ==> MASK : 0x000000FFU VAL : 0x00000006U + // .. + EMIT_MASKWRITE(0XE0001034, 0x000000FFU ,0x00000006U), + // .. CD = 0x7c + // .. ==> 0XE0001018[15:0] = 0x0000007CU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000007CU + // .. + EMIT_MASKWRITE(0XE0001018, 0x0000FFFFU ,0x0000007CU), + // .. STPBRK = 0x0 + // .. ==> 0XE0001000[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. STTBRK = 0x0 + // .. ==> 0XE0001000[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. RSTTO = 0x0 + // .. ==> 0XE0001000[6:6] = 0x00000000U + // .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. TXDIS = 0x0 + // .. ==> 0XE0001000[5:5] = 0x00000000U + // .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. TXEN = 0x1 + // .. ==> 0XE0001000[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. RXDIS = 0x0 + // .. ==> 0XE0001000[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. RXEN = 0x1 + // .. ==> 0XE0001000[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. TXRES = 0x1 + // .. ==> 0XE0001000[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. RXRES = 0x1 + // .. ==> 0XE0001000[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. + EMIT_MASKWRITE(0XE0001000, 0x000001FFU ,0x00000017U), + // .. CHMODE = 0x0 + // .. ==> 0XE0001004[9:8] = 0x00000000U + // .. ==> MASK : 0x00000300U VAL : 0x00000000U + // .. NBSTOP = 0x0 + // .. ==> 0XE0001004[7:6] = 0x00000000U + // .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. PAR = 0x4 + // .. ==> 0XE0001004[5:3] = 0x00000004U + // .. ==> MASK : 0x00000038U VAL : 0x00000020U + // .. CHRL = 0x0 + // .. ==> 0XE0001004[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. CLKS = 0x0 + // .. ==> 0XE0001004[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XE0001004, 0x000003FFU ,0x00000020U), + // .. BDIV = 0x6 + // .. ==> 0XE0000034[7:0] = 0x00000006U + // .. ==> MASK : 0x000000FFU VAL : 0x00000006U + // .. + EMIT_MASKWRITE(0XE0000034, 0x000000FFU ,0x00000006U), + // .. CD = 0x7c + // .. ==> 0XE0000018[15:0] = 0x0000007CU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000007CU + // .. + EMIT_MASKWRITE(0XE0000018, 0x0000FFFFU ,0x0000007CU), + // .. STPBRK = 0x0 + // .. ==> 0XE0000000[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. STTBRK = 0x0 + // .. ==> 0XE0000000[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. RSTTO = 0x0 + // .. ==> 0XE0000000[6:6] = 0x00000000U + // .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. TXDIS = 0x0 + // .. ==> 0XE0000000[5:5] = 0x00000000U + // .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. TXEN = 0x1 + // .. ==> 0XE0000000[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. RXDIS = 0x0 + // .. ==> 0XE0000000[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. RXEN = 0x1 + // .. ==> 0XE0000000[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. TXRES = 0x1 + // .. ==> 0XE0000000[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. RXRES = 0x1 + // .. ==> 0XE0000000[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. + EMIT_MASKWRITE(0XE0000000, 0x000001FFU ,0x00000017U), + // .. CHMODE = 0x0 + // .. ==> 0XE0000004[9:8] = 0x00000000U + // .. ==> MASK : 0x00000300U VAL : 0x00000000U + // .. NBSTOP = 0x0 + // .. ==> 0XE0000004[7:6] = 0x00000000U + // .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. PAR = 0x4 + // .. ==> 0XE0000004[5:3] = 0x00000004U + // .. ==> MASK : 0x00000038U VAL : 0x00000020U + // .. CHRL = 0x0 + // .. ==> 0XE0000004[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. CLKS = 0x0 + // .. ==> 0XE0000004[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XE0000004, 0x000003FFU ,0x00000020U), + // .. FINISH: UART REGISTERS + // .. START: QSPI REGISTERS + // .. Holdb_dr = 1 + // .. ==> 0XE000D000[19:19] = 0x00000001U + // .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. + EMIT_MASKWRITE(0XE000D000, 0x00080000U ,0x00080000U), + // .. FINISH: QSPI REGISTERS + // .. START: PL POWER ON RESET REGISTERS + // .. PCFG_POR_CNT_4K = 0 + // .. ==> 0XF8007000[29:29] = 0x00000000U + // .. ==> MASK : 0x20000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8007000, 0x20000000U ,0x00000000U), + // .. FINISH: PL POWER ON RESET REGISTERS + // .. START: SMC TIMING CALCULATION REGISTER UPDATE + // .. .. START: NAND SET CYCLE + // .. .. FINISH: NAND SET CYCLE + // .. .. START: OPMODE + // .. .. FINISH: OPMODE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: SRAM/NOR CS0 SET CYCLE + // .. .. FINISH: SRAM/NOR CS0 SET CYCLE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: NOR CS0 BASE ADDRESS + // .. .. FINISH: NOR CS0 BASE ADDRESS + // .. .. START: SRAM/NOR CS1 SET CYCLE + // .. .. FINISH: SRAM/NOR CS1 SET CYCLE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: NOR CS1 BASE ADDRESS + // .. .. FINISH: NOR CS1 BASE ADDRESS + // .. .. START: USB RESET + // .. .. .. START: USB0 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. DIRECTION_0 = 0x18 + // .. .. .. .. ==> 0XE000A204[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x10 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000010U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000010U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. OP_ENABLE_0 = 0x18 + // .. .. .. .. ==> 0XE000A208[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x0 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000000U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000000U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0000U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x10 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000010U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000010U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: USB0 RESET + // .. .. .. START: USB1 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: USB1 RESET + // .. .. FINISH: USB RESET + // .. .. START: ENET RESET + // .. .. .. START: ENET0 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. DIRECTION_0 = 0x18 + // .. .. .. .. ==> 0XE000A204[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x8 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000008U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. OP_ENABLE_0 = 0x18 + // .. .. .. .. ==> 0XE000A208[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x0 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000000U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000000U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70000U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x8 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000008U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: ENET0 RESET + // .. .. .. START: ENET1 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: ENET1 RESET + // .. .. FINISH: ENET RESET + // .. .. START: I2C RESET + // .. .. .. START: I2C0 RESET + // .. .. .. .. START: DIR MODE GPIO BANK0 + // .. .. .. .. FINISH: DIR MODE GPIO BANK0 + // .. .. .. .. START: DIR MODE GPIO BANK1 + // .. .. .. .. FINISH: DIR MODE GPIO BANK1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: I2C0 RESET + // .. .. .. START: I2C1 RESET + // .. .. .. .. START: DIR MODE GPIO BANK0 + // .. .. .. .. FINISH: DIR MODE GPIO BANK0 + // .. .. .. .. START: DIR MODE GPIO BANK1 + // .. .. .. .. FINISH: DIR MODE GPIO BANK1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: I2C1 RESET + // .. .. FINISH: I2C RESET + // .. .. START: NOR CHIP SELECT + // .. .. .. START: DIR MODE BANK 0 + // .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. FINISH: NOR CHIP SELECT + // .. FINISH: SMC TIMING CALCULATION REGISTER UPDATE + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_post_config_3_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: ENABLING LEVEL SHIFTER + // .. USER_LVL_INP_EN_0 = 1 + // .. ==> 0XF8000900[3:3] = 0x00000001U + // .. ==> MASK : 0x00000008U VAL : 0x00000008U + // .. USER_LVL_OUT_EN_0 = 1 + // .. ==> 0XF8000900[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. USER_LVL_INP_EN_1 = 1 + // .. ==> 0XF8000900[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. USER_LVL_OUT_EN_1 = 1 + // .. ==> 0XF8000900[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. + EMIT_MASKWRITE(0XF8000900, 0x0000000FU ,0x0000000FU), + // .. FINISH: ENABLING LEVEL SHIFTER + // .. START: FPGA RESETS TO 0 + // .. reserved_3 = 0 + // .. ==> 0XF8000240[31:25] = 0x00000000U + // .. ==> MASK : 0xFE000000U VAL : 0x00000000U + // .. reserved_FPGA_ACP_RST = 0 + // .. ==> 0XF8000240[24:24] = 0x00000000U + // .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. reserved_FPGA_AXDS3_RST = 0 + // .. ==> 0XF8000240[23:23] = 0x00000000U + // .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. reserved_FPGA_AXDS2_RST = 0 + // .. ==> 0XF8000240[22:22] = 0x00000000U + // .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. reserved_FPGA_AXDS1_RST = 0 + // .. ==> 0XF8000240[21:21] = 0x00000000U + // .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. reserved_FPGA_AXDS0_RST = 0 + // .. ==> 0XF8000240[20:20] = 0x00000000U + // .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. reserved_2 = 0 + // .. ==> 0XF8000240[19:18] = 0x00000000U + // .. ==> MASK : 0x000C0000U VAL : 0x00000000U + // .. reserved_FSSW1_FPGA_RST = 0 + // .. ==> 0XF8000240[17:17] = 0x00000000U + // .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. reserved_FSSW0_FPGA_RST = 0 + // .. ==> 0XF8000240[16:16] = 0x00000000U + // .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. reserved_1 = 0 + // .. ==> 0XF8000240[15:14] = 0x00000000U + // .. ==> MASK : 0x0000C000U VAL : 0x00000000U + // .. reserved_FPGA_FMSW1_RST = 0 + // .. ==> 0XF8000240[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. reserved_FPGA_FMSW0_RST = 0 + // .. ==> 0XF8000240[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. reserved_FPGA_DMA3_RST = 0 + // .. ==> 0XF8000240[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. reserved_FPGA_DMA2_RST = 0 + // .. ==> 0XF8000240[10:10] = 0x00000000U + // .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. reserved_FPGA_DMA1_RST = 0 + // .. ==> 0XF8000240[9:9] = 0x00000000U + // .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. reserved_FPGA_DMA0_RST = 0 + // .. ==> 0XF8000240[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. reserved = 0 + // .. ==> 0XF8000240[7:4] = 0x00000000U + // .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. FPGA3_OUT_RST = 0 + // .. ==> 0XF8000240[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. FPGA2_OUT_RST = 0 + // .. ==> 0XF8000240[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. FPGA1_OUT_RST = 0 + // .. ==> 0XF8000240[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. FPGA0_OUT_RST = 0 + // .. ==> 0XF8000240[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000240, 0xFFFFFFFFU ,0x00000000U), + // .. FINISH: FPGA RESETS TO 0 + // .. START: AFI REGISTERS + // .. .. START: AFI0 REGISTERS + // .. .. FINISH: AFI0 REGISTERS + // .. .. START: AFI1 REGISTERS + // .. .. FINISH: AFI1 REGISTERS + // .. .. START: AFI2 REGISTERS + // .. .. FINISH: AFI2 REGISTERS + // .. .. START: AFI3 REGISTERS + // .. .. FINISH: AFI3 REGISTERS + // .. .. START: AFI2 SECURE REGISTER + // .. .. FINISH: AFI2 SECURE REGISTER + // .. FINISH: AFI REGISTERS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_debug_3_0[] = { + // START: top + // .. START: CROSS TRIGGER CONFIGURATIONS + // .. .. START: UNLOCKING CTI REGISTERS + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8898FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8898FB0, 0xC5ACCE55U), + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8899FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8899FB0, 0xC5ACCE55U), + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8809FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8809FB0, 0xC5ACCE55U), + // .. .. FINISH: UNLOCKING CTI REGISTERS + // .. .. START: ENABLING CTI MODULES AND CHANNELS + // .. .. FINISH: ENABLING CTI MODULES AND CHANNELS + // .. .. START: MAPPING CPU0, CPU1 AND FTM EVENTS TO CTM CHANNELS + // .. .. FINISH: MAPPING CPU0, CPU1 AND FTM EVENTS TO CTM CHANNELS + // .. FINISH: CROSS TRIGGER CONFIGURATIONS + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_pll_init_data_2_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: PLL SLCR REGISTERS + // .. .. START: ARM PLL INIT + // .. .. PLL_RES = 0x4 + // .. .. ==> 0XF8000110[7:4] = 0x00000004U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000040U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000110[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0xfa + // .. .. ==> 0XF8000110[21:12] = 0x000000FAU + // .. .. ==> MASK : 0x003FF000U VAL : 0x000FA000U + // .. .. + EMIT_MASKWRITE(0XF8000110, 0x003FFFF0U ,0x000FA240U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x3c + // .. .. .. ==> 0XF8000100[18:12] = 0x0000003CU + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x0003C000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x0007F000U ,0x0003C000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000100[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000100[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000100[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. ARM_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000001U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000100[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. .. SRCSEL = 0x0 + // .. .. .. ==> 0XF8000120[5:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. .. DIVISOR = 0x2 + // .. .. .. ==> 0XF8000120[13:8] = 0x00000002U + // .. .. .. ==> MASK : 0x00003F00U VAL : 0x00000200U + // .. .. .. CPU_6OR4XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[24:24] = 0x00000001U + // .. .. .. ==> MASK : 0x01000000U VAL : 0x01000000U + // .. .. .. CPU_3OR2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[25:25] = 0x00000001U + // .. .. .. ==> MASK : 0x02000000U VAL : 0x02000000U + // .. .. .. CPU_2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[26:26] = 0x00000001U + // .. .. .. ==> MASK : 0x04000000U VAL : 0x04000000U + // .. .. .. CPU_1XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[27:27] = 0x00000001U + // .. .. .. ==> MASK : 0x08000000U VAL : 0x08000000U + // .. .. .. CPU_PERI_CLKACT = 0x1 + // .. .. .. ==> 0XF8000120[28:28] = 0x00000001U + // .. .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000120, 0x1F003F30U ,0x1F000200U), + // .. .. FINISH: ARM PLL INIT + // .. .. START: DDR PLL INIT + // .. .. PLL_RES = 0x2 + // .. .. ==> 0XF8000114[7:4] = 0x00000002U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000020U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000114[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0x12c + // .. .. ==> 0XF8000114[21:12] = 0x0000012CU + // .. .. ==> MASK : 0x003FF000U VAL : 0x0012C000U + // .. .. + EMIT_MASKWRITE(0XF8000114, 0x003FFFF0U ,0x0012C220U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x20 + // .. .. .. ==> 0XF8000104[18:12] = 0x00000020U + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x00020000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x0007F000U ,0x00020000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000104[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000104[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000104[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. DDR_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[1:1] = 0x00000001U + // .. .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000002U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000104[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. .. DDR_3XCLKACT = 0x1 + // .. .. .. ==> 0XF8000124[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. DDR_2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000124[1:1] = 0x00000001U + // .. .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. .. DDR_3XCLK_DIVISOR = 0x2 + // .. .. .. ==> 0XF8000124[25:20] = 0x00000002U + // .. .. .. ==> MASK : 0x03F00000U VAL : 0x00200000U + // .. .. .. DDR_2XCLK_DIVISOR = 0x3 + // .. .. .. ==> 0XF8000124[31:26] = 0x00000003U + // .. .. .. ==> MASK : 0xFC000000U VAL : 0x0C000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000124, 0xFFF00003U ,0x0C200003U), + // .. .. FINISH: DDR PLL INIT + // .. .. START: IO PLL INIT + // .. .. PLL_RES = 0x4 + // .. .. ==> 0XF8000118[7:4] = 0x00000004U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000040U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000118[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0xfa + // .. .. ==> 0XF8000118[21:12] = 0x000000FAU + // .. .. ==> MASK : 0x003FF000U VAL : 0x000FA000U + // .. .. + EMIT_MASKWRITE(0XF8000118, 0x003FFFF0U ,0x000FA240U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x3c + // .. .. .. ==> 0XF8000108[18:12] = 0x0000003CU + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x0003C000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x0007F000U ,0x0003C000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000108[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000108[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000108[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. IO_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[2:2] = 0x00000001U + // .. .. .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000004U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000108[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. FINISH: IO PLL INIT + // .. FINISH: PLL SLCR REGISTERS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_clock_init_data_2_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: CLOCK CONTROL SLCR REGISTERS + // .. CLKACT = 0x1 + // .. ==> 0XF8000128[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. DIVISOR0 = 0xf + // .. ==> 0XF8000128[13:8] = 0x0000000FU + // .. ==> MASK : 0x00003F00U VAL : 0x00000F00U + // .. DIVISOR1 = 0x7 + // .. ==> 0XF8000128[25:20] = 0x00000007U + // .. ==> MASK : 0x03F00000U VAL : 0x00700000U + // .. + EMIT_MASKWRITE(0XF8000128, 0x03F03F01U ,0x00700F01U), + // .. CLKACT = 0x1 + // .. ==> 0XF8000138[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000138[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000138, 0x00000011U ,0x00000001U), + // .. CLKACT = 0x1 + // .. ==> 0XF8000140[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000140[6:4] = 0x00000000U + // .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. DIVISOR = 0x10 + // .. ==> 0XF8000140[13:8] = 0x00000010U + // .. ==> MASK : 0x00003F00U VAL : 0x00001000U + // .. DIVISOR1 = 0x1 + // .. ==> 0XF8000140[25:20] = 0x00000001U + // .. ==> MASK : 0x03F00000U VAL : 0x00100000U + // .. + EMIT_MASKWRITE(0XF8000140, 0x03F03F71U ,0x00101001U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000150[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x0 + // .. ==> 0XF8000150[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000150[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0x14 + // .. ==> 0XF8000150[13:8] = 0x00000014U + // .. ==> MASK : 0x00003F00U VAL : 0x00001400U + // .. + EMIT_MASKWRITE(0XF8000150, 0x00003F33U ,0x00001401U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000154[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x1 + // .. ==> 0XF8000154[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000154[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0x14 + // .. ==> 0XF8000154[13:8] = 0x00000014U + // .. ==> MASK : 0x00003F00U VAL : 0x00001400U + // .. + EMIT_MASKWRITE(0XF8000154, 0x00003F33U ,0x00001403U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000158[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x1 + // .. ==> 0XF8000158[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000158[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0xc + // .. ==> 0XF8000158[13:8] = 0x0000000CU + // .. ==> MASK : 0x00003F00U VAL : 0x00000C00U + // .. + EMIT_MASKWRITE(0XF8000158, 0x00003F33U ,0x00000C03U), + // .. .. START: TRACE CLOCK + // .. .. FINISH: TRACE CLOCK + // .. .. CLKACT = 0x1 + // .. .. ==> 0XF8000168[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000168[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR = 0xa + // .. .. ==> 0XF8000168[13:8] = 0x0000000AU + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000A00U + // .. .. + EMIT_MASKWRITE(0XF8000168, 0x00003F31U ,0x00000A01U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000170[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x5 + // .. .. ==> 0XF8000170[13:8] = 0x00000005U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000500U + // .. .. DIVISOR1 = 0x4 + // .. .. ==> 0XF8000170[25:20] = 0x00000004U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00400000U + // .. .. + EMIT_MASKWRITE(0XF8000170, 0x03F03F30U ,0x00400500U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000180[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0xa + // .. .. ==> 0XF8000180[13:8] = 0x0000000AU + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000A00U + // .. .. DIVISOR1 = 0x5 + // .. .. ==> 0XF8000180[25:20] = 0x00000005U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00500000U + // .. .. + EMIT_MASKWRITE(0XF8000180, 0x03F03F30U ,0x00500A00U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000190[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x4 + // .. .. ==> 0XF8000190[13:8] = 0x00000004U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000400U + // .. .. DIVISOR1 = 0x3 + // .. .. ==> 0XF8000190[25:20] = 0x00000003U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00300000U + // .. .. + EMIT_MASKWRITE(0XF8000190, 0x03F03F30U ,0x00300400U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF80001A0[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x5 + // .. .. ==> 0XF80001A0[13:8] = 0x00000005U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000500U + // .. .. DIVISOR1 = 0x2 + // .. .. ==> 0XF80001A0[25:20] = 0x00000002U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00200000U + // .. .. + EMIT_MASKWRITE(0XF80001A0, 0x03F03F30U ,0x00200500U), + // .. .. CLK_621_TRUE = 0x1 + // .. .. ==> 0XF80001C4[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. + EMIT_MASKWRITE(0XF80001C4, 0x00000001U ,0x00000001U), + // .. .. DMA_CPU_2XCLKACT = 0x1 + // .. .. ==> 0XF800012C[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. USB0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[2:2] = 0x00000001U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. .. USB1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[3:3] = 0x00000001U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000008U + // .. .. GEM0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[6:6] = 0x00000001U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000040U + // .. .. GEM1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. SDI0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[10:10] = 0x00000001U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000400U + // .. .. SDI1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. SPI0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[14:14] = 0x00000001U + // .. .. ==> MASK : 0x00004000U VAL : 0x00004000U + // .. .. SPI1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[15:15] = 0x00000001U + // .. .. ==> MASK : 0x00008000U VAL : 0x00008000U + // .. .. CAN0_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. CAN1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. I2C0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[18:18] = 0x00000001U + // .. .. ==> MASK : 0x00040000U VAL : 0x00040000U + // .. .. I2C1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. UART0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[20:20] = 0x00000001U + // .. .. ==> MASK : 0x00100000U VAL : 0x00100000U + // .. .. UART1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[21:21] = 0x00000001U + // .. .. ==> MASK : 0x00200000U VAL : 0x00200000U + // .. .. GPIO_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[22:22] = 0x00000001U + // .. .. ==> MASK : 0x00400000U VAL : 0x00400000U + // .. .. LQSPI_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. SMC_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[24:24] = 0x00000001U + // .. .. ==> MASK : 0x01000000U VAL : 0x01000000U + // .. .. + EMIT_MASKWRITE(0XF800012C, 0x01FFCCCDU ,0x017CC44DU), + // .. FINISH: CLOCK CONTROL SLCR REGISTERS + // .. START: THIS SHOULD BE BLANK + // .. FINISH: THIS SHOULD BE BLANK + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_ddr_init_data_2_0[] = { + // START: top + // .. START: DDR INITIALIZATION + // .. .. START: LOCK DDR + // .. .. reg_ddrc_soft_rstb = 0 + // .. .. ==> 0XF8006000[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_powerdown_en = 0x0 + // .. .. ==> 0XF8006000[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_data_bus_width = 0x0 + // .. .. ==> 0XF8006000[3:2] = 0x00000000U + // .. .. ==> MASK : 0x0000000CU VAL : 0x00000000U + // .. .. reg_ddrc_burst8_refresh = 0x0 + // .. .. ==> 0XF8006000[6:4] = 0x00000000U + // .. .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. .. reg_ddrc_rdwr_idle_gap = 0x1 + // .. .. ==> 0XF8006000[13:7] = 0x00000001U + // .. .. ==> MASK : 0x00003F80U VAL : 0x00000080U + // .. .. reg_ddrc_dis_rd_bypass = 0x0 + // .. .. ==> 0XF8006000[14:14] = 0x00000000U + // .. .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_act_bypass = 0x0 + // .. .. ==> 0XF8006000[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_auto_refresh = 0x0 + // .. .. ==> 0XF8006000[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000080U), + // .. .. FINISH: LOCK DDR + // .. .. reg_ddrc_t_rfc_nom_x32 = 0x81 + // .. .. ==> 0XF8006004[11:0] = 0x00000081U + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000081U + // .. .. reg_ddrc_active_ranks = 0x1 + // .. .. ==> 0XF8006004[13:12] = 0x00000001U + // .. .. ==> MASK : 0x00003000U VAL : 0x00001000U + // .. .. reg_ddrc_addrmap_cs_bit0 = 0x0 + // .. .. ==> 0XF8006004[18:14] = 0x00000000U + // .. .. ==> MASK : 0x0007C000U VAL : 0x00000000U + // .. .. reg_ddrc_wr_odt_block = 0x1 + // .. .. ==> 0XF8006004[20:19] = 0x00000001U + // .. .. ==> MASK : 0x00180000U VAL : 0x00080000U + // .. .. reg_ddrc_diff_rank_rd_2cycle_gap = 0x0 + // .. .. ==> 0XF8006004[21:21] = 0x00000000U + // .. .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_cs_bit1 = 0x0 + // .. .. ==> 0XF8006004[26:22] = 0x00000000U + // .. .. ==> MASK : 0x07C00000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_open_bank = 0x0 + // .. .. ==> 0XF8006004[27:27] = 0x00000000U + // .. .. ==> MASK : 0x08000000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_4bank_ram = 0x0 + // .. .. ==> 0XF8006004[28:28] = 0x00000000U + // .. .. ==> MASK : 0x10000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006004, 0x1FFFFFFFU ,0x00081081U), + // .. .. reg_ddrc_hpr_min_non_critical_x32 = 0xf + // .. .. ==> 0XF8006008[10:0] = 0x0000000FU + // .. .. ==> MASK : 0x000007FFU VAL : 0x0000000FU + // .. .. reg_ddrc_hpr_max_starve_x32 = 0xf + // .. .. ==> 0XF8006008[21:11] = 0x0000000FU + // .. .. ==> MASK : 0x003FF800U VAL : 0x00007800U + // .. .. reg_ddrc_hpr_xact_run_length = 0xf + // .. .. ==> 0XF8006008[25:22] = 0x0000000FU + // .. .. ==> MASK : 0x03C00000U VAL : 0x03C00000U + // .. .. + EMIT_MASKWRITE(0XF8006008, 0x03FFFFFFU ,0x03C0780FU), + // .. .. reg_ddrc_lpr_min_non_critical_x32 = 0x1 + // .. .. ==> 0XF800600C[10:0] = 0x00000001U + // .. .. ==> MASK : 0x000007FFU VAL : 0x00000001U + // .. .. reg_ddrc_lpr_max_starve_x32 = 0x2 + // .. .. ==> 0XF800600C[21:11] = 0x00000002U + // .. .. ==> MASK : 0x003FF800U VAL : 0x00001000U + // .. .. reg_ddrc_lpr_xact_run_length = 0x8 + // .. .. ==> 0XF800600C[25:22] = 0x00000008U + // .. .. ==> MASK : 0x03C00000U VAL : 0x02000000U + // .. .. + EMIT_MASKWRITE(0XF800600C, 0x03FFFFFFU ,0x02001001U), + // .. .. reg_ddrc_w_min_non_critical_x32 = 0x1 + // .. .. ==> 0XF8006010[10:0] = 0x00000001U + // .. .. ==> MASK : 0x000007FFU VAL : 0x00000001U + // .. .. reg_ddrc_w_xact_run_length = 0x8 + // .. .. ==> 0XF8006010[14:11] = 0x00000008U + // .. .. ==> MASK : 0x00007800U VAL : 0x00004000U + // .. .. reg_ddrc_w_max_starve_x32 = 0x2 + // .. .. ==> 0XF8006010[25:15] = 0x00000002U + // .. .. ==> MASK : 0x03FF8000U VAL : 0x00010000U + // .. .. + EMIT_MASKWRITE(0XF8006010, 0x03FFFFFFU ,0x00014001U), + // .. .. reg_ddrc_t_rc = 0x1a + // .. .. ==> 0XF8006014[5:0] = 0x0000001AU + // .. .. ==> MASK : 0x0000003FU VAL : 0x0000001AU + // .. .. reg_ddrc_t_rfc_min = 0xa0 + // .. .. ==> 0XF8006014[13:6] = 0x000000A0U + // .. .. ==> MASK : 0x00003FC0U VAL : 0x00002800U + // .. .. reg_ddrc_post_selfref_gap_x32 = 0x10 + // .. .. ==> 0XF8006014[20:14] = 0x00000010U + // .. .. ==> MASK : 0x001FC000U VAL : 0x00040000U + // .. .. + EMIT_MASKWRITE(0XF8006014, 0x001FFFFFU ,0x0004281AU), + // .. .. reg_ddrc_wr2pre = 0x12 + // .. .. ==> 0XF8006018[4:0] = 0x00000012U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000012U + // .. .. reg_ddrc_powerdown_to_x32 = 0x6 + // .. .. ==> 0XF8006018[9:5] = 0x00000006U + // .. .. ==> MASK : 0x000003E0U VAL : 0x000000C0U + // .. .. reg_ddrc_t_faw = 0x16 + // .. .. ==> 0XF8006018[15:10] = 0x00000016U + // .. .. ==> MASK : 0x0000FC00U VAL : 0x00005800U + // .. .. reg_ddrc_t_ras_max = 0x24 + // .. .. ==> 0XF8006018[21:16] = 0x00000024U + // .. .. ==> MASK : 0x003F0000U VAL : 0x00240000U + // .. .. reg_ddrc_t_ras_min = 0x13 + // .. .. ==> 0XF8006018[26:22] = 0x00000013U + // .. .. ==> MASK : 0x07C00000U VAL : 0x04C00000U + // .. .. reg_ddrc_t_cke = 0x4 + // .. .. ==> 0XF8006018[31:28] = 0x00000004U + // .. .. ==> MASK : 0xF0000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006018, 0xF7FFFFFFU ,0x44E458D2U), + // .. .. reg_ddrc_write_latency = 0x5 + // .. .. ==> 0XF800601C[4:0] = 0x00000005U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000005U + // .. .. reg_ddrc_rd2wr = 0x7 + // .. .. ==> 0XF800601C[9:5] = 0x00000007U + // .. .. ==> MASK : 0x000003E0U VAL : 0x000000E0U + // .. .. reg_ddrc_wr2rd = 0xe + // .. .. ==> 0XF800601C[14:10] = 0x0000000EU + // .. .. ==> MASK : 0x00007C00U VAL : 0x00003800U + // .. .. reg_ddrc_t_xp = 0x4 + // .. .. ==> 0XF800601C[19:15] = 0x00000004U + // .. .. ==> MASK : 0x000F8000U VAL : 0x00020000U + // .. .. reg_ddrc_pad_pd = 0x0 + // .. .. ==> 0XF800601C[22:20] = 0x00000000U + // .. .. ==> MASK : 0x00700000U VAL : 0x00000000U + // .. .. reg_ddrc_rd2pre = 0x4 + // .. .. ==> 0XF800601C[27:23] = 0x00000004U + // .. .. ==> MASK : 0x0F800000U VAL : 0x02000000U + // .. .. reg_ddrc_t_rcd = 0x7 + // .. .. ==> 0XF800601C[31:28] = 0x00000007U + // .. .. ==> MASK : 0xF0000000U VAL : 0x70000000U + // .. .. + EMIT_MASKWRITE(0XF800601C, 0xFFFFFFFFU ,0x720238E5U), + // .. .. reg_ddrc_t_ccd = 0x4 + // .. .. ==> 0XF8006020[4:2] = 0x00000004U + // .. .. ==> MASK : 0x0000001CU VAL : 0x00000010U + // .. .. reg_ddrc_t_rrd = 0x6 + // .. .. ==> 0XF8006020[7:5] = 0x00000006U + // .. .. ==> MASK : 0x000000E0U VAL : 0x000000C0U + // .. .. reg_ddrc_refresh_margin = 0x2 + // .. .. ==> 0XF8006020[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. reg_ddrc_t_rp = 0x7 + // .. .. ==> 0XF8006020[15:12] = 0x00000007U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00007000U + // .. .. reg_ddrc_refresh_to_x32 = 0x8 + // .. .. ==> 0XF8006020[20:16] = 0x00000008U + // .. .. ==> MASK : 0x001F0000U VAL : 0x00080000U + // .. .. reg_ddrc_sdram = 0x1 + // .. .. ==> 0XF8006020[21:21] = 0x00000001U + // .. .. ==> MASK : 0x00200000U VAL : 0x00200000U + // .. .. reg_ddrc_mobile = 0x0 + // .. .. ==> 0XF8006020[22:22] = 0x00000000U + // .. .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. .. reg_ddrc_clock_stop_en = 0x0 + // .. .. ==> 0XF8006020[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. reg_ddrc_read_latency = 0x7 + // .. .. ==> 0XF8006020[28:24] = 0x00000007U + // .. .. ==> MASK : 0x1F000000U VAL : 0x07000000U + // .. .. reg_phy_mode_ddr1_ddr2 = 0x1 + // .. .. ==> 0XF8006020[29:29] = 0x00000001U + // .. .. ==> MASK : 0x20000000U VAL : 0x20000000U + // .. .. reg_ddrc_dis_pad_pd = 0x0 + // .. .. ==> 0XF8006020[30:30] = 0x00000000U + // .. .. ==> MASK : 0x40000000U VAL : 0x00000000U + // .. .. reg_ddrc_loopback = 0x0 + // .. .. ==> 0XF8006020[31:31] = 0x00000000U + // .. .. ==> MASK : 0x80000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006020, 0xFFFFFFFCU ,0x272872D0U), + // .. .. reg_ddrc_en_2t_timing_mode = 0x0 + // .. .. ==> 0XF8006024[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_prefer_write = 0x0 + // .. .. ==> 0XF8006024[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_max_rank_rd = 0xf + // .. .. ==> 0XF8006024[5:2] = 0x0000000FU + // .. .. ==> MASK : 0x0000003CU VAL : 0x0000003CU + // .. .. reg_ddrc_mr_wr = 0x0 + // .. .. ==> 0XF8006024[6:6] = 0x00000000U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. .. reg_ddrc_mr_addr = 0x0 + // .. .. ==> 0XF8006024[8:7] = 0x00000000U + // .. .. ==> MASK : 0x00000180U VAL : 0x00000000U + // .. .. reg_ddrc_mr_data = 0x0 + // .. .. ==> 0XF8006024[24:9] = 0x00000000U + // .. .. ==> MASK : 0x01FFFE00U VAL : 0x00000000U + // .. .. ddrc_reg_mr_wr_busy = 0x0 + // .. .. ==> 0XF8006024[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. reg_ddrc_mr_type = 0x0 + // .. .. ==> 0XF8006024[26:26] = 0x00000000U + // .. .. ==> MASK : 0x04000000U VAL : 0x00000000U + // .. .. reg_ddrc_mr_rdata_valid = 0x0 + // .. .. ==> 0XF8006024[27:27] = 0x00000000U + // .. .. ==> MASK : 0x08000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006024, 0x0FFFFFFFU ,0x0000003CU), + // .. .. reg_ddrc_final_wait_x32 = 0x7 + // .. .. ==> 0XF8006028[6:0] = 0x00000007U + // .. .. ==> MASK : 0x0000007FU VAL : 0x00000007U + // .. .. reg_ddrc_pre_ocd_x32 = 0x0 + // .. .. ==> 0XF8006028[10:7] = 0x00000000U + // .. .. ==> MASK : 0x00000780U VAL : 0x00000000U + // .. .. reg_ddrc_t_mrd = 0x4 + // .. .. ==> 0XF8006028[13:11] = 0x00000004U + // .. .. ==> MASK : 0x00003800U VAL : 0x00002000U + // .. .. + EMIT_MASKWRITE(0XF8006028, 0x00003FFFU ,0x00002007U), + // .. .. reg_ddrc_emr2 = 0x8 + // .. .. ==> 0XF800602C[15:0] = 0x00000008U + // .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. reg_ddrc_emr3 = 0x0 + // .. .. ==> 0XF800602C[31:16] = 0x00000000U + // .. .. ==> MASK : 0xFFFF0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800602C, 0xFFFFFFFFU ,0x00000008U), + // .. .. reg_ddrc_mr = 0x930 + // .. .. ==> 0XF8006030[15:0] = 0x00000930U + // .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000930U + // .. .. reg_ddrc_emr = 0x4 + // .. .. ==> 0XF8006030[31:16] = 0x00000004U + // .. .. ==> MASK : 0xFFFF0000U VAL : 0x00040000U + // .. .. + EMIT_MASKWRITE(0XF8006030, 0xFFFFFFFFU ,0x00040930U), + // .. .. reg_ddrc_burst_rdwr = 0x4 + // .. .. ==> 0XF8006034[3:0] = 0x00000004U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000004U + // .. .. reg_ddrc_pre_cke_x1024 = 0x16d + // .. .. ==> 0XF8006034[13:4] = 0x0000016DU + // .. .. ==> MASK : 0x00003FF0U VAL : 0x000016D0U + // .. .. reg_ddrc_post_cke_x1024 = 0x1 + // .. .. ==> 0XF8006034[25:16] = 0x00000001U + // .. .. ==> MASK : 0x03FF0000U VAL : 0x00010000U + // .. .. reg_ddrc_burstchop = 0x0 + // .. .. ==> 0XF8006034[28:28] = 0x00000000U + // .. .. ==> MASK : 0x10000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006034, 0x13FF3FFFU ,0x000116D4U), + // .. .. reg_ddrc_force_low_pri_n = 0x0 + // .. .. ==> 0XF8006038[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_dis_dq = 0x0 + // .. .. ==> 0XF8006038[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_debug_mode = 0x0 + // .. .. ==> 0XF8006038[6:6] = 0x00000000U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. .. reg_phy_wr_level_start = 0x0 + // .. .. ==> 0XF8006038[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. reg_phy_rd_level_start = 0x0 + // .. .. ==> 0XF8006038[8:8] = 0x00000000U + // .. .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. .. reg_phy_dq0_wait_t = 0x0 + // .. .. ==> 0XF8006038[12:9] = 0x00000000U + // .. .. ==> MASK : 0x00001E00U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006038, 0x00001FC3U ,0x00000000U), + // .. .. reg_ddrc_addrmap_bank_b0 = 0x7 + // .. .. ==> 0XF800603C[3:0] = 0x00000007U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000007U + // .. .. reg_ddrc_addrmap_bank_b1 = 0x7 + // .. .. ==> 0XF800603C[7:4] = 0x00000007U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000070U + // .. .. reg_ddrc_addrmap_bank_b2 = 0x7 + // .. .. ==> 0XF800603C[11:8] = 0x00000007U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000700U + // .. .. reg_ddrc_addrmap_col_b5 = 0x0 + // .. .. ==> 0XF800603C[15:12] = 0x00000000U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b6 = 0x0 + // .. .. ==> 0XF800603C[19:16] = 0x00000000U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800603C, 0x000FFFFFU ,0x00000777U), + // .. .. reg_ddrc_addrmap_col_b2 = 0x0 + // .. .. ==> 0XF8006040[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b3 = 0x0 + // .. .. ==> 0XF8006040[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b4 = 0x0 + // .. .. ==> 0XF8006040[11:8] = 0x00000000U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b7 = 0x0 + // .. .. ==> 0XF8006040[15:12] = 0x00000000U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b8 = 0x0 + // .. .. ==> 0XF8006040[19:16] = 0x00000000U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b9 = 0xf + // .. .. ==> 0XF8006040[23:20] = 0x0000000FU + // .. .. ==> MASK : 0x00F00000U VAL : 0x00F00000U + // .. .. reg_ddrc_addrmap_col_b10 = 0xf + // .. .. ==> 0XF8006040[27:24] = 0x0000000FU + // .. .. ==> MASK : 0x0F000000U VAL : 0x0F000000U + // .. .. reg_ddrc_addrmap_col_b11 = 0xf + // .. .. ==> 0XF8006040[31:28] = 0x0000000FU + // .. .. ==> MASK : 0xF0000000U VAL : 0xF0000000U + // .. .. + EMIT_MASKWRITE(0XF8006040, 0xFFFFFFFFU ,0xFFF00000U), + // .. .. reg_ddrc_addrmap_row_b0 = 0x6 + // .. .. ==> 0XF8006044[3:0] = 0x00000006U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000006U + // .. .. reg_ddrc_addrmap_row_b1 = 0x6 + // .. .. ==> 0XF8006044[7:4] = 0x00000006U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000060U + // .. .. reg_ddrc_addrmap_row_b2_11 = 0x6 + // .. .. ==> 0XF8006044[11:8] = 0x00000006U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000600U + // .. .. reg_ddrc_addrmap_row_b12 = 0x6 + // .. .. ==> 0XF8006044[15:12] = 0x00000006U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00006000U + // .. .. reg_ddrc_addrmap_row_b13 = 0x6 + // .. .. ==> 0XF8006044[19:16] = 0x00000006U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00060000U + // .. .. reg_ddrc_addrmap_row_b14 = 0x6 + // .. .. ==> 0XF8006044[23:20] = 0x00000006U + // .. .. ==> MASK : 0x00F00000U VAL : 0x00600000U + // .. .. reg_ddrc_addrmap_row_b15 = 0xf + // .. .. ==> 0XF8006044[27:24] = 0x0000000FU + // .. .. ==> MASK : 0x0F000000U VAL : 0x0F000000U + // .. .. + EMIT_MASKWRITE(0XF8006044, 0x0FFFFFFFU ,0x0F666666U), + // .. .. reg_ddrc_rank0_rd_odt = 0x0 + // .. .. ==> 0XF8006048[2:0] = 0x00000000U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000000U + // .. .. reg_ddrc_rank0_wr_odt = 0x1 + // .. .. ==> 0XF8006048[5:3] = 0x00000001U + // .. .. ==> MASK : 0x00000038U VAL : 0x00000008U + // .. .. reg_ddrc_rank1_rd_odt = 0x1 + // .. .. ==> 0XF8006048[8:6] = 0x00000001U + // .. .. ==> MASK : 0x000001C0U VAL : 0x00000040U + // .. .. reg_ddrc_rank1_wr_odt = 0x1 + // .. .. ==> 0XF8006048[11:9] = 0x00000001U + // .. .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. .. reg_phy_rd_local_odt = 0x0 + // .. .. ==> 0XF8006048[13:12] = 0x00000000U + // .. .. ==> MASK : 0x00003000U VAL : 0x00000000U + // .. .. reg_phy_wr_local_odt = 0x3 + // .. .. ==> 0XF8006048[15:14] = 0x00000003U + // .. .. ==> MASK : 0x0000C000U VAL : 0x0000C000U + // .. .. reg_phy_idle_local_odt = 0x3 + // .. .. ==> 0XF8006048[17:16] = 0x00000003U + // .. .. ==> MASK : 0x00030000U VAL : 0x00030000U + // .. .. reg_ddrc_rank2_rd_odt = 0x0 + // .. .. ==> 0XF8006048[20:18] = 0x00000000U + // .. .. ==> MASK : 0x001C0000U VAL : 0x00000000U + // .. .. reg_ddrc_rank2_wr_odt = 0x0 + // .. .. ==> 0XF8006048[23:21] = 0x00000000U + // .. .. ==> MASK : 0x00E00000U VAL : 0x00000000U + // .. .. reg_ddrc_rank3_rd_odt = 0x0 + // .. .. ==> 0XF8006048[26:24] = 0x00000000U + // .. .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. .. reg_ddrc_rank3_wr_odt = 0x0 + // .. .. ==> 0XF8006048[29:27] = 0x00000000U + // .. .. ==> MASK : 0x38000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006048, 0x3FFFFFFFU ,0x0003C248U), + // .. .. reg_phy_rd_cmd_to_data = 0x0 + // .. .. ==> 0XF8006050[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_phy_wr_cmd_to_data = 0x0 + // .. .. ==> 0XF8006050[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_phy_rdc_we_to_re_delay = 0x8 + // .. .. ==> 0XF8006050[11:8] = 0x00000008U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000800U + // .. .. reg_phy_rdc_fifo_rst_disable = 0x0 + // .. .. ==> 0XF8006050[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_phy_use_fixed_re = 0x1 + // .. .. ==> 0XF8006050[16:16] = 0x00000001U + // .. .. ==> MASK : 0x00010000U VAL : 0x00010000U + // .. .. reg_phy_rdc_fifo_rst_err_cnt_clr = 0x0 + // .. .. ==> 0XF8006050[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_phy_dis_phy_ctrl_rstn = 0x0 + // .. .. ==> 0XF8006050[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_phy_clk_stall_level = 0x0 + // .. .. ==> 0XF8006050[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_num_of_dq0 = 0x7 + // .. .. ==> 0XF8006050[27:24] = 0x00000007U + // .. .. ==> MASK : 0x0F000000U VAL : 0x07000000U + // .. .. reg_phy_wrlvl_num_of_dq0 = 0x7 + // .. .. ==> 0XF8006050[31:28] = 0x00000007U + // .. .. ==> MASK : 0xF0000000U VAL : 0x70000000U + // .. .. + EMIT_MASKWRITE(0XF8006050, 0xFF0F8FFFU ,0x77010800U), + // .. .. reg_ddrc_dll_calib_to_min_x1024 = 0x1 + // .. .. ==> 0XF8006058[7:0] = 0x00000001U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000001U + // .. .. reg_ddrc_dll_calib_to_max_x1024 = 0x1 + // .. .. ==> 0XF8006058[15:8] = 0x00000001U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00000100U + // .. .. reg_ddrc_dis_dll_calib = 0x0 + // .. .. ==> 0XF8006058[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006058, 0x0001FFFFU ,0x00000101U), + // .. .. reg_ddrc_rd_odt_delay = 0x3 + // .. .. ==> 0XF800605C[3:0] = 0x00000003U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000003U + // .. .. reg_ddrc_wr_odt_delay = 0x0 + // .. .. ==> 0XF800605C[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_ddrc_rd_odt_hold = 0x0 + // .. .. ==> 0XF800605C[11:8] = 0x00000000U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000000U + // .. .. reg_ddrc_wr_odt_hold = 0x5 + // .. .. ==> 0XF800605C[15:12] = 0x00000005U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00005000U + // .. .. + EMIT_MASKWRITE(0XF800605C, 0x0000FFFFU ,0x00005003U), + // .. .. reg_ddrc_pageclose = 0x0 + // .. .. ==> 0XF8006060[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_lpr_num_entries = 0x1f + // .. .. ==> 0XF8006060[6:1] = 0x0000001FU + // .. .. ==> MASK : 0x0000007EU VAL : 0x0000003EU + // .. .. reg_ddrc_auto_pre_en = 0x0 + // .. .. ==> 0XF8006060[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. reg_ddrc_refresh_update_level = 0x0 + // .. .. ==> 0XF8006060[8:8] = 0x00000000U + // .. .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. .. reg_ddrc_dis_wc = 0x0 + // .. .. ==> 0XF8006060[9:9] = 0x00000000U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. .. reg_ddrc_dis_collision_page_opt = 0x0 + // .. .. ==> 0XF8006060[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_ddrc_selfref_en = 0x0 + // .. .. ==> 0XF8006060[12:12] = 0x00000000U + // .. .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006060, 0x000017FFU ,0x0000003EU), + // .. .. reg_ddrc_go2critical_hysteresis = 0x0 + // .. .. ==> 0XF8006064[12:5] = 0x00000000U + // .. .. ==> MASK : 0x00001FE0U VAL : 0x00000000U + // .. .. reg_arb_go2critical_en = 0x1 + // .. .. ==> 0XF8006064[17:17] = 0x00000001U + // .. .. ==> MASK : 0x00020000U VAL : 0x00020000U + // .. .. + EMIT_MASKWRITE(0XF8006064, 0x00021FE0U ,0x00020000U), + // .. .. reg_ddrc_wrlvl_ww = 0x41 + // .. .. ==> 0XF8006068[7:0] = 0x00000041U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000041U + // .. .. reg_ddrc_rdlvl_rr = 0x41 + // .. .. ==> 0XF8006068[15:8] = 0x00000041U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00004100U + // .. .. reg_ddrc_dfi_t_wlmrd = 0x28 + // .. .. ==> 0XF8006068[25:16] = 0x00000028U + // .. .. ==> MASK : 0x03FF0000U VAL : 0x00280000U + // .. .. + EMIT_MASKWRITE(0XF8006068, 0x03FFFFFFU ,0x00284141U), + // .. .. dfi_t_ctrlupd_interval_min_x1024 = 0x10 + // .. .. ==> 0XF800606C[7:0] = 0x00000010U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000010U + // .. .. dfi_t_ctrlupd_interval_max_x1024 = 0x16 + // .. .. ==> 0XF800606C[15:8] = 0x00000016U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00001600U + // .. .. + EMIT_MASKWRITE(0XF800606C, 0x0000FFFFU ,0x00001610U), + // .. .. reg_ddrc_dfi_t_ctrl_delay = 0x1 + // .. .. ==> 0XF8006078[3:0] = 0x00000001U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000001U + // .. .. reg_ddrc_dfi_t_dram_clk_disable = 0x1 + // .. .. ==> 0XF8006078[7:4] = 0x00000001U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000010U + // .. .. reg_ddrc_dfi_t_dram_clk_enable = 0x1 + // .. .. ==> 0XF8006078[11:8] = 0x00000001U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000100U + // .. .. reg_ddrc_t_cksre = 0x6 + // .. .. ==> 0XF8006078[15:12] = 0x00000006U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00006000U + // .. .. reg_ddrc_t_cksrx = 0x6 + // .. .. ==> 0XF8006078[19:16] = 0x00000006U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00060000U + // .. .. reg_ddrc_t_ckesr = 0x4 + // .. .. ==> 0XF8006078[25:20] = 0x00000004U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00400000U + // .. .. + EMIT_MASKWRITE(0XF8006078, 0x03FFFFFFU ,0x00466111U), + // .. .. reg_ddrc_t_ckpde = 0x2 + // .. .. ==> 0XF800607C[3:0] = 0x00000002U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000002U + // .. .. reg_ddrc_t_ckpdx = 0x2 + // .. .. ==> 0XF800607C[7:4] = 0x00000002U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000020U + // .. .. reg_ddrc_t_ckdpde = 0x2 + // .. .. ==> 0XF800607C[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. reg_ddrc_t_ckdpdx = 0x2 + // .. .. ==> 0XF800607C[15:12] = 0x00000002U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00002000U + // .. .. reg_ddrc_t_ckcsx = 0x3 + // .. .. ==> 0XF800607C[19:16] = 0x00000003U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00030000U + // .. .. + EMIT_MASKWRITE(0XF800607C, 0x000FFFFFU ,0x00032222U), + // .. .. refresh_timer0_start_value_x32 = 0x0 + // .. .. ==> 0XF80060A0[11:0] = 0x00000000U + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000000U + // .. .. refresh_timer1_start_value_x32 = 0x8 + // .. .. ==> 0XF80060A0[23:12] = 0x00000008U + // .. .. ==> MASK : 0x00FFF000U VAL : 0x00008000U + // .. .. + EMIT_MASKWRITE(0XF80060A0, 0x00FFFFFFU ,0x00008000U), + // .. .. reg_ddrc_dis_auto_zq = 0x0 + // .. .. ==> 0XF80060A4[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_ddr3 = 0x1 + // .. .. ==> 0XF80060A4[1:1] = 0x00000001U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. reg_ddrc_t_mod = 0x200 + // .. .. ==> 0XF80060A4[11:2] = 0x00000200U + // .. .. ==> MASK : 0x00000FFCU VAL : 0x00000800U + // .. .. reg_ddrc_t_zq_long_nop = 0x200 + // .. .. ==> 0XF80060A4[21:12] = 0x00000200U + // .. .. ==> MASK : 0x003FF000U VAL : 0x00200000U + // .. .. reg_ddrc_t_zq_short_nop = 0x40 + // .. .. ==> 0XF80060A4[31:22] = 0x00000040U + // .. .. ==> MASK : 0xFFC00000U VAL : 0x10000000U + // .. .. + EMIT_MASKWRITE(0XF80060A4, 0xFFFFFFFFU ,0x10200802U), + // .. .. t_zq_short_interval_x1024 = 0xcb73 + // .. .. ==> 0XF80060A8[19:0] = 0x0000CB73U + // .. .. ==> MASK : 0x000FFFFFU VAL : 0x0000CB73U + // .. .. dram_rstn_x1024 = 0x69 + // .. .. ==> 0XF80060A8[27:20] = 0x00000069U + // .. .. ==> MASK : 0x0FF00000U VAL : 0x06900000U + // .. .. + EMIT_MASKWRITE(0XF80060A8, 0x0FFFFFFFU ,0x0690CB73U), + // .. .. deeppowerdown_en = 0x0 + // .. .. ==> 0XF80060AC[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. deeppowerdown_to_x1024 = 0xff + // .. .. ==> 0XF80060AC[8:1] = 0x000000FFU + // .. .. ==> MASK : 0x000001FEU VAL : 0x000001FEU + // .. .. + EMIT_MASKWRITE(0XF80060AC, 0x000001FFU ,0x000001FEU), + // .. .. dfi_wrlvl_max_x1024 = 0xfff + // .. .. ==> 0XF80060B0[11:0] = 0x00000FFFU + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000FFFU + // .. .. dfi_rdlvl_max_x1024 = 0xfff + // .. .. ==> 0XF80060B0[23:12] = 0x00000FFFU + // .. .. ==> MASK : 0x00FFF000U VAL : 0x00FFF000U + // .. .. ddrc_reg_twrlvl_max_error = 0x0 + // .. .. ==> 0XF80060B0[24:24] = 0x00000000U + // .. .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. .. ddrc_reg_trdlvl_max_error = 0x0 + // .. .. ==> 0XF80060B0[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. reg_ddrc_dfi_wr_level_en = 0x1 + // .. .. ==> 0XF80060B0[26:26] = 0x00000001U + // .. .. ==> MASK : 0x04000000U VAL : 0x04000000U + // .. .. reg_ddrc_dfi_rd_dqs_gate_level = 0x1 + // .. .. ==> 0XF80060B0[27:27] = 0x00000001U + // .. .. ==> MASK : 0x08000000U VAL : 0x08000000U + // .. .. reg_ddrc_dfi_rd_data_eye_train = 0x1 + // .. .. ==> 0XF80060B0[28:28] = 0x00000001U + // .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. + EMIT_MASKWRITE(0XF80060B0, 0x1FFFFFFFU ,0x1CFFFFFFU), + // .. .. reg_ddrc_2t_delay = 0x0 + // .. .. ==> 0XF80060B4[8:0] = 0x00000000U + // .. .. ==> MASK : 0x000001FFU VAL : 0x00000000U + // .. .. reg_ddrc_skip_ocd = 0x1 + // .. .. ==> 0XF80060B4[9:9] = 0x00000001U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000200U + // .. .. reg_ddrc_dis_pre_bypass = 0x0 + // .. .. ==> 0XF80060B4[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060B4, 0x000007FFU ,0x00000200U), + // .. .. reg_ddrc_dfi_t_rddata_en = 0x6 + // .. .. ==> 0XF80060B8[4:0] = 0x00000006U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000006U + // .. .. reg_ddrc_dfi_t_ctrlup_min = 0x3 + // .. .. ==> 0XF80060B8[14:5] = 0x00000003U + // .. .. ==> MASK : 0x00007FE0U VAL : 0x00000060U + // .. .. reg_ddrc_dfi_t_ctrlup_max = 0x40 + // .. .. ==> 0XF80060B8[24:15] = 0x00000040U + // .. .. ==> MASK : 0x01FF8000U VAL : 0x00200000U + // .. .. + EMIT_MASKWRITE(0XF80060B8, 0x01FFFFFFU ,0x00200066U), + // .. .. Clear_Uncorrectable_DRAM_ECC_error = 0x0 + // .. .. ==> 0XF80060C4[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. Clear_Correctable_DRAM_ECC_error = 0x0 + // .. .. ==> 0XF80060C4[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060C4, 0x00000003U ,0x00000000U), + // .. .. CORR_ECC_LOG_VALID = 0x0 + // .. .. ==> 0XF80060C8[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. ECC_CORRECTED_BIT_NUM = 0x0 + // .. .. ==> 0XF80060C8[7:1] = 0x00000000U + // .. .. ==> MASK : 0x000000FEU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060C8, 0x000000FFU ,0x00000000U), + // .. .. UNCORR_ECC_LOG_VALID = 0x0 + // .. .. ==> 0XF80060DC[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060DC, 0x00000001U ,0x00000000U), + // .. .. STAT_NUM_CORR_ERR = 0x0 + // .. .. ==> 0XF80060F0[15:8] = 0x00000000U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00000000U + // .. .. STAT_NUM_UNCORR_ERR = 0x0 + // .. .. ==> 0XF80060F0[7:0] = 0x00000000U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060F0, 0x0000FFFFU ,0x00000000U), + // .. .. reg_ddrc_ecc_mode = 0x0 + // .. .. ==> 0XF80060F4[2:0] = 0x00000000U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000000U + // .. .. reg_ddrc_dis_scrub = 0x1 + // .. .. ==> 0XF80060F4[3:3] = 0x00000001U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000008U + // .. .. + EMIT_MASKWRITE(0XF80060F4, 0x0000000FU ,0x00000008U), + // .. .. reg_phy_dif_on = 0x0 + // .. .. ==> 0XF8006114[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_phy_dif_off = 0x0 + // .. .. ==> 0XF8006114[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006114, 0x000000FFU ,0x00000000U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006118[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF8006118[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF8006118[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006118[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006118[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006118[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006118, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF800611C[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF800611C[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF800611C[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF800611C[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF800611C[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF800611C[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF800611C, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006120[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF8006120[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF8006120[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006120[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006120[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006120[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006120[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF8006120[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF8006120[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006120[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006120[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006120[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006120, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006124[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF8006124[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF8006124[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006124[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006124[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006124[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006124, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_wrlvl_init_ratio = 0x7 + // .. .. ==> 0XF800612C[9:0] = 0x00000007U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000007U + // .. .. reg_phy_gatelvl_init_ratio = 0x7a + // .. .. ==> 0XF800612C[19:10] = 0x0000007AU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001E800U + // .. .. + EMIT_MASKWRITE(0XF800612C, 0x000FFFFFU ,0x0001E807U), + // .. .. reg_phy_wrlvl_init_ratio = 0x5 + // .. .. ==> 0XF8006130[9:0] = 0x00000005U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000005U + // .. .. reg_phy_gatelvl_init_ratio = 0x7b + // .. .. ==> 0XF8006130[19:10] = 0x0000007BU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001EC00U + // .. .. + EMIT_MASKWRITE(0XF8006130, 0x000FFFFFU ,0x0001EC05U), + // .. .. reg_phy_wrlvl_init_ratio = 0x5 + // .. .. ==> 0XF8006134[9:0] = 0x00000005U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000005U + // .. .. reg_phy_gatelvl_init_ratio = 0x7b + // .. .. ==> 0XF8006134[19:10] = 0x0000007BU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001EC00U + // .. .. + EMIT_MASKWRITE(0XF8006134, 0x000FFFFFU ,0x0001EC05U), + // .. .. reg_phy_wrlvl_init_ratio = 0x1 + // .. .. ==> 0XF8006138[9:0] = 0x00000001U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000001U + // .. .. reg_phy_gatelvl_init_ratio = 0x78 + // .. .. ==> 0XF8006138[19:10] = 0x00000078U + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001E000U + // .. .. + EMIT_MASKWRITE(0XF8006138, 0x000FFFFFU ,0x0001E001U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006140[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006140[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006140[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006140, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006144[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006144[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006144[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006144, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006148[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006148[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006148[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006148, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF800614C[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF800614C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF800614C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800614C, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x87 + // .. .. ==> 0XF8006154[9:0] = 0x00000087U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000087U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006154[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006154[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006154, 0x000FFFFFU ,0x00000087U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x85 + // .. .. ==> 0XF8006158[9:0] = 0x00000085U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000085U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006158[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006158[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006158, 0x000FFFFFU ,0x00000085U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x85 + // .. .. ==> 0XF800615C[9:0] = 0x00000085U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000085U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF800615C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF800615C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800615C, 0x000FFFFFU ,0x00000085U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x81 + // .. .. ==> 0XF8006160[9:0] = 0x00000081U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000081U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006160[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006160[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006160, 0x000FFFFFU ,0x00000081U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xcf + // .. .. ==> 0XF8006168[10:0] = 0x000000CFU + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000CFU + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006168[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006168[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006168, 0x001FFFFFU ,0x000000CFU), + // .. .. reg_phy_fifo_we_slave_ratio = 0xd0 + // .. .. ==> 0XF800616C[10:0] = 0x000000D0U + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000D0U + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF800616C[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF800616C[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800616C, 0x001FFFFFU ,0x000000D0U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xd0 + // .. .. ==> 0XF8006170[10:0] = 0x000000D0U + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000D0U + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006170[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006170[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006170, 0x001FFFFFU ,0x000000D0U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xcd + // .. .. ==> 0XF8006174[10:0] = 0x000000CDU + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000CDU + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006174[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006174[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006174, 0x001FFFFFU ,0x000000CDU), + // .. .. reg_phy_wr_data_slave_ratio = 0xc7 + // .. .. ==> 0XF800617C[9:0] = 0x000000C7U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C7U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF800617C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF800617C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800617C, 0x000FFFFFU ,0x000000C7U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc5 + // .. .. ==> 0XF8006180[9:0] = 0x000000C5U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C5U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006180[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006180[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006180, 0x000FFFFFU ,0x000000C5U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc5 + // .. .. ==> 0XF8006184[9:0] = 0x000000C5U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C5U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006184[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006184[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006184, 0x000FFFFFU ,0x000000C5U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc1 + // .. .. ==> 0XF8006188[9:0] = 0x000000C1U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C1U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006188[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006188[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006188, 0x000FFFFFU ,0x000000C1U), + // .. .. reg_phy_loopback = 0x0 + // .. .. ==> 0XF8006190[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_phy_bl2 = 0x0 + // .. .. ==> 0XF8006190[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_at_spd_atpg = 0x0 + // .. .. ==> 0XF8006190[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_bist_enable = 0x0 + // .. .. ==> 0XF8006190[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_bist_force_err = 0x0 + // .. .. ==> 0XF8006190[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_bist_mode = 0x0 + // .. .. ==> 0XF8006190[6:5] = 0x00000000U + // .. .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. .. reg_phy_invert_clkout = 0x1 + // .. .. ==> 0XF8006190[7:7] = 0x00000001U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. .. reg_phy_all_dq_mpr_rd_resp = 0x0 + // .. .. ==> 0XF8006190[8:8] = 0x00000000U + // .. .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. .. reg_phy_sel_logic = 0x0 + // .. .. ==> 0XF8006190[9:9] = 0x00000000U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_ratio = 0x100 + // .. .. ==> 0XF8006190[19:10] = 0x00000100U + // .. .. ==> MASK : 0x000FFC00U VAL : 0x00040000U + // .. .. reg_phy_ctrl_slave_force = 0x0 + // .. .. ==> 0XF8006190[20:20] = 0x00000000U + // .. .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_delay = 0x0 + // .. .. ==> 0XF8006190[27:21] = 0x00000000U + // .. .. ==> MASK : 0x0FE00000U VAL : 0x00000000U + // .. .. reg_phy_use_rank0_delays = 0x1 + // .. .. ==> 0XF8006190[28:28] = 0x00000001U + // .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. reg_phy_lpddr = 0x0 + // .. .. ==> 0XF8006190[29:29] = 0x00000000U + // .. .. ==> MASK : 0x20000000U VAL : 0x00000000U + // .. .. reg_phy_cmd_latency = 0x0 + // .. .. ==> 0XF8006190[30:30] = 0x00000000U + // .. .. ==> MASK : 0x40000000U VAL : 0x00000000U + // .. .. reg_phy_int_lpbk = 0x0 + // .. .. ==> 0XF8006190[31:31] = 0x00000000U + // .. .. ==> MASK : 0x80000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006190, 0xFFFFFFFFU ,0x10040080U), + // .. .. reg_phy_wr_rl_delay = 0x2 + // .. .. ==> 0XF8006194[4:0] = 0x00000002U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000002U + // .. .. reg_phy_rd_rl_delay = 0x4 + // .. .. ==> 0XF8006194[9:5] = 0x00000004U + // .. .. ==> MASK : 0x000003E0U VAL : 0x00000080U + // .. .. reg_phy_dll_lock_diff = 0xf + // .. .. ==> 0XF8006194[13:10] = 0x0000000FU + // .. .. ==> MASK : 0x00003C00U VAL : 0x00003C00U + // .. .. reg_phy_use_wr_level = 0x1 + // .. .. ==> 0XF8006194[14:14] = 0x00000001U + // .. .. ==> MASK : 0x00004000U VAL : 0x00004000U + // .. .. reg_phy_use_rd_dqs_gate_level = 0x1 + // .. .. ==> 0XF8006194[15:15] = 0x00000001U + // .. .. ==> MASK : 0x00008000U VAL : 0x00008000U + // .. .. reg_phy_use_rd_data_eye_level = 0x1 + // .. .. ==> 0XF8006194[16:16] = 0x00000001U + // .. .. ==> MASK : 0x00010000U VAL : 0x00010000U + // .. .. reg_phy_dis_calib_rst = 0x0 + // .. .. ==> 0XF8006194[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_delay = 0x0 + // .. .. ==> 0XF8006194[19:18] = 0x00000000U + // .. .. ==> MASK : 0x000C0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006194, 0x000FFFFFU ,0x0001FC82U), + // .. .. reg_arb_page_addr_mask = 0x0 + // .. .. ==> 0XF8006204[31:0] = 0x00000000U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006204, 0xFFFFFFFFU ,0x00000000U), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006208[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006208[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006208[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006208[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF8006208[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF8006208, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF800620C[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF800620C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF800620C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF800620C[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF800620C[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF800620C, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006210[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006210[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006210[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006210[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF8006210[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF8006210, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006214[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006214[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006214[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006214[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF8006214[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF8006214, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006218[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006218[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006218[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006218[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006218[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006218, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF800621C[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF800621C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF800621C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF800621C[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF800621C[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800621C, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006220[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006220[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006220[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006220[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006220[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006220, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006224[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006224[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006224[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006224[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006224[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006224, 0x000F03FFU ,0x000003FFU), + // .. .. reg_ddrc_lpddr2 = 0x0 + // .. .. ==> 0XF80062A8[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_per_bank_refresh = 0x0 + // .. .. ==> 0XF80062A8[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_derate_enable = 0x0 + // .. .. ==> 0XF80062A8[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_ddrc_mr4_margin = 0x0 + // .. .. ==> 0XF80062A8[11:4] = 0x00000000U + // .. .. ==> MASK : 0x00000FF0U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80062A8, 0x00000FF7U ,0x00000000U), + // .. .. reg_ddrc_mr4_read_interval = 0x0 + // .. .. ==> 0XF80062AC[31:0] = 0x00000000U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80062AC, 0xFFFFFFFFU ,0x00000000U), + // .. .. reg_ddrc_min_stable_clock_x1 = 0x5 + // .. .. ==> 0XF80062B0[3:0] = 0x00000005U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000005U + // .. .. reg_ddrc_idle_after_reset_x32 = 0x12 + // .. .. ==> 0XF80062B0[11:4] = 0x00000012U + // .. .. ==> MASK : 0x00000FF0U VAL : 0x00000120U + // .. .. reg_ddrc_t_mrw = 0x5 + // .. .. ==> 0XF80062B0[21:12] = 0x00000005U + // .. .. ==> MASK : 0x003FF000U VAL : 0x00005000U + // .. .. + EMIT_MASKWRITE(0XF80062B0, 0x003FFFFFU ,0x00005125U), + // .. .. reg_ddrc_max_auto_init_x1024 = 0xa8 + // .. .. ==> 0XF80062B4[7:0] = 0x000000A8U + // .. .. ==> MASK : 0x000000FFU VAL : 0x000000A8U + // .. .. reg_ddrc_dev_zqinit_x32 = 0x12 + // .. .. ==> 0XF80062B4[17:8] = 0x00000012U + // .. .. ==> MASK : 0x0003FF00U VAL : 0x00001200U + // .. .. + EMIT_MASKWRITE(0XF80062B4, 0x0003FFFFU ,0x000012A8U), + // .. .. START: POLL ON DCI STATUS + // .. .. DONE = 1 + // .. .. ==> 0XF8000B74[13:13] = 0x00000001U + // .. .. ==> MASK : 0x00002000U VAL : 0x00002000U + // .. .. + EMIT_MASKPOLL(0XF8000B74, 0x00002000U), + // .. .. FINISH: POLL ON DCI STATUS + // .. .. START: UNLOCK DDR + // .. .. reg_ddrc_soft_rstb = 0x1 + // .. .. ==> 0XF8006000[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_ddrc_powerdown_en = 0x0 + // .. .. ==> 0XF8006000[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_data_bus_width = 0x0 + // .. .. ==> 0XF8006000[3:2] = 0x00000000U + // .. .. ==> MASK : 0x0000000CU VAL : 0x00000000U + // .. .. reg_ddrc_burst8_refresh = 0x0 + // .. .. ==> 0XF8006000[6:4] = 0x00000000U + // .. .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. .. reg_ddrc_rdwr_idle_gap = 1 + // .. .. ==> 0XF8006000[13:7] = 0x00000001U + // .. .. ==> MASK : 0x00003F80U VAL : 0x00000080U + // .. .. reg_ddrc_dis_rd_bypass = 0x0 + // .. .. ==> 0XF8006000[14:14] = 0x00000000U + // .. .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_act_bypass = 0x0 + // .. .. ==> 0XF8006000[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_auto_refresh = 0x0 + // .. .. ==> 0XF8006000[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000081U), + // .. .. FINISH: UNLOCK DDR + // .. .. START: CHECK DDR STATUS + // .. .. ddrc_reg_operating_mode = 1 + // .. .. ==> 0XF8006054[2:0] = 0x00000001U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000001U + // .. .. + EMIT_MASKPOLL(0XF8006054, 0x00000007U), + // .. .. FINISH: CHECK DDR STATUS + // .. FINISH: DDR INITIALIZATION + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_mio_init_data_2_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: OCM REMAPPING + // .. FINISH: OCM REMAPPING + // .. START: DDRIOB SETTINGS + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B40[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B40[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B40[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B40[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCR_TYPE = 0x0 + // .. ==> 0XF8000B40[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B40[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B40[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B40[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B40[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B40, 0x00000FFFU ,0x00000600U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B44[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B44[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B44[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B44[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCR_TYPE = 0x0 + // .. ==> 0XF8000B44[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B44[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B44[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B44[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B44[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B44, 0x00000FFFU ,0x00000600U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B48[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x1 + // .. ==> 0XF8000B48[2:1] = 0x00000001U + // .. ==> MASK : 0x00000006U VAL : 0x00000002U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B48[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B48[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B48[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B48[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B48[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B48[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B48[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B48, 0x00000FFFU ,0x00000672U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B4C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x1 + // .. ==> 0XF8000B4C[2:1] = 0x00000001U + // .. ==> MASK : 0x00000006U VAL : 0x00000002U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B4C[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B4C[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B4C[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B4C[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B4C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B4C[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B4C[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B4C, 0x00000FFFU ,0x00000672U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B50[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x2 + // .. ==> 0XF8000B50[2:1] = 0x00000002U + // .. ==> MASK : 0x00000006U VAL : 0x00000004U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B50[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B50[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B50[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B50[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B50[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B50[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B50[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B50, 0x00000FFFU ,0x00000674U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B54[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x2 + // .. ==> 0XF8000B54[2:1] = 0x00000002U + // .. ==> MASK : 0x00000006U VAL : 0x00000004U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B54[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B54[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B54[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B54[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B54[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B54[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B54[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B54, 0x00000FFFU ,0x00000674U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B58[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B58[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B58[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B58[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCR_TYPE = 0x0 + // .. ==> 0XF8000B58[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B58[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B58[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B58[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B58[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B58, 0x00000FFFU ,0x00000600U), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B5C[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B5C[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x3 + // .. ==> 0XF8000B5C[18:14] = 0x00000003U + // .. ==> MASK : 0x0007C000U VAL : 0x0000C000U + // .. SLEW_N = 0x3 + // .. ==> 0XF8000B5C[23:19] = 0x00000003U + // .. ==> MASK : 0x00F80000U VAL : 0x00180000U + // .. GTL = 0x0 + // .. ==> 0XF8000B5C[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B5C[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B5C, 0xFFFFFFFFU ,0x0018C61CU), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B60[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B60[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x6 + // .. ==> 0XF8000B60[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. SLEW_N = 0x1f + // .. ==> 0XF8000B60[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. GTL = 0x0 + // .. ==> 0XF8000B60[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B60[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B60, 0xFFFFFFFFU ,0x00F9861CU), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B64[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B64[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x6 + // .. ==> 0XF8000B64[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. SLEW_N = 0x1f + // .. ==> 0XF8000B64[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. GTL = 0x0 + // .. ==> 0XF8000B64[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B64[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B64, 0xFFFFFFFFU ,0x00F9861CU), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B68[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B68[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x6 + // .. ==> 0XF8000B68[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. SLEW_N = 0x1f + // .. ==> 0XF8000B68[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. GTL = 0x0 + // .. ==> 0XF8000B68[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B68[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B68, 0xFFFFFFFFU ,0x00F9861CU), + // .. VREF_INT_EN = 0x0 + // .. ==> 0XF8000B6C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. VREF_SEL = 0x0 + // .. ==> 0XF8000B6C[4:1] = 0x00000000U + // .. ==> MASK : 0x0000001EU VAL : 0x00000000U + // .. VREF_EXT_EN = 0x3 + // .. ==> 0XF8000B6C[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. VREF_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[8:7] = 0x00000000U + // .. ==> MASK : 0x00000180U VAL : 0x00000000U + // .. REFIO_EN = 0x1 + // .. ==> 0XF8000B6C[9:9] = 0x00000001U + // .. ==> MASK : 0x00000200U VAL : 0x00000200U + // .. REFIO_TEST = 0x0 + // .. ==> 0XF8000B6C[11:10] = 0x00000000U + // .. ==> MASK : 0x00000C00U VAL : 0x00000000U + // .. REFIO_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DRST_B_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. CKE_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[14:14] = 0x00000000U + // .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B6C, 0x00007FFFU ,0x00000260U), + // .. .. START: ASSERT RESET + // .. .. RESET = 1 + // .. .. ==> 0XF8000B70[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000021U), + // .. .. FINISH: ASSERT RESET + // .. .. START: DEASSERT RESET + // .. .. RESET = 0 + // .. .. ==> 0XF8000B70[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000020U), + // .. .. FINISH: DEASSERT RESET + // .. .. RESET = 0x1 + // .. .. ==> 0XF8000B70[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. ENABLE = 0x1 + // .. .. ==> 0XF8000B70[1:1] = 0x00000001U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. VRP_TRI = 0x0 + // .. .. ==> 0XF8000B70[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. VRN_TRI = 0x0 + // .. .. ==> 0XF8000B70[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. VRP_OUT = 0x0 + // .. .. ==> 0XF8000B70[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. NREF_OPT1 = 0x0 + // .. .. ==> 0XF8000B70[7:6] = 0x00000000U + // .. .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. .. NREF_OPT2 = 0x0 + // .. .. ==> 0XF8000B70[10:8] = 0x00000000U + // .. .. ==> MASK : 0x00000700U VAL : 0x00000000U + // .. .. NREF_OPT4 = 0x1 + // .. .. ==> 0XF8000B70[13:11] = 0x00000001U + // .. .. ==> MASK : 0x00003800U VAL : 0x00000800U + // .. .. PREF_OPT1 = 0x0 + // .. .. ==> 0XF8000B70[16:14] = 0x00000000U + // .. .. ==> MASK : 0x0001C000U VAL : 0x00000000U + // .. .. PREF_OPT2 = 0x0 + // .. .. ==> 0XF8000B70[19:17] = 0x00000000U + // .. .. ==> MASK : 0x000E0000U VAL : 0x00000000U + // .. .. UPDATE_CONTROL = 0x0 + // .. .. ==> 0XF8000B70[20:20] = 0x00000000U + // .. .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. .. INIT_COMPLETE = 0x0 + // .. .. ==> 0XF8000B70[21:21] = 0x00000000U + // .. .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. .. TST_CLK = 0x0 + // .. .. ==> 0XF8000B70[22:22] = 0x00000000U + // .. .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. .. TST_HLN = 0x0 + // .. .. ==> 0XF8000B70[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. TST_HLP = 0x0 + // .. .. ==> 0XF8000B70[24:24] = 0x00000000U + // .. .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. .. TST_RST = 0x0 + // .. .. ==> 0XF8000B70[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. INT_DCI_EN = 0x0 + // .. .. ==> 0XF8000B70[26:26] = 0x00000000U + // .. .. ==> MASK : 0x04000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x07FFFFFFU ,0x00000823U), + // .. FINISH: DDRIOB SETTINGS + // .. START: MIO PROGRAMMING + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000700[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000700[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000700[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000700[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000700[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000700[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000700[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000700[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000700[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000700, 0x00003FFFU ,0x00001600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000704[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000704[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000704[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000704[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000704[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000704[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000704[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000704[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000704[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000704, 0x00003FFFU ,0x00001600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000708[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000708[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000708[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000708[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000708[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000708[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000708[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000708[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000708[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000708, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800070C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800070C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800070C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800070C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800070C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800070C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800070C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF800070C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800070C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800070C, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000710[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000710[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000710[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000710[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000710[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000710[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000710[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000710[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000710[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000710, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000714[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000714[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000714[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000714[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000714[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000714[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000714[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000714[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000714[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000714, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000718[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000718[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000718[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000718[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000718[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000718[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000718[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000718[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000718[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000718, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800071C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800071C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800071C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800071C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800071C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800071C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800071C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF800071C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800071C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800071C, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000720[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000720[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000720[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000720[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF8000720[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF8000720[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000720[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000720[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000720[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000720, 0x00003FFFU ,0x000006E0U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000724[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000724[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000724[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000724[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF8000724[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF8000724[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000724[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000724[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000724[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000724, 0x00003FFFU ,0x000016E1U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000728[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000728[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000728[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000728[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000728[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000728[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000728[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000728[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000728[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000728, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800072C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800072C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800072C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800072C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF800072C[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF800072C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800072C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF800072C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800072C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800072C, 0x00003FFFU ,0x00001660U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000730[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000730[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000730[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000730[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000730[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000730[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000730[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000730[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000730[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000730, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000734[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000734[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000734[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000734[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000734[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000734[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000734[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000734[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000734[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000734, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000738[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000738[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000738[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000738[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000738[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF8000738[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000738[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000738[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000738[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000738, 0x00003FFFU ,0x00001640U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800073C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800073C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800073C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800073C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF800073C[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF800073C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800073C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF800073C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800073C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800073C, 0x00003FFFU ,0x00001640U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000740[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000740[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000740[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000740[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000740[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000740[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000740[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000740[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000740[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000744[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000744[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000744[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000744[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000744[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000744[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000744[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000744[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000744[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000748[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000748[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000748[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000748[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000748[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000748[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000748[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000748[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000748[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800074C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF800074C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800074C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800074C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800074C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800074C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800074C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800074C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800074C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000750[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000750[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000750[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000750[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000750[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000750[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000750[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000750[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000750[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000754[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000754[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000754[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000754[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000754[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000754[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000754[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000754[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000754[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000758[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000758[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000758[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000758[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000758[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000758[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000758[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000758[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000758[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800075C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF800075C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800075C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800075C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800075C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800075C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800075C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800075C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800075C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000760[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000760[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000760[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000760[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000760[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000760[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000760[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000760[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000760[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000764[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000764[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000764[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000764[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000764[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000764[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000764[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000764[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000764[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000768[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000768[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000768[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000768[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000768[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000768[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000768[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000768[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000768[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800076C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF800076C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800076C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800076C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800076C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800076C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800076C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800076C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800076C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000770[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000770[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000770[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000770[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000770[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000770[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000770[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000770[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000770[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000774[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000774[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000774[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000774[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000774[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000774[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000774[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000774[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000774[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000778[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000778[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000778[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000778[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000778[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000778[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000778[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000778[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000778[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800077C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF800077C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800077C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800077C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800077C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800077C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800077C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800077C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800077C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000780[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000780[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000780[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000780[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000780[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000780[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000780[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000780[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000780[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000784[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000784[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000784[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000784[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000784[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000784[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000784[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000784[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000784[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000788[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000788[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000788[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000788[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000788[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000788[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000788[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000788[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000788[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800078C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800078C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800078C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800078C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800078C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800078C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800078C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800078C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800078C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000790[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000790[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000790[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000790[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000790[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000790[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000790[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000790[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000790[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000794[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000794[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000794[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000794[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000794[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000794[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000794[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000794[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000794[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000798[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000798[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000798[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000798[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000798[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000798[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000798[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000798[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000798[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800079C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800079C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800079C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800079C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800079C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800079C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800079C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800079C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800079C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A8[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A8[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007AC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007AC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007AC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007AC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007AC[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007AC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007AC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007AC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007AC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007B0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007B0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007B0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007B0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007B4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007B4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007B4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007B4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF80007B8[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF80007B8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF80007B8[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF80007B8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B8, 0x00003FFFU ,0x000012E1U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007BC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007BC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007BC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007BC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF80007BC[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF80007BC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007BC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007BC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007BC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007BC, 0x00003FFFU ,0x000012E0U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF80007C0[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF80007C0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x00001240U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF80007C4[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF80007C4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x00001240U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C8[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF80007C8[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF80007C8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007CC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007CC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007CC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007CC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF80007CC[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF80007CC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007CC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007CC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007CC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007D0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007D0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007D0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007D0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007D0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007D0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007D0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007D0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007D0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007D4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007D4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007D4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007D4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007D4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007D4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007D4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007D4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007D4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), + // .. SDIO0_WP_SEL = 55 + // .. ==> 0XF8000830[5:0] = 0x00000037U + // .. ==> MASK : 0x0000003FU VAL : 0x00000037U + // .. SDIO0_CD_SEL = 56 + // .. ==> 0XF8000830[21:16] = 0x00000038U + // .. ==> MASK : 0x003F0000U VAL : 0x00380000U + // .. + EMIT_MASKWRITE(0XF8000830, 0x003F003FU ,0x00380037U), + // .. FINISH: MIO PROGRAMMING + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_peripherals_init_data_2_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: DDR TERM/IBUF_DISABLE_MODE SETTINGS + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B48[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B48[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B48, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B4C[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B4C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B4C, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B50[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B50[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B50, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B54[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B54[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B54, 0x00000180U ,0x00000180U), + // .. FINISH: DDR TERM/IBUF_DISABLE_MODE SETTINGS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // .. START: SRAM/NOR SET OPMODE + // .. FINISH: SRAM/NOR SET OPMODE + // .. START: UART REGISTERS + // .. BDIV = 0x6 + // .. ==> 0XE0001034[7:0] = 0x00000006U + // .. ==> MASK : 0x000000FFU VAL : 0x00000006U + // .. + EMIT_MASKWRITE(0XE0001034, 0x000000FFU ,0x00000006U), + // .. CD = 0x7c + // .. ==> 0XE0001018[15:0] = 0x0000007CU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000007CU + // .. + EMIT_MASKWRITE(0XE0001018, 0x0000FFFFU ,0x0000007CU), + // .. STPBRK = 0x0 + // .. ==> 0XE0001000[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. STTBRK = 0x0 + // .. ==> 0XE0001000[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. RSTTO = 0x0 + // .. ==> 0XE0001000[6:6] = 0x00000000U + // .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. TXDIS = 0x0 + // .. ==> 0XE0001000[5:5] = 0x00000000U + // .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. TXEN = 0x1 + // .. ==> 0XE0001000[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. RXDIS = 0x0 + // .. ==> 0XE0001000[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. RXEN = 0x1 + // .. ==> 0XE0001000[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. TXRES = 0x1 + // .. ==> 0XE0001000[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. RXRES = 0x1 + // .. ==> 0XE0001000[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. + EMIT_MASKWRITE(0XE0001000, 0x000001FFU ,0x00000017U), + // .. IRMODE = 0x0 + // .. ==> 0XE0001004[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. UCLKEN = 0x0 + // .. ==> 0XE0001004[10:10] = 0x00000000U + // .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. CHMODE = 0x0 + // .. ==> 0XE0001004[9:8] = 0x00000000U + // .. ==> MASK : 0x00000300U VAL : 0x00000000U + // .. NBSTOP = 0x0 + // .. ==> 0XE0001004[7:6] = 0x00000000U + // .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. PAR = 0x4 + // .. ==> 0XE0001004[5:3] = 0x00000004U + // .. ==> MASK : 0x00000038U VAL : 0x00000020U + // .. CHRL = 0x0 + // .. ==> 0XE0001004[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. CLKS = 0x0 + // .. ==> 0XE0001004[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XE0001004, 0x00000FFFU ,0x00000020U), + // .. BDIV = 0x6 + // .. ==> 0XE0000034[7:0] = 0x00000006U + // .. ==> MASK : 0x000000FFU VAL : 0x00000006U + // .. + EMIT_MASKWRITE(0XE0000034, 0x000000FFU ,0x00000006U), + // .. CD = 0x7c + // .. ==> 0XE0000018[15:0] = 0x0000007CU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000007CU + // .. + EMIT_MASKWRITE(0XE0000018, 0x0000FFFFU ,0x0000007CU), + // .. STPBRK = 0x0 + // .. ==> 0XE0000000[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. STTBRK = 0x0 + // .. ==> 0XE0000000[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. RSTTO = 0x0 + // .. ==> 0XE0000000[6:6] = 0x00000000U + // .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. TXDIS = 0x0 + // .. ==> 0XE0000000[5:5] = 0x00000000U + // .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. TXEN = 0x1 + // .. ==> 0XE0000000[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. RXDIS = 0x0 + // .. ==> 0XE0000000[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. RXEN = 0x1 + // .. ==> 0XE0000000[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. TXRES = 0x1 + // .. ==> 0XE0000000[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. RXRES = 0x1 + // .. ==> 0XE0000000[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. + EMIT_MASKWRITE(0XE0000000, 0x000001FFU ,0x00000017U), + // .. IRMODE = 0x0 + // .. ==> 0XE0000004[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. UCLKEN = 0x0 + // .. ==> 0XE0000004[10:10] = 0x00000000U + // .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. CHMODE = 0x0 + // .. ==> 0XE0000004[9:8] = 0x00000000U + // .. ==> MASK : 0x00000300U VAL : 0x00000000U + // .. NBSTOP = 0x0 + // .. ==> 0XE0000004[7:6] = 0x00000000U + // .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. PAR = 0x4 + // .. ==> 0XE0000004[5:3] = 0x00000004U + // .. ==> MASK : 0x00000038U VAL : 0x00000020U + // .. CHRL = 0x0 + // .. ==> 0XE0000004[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. CLKS = 0x0 + // .. ==> 0XE0000004[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XE0000004, 0x00000FFFU ,0x00000020U), + // .. FINISH: UART REGISTERS + // .. START: QSPI REGISTERS + // .. Holdb_dr = 1 + // .. ==> 0XE000D000[19:19] = 0x00000001U + // .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. + EMIT_MASKWRITE(0XE000D000, 0x00080000U ,0x00080000U), + // .. FINISH: QSPI REGISTERS + // .. START: PL POWER ON RESET REGISTERS + // .. PCFG_POR_CNT_4K = 0 + // .. ==> 0XF8007000[29:29] = 0x00000000U + // .. ==> MASK : 0x20000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8007000, 0x20000000U ,0x00000000U), + // .. FINISH: PL POWER ON RESET REGISTERS + // .. START: SMC TIMING CALCULATION REGISTER UPDATE + // .. .. START: NAND SET CYCLE + // .. .. FINISH: NAND SET CYCLE + // .. .. START: OPMODE + // .. .. FINISH: OPMODE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: SRAM/NOR CS0 SET CYCLE + // .. .. FINISH: SRAM/NOR CS0 SET CYCLE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: NOR CS0 BASE ADDRESS + // .. .. FINISH: NOR CS0 BASE ADDRESS + // .. .. START: SRAM/NOR CS1 SET CYCLE + // .. .. FINISH: SRAM/NOR CS1 SET CYCLE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: NOR CS1 BASE ADDRESS + // .. .. FINISH: NOR CS1 BASE ADDRESS + // .. .. START: USB RESET + // .. .. .. START: USB0 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. DIRECTION_0 = 0x18 + // .. .. .. .. ==> 0XE000A204[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x10 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000010U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000010U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. OP_ENABLE_0 = 0x18 + // .. .. .. .. ==> 0XE000A208[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x0 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000000U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000000U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0000U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x10 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000010U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000010U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: USB0 RESET + // .. .. .. START: USB1 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: USB1 RESET + // .. .. FINISH: USB RESET + // .. .. START: ENET RESET + // .. .. .. START: ENET0 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. DIRECTION_0 = 0x18 + // .. .. .. .. ==> 0XE000A204[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x8 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000008U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. OP_ENABLE_0 = 0x18 + // .. .. .. .. ==> 0XE000A208[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x0 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000000U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000000U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70000U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x8 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000008U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: ENET0 RESET + // .. .. .. START: ENET1 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: ENET1 RESET + // .. .. FINISH: ENET RESET + // .. .. START: I2C RESET + // .. .. .. START: I2C0 RESET + // .. .. .. .. START: DIR MODE GPIO BANK0 + // .. .. .. .. FINISH: DIR MODE GPIO BANK0 + // .. .. .. .. START: DIR MODE GPIO BANK1 + // .. .. .. .. FINISH: DIR MODE GPIO BANK1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: I2C0 RESET + // .. .. .. START: I2C1 RESET + // .. .. .. .. START: DIR MODE GPIO BANK0 + // .. .. .. .. FINISH: DIR MODE GPIO BANK0 + // .. .. .. .. START: DIR MODE GPIO BANK1 + // .. .. .. .. FINISH: DIR MODE GPIO BANK1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: I2C1 RESET + // .. .. FINISH: I2C RESET + // .. .. START: NOR CHIP SELECT + // .. .. .. START: DIR MODE BANK 0 + // .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. FINISH: NOR CHIP SELECT + // .. FINISH: SMC TIMING CALCULATION REGISTER UPDATE + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_post_config_2_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: ENABLING LEVEL SHIFTER + // .. USER_INP_ICT_EN_0 = 3 + // .. ==> 0XF8000900[1:0] = 0x00000003U + // .. ==> MASK : 0x00000003U VAL : 0x00000003U + // .. USER_INP_ICT_EN_1 = 3 + // .. ==> 0XF8000900[3:2] = 0x00000003U + // .. ==> MASK : 0x0000000CU VAL : 0x0000000CU + // .. + EMIT_MASKWRITE(0XF8000900, 0x0000000FU ,0x0000000FU), + // .. FINISH: ENABLING LEVEL SHIFTER + // .. START: FPGA RESETS TO 0 + // .. reserved_3 = 0 + // .. ==> 0XF8000240[31:25] = 0x00000000U + // .. ==> MASK : 0xFE000000U VAL : 0x00000000U + // .. FPGA_ACP_RST = 0 + // .. ==> 0XF8000240[24:24] = 0x00000000U + // .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. FPGA_AXDS3_RST = 0 + // .. ==> 0XF8000240[23:23] = 0x00000000U + // .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. FPGA_AXDS2_RST = 0 + // .. ==> 0XF8000240[22:22] = 0x00000000U + // .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. FPGA_AXDS1_RST = 0 + // .. ==> 0XF8000240[21:21] = 0x00000000U + // .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. FPGA_AXDS0_RST = 0 + // .. ==> 0XF8000240[20:20] = 0x00000000U + // .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. reserved_2 = 0 + // .. ==> 0XF8000240[19:18] = 0x00000000U + // .. ==> MASK : 0x000C0000U VAL : 0x00000000U + // .. FSSW1_FPGA_RST = 0 + // .. ==> 0XF8000240[17:17] = 0x00000000U + // .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. FSSW0_FPGA_RST = 0 + // .. ==> 0XF8000240[16:16] = 0x00000000U + // .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. reserved_1 = 0 + // .. ==> 0XF8000240[15:14] = 0x00000000U + // .. ==> MASK : 0x0000C000U VAL : 0x00000000U + // .. FPGA_FMSW1_RST = 0 + // .. ==> 0XF8000240[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. FPGA_FMSW0_RST = 0 + // .. ==> 0XF8000240[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. FPGA_DMA3_RST = 0 + // .. ==> 0XF8000240[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. FPGA_DMA2_RST = 0 + // .. ==> 0XF8000240[10:10] = 0x00000000U + // .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. FPGA_DMA1_RST = 0 + // .. ==> 0XF8000240[9:9] = 0x00000000U + // .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. FPGA_DMA0_RST = 0 + // .. ==> 0XF8000240[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. reserved = 0 + // .. ==> 0XF8000240[7:4] = 0x00000000U + // .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. FPGA3_OUT_RST = 0 + // .. ==> 0XF8000240[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. FPGA2_OUT_RST = 0 + // .. ==> 0XF8000240[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. FPGA1_OUT_RST = 0 + // .. ==> 0XF8000240[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. FPGA0_OUT_RST = 0 + // .. ==> 0XF8000240[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000240, 0xFFFFFFFFU ,0x00000000U), + // .. FINISH: FPGA RESETS TO 0 + // .. START: AFI REGISTERS + // .. .. START: AFI0 REGISTERS + // .. .. FINISH: AFI0 REGISTERS + // .. .. START: AFI1 REGISTERS + // .. .. FINISH: AFI1 REGISTERS + // .. .. START: AFI2 REGISTERS + // .. .. FINISH: AFI2 REGISTERS + // .. .. START: AFI3 REGISTERS + // .. .. FINISH: AFI3 REGISTERS + // .. FINISH: AFI REGISTERS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_debug_2_0[] = { + // START: top + // .. START: CROSS TRIGGER CONFIGURATIONS + // .. .. START: UNLOCKING CTI REGISTERS + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8898FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8898FB0, 0xC5ACCE55U), + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8899FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8899FB0, 0xC5ACCE55U), + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8809FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8809FB0, 0xC5ACCE55U), + // .. .. FINISH: UNLOCKING CTI REGISTERS + // .. .. START: ENABLING CTI MODULES AND CHANNELS + // .. .. FINISH: ENABLING CTI MODULES AND CHANNELS + // .. .. START: MAPPING CPU0, CPU1 AND FTM EVENTS TO CTM CHANNELS + // .. .. FINISH: MAPPING CPU0, CPU1 AND FTM EVENTS TO CTM CHANNELS + // .. FINISH: CROSS TRIGGER CONFIGURATIONS + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_pll_init_data_1_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: PLL SLCR REGISTERS + // .. .. START: ARM PLL INIT + // .. .. PLL_RES = 0x4 + // .. .. ==> 0XF8000110[7:4] = 0x00000004U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000040U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000110[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0xfa + // .. .. ==> 0XF8000110[21:12] = 0x000000FAU + // .. .. ==> MASK : 0x003FF000U VAL : 0x000FA000U + // .. .. + EMIT_MASKWRITE(0XF8000110, 0x003FFFF0U ,0x000FA240U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x3c + // .. .. .. ==> 0XF8000100[18:12] = 0x0000003CU + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x0003C000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x0007F000U ,0x0003C000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000100[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000100[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000100[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. ARM_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000001U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000100[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. .. SRCSEL = 0x0 + // .. .. .. ==> 0XF8000120[5:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. .. DIVISOR = 0x2 + // .. .. .. ==> 0XF8000120[13:8] = 0x00000002U + // .. .. .. ==> MASK : 0x00003F00U VAL : 0x00000200U + // .. .. .. CPU_6OR4XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[24:24] = 0x00000001U + // .. .. .. ==> MASK : 0x01000000U VAL : 0x01000000U + // .. .. .. CPU_3OR2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[25:25] = 0x00000001U + // .. .. .. ==> MASK : 0x02000000U VAL : 0x02000000U + // .. .. .. CPU_2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[26:26] = 0x00000001U + // .. .. .. ==> MASK : 0x04000000U VAL : 0x04000000U + // .. .. .. CPU_1XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[27:27] = 0x00000001U + // .. .. .. ==> MASK : 0x08000000U VAL : 0x08000000U + // .. .. .. CPU_PERI_CLKACT = 0x1 + // .. .. .. ==> 0XF8000120[28:28] = 0x00000001U + // .. .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000120, 0x1F003F30U ,0x1F000200U), + // .. .. FINISH: ARM PLL INIT + // .. .. START: DDR PLL INIT + // .. .. PLL_RES = 0x2 + // .. .. ==> 0XF8000114[7:4] = 0x00000002U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000020U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000114[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0x12c + // .. .. ==> 0XF8000114[21:12] = 0x0000012CU + // .. .. ==> MASK : 0x003FF000U VAL : 0x0012C000U + // .. .. + EMIT_MASKWRITE(0XF8000114, 0x003FFFF0U ,0x0012C220U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x20 + // .. .. .. ==> 0XF8000104[18:12] = 0x00000020U + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x00020000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x0007F000U ,0x00020000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000104[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000104[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000104[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. DDR_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[1:1] = 0x00000001U + // .. .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000002U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000104[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. .. DDR_3XCLKACT = 0x1 + // .. .. .. ==> 0XF8000124[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. DDR_2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000124[1:1] = 0x00000001U + // .. .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. .. DDR_3XCLK_DIVISOR = 0x2 + // .. .. .. ==> 0XF8000124[25:20] = 0x00000002U + // .. .. .. ==> MASK : 0x03F00000U VAL : 0x00200000U + // .. .. .. DDR_2XCLK_DIVISOR = 0x3 + // .. .. .. ==> 0XF8000124[31:26] = 0x00000003U + // .. .. .. ==> MASK : 0xFC000000U VAL : 0x0C000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000124, 0xFFF00003U ,0x0C200003U), + // .. .. FINISH: DDR PLL INIT + // .. .. START: IO PLL INIT + // .. .. PLL_RES = 0x4 + // .. .. ==> 0XF8000118[7:4] = 0x00000004U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000040U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000118[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0xfa + // .. .. ==> 0XF8000118[21:12] = 0x000000FAU + // .. .. ==> MASK : 0x003FF000U VAL : 0x000FA000U + // .. .. + EMIT_MASKWRITE(0XF8000118, 0x003FFFF0U ,0x000FA240U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x3c + // .. .. .. ==> 0XF8000108[18:12] = 0x0000003CU + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x0003C000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x0007F000U ,0x0003C000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000108[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000108[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000108[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. IO_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[2:2] = 0x00000001U + // .. .. .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000004U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000108[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. FINISH: IO PLL INIT + // .. FINISH: PLL SLCR REGISTERS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_clock_init_data_1_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: CLOCK CONTROL SLCR REGISTERS + // .. CLKACT = 0x1 + // .. ==> 0XF8000128[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. DIVISOR0 = 0xf + // .. ==> 0XF8000128[13:8] = 0x0000000FU + // .. ==> MASK : 0x00003F00U VAL : 0x00000F00U + // .. DIVISOR1 = 0x7 + // .. ==> 0XF8000128[25:20] = 0x00000007U + // .. ==> MASK : 0x03F00000U VAL : 0x00700000U + // .. + EMIT_MASKWRITE(0XF8000128, 0x03F03F01U ,0x00700F01U), + // .. CLKACT = 0x1 + // .. ==> 0XF8000138[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000138[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000138, 0x00000011U ,0x00000001U), + // .. CLKACT = 0x1 + // .. ==> 0XF8000140[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000140[6:4] = 0x00000000U + // .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. DIVISOR = 0x10 + // .. ==> 0XF8000140[13:8] = 0x00000010U + // .. ==> MASK : 0x00003F00U VAL : 0x00001000U + // .. DIVISOR1 = 0x1 + // .. ==> 0XF8000140[25:20] = 0x00000001U + // .. ==> MASK : 0x03F00000U VAL : 0x00100000U + // .. + EMIT_MASKWRITE(0XF8000140, 0x03F03F71U ,0x00101001U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000150[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x0 + // .. ==> 0XF8000150[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000150[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0x14 + // .. ==> 0XF8000150[13:8] = 0x00000014U + // .. ==> MASK : 0x00003F00U VAL : 0x00001400U + // .. + EMIT_MASKWRITE(0XF8000150, 0x00003F33U ,0x00001401U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000154[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x1 + // .. ==> 0XF8000154[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000154[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0x14 + // .. ==> 0XF8000154[13:8] = 0x00000014U + // .. ==> MASK : 0x00003F00U VAL : 0x00001400U + // .. + EMIT_MASKWRITE(0XF8000154, 0x00003F33U ,0x00001403U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000158[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x1 + // .. ==> 0XF8000158[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000158[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0xc + // .. ==> 0XF8000158[13:8] = 0x0000000CU + // .. ==> MASK : 0x00003F00U VAL : 0x00000C00U + // .. + EMIT_MASKWRITE(0XF8000158, 0x00003F33U ,0x00000C03U), + // .. .. START: TRACE CLOCK + // .. .. FINISH: TRACE CLOCK + // .. .. CLKACT = 0x1 + // .. .. ==> 0XF8000168[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000168[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR = 0xa + // .. .. ==> 0XF8000168[13:8] = 0x0000000AU + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000A00U + // .. .. + EMIT_MASKWRITE(0XF8000168, 0x00003F31U ,0x00000A01U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000170[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x5 + // .. .. ==> 0XF8000170[13:8] = 0x00000005U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000500U + // .. .. DIVISOR1 = 0x4 + // .. .. ==> 0XF8000170[25:20] = 0x00000004U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00400000U + // .. .. + EMIT_MASKWRITE(0XF8000170, 0x03F03F30U ,0x00400500U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000180[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0xa + // .. .. ==> 0XF8000180[13:8] = 0x0000000AU + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000A00U + // .. .. DIVISOR1 = 0x5 + // .. .. ==> 0XF8000180[25:20] = 0x00000005U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00500000U + // .. .. + EMIT_MASKWRITE(0XF8000180, 0x03F03F30U ,0x00500A00U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000190[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x4 + // .. .. ==> 0XF8000190[13:8] = 0x00000004U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000400U + // .. .. DIVISOR1 = 0x3 + // .. .. ==> 0XF8000190[25:20] = 0x00000003U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00300000U + // .. .. + EMIT_MASKWRITE(0XF8000190, 0x03F03F30U ,0x00300400U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF80001A0[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x5 + // .. .. ==> 0XF80001A0[13:8] = 0x00000005U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000500U + // .. .. DIVISOR1 = 0x2 + // .. .. ==> 0XF80001A0[25:20] = 0x00000002U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00200000U + // .. .. + EMIT_MASKWRITE(0XF80001A0, 0x03F03F30U ,0x00200500U), + // .. .. CLK_621_TRUE = 0x1 + // .. .. ==> 0XF80001C4[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. + EMIT_MASKWRITE(0XF80001C4, 0x00000001U ,0x00000001U), + // .. .. DMA_CPU_2XCLKACT = 0x1 + // .. .. ==> 0XF800012C[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. USB0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[2:2] = 0x00000001U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. .. USB1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[3:3] = 0x00000001U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000008U + // .. .. GEM0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[6:6] = 0x00000001U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000040U + // .. .. GEM1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. SDI0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[10:10] = 0x00000001U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000400U + // .. .. SDI1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. SPI0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[14:14] = 0x00000001U + // .. .. ==> MASK : 0x00004000U VAL : 0x00004000U + // .. .. SPI1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[15:15] = 0x00000001U + // .. .. ==> MASK : 0x00008000U VAL : 0x00008000U + // .. .. CAN0_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. CAN1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. I2C0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[18:18] = 0x00000001U + // .. .. ==> MASK : 0x00040000U VAL : 0x00040000U + // .. .. I2C1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. UART0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[20:20] = 0x00000001U + // .. .. ==> MASK : 0x00100000U VAL : 0x00100000U + // .. .. UART1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[21:21] = 0x00000001U + // .. .. ==> MASK : 0x00200000U VAL : 0x00200000U + // .. .. GPIO_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[22:22] = 0x00000001U + // .. .. ==> MASK : 0x00400000U VAL : 0x00400000U + // .. .. LQSPI_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. SMC_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[24:24] = 0x00000001U + // .. .. ==> MASK : 0x01000000U VAL : 0x01000000U + // .. .. + EMIT_MASKWRITE(0XF800012C, 0x01FFCCCDU ,0x017CC44DU), + // .. FINISH: CLOCK CONTROL SLCR REGISTERS + // .. START: THIS SHOULD BE BLANK + // .. FINISH: THIS SHOULD BE BLANK + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_ddr_init_data_1_0[] = { + // START: top + // .. START: DDR INITIALIZATION + // .. .. START: LOCK DDR + // .. .. reg_ddrc_soft_rstb = 0 + // .. .. ==> 0XF8006000[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_powerdown_en = 0x0 + // .. .. ==> 0XF8006000[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_data_bus_width = 0x0 + // .. .. ==> 0XF8006000[3:2] = 0x00000000U + // .. .. ==> MASK : 0x0000000CU VAL : 0x00000000U + // .. .. reg_ddrc_burst8_refresh = 0x0 + // .. .. ==> 0XF8006000[6:4] = 0x00000000U + // .. .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. .. reg_ddrc_rdwr_idle_gap = 0x1 + // .. .. ==> 0XF8006000[13:7] = 0x00000001U + // .. .. ==> MASK : 0x00003F80U VAL : 0x00000080U + // .. .. reg_ddrc_dis_rd_bypass = 0x0 + // .. .. ==> 0XF8006000[14:14] = 0x00000000U + // .. .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_act_bypass = 0x0 + // .. .. ==> 0XF8006000[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_auto_refresh = 0x0 + // .. .. ==> 0XF8006000[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000080U), + // .. .. FINISH: LOCK DDR + // .. .. reg_ddrc_t_rfc_nom_x32 = 0x81 + // .. .. ==> 0XF8006004[11:0] = 0x00000081U + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000081U + // .. .. reg_ddrc_active_ranks = 0x1 + // .. .. ==> 0XF8006004[13:12] = 0x00000001U + // .. .. ==> MASK : 0x00003000U VAL : 0x00001000U + // .. .. reg_ddrc_addrmap_cs_bit0 = 0x0 + // .. .. ==> 0XF8006004[18:14] = 0x00000000U + // .. .. ==> MASK : 0x0007C000U VAL : 0x00000000U + // .. .. reg_ddrc_wr_odt_block = 0x1 + // .. .. ==> 0XF8006004[20:19] = 0x00000001U + // .. .. ==> MASK : 0x00180000U VAL : 0x00080000U + // .. .. reg_ddrc_diff_rank_rd_2cycle_gap = 0x0 + // .. .. ==> 0XF8006004[21:21] = 0x00000000U + // .. .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_cs_bit1 = 0x0 + // .. .. ==> 0XF8006004[26:22] = 0x00000000U + // .. .. ==> MASK : 0x07C00000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_open_bank = 0x0 + // .. .. ==> 0XF8006004[27:27] = 0x00000000U + // .. .. ==> MASK : 0x08000000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_4bank_ram = 0x0 + // .. .. ==> 0XF8006004[28:28] = 0x00000000U + // .. .. ==> MASK : 0x10000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006004, 0x1FFFFFFFU ,0x00081081U), + // .. .. reg_ddrc_hpr_min_non_critical_x32 = 0xf + // .. .. ==> 0XF8006008[10:0] = 0x0000000FU + // .. .. ==> MASK : 0x000007FFU VAL : 0x0000000FU + // .. .. reg_ddrc_hpr_max_starve_x32 = 0xf + // .. .. ==> 0XF8006008[21:11] = 0x0000000FU + // .. .. ==> MASK : 0x003FF800U VAL : 0x00007800U + // .. .. reg_ddrc_hpr_xact_run_length = 0xf + // .. .. ==> 0XF8006008[25:22] = 0x0000000FU + // .. .. ==> MASK : 0x03C00000U VAL : 0x03C00000U + // .. .. + EMIT_MASKWRITE(0XF8006008, 0x03FFFFFFU ,0x03C0780FU), + // .. .. reg_ddrc_lpr_min_non_critical_x32 = 0x1 + // .. .. ==> 0XF800600C[10:0] = 0x00000001U + // .. .. ==> MASK : 0x000007FFU VAL : 0x00000001U + // .. .. reg_ddrc_lpr_max_starve_x32 = 0x2 + // .. .. ==> 0XF800600C[21:11] = 0x00000002U + // .. .. ==> MASK : 0x003FF800U VAL : 0x00001000U + // .. .. reg_ddrc_lpr_xact_run_length = 0x8 + // .. .. ==> 0XF800600C[25:22] = 0x00000008U + // .. .. ==> MASK : 0x03C00000U VAL : 0x02000000U + // .. .. + EMIT_MASKWRITE(0XF800600C, 0x03FFFFFFU ,0x02001001U), + // .. .. reg_ddrc_w_min_non_critical_x32 = 0x1 + // .. .. ==> 0XF8006010[10:0] = 0x00000001U + // .. .. ==> MASK : 0x000007FFU VAL : 0x00000001U + // .. .. reg_ddrc_w_xact_run_length = 0x8 + // .. .. ==> 0XF8006010[14:11] = 0x00000008U + // .. .. ==> MASK : 0x00007800U VAL : 0x00004000U + // .. .. reg_ddrc_w_max_starve_x32 = 0x2 + // .. .. ==> 0XF8006010[25:15] = 0x00000002U + // .. .. ==> MASK : 0x03FF8000U VAL : 0x00010000U + // .. .. + EMIT_MASKWRITE(0XF8006010, 0x03FFFFFFU ,0x00014001U), + // .. .. reg_ddrc_t_rc = 0x1a + // .. .. ==> 0XF8006014[5:0] = 0x0000001AU + // .. .. ==> MASK : 0x0000003FU VAL : 0x0000001AU + // .. .. reg_ddrc_t_rfc_min = 0xa0 + // .. .. ==> 0XF8006014[13:6] = 0x000000A0U + // .. .. ==> MASK : 0x00003FC0U VAL : 0x00002800U + // .. .. reg_ddrc_post_selfref_gap_x32 = 0x10 + // .. .. ==> 0XF8006014[20:14] = 0x00000010U + // .. .. ==> MASK : 0x001FC000U VAL : 0x00040000U + // .. .. + EMIT_MASKWRITE(0XF8006014, 0x001FFFFFU ,0x0004281AU), + // .. .. reg_ddrc_wr2pre = 0x12 + // .. .. ==> 0XF8006018[4:0] = 0x00000012U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000012U + // .. .. reg_ddrc_powerdown_to_x32 = 0x6 + // .. .. ==> 0XF8006018[9:5] = 0x00000006U + // .. .. ==> MASK : 0x000003E0U VAL : 0x000000C0U + // .. .. reg_ddrc_t_faw = 0x16 + // .. .. ==> 0XF8006018[15:10] = 0x00000016U + // .. .. ==> MASK : 0x0000FC00U VAL : 0x00005800U + // .. .. reg_ddrc_t_ras_max = 0x24 + // .. .. ==> 0XF8006018[21:16] = 0x00000024U + // .. .. ==> MASK : 0x003F0000U VAL : 0x00240000U + // .. .. reg_ddrc_t_ras_min = 0x13 + // .. .. ==> 0XF8006018[26:22] = 0x00000013U + // .. .. ==> MASK : 0x07C00000U VAL : 0x04C00000U + // .. .. reg_ddrc_t_cke = 0x4 + // .. .. ==> 0XF8006018[31:28] = 0x00000004U + // .. .. ==> MASK : 0xF0000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006018, 0xF7FFFFFFU ,0x44E458D2U), + // .. .. reg_ddrc_write_latency = 0x5 + // .. .. ==> 0XF800601C[4:0] = 0x00000005U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000005U + // .. .. reg_ddrc_rd2wr = 0x7 + // .. .. ==> 0XF800601C[9:5] = 0x00000007U + // .. .. ==> MASK : 0x000003E0U VAL : 0x000000E0U + // .. .. reg_ddrc_wr2rd = 0xe + // .. .. ==> 0XF800601C[14:10] = 0x0000000EU + // .. .. ==> MASK : 0x00007C00U VAL : 0x00003800U + // .. .. reg_ddrc_t_xp = 0x4 + // .. .. ==> 0XF800601C[19:15] = 0x00000004U + // .. .. ==> MASK : 0x000F8000U VAL : 0x00020000U + // .. .. reg_ddrc_pad_pd = 0x0 + // .. .. ==> 0XF800601C[22:20] = 0x00000000U + // .. .. ==> MASK : 0x00700000U VAL : 0x00000000U + // .. .. reg_ddrc_rd2pre = 0x4 + // .. .. ==> 0XF800601C[27:23] = 0x00000004U + // .. .. ==> MASK : 0x0F800000U VAL : 0x02000000U + // .. .. reg_ddrc_t_rcd = 0x7 + // .. .. ==> 0XF800601C[31:28] = 0x00000007U + // .. .. ==> MASK : 0xF0000000U VAL : 0x70000000U + // .. .. + EMIT_MASKWRITE(0XF800601C, 0xFFFFFFFFU ,0x720238E5U), + // .. .. reg_ddrc_t_ccd = 0x4 + // .. .. ==> 0XF8006020[4:2] = 0x00000004U + // .. .. ==> MASK : 0x0000001CU VAL : 0x00000010U + // .. .. reg_ddrc_t_rrd = 0x6 + // .. .. ==> 0XF8006020[7:5] = 0x00000006U + // .. .. ==> MASK : 0x000000E0U VAL : 0x000000C0U + // .. .. reg_ddrc_refresh_margin = 0x2 + // .. .. ==> 0XF8006020[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. reg_ddrc_t_rp = 0x7 + // .. .. ==> 0XF8006020[15:12] = 0x00000007U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00007000U + // .. .. reg_ddrc_refresh_to_x32 = 0x8 + // .. .. ==> 0XF8006020[20:16] = 0x00000008U + // .. .. ==> MASK : 0x001F0000U VAL : 0x00080000U + // .. .. reg_ddrc_sdram = 0x1 + // .. .. ==> 0XF8006020[21:21] = 0x00000001U + // .. .. ==> MASK : 0x00200000U VAL : 0x00200000U + // .. .. reg_ddrc_mobile = 0x0 + // .. .. ==> 0XF8006020[22:22] = 0x00000000U + // .. .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. .. reg_ddrc_clock_stop_en = 0x0 + // .. .. ==> 0XF8006020[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. reg_ddrc_read_latency = 0x7 + // .. .. ==> 0XF8006020[28:24] = 0x00000007U + // .. .. ==> MASK : 0x1F000000U VAL : 0x07000000U + // .. .. reg_phy_mode_ddr1_ddr2 = 0x1 + // .. .. ==> 0XF8006020[29:29] = 0x00000001U + // .. .. ==> MASK : 0x20000000U VAL : 0x20000000U + // .. .. reg_ddrc_dis_pad_pd = 0x0 + // .. .. ==> 0XF8006020[30:30] = 0x00000000U + // .. .. ==> MASK : 0x40000000U VAL : 0x00000000U + // .. .. reg_ddrc_loopback = 0x0 + // .. .. ==> 0XF8006020[31:31] = 0x00000000U + // .. .. ==> MASK : 0x80000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006020, 0xFFFFFFFCU ,0x272872D0U), + // .. .. reg_ddrc_en_2t_timing_mode = 0x0 + // .. .. ==> 0XF8006024[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_prefer_write = 0x0 + // .. .. ==> 0XF8006024[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_max_rank_rd = 0xf + // .. .. ==> 0XF8006024[5:2] = 0x0000000FU + // .. .. ==> MASK : 0x0000003CU VAL : 0x0000003CU + // .. .. reg_ddrc_mr_wr = 0x0 + // .. .. ==> 0XF8006024[6:6] = 0x00000000U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. .. reg_ddrc_mr_addr = 0x0 + // .. .. ==> 0XF8006024[8:7] = 0x00000000U + // .. .. ==> MASK : 0x00000180U VAL : 0x00000000U + // .. .. reg_ddrc_mr_data = 0x0 + // .. .. ==> 0XF8006024[24:9] = 0x00000000U + // .. .. ==> MASK : 0x01FFFE00U VAL : 0x00000000U + // .. .. ddrc_reg_mr_wr_busy = 0x0 + // .. .. ==> 0XF8006024[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. reg_ddrc_mr_type = 0x0 + // .. .. ==> 0XF8006024[26:26] = 0x00000000U + // .. .. ==> MASK : 0x04000000U VAL : 0x00000000U + // .. .. reg_ddrc_mr_rdata_valid = 0x0 + // .. .. ==> 0XF8006024[27:27] = 0x00000000U + // .. .. ==> MASK : 0x08000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006024, 0x0FFFFFFFU ,0x0000003CU), + // .. .. reg_ddrc_final_wait_x32 = 0x7 + // .. .. ==> 0XF8006028[6:0] = 0x00000007U + // .. .. ==> MASK : 0x0000007FU VAL : 0x00000007U + // .. .. reg_ddrc_pre_ocd_x32 = 0x0 + // .. .. ==> 0XF8006028[10:7] = 0x00000000U + // .. .. ==> MASK : 0x00000780U VAL : 0x00000000U + // .. .. reg_ddrc_t_mrd = 0x4 + // .. .. ==> 0XF8006028[13:11] = 0x00000004U + // .. .. ==> MASK : 0x00003800U VAL : 0x00002000U + // .. .. + EMIT_MASKWRITE(0XF8006028, 0x00003FFFU ,0x00002007U), + // .. .. reg_ddrc_emr2 = 0x8 + // .. .. ==> 0XF800602C[15:0] = 0x00000008U + // .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. reg_ddrc_emr3 = 0x0 + // .. .. ==> 0XF800602C[31:16] = 0x00000000U + // .. .. ==> MASK : 0xFFFF0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800602C, 0xFFFFFFFFU ,0x00000008U), + // .. .. reg_ddrc_mr = 0x930 + // .. .. ==> 0XF8006030[15:0] = 0x00000930U + // .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000930U + // .. .. reg_ddrc_emr = 0x4 + // .. .. ==> 0XF8006030[31:16] = 0x00000004U + // .. .. ==> MASK : 0xFFFF0000U VAL : 0x00040000U + // .. .. + EMIT_MASKWRITE(0XF8006030, 0xFFFFFFFFU ,0x00040930U), + // .. .. reg_ddrc_burst_rdwr = 0x4 + // .. .. ==> 0XF8006034[3:0] = 0x00000004U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000004U + // .. .. reg_ddrc_pre_cke_x1024 = 0x16d + // .. .. ==> 0XF8006034[13:4] = 0x0000016DU + // .. .. ==> MASK : 0x00003FF0U VAL : 0x000016D0U + // .. .. reg_ddrc_post_cke_x1024 = 0x1 + // .. .. ==> 0XF8006034[25:16] = 0x00000001U + // .. .. ==> MASK : 0x03FF0000U VAL : 0x00010000U + // .. .. reg_ddrc_burstchop = 0x0 + // .. .. ==> 0XF8006034[28:28] = 0x00000000U + // .. .. ==> MASK : 0x10000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006034, 0x13FF3FFFU ,0x000116D4U), + // .. .. reg_ddrc_force_low_pri_n = 0x0 + // .. .. ==> 0XF8006038[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_dis_dq = 0x0 + // .. .. ==> 0XF8006038[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_debug_mode = 0x0 + // .. .. ==> 0XF8006038[6:6] = 0x00000000U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. .. reg_phy_wr_level_start = 0x0 + // .. .. ==> 0XF8006038[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. reg_phy_rd_level_start = 0x0 + // .. .. ==> 0XF8006038[8:8] = 0x00000000U + // .. .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. .. reg_phy_dq0_wait_t = 0x0 + // .. .. ==> 0XF8006038[12:9] = 0x00000000U + // .. .. ==> MASK : 0x00001E00U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006038, 0x00001FC3U ,0x00000000U), + // .. .. reg_ddrc_addrmap_bank_b0 = 0x7 + // .. .. ==> 0XF800603C[3:0] = 0x00000007U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000007U + // .. .. reg_ddrc_addrmap_bank_b1 = 0x7 + // .. .. ==> 0XF800603C[7:4] = 0x00000007U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000070U + // .. .. reg_ddrc_addrmap_bank_b2 = 0x7 + // .. .. ==> 0XF800603C[11:8] = 0x00000007U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000700U + // .. .. reg_ddrc_addrmap_col_b5 = 0x0 + // .. .. ==> 0XF800603C[15:12] = 0x00000000U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b6 = 0x0 + // .. .. ==> 0XF800603C[19:16] = 0x00000000U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800603C, 0x000FFFFFU ,0x00000777U), + // .. .. reg_ddrc_addrmap_col_b2 = 0x0 + // .. .. ==> 0XF8006040[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b3 = 0x0 + // .. .. ==> 0XF8006040[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b4 = 0x0 + // .. .. ==> 0XF8006040[11:8] = 0x00000000U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b7 = 0x0 + // .. .. ==> 0XF8006040[15:12] = 0x00000000U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b8 = 0x0 + // .. .. ==> 0XF8006040[19:16] = 0x00000000U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b9 = 0xf + // .. .. ==> 0XF8006040[23:20] = 0x0000000FU + // .. .. ==> MASK : 0x00F00000U VAL : 0x00F00000U + // .. .. reg_ddrc_addrmap_col_b10 = 0xf + // .. .. ==> 0XF8006040[27:24] = 0x0000000FU + // .. .. ==> MASK : 0x0F000000U VAL : 0x0F000000U + // .. .. reg_ddrc_addrmap_col_b11 = 0xf + // .. .. ==> 0XF8006040[31:28] = 0x0000000FU + // .. .. ==> MASK : 0xF0000000U VAL : 0xF0000000U + // .. .. + EMIT_MASKWRITE(0XF8006040, 0xFFFFFFFFU ,0xFFF00000U), + // .. .. reg_ddrc_addrmap_row_b0 = 0x6 + // .. .. ==> 0XF8006044[3:0] = 0x00000006U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000006U + // .. .. reg_ddrc_addrmap_row_b1 = 0x6 + // .. .. ==> 0XF8006044[7:4] = 0x00000006U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000060U + // .. .. reg_ddrc_addrmap_row_b2_11 = 0x6 + // .. .. ==> 0XF8006044[11:8] = 0x00000006U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000600U + // .. .. reg_ddrc_addrmap_row_b12 = 0x6 + // .. .. ==> 0XF8006044[15:12] = 0x00000006U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00006000U + // .. .. reg_ddrc_addrmap_row_b13 = 0x6 + // .. .. ==> 0XF8006044[19:16] = 0x00000006U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00060000U + // .. .. reg_ddrc_addrmap_row_b14 = 0x6 + // .. .. ==> 0XF8006044[23:20] = 0x00000006U + // .. .. ==> MASK : 0x00F00000U VAL : 0x00600000U + // .. .. reg_ddrc_addrmap_row_b15 = 0xf + // .. .. ==> 0XF8006044[27:24] = 0x0000000FU + // .. .. ==> MASK : 0x0F000000U VAL : 0x0F000000U + // .. .. + EMIT_MASKWRITE(0XF8006044, 0x0FFFFFFFU ,0x0F666666U), + // .. .. reg_ddrc_rank0_rd_odt = 0x0 + // .. .. ==> 0XF8006048[2:0] = 0x00000000U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000000U + // .. .. reg_ddrc_rank0_wr_odt = 0x1 + // .. .. ==> 0XF8006048[5:3] = 0x00000001U + // .. .. ==> MASK : 0x00000038U VAL : 0x00000008U + // .. .. reg_ddrc_rank1_rd_odt = 0x1 + // .. .. ==> 0XF8006048[8:6] = 0x00000001U + // .. .. ==> MASK : 0x000001C0U VAL : 0x00000040U + // .. .. reg_ddrc_rank1_wr_odt = 0x1 + // .. .. ==> 0XF8006048[11:9] = 0x00000001U + // .. .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. .. reg_phy_rd_local_odt = 0x0 + // .. .. ==> 0XF8006048[13:12] = 0x00000000U + // .. .. ==> MASK : 0x00003000U VAL : 0x00000000U + // .. .. reg_phy_wr_local_odt = 0x3 + // .. .. ==> 0XF8006048[15:14] = 0x00000003U + // .. .. ==> MASK : 0x0000C000U VAL : 0x0000C000U + // .. .. reg_phy_idle_local_odt = 0x3 + // .. .. ==> 0XF8006048[17:16] = 0x00000003U + // .. .. ==> MASK : 0x00030000U VAL : 0x00030000U + // .. .. reg_ddrc_rank2_rd_odt = 0x0 + // .. .. ==> 0XF8006048[20:18] = 0x00000000U + // .. .. ==> MASK : 0x001C0000U VAL : 0x00000000U + // .. .. reg_ddrc_rank2_wr_odt = 0x0 + // .. .. ==> 0XF8006048[23:21] = 0x00000000U + // .. .. ==> MASK : 0x00E00000U VAL : 0x00000000U + // .. .. reg_ddrc_rank3_rd_odt = 0x0 + // .. .. ==> 0XF8006048[26:24] = 0x00000000U + // .. .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. .. reg_ddrc_rank3_wr_odt = 0x0 + // .. .. ==> 0XF8006048[29:27] = 0x00000000U + // .. .. ==> MASK : 0x38000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006048, 0x3FFFFFFFU ,0x0003C248U), + // .. .. reg_phy_rd_cmd_to_data = 0x0 + // .. .. ==> 0XF8006050[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_phy_wr_cmd_to_data = 0x0 + // .. .. ==> 0XF8006050[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_phy_rdc_we_to_re_delay = 0x8 + // .. .. ==> 0XF8006050[11:8] = 0x00000008U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000800U + // .. .. reg_phy_rdc_fifo_rst_disable = 0x0 + // .. .. ==> 0XF8006050[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_phy_use_fixed_re = 0x1 + // .. .. ==> 0XF8006050[16:16] = 0x00000001U + // .. .. ==> MASK : 0x00010000U VAL : 0x00010000U + // .. .. reg_phy_rdc_fifo_rst_err_cnt_clr = 0x0 + // .. .. ==> 0XF8006050[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_phy_dis_phy_ctrl_rstn = 0x0 + // .. .. ==> 0XF8006050[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_phy_clk_stall_level = 0x0 + // .. .. ==> 0XF8006050[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_num_of_dq0 = 0x7 + // .. .. ==> 0XF8006050[27:24] = 0x00000007U + // .. .. ==> MASK : 0x0F000000U VAL : 0x07000000U + // .. .. reg_phy_wrlvl_num_of_dq0 = 0x7 + // .. .. ==> 0XF8006050[31:28] = 0x00000007U + // .. .. ==> MASK : 0xF0000000U VAL : 0x70000000U + // .. .. + EMIT_MASKWRITE(0XF8006050, 0xFF0F8FFFU ,0x77010800U), + // .. .. reg_ddrc_dll_calib_to_min_x1024 = 0x1 + // .. .. ==> 0XF8006058[7:0] = 0x00000001U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000001U + // .. .. reg_ddrc_dll_calib_to_max_x1024 = 0x1 + // .. .. ==> 0XF8006058[15:8] = 0x00000001U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00000100U + // .. .. reg_ddrc_dis_dll_calib = 0x0 + // .. .. ==> 0XF8006058[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006058, 0x0001FFFFU ,0x00000101U), + // .. .. reg_ddrc_rd_odt_delay = 0x3 + // .. .. ==> 0XF800605C[3:0] = 0x00000003U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000003U + // .. .. reg_ddrc_wr_odt_delay = 0x0 + // .. .. ==> 0XF800605C[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_ddrc_rd_odt_hold = 0x0 + // .. .. ==> 0XF800605C[11:8] = 0x00000000U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000000U + // .. .. reg_ddrc_wr_odt_hold = 0x5 + // .. .. ==> 0XF800605C[15:12] = 0x00000005U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00005000U + // .. .. + EMIT_MASKWRITE(0XF800605C, 0x0000FFFFU ,0x00005003U), + // .. .. reg_ddrc_pageclose = 0x0 + // .. .. ==> 0XF8006060[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_lpr_num_entries = 0x1f + // .. .. ==> 0XF8006060[6:1] = 0x0000001FU + // .. .. ==> MASK : 0x0000007EU VAL : 0x0000003EU + // .. .. reg_ddrc_auto_pre_en = 0x0 + // .. .. ==> 0XF8006060[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. reg_ddrc_refresh_update_level = 0x0 + // .. .. ==> 0XF8006060[8:8] = 0x00000000U + // .. .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. .. reg_ddrc_dis_wc = 0x0 + // .. .. ==> 0XF8006060[9:9] = 0x00000000U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. .. reg_ddrc_dis_collision_page_opt = 0x0 + // .. .. ==> 0XF8006060[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_ddrc_selfref_en = 0x0 + // .. .. ==> 0XF8006060[12:12] = 0x00000000U + // .. .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006060, 0x000017FFU ,0x0000003EU), + // .. .. reg_ddrc_go2critical_hysteresis = 0x0 + // .. .. ==> 0XF8006064[12:5] = 0x00000000U + // .. .. ==> MASK : 0x00001FE0U VAL : 0x00000000U + // .. .. reg_arb_go2critical_en = 0x1 + // .. .. ==> 0XF8006064[17:17] = 0x00000001U + // .. .. ==> MASK : 0x00020000U VAL : 0x00020000U + // .. .. + EMIT_MASKWRITE(0XF8006064, 0x00021FE0U ,0x00020000U), + // .. .. reg_ddrc_wrlvl_ww = 0x41 + // .. .. ==> 0XF8006068[7:0] = 0x00000041U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000041U + // .. .. reg_ddrc_rdlvl_rr = 0x41 + // .. .. ==> 0XF8006068[15:8] = 0x00000041U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00004100U + // .. .. reg_ddrc_dfi_t_wlmrd = 0x28 + // .. .. ==> 0XF8006068[25:16] = 0x00000028U + // .. .. ==> MASK : 0x03FF0000U VAL : 0x00280000U + // .. .. + EMIT_MASKWRITE(0XF8006068, 0x03FFFFFFU ,0x00284141U), + // .. .. dfi_t_ctrlupd_interval_min_x1024 = 0x10 + // .. .. ==> 0XF800606C[7:0] = 0x00000010U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000010U + // .. .. dfi_t_ctrlupd_interval_max_x1024 = 0x16 + // .. .. ==> 0XF800606C[15:8] = 0x00000016U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00001600U + // .. .. + EMIT_MASKWRITE(0XF800606C, 0x0000FFFFU ,0x00001610U), + // .. .. refresh_timer0_start_value_x32 = 0x0 + // .. .. ==> 0XF80060A0[11:0] = 0x00000000U + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000000U + // .. .. refresh_timer1_start_value_x32 = 0x8 + // .. .. ==> 0XF80060A0[23:12] = 0x00000008U + // .. .. ==> MASK : 0x00FFF000U VAL : 0x00008000U + // .. .. + EMIT_MASKWRITE(0XF80060A0, 0x00FFFFFFU ,0x00008000U), + // .. .. reg_ddrc_dis_auto_zq = 0x0 + // .. .. ==> 0XF80060A4[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_ddr3 = 0x1 + // .. .. ==> 0XF80060A4[1:1] = 0x00000001U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. reg_ddrc_t_mod = 0x200 + // .. .. ==> 0XF80060A4[11:2] = 0x00000200U + // .. .. ==> MASK : 0x00000FFCU VAL : 0x00000800U + // .. .. reg_ddrc_t_zq_long_nop = 0x200 + // .. .. ==> 0XF80060A4[21:12] = 0x00000200U + // .. .. ==> MASK : 0x003FF000U VAL : 0x00200000U + // .. .. reg_ddrc_t_zq_short_nop = 0x40 + // .. .. ==> 0XF80060A4[31:22] = 0x00000040U + // .. .. ==> MASK : 0xFFC00000U VAL : 0x10000000U + // .. .. + EMIT_MASKWRITE(0XF80060A4, 0xFFFFFFFFU ,0x10200802U), + // .. .. t_zq_short_interval_x1024 = 0xcb73 + // .. .. ==> 0XF80060A8[19:0] = 0x0000CB73U + // .. .. ==> MASK : 0x000FFFFFU VAL : 0x0000CB73U + // .. .. dram_rstn_x1024 = 0x69 + // .. .. ==> 0XF80060A8[27:20] = 0x00000069U + // .. .. ==> MASK : 0x0FF00000U VAL : 0x06900000U + // .. .. + EMIT_MASKWRITE(0XF80060A8, 0x0FFFFFFFU ,0x0690CB73U), + // .. .. deeppowerdown_en = 0x0 + // .. .. ==> 0XF80060AC[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. deeppowerdown_to_x1024 = 0xff + // .. .. ==> 0XF80060AC[8:1] = 0x000000FFU + // .. .. ==> MASK : 0x000001FEU VAL : 0x000001FEU + // .. .. + EMIT_MASKWRITE(0XF80060AC, 0x000001FFU ,0x000001FEU), + // .. .. dfi_wrlvl_max_x1024 = 0xfff + // .. .. ==> 0XF80060B0[11:0] = 0x00000FFFU + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000FFFU + // .. .. dfi_rdlvl_max_x1024 = 0xfff + // .. .. ==> 0XF80060B0[23:12] = 0x00000FFFU + // .. .. ==> MASK : 0x00FFF000U VAL : 0x00FFF000U + // .. .. ddrc_reg_twrlvl_max_error = 0x0 + // .. .. ==> 0XF80060B0[24:24] = 0x00000000U + // .. .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. .. ddrc_reg_trdlvl_max_error = 0x0 + // .. .. ==> 0XF80060B0[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. reg_ddrc_dfi_wr_level_en = 0x1 + // .. .. ==> 0XF80060B0[26:26] = 0x00000001U + // .. .. ==> MASK : 0x04000000U VAL : 0x04000000U + // .. .. reg_ddrc_dfi_rd_dqs_gate_level = 0x1 + // .. .. ==> 0XF80060B0[27:27] = 0x00000001U + // .. .. ==> MASK : 0x08000000U VAL : 0x08000000U + // .. .. reg_ddrc_dfi_rd_data_eye_train = 0x1 + // .. .. ==> 0XF80060B0[28:28] = 0x00000001U + // .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. + EMIT_MASKWRITE(0XF80060B0, 0x1FFFFFFFU ,0x1CFFFFFFU), + // .. .. reg_ddrc_2t_delay = 0x0 + // .. .. ==> 0XF80060B4[8:0] = 0x00000000U + // .. .. ==> MASK : 0x000001FFU VAL : 0x00000000U + // .. .. reg_ddrc_skip_ocd = 0x1 + // .. .. ==> 0XF80060B4[9:9] = 0x00000001U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000200U + // .. .. reg_ddrc_dis_pre_bypass = 0x0 + // .. .. ==> 0XF80060B4[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060B4, 0x000007FFU ,0x00000200U), + // .. .. reg_ddrc_dfi_t_rddata_en = 0x6 + // .. .. ==> 0XF80060B8[4:0] = 0x00000006U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000006U + // .. .. reg_ddrc_dfi_t_ctrlup_min = 0x3 + // .. .. ==> 0XF80060B8[14:5] = 0x00000003U + // .. .. ==> MASK : 0x00007FE0U VAL : 0x00000060U + // .. .. reg_ddrc_dfi_t_ctrlup_max = 0x40 + // .. .. ==> 0XF80060B8[24:15] = 0x00000040U + // .. .. ==> MASK : 0x01FF8000U VAL : 0x00200000U + // .. .. + EMIT_MASKWRITE(0XF80060B8, 0x01FFFFFFU ,0x00200066U), + // .. .. Clear_Uncorrectable_DRAM_ECC_error = 0x0 + // .. .. ==> 0XF80060C4[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. Clear_Correctable_DRAM_ECC_error = 0x0 + // .. .. ==> 0XF80060C4[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060C4, 0x00000003U ,0x00000000U), + // .. .. CORR_ECC_LOG_VALID = 0x0 + // .. .. ==> 0XF80060C8[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. ECC_CORRECTED_BIT_NUM = 0x0 + // .. .. ==> 0XF80060C8[7:1] = 0x00000000U + // .. .. ==> MASK : 0x000000FEU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060C8, 0x000000FFU ,0x00000000U), + // .. .. UNCORR_ECC_LOG_VALID = 0x0 + // .. .. ==> 0XF80060DC[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060DC, 0x00000001U ,0x00000000U), + // .. .. STAT_NUM_CORR_ERR = 0x0 + // .. .. ==> 0XF80060F0[15:8] = 0x00000000U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00000000U + // .. .. STAT_NUM_UNCORR_ERR = 0x0 + // .. .. ==> 0XF80060F0[7:0] = 0x00000000U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060F0, 0x0000FFFFU ,0x00000000U), + // .. .. reg_ddrc_ecc_mode = 0x0 + // .. .. ==> 0XF80060F4[2:0] = 0x00000000U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000000U + // .. .. reg_ddrc_dis_scrub = 0x1 + // .. .. ==> 0XF80060F4[3:3] = 0x00000001U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000008U + // .. .. + EMIT_MASKWRITE(0XF80060F4, 0x0000000FU ,0x00000008U), + // .. .. reg_phy_dif_on = 0x0 + // .. .. ==> 0XF8006114[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_phy_dif_off = 0x0 + // .. .. ==> 0XF8006114[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006114, 0x000000FFU ,0x00000000U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006118[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF8006118[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF8006118[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006118[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006118[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006118[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006118, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF800611C[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF800611C[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF800611C[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF800611C[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF800611C[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF800611C[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF800611C, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006120[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF8006120[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF8006120[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006120[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006120[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006120[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006120, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006124[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF8006124[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF8006124[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006124[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006124[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006124[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006124, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_wrlvl_init_ratio = 0x7 + // .. .. ==> 0XF800612C[9:0] = 0x00000007U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000007U + // .. .. reg_phy_gatelvl_init_ratio = 0x7a + // .. .. ==> 0XF800612C[19:10] = 0x0000007AU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001E800U + // .. .. + EMIT_MASKWRITE(0XF800612C, 0x000FFFFFU ,0x0001E807U), + // .. .. reg_phy_wrlvl_init_ratio = 0x5 + // .. .. ==> 0XF8006130[9:0] = 0x00000005U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000005U + // .. .. reg_phy_gatelvl_init_ratio = 0x7b + // .. .. ==> 0XF8006130[19:10] = 0x0000007BU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001EC00U + // .. .. + EMIT_MASKWRITE(0XF8006130, 0x000FFFFFU ,0x0001EC05U), + // .. .. reg_phy_wrlvl_init_ratio = 0x5 + // .. .. ==> 0XF8006134[9:0] = 0x00000005U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000005U + // .. .. reg_phy_gatelvl_init_ratio = 0x7b + // .. .. ==> 0XF8006134[19:10] = 0x0000007BU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001EC00U + // .. .. + EMIT_MASKWRITE(0XF8006134, 0x000FFFFFU ,0x0001EC05U), + // .. .. reg_phy_wrlvl_init_ratio = 0x1 + // .. .. ==> 0XF8006138[9:0] = 0x00000001U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000001U + // .. .. reg_phy_gatelvl_init_ratio = 0x78 + // .. .. ==> 0XF8006138[19:10] = 0x00000078U + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001E000U + // .. .. + EMIT_MASKWRITE(0XF8006138, 0x000FFFFFU ,0x0001E001U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006140[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006140[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006140[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006140, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006144[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006144[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006144[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006144, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006148[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006148[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006148[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006148, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF800614C[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF800614C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF800614C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800614C, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x87 + // .. .. ==> 0XF8006154[9:0] = 0x00000087U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000087U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006154[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006154[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006154, 0x000FFFFFU ,0x00000087U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x85 + // .. .. ==> 0XF8006158[9:0] = 0x00000085U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000085U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006158[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006158[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006158, 0x000FFFFFU ,0x00000085U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x85 + // .. .. ==> 0XF800615C[9:0] = 0x00000085U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000085U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF800615C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF800615C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800615C, 0x000FFFFFU ,0x00000085U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x81 + // .. .. ==> 0XF8006160[9:0] = 0x00000081U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000081U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006160[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006160[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006160, 0x000FFFFFU ,0x00000081U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xcf + // .. .. ==> 0XF8006168[10:0] = 0x000000CFU + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000CFU + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006168[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006168[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006168, 0x001FFFFFU ,0x000000CFU), + // .. .. reg_phy_fifo_we_slave_ratio = 0xd0 + // .. .. ==> 0XF800616C[10:0] = 0x000000D0U + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000D0U + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF800616C[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF800616C[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800616C, 0x001FFFFFU ,0x000000D0U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xd0 + // .. .. ==> 0XF8006170[10:0] = 0x000000D0U + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000D0U + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006170[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006170[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006170, 0x001FFFFFU ,0x000000D0U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xcd + // .. .. ==> 0XF8006174[10:0] = 0x000000CDU + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000CDU + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006174[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006174[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006174, 0x001FFFFFU ,0x000000CDU), + // .. .. reg_phy_wr_data_slave_ratio = 0xc7 + // .. .. ==> 0XF800617C[9:0] = 0x000000C7U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C7U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF800617C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF800617C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800617C, 0x000FFFFFU ,0x000000C7U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc5 + // .. .. ==> 0XF8006180[9:0] = 0x000000C5U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C5U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006180[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006180[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006180, 0x000FFFFFU ,0x000000C5U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc5 + // .. .. ==> 0XF8006184[9:0] = 0x000000C5U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C5U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006184[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006184[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006184, 0x000FFFFFU ,0x000000C5U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc1 + // .. .. ==> 0XF8006188[9:0] = 0x000000C1U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C1U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006188[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006188[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006188, 0x000FFFFFU ,0x000000C1U), + // .. .. reg_phy_loopback = 0x0 + // .. .. ==> 0XF8006190[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_phy_bl2 = 0x0 + // .. .. ==> 0XF8006190[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_at_spd_atpg = 0x0 + // .. .. ==> 0XF8006190[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_bist_enable = 0x0 + // .. .. ==> 0XF8006190[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_bist_force_err = 0x0 + // .. .. ==> 0XF8006190[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_bist_mode = 0x0 + // .. .. ==> 0XF8006190[6:5] = 0x00000000U + // .. .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. .. reg_phy_invert_clkout = 0x1 + // .. .. ==> 0XF8006190[7:7] = 0x00000001U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. .. reg_phy_all_dq_mpr_rd_resp = 0x0 + // .. .. ==> 0XF8006190[8:8] = 0x00000000U + // .. .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. .. reg_phy_sel_logic = 0x0 + // .. .. ==> 0XF8006190[9:9] = 0x00000000U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_ratio = 0x100 + // .. .. ==> 0XF8006190[19:10] = 0x00000100U + // .. .. ==> MASK : 0x000FFC00U VAL : 0x00040000U + // .. .. reg_phy_ctrl_slave_force = 0x0 + // .. .. ==> 0XF8006190[20:20] = 0x00000000U + // .. .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_delay = 0x0 + // .. .. ==> 0XF8006190[27:21] = 0x00000000U + // .. .. ==> MASK : 0x0FE00000U VAL : 0x00000000U + // .. .. reg_phy_use_rank0_delays = 0x1 + // .. .. ==> 0XF8006190[28:28] = 0x00000001U + // .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. reg_phy_lpddr = 0x0 + // .. .. ==> 0XF8006190[29:29] = 0x00000000U + // .. .. ==> MASK : 0x20000000U VAL : 0x00000000U + // .. .. reg_phy_cmd_latency = 0x0 + // .. .. ==> 0XF8006190[30:30] = 0x00000000U + // .. .. ==> MASK : 0x40000000U VAL : 0x00000000U + // .. .. reg_phy_int_lpbk = 0x0 + // .. .. ==> 0XF8006190[31:31] = 0x00000000U + // .. .. ==> MASK : 0x80000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006190, 0xFFFFFFFFU ,0x10040080U), + // .. .. reg_phy_wr_rl_delay = 0x2 + // .. .. ==> 0XF8006194[4:0] = 0x00000002U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000002U + // .. .. reg_phy_rd_rl_delay = 0x4 + // .. .. ==> 0XF8006194[9:5] = 0x00000004U + // .. .. ==> MASK : 0x000003E0U VAL : 0x00000080U + // .. .. reg_phy_dll_lock_diff = 0xf + // .. .. ==> 0XF8006194[13:10] = 0x0000000FU + // .. .. ==> MASK : 0x00003C00U VAL : 0x00003C00U + // .. .. reg_phy_use_wr_level = 0x1 + // .. .. ==> 0XF8006194[14:14] = 0x00000001U + // .. .. ==> MASK : 0x00004000U VAL : 0x00004000U + // .. .. reg_phy_use_rd_dqs_gate_level = 0x1 + // .. .. ==> 0XF8006194[15:15] = 0x00000001U + // .. .. ==> MASK : 0x00008000U VAL : 0x00008000U + // .. .. reg_phy_use_rd_data_eye_level = 0x1 + // .. .. ==> 0XF8006194[16:16] = 0x00000001U + // .. .. ==> MASK : 0x00010000U VAL : 0x00010000U + // .. .. reg_phy_dis_calib_rst = 0x0 + // .. .. ==> 0XF8006194[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_delay = 0x0 + // .. .. ==> 0XF8006194[19:18] = 0x00000000U + // .. .. ==> MASK : 0x000C0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006194, 0x000FFFFFU ,0x0001FC82U), + // .. .. reg_arb_page_addr_mask = 0x0 + // .. .. ==> 0XF8006204[31:0] = 0x00000000U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006204, 0xFFFFFFFFU ,0x00000000U), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006208[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006208[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006208[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006208[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF8006208[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF8006208, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF800620C[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF800620C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF800620C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF800620C[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF800620C[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF800620C, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006210[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006210[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006210[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006210[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF8006210[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF8006210, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006214[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006214[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006214[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006214[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF8006214[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF8006214, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006218[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006218[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006218[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006218[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006218[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006218, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF800621C[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF800621C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF800621C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF800621C[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF800621C[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800621C, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006220[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006220[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006220[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006220[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006220[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006220, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006224[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006224[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006224[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006224[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006224[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006224, 0x000F03FFU ,0x000003FFU), + // .. .. reg_ddrc_lpddr2 = 0x0 + // .. .. ==> 0XF80062A8[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_per_bank_refresh = 0x0 + // .. .. ==> 0XF80062A8[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_derate_enable = 0x0 + // .. .. ==> 0XF80062A8[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_ddrc_mr4_margin = 0x0 + // .. .. ==> 0XF80062A8[11:4] = 0x00000000U + // .. .. ==> MASK : 0x00000FF0U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80062A8, 0x00000FF7U ,0x00000000U), + // .. .. reg_ddrc_mr4_read_interval = 0x0 + // .. .. ==> 0XF80062AC[31:0] = 0x00000000U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80062AC, 0xFFFFFFFFU ,0x00000000U), + // .. .. reg_ddrc_min_stable_clock_x1 = 0x5 + // .. .. ==> 0XF80062B0[3:0] = 0x00000005U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000005U + // .. .. reg_ddrc_idle_after_reset_x32 = 0x12 + // .. .. ==> 0XF80062B0[11:4] = 0x00000012U + // .. .. ==> MASK : 0x00000FF0U VAL : 0x00000120U + // .. .. reg_ddrc_t_mrw = 0x5 + // .. .. ==> 0XF80062B0[21:12] = 0x00000005U + // .. .. ==> MASK : 0x003FF000U VAL : 0x00005000U + // .. .. + EMIT_MASKWRITE(0XF80062B0, 0x003FFFFFU ,0x00005125U), + // .. .. reg_ddrc_max_auto_init_x1024 = 0xa8 + // .. .. ==> 0XF80062B4[7:0] = 0x000000A8U + // .. .. ==> MASK : 0x000000FFU VAL : 0x000000A8U + // .. .. reg_ddrc_dev_zqinit_x32 = 0x12 + // .. .. ==> 0XF80062B4[17:8] = 0x00000012U + // .. .. ==> MASK : 0x0003FF00U VAL : 0x00001200U + // .. .. + EMIT_MASKWRITE(0XF80062B4, 0x0003FFFFU ,0x000012A8U), + // .. .. START: POLL ON DCI STATUS + // .. .. DONE = 1 + // .. .. ==> 0XF8000B74[13:13] = 0x00000001U + // .. .. ==> MASK : 0x00002000U VAL : 0x00002000U + // .. .. + EMIT_MASKPOLL(0XF8000B74, 0x00002000U), + // .. .. FINISH: POLL ON DCI STATUS + // .. .. START: UNLOCK DDR + // .. .. reg_ddrc_soft_rstb = 0x1 + // .. .. ==> 0XF8006000[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_ddrc_powerdown_en = 0x0 + // .. .. ==> 0XF8006000[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_data_bus_width = 0x0 + // .. .. ==> 0XF8006000[3:2] = 0x00000000U + // .. .. ==> MASK : 0x0000000CU VAL : 0x00000000U + // .. .. reg_ddrc_burst8_refresh = 0x0 + // .. .. ==> 0XF8006000[6:4] = 0x00000000U + // .. .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. .. reg_ddrc_rdwr_idle_gap = 1 + // .. .. ==> 0XF8006000[13:7] = 0x00000001U + // .. .. ==> MASK : 0x00003F80U VAL : 0x00000080U + // .. .. reg_ddrc_dis_rd_bypass = 0x0 + // .. .. ==> 0XF8006000[14:14] = 0x00000000U + // .. .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_act_bypass = 0x0 + // .. .. ==> 0XF8006000[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_auto_refresh = 0x0 + // .. .. ==> 0XF8006000[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000081U), + // .. .. FINISH: UNLOCK DDR + // .. .. START: CHECK DDR STATUS + // .. .. ddrc_reg_operating_mode = 1 + // .. .. ==> 0XF8006054[2:0] = 0x00000001U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000001U + // .. .. + EMIT_MASKPOLL(0XF8006054, 0x00000007U), + // .. .. FINISH: CHECK DDR STATUS + // .. FINISH: DDR INITIALIZATION + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_mio_init_data_1_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: OCM REMAPPING + // .. FINISH: OCM REMAPPING + // .. START: DDRIOB SETTINGS + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B40[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B40[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B40[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B40[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCR_TYPE = 0x0 + // .. ==> 0XF8000B40[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B40[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B40[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B40[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B40[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B40, 0x00000FFFU ,0x00000600U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B44[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B44[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B44[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B44[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCR_TYPE = 0x0 + // .. ==> 0XF8000B44[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B44[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B44[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B44[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B44[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B44, 0x00000FFFU ,0x00000600U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B48[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x1 + // .. ==> 0XF8000B48[2:1] = 0x00000001U + // .. ==> MASK : 0x00000006U VAL : 0x00000002U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B48[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B48[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B48[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B48[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B48[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B48[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B48[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B48, 0x00000FFFU ,0x00000672U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B4C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x1 + // .. ==> 0XF8000B4C[2:1] = 0x00000001U + // .. ==> MASK : 0x00000006U VAL : 0x00000002U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B4C[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B4C[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B4C[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B4C[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B4C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B4C[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B4C[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B4C, 0x00000FFFU ,0x00000672U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B50[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x2 + // .. ==> 0XF8000B50[2:1] = 0x00000002U + // .. ==> MASK : 0x00000006U VAL : 0x00000004U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B50[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B50[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B50[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B50[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B50[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B50[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B50[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B50, 0x00000FFFU ,0x00000674U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B54[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x2 + // .. ==> 0XF8000B54[2:1] = 0x00000002U + // .. ==> MASK : 0x00000006U VAL : 0x00000004U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B54[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B54[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B54[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B54[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B54[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B54[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B54[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B54, 0x00000FFFU ,0x00000674U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B58[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B58[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B58[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B58[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCR_TYPE = 0x0 + // .. ==> 0XF8000B58[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B58[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B58[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B58[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B58[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B58, 0x00000FFFU ,0x00000600U), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B5C[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B5C[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x3 + // .. ==> 0XF8000B5C[18:14] = 0x00000003U + // .. ==> MASK : 0x0007C000U VAL : 0x0000C000U + // .. SLEW_N = 0x3 + // .. ==> 0XF8000B5C[23:19] = 0x00000003U + // .. ==> MASK : 0x00F80000U VAL : 0x00180000U + // .. GTL = 0x0 + // .. ==> 0XF8000B5C[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B5C[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B5C, 0xFFFFFFFFU ,0x0018C61CU), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B60[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B60[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x6 + // .. ==> 0XF8000B60[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. SLEW_N = 0x1f + // .. ==> 0XF8000B60[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. GTL = 0x0 + // .. ==> 0XF8000B60[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B60[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B60, 0xFFFFFFFFU ,0x00F9861CU), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B64[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B64[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x6 + // .. ==> 0XF8000B64[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. SLEW_N = 0x1f + // .. ==> 0XF8000B64[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. GTL = 0x0 + // .. ==> 0XF8000B64[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B64[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B64, 0xFFFFFFFFU ,0x00F9861CU), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B68[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B68[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x6 + // .. ==> 0XF8000B68[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. SLEW_N = 0x1f + // .. ==> 0XF8000B68[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. GTL = 0x0 + // .. ==> 0XF8000B68[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B68[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B68, 0xFFFFFFFFU ,0x00F9861CU), + // .. VREF_INT_EN = 0x0 + // .. ==> 0XF8000B6C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. VREF_SEL = 0x0 + // .. ==> 0XF8000B6C[4:1] = 0x00000000U + // .. ==> MASK : 0x0000001EU VAL : 0x00000000U + // .. VREF_EXT_EN = 0x3 + // .. ==> 0XF8000B6C[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. VREF_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[8:7] = 0x00000000U + // .. ==> MASK : 0x00000180U VAL : 0x00000000U + // .. REFIO_EN = 0x1 + // .. ==> 0XF8000B6C[9:9] = 0x00000001U + // .. ==> MASK : 0x00000200U VAL : 0x00000200U + // .. REFIO_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DRST_B_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. CKE_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[14:14] = 0x00000000U + // .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B6C, 0x000073FFU ,0x00000260U), + // .. .. START: ASSERT RESET + // .. .. RESET = 1 + // .. .. ==> 0XF8000B70[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000021U), + // .. .. FINISH: ASSERT RESET + // .. .. START: DEASSERT RESET + // .. .. RESET = 0 + // .. .. ==> 0XF8000B70[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000020U), + // .. .. FINISH: DEASSERT RESET + // .. .. RESET = 0x1 + // .. .. ==> 0XF8000B70[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. ENABLE = 0x1 + // .. .. ==> 0XF8000B70[1:1] = 0x00000001U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. VRP_TRI = 0x0 + // .. .. ==> 0XF8000B70[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. VRN_TRI = 0x0 + // .. .. ==> 0XF8000B70[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. VRP_OUT = 0x0 + // .. .. ==> 0XF8000B70[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. NREF_OPT1 = 0x0 + // .. .. ==> 0XF8000B70[7:6] = 0x00000000U + // .. .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. .. NREF_OPT2 = 0x0 + // .. .. ==> 0XF8000B70[10:8] = 0x00000000U + // .. .. ==> MASK : 0x00000700U VAL : 0x00000000U + // .. .. NREF_OPT4 = 0x1 + // .. .. ==> 0XF8000B70[13:11] = 0x00000001U + // .. .. ==> MASK : 0x00003800U VAL : 0x00000800U + // .. .. PREF_OPT1 = 0x0 + // .. .. ==> 0XF8000B70[16:14] = 0x00000000U + // .. .. ==> MASK : 0x0001C000U VAL : 0x00000000U + // .. .. PREF_OPT2 = 0x0 + // .. .. ==> 0XF8000B70[19:17] = 0x00000000U + // .. .. ==> MASK : 0x000E0000U VAL : 0x00000000U + // .. .. UPDATE_CONTROL = 0x0 + // .. .. ==> 0XF8000B70[20:20] = 0x00000000U + // .. .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. .. INIT_COMPLETE = 0x0 + // .. .. ==> 0XF8000B70[21:21] = 0x00000000U + // .. .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. .. TST_CLK = 0x0 + // .. .. ==> 0XF8000B70[22:22] = 0x00000000U + // .. .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. .. TST_HLN = 0x0 + // .. .. ==> 0XF8000B70[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. TST_HLP = 0x0 + // .. .. ==> 0XF8000B70[24:24] = 0x00000000U + // .. .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. .. TST_RST = 0x0 + // .. .. ==> 0XF8000B70[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. INT_DCI_EN = 0x0 + // .. .. ==> 0XF8000B70[26:26] = 0x00000000U + // .. .. ==> MASK : 0x04000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x07FFFFFFU ,0x00000823U), + // .. FINISH: DDRIOB SETTINGS + // .. START: MIO PROGRAMMING + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000700[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000700[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000700[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000700[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000700[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000700[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000700[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000700[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000700[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000700, 0x00003FFFU ,0x00001600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000704[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000704[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000704[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000704[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000704[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000704[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000704[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000704[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000704[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000704, 0x00003FFFU ,0x00001600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000708[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000708[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000708[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000708[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000708[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000708[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000708[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000708[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000708[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000708, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800070C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800070C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800070C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800070C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800070C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800070C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800070C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF800070C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800070C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800070C, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000710[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000710[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000710[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000710[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000710[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000710[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000710[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000710[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000710[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000710, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000714[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000714[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000714[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000714[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000714[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000714[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000714[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000714[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000714[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000714, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000718[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000718[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000718[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000718[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000718[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000718[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000718[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000718[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000718[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000718, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800071C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800071C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800071C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800071C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800071C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800071C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800071C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF800071C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800071C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800071C, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000720[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000720[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000720[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000720[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF8000720[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF8000720[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000720[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000720[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000720[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000720, 0x00003FFFU ,0x000006E0U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000724[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000724[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000724[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000724[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF8000724[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF8000724[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000724[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000724[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000724[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000724, 0x00003FFFU ,0x000016E1U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000728[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000728[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000728[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000728[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000728[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000728[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000728[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000728[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000728[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000728, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800072C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800072C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800072C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800072C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF800072C[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF800072C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800072C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF800072C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800072C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800072C, 0x00003FFFU ,0x00001660U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000730[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000730[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000730[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000730[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000730[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000730[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000730[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000730[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000730[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000730, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000734[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000734[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000734[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000734[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000734[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000734[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000734[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000734[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000734[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000734, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000738[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000738[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000738[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000738[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000738[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF8000738[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000738[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000738[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000738[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000738, 0x00003FFFU ,0x00001640U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800073C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800073C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800073C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800073C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF800073C[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF800073C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800073C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF800073C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800073C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800073C, 0x00003FFFU ,0x00001640U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000740[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000740[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000740[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000740[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000740[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000740[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000740[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000740[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000740[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000744[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000744[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000744[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000744[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000744[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000744[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000744[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000744[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000744[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000748[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000748[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000748[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000748[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000748[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000748[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000748[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000748[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000748[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800074C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF800074C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800074C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800074C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800074C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800074C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800074C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800074C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800074C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000750[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000750[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000750[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000750[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000750[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000750[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000750[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000750[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000750[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000754[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000754[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000754[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000754[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000754[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000754[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000754[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000754[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000754[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000758[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000758[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000758[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000758[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000758[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000758[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000758[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000758[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000758[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800075C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF800075C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800075C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800075C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800075C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800075C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800075C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800075C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800075C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000760[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000760[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000760[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000760[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000760[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000760[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000760[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000760[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000760[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000764[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000764[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000764[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000764[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000764[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000764[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000764[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000764[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000764[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000768[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000768[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000768[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000768[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000768[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000768[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000768[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000768[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000768[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800076C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF800076C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800076C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800076C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800076C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800076C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800076C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800076C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800076C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000770[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000770[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000770[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000770[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000770[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000770[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000770[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000770[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000770[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000774[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000774[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000774[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000774[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000774[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000774[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000774[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000774[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000774[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000778[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000778[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000778[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000778[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000778[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000778[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000778[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000778[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000778[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800077C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF800077C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800077C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800077C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800077C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800077C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800077C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800077C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800077C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000780[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000780[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000780[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000780[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000780[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000780[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000780[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000780[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000780[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000784[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000784[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000784[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000784[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000784[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000784[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000784[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000784[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000784[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000788[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000788[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000788[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000788[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000788[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000788[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000788[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000788[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000788[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800078C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800078C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800078C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800078C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800078C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800078C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800078C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800078C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800078C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000790[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000790[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000790[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000790[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000790[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000790[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000790[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000790[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000790[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000794[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000794[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000794[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000794[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000794[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000794[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000794[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000794[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000794[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000798[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000798[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000798[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000798[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000798[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000798[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000798[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000798[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000798[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800079C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800079C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800079C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800079C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800079C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800079C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800079C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800079C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800079C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A8[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A8[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007AC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007AC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007AC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007AC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007AC[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007AC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007AC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007AC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007AC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007B0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007B0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007B0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007B0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007B4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007B4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007B4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007B4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF80007B8[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF80007B8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF80007B8[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF80007B8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B8, 0x00003FFFU ,0x000012E1U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007BC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007BC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007BC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007BC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF80007BC[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF80007BC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007BC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007BC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007BC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007BC, 0x00003FFFU ,0x000012E0U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF80007C0[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF80007C0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x00001240U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF80007C4[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF80007C4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x00001240U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C8[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF80007C8[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF80007C8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007CC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007CC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007CC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007CC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF80007CC[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF80007CC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007CC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007CC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007CC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007D0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007D0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007D0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007D0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007D0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007D0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007D0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007D0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007D0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007D4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007D4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007D4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007D4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007D4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007D4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007D4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007D4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007D4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), + // .. SDIO0_WP_SEL = 55 + // .. ==> 0XF8000830[5:0] = 0x00000037U + // .. ==> MASK : 0x0000003FU VAL : 0x00000037U + // .. SDIO0_CD_SEL = 56 + // .. ==> 0XF8000830[21:16] = 0x00000038U + // .. ==> MASK : 0x003F0000U VAL : 0x00380000U + // .. + EMIT_MASKWRITE(0XF8000830, 0x003F003FU ,0x00380037U), + // .. FINISH: MIO PROGRAMMING + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_peripherals_init_data_1_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: DDR TERM/IBUF_DISABLE_MODE SETTINGS + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B48[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B48[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B48, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B4C[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B4C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B4C, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B50[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B50[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B50, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B54[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B54[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B54, 0x00000180U ,0x00000180U), + // .. FINISH: DDR TERM/IBUF_DISABLE_MODE SETTINGS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // .. START: SRAM/NOR SET OPMODE + // .. FINISH: SRAM/NOR SET OPMODE + // .. START: UART REGISTERS + // .. BDIV = 0x6 + // .. ==> 0XE0001034[7:0] = 0x00000006U + // .. ==> MASK : 0x000000FFU VAL : 0x00000006U + // .. + EMIT_MASKWRITE(0XE0001034, 0x000000FFU ,0x00000006U), + // .. CD = 0x7c + // .. ==> 0XE0001018[15:0] = 0x0000007CU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000007CU + // .. + EMIT_MASKWRITE(0XE0001018, 0x0000FFFFU ,0x0000007CU), + // .. STPBRK = 0x0 + // .. ==> 0XE0001000[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. STTBRK = 0x0 + // .. ==> 0XE0001000[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. RSTTO = 0x0 + // .. ==> 0XE0001000[6:6] = 0x00000000U + // .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. TXDIS = 0x0 + // .. ==> 0XE0001000[5:5] = 0x00000000U + // .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. TXEN = 0x1 + // .. ==> 0XE0001000[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. RXDIS = 0x0 + // .. ==> 0XE0001000[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. RXEN = 0x1 + // .. ==> 0XE0001000[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. TXRES = 0x1 + // .. ==> 0XE0001000[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. RXRES = 0x1 + // .. ==> 0XE0001000[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. + EMIT_MASKWRITE(0XE0001000, 0x000001FFU ,0x00000017U), + // .. IRMODE = 0x0 + // .. ==> 0XE0001004[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. UCLKEN = 0x0 + // .. ==> 0XE0001004[10:10] = 0x00000000U + // .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. CHMODE = 0x0 + // .. ==> 0XE0001004[9:8] = 0x00000000U + // .. ==> MASK : 0x00000300U VAL : 0x00000000U + // .. NBSTOP = 0x0 + // .. ==> 0XE0001004[7:6] = 0x00000000U + // .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. PAR = 0x4 + // .. ==> 0XE0001004[5:3] = 0x00000004U + // .. ==> MASK : 0x00000038U VAL : 0x00000020U + // .. CHRL = 0x0 + // .. ==> 0XE0001004[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. CLKS = 0x0 + // .. ==> 0XE0001004[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XE0001004, 0x00000FFFU ,0x00000020U), + // .. BDIV = 0x6 + // .. ==> 0XE0000034[7:0] = 0x00000006U + // .. ==> MASK : 0x000000FFU VAL : 0x00000006U + // .. + EMIT_MASKWRITE(0XE0000034, 0x000000FFU ,0x00000006U), + // .. CD = 0x7c + // .. ==> 0XE0000018[15:0] = 0x0000007CU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000007CU + // .. + EMIT_MASKWRITE(0XE0000018, 0x0000FFFFU ,0x0000007CU), + // .. STPBRK = 0x0 + // .. ==> 0XE0000000[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. STTBRK = 0x0 + // .. ==> 0XE0000000[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. RSTTO = 0x0 + // .. ==> 0XE0000000[6:6] = 0x00000000U + // .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. TXDIS = 0x0 + // .. ==> 0XE0000000[5:5] = 0x00000000U + // .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. TXEN = 0x1 + // .. ==> 0XE0000000[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. RXDIS = 0x0 + // .. ==> 0XE0000000[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. RXEN = 0x1 + // .. ==> 0XE0000000[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. TXRES = 0x1 + // .. ==> 0XE0000000[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. RXRES = 0x1 + // .. ==> 0XE0000000[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. + EMIT_MASKWRITE(0XE0000000, 0x000001FFU ,0x00000017U), + // .. IRMODE = 0x0 + // .. ==> 0XE0000004[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. UCLKEN = 0x0 + // .. ==> 0XE0000004[10:10] = 0x00000000U + // .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. CHMODE = 0x0 + // .. ==> 0XE0000004[9:8] = 0x00000000U + // .. ==> MASK : 0x00000300U VAL : 0x00000000U + // .. NBSTOP = 0x0 + // .. ==> 0XE0000004[7:6] = 0x00000000U + // .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. PAR = 0x4 + // .. ==> 0XE0000004[5:3] = 0x00000004U + // .. ==> MASK : 0x00000038U VAL : 0x00000020U + // .. CHRL = 0x0 + // .. ==> 0XE0000004[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. CLKS = 0x0 + // .. ==> 0XE0000004[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XE0000004, 0x00000FFFU ,0x00000020U), + // .. FINISH: UART REGISTERS + // .. START: QSPI REGISTERS + // .. Holdb_dr = 1 + // .. ==> 0XE000D000[19:19] = 0x00000001U + // .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. + EMIT_MASKWRITE(0XE000D000, 0x00080000U ,0x00080000U), + // .. FINISH: QSPI REGISTERS + // .. START: PL POWER ON RESET REGISTERS + // .. PCFG_POR_CNT_4K = 0 + // .. ==> 0XF8007000[29:29] = 0x00000000U + // .. ==> MASK : 0x20000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8007000, 0x20000000U ,0x00000000U), + // .. FINISH: PL POWER ON RESET REGISTERS + // .. START: SMC TIMING CALCULATION REGISTER UPDATE + // .. .. START: NAND SET CYCLE + // .. .. FINISH: NAND SET CYCLE + // .. .. START: OPMODE + // .. .. FINISH: OPMODE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: SRAM/NOR CS0 SET CYCLE + // .. .. FINISH: SRAM/NOR CS0 SET CYCLE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: NOR CS0 BASE ADDRESS + // .. .. FINISH: NOR CS0 BASE ADDRESS + // .. .. START: SRAM/NOR CS1 SET CYCLE + // .. .. FINISH: SRAM/NOR CS1 SET CYCLE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: NOR CS1 BASE ADDRESS + // .. .. FINISH: NOR CS1 BASE ADDRESS + // .. .. START: USB RESET + // .. .. .. START: USB0 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. DIRECTION_0 = 0x18 + // .. .. .. .. ==> 0XE000A204[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x10 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000010U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000010U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. OP_ENABLE_0 = 0x18 + // .. .. .. .. ==> 0XE000A208[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x0 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000000U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000000U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0000U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x10 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000010U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000010U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: USB0 RESET + // .. .. .. START: USB1 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: USB1 RESET + // .. .. FINISH: USB RESET + // .. .. START: ENET RESET + // .. .. .. START: ENET0 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. DIRECTION_0 = 0x18 + // .. .. .. .. ==> 0XE000A204[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x8 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000008U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. OP_ENABLE_0 = 0x18 + // .. .. .. .. ==> 0XE000A208[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x0 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000000U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000000U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70000U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x8 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000008U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: ENET0 RESET + // .. .. .. START: ENET1 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: ENET1 RESET + // .. .. FINISH: ENET RESET + // .. .. START: I2C RESET + // .. .. .. START: I2C0 RESET + // .. .. .. .. START: DIR MODE GPIO BANK0 + // .. .. .. .. FINISH: DIR MODE GPIO BANK0 + // .. .. .. .. START: DIR MODE GPIO BANK1 + // .. .. .. .. FINISH: DIR MODE GPIO BANK1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: I2C0 RESET + // .. .. .. START: I2C1 RESET + // .. .. .. .. START: DIR MODE GPIO BANK0 + // .. .. .. .. FINISH: DIR MODE GPIO BANK0 + // .. .. .. .. START: DIR MODE GPIO BANK1 + // .. .. .. .. FINISH: DIR MODE GPIO BANK1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: I2C1 RESET + // .. .. FINISH: I2C RESET + // .. .. START: NOR CHIP SELECT + // .. .. .. START: DIR MODE BANK 0 + // .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. FINISH: NOR CHIP SELECT + // .. FINISH: SMC TIMING CALCULATION REGISTER UPDATE + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_post_config_1_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: ENABLING LEVEL SHIFTER + // .. USER_INP_ICT_EN_0 = 3 + // .. ==> 0XF8000900[1:0] = 0x00000003U + // .. ==> MASK : 0x00000003U VAL : 0x00000003U + // .. USER_INP_ICT_EN_1 = 3 + // .. ==> 0XF8000900[3:2] = 0x00000003U + // .. ==> MASK : 0x0000000CU VAL : 0x0000000CU + // .. + EMIT_MASKWRITE(0XF8000900, 0x0000000FU ,0x0000000FU), + // .. FINISH: ENABLING LEVEL SHIFTER + // .. START: FPGA RESETS TO 0 + // .. reserved_3 = 0 + // .. ==> 0XF8000240[31:25] = 0x00000000U + // .. ==> MASK : 0xFE000000U VAL : 0x00000000U + // .. FPGA_ACP_RST = 0 + // .. ==> 0XF8000240[24:24] = 0x00000000U + // .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. FPGA_AXDS3_RST = 0 + // .. ==> 0XF8000240[23:23] = 0x00000000U + // .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. FPGA_AXDS2_RST = 0 + // .. ==> 0XF8000240[22:22] = 0x00000000U + // .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. FPGA_AXDS1_RST = 0 + // .. ==> 0XF8000240[21:21] = 0x00000000U + // .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. FPGA_AXDS0_RST = 0 + // .. ==> 0XF8000240[20:20] = 0x00000000U + // .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. reserved_2 = 0 + // .. ==> 0XF8000240[19:18] = 0x00000000U + // .. ==> MASK : 0x000C0000U VAL : 0x00000000U + // .. FSSW1_FPGA_RST = 0 + // .. ==> 0XF8000240[17:17] = 0x00000000U + // .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. FSSW0_FPGA_RST = 0 + // .. ==> 0XF8000240[16:16] = 0x00000000U + // .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. reserved_1 = 0 + // .. ==> 0XF8000240[15:14] = 0x00000000U + // .. ==> MASK : 0x0000C000U VAL : 0x00000000U + // .. FPGA_FMSW1_RST = 0 + // .. ==> 0XF8000240[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. FPGA_FMSW0_RST = 0 + // .. ==> 0XF8000240[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. FPGA_DMA3_RST = 0 + // .. ==> 0XF8000240[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. FPGA_DMA2_RST = 0 + // .. ==> 0XF8000240[10:10] = 0x00000000U + // .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. FPGA_DMA1_RST = 0 + // .. ==> 0XF8000240[9:9] = 0x00000000U + // .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. FPGA_DMA0_RST = 0 + // .. ==> 0XF8000240[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. reserved = 0 + // .. ==> 0XF8000240[7:4] = 0x00000000U + // .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. FPGA3_OUT_RST = 0 + // .. ==> 0XF8000240[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. FPGA2_OUT_RST = 0 + // .. ==> 0XF8000240[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. FPGA1_OUT_RST = 0 + // .. ==> 0XF8000240[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. FPGA0_OUT_RST = 0 + // .. ==> 0XF8000240[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000240, 0xFFFFFFFFU ,0x00000000U), + // .. FINISH: FPGA RESETS TO 0 + // .. START: AFI REGISTERS + // .. .. START: AFI0 REGISTERS + // .. .. FINISH: AFI0 REGISTERS + // .. .. START: AFI1 REGISTERS + // .. .. FINISH: AFI1 REGISTERS + // .. .. START: AFI2 REGISTERS + // .. .. FINISH: AFI2 REGISTERS + // .. .. START: AFI3 REGISTERS + // .. .. FINISH: AFI3 REGISTERS + // .. FINISH: AFI REGISTERS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_debug_1_0[] = { + // START: top + // .. START: CROSS TRIGGER CONFIGURATIONS + // .. .. START: UNLOCKING CTI REGISTERS + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8898FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8898FB0, 0xC5ACCE55U), + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8899FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8899FB0, 0xC5ACCE55U), + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8809FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8809FB0, 0xC5ACCE55U), + // .. .. FINISH: UNLOCKING CTI REGISTERS + // .. .. START: ENABLING CTI MODULES AND CHANNELS + // .. .. FINISH: ENABLING CTI MODULES AND CHANNELS + // .. .. START: MAPPING CPU0, CPU1 AND FTM EVENTS TO CTM CHANNELS + // .. .. FINISH: MAPPING CPU0, CPU1 AND FTM EVENTS TO CTM CHANNELS + // .. FINISH: CROSS TRIGGER CONFIGURATIONS + // FINISH: top + // + EMIT_EXIT(), + + // +}; + + +#include "xil_io.h" +#define PS7_MASK_POLL_TIME 100000000 + +char* +getPS7MessageInfo(unsigned key) { + + char* err_msg = ""; + switch (key) { + case PS7_INIT_SUCCESS: err_msg = "PS7 initialization successful"; break; + case PS7_INIT_CORRUPT: err_msg = "PS7 init Data Corrupted"; break; + case PS7_INIT_TIMEOUT: err_msg = "PS7 init mask poll timeout"; break; + case PS7_POLL_FAILED_DDR_INIT: err_msg = "Mask Poll failed for DDR Init"; break; + case PS7_POLL_FAILED_DMA: err_msg = "Mask Poll failed for PLL Init"; break; + case PS7_POLL_FAILED_PLL: err_msg = "Mask Poll failed for DMA done bit"; break; + default: err_msg = "Undefined error status"; break; + } + + return err_msg; +} + +unsigned long +ps7GetSiliconVersion () { + // Read PS version from MCTRL register [31:28] + unsigned long mask = 0xF0000000; + unsigned long *addr = (unsigned long*) 0XF8007080; + unsigned long ps_version = (*addr & mask) >> 28; + return ps_version; +} + +void mask_write (unsigned long add , unsigned long mask, unsigned long val ) { + volatile unsigned long *addr = (volatile unsigned long*) add; + *addr = ( val & mask ) | ( *addr & ~mask); + //xil_printf("MaskWrite : 0x%x--> 0x%x \n \r" ,add, *addr); +} + + +int mask_poll(unsigned long add , unsigned long mask ) { + volatile unsigned long *addr = (volatile unsigned long*) add; + int i = 0; + while (!(*addr & mask)) { + if (i == PS7_MASK_POLL_TIME) { + return -1; + } + i++; + } + return 1; + //xil_printf("MaskPoll : 0x%x --> 0x%x \n \r" , add, *addr); +} + +unsigned long mask_read(unsigned long add , unsigned long mask ) { + volatile unsigned long *addr = (volatile unsigned long*) add; + unsigned long val = (*addr & mask); + //xil_printf("MaskRead : 0x%x --> 0x%x \n \r" , add, val); + return val; +} + + + +int +ps7_config(unsigned long * ps7_config_init) +{ + unsigned long *ptr = ps7_config_init; + + unsigned long opcode; // current instruction .. + unsigned long args[16]; // no opcode has so many args ... + int numargs; // number of arguments of this instruction + int j; // general purpose index + + volatile unsigned long *addr; // some variable to make code readable + unsigned long val,mask; // some variable to make code readable + + int finish = -1 ; // loop while this is negative ! + int i = 0; // Timeout variable + + while( finish < 0 ) { + numargs = ptr[0] & 0xF; + opcode = ptr[0] >> 4; + + for( j = 0 ; j < numargs ; j ++ ) + args[j] = ptr[j+1]; + ptr += numargs + 1; + + + switch ( opcode ) { + + case OPCODE_EXIT: + finish = PS7_INIT_SUCCESS; + break; + + case OPCODE_CLEAR: + addr = (unsigned long*) args[0]; + *addr = 0; + break; + + case OPCODE_WRITE: + addr = (unsigned long*) args[0]; + val = args[1]; + *addr = val; + break; + + case OPCODE_MASKWRITE: + addr = (unsigned long*) args[0]; + mask = args[1]; + val = args[2]; + *addr = ( val & mask ) | ( *addr & ~mask); + break; + + case OPCODE_MASKPOLL: + addr = (unsigned long*) args[0]; + mask = args[1]; + i = 0; + while (!(*addr & mask)) { + if (i == PS7_MASK_POLL_TIME) { + finish = PS7_INIT_TIMEOUT; + break; + } + i++; + } + break; + case OPCODE_MASKDELAY: + addr = (unsigned long*) args[0]; + mask = args[1]; + int delay = get_number_of_cycles_for_delay(mask); + perf_reset_and_start_timer(); + while ((*addr < delay)) { + } + break; + default: + finish = PS7_INIT_CORRUPT; + break; + } + } + return finish; +} + +unsigned long *ps7_mio_init_data = ps7_mio_init_data_3_0; +unsigned long *ps7_pll_init_data = ps7_pll_init_data_3_0; +unsigned long *ps7_clock_init_data = ps7_clock_init_data_3_0; +unsigned long *ps7_ddr_init_data = ps7_ddr_init_data_3_0; +unsigned long *ps7_peripherals_init_data = ps7_peripherals_init_data_3_0; + +int +ps7_post_config() +{ + // Get the PS_VERSION on run time + unsigned long si_ver = ps7GetSiliconVersion (); + int ret = -1; + if (si_ver == PCW_SILICON_VERSION_1) { + ret = ps7_config (ps7_post_config_1_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } else if (si_ver == PCW_SILICON_VERSION_2) { + ret = ps7_config (ps7_post_config_2_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } else { + ret = ps7_config (ps7_post_config_3_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } + return PS7_INIT_SUCCESS; +} + +int +ps7_debug() +{ + // Get the PS_VERSION on run time + unsigned long si_ver = ps7GetSiliconVersion (); + int ret = -1; + if (si_ver == PCW_SILICON_VERSION_1) { + ret = ps7_config (ps7_debug_1_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } else if (si_ver == PCW_SILICON_VERSION_2) { + ret = ps7_config (ps7_debug_2_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } else { + ret = ps7_config (ps7_debug_3_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } + return PS7_INIT_SUCCESS; +} + + +int +ps7_init() +{ + // Get the PS_VERSION on run time + unsigned long si_ver = ps7GetSiliconVersion (); + int ret; + //int pcw_ver = 0; + + if (si_ver == PCW_SILICON_VERSION_1) { + ps7_mio_init_data = ps7_mio_init_data_1_0; + ps7_pll_init_data = ps7_pll_init_data_1_0; + ps7_clock_init_data = ps7_clock_init_data_1_0; + ps7_ddr_init_data = ps7_ddr_init_data_1_0; + ps7_peripherals_init_data = ps7_peripherals_init_data_1_0; + //pcw_ver = 1; + + } else if (si_ver == PCW_SILICON_VERSION_2) { + ps7_mio_init_data = ps7_mio_init_data_2_0; + ps7_pll_init_data = ps7_pll_init_data_2_0; + ps7_clock_init_data = ps7_clock_init_data_2_0; + ps7_ddr_init_data = ps7_ddr_init_data_2_0; + ps7_peripherals_init_data = ps7_peripherals_init_data_2_0; + //pcw_ver = 2; + + } else { + ps7_mio_init_data = ps7_mio_init_data_3_0; + ps7_pll_init_data = ps7_pll_init_data_3_0; + ps7_clock_init_data = ps7_clock_init_data_3_0; + ps7_ddr_init_data = ps7_ddr_init_data_3_0; + ps7_peripherals_init_data = ps7_peripherals_init_data_3_0; + //pcw_ver = 3; + } + + // MIO init + ret = ps7_config (ps7_mio_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + + // PLL init + ret = ps7_config (ps7_pll_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + + // Clock init + ret = ps7_config (ps7_clock_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + + // DDR init + ret = ps7_config (ps7_ddr_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + + + + // Peripherals init + ret = ps7_config (ps7_peripherals_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + //xil_printf ("\n PCW Silicon Version : %d.0", pcw_ver); + return PS7_INIT_SUCCESS; +} + + + + +/* For delay calculation using global timer */ + +/* start timer */ + void perf_start_clock(void) +{ + *(volatile unsigned int*)SCU_GLOBAL_TIMER_CONTROL = ((1 << 0) | // Timer Enable + (1 << 3) | // Auto-increment + (0 << 8) // Pre-scale + ); +} + +/* stop timer and reset timer count regs */ + void perf_reset_clock(void) +{ + perf_disable_clock(); + *(volatile unsigned int*)SCU_GLOBAL_TIMER_COUNT_L32 = 0; + *(volatile unsigned int*)SCU_GLOBAL_TIMER_COUNT_U32 = 0; +} + +/* Compute mask for given delay in miliseconds*/ +int get_number_of_cycles_for_delay(unsigned int delay) +{ + // GTC is always clocked at 1/2 of the CPU frequency (CPU_3x2x) + return (APU_FREQ*delay/(2*1000)); + +} + +/* stop timer */ + void perf_disable_clock(void) +{ + *(volatile unsigned int*)SCU_GLOBAL_TIMER_CONTROL = 0; +} + +void perf_reset_and_start_timer() +{ + perf_reset_clock(); + perf_start_clock(); +} + + + + diff --git a/fpga/usrp3/top/e320/ip/e320_ps_bd/ps7_init_gpl.c b/fpga/usrp3/top/e320/ip/e320_ps_bd/ps7_init_gpl.c new file mode 100644 index 000000000..dd9994e98 --- /dev/null +++ b/fpga/usrp3/top/e320/ip/e320_ps_bd/ps7_init_gpl.c @@ -0,0 +1,13326 @@ +/****************************************************************************** +* (c) Copyright 2010-2014 Xilinx, Inc. All rights reserved. +* +* This program is free software; you can redistribute it and/or modify +* it under the terms of the GNU General Public License as published by +* the Free Software Foundation; either version 2 of the License, or +* (at your option) any later version. +* +* This program is distributed in the hope that it will be useful, +* but WITHOUT ANY WARRANTY; without even the implied warranty of +* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +* GNU General Public License for more details. +* +* You should have received a copy of the GNU General Public License along +* with this program; if not, see +* +* +******************************************************************************/ +/****************************************************************************/ +/** +* +* @file ps7_init_gpl.c +* +* This file is automatically generated +* +*****************************************************************************/ + +#include "ps7_init_gpl.h" + +unsigned long ps7_pll_init_data_3_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: PLL SLCR REGISTERS + // .. .. START: ARM PLL INIT + // .. .. PLL_RES = 0x4 + // .. .. ==> 0XF8000110[7:4] = 0x00000004U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000040U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000110[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0xfa + // .. .. ==> 0XF8000110[21:12] = 0x000000FAU + // .. .. ==> MASK : 0x003FF000U VAL : 0x000FA000U + // .. .. + EMIT_MASKWRITE(0XF8000110, 0x003FFFF0U ,0x000FA240U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x3c + // .. .. .. ==> 0XF8000100[18:12] = 0x0000003CU + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x0003C000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x0007F000U ,0x0003C000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000100[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000100[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000100[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. ARM_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000001U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000100[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. .. SRCSEL = 0x0 + // .. .. .. ==> 0XF8000120[5:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. .. DIVISOR = 0x2 + // .. .. .. ==> 0XF8000120[13:8] = 0x00000002U + // .. .. .. ==> MASK : 0x00003F00U VAL : 0x00000200U + // .. .. .. CPU_6OR4XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[24:24] = 0x00000001U + // .. .. .. ==> MASK : 0x01000000U VAL : 0x01000000U + // .. .. .. CPU_3OR2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[25:25] = 0x00000001U + // .. .. .. ==> MASK : 0x02000000U VAL : 0x02000000U + // .. .. .. CPU_2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[26:26] = 0x00000001U + // .. .. .. ==> MASK : 0x04000000U VAL : 0x04000000U + // .. .. .. CPU_1XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[27:27] = 0x00000001U + // .. .. .. ==> MASK : 0x08000000U VAL : 0x08000000U + // .. .. .. CPU_PERI_CLKACT = 0x1 + // .. .. .. ==> 0XF8000120[28:28] = 0x00000001U + // .. .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000120, 0x1F003F30U ,0x1F000200U), + // .. .. FINISH: ARM PLL INIT + // .. .. START: DDR PLL INIT + // .. .. PLL_RES = 0x2 + // .. .. ==> 0XF8000114[7:4] = 0x00000002U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000020U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000114[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0x12c + // .. .. ==> 0XF8000114[21:12] = 0x0000012CU + // .. .. ==> MASK : 0x003FF000U VAL : 0x0012C000U + // .. .. + EMIT_MASKWRITE(0XF8000114, 0x003FFFF0U ,0x0012C220U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x20 + // .. .. .. ==> 0XF8000104[18:12] = 0x00000020U + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x00020000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x0007F000U ,0x00020000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000104[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000104[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000104[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. DDR_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[1:1] = 0x00000001U + // .. .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000002U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000104[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. .. DDR_3XCLKACT = 0x1 + // .. .. .. ==> 0XF8000124[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. DDR_2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000124[1:1] = 0x00000001U + // .. .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. .. DDR_3XCLK_DIVISOR = 0x2 + // .. .. .. ==> 0XF8000124[25:20] = 0x00000002U + // .. .. .. ==> MASK : 0x03F00000U VAL : 0x00200000U + // .. .. .. DDR_2XCLK_DIVISOR = 0x3 + // .. .. .. ==> 0XF8000124[31:26] = 0x00000003U + // .. .. .. ==> MASK : 0xFC000000U VAL : 0x0C000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000124, 0xFFF00003U ,0x0C200003U), + // .. .. FINISH: DDR PLL INIT + // .. .. START: IO PLL INIT + // .. .. PLL_RES = 0x4 + // .. .. ==> 0XF8000118[7:4] = 0x00000004U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000040U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000118[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0xfa + // .. .. ==> 0XF8000118[21:12] = 0x000000FAU + // .. .. ==> MASK : 0x003FF000U VAL : 0x000FA000U + // .. .. + EMIT_MASKWRITE(0XF8000118, 0x003FFFF0U ,0x000FA240U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x3c + // .. .. .. ==> 0XF8000108[18:12] = 0x0000003CU + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x0003C000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x0007F000U ,0x0003C000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000108[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000108[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000108[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. IO_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[2:2] = 0x00000001U + // .. .. .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000004U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000108[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. FINISH: IO PLL INIT + // .. FINISH: PLL SLCR REGISTERS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_clock_init_data_3_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: CLOCK CONTROL SLCR REGISTERS + // .. CLKACT = 0x1 + // .. ==> 0XF8000128[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. DIVISOR0 = 0xf + // .. ==> 0XF8000128[13:8] = 0x0000000FU + // .. ==> MASK : 0x00003F00U VAL : 0x00000F00U + // .. DIVISOR1 = 0x7 + // .. ==> 0XF8000128[25:20] = 0x00000007U + // .. ==> MASK : 0x03F00000U VAL : 0x00700000U + // .. + EMIT_MASKWRITE(0XF8000128, 0x03F03F01U ,0x00700F01U), + // .. CLKACT = 0x1 + // .. ==> 0XF8000138[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000138[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000138, 0x00000011U ,0x00000001U), + // .. CLKACT = 0x1 + // .. ==> 0XF8000140[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000140[6:4] = 0x00000000U + // .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. DIVISOR = 0x10 + // .. ==> 0XF8000140[13:8] = 0x00000010U + // .. ==> MASK : 0x00003F00U VAL : 0x00001000U + // .. DIVISOR1 = 0x1 + // .. ==> 0XF8000140[25:20] = 0x00000001U + // .. ==> MASK : 0x03F00000U VAL : 0x00100000U + // .. + EMIT_MASKWRITE(0XF8000140, 0x03F03F71U ,0x00101001U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000150[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x0 + // .. ==> 0XF8000150[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000150[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0x14 + // .. ==> 0XF8000150[13:8] = 0x00000014U + // .. ==> MASK : 0x00003F00U VAL : 0x00001400U + // .. + EMIT_MASKWRITE(0XF8000150, 0x00003F33U ,0x00001401U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000154[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x1 + // .. ==> 0XF8000154[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000154[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0x14 + // .. ==> 0XF8000154[13:8] = 0x00000014U + // .. ==> MASK : 0x00003F00U VAL : 0x00001400U + // .. + EMIT_MASKWRITE(0XF8000154, 0x00003F33U ,0x00001403U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000158[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x1 + // .. ==> 0XF8000158[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000158[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0xc + // .. ==> 0XF8000158[13:8] = 0x0000000CU + // .. ==> MASK : 0x00003F00U VAL : 0x00000C00U + // .. + EMIT_MASKWRITE(0XF8000158, 0x00003F33U ,0x00000C03U), + // .. .. START: TRACE CLOCK + // .. .. FINISH: TRACE CLOCK + // .. .. CLKACT = 0x1 + // .. .. ==> 0XF8000168[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000168[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR = 0xa + // .. .. ==> 0XF8000168[13:8] = 0x0000000AU + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000A00U + // .. .. + EMIT_MASKWRITE(0XF8000168, 0x00003F31U ,0x00000A01U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000170[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x5 + // .. .. ==> 0XF8000170[13:8] = 0x00000005U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000500U + // .. .. DIVISOR1 = 0x4 + // .. .. ==> 0XF8000170[25:20] = 0x00000004U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00400000U + // .. .. + EMIT_MASKWRITE(0XF8000170, 0x03F03F30U ,0x00400500U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000180[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0xa + // .. .. ==> 0XF8000180[13:8] = 0x0000000AU + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000A00U + // .. .. DIVISOR1 = 0x5 + // .. .. ==> 0XF8000180[25:20] = 0x00000005U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00500000U + // .. .. + EMIT_MASKWRITE(0XF8000180, 0x03F03F30U ,0x00500A00U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000190[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x4 + // .. .. ==> 0XF8000190[13:8] = 0x00000004U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000400U + // .. .. DIVISOR1 = 0x3 + // .. .. ==> 0XF8000190[25:20] = 0x00000003U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00300000U + // .. .. + EMIT_MASKWRITE(0XF8000190, 0x03F03F30U ,0x00300400U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF80001A0[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x5 + // .. .. ==> 0XF80001A0[13:8] = 0x00000005U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000500U + // .. .. DIVISOR1 = 0x2 + // .. .. ==> 0XF80001A0[25:20] = 0x00000002U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00200000U + // .. .. + EMIT_MASKWRITE(0XF80001A0, 0x03F03F30U ,0x00200500U), + // .. .. CLK_621_TRUE = 0x1 + // .. .. ==> 0XF80001C4[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. + EMIT_MASKWRITE(0XF80001C4, 0x00000001U ,0x00000001U), + // .. .. DMA_CPU_2XCLKACT = 0x1 + // .. .. ==> 0XF800012C[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. USB0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[2:2] = 0x00000001U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. .. USB1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[3:3] = 0x00000001U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000008U + // .. .. GEM0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[6:6] = 0x00000001U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000040U + // .. .. GEM1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. SDI0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[10:10] = 0x00000001U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000400U + // .. .. SDI1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. SPI0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[14:14] = 0x00000001U + // .. .. ==> MASK : 0x00004000U VAL : 0x00004000U + // .. .. SPI1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[15:15] = 0x00000001U + // .. .. ==> MASK : 0x00008000U VAL : 0x00008000U + // .. .. CAN0_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. CAN1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. I2C0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[18:18] = 0x00000001U + // .. .. ==> MASK : 0x00040000U VAL : 0x00040000U + // .. .. I2C1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. UART0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[20:20] = 0x00000001U + // .. .. ==> MASK : 0x00100000U VAL : 0x00100000U + // .. .. UART1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[21:21] = 0x00000001U + // .. .. ==> MASK : 0x00200000U VAL : 0x00200000U + // .. .. GPIO_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[22:22] = 0x00000001U + // .. .. ==> MASK : 0x00400000U VAL : 0x00400000U + // .. .. LQSPI_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. SMC_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[24:24] = 0x00000001U + // .. .. ==> MASK : 0x01000000U VAL : 0x01000000U + // .. .. + EMIT_MASKWRITE(0XF800012C, 0x01FFCCCDU ,0x017CC44DU), + // .. FINISH: CLOCK CONTROL SLCR REGISTERS + // .. START: THIS SHOULD BE BLANK + // .. FINISH: THIS SHOULD BE BLANK + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_ddr_init_data_3_0[] = { + // START: top + // .. START: DDR INITIALIZATION + // .. .. START: LOCK DDR + // .. .. reg_ddrc_soft_rstb = 0 + // .. .. ==> 0XF8006000[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_powerdown_en = 0x0 + // .. .. ==> 0XF8006000[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_data_bus_width = 0x0 + // .. .. ==> 0XF8006000[3:2] = 0x00000000U + // .. .. ==> MASK : 0x0000000CU VAL : 0x00000000U + // .. .. reg_ddrc_burst8_refresh = 0x0 + // .. .. ==> 0XF8006000[6:4] = 0x00000000U + // .. .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. .. reg_ddrc_rdwr_idle_gap = 0x1 + // .. .. ==> 0XF8006000[13:7] = 0x00000001U + // .. .. ==> MASK : 0x00003F80U VAL : 0x00000080U + // .. .. reg_ddrc_dis_rd_bypass = 0x0 + // .. .. ==> 0XF8006000[14:14] = 0x00000000U + // .. .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_act_bypass = 0x0 + // .. .. ==> 0XF8006000[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_auto_refresh = 0x0 + // .. .. ==> 0XF8006000[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000080U), + // .. .. FINISH: LOCK DDR + // .. .. reg_ddrc_t_rfc_nom_x32 = 0x81 + // .. .. ==> 0XF8006004[11:0] = 0x00000081U + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000081U + // .. .. reserved_reg_ddrc_active_ranks = 0x1 + // .. .. ==> 0XF8006004[13:12] = 0x00000001U + // .. .. ==> MASK : 0x00003000U VAL : 0x00001000U + // .. .. reg_ddrc_addrmap_cs_bit0 = 0x0 + // .. .. ==> 0XF8006004[18:14] = 0x00000000U + // .. .. ==> MASK : 0x0007C000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006004, 0x0007FFFFU ,0x00001081U), + // .. .. reg_ddrc_hpr_min_non_critical_x32 = 0xf + // .. .. ==> 0XF8006008[10:0] = 0x0000000FU + // .. .. ==> MASK : 0x000007FFU VAL : 0x0000000FU + // .. .. reg_ddrc_hpr_max_starve_x32 = 0xf + // .. .. ==> 0XF8006008[21:11] = 0x0000000FU + // .. .. ==> MASK : 0x003FF800U VAL : 0x00007800U + // .. .. reg_ddrc_hpr_xact_run_length = 0xf + // .. .. ==> 0XF8006008[25:22] = 0x0000000FU + // .. .. ==> MASK : 0x03C00000U VAL : 0x03C00000U + // .. .. + EMIT_MASKWRITE(0XF8006008, 0x03FFFFFFU ,0x03C0780FU), + // .. .. reg_ddrc_lpr_min_non_critical_x32 = 0x1 + // .. .. ==> 0XF800600C[10:0] = 0x00000001U + // .. .. ==> MASK : 0x000007FFU VAL : 0x00000001U + // .. .. reg_ddrc_lpr_max_starve_x32 = 0x2 + // .. .. ==> 0XF800600C[21:11] = 0x00000002U + // .. .. ==> MASK : 0x003FF800U VAL : 0x00001000U + // .. .. reg_ddrc_lpr_xact_run_length = 0x8 + // .. .. ==> 0XF800600C[25:22] = 0x00000008U + // .. .. ==> MASK : 0x03C00000U VAL : 0x02000000U + // .. .. + EMIT_MASKWRITE(0XF800600C, 0x03FFFFFFU ,0x02001001U), + // .. .. reg_ddrc_w_min_non_critical_x32 = 0x1 + // .. .. ==> 0XF8006010[10:0] = 0x00000001U + // .. .. ==> MASK : 0x000007FFU VAL : 0x00000001U + // .. .. reg_ddrc_w_xact_run_length = 0x8 + // .. .. ==> 0XF8006010[14:11] = 0x00000008U + // .. .. ==> MASK : 0x00007800U VAL : 0x00004000U + // .. .. reg_ddrc_w_max_starve_x32 = 0x2 + // .. .. ==> 0XF8006010[25:15] = 0x00000002U + // .. .. ==> MASK : 0x03FF8000U VAL : 0x00010000U + // .. .. + EMIT_MASKWRITE(0XF8006010, 0x03FFFFFFU ,0x00014001U), + // .. .. reg_ddrc_t_rc = 0x1a + // .. .. ==> 0XF8006014[5:0] = 0x0000001AU + // .. .. ==> MASK : 0x0000003FU VAL : 0x0000001AU + // .. .. reg_ddrc_t_rfc_min = 0xa0 + // .. .. ==> 0XF8006014[13:6] = 0x000000A0U + // .. .. ==> MASK : 0x00003FC0U VAL : 0x00002800U + // .. .. reg_ddrc_post_selfref_gap_x32 = 0x10 + // .. .. ==> 0XF8006014[20:14] = 0x00000010U + // .. .. ==> MASK : 0x001FC000U VAL : 0x00040000U + // .. .. + EMIT_MASKWRITE(0XF8006014, 0x001FFFFFU ,0x0004281AU), + // .. .. reg_ddrc_wr2pre = 0x12 + // .. .. ==> 0XF8006018[4:0] = 0x00000012U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000012U + // .. .. reg_ddrc_powerdown_to_x32 = 0x6 + // .. .. ==> 0XF8006018[9:5] = 0x00000006U + // .. .. ==> MASK : 0x000003E0U VAL : 0x000000C0U + // .. .. reg_ddrc_t_faw = 0x16 + // .. .. ==> 0XF8006018[15:10] = 0x00000016U + // .. .. ==> MASK : 0x0000FC00U VAL : 0x00005800U + // .. .. reg_ddrc_t_ras_max = 0x24 + // .. .. ==> 0XF8006018[21:16] = 0x00000024U + // .. .. ==> MASK : 0x003F0000U VAL : 0x00240000U + // .. .. reg_ddrc_t_ras_min = 0x13 + // .. .. ==> 0XF8006018[26:22] = 0x00000013U + // .. .. ==> MASK : 0x07C00000U VAL : 0x04C00000U + // .. .. reg_ddrc_t_cke = 0x4 + // .. .. ==> 0XF8006018[31:28] = 0x00000004U + // .. .. ==> MASK : 0xF0000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006018, 0xF7FFFFFFU ,0x44E458D2U), + // .. .. reg_ddrc_write_latency = 0x5 + // .. .. ==> 0XF800601C[4:0] = 0x00000005U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000005U + // .. .. reg_ddrc_rd2wr = 0x7 + // .. .. ==> 0XF800601C[9:5] = 0x00000007U + // .. .. ==> MASK : 0x000003E0U VAL : 0x000000E0U + // .. .. reg_ddrc_wr2rd = 0xe + // .. .. ==> 0XF800601C[14:10] = 0x0000000EU + // .. .. ==> MASK : 0x00007C00U VAL : 0x00003800U + // .. .. reg_ddrc_t_xp = 0x4 + // .. .. ==> 0XF800601C[19:15] = 0x00000004U + // .. .. ==> MASK : 0x000F8000U VAL : 0x00020000U + // .. .. reg_ddrc_pad_pd = 0x0 + // .. .. ==> 0XF800601C[22:20] = 0x00000000U + // .. .. ==> MASK : 0x00700000U VAL : 0x00000000U + // .. .. reg_ddrc_rd2pre = 0x4 + // .. .. ==> 0XF800601C[27:23] = 0x00000004U + // .. .. ==> MASK : 0x0F800000U VAL : 0x02000000U + // .. .. reg_ddrc_t_rcd = 0x7 + // .. .. ==> 0XF800601C[31:28] = 0x00000007U + // .. .. ==> MASK : 0xF0000000U VAL : 0x70000000U + // .. .. + EMIT_MASKWRITE(0XF800601C, 0xFFFFFFFFU ,0x720238E5U), + // .. .. reg_ddrc_t_ccd = 0x4 + // .. .. ==> 0XF8006020[4:2] = 0x00000004U + // .. .. ==> MASK : 0x0000001CU VAL : 0x00000010U + // .. .. reg_ddrc_t_rrd = 0x6 + // .. .. ==> 0XF8006020[7:5] = 0x00000006U + // .. .. ==> MASK : 0x000000E0U VAL : 0x000000C0U + // .. .. reg_ddrc_refresh_margin = 0x2 + // .. .. ==> 0XF8006020[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. reg_ddrc_t_rp = 0x7 + // .. .. ==> 0XF8006020[15:12] = 0x00000007U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00007000U + // .. .. reg_ddrc_refresh_to_x32 = 0x8 + // .. .. ==> 0XF8006020[20:16] = 0x00000008U + // .. .. ==> MASK : 0x001F0000U VAL : 0x00080000U + // .. .. reg_ddrc_mobile = 0x0 + // .. .. ==> 0XF8006020[22:22] = 0x00000000U + // .. .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. .. reg_ddrc_en_dfi_dram_clk_disable = 0x0 + // .. .. ==> 0XF8006020[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. reg_ddrc_read_latency = 0x7 + // .. .. ==> 0XF8006020[28:24] = 0x00000007U + // .. .. ==> MASK : 0x1F000000U VAL : 0x07000000U + // .. .. reg_phy_mode_ddr1_ddr2 = 0x1 + // .. .. ==> 0XF8006020[29:29] = 0x00000001U + // .. .. ==> MASK : 0x20000000U VAL : 0x20000000U + // .. .. reg_ddrc_dis_pad_pd = 0x0 + // .. .. ==> 0XF8006020[30:30] = 0x00000000U + // .. .. ==> MASK : 0x40000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006020, 0x7FDFFFFCU ,0x270872D0U), + // .. .. reg_ddrc_en_2t_timing_mode = 0x0 + // .. .. ==> 0XF8006024[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_prefer_write = 0x0 + // .. .. ==> 0XF8006024[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_mr_wr = 0x0 + // .. .. ==> 0XF8006024[6:6] = 0x00000000U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. .. reg_ddrc_mr_addr = 0x0 + // .. .. ==> 0XF8006024[8:7] = 0x00000000U + // .. .. ==> MASK : 0x00000180U VAL : 0x00000000U + // .. .. reg_ddrc_mr_data = 0x0 + // .. .. ==> 0XF8006024[24:9] = 0x00000000U + // .. .. ==> MASK : 0x01FFFE00U VAL : 0x00000000U + // .. .. ddrc_reg_mr_wr_busy = 0x0 + // .. .. ==> 0XF8006024[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. reg_ddrc_mr_type = 0x0 + // .. .. ==> 0XF8006024[26:26] = 0x00000000U + // .. .. ==> MASK : 0x04000000U VAL : 0x00000000U + // .. .. reg_ddrc_mr_rdata_valid = 0x0 + // .. .. ==> 0XF8006024[27:27] = 0x00000000U + // .. .. ==> MASK : 0x08000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006024, 0x0FFFFFC3U ,0x00000000U), + // .. .. reg_ddrc_final_wait_x32 = 0x7 + // .. .. ==> 0XF8006028[6:0] = 0x00000007U + // .. .. ==> MASK : 0x0000007FU VAL : 0x00000007U + // .. .. reg_ddrc_pre_ocd_x32 = 0x0 + // .. .. ==> 0XF8006028[10:7] = 0x00000000U + // .. .. ==> MASK : 0x00000780U VAL : 0x00000000U + // .. .. reg_ddrc_t_mrd = 0x4 + // .. .. ==> 0XF8006028[13:11] = 0x00000004U + // .. .. ==> MASK : 0x00003800U VAL : 0x00002000U + // .. .. + EMIT_MASKWRITE(0XF8006028, 0x00003FFFU ,0x00002007U), + // .. .. reg_ddrc_emr2 = 0x8 + // .. .. ==> 0XF800602C[15:0] = 0x00000008U + // .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. reg_ddrc_emr3 = 0x0 + // .. .. ==> 0XF800602C[31:16] = 0x00000000U + // .. .. ==> MASK : 0xFFFF0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800602C, 0xFFFFFFFFU ,0x00000008U), + // .. .. reg_ddrc_mr = 0x930 + // .. .. ==> 0XF8006030[15:0] = 0x00000930U + // .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000930U + // .. .. reg_ddrc_emr = 0x4 + // .. .. ==> 0XF8006030[31:16] = 0x00000004U + // .. .. ==> MASK : 0xFFFF0000U VAL : 0x00040000U + // .. .. + EMIT_MASKWRITE(0XF8006030, 0xFFFFFFFFU ,0x00040930U), + // .. .. reg_ddrc_burst_rdwr = 0x4 + // .. .. ==> 0XF8006034[3:0] = 0x00000004U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000004U + // .. .. reg_ddrc_pre_cke_x1024 = 0x16d + // .. .. ==> 0XF8006034[13:4] = 0x0000016DU + // .. .. ==> MASK : 0x00003FF0U VAL : 0x000016D0U + // .. .. reg_ddrc_post_cke_x1024 = 0x1 + // .. .. ==> 0XF8006034[25:16] = 0x00000001U + // .. .. ==> MASK : 0x03FF0000U VAL : 0x00010000U + // .. .. reg_ddrc_burstchop = 0x0 + // .. .. ==> 0XF8006034[28:28] = 0x00000000U + // .. .. ==> MASK : 0x10000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006034, 0x13FF3FFFU ,0x000116D4U), + // .. .. reg_ddrc_force_low_pri_n = 0x0 + // .. .. ==> 0XF8006038[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_dis_dq = 0x0 + // .. .. ==> 0XF8006038[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006038, 0x00000003U ,0x00000000U), + // .. .. reg_ddrc_addrmap_bank_b0 = 0x7 + // .. .. ==> 0XF800603C[3:0] = 0x00000007U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000007U + // .. .. reg_ddrc_addrmap_bank_b1 = 0x7 + // .. .. ==> 0XF800603C[7:4] = 0x00000007U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000070U + // .. .. reg_ddrc_addrmap_bank_b2 = 0x7 + // .. .. ==> 0XF800603C[11:8] = 0x00000007U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000700U + // .. .. reg_ddrc_addrmap_col_b5 = 0x0 + // .. .. ==> 0XF800603C[15:12] = 0x00000000U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b6 = 0x0 + // .. .. ==> 0XF800603C[19:16] = 0x00000000U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800603C, 0x000FFFFFU ,0x00000777U), + // .. .. reg_ddrc_addrmap_col_b2 = 0x0 + // .. .. ==> 0XF8006040[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b3 = 0x0 + // .. .. ==> 0XF8006040[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b4 = 0x0 + // .. .. ==> 0XF8006040[11:8] = 0x00000000U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b7 = 0x0 + // .. .. ==> 0XF8006040[15:12] = 0x00000000U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b8 = 0x0 + // .. .. ==> 0XF8006040[19:16] = 0x00000000U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b9 = 0xf + // .. .. ==> 0XF8006040[23:20] = 0x0000000FU + // .. .. ==> MASK : 0x00F00000U VAL : 0x00F00000U + // .. .. reg_ddrc_addrmap_col_b10 = 0xf + // .. .. ==> 0XF8006040[27:24] = 0x0000000FU + // .. .. ==> MASK : 0x0F000000U VAL : 0x0F000000U + // .. .. reg_ddrc_addrmap_col_b11 = 0xf + // .. .. ==> 0XF8006040[31:28] = 0x0000000FU + // .. .. ==> MASK : 0xF0000000U VAL : 0xF0000000U + // .. .. + EMIT_MASKWRITE(0XF8006040, 0xFFFFFFFFU ,0xFFF00000U), + // .. .. reg_ddrc_addrmap_row_b0 = 0x6 + // .. .. ==> 0XF8006044[3:0] = 0x00000006U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000006U + // .. .. reg_ddrc_addrmap_row_b1 = 0x6 + // .. .. ==> 0XF8006044[7:4] = 0x00000006U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000060U + // .. .. reg_ddrc_addrmap_row_b2_11 = 0x6 + // .. .. ==> 0XF8006044[11:8] = 0x00000006U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000600U + // .. .. reg_ddrc_addrmap_row_b12 = 0x6 + // .. .. ==> 0XF8006044[15:12] = 0x00000006U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00006000U + // .. .. reg_ddrc_addrmap_row_b13 = 0x6 + // .. .. ==> 0XF8006044[19:16] = 0x00000006U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00060000U + // .. .. reg_ddrc_addrmap_row_b14 = 0x6 + // .. .. ==> 0XF8006044[23:20] = 0x00000006U + // .. .. ==> MASK : 0x00F00000U VAL : 0x00600000U + // .. .. reg_ddrc_addrmap_row_b15 = 0xf + // .. .. ==> 0XF8006044[27:24] = 0x0000000FU + // .. .. ==> MASK : 0x0F000000U VAL : 0x0F000000U + // .. .. + EMIT_MASKWRITE(0XF8006044, 0x0FFFFFFFU ,0x0F666666U), + // .. .. reg_phy_rd_local_odt = 0x0 + // .. .. ==> 0XF8006048[13:12] = 0x00000000U + // .. .. ==> MASK : 0x00003000U VAL : 0x00000000U + // .. .. reg_phy_wr_local_odt = 0x3 + // .. .. ==> 0XF8006048[15:14] = 0x00000003U + // .. .. ==> MASK : 0x0000C000U VAL : 0x0000C000U + // .. .. reg_phy_idle_local_odt = 0x3 + // .. .. ==> 0XF8006048[17:16] = 0x00000003U + // .. .. ==> MASK : 0x00030000U VAL : 0x00030000U + // .. .. reserved_reg_ddrc_rank0_wr_odt = 0x1 + // .. .. ==> 0XF8006048[5:3] = 0x00000001U + // .. .. ==> MASK : 0x00000038U VAL : 0x00000008U + // .. .. reserved_reg_ddrc_rank0_rd_odt = 0x0 + // .. .. ==> 0XF8006048[2:0] = 0x00000000U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006048, 0x0003F03FU ,0x0003C008U), + // .. .. reg_phy_rd_cmd_to_data = 0x0 + // .. .. ==> 0XF8006050[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_phy_wr_cmd_to_data = 0x0 + // .. .. ==> 0XF8006050[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_phy_rdc_we_to_re_delay = 0x8 + // .. .. ==> 0XF8006050[11:8] = 0x00000008U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000800U + // .. .. reg_phy_rdc_fifo_rst_disable = 0x0 + // .. .. ==> 0XF8006050[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_phy_use_fixed_re = 0x1 + // .. .. ==> 0XF8006050[16:16] = 0x00000001U + // .. .. ==> MASK : 0x00010000U VAL : 0x00010000U + // .. .. reg_phy_rdc_fifo_rst_err_cnt_clr = 0x0 + // .. .. ==> 0XF8006050[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_phy_dis_phy_ctrl_rstn = 0x0 + // .. .. ==> 0XF8006050[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_phy_clk_stall_level = 0x0 + // .. .. ==> 0XF8006050[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_num_of_dq0 = 0x7 + // .. .. ==> 0XF8006050[27:24] = 0x00000007U + // .. .. ==> MASK : 0x0F000000U VAL : 0x07000000U + // .. .. reg_phy_wrlvl_num_of_dq0 = 0x7 + // .. .. ==> 0XF8006050[31:28] = 0x00000007U + // .. .. ==> MASK : 0xF0000000U VAL : 0x70000000U + // .. .. + EMIT_MASKWRITE(0XF8006050, 0xFF0F8FFFU ,0x77010800U), + // .. .. reg_ddrc_dis_dll_calib = 0x0 + // .. .. ==> 0XF8006058[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006058, 0x00010000U ,0x00000000U), + // .. .. reg_ddrc_rd_odt_delay = 0x3 + // .. .. ==> 0XF800605C[3:0] = 0x00000003U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000003U + // .. .. reg_ddrc_wr_odt_delay = 0x0 + // .. .. ==> 0XF800605C[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_ddrc_rd_odt_hold = 0x0 + // .. .. ==> 0XF800605C[11:8] = 0x00000000U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000000U + // .. .. reg_ddrc_wr_odt_hold = 0x5 + // .. .. ==> 0XF800605C[15:12] = 0x00000005U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00005000U + // .. .. + EMIT_MASKWRITE(0XF800605C, 0x0000FFFFU ,0x00005003U), + // .. .. reg_ddrc_pageclose = 0x0 + // .. .. ==> 0XF8006060[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_lpr_num_entries = 0x1f + // .. .. ==> 0XF8006060[6:1] = 0x0000001FU + // .. .. ==> MASK : 0x0000007EU VAL : 0x0000003EU + // .. .. reg_ddrc_auto_pre_en = 0x0 + // .. .. ==> 0XF8006060[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. reg_ddrc_refresh_update_level = 0x0 + // .. .. ==> 0XF8006060[8:8] = 0x00000000U + // .. .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. .. reg_ddrc_dis_wc = 0x0 + // .. .. ==> 0XF8006060[9:9] = 0x00000000U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. .. reg_ddrc_dis_collision_page_opt = 0x0 + // .. .. ==> 0XF8006060[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_ddrc_selfref_en = 0x0 + // .. .. ==> 0XF8006060[12:12] = 0x00000000U + // .. .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006060, 0x000017FFU ,0x0000003EU), + // .. .. reg_ddrc_go2critical_hysteresis = 0x0 + // .. .. ==> 0XF8006064[12:5] = 0x00000000U + // .. .. ==> MASK : 0x00001FE0U VAL : 0x00000000U + // .. .. reg_arb_go2critical_en = 0x1 + // .. .. ==> 0XF8006064[17:17] = 0x00000001U + // .. .. ==> MASK : 0x00020000U VAL : 0x00020000U + // .. .. + EMIT_MASKWRITE(0XF8006064, 0x00021FE0U ,0x00020000U), + // .. .. reg_ddrc_wrlvl_ww = 0x41 + // .. .. ==> 0XF8006068[7:0] = 0x00000041U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000041U + // .. .. reg_ddrc_rdlvl_rr = 0x41 + // .. .. ==> 0XF8006068[15:8] = 0x00000041U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00004100U + // .. .. reg_ddrc_dfi_t_wlmrd = 0x28 + // .. .. ==> 0XF8006068[25:16] = 0x00000028U + // .. .. ==> MASK : 0x03FF0000U VAL : 0x00280000U + // .. .. + EMIT_MASKWRITE(0XF8006068, 0x03FFFFFFU ,0x00284141U), + // .. .. dfi_t_ctrlupd_interval_min_x1024 = 0x10 + // .. .. ==> 0XF800606C[7:0] = 0x00000010U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000010U + // .. .. dfi_t_ctrlupd_interval_max_x1024 = 0x16 + // .. .. ==> 0XF800606C[15:8] = 0x00000016U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00001600U + // .. .. + EMIT_MASKWRITE(0XF800606C, 0x0000FFFFU ,0x00001610U), + // .. .. reg_ddrc_dfi_t_ctrl_delay = 0x1 + // .. .. ==> 0XF8006078[3:0] = 0x00000001U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000001U + // .. .. reg_ddrc_dfi_t_dram_clk_disable = 0x1 + // .. .. ==> 0XF8006078[7:4] = 0x00000001U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000010U + // .. .. reg_ddrc_dfi_t_dram_clk_enable = 0x1 + // .. .. ==> 0XF8006078[11:8] = 0x00000001U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000100U + // .. .. reg_ddrc_t_cksre = 0x6 + // .. .. ==> 0XF8006078[15:12] = 0x00000006U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00006000U + // .. .. reg_ddrc_t_cksrx = 0x6 + // .. .. ==> 0XF8006078[19:16] = 0x00000006U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00060000U + // .. .. reg_ddrc_t_ckesr = 0x4 + // .. .. ==> 0XF8006078[25:20] = 0x00000004U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00400000U + // .. .. + EMIT_MASKWRITE(0XF8006078, 0x03FFFFFFU ,0x00466111U), + // .. .. reg_ddrc_t_ckpde = 0x2 + // .. .. ==> 0XF800607C[3:0] = 0x00000002U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000002U + // .. .. reg_ddrc_t_ckpdx = 0x2 + // .. .. ==> 0XF800607C[7:4] = 0x00000002U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000020U + // .. .. reg_ddrc_t_ckdpde = 0x2 + // .. .. ==> 0XF800607C[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. reg_ddrc_t_ckdpdx = 0x2 + // .. .. ==> 0XF800607C[15:12] = 0x00000002U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00002000U + // .. .. reg_ddrc_t_ckcsx = 0x3 + // .. .. ==> 0XF800607C[19:16] = 0x00000003U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00030000U + // .. .. + EMIT_MASKWRITE(0XF800607C, 0x000FFFFFU ,0x00032222U), + // .. .. reg_ddrc_dis_auto_zq = 0x0 + // .. .. ==> 0XF80060A4[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_ddr3 = 0x1 + // .. .. ==> 0XF80060A4[1:1] = 0x00000001U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. reg_ddrc_t_mod = 0x200 + // .. .. ==> 0XF80060A4[11:2] = 0x00000200U + // .. .. ==> MASK : 0x00000FFCU VAL : 0x00000800U + // .. .. reg_ddrc_t_zq_long_nop = 0x200 + // .. .. ==> 0XF80060A4[21:12] = 0x00000200U + // .. .. ==> MASK : 0x003FF000U VAL : 0x00200000U + // .. .. reg_ddrc_t_zq_short_nop = 0x40 + // .. .. ==> 0XF80060A4[31:22] = 0x00000040U + // .. .. ==> MASK : 0xFFC00000U VAL : 0x10000000U + // .. .. + EMIT_MASKWRITE(0XF80060A4, 0xFFFFFFFFU ,0x10200802U), + // .. .. t_zq_short_interval_x1024 = 0xcb73 + // .. .. ==> 0XF80060A8[19:0] = 0x0000CB73U + // .. .. ==> MASK : 0x000FFFFFU VAL : 0x0000CB73U + // .. .. dram_rstn_x1024 = 0x69 + // .. .. ==> 0XF80060A8[27:20] = 0x00000069U + // .. .. ==> MASK : 0x0FF00000U VAL : 0x06900000U + // .. .. + EMIT_MASKWRITE(0XF80060A8, 0x0FFFFFFFU ,0x0690CB73U), + // .. .. deeppowerdown_en = 0x0 + // .. .. ==> 0XF80060AC[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. deeppowerdown_to_x1024 = 0xff + // .. .. ==> 0XF80060AC[8:1] = 0x000000FFU + // .. .. ==> MASK : 0x000001FEU VAL : 0x000001FEU + // .. .. + EMIT_MASKWRITE(0XF80060AC, 0x000001FFU ,0x000001FEU), + // .. .. dfi_wrlvl_max_x1024 = 0xfff + // .. .. ==> 0XF80060B0[11:0] = 0x00000FFFU + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000FFFU + // .. .. dfi_rdlvl_max_x1024 = 0xfff + // .. .. ==> 0XF80060B0[23:12] = 0x00000FFFU + // .. .. ==> MASK : 0x00FFF000U VAL : 0x00FFF000U + // .. .. ddrc_reg_twrlvl_max_error = 0x0 + // .. .. ==> 0XF80060B0[24:24] = 0x00000000U + // .. .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. .. ddrc_reg_trdlvl_max_error = 0x0 + // .. .. ==> 0XF80060B0[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. reg_ddrc_dfi_wr_level_en = 0x1 + // .. .. ==> 0XF80060B0[26:26] = 0x00000001U + // .. .. ==> MASK : 0x04000000U VAL : 0x04000000U + // .. .. reg_ddrc_dfi_rd_dqs_gate_level = 0x1 + // .. .. ==> 0XF80060B0[27:27] = 0x00000001U + // .. .. ==> MASK : 0x08000000U VAL : 0x08000000U + // .. .. reg_ddrc_dfi_rd_data_eye_train = 0x1 + // .. .. ==> 0XF80060B0[28:28] = 0x00000001U + // .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. + EMIT_MASKWRITE(0XF80060B0, 0x1FFFFFFFU ,0x1CFFFFFFU), + // .. .. reg_ddrc_skip_ocd = 0x1 + // .. .. ==> 0XF80060B4[9:9] = 0x00000001U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000200U + // .. .. + EMIT_MASKWRITE(0XF80060B4, 0x00000200U ,0x00000200U), + // .. .. reg_ddrc_dfi_t_rddata_en = 0x6 + // .. .. ==> 0XF80060B8[4:0] = 0x00000006U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000006U + // .. .. reg_ddrc_dfi_t_ctrlup_min = 0x3 + // .. .. ==> 0XF80060B8[14:5] = 0x00000003U + // .. .. ==> MASK : 0x00007FE0U VAL : 0x00000060U + // .. .. reg_ddrc_dfi_t_ctrlup_max = 0x40 + // .. .. ==> 0XF80060B8[24:15] = 0x00000040U + // .. .. ==> MASK : 0x01FF8000U VAL : 0x00200000U + // .. .. + EMIT_MASKWRITE(0XF80060B8, 0x01FFFFFFU ,0x00200066U), + // .. .. Clear_Uncorrectable_DRAM_ECC_error = 0x0 + // .. .. ==> 0XF80060C4[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. Clear_Correctable_DRAM_ECC_error = 0x0 + // .. .. ==> 0XF80060C4[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060C4, 0x00000003U ,0x00000000U), + // .. .. CORR_ECC_LOG_VALID = 0x0 + // .. .. ==> 0XF80060C8[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. ECC_CORRECTED_BIT_NUM = 0x0 + // .. .. ==> 0XF80060C8[7:1] = 0x00000000U + // .. .. ==> MASK : 0x000000FEU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060C8, 0x000000FFU ,0x00000000U), + // .. .. UNCORR_ECC_LOG_VALID = 0x0 + // .. .. ==> 0XF80060DC[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060DC, 0x00000001U ,0x00000000U), + // .. .. STAT_NUM_CORR_ERR = 0x0 + // .. .. ==> 0XF80060F0[15:8] = 0x00000000U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00000000U + // .. .. STAT_NUM_UNCORR_ERR = 0x0 + // .. .. ==> 0XF80060F0[7:0] = 0x00000000U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060F0, 0x0000FFFFU ,0x00000000U), + // .. .. reg_ddrc_ecc_mode = 0x0 + // .. .. ==> 0XF80060F4[2:0] = 0x00000000U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000000U + // .. .. reg_ddrc_dis_scrub = 0x1 + // .. .. ==> 0XF80060F4[3:3] = 0x00000001U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000008U + // .. .. + EMIT_MASKWRITE(0XF80060F4, 0x0000000FU ,0x00000008U), + // .. .. reg_phy_dif_on = 0x0 + // .. .. ==> 0XF8006114[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_phy_dif_off = 0x0 + // .. .. ==> 0XF8006114[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006114, 0x000000FFU ,0x00000000U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006118[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006118[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006118[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006118[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006118, 0x7FFFFFCFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF800611C[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF800611C[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF800611C[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF800611C[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF800611C, 0x7FFFFFCFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006120[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006120[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006120[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006120[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006120, 0x7FFFFFCFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006124[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006124[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006124[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006124[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006124, 0x7FFFFFCFU ,0x40000001U), + // .. .. reg_phy_wrlvl_init_ratio = 0x7 + // .. .. ==> 0XF800612C[9:0] = 0x00000007U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000007U + // .. .. reg_phy_gatelvl_init_ratio = 0x7a + // .. .. ==> 0XF800612C[19:10] = 0x0000007AU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001E800U + // .. .. + EMIT_MASKWRITE(0XF800612C, 0x000FFFFFU ,0x0001E807U), + // .. .. reg_phy_wrlvl_init_ratio = 0x5 + // .. .. ==> 0XF8006130[9:0] = 0x00000005U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000005U + // .. .. reg_phy_gatelvl_init_ratio = 0x7b + // .. .. ==> 0XF8006130[19:10] = 0x0000007BU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001EC00U + // .. .. + EMIT_MASKWRITE(0XF8006130, 0x000FFFFFU ,0x0001EC05U), + // .. .. reg_phy_wrlvl_init_ratio = 0x5 + // .. .. ==> 0XF8006134[9:0] = 0x00000005U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000005U + // .. .. reg_phy_gatelvl_init_ratio = 0x7b + // .. .. ==> 0XF8006134[19:10] = 0x0000007BU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001EC00U + // .. .. + EMIT_MASKWRITE(0XF8006134, 0x000FFFFFU ,0x0001EC05U), + // .. .. reg_phy_wrlvl_init_ratio = 0x1 + // .. .. ==> 0XF8006138[9:0] = 0x00000001U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000001U + // .. .. reg_phy_gatelvl_init_ratio = 0x78 + // .. .. ==> 0XF8006138[19:10] = 0x00000078U + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001E000U + // .. .. + EMIT_MASKWRITE(0XF8006138, 0x000FFFFFU ,0x0001E001U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006140[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006140[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006140[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006140, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006144[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006144[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006144[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006144, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006148[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006148[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006148[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006148, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF800614C[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF800614C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF800614C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800614C, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x87 + // .. .. ==> 0XF8006154[9:0] = 0x00000087U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000087U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006154[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006154[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006154, 0x000FFFFFU ,0x00000087U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x85 + // .. .. ==> 0XF8006158[9:0] = 0x00000085U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000085U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006158[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006158[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006158, 0x000FFFFFU ,0x00000085U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x85 + // .. .. ==> 0XF800615C[9:0] = 0x00000085U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000085U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF800615C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF800615C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800615C, 0x000FFFFFU ,0x00000085U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x81 + // .. .. ==> 0XF8006160[9:0] = 0x00000081U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000081U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006160[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006160[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006160, 0x000FFFFFU ,0x00000081U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xcf + // .. .. ==> 0XF8006168[10:0] = 0x000000CFU + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000CFU + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006168[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006168[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006168, 0x001FFFFFU ,0x000000CFU), + // .. .. reg_phy_fifo_we_slave_ratio = 0xd0 + // .. .. ==> 0XF800616C[10:0] = 0x000000D0U + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000D0U + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF800616C[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF800616C[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800616C, 0x001FFFFFU ,0x000000D0U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xd0 + // .. .. ==> 0XF8006170[10:0] = 0x000000D0U + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000D0U + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006170[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006170[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006170, 0x001FFFFFU ,0x000000D0U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xcd + // .. .. ==> 0XF8006174[10:0] = 0x000000CDU + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000CDU + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006174[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006174[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006174, 0x001FFFFFU ,0x000000CDU), + // .. .. reg_phy_wr_data_slave_ratio = 0xc7 + // .. .. ==> 0XF800617C[9:0] = 0x000000C7U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C7U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF800617C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF800617C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800617C, 0x000FFFFFU ,0x000000C7U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc5 + // .. .. ==> 0XF8006180[9:0] = 0x000000C5U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C5U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006180[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006180[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006180, 0x000FFFFFU ,0x000000C5U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc5 + // .. .. ==> 0XF8006184[9:0] = 0x000000C5U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C5U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006184[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006184[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006184, 0x000FFFFFU ,0x000000C5U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc1 + // .. .. ==> 0XF8006188[9:0] = 0x000000C1U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C1U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006188[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006188[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006188, 0x000FFFFFU ,0x000000C1U), + // .. .. reg_phy_bl2 = 0x0 + // .. .. ==> 0XF8006190[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_at_spd_atpg = 0x0 + // .. .. ==> 0XF8006190[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_bist_enable = 0x0 + // .. .. ==> 0XF8006190[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_bist_force_err = 0x0 + // .. .. ==> 0XF8006190[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_bist_mode = 0x0 + // .. .. ==> 0XF8006190[6:5] = 0x00000000U + // .. .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. .. reg_phy_invert_clkout = 0x1 + // .. .. ==> 0XF8006190[7:7] = 0x00000001U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. .. reg_phy_sel_logic = 0x0 + // .. .. ==> 0XF8006190[9:9] = 0x00000000U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_ratio = 0x100 + // .. .. ==> 0XF8006190[19:10] = 0x00000100U + // .. .. ==> MASK : 0x000FFC00U VAL : 0x00040000U + // .. .. reg_phy_ctrl_slave_force = 0x0 + // .. .. ==> 0XF8006190[20:20] = 0x00000000U + // .. .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_delay = 0x0 + // .. .. ==> 0XF8006190[27:21] = 0x00000000U + // .. .. ==> MASK : 0x0FE00000U VAL : 0x00000000U + // .. .. reg_phy_lpddr = 0x0 + // .. .. ==> 0XF8006190[29:29] = 0x00000000U + // .. .. ==> MASK : 0x20000000U VAL : 0x00000000U + // .. .. reg_phy_cmd_latency = 0x0 + // .. .. ==> 0XF8006190[30:30] = 0x00000000U + // .. .. ==> MASK : 0x40000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006190, 0x6FFFFEFEU ,0x00040080U), + // .. .. reg_phy_wr_rl_delay = 0x2 + // .. .. ==> 0XF8006194[4:0] = 0x00000002U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000002U + // .. .. reg_phy_rd_rl_delay = 0x4 + // .. .. ==> 0XF8006194[9:5] = 0x00000004U + // .. .. ==> MASK : 0x000003E0U VAL : 0x00000080U + // .. .. reg_phy_dll_lock_diff = 0xf + // .. .. ==> 0XF8006194[13:10] = 0x0000000FU + // .. .. ==> MASK : 0x00003C00U VAL : 0x00003C00U + // .. .. reg_phy_use_wr_level = 0x1 + // .. .. ==> 0XF8006194[14:14] = 0x00000001U + // .. .. ==> MASK : 0x00004000U VAL : 0x00004000U + // .. .. reg_phy_use_rd_dqs_gate_level = 0x1 + // .. .. ==> 0XF8006194[15:15] = 0x00000001U + // .. .. ==> MASK : 0x00008000U VAL : 0x00008000U + // .. .. reg_phy_use_rd_data_eye_level = 0x1 + // .. .. ==> 0XF8006194[16:16] = 0x00000001U + // .. .. ==> MASK : 0x00010000U VAL : 0x00010000U + // .. .. reg_phy_dis_calib_rst = 0x0 + // .. .. ==> 0XF8006194[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_delay = 0x0 + // .. .. ==> 0XF8006194[19:18] = 0x00000000U + // .. .. ==> MASK : 0x000C0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006194, 0x000FFFFFU ,0x0001FC82U), + // .. .. reg_arb_page_addr_mask = 0x0 + // .. .. ==> 0XF8006204[31:0] = 0x00000000U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006204, 0xFFFFFFFFU ,0x00000000U), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006208[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006208[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006208[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006208[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006208, 0x000703FFU ,0x000003FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF800620C[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF800620C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF800620C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF800620C[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800620C, 0x000703FFU ,0x000003FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006210[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006210[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006210[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006210[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006210, 0x000703FFU ,0x000003FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006214[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006214[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006214[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006214[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006214, 0x000703FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006218[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006218[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006218[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006218[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006218[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006218, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF800621C[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF800621C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF800621C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF800621C[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF800621C[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800621C, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006220[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006220[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006220[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006220[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006220[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006220, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006224[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006224[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006224[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006224[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006224[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006224, 0x000F03FFU ,0x000003FFU), + // .. .. reg_ddrc_lpddr2 = 0x0 + // .. .. ==> 0XF80062A8[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_derate_enable = 0x0 + // .. .. ==> 0XF80062A8[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_ddrc_mr4_margin = 0x0 + // .. .. ==> 0XF80062A8[11:4] = 0x00000000U + // .. .. ==> MASK : 0x00000FF0U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80062A8, 0x00000FF5U ,0x00000000U), + // .. .. reg_ddrc_mr4_read_interval = 0x0 + // .. .. ==> 0XF80062AC[31:0] = 0x00000000U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80062AC, 0xFFFFFFFFU ,0x00000000U), + // .. .. reg_ddrc_min_stable_clock_x1 = 0x5 + // .. .. ==> 0XF80062B0[3:0] = 0x00000005U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000005U + // .. .. reg_ddrc_idle_after_reset_x32 = 0x12 + // .. .. ==> 0XF80062B0[11:4] = 0x00000012U + // .. .. ==> MASK : 0x00000FF0U VAL : 0x00000120U + // .. .. reg_ddrc_t_mrw = 0x5 + // .. .. ==> 0XF80062B0[21:12] = 0x00000005U + // .. .. ==> MASK : 0x003FF000U VAL : 0x00005000U + // .. .. + EMIT_MASKWRITE(0XF80062B0, 0x003FFFFFU ,0x00005125U), + // .. .. reg_ddrc_max_auto_init_x1024 = 0xa8 + // .. .. ==> 0XF80062B4[7:0] = 0x000000A8U + // .. .. ==> MASK : 0x000000FFU VAL : 0x000000A8U + // .. .. reg_ddrc_dev_zqinit_x32 = 0x12 + // .. .. ==> 0XF80062B4[17:8] = 0x00000012U + // .. .. ==> MASK : 0x0003FF00U VAL : 0x00001200U + // .. .. + EMIT_MASKWRITE(0XF80062B4, 0x0003FFFFU ,0x000012A8U), + // .. .. START: POLL ON DCI STATUS + // .. .. DONE = 1 + // .. .. ==> 0XF8000B74[13:13] = 0x00000001U + // .. .. ==> MASK : 0x00002000U VAL : 0x00002000U + // .. .. + EMIT_MASKPOLL(0XF8000B74, 0x00002000U), + // .. .. FINISH: POLL ON DCI STATUS + // .. .. START: UNLOCK DDR + // .. .. reg_ddrc_soft_rstb = 0x1 + // .. .. ==> 0XF8006000[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_ddrc_powerdown_en = 0x0 + // .. .. ==> 0XF8006000[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_data_bus_width = 0x0 + // .. .. ==> 0XF8006000[3:2] = 0x00000000U + // .. .. ==> MASK : 0x0000000CU VAL : 0x00000000U + // .. .. reg_ddrc_burst8_refresh = 0x0 + // .. .. ==> 0XF8006000[6:4] = 0x00000000U + // .. .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. .. reg_ddrc_rdwr_idle_gap = 1 + // .. .. ==> 0XF8006000[13:7] = 0x00000001U + // .. .. ==> MASK : 0x00003F80U VAL : 0x00000080U + // .. .. reg_ddrc_dis_rd_bypass = 0x0 + // .. .. ==> 0XF8006000[14:14] = 0x00000000U + // .. .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_act_bypass = 0x0 + // .. .. ==> 0XF8006000[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_auto_refresh = 0x0 + // .. .. ==> 0XF8006000[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000081U), + // .. .. FINISH: UNLOCK DDR + // .. .. START: CHECK DDR STATUS + // .. .. ddrc_reg_operating_mode = 1 + // .. .. ==> 0XF8006054[2:0] = 0x00000001U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000001U + // .. .. + EMIT_MASKPOLL(0XF8006054, 0x00000007U), + // .. .. FINISH: CHECK DDR STATUS + // .. FINISH: DDR INITIALIZATION + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_mio_init_data_3_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: OCM REMAPPING + // .. FINISH: OCM REMAPPING + // .. START: DDRIOB SETTINGS + // .. reserved_INP_POWER = 0x0 + // .. ==> 0XF8000B40[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B40[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE_B = 0x0 + // .. ==> 0XF8000B40[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B40[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCI_TYPE = 0x0 + // .. ==> 0XF8000B40[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B40[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B40[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B40[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B40[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B40, 0x00000FFFU ,0x00000600U), + // .. reserved_INP_POWER = 0x0 + // .. ==> 0XF8000B44[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B44[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE_B = 0x0 + // .. ==> 0XF8000B44[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B44[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCI_TYPE = 0x0 + // .. ==> 0XF8000B44[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B44[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B44[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B44[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B44[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B44, 0x00000FFFU ,0x00000600U), + // .. reserved_INP_POWER = 0x0 + // .. ==> 0XF8000B48[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x1 + // .. ==> 0XF8000B48[2:1] = 0x00000001U + // .. ==> MASK : 0x00000006U VAL : 0x00000002U + // .. DCI_UPDATE_B = 0x0 + // .. ==> 0XF8000B48[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B48[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCI_TYPE = 0x3 + // .. ==> 0XF8000B48[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B48[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B48[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B48[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B48[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B48, 0x00000FFFU ,0x00000672U), + // .. reserved_INP_POWER = 0x0 + // .. ==> 0XF8000B4C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x1 + // .. ==> 0XF8000B4C[2:1] = 0x00000001U + // .. ==> MASK : 0x00000006U VAL : 0x00000002U + // .. DCI_UPDATE_B = 0x0 + // .. ==> 0XF8000B4C[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B4C[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCI_TYPE = 0x3 + // .. ==> 0XF8000B4C[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B4C[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B4C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B4C[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B4C[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B4C, 0x00000FFFU ,0x00000672U), + // .. reserved_INP_POWER = 0x0 + // .. ==> 0XF8000B50[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x2 + // .. ==> 0XF8000B50[2:1] = 0x00000002U + // .. ==> MASK : 0x00000006U VAL : 0x00000004U + // .. DCI_UPDATE_B = 0x0 + // .. ==> 0XF8000B50[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B50[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCI_TYPE = 0x3 + // .. ==> 0XF8000B50[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B50[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B50[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B50[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B50[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B50, 0x00000FFFU ,0x00000674U), + // .. reserved_INP_POWER = 0x0 + // .. ==> 0XF8000B54[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x2 + // .. ==> 0XF8000B54[2:1] = 0x00000002U + // .. ==> MASK : 0x00000006U VAL : 0x00000004U + // .. DCI_UPDATE_B = 0x0 + // .. ==> 0XF8000B54[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B54[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCI_TYPE = 0x3 + // .. ==> 0XF8000B54[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B54[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B54[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B54[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B54[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B54, 0x00000FFFU ,0x00000674U), + // .. reserved_INP_POWER = 0x0 + // .. ==> 0XF8000B58[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B58[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE_B = 0x0 + // .. ==> 0XF8000B58[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B58[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCI_TYPE = 0x0 + // .. ==> 0XF8000B58[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B58[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B58[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B58[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B58[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B58, 0x00000FFFU ,0x00000600U), + // .. reserved_DRIVE_P = 0x1c + // .. ==> 0XF8000B5C[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. reserved_DRIVE_N = 0xc + // .. ==> 0XF8000B5C[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. reserved_SLEW_P = 0x3 + // .. ==> 0XF8000B5C[18:14] = 0x00000003U + // .. ==> MASK : 0x0007C000U VAL : 0x0000C000U + // .. reserved_SLEW_N = 0x3 + // .. ==> 0XF8000B5C[23:19] = 0x00000003U + // .. ==> MASK : 0x00F80000U VAL : 0x00180000U + // .. reserved_GTL = 0x0 + // .. ==> 0XF8000B5C[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. reserved_RTERM = 0x0 + // .. ==> 0XF8000B5C[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B5C, 0xFFFFFFFFU ,0x0018C61CU), + // .. reserved_DRIVE_P = 0x1c + // .. ==> 0XF8000B60[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. reserved_DRIVE_N = 0xc + // .. ==> 0XF8000B60[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. reserved_SLEW_P = 0x6 + // .. ==> 0XF8000B60[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. reserved_SLEW_N = 0x1f + // .. ==> 0XF8000B60[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. reserved_GTL = 0x0 + // .. ==> 0XF8000B60[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. reserved_RTERM = 0x0 + // .. ==> 0XF8000B60[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B60, 0xFFFFFFFFU ,0x00F9861CU), + // .. reserved_DRIVE_P = 0x1c + // .. ==> 0XF8000B64[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. reserved_DRIVE_N = 0xc + // .. ==> 0XF8000B64[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. reserved_SLEW_P = 0x6 + // .. ==> 0XF8000B64[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. reserved_SLEW_N = 0x1f + // .. ==> 0XF8000B64[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. reserved_GTL = 0x0 + // .. ==> 0XF8000B64[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. reserved_RTERM = 0x0 + // .. ==> 0XF8000B64[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B64, 0xFFFFFFFFU ,0x00F9861CU), + // .. reserved_DRIVE_P = 0x1c + // .. ==> 0XF8000B68[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. reserved_DRIVE_N = 0xc + // .. ==> 0XF8000B68[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. reserved_SLEW_P = 0x6 + // .. ==> 0XF8000B68[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. reserved_SLEW_N = 0x1f + // .. ==> 0XF8000B68[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. reserved_GTL = 0x0 + // .. ==> 0XF8000B68[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. reserved_RTERM = 0x0 + // .. ==> 0XF8000B68[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B68, 0xFFFFFFFFU ,0x00F9861CU), + // .. VREF_INT_EN = 0x0 + // .. ==> 0XF8000B6C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. VREF_SEL = 0x0 + // .. ==> 0XF8000B6C[4:1] = 0x00000000U + // .. ==> MASK : 0x0000001EU VAL : 0x00000000U + // .. VREF_EXT_EN = 0x3 + // .. ==> 0XF8000B6C[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. reserved_VREF_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[8:7] = 0x00000000U + // .. ==> MASK : 0x00000180U VAL : 0x00000000U + // .. REFIO_EN = 0x1 + // .. ==> 0XF8000B6C[9:9] = 0x00000001U + // .. ==> MASK : 0x00000200U VAL : 0x00000200U + // .. reserved_REFIO_TEST = 0x0 + // .. ==> 0XF8000B6C[11:10] = 0x00000000U + // .. ==> MASK : 0x00000C00U VAL : 0x00000000U + // .. reserved_REFIO_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. reserved_DRST_B_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. reserved_CKE_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[14:14] = 0x00000000U + // .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B6C, 0x00007FFFU ,0x00000260U), + // .. .. START: ASSERT RESET + // .. .. RESET = 1 + // .. .. ==> 0XF8000B70[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x00000001U ,0x00000001U), + // .. .. FINISH: ASSERT RESET + // .. .. START: DEASSERT RESET + // .. .. RESET = 0 + // .. .. ==> 0XF8000B70[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reserved_VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000020U), + // .. .. FINISH: DEASSERT RESET + // .. .. RESET = 0x1 + // .. .. ==> 0XF8000B70[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. ENABLE = 0x1 + // .. .. ==> 0XF8000B70[1:1] = 0x00000001U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. reserved_VRP_TRI = 0x0 + // .. .. ==> 0XF8000B70[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reserved_VRN_TRI = 0x0 + // .. .. ==> 0XF8000B70[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reserved_VRP_OUT = 0x0 + // .. .. ==> 0XF8000B70[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reserved_VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. NREF_OPT1 = 0x0 + // .. .. ==> 0XF8000B70[7:6] = 0x00000000U + // .. .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. .. NREF_OPT2 = 0x0 + // .. .. ==> 0XF8000B70[10:8] = 0x00000000U + // .. .. ==> MASK : 0x00000700U VAL : 0x00000000U + // .. .. NREF_OPT4 = 0x1 + // .. .. ==> 0XF8000B70[13:11] = 0x00000001U + // .. .. ==> MASK : 0x00003800U VAL : 0x00000800U + // .. .. PREF_OPT1 = 0x0 + // .. .. ==> 0XF8000B70[15:14] = 0x00000000U + // .. .. ==> MASK : 0x0000C000U VAL : 0x00000000U + // .. .. PREF_OPT2 = 0x0 + // .. .. ==> 0XF8000B70[19:17] = 0x00000000U + // .. .. ==> MASK : 0x000E0000U VAL : 0x00000000U + // .. .. UPDATE_CONTROL = 0x0 + // .. .. ==> 0XF8000B70[20:20] = 0x00000000U + // .. .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. .. reserved_INIT_COMPLETE = 0x0 + // .. .. ==> 0XF8000B70[21:21] = 0x00000000U + // .. .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. .. reserved_TST_CLK = 0x0 + // .. .. ==> 0XF8000B70[22:22] = 0x00000000U + // .. .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. .. reserved_TST_HLN = 0x0 + // .. .. ==> 0XF8000B70[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. reserved_TST_HLP = 0x0 + // .. .. ==> 0XF8000B70[24:24] = 0x00000000U + // .. .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. .. reserved_TST_RST = 0x0 + // .. .. ==> 0XF8000B70[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. reserved_INT_DCI_EN = 0x0 + // .. .. ==> 0XF8000B70[26:26] = 0x00000000U + // .. .. ==> MASK : 0x04000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x07FEFFFFU ,0x00000823U), + // .. FINISH: DDRIOB SETTINGS + // .. START: MIO PROGRAMMING + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000700[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000700[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000700[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000700[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000700[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000700[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000700[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000700[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000700[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000700, 0x00003FFFU ,0x00001600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000704[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000704[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000704[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000704[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000704[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000704[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000704[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000704[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000704[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000704, 0x00003FFFU ,0x00001600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000708[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000708[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000708[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000708[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000708[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000708[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000708[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000708[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000708[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000708, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800070C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800070C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800070C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800070C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800070C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800070C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800070C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF800070C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800070C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800070C, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000710[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000710[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000710[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000710[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000710[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000710[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000710[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000710[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000710[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000710, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000714[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000714[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000714[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000714[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000714[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000714[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000714[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000714[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000714[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000714, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000718[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000718[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000718[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000718[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000718[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000718[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000718[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000718[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000718[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000718, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800071C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800071C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800071C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800071C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800071C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800071C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800071C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF800071C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800071C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800071C, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000720[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000720[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000720[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000720[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF8000720[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF8000720[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000720[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000720[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000720[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000720, 0x00003FFFU ,0x000006E0U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000724[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000724[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000724[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000724[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF8000724[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF8000724[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000724[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000724[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000724[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000724, 0x00003FFFU ,0x000016E1U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000728[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000728[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000728[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000728[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000728[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000728[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000728[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000728[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000728[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000728, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800072C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800072C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800072C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800072C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF800072C[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF800072C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800072C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF800072C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800072C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800072C, 0x00003FFFU ,0x00001660U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000730[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000730[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000730[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000730[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000730[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000730[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000730[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000730[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000730[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000730, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000734[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000734[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000734[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000734[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000734[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000734[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000734[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000734[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000734[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000734, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000738[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000738[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000738[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000738[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000738[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF8000738[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000738[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000738[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000738[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000738, 0x00003FFFU ,0x00001640U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800073C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800073C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800073C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800073C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF800073C[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF800073C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800073C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF800073C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800073C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800073C, 0x00003FFFU ,0x00001640U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000740[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000740[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000740[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000740[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000740[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000740[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000740[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000740[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000740[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000744[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000744[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000744[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000744[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000744[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000744[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000744[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000744[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000744[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000748[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000748[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000748[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000748[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000748[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000748[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000748[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000748[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000748[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800074C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF800074C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800074C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800074C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800074C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800074C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800074C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800074C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800074C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000750[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000750[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000750[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000750[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000750[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000750[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000750[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000750[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000750[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000754[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000754[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000754[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000754[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000754[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000754[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000754[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000754[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000754[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000758[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000758[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000758[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000758[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000758[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000758[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000758[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000758[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000758[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800075C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF800075C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800075C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800075C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800075C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800075C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800075C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800075C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800075C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000760[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000760[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000760[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000760[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000760[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000760[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000760[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000760[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000760[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000764[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000764[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000764[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000764[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000764[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000764[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000764[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000764[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000764[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000768[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000768[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000768[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000768[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000768[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000768[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000768[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000768[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000768[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800076C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF800076C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800076C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800076C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800076C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800076C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800076C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800076C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800076C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000770[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000770[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000770[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000770[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000770[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000770[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000770[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000770[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000770[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000774[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000774[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000774[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000774[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000774[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000774[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000774[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000774[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000774[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000778[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000778[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000778[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000778[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000778[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000778[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000778[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000778[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000778[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800077C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF800077C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800077C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800077C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800077C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800077C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800077C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800077C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800077C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000780[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000780[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000780[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000780[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000780[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000780[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000780[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000780[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000780[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000784[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000784[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000784[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000784[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000784[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000784[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000784[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000784[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000784[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000788[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000788[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000788[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000788[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000788[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000788[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000788[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000788[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000788[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800078C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800078C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800078C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800078C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800078C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800078C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800078C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800078C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800078C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000790[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000790[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000790[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000790[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000790[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000790[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000790[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000790[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000790[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000794[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000794[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000794[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000794[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000794[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000794[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000794[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000794[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000794[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000798[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000798[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000798[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000798[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000798[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000798[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000798[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000798[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000798[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800079C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800079C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800079C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800079C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800079C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800079C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800079C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800079C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800079C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A8[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A8[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007AC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007AC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007AC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007AC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007AC[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007AC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007AC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007AC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007AC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007B0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007B0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007B0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007B0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007B4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007B4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007B4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007B4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF80007B8[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF80007B8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF80007B8[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF80007B8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B8, 0x00003FFFU ,0x000012E1U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007BC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007BC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007BC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007BC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF80007BC[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF80007BC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007BC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007BC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007BC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007BC, 0x00003FFFU ,0x000012E0U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF80007C0[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF80007C0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x00001240U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF80007C4[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF80007C4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x00001240U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C8[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF80007C8[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF80007C8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007CC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007CC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007CC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007CC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF80007CC[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF80007CC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007CC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007CC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007CC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007D0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007D0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007D0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007D0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007D0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007D0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007D0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007D0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007D0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007D4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007D4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007D4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007D4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007D4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007D4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007D4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007D4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007D4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), + // .. SDIO0_WP_SEL = 55 + // .. ==> 0XF8000830[5:0] = 0x00000037U + // .. ==> MASK : 0x0000003FU VAL : 0x00000037U + // .. SDIO0_CD_SEL = 56 + // .. ==> 0XF8000830[21:16] = 0x00000038U + // .. ==> MASK : 0x003F0000U VAL : 0x00380000U + // .. + EMIT_MASKWRITE(0XF8000830, 0x003F003FU ,0x00380037U), + // .. FINISH: MIO PROGRAMMING + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_peripherals_init_data_3_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: DDR TERM/IBUF_DISABLE_MODE SETTINGS + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B48[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B48[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B48, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B4C[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B4C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B4C, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B50[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B50[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B50, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B54[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B54[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B54, 0x00000180U ,0x00000180U), + // .. FINISH: DDR TERM/IBUF_DISABLE_MODE SETTINGS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // .. START: SRAM/NOR SET OPMODE + // .. FINISH: SRAM/NOR SET OPMODE + // .. START: UART REGISTERS + // .. BDIV = 0x6 + // .. ==> 0XE0001034[7:0] = 0x00000006U + // .. ==> MASK : 0x000000FFU VAL : 0x00000006U + // .. + EMIT_MASKWRITE(0XE0001034, 0x000000FFU ,0x00000006U), + // .. CD = 0x7c + // .. ==> 0XE0001018[15:0] = 0x0000007CU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000007CU + // .. + EMIT_MASKWRITE(0XE0001018, 0x0000FFFFU ,0x0000007CU), + // .. STPBRK = 0x0 + // .. ==> 0XE0001000[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. STTBRK = 0x0 + // .. ==> 0XE0001000[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. RSTTO = 0x0 + // .. ==> 0XE0001000[6:6] = 0x00000000U + // .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. TXDIS = 0x0 + // .. ==> 0XE0001000[5:5] = 0x00000000U + // .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. TXEN = 0x1 + // .. ==> 0XE0001000[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. RXDIS = 0x0 + // .. ==> 0XE0001000[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. RXEN = 0x1 + // .. ==> 0XE0001000[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. TXRES = 0x1 + // .. ==> 0XE0001000[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. RXRES = 0x1 + // .. ==> 0XE0001000[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. + EMIT_MASKWRITE(0XE0001000, 0x000001FFU ,0x00000017U), + // .. CHMODE = 0x0 + // .. ==> 0XE0001004[9:8] = 0x00000000U + // .. ==> MASK : 0x00000300U VAL : 0x00000000U + // .. NBSTOP = 0x0 + // .. ==> 0XE0001004[7:6] = 0x00000000U + // .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. PAR = 0x4 + // .. ==> 0XE0001004[5:3] = 0x00000004U + // .. ==> MASK : 0x00000038U VAL : 0x00000020U + // .. CHRL = 0x0 + // .. ==> 0XE0001004[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. CLKS = 0x0 + // .. ==> 0XE0001004[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XE0001004, 0x000003FFU ,0x00000020U), + // .. BDIV = 0x6 + // .. ==> 0XE0000034[7:0] = 0x00000006U + // .. ==> MASK : 0x000000FFU VAL : 0x00000006U + // .. + EMIT_MASKWRITE(0XE0000034, 0x000000FFU ,0x00000006U), + // .. CD = 0x7c + // .. ==> 0XE0000018[15:0] = 0x0000007CU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000007CU + // .. + EMIT_MASKWRITE(0XE0000018, 0x0000FFFFU ,0x0000007CU), + // .. STPBRK = 0x0 + // .. ==> 0XE0000000[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. STTBRK = 0x0 + // .. ==> 0XE0000000[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. RSTTO = 0x0 + // .. ==> 0XE0000000[6:6] = 0x00000000U + // .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. TXDIS = 0x0 + // .. ==> 0XE0000000[5:5] = 0x00000000U + // .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. TXEN = 0x1 + // .. ==> 0XE0000000[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. RXDIS = 0x0 + // .. ==> 0XE0000000[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. RXEN = 0x1 + // .. ==> 0XE0000000[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. TXRES = 0x1 + // .. ==> 0XE0000000[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. RXRES = 0x1 + // .. ==> 0XE0000000[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. + EMIT_MASKWRITE(0XE0000000, 0x000001FFU ,0x00000017U), + // .. CHMODE = 0x0 + // .. ==> 0XE0000004[9:8] = 0x00000000U + // .. ==> MASK : 0x00000300U VAL : 0x00000000U + // .. NBSTOP = 0x0 + // .. ==> 0XE0000004[7:6] = 0x00000000U + // .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. PAR = 0x4 + // .. ==> 0XE0000004[5:3] = 0x00000004U + // .. ==> MASK : 0x00000038U VAL : 0x00000020U + // .. CHRL = 0x0 + // .. ==> 0XE0000004[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. CLKS = 0x0 + // .. ==> 0XE0000004[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XE0000004, 0x000003FFU ,0x00000020U), + // .. FINISH: UART REGISTERS + // .. START: QSPI REGISTERS + // .. Holdb_dr = 1 + // .. ==> 0XE000D000[19:19] = 0x00000001U + // .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. + EMIT_MASKWRITE(0XE000D000, 0x00080000U ,0x00080000U), + // .. FINISH: QSPI REGISTERS + // .. START: PL POWER ON RESET REGISTERS + // .. PCFG_POR_CNT_4K = 0 + // .. ==> 0XF8007000[29:29] = 0x00000000U + // .. ==> MASK : 0x20000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8007000, 0x20000000U ,0x00000000U), + // .. FINISH: PL POWER ON RESET REGISTERS + // .. START: SMC TIMING CALCULATION REGISTER UPDATE + // .. .. START: NAND SET CYCLE + // .. .. FINISH: NAND SET CYCLE + // .. .. START: OPMODE + // .. .. FINISH: OPMODE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: SRAM/NOR CS0 SET CYCLE + // .. .. FINISH: SRAM/NOR CS0 SET CYCLE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: NOR CS0 BASE ADDRESS + // .. .. FINISH: NOR CS0 BASE ADDRESS + // .. .. START: SRAM/NOR CS1 SET CYCLE + // .. .. FINISH: SRAM/NOR CS1 SET CYCLE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: NOR CS1 BASE ADDRESS + // .. .. FINISH: NOR CS1 BASE ADDRESS + // .. .. START: USB RESET + // .. .. .. START: USB0 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. DIRECTION_0 = 0x18 + // .. .. .. .. ==> 0XE000A204[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x10 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000010U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000010U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. OP_ENABLE_0 = 0x18 + // .. .. .. .. ==> 0XE000A208[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x0 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000000U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000000U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0000U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x10 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000010U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000010U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: USB0 RESET + // .. .. .. START: USB1 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: USB1 RESET + // .. .. FINISH: USB RESET + // .. .. START: ENET RESET + // .. .. .. START: ENET0 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. DIRECTION_0 = 0x18 + // .. .. .. .. ==> 0XE000A204[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x8 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000008U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. OP_ENABLE_0 = 0x18 + // .. .. .. .. ==> 0XE000A208[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x0 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000000U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000000U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70000U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x8 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000008U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: ENET0 RESET + // .. .. .. START: ENET1 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: ENET1 RESET + // .. .. FINISH: ENET RESET + // .. .. START: I2C RESET + // .. .. .. START: I2C0 RESET + // .. .. .. .. START: DIR MODE GPIO BANK0 + // .. .. .. .. FINISH: DIR MODE GPIO BANK0 + // .. .. .. .. START: DIR MODE GPIO BANK1 + // .. .. .. .. FINISH: DIR MODE GPIO BANK1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: I2C0 RESET + // .. .. .. START: I2C1 RESET + // .. .. .. .. START: DIR MODE GPIO BANK0 + // .. .. .. .. FINISH: DIR MODE GPIO BANK0 + // .. .. .. .. START: DIR MODE GPIO BANK1 + // .. .. .. .. FINISH: DIR MODE GPIO BANK1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: I2C1 RESET + // .. .. FINISH: I2C RESET + // .. .. START: NOR CHIP SELECT + // .. .. .. START: DIR MODE BANK 0 + // .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. FINISH: NOR CHIP SELECT + // .. FINISH: SMC TIMING CALCULATION REGISTER UPDATE + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_post_config_3_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: ENABLING LEVEL SHIFTER + // .. USER_LVL_INP_EN_0 = 1 + // .. ==> 0XF8000900[3:3] = 0x00000001U + // .. ==> MASK : 0x00000008U VAL : 0x00000008U + // .. USER_LVL_OUT_EN_0 = 1 + // .. ==> 0XF8000900[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. USER_LVL_INP_EN_1 = 1 + // .. ==> 0XF8000900[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. USER_LVL_OUT_EN_1 = 1 + // .. ==> 0XF8000900[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. + EMIT_MASKWRITE(0XF8000900, 0x0000000FU ,0x0000000FU), + // .. FINISH: ENABLING LEVEL SHIFTER + // .. START: FPGA RESETS TO 0 + // .. reserved_3 = 0 + // .. ==> 0XF8000240[31:25] = 0x00000000U + // .. ==> MASK : 0xFE000000U VAL : 0x00000000U + // .. reserved_FPGA_ACP_RST = 0 + // .. ==> 0XF8000240[24:24] = 0x00000000U + // .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. reserved_FPGA_AXDS3_RST = 0 + // .. ==> 0XF8000240[23:23] = 0x00000000U + // .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. reserved_FPGA_AXDS2_RST = 0 + // .. ==> 0XF8000240[22:22] = 0x00000000U + // .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. reserved_FPGA_AXDS1_RST = 0 + // .. ==> 0XF8000240[21:21] = 0x00000000U + // .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. reserved_FPGA_AXDS0_RST = 0 + // .. ==> 0XF8000240[20:20] = 0x00000000U + // .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. reserved_2 = 0 + // .. ==> 0XF8000240[19:18] = 0x00000000U + // .. ==> MASK : 0x000C0000U VAL : 0x00000000U + // .. reserved_FSSW1_FPGA_RST = 0 + // .. ==> 0XF8000240[17:17] = 0x00000000U + // .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. reserved_FSSW0_FPGA_RST = 0 + // .. ==> 0XF8000240[16:16] = 0x00000000U + // .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. reserved_1 = 0 + // .. ==> 0XF8000240[15:14] = 0x00000000U + // .. ==> MASK : 0x0000C000U VAL : 0x00000000U + // .. reserved_FPGA_FMSW1_RST = 0 + // .. ==> 0XF8000240[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. reserved_FPGA_FMSW0_RST = 0 + // .. ==> 0XF8000240[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. reserved_FPGA_DMA3_RST = 0 + // .. ==> 0XF8000240[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. reserved_FPGA_DMA2_RST = 0 + // .. ==> 0XF8000240[10:10] = 0x00000000U + // .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. reserved_FPGA_DMA1_RST = 0 + // .. ==> 0XF8000240[9:9] = 0x00000000U + // .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. reserved_FPGA_DMA0_RST = 0 + // .. ==> 0XF8000240[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. reserved = 0 + // .. ==> 0XF8000240[7:4] = 0x00000000U + // .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. FPGA3_OUT_RST = 0 + // .. ==> 0XF8000240[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. FPGA2_OUT_RST = 0 + // .. ==> 0XF8000240[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. FPGA1_OUT_RST = 0 + // .. ==> 0XF8000240[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. FPGA0_OUT_RST = 0 + // .. ==> 0XF8000240[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000240, 0xFFFFFFFFU ,0x00000000U), + // .. FINISH: FPGA RESETS TO 0 + // .. START: AFI REGISTERS + // .. .. START: AFI0 REGISTERS + // .. .. FINISH: AFI0 REGISTERS + // .. .. START: AFI1 REGISTERS + // .. .. FINISH: AFI1 REGISTERS + // .. .. START: AFI2 REGISTERS + // .. .. FINISH: AFI2 REGISTERS + // .. .. START: AFI3 REGISTERS + // .. .. FINISH: AFI3 REGISTERS + // .. .. START: AFI2 SECURE REGISTER + // .. .. FINISH: AFI2 SECURE REGISTER + // .. FINISH: AFI REGISTERS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_debug_3_0[] = { + // START: top + // .. START: CROSS TRIGGER CONFIGURATIONS + // .. .. START: UNLOCKING CTI REGISTERS + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8898FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8898FB0, 0xC5ACCE55U), + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8899FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8899FB0, 0xC5ACCE55U), + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8809FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8809FB0, 0xC5ACCE55U), + // .. .. FINISH: UNLOCKING CTI REGISTERS + // .. .. START: ENABLING CTI MODULES AND CHANNELS + // .. .. FINISH: ENABLING CTI MODULES AND CHANNELS + // .. .. START: MAPPING CPU0, CPU1 AND FTM EVENTS TO CTM CHANNELS + // .. .. FINISH: MAPPING CPU0, CPU1 AND FTM EVENTS TO CTM CHANNELS + // .. FINISH: CROSS TRIGGER CONFIGURATIONS + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_pll_init_data_2_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: PLL SLCR REGISTERS + // .. .. START: ARM PLL INIT + // .. .. PLL_RES = 0x4 + // .. .. ==> 0XF8000110[7:4] = 0x00000004U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000040U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000110[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0xfa + // .. .. ==> 0XF8000110[21:12] = 0x000000FAU + // .. .. ==> MASK : 0x003FF000U VAL : 0x000FA000U + // .. .. + EMIT_MASKWRITE(0XF8000110, 0x003FFFF0U ,0x000FA240U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x3c + // .. .. .. ==> 0XF8000100[18:12] = 0x0000003CU + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x0003C000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x0007F000U ,0x0003C000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000100[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000100[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000100[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. ARM_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000001U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000100[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. .. SRCSEL = 0x0 + // .. .. .. ==> 0XF8000120[5:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. .. DIVISOR = 0x2 + // .. .. .. ==> 0XF8000120[13:8] = 0x00000002U + // .. .. .. ==> MASK : 0x00003F00U VAL : 0x00000200U + // .. .. .. CPU_6OR4XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[24:24] = 0x00000001U + // .. .. .. ==> MASK : 0x01000000U VAL : 0x01000000U + // .. .. .. CPU_3OR2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[25:25] = 0x00000001U + // .. .. .. ==> MASK : 0x02000000U VAL : 0x02000000U + // .. .. .. CPU_2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[26:26] = 0x00000001U + // .. .. .. ==> MASK : 0x04000000U VAL : 0x04000000U + // .. .. .. CPU_1XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[27:27] = 0x00000001U + // .. .. .. ==> MASK : 0x08000000U VAL : 0x08000000U + // .. .. .. CPU_PERI_CLKACT = 0x1 + // .. .. .. ==> 0XF8000120[28:28] = 0x00000001U + // .. .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000120, 0x1F003F30U ,0x1F000200U), + // .. .. FINISH: ARM PLL INIT + // .. .. START: DDR PLL INIT + // .. .. PLL_RES = 0x2 + // .. .. ==> 0XF8000114[7:4] = 0x00000002U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000020U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000114[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0x12c + // .. .. ==> 0XF8000114[21:12] = 0x0000012CU + // .. .. ==> MASK : 0x003FF000U VAL : 0x0012C000U + // .. .. + EMIT_MASKWRITE(0XF8000114, 0x003FFFF0U ,0x0012C220U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x20 + // .. .. .. ==> 0XF8000104[18:12] = 0x00000020U + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x00020000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x0007F000U ,0x00020000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000104[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000104[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000104[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. DDR_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[1:1] = 0x00000001U + // .. .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000002U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000104[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. .. DDR_3XCLKACT = 0x1 + // .. .. .. ==> 0XF8000124[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. DDR_2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000124[1:1] = 0x00000001U + // .. .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. .. DDR_3XCLK_DIVISOR = 0x2 + // .. .. .. ==> 0XF8000124[25:20] = 0x00000002U + // .. .. .. ==> MASK : 0x03F00000U VAL : 0x00200000U + // .. .. .. DDR_2XCLK_DIVISOR = 0x3 + // .. .. .. ==> 0XF8000124[31:26] = 0x00000003U + // .. .. .. ==> MASK : 0xFC000000U VAL : 0x0C000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000124, 0xFFF00003U ,0x0C200003U), + // .. .. FINISH: DDR PLL INIT + // .. .. START: IO PLL INIT + // .. .. PLL_RES = 0x4 + // .. .. ==> 0XF8000118[7:4] = 0x00000004U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000040U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000118[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0xfa + // .. .. ==> 0XF8000118[21:12] = 0x000000FAU + // .. .. ==> MASK : 0x003FF000U VAL : 0x000FA000U + // .. .. + EMIT_MASKWRITE(0XF8000118, 0x003FFFF0U ,0x000FA240U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x3c + // .. .. .. ==> 0XF8000108[18:12] = 0x0000003CU + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x0003C000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x0007F000U ,0x0003C000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000108[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000108[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000108[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. IO_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[2:2] = 0x00000001U + // .. .. .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000004U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000108[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. FINISH: IO PLL INIT + // .. FINISH: PLL SLCR REGISTERS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_clock_init_data_2_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: CLOCK CONTROL SLCR REGISTERS + // .. CLKACT = 0x1 + // .. ==> 0XF8000128[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. DIVISOR0 = 0xf + // .. ==> 0XF8000128[13:8] = 0x0000000FU + // .. ==> MASK : 0x00003F00U VAL : 0x00000F00U + // .. DIVISOR1 = 0x7 + // .. ==> 0XF8000128[25:20] = 0x00000007U + // .. ==> MASK : 0x03F00000U VAL : 0x00700000U + // .. + EMIT_MASKWRITE(0XF8000128, 0x03F03F01U ,0x00700F01U), + // .. CLKACT = 0x1 + // .. ==> 0XF8000138[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000138[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000138, 0x00000011U ,0x00000001U), + // .. CLKACT = 0x1 + // .. ==> 0XF8000140[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000140[6:4] = 0x00000000U + // .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. DIVISOR = 0x10 + // .. ==> 0XF8000140[13:8] = 0x00000010U + // .. ==> MASK : 0x00003F00U VAL : 0x00001000U + // .. DIVISOR1 = 0x1 + // .. ==> 0XF8000140[25:20] = 0x00000001U + // .. ==> MASK : 0x03F00000U VAL : 0x00100000U + // .. + EMIT_MASKWRITE(0XF8000140, 0x03F03F71U ,0x00101001U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000150[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x0 + // .. ==> 0XF8000150[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000150[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0x14 + // .. ==> 0XF8000150[13:8] = 0x00000014U + // .. ==> MASK : 0x00003F00U VAL : 0x00001400U + // .. + EMIT_MASKWRITE(0XF8000150, 0x00003F33U ,0x00001401U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000154[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x1 + // .. ==> 0XF8000154[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000154[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0x14 + // .. ==> 0XF8000154[13:8] = 0x00000014U + // .. ==> MASK : 0x00003F00U VAL : 0x00001400U + // .. + EMIT_MASKWRITE(0XF8000154, 0x00003F33U ,0x00001403U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000158[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x1 + // .. ==> 0XF8000158[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000158[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0xc + // .. ==> 0XF8000158[13:8] = 0x0000000CU + // .. ==> MASK : 0x00003F00U VAL : 0x00000C00U + // .. + EMIT_MASKWRITE(0XF8000158, 0x00003F33U ,0x00000C03U), + // .. .. START: TRACE CLOCK + // .. .. FINISH: TRACE CLOCK + // .. .. CLKACT = 0x1 + // .. .. ==> 0XF8000168[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000168[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR = 0xa + // .. .. ==> 0XF8000168[13:8] = 0x0000000AU + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000A00U + // .. .. + EMIT_MASKWRITE(0XF8000168, 0x00003F31U ,0x00000A01U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000170[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x5 + // .. .. ==> 0XF8000170[13:8] = 0x00000005U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000500U + // .. .. DIVISOR1 = 0x4 + // .. .. ==> 0XF8000170[25:20] = 0x00000004U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00400000U + // .. .. + EMIT_MASKWRITE(0XF8000170, 0x03F03F30U ,0x00400500U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000180[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0xa + // .. .. ==> 0XF8000180[13:8] = 0x0000000AU + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000A00U + // .. .. DIVISOR1 = 0x5 + // .. .. ==> 0XF8000180[25:20] = 0x00000005U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00500000U + // .. .. + EMIT_MASKWRITE(0XF8000180, 0x03F03F30U ,0x00500A00U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000190[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x4 + // .. .. ==> 0XF8000190[13:8] = 0x00000004U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000400U + // .. .. DIVISOR1 = 0x3 + // .. .. ==> 0XF8000190[25:20] = 0x00000003U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00300000U + // .. .. + EMIT_MASKWRITE(0XF8000190, 0x03F03F30U ,0x00300400U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF80001A0[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x5 + // .. .. ==> 0XF80001A0[13:8] = 0x00000005U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000500U + // .. .. DIVISOR1 = 0x2 + // .. .. ==> 0XF80001A0[25:20] = 0x00000002U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00200000U + // .. .. + EMIT_MASKWRITE(0XF80001A0, 0x03F03F30U ,0x00200500U), + // .. .. CLK_621_TRUE = 0x1 + // .. .. ==> 0XF80001C4[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. + EMIT_MASKWRITE(0XF80001C4, 0x00000001U ,0x00000001U), + // .. .. DMA_CPU_2XCLKACT = 0x1 + // .. .. ==> 0XF800012C[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. USB0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[2:2] = 0x00000001U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. .. USB1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[3:3] = 0x00000001U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000008U + // .. .. GEM0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[6:6] = 0x00000001U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000040U + // .. .. GEM1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. SDI0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[10:10] = 0x00000001U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000400U + // .. .. SDI1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. SPI0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[14:14] = 0x00000001U + // .. .. ==> MASK : 0x00004000U VAL : 0x00004000U + // .. .. SPI1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[15:15] = 0x00000001U + // .. .. ==> MASK : 0x00008000U VAL : 0x00008000U + // .. .. CAN0_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. CAN1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. I2C0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[18:18] = 0x00000001U + // .. .. ==> MASK : 0x00040000U VAL : 0x00040000U + // .. .. I2C1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. UART0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[20:20] = 0x00000001U + // .. .. ==> MASK : 0x00100000U VAL : 0x00100000U + // .. .. UART1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[21:21] = 0x00000001U + // .. .. ==> MASK : 0x00200000U VAL : 0x00200000U + // .. .. GPIO_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[22:22] = 0x00000001U + // .. .. ==> MASK : 0x00400000U VAL : 0x00400000U + // .. .. LQSPI_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. SMC_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[24:24] = 0x00000001U + // .. .. ==> MASK : 0x01000000U VAL : 0x01000000U + // .. .. + EMIT_MASKWRITE(0XF800012C, 0x01FFCCCDU ,0x017CC44DU), + // .. FINISH: CLOCK CONTROL SLCR REGISTERS + // .. START: THIS SHOULD BE BLANK + // .. FINISH: THIS SHOULD BE BLANK + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_ddr_init_data_2_0[] = { + // START: top + // .. START: DDR INITIALIZATION + // .. .. START: LOCK DDR + // .. .. reg_ddrc_soft_rstb = 0 + // .. .. ==> 0XF8006000[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_powerdown_en = 0x0 + // .. .. ==> 0XF8006000[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_data_bus_width = 0x0 + // .. .. ==> 0XF8006000[3:2] = 0x00000000U + // .. .. ==> MASK : 0x0000000CU VAL : 0x00000000U + // .. .. reg_ddrc_burst8_refresh = 0x0 + // .. .. ==> 0XF8006000[6:4] = 0x00000000U + // .. .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. .. reg_ddrc_rdwr_idle_gap = 0x1 + // .. .. ==> 0XF8006000[13:7] = 0x00000001U + // .. .. ==> MASK : 0x00003F80U VAL : 0x00000080U + // .. .. reg_ddrc_dis_rd_bypass = 0x0 + // .. .. ==> 0XF8006000[14:14] = 0x00000000U + // .. .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_act_bypass = 0x0 + // .. .. ==> 0XF8006000[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_auto_refresh = 0x0 + // .. .. ==> 0XF8006000[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000080U), + // .. .. FINISH: LOCK DDR + // .. .. reg_ddrc_t_rfc_nom_x32 = 0x81 + // .. .. ==> 0XF8006004[11:0] = 0x00000081U + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000081U + // .. .. reg_ddrc_active_ranks = 0x1 + // .. .. ==> 0XF8006004[13:12] = 0x00000001U + // .. .. ==> MASK : 0x00003000U VAL : 0x00001000U + // .. .. reg_ddrc_addrmap_cs_bit0 = 0x0 + // .. .. ==> 0XF8006004[18:14] = 0x00000000U + // .. .. ==> MASK : 0x0007C000U VAL : 0x00000000U + // .. .. reg_ddrc_wr_odt_block = 0x1 + // .. .. ==> 0XF8006004[20:19] = 0x00000001U + // .. .. ==> MASK : 0x00180000U VAL : 0x00080000U + // .. .. reg_ddrc_diff_rank_rd_2cycle_gap = 0x0 + // .. .. ==> 0XF8006004[21:21] = 0x00000000U + // .. .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_cs_bit1 = 0x0 + // .. .. ==> 0XF8006004[26:22] = 0x00000000U + // .. .. ==> MASK : 0x07C00000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_open_bank = 0x0 + // .. .. ==> 0XF8006004[27:27] = 0x00000000U + // .. .. ==> MASK : 0x08000000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_4bank_ram = 0x0 + // .. .. ==> 0XF8006004[28:28] = 0x00000000U + // .. .. ==> MASK : 0x10000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006004, 0x1FFFFFFFU ,0x00081081U), + // .. .. reg_ddrc_hpr_min_non_critical_x32 = 0xf + // .. .. ==> 0XF8006008[10:0] = 0x0000000FU + // .. .. ==> MASK : 0x000007FFU VAL : 0x0000000FU + // .. .. reg_ddrc_hpr_max_starve_x32 = 0xf + // .. .. ==> 0XF8006008[21:11] = 0x0000000FU + // .. .. ==> MASK : 0x003FF800U VAL : 0x00007800U + // .. .. reg_ddrc_hpr_xact_run_length = 0xf + // .. .. ==> 0XF8006008[25:22] = 0x0000000FU + // .. .. ==> MASK : 0x03C00000U VAL : 0x03C00000U + // .. .. + EMIT_MASKWRITE(0XF8006008, 0x03FFFFFFU ,0x03C0780FU), + // .. .. reg_ddrc_lpr_min_non_critical_x32 = 0x1 + // .. .. ==> 0XF800600C[10:0] = 0x00000001U + // .. .. ==> MASK : 0x000007FFU VAL : 0x00000001U + // .. .. reg_ddrc_lpr_max_starve_x32 = 0x2 + // .. .. ==> 0XF800600C[21:11] = 0x00000002U + // .. .. ==> MASK : 0x003FF800U VAL : 0x00001000U + // .. .. reg_ddrc_lpr_xact_run_length = 0x8 + // .. .. ==> 0XF800600C[25:22] = 0x00000008U + // .. .. ==> MASK : 0x03C00000U VAL : 0x02000000U + // .. .. + EMIT_MASKWRITE(0XF800600C, 0x03FFFFFFU ,0x02001001U), + // .. .. reg_ddrc_w_min_non_critical_x32 = 0x1 + // .. .. ==> 0XF8006010[10:0] = 0x00000001U + // .. .. ==> MASK : 0x000007FFU VAL : 0x00000001U + // .. .. reg_ddrc_w_xact_run_length = 0x8 + // .. .. ==> 0XF8006010[14:11] = 0x00000008U + // .. .. ==> MASK : 0x00007800U VAL : 0x00004000U + // .. .. reg_ddrc_w_max_starve_x32 = 0x2 + // .. .. ==> 0XF8006010[25:15] = 0x00000002U + // .. .. ==> MASK : 0x03FF8000U VAL : 0x00010000U + // .. .. + EMIT_MASKWRITE(0XF8006010, 0x03FFFFFFU ,0x00014001U), + // .. .. reg_ddrc_t_rc = 0x1a + // .. .. ==> 0XF8006014[5:0] = 0x0000001AU + // .. .. ==> MASK : 0x0000003FU VAL : 0x0000001AU + // .. .. reg_ddrc_t_rfc_min = 0xa0 + // .. .. ==> 0XF8006014[13:6] = 0x000000A0U + // .. .. ==> MASK : 0x00003FC0U VAL : 0x00002800U + // .. .. reg_ddrc_post_selfref_gap_x32 = 0x10 + // .. .. ==> 0XF8006014[20:14] = 0x00000010U + // .. .. ==> MASK : 0x001FC000U VAL : 0x00040000U + // .. .. + EMIT_MASKWRITE(0XF8006014, 0x001FFFFFU ,0x0004281AU), + // .. .. reg_ddrc_wr2pre = 0x12 + // .. .. ==> 0XF8006018[4:0] = 0x00000012U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000012U + // .. .. reg_ddrc_powerdown_to_x32 = 0x6 + // .. .. ==> 0XF8006018[9:5] = 0x00000006U + // .. .. ==> MASK : 0x000003E0U VAL : 0x000000C0U + // .. .. reg_ddrc_t_faw = 0x16 + // .. .. ==> 0XF8006018[15:10] = 0x00000016U + // .. .. ==> MASK : 0x0000FC00U VAL : 0x00005800U + // .. .. reg_ddrc_t_ras_max = 0x24 + // .. .. ==> 0XF8006018[21:16] = 0x00000024U + // .. .. ==> MASK : 0x003F0000U VAL : 0x00240000U + // .. .. reg_ddrc_t_ras_min = 0x13 + // .. .. ==> 0XF8006018[26:22] = 0x00000013U + // .. .. ==> MASK : 0x07C00000U VAL : 0x04C00000U + // .. .. reg_ddrc_t_cke = 0x4 + // .. .. ==> 0XF8006018[31:28] = 0x00000004U + // .. .. ==> MASK : 0xF0000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006018, 0xF7FFFFFFU ,0x44E458D2U), + // .. .. reg_ddrc_write_latency = 0x5 + // .. .. ==> 0XF800601C[4:0] = 0x00000005U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000005U + // .. .. reg_ddrc_rd2wr = 0x7 + // .. .. ==> 0XF800601C[9:5] = 0x00000007U + // .. .. ==> MASK : 0x000003E0U VAL : 0x000000E0U + // .. .. reg_ddrc_wr2rd = 0xe + // .. .. ==> 0XF800601C[14:10] = 0x0000000EU + // .. .. ==> MASK : 0x00007C00U VAL : 0x00003800U + // .. .. reg_ddrc_t_xp = 0x4 + // .. .. ==> 0XF800601C[19:15] = 0x00000004U + // .. .. ==> MASK : 0x000F8000U VAL : 0x00020000U + // .. .. reg_ddrc_pad_pd = 0x0 + // .. .. ==> 0XF800601C[22:20] = 0x00000000U + // .. .. ==> MASK : 0x00700000U VAL : 0x00000000U + // .. .. reg_ddrc_rd2pre = 0x4 + // .. .. ==> 0XF800601C[27:23] = 0x00000004U + // .. .. ==> MASK : 0x0F800000U VAL : 0x02000000U + // .. .. reg_ddrc_t_rcd = 0x7 + // .. .. ==> 0XF800601C[31:28] = 0x00000007U + // .. .. ==> MASK : 0xF0000000U VAL : 0x70000000U + // .. .. + EMIT_MASKWRITE(0XF800601C, 0xFFFFFFFFU ,0x720238E5U), + // .. .. reg_ddrc_t_ccd = 0x4 + // .. .. ==> 0XF8006020[4:2] = 0x00000004U + // .. .. ==> MASK : 0x0000001CU VAL : 0x00000010U + // .. .. reg_ddrc_t_rrd = 0x6 + // .. .. ==> 0XF8006020[7:5] = 0x00000006U + // .. .. ==> MASK : 0x000000E0U VAL : 0x000000C0U + // .. .. reg_ddrc_refresh_margin = 0x2 + // .. .. ==> 0XF8006020[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. reg_ddrc_t_rp = 0x7 + // .. .. ==> 0XF8006020[15:12] = 0x00000007U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00007000U + // .. .. reg_ddrc_refresh_to_x32 = 0x8 + // .. .. ==> 0XF8006020[20:16] = 0x00000008U + // .. .. ==> MASK : 0x001F0000U VAL : 0x00080000U + // .. .. reg_ddrc_sdram = 0x1 + // .. .. ==> 0XF8006020[21:21] = 0x00000001U + // .. .. ==> MASK : 0x00200000U VAL : 0x00200000U + // .. .. reg_ddrc_mobile = 0x0 + // .. .. ==> 0XF8006020[22:22] = 0x00000000U + // .. .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. .. reg_ddrc_clock_stop_en = 0x0 + // .. .. ==> 0XF8006020[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. reg_ddrc_read_latency = 0x7 + // .. .. ==> 0XF8006020[28:24] = 0x00000007U + // .. .. ==> MASK : 0x1F000000U VAL : 0x07000000U + // .. .. reg_phy_mode_ddr1_ddr2 = 0x1 + // .. .. ==> 0XF8006020[29:29] = 0x00000001U + // .. .. ==> MASK : 0x20000000U VAL : 0x20000000U + // .. .. reg_ddrc_dis_pad_pd = 0x0 + // .. .. ==> 0XF8006020[30:30] = 0x00000000U + // .. .. ==> MASK : 0x40000000U VAL : 0x00000000U + // .. .. reg_ddrc_loopback = 0x0 + // .. .. ==> 0XF8006020[31:31] = 0x00000000U + // .. .. ==> MASK : 0x80000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006020, 0xFFFFFFFCU ,0x272872D0U), + // .. .. reg_ddrc_en_2t_timing_mode = 0x0 + // .. .. ==> 0XF8006024[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_prefer_write = 0x0 + // .. .. ==> 0XF8006024[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_max_rank_rd = 0xf + // .. .. ==> 0XF8006024[5:2] = 0x0000000FU + // .. .. ==> MASK : 0x0000003CU VAL : 0x0000003CU + // .. .. reg_ddrc_mr_wr = 0x0 + // .. .. ==> 0XF8006024[6:6] = 0x00000000U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. .. reg_ddrc_mr_addr = 0x0 + // .. .. ==> 0XF8006024[8:7] = 0x00000000U + // .. .. ==> MASK : 0x00000180U VAL : 0x00000000U + // .. .. reg_ddrc_mr_data = 0x0 + // .. .. ==> 0XF8006024[24:9] = 0x00000000U + // .. .. ==> MASK : 0x01FFFE00U VAL : 0x00000000U + // .. .. ddrc_reg_mr_wr_busy = 0x0 + // .. .. ==> 0XF8006024[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. reg_ddrc_mr_type = 0x0 + // .. .. ==> 0XF8006024[26:26] = 0x00000000U + // .. .. ==> MASK : 0x04000000U VAL : 0x00000000U + // .. .. reg_ddrc_mr_rdata_valid = 0x0 + // .. .. ==> 0XF8006024[27:27] = 0x00000000U + // .. .. ==> MASK : 0x08000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006024, 0x0FFFFFFFU ,0x0000003CU), + // .. .. reg_ddrc_final_wait_x32 = 0x7 + // .. .. ==> 0XF8006028[6:0] = 0x00000007U + // .. .. ==> MASK : 0x0000007FU VAL : 0x00000007U + // .. .. reg_ddrc_pre_ocd_x32 = 0x0 + // .. .. ==> 0XF8006028[10:7] = 0x00000000U + // .. .. ==> MASK : 0x00000780U VAL : 0x00000000U + // .. .. reg_ddrc_t_mrd = 0x4 + // .. .. ==> 0XF8006028[13:11] = 0x00000004U + // .. .. ==> MASK : 0x00003800U VAL : 0x00002000U + // .. .. + EMIT_MASKWRITE(0XF8006028, 0x00003FFFU ,0x00002007U), + // .. .. reg_ddrc_emr2 = 0x8 + // .. .. ==> 0XF800602C[15:0] = 0x00000008U + // .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. reg_ddrc_emr3 = 0x0 + // .. .. ==> 0XF800602C[31:16] = 0x00000000U + // .. .. ==> MASK : 0xFFFF0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800602C, 0xFFFFFFFFU ,0x00000008U), + // .. .. reg_ddrc_mr = 0x930 + // .. .. ==> 0XF8006030[15:0] = 0x00000930U + // .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000930U + // .. .. reg_ddrc_emr = 0x4 + // .. .. ==> 0XF8006030[31:16] = 0x00000004U + // .. .. ==> MASK : 0xFFFF0000U VAL : 0x00040000U + // .. .. + EMIT_MASKWRITE(0XF8006030, 0xFFFFFFFFU ,0x00040930U), + // .. .. reg_ddrc_burst_rdwr = 0x4 + // .. .. ==> 0XF8006034[3:0] = 0x00000004U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000004U + // .. .. reg_ddrc_pre_cke_x1024 = 0x16d + // .. .. ==> 0XF8006034[13:4] = 0x0000016DU + // .. .. ==> MASK : 0x00003FF0U VAL : 0x000016D0U + // .. .. reg_ddrc_post_cke_x1024 = 0x1 + // .. .. ==> 0XF8006034[25:16] = 0x00000001U + // .. .. ==> MASK : 0x03FF0000U VAL : 0x00010000U + // .. .. reg_ddrc_burstchop = 0x0 + // .. .. ==> 0XF8006034[28:28] = 0x00000000U + // .. .. ==> MASK : 0x10000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006034, 0x13FF3FFFU ,0x000116D4U), + // .. .. reg_ddrc_force_low_pri_n = 0x0 + // .. .. ==> 0XF8006038[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_dis_dq = 0x0 + // .. .. ==> 0XF8006038[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_debug_mode = 0x0 + // .. .. ==> 0XF8006038[6:6] = 0x00000000U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. .. reg_phy_wr_level_start = 0x0 + // .. .. ==> 0XF8006038[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. reg_phy_rd_level_start = 0x0 + // .. .. ==> 0XF8006038[8:8] = 0x00000000U + // .. .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. .. reg_phy_dq0_wait_t = 0x0 + // .. .. ==> 0XF8006038[12:9] = 0x00000000U + // .. .. ==> MASK : 0x00001E00U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006038, 0x00001FC3U ,0x00000000U), + // .. .. reg_ddrc_addrmap_bank_b0 = 0x7 + // .. .. ==> 0XF800603C[3:0] = 0x00000007U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000007U + // .. .. reg_ddrc_addrmap_bank_b1 = 0x7 + // .. .. ==> 0XF800603C[7:4] = 0x00000007U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000070U + // .. .. reg_ddrc_addrmap_bank_b2 = 0x7 + // .. .. ==> 0XF800603C[11:8] = 0x00000007U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000700U + // .. .. reg_ddrc_addrmap_col_b5 = 0x0 + // .. .. ==> 0XF800603C[15:12] = 0x00000000U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b6 = 0x0 + // .. .. ==> 0XF800603C[19:16] = 0x00000000U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800603C, 0x000FFFFFU ,0x00000777U), + // .. .. reg_ddrc_addrmap_col_b2 = 0x0 + // .. .. ==> 0XF8006040[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b3 = 0x0 + // .. .. ==> 0XF8006040[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b4 = 0x0 + // .. .. ==> 0XF8006040[11:8] = 0x00000000U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b7 = 0x0 + // .. .. ==> 0XF8006040[15:12] = 0x00000000U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b8 = 0x0 + // .. .. ==> 0XF8006040[19:16] = 0x00000000U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b9 = 0xf + // .. .. ==> 0XF8006040[23:20] = 0x0000000FU + // .. .. ==> MASK : 0x00F00000U VAL : 0x00F00000U + // .. .. reg_ddrc_addrmap_col_b10 = 0xf + // .. .. ==> 0XF8006040[27:24] = 0x0000000FU + // .. .. ==> MASK : 0x0F000000U VAL : 0x0F000000U + // .. .. reg_ddrc_addrmap_col_b11 = 0xf + // .. .. ==> 0XF8006040[31:28] = 0x0000000FU + // .. .. ==> MASK : 0xF0000000U VAL : 0xF0000000U + // .. .. + EMIT_MASKWRITE(0XF8006040, 0xFFFFFFFFU ,0xFFF00000U), + // .. .. reg_ddrc_addrmap_row_b0 = 0x6 + // .. .. ==> 0XF8006044[3:0] = 0x00000006U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000006U + // .. .. reg_ddrc_addrmap_row_b1 = 0x6 + // .. .. ==> 0XF8006044[7:4] = 0x00000006U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000060U + // .. .. reg_ddrc_addrmap_row_b2_11 = 0x6 + // .. .. ==> 0XF8006044[11:8] = 0x00000006U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000600U + // .. .. reg_ddrc_addrmap_row_b12 = 0x6 + // .. .. ==> 0XF8006044[15:12] = 0x00000006U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00006000U + // .. .. reg_ddrc_addrmap_row_b13 = 0x6 + // .. .. ==> 0XF8006044[19:16] = 0x00000006U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00060000U + // .. .. reg_ddrc_addrmap_row_b14 = 0x6 + // .. .. ==> 0XF8006044[23:20] = 0x00000006U + // .. .. ==> MASK : 0x00F00000U VAL : 0x00600000U + // .. .. reg_ddrc_addrmap_row_b15 = 0xf + // .. .. ==> 0XF8006044[27:24] = 0x0000000FU + // .. .. ==> MASK : 0x0F000000U VAL : 0x0F000000U + // .. .. + EMIT_MASKWRITE(0XF8006044, 0x0FFFFFFFU ,0x0F666666U), + // .. .. reg_ddrc_rank0_rd_odt = 0x0 + // .. .. ==> 0XF8006048[2:0] = 0x00000000U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000000U + // .. .. reg_ddrc_rank0_wr_odt = 0x1 + // .. .. ==> 0XF8006048[5:3] = 0x00000001U + // .. .. ==> MASK : 0x00000038U VAL : 0x00000008U + // .. .. reg_ddrc_rank1_rd_odt = 0x1 + // .. .. ==> 0XF8006048[8:6] = 0x00000001U + // .. .. ==> MASK : 0x000001C0U VAL : 0x00000040U + // .. .. reg_ddrc_rank1_wr_odt = 0x1 + // .. .. ==> 0XF8006048[11:9] = 0x00000001U + // .. .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. .. reg_phy_rd_local_odt = 0x0 + // .. .. ==> 0XF8006048[13:12] = 0x00000000U + // .. .. ==> MASK : 0x00003000U VAL : 0x00000000U + // .. .. reg_phy_wr_local_odt = 0x3 + // .. .. ==> 0XF8006048[15:14] = 0x00000003U + // .. .. ==> MASK : 0x0000C000U VAL : 0x0000C000U + // .. .. reg_phy_idle_local_odt = 0x3 + // .. .. ==> 0XF8006048[17:16] = 0x00000003U + // .. .. ==> MASK : 0x00030000U VAL : 0x00030000U + // .. .. reg_ddrc_rank2_rd_odt = 0x0 + // .. .. ==> 0XF8006048[20:18] = 0x00000000U + // .. .. ==> MASK : 0x001C0000U VAL : 0x00000000U + // .. .. reg_ddrc_rank2_wr_odt = 0x0 + // .. .. ==> 0XF8006048[23:21] = 0x00000000U + // .. .. ==> MASK : 0x00E00000U VAL : 0x00000000U + // .. .. reg_ddrc_rank3_rd_odt = 0x0 + // .. .. ==> 0XF8006048[26:24] = 0x00000000U + // .. .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. .. reg_ddrc_rank3_wr_odt = 0x0 + // .. .. ==> 0XF8006048[29:27] = 0x00000000U + // .. .. ==> MASK : 0x38000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006048, 0x3FFFFFFFU ,0x0003C248U), + // .. .. reg_phy_rd_cmd_to_data = 0x0 + // .. .. ==> 0XF8006050[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_phy_wr_cmd_to_data = 0x0 + // .. .. ==> 0XF8006050[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_phy_rdc_we_to_re_delay = 0x8 + // .. .. ==> 0XF8006050[11:8] = 0x00000008U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000800U + // .. .. reg_phy_rdc_fifo_rst_disable = 0x0 + // .. .. ==> 0XF8006050[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_phy_use_fixed_re = 0x1 + // .. .. ==> 0XF8006050[16:16] = 0x00000001U + // .. .. ==> MASK : 0x00010000U VAL : 0x00010000U + // .. .. reg_phy_rdc_fifo_rst_err_cnt_clr = 0x0 + // .. .. ==> 0XF8006050[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_phy_dis_phy_ctrl_rstn = 0x0 + // .. .. ==> 0XF8006050[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_phy_clk_stall_level = 0x0 + // .. .. ==> 0XF8006050[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_num_of_dq0 = 0x7 + // .. .. ==> 0XF8006050[27:24] = 0x00000007U + // .. .. ==> MASK : 0x0F000000U VAL : 0x07000000U + // .. .. reg_phy_wrlvl_num_of_dq0 = 0x7 + // .. .. ==> 0XF8006050[31:28] = 0x00000007U + // .. .. ==> MASK : 0xF0000000U VAL : 0x70000000U + // .. .. + EMIT_MASKWRITE(0XF8006050, 0xFF0F8FFFU ,0x77010800U), + // .. .. reg_ddrc_dll_calib_to_min_x1024 = 0x1 + // .. .. ==> 0XF8006058[7:0] = 0x00000001U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000001U + // .. .. reg_ddrc_dll_calib_to_max_x1024 = 0x1 + // .. .. ==> 0XF8006058[15:8] = 0x00000001U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00000100U + // .. .. reg_ddrc_dis_dll_calib = 0x0 + // .. .. ==> 0XF8006058[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006058, 0x0001FFFFU ,0x00000101U), + // .. .. reg_ddrc_rd_odt_delay = 0x3 + // .. .. ==> 0XF800605C[3:0] = 0x00000003U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000003U + // .. .. reg_ddrc_wr_odt_delay = 0x0 + // .. .. ==> 0XF800605C[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_ddrc_rd_odt_hold = 0x0 + // .. .. ==> 0XF800605C[11:8] = 0x00000000U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000000U + // .. .. reg_ddrc_wr_odt_hold = 0x5 + // .. .. ==> 0XF800605C[15:12] = 0x00000005U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00005000U + // .. .. + EMIT_MASKWRITE(0XF800605C, 0x0000FFFFU ,0x00005003U), + // .. .. reg_ddrc_pageclose = 0x0 + // .. .. ==> 0XF8006060[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_lpr_num_entries = 0x1f + // .. .. ==> 0XF8006060[6:1] = 0x0000001FU + // .. .. ==> MASK : 0x0000007EU VAL : 0x0000003EU + // .. .. reg_ddrc_auto_pre_en = 0x0 + // .. .. ==> 0XF8006060[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. reg_ddrc_refresh_update_level = 0x0 + // .. .. ==> 0XF8006060[8:8] = 0x00000000U + // .. .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. .. reg_ddrc_dis_wc = 0x0 + // .. .. ==> 0XF8006060[9:9] = 0x00000000U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. .. reg_ddrc_dis_collision_page_opt = 0x0 + // .. .. ==> 0XF8006060[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_ddrc_selfref_en = 0x0 + // .. .. ==> 0XF8006060[12:12] = 0x00000000U + // .. .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006060, 0x000017FFU ,0x0000003EU), + // .. .. reg_ddrc_go2critical_hysteresis = 0x0 + // .. .. ==> 0XF8006064[12:5] = 0x00000000U + // .. .. ==> MASK : 0x00001FE0U VAL : 0x00000000U + // .. .. reg_arb_go2critical_en = 0x1 + // .. .. ==> 0XF8006064[17:17] = 0x00000001U + // .. .. ==> MASK : 0x00020000U VAL : 0x00020000U + // .. .. + EMIT_MASKWRITE(0XF8006064, 0x00021FE0U ,0x00020000U), + // .. .. reg_ddrc_wrlvl_ww = 0x41 + // .. .. ==> 0XF8006068[7:0] = 0x00000041U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000041U + // .. .. reg_ddrc_rdlvl_rr = 0x41 + // .. .. ==> 0XF8006068[15:8] = 0x00000041U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00004100U + // .. .. reg_ddrc_dfi_t_wlmrd = 0x28 + // .. .. ==> 0XF8006068[25:16] = 0x00000028U + // .. .. ==> MASK : 0x03FF0000U VAL : 0x00280000U + // .. .. + EMIT_MASKWRITE(0XF8006068, 0x03FFFFFFU ,0x00284141U), + // .. .. dfi_t_ctrlupd_interval_min_x1024 = 0x10 + // .. .. ==> 0XF800606C[7:0] = 0x00000010U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000010U + // .. .. dfi_t_ctrlupd_interval_max_x1024 = 0x16 + // .. .. ==> 0XF800606C[15:8] = 0x00000016U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00001600U + // .. .. + EMIT_MASKWRITE(0XF800606C, 0x0000FFFFU ,0x00001610U), + // .. .. reg_ddrc_dfi_t_ctrl_delay = 0x1 + // .. .. ==> 0XF8006078[3:0] = 0x00000001U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000001U + // .. .. reg_ddrc_dfi_t_dram_clk_disable = 0x1 + // .. .. ==> 0XF8006078[7:4] = 0x00000001U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000010U + // .. .. reg_ddrc_dfi_t_dram_clk_enable = 0x1 + // .. .. ==> 0XF8006078[11:8] = 0x00000001U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000100U + // .. .. reg_ddrc_t_cksre = 0x6 + // .. .. ==> 0XF8006078[15:12] = 0x00000006U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00006000U + // .. .. reg_ddrc_t_cksrx = 0x6 + // .. .. ==> 0XF8006078[19:16] = 0x00000006U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00060000U + // .. .. reg_ddrc_t_ckesr = 0x4 + // .. .. ==> 0XF8006078[25:20] = 0x00000004U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00400000U + // .. .. + EMIT_MASKWRITE(0XF8006078, 0x03FFFFFFU ,0x00466111U), + // .. .. reg_ddrc_t_ckpde = 0x2 + // .. .. ==> 0XF800607C[3:0] = 0x00000002U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000002U + // .. .. reg_ddrc_t_ckpdx = 0x2 + // .. .. ==> 0XF800607C[7:4] = 0x00000002U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000020U + // .. .. reg_ddrc_t_ckdpde = 0x2 + // .. .. ==> 0XF800607C[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. reg_ddrc_t_ckdpdx = 0x2 + // .. .. ==> 0XF800607C[15:12] = 0x00000002U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00002000U + // .. .. reg_ddrc_t_ckcsx = 0x3 + // .. .. ==> 0XF800607C[19:16] = 0x00000003U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00030000U + // .. .. + EMIT_MASKWRITE(0XF800607C, 0x000FFFFFU ,0x00032222U), + // .. .. refresh_timer0_start_value_x32 = 0x0 + // .. .. ==> 0XF80060A0[11:0] = 0x00000000U + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000000U + // .. .. refresh_timer1_start_value_x32 = 0x8 + // .. .. ==> 0XF80060A0[23:12] = 0x00000008U + // .. .. ==> MASK : 0x00FFF000U VAL : 0x00008000U + // .. .. + EMIT_MASKWRITE(0XF80060A0, 0x00FFFFFFU ,0x00008000U), + // .. .. reg_ddrc_dis_auto_zq = 0x0 + // .. .. ==> 0XF80060A4[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_ddr3 = 0x1 + // .. .. ==> 0XF80060A4[1:1] = 0x00000001U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. reg_ddrc_t_mod = 0x200 + // .. .. ==> 0XF80060A4[11:2] = 0x00000200U + // .. .. ==> MASK : 0x00000FFCU VAL : 0x00000800U + // .. .. reg_ddrc_t_zq_long_nop = 0x200 + // .. .. ==> 0XF80060A4[21:12] = 0x00000200U + // .. .. ==> MASK : 0x003FF000U VAL : 0x00200000U + // .. .. reg_ddrc_t_zq_short_nop = 0x40 + // .. .. ==> 0XF80060A4[31:22] = 0x00000040U + // .. .. ==> MASK : 0xFFC00000U VAL : 0x10000000U + // .. .. + EMIT_MASKWRITE(0XF80060A4, 0xFFFFFFFFU ,0x10200802U), + // .. .. t_zq_short_interval_x1024 = 0xcb73 + // .. .. ==> 0XF80060A8[19:0] = 0x0000CB73U + // .. .. ==> MASK : 0x000FFFFFU VAL : 0x0000CB73U + // .. .. dram_rstn_x1024 = 0x69 + // .. .. ==> 0XF80060A8[27:20] = 0x00000069U + // .. .. ==> MASK : 0x0FF00000U VAL : 0x06900000U + // .. .. + EMIT_MASKWRITE(0XF80060A8, 0x0FFFFFFFU ,0x0690CB73U), + // .. .. deeppowerdown_en = 0x0 + // .. .. ==> 0XF80060AC[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. deeppowerdown_to_x1024 = 0xff + // .. .. ==> 0XF80060AC[8:1] = 0x000000FFU + // .. .. ==> MASK : 0x000001FEU VAL : 0x000001FEU + // .. .. + EMIT_MASKWRITE(0XF80060AC, 0x000001FFU ,0x000001FEU), + // .. .. dfi_wrlvl_max_x1024 = 0xfff + // .. .. ==> 0XF80060B0[11:0] = 0x00000FFFU + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000FFFU + // .. .. dfi_rdlvl_max_x1024 = 0xfff + // .. .. ==> 0XF80060B0[23:12] = 0x00000FFFU + // .. .. ==> MASK : 0x00FFF000U VAL : 0x00FFF000U + // .. .. ddrc_reg_twrlvl_max_error = 0x0 + // .. .. ==> 0XF80060B0[24:24] = 0x00000000U + // .. .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. .. ddrc_reg_trdlvl_max_error = 0x0 + // .. .. ==> 0XF80060B0[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. reg_ddrc_dfi_wr_level_en = 0x1 + // .. .. ==> 0XF80060B0[26:26] = 0x00000001U + // .. .. ==> MASK : 0x04000000U VAL : 0x04000000U + // .. .. reg_ddrc_dfi_rd_dqs_gate_level = 0x1 + // .. .. ==> 0XF80060B0[27:27] = 0x00000001U + // .. .. ==> MASK : 0x08000000U VAL : 0x08000000U + // .. .. reg_ddrc_dfi_rd_data_eye_train = 0x1 + // .. .. ==> 0XF80060B0[28:28] = 0x00000001U + // .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. + EMIT_MASKWRITE(0XF80060B0, 0x1FFFFFFFU ,0x1CFFFFFFU), + // .. .. reg_ddrc_2t_delay = 0x0 + // .. .. ==> 0XF80060B4[8:0] = 0x00000000U + // .. .. ==> MASK : 0x000001FFU VAL : 0x00000000U + // .. .. reg_ddrc_skip_ocd = 0x1 + // .. .. ==> 0XF80060B4[9:9] = 0x00000001U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000200U + // .. .. reg_ddrc_dis_pre_bypass = 0x0 + // .. .. ==> 0XF80060B4[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060B4, 0x000007FFU ,0x00000200U), + // .. .. reg_ddrc_dfi_t_rddata_en = 0x6 + // .. .. ==> 0XF80060B8[4:0] = 0x00000006U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000006U + // .. .. reg_ddrc_dfi_t_ctrlup_min = 0x3 + // .. .. ==> 0XF80060B8[14:5] = 0x00000003U + // .. .. ==> MASK : 0x00007FE0U VAL : 0x00000060U + // .. .. reg_ddrc_dfi_t_ctrlup_max = 0x40 + // .. .. ==> 0XF80060B8[24:15] = 0x00000040U + // .. .. ==> MASK : 0x01FF8000U VAL : 0x00200000U + // .. .. + EMIT_MASKWRITE(0XF80060B8, 0x01FFFFFFU ,0x00200066U), + // .. .. Clear_Uncorrectable_DRAM_ECC_error = 0x0 + // .. .. ==> 0XF80060C4[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. Clear_Correctable_DRAM_ECC_error = 0x0 + // .. .. ==> 0XF80060C4[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060C4, 0x00000003U ,0x00000000U), + // .. .. CORR_ECC_LOG_VALID = 0x0 + // .. .. ==> 0XF80060C8[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. ECC_CORRECTED_BIT_NUM = 0x0 + // .. .. ==> 0XF80060C8[7:1] = 0x00000000U + // .. .. ==> MASK : 0x000000FEU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060C8, 0x000000FFU ,0x00000000U), + // .. .. UNCORR_ECC_LOG_VALID = 0x0 + // .. .. ==> 0XF80060DC[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060DC, 0x00000001U ,0x00000000U), + // .. .. STAT_NUM_CORR_ERR = 0x0 + // .. .. ==> 0XF80060F0[15:8] = 0x00000000U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00000000U + // .. .. STAT_NUM_UNCORR_ERR = 0x0 + // .. .. ==> 0XF80060F0[7:0] = 0x00000000U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060F0, 0x0000FFFFU ,0x00000000U), + // .. .. reg_ddrc_ecc_mode = 0x0 + // .. .. ==> 0XF80060F4[2:0] = 0x00000000U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000000U + // .. .. reg_ddrc_dis_scrub = 0x1 + // .. .. ==> 0XF80060F4[3:3] = 0x00000001U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000008U + // .. .. + EMIT_MASKWRITE(0XF80060F4, 0x0000000FU ,0x00000008U), + // .. .. reg_phy_dif_on = 0x0 + // .. .. ==> 0XF8006114[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_phy_dif_off = 0x0 + // .. .. ==> 0XF8006114[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006114, 0x000000FFU ,0x00000000U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006118[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF8006118[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF8006118[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006118[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006118[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006118[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006118, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF800611C[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF800611C[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF800611C[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF800611C[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF800611C[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF800611C[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF800611C, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006120[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF8006120[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF8006120[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006120[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006120[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006120[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006120[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF8006120[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF8006120[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006120[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006120[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006120[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006120, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006124[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF8006124[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF8006124[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006124[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006124[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006124[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006124, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_wrlvl_init_ratio = 0x7 + // .. .. ==> 0XF800612C[9:0] = 0x00000007U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000007U + // .. .. reg_phy_gatelvl_init_ratio = 0x7a + // .. .. ==> 0XF800612C[19:10] = 0x0000007AU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001E800U + // .. .. + EMIT_MASKWRITE(0XF800612C, 0x000FFFFFU ,0x0001E807U), + // .. .. reg_phy_wrlvl_init_ratio = 0x5 + // .. .. ==> 0XF8006130[9:0] = 0x00000005U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000005U + // .. .. reg_phy_gatelvl_init_ratio = 0x7b + // .. .. ==> 0XF8006130[19:10] = 0x0000007BU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001EC00U + // .. .. + EMIT_MASKWRITE(0XF8006130, 0x000FFFFFU ,0x0001EC05U), + // .. .. reg_phy_wrlvl_init_ratio = 0x5 + // .. .. ==> 0XF8006134[9:0] = 0x00000005U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000005U + // .. .. reg_phy_gatelvl_init_ratio = 0x7b + // .. .. ==> 0XF8006134[19:10] = 0x0000007BU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001EC00U + // .. .. + EMIT_MASKWRITE(0XF8006134, 0x000FFFFFU ,0x0001EC05U), + // .. .. reg_phy_wrlvl_init_ratio = 0x1 + // .. .. ==> 0XF8006138[9:0] = 0x00000001U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000001U + // .. .. reg_phy_gatelvl_init_ratio = 0x78 + // .. .. ==> 0XF8006138[19:10] = 0x00000078U + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001E000U + // .. .. + EMIT_MASKWRITE(0XF8006138, 0x000FFFFFU ,0x0001E001U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006140[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006140[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006140[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006140, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006144[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006144[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006144[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006144, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006148[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006148[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006148[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006148, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF800614C[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF800614C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF800614C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800614C, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x87 + // .. .. ==> 0XF8006154[9:0] = 0x00000087U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000087U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006154[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006154[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006154, 0x000FFFFFU ,0x00000087U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x85 + // .. .. ==> 0XF8006158[9:0] = 0x00000085U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000085U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006158[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006158[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006158, 0x000FFFFFU ,0x00000085U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x85 + // .. .. ==> 0XF800615C[9:0] = 0x00000085U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000085U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF800615C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF800615C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800615C, 0x000FFFFFU ,0x00000085U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x81 + // .. .. ==> 0XF8006160[9:0] = 0x00000081U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000081U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006160[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006160[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006160, 0x000FFFFFU ,0x00000081U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xcf + // .. .. ==> 0XF8006168[10:0] = 0x000000CFU + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000CFU + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006168[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006168[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006168, 0x001FFFFFU ,0x000000CFU), + // .. .. reg_phy_fifo_we_slave_ratio = 0xd0 + // .. .. ==> 0XF800616C[10:0] = 0x000000D0U + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000D0U + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF800616C[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF800616C[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800616C, 0x001FFFFFU ,0x000000D0U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xd0 + // .. .. ==> 0XF8006170[10:0] = 0x000000D0U + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000D0U + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006170[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006170[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006170, 0x001FFFFFU ,0x000000D0U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xcd + // .. .. ==> 0XF8006174[10:0] = 0x000000CDU + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000CDU + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006174[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006174[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006174, 0x001FFFFFU ,0x000000CDU), + // .. .. reg_phy_wr_data_slave_ratio = 0xc7 + // .. .. ==> 0XF800617C[9:0] = 0x000000C7U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C7U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF800617C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF800617C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800617C, 0x000FFFFFU ,0x000000C7U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc5 + // .. .. ==> 0XF8006180[9:0] = 0x000000C5U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C5U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006180[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006180[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006180, 0x000FFFFFU ,0x000000C5U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc5 + // .. .. ==> 0XF8006184[9:0] = 0x000000C5U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C5U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006184[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006184[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006184, 0x000FFFFFU ,0x000000C5U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc1 + // .. .. ==> 0XF8006188[9:0] = 0x000000C1U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C1U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006188[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006188[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006188, 0x000FFFFFU ,0x000000C1U), + // .. .. reg_phy_loopback = 0x0 + // .. .. ==> 0XF8006190[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_phy_bl2 = 0x0 + // .. .. ==> 0XF8006190[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_at_spd_atpg = 0x0 + // .. .. ==> 0XF8006190[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_bist_enable = 0x0 + // .. .. ==> 0XF8006190[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_bist_force_err = 0x0 + // .. .. ==> 0XF8006190[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_bist_mode = 0x0 + // .. .. ==> 0XF8006190[6:5] = 0x00000000U + // .. .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. .. reg_phy_invert_clkout = 0x1 + // .. .. ==> 0XF8006190[7:7] = 0x00000001U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. .. reg_phy_all_dq_mpr_rd_resp = 0x0 + // .. .. ==> 0XF8006190[8:8] = 0x00000000U + // .. .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. .. reg_phy_sel_logic = 0x0 + // .. .. ==> 0XF8006190[9:9] = 0x00000000U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_ratio = 0x100 + // .. .. ==> 0XF8006190[19:10] = 0x00000100U + // .. .. ==> MASK : 0x000FFC00U VAL : 0x00040000U + // .. .. reg_phy_ctrl_slave_force = 0x0 + // .. .. ==> 0XF8006190[20:20] = 0x00000000U + // .. .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_delay = 0x0 + // .. .. ==> 0XF8006190[27:21] = 0x00000000U + // .. .. ==> MASK : 0x0FE00000U VAL : 0x00000000U + // .. .. reg_phy_use_rank0_delays = 0x1 + // .. .. ==> 0XF8006190[28:28] = 0x00000001U + // .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. reg_phy_lpddr = 0x0 + // .. .. ==> 0XF8006190[29:29] = 0x00000000U + // .. .. ==> MASK : 0x20000000U VAL : 0x00000000U + // .. .. reg_phy_cmd_latency = 0x0 + // .. .. ==> 0XF8006190[30:30] = 0x00000000U + // .. .. ==> MASK : 0x40000000U VAL : 0x00000000U + // .. .. reg_phy_int_lpbk = 0x0 + // .. .. ==> 0XF8006190[31:31] = 0x00000000U + // .. .. ==> MASK : 0x80000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006190, 0xFFFFFFFFU ,0x10040080U), + // .. .. reg_phy_wr_rl_delay = 0x2 + // .. .. ==> 0XF8006194[4:0] = 0x00000002U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000002U + // .. .. reg_phy_rd_rl_delay = 0x4 + // .. .. ==> 0XF8006194[9:5] = 0x00000004U + // .. .. ==> MASK : 0x000003E0U VAL : 0x00000080U + // .. .. reg_phy_dll_lock_diff = 0xf + // .. .. ==> 0XF8006194[13:10] = 0x0000000FU + // .. .. ==> MASK : 0x00003C00U VAL : 0x00003C00U + // .. .. reg_phy_use_wr_level = 0x1 + // .. .. ==> 0XF8006194[14:14] = 0x00000001U + // .. .. ==> MASK : 0x00004000U VAL : 0x00004000U + // .. .. reg_phy_use_rd_dqs_gate_level = 0x1 + // .. .. ==> 0XF8006194[15:15] = 0x00000001U + // .. .. ==> MASK : 0x00008000U VAL : 0x00008000U + // .. .. reg_phy_use_rd_data_eye_level = 0x1 + // .. .. ==> 0XF8006194[16:16] = 0x00000001U + // .. .. ==> MASK : 0x00010000U VAL : 0x00010000U + // .. .. reg_phy_dis_calib_rst = 0x0 + // .. .. ==> 0XF8006194[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_delay = 0x0 + // .. .. ==> 0XF8006194[19:18] = 0x00000000U + // .. .. ==> MASK : 0x000C0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006194, 0x000FFFFFU ,0x0001FC82U), + // .. .. reg_arb_page_addr_mask = 0x0 + // .. .. ==> 0XF8006204[31:0] = 0x00000000U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006204, 0xFFFFFFFFU ,0x00000000U), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006208[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006208[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006208[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006208[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF8006208[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF8006208, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF800620C[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF800620C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF800620C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF800620C[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF800620C[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF800620C, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006210[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006210[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006210[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006210[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF8006210[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF8006210, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006214[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006214[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006214[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006214[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF8006214[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF8006214, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006218[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006218[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006218[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006218[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006218[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006218, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF800621C[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF800621C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF800621C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF800621C[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF800621C[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800621C, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006220[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006220[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006220[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006220[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006220[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006220, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006224[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006224[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006224[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006224[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006224[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006224, 0x000F03FFU ,0x000003FFU), + // .. .. reg_ddrc_lpddr2 = 0x0 + // .. .. ==> 0XF80062A8[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_per_bank_refresh = 0x0 + // .. .. ==> 0XF80062A8[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_derate_enable = 0x0 + // .. .. ==> 0XF80062A8[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_ddrc_mr4_margin = 0x0 + // .. .. ==> 0XF80062A8[11:4] = 0x00000000U + // .. .. ==> MASK : 0x00000FF0U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80062A8, 0x00000FF7U ,0x00000000U), + // .. .. reg_ddrc_mr4_read_interval = 0x0 + // .. .. ==> 0XF80062AC[31:0] = 0x00000000U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80062AC, 0xFFFFFFFFU ,0x00000000U), + // .. .. reg_ddrc_min_stable_clock_x1 = 0x5 + // .. .. ==> 0XF80062B0[3:0] = 0x00000005U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000005U + // .. .. reg_ddrc_idle_after_reset_x32 = 0x12 + // .. .. ==> 0XF80062B0[11:4] = 0x00000012U + // .. .. ==> MASK : 0x00000FF0U VAL : 0x00000120U + // .. .. reg_ddrc_t_mrw = 0x5 + // .. .. ==> 0XF80062B0[21:12] = 0x00000005U + // .. .. ==> MASK : 0x003FF000U VAL : 0x00005000U + // .. .. + EMIT_MASKWRITE(0XF80062B0, 0x003FFFFFU ,0x00005125U), + // .. .. reg_ddrc_max_auto_init_x1024 = 0xa8 + // .. .. ==> 0XF80062B4[7:0] = 0x000000A8U + // .. .. ==> MASK : 0x000000FFU VAL : 0x000000A8U + // .. .. reg_ddrc_dev_zqinit_x32 = 0x12 + // .. .. ==> 0XF80062B4[17:8] = 0x00000012U + // .. .. ==> MASK : 0x0003FF00U VAL : 0x00001200U + // .. .. + EMIT_MASKWRITE(0XF80062B4, 0x0003FFFFU ,0x000012A8U), + // .. .. START: POLL ON DCI STATUS + // .. .. DONE = 1 + // .. .. ==> 0XF8000B74[13:13] = 0x00000001U + // .. .. ==> MASK : 0x00002000U VAL : 0x00002000U + // .. .. + EMIT_MASKPOLL(0XF8000B74, 0x00002000U), + // .. .. FINISH: POLL ON DCI STATUS + // .. .. START: UNLOCK DDR + // .. .. reg_ddrc_soft_rstb = 0x1 + // .. .. ==> 0XF8006000[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_ddrc_powerdown_en = 0x0 + // .. .. ==> 0XF8006000[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_data_bus_width = 0x0 + // .. .. ==> 0XF8006000[3:2] = 0x00000000U + // .. .. ==> MASK : 0x0000000CU VAL : 0x00000000U + // .. .. reg_ddrc_burst8_refresh = 0x0 + // .. .. ==> 0XF8006000[6:4] = 0x00000000U + // .. .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. .. reg_ddrc_rdwr_idle_gap = 1 + // .. .. ==> 0XF8006000[13:7] = 0x00000001U + // .. .. ==> MASK : 0x00003F80U VAL : 0x00000080U + // .. .. reg_ddrc_dis_rd_bypass = 0x0 + // .. .. ==> 0XF8006000[14:14] = 0x00000000U + // .. .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_act_bypass = 0x0 + // .. .. ==> 0XF8006000[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_auto_refresh = 0x0 + // .. .. ==> 0XF8006000[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000081U), + // .. .. FINISH: UNLOCK DDR + // .. .. START: CHECK DDR STATUS + // .. .. ddrc_reg_operating_mode = 1 + // .. .. ==> 0XF8006054[2:0] = 0x00000001U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000001U + // .. .. + EMIT_MASKPOLL(0XF8006054, 0x00000007U), + // .. .. FINISH: CHECK DDR STATUS + // .. FINISH: DDR INITIALIZATION + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_mio_init_data_2_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: OCM REMAPPING + // .. FINISH: OCM REMAPPING + // .. START: DDRIOB SETTINGS + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B40[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B40[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B40[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B40[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCR_TYPE = 0x0 + // .. ==> 0XF8000B40[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B40[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B40[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B40[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B40[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B40, 0x00000FFFU ,0x00000600U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B44[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B44[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B44[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B44[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCR_TYPE = 0x0 + // .. ==> 0XF8000B44[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B44[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B44[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B44[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B44[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B44, 0x00000FFFU ,0x00000600U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B48[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x1 + // .. ==> 0XF8000B48[2:1] = 0x00000001U + // .. ==> MASK : 0x00000006U VAL : 0x00000002U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B48[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B48[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B48[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B48[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B48[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B48[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B48[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B48, 0x00000FFFU ,0x00000672U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B4C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x1 + // .. ==> 0XF8000B4C[2:1] = 0x00000001U + // .. ==> MASK : 0x00000006U VAL : 0x00000002U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B4C[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B4C[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B4C[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B4C[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B4C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B4C[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B4C[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B4C, 0x00000FFFU ,0x00000672U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B50[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x2 + // .. ==> 0XF8000B50[2:1] = 0x00000002U + // .. ==> MASK : 0x00000006U VAL : 0x00000004U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B50[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B50[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B50[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B50[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B50[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B50[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B50[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B50, 0x00000FFFU ,0x00000674U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B54[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x2 + // .. ==> 0XF8000B54[2:1] = 0x00000002U + // .. ==> MASK : 0x00000006U VAL : 0x00000004U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B54[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B54[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B54[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B54[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B54[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B54[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B54[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B54, 0x00000FFFU ,0x00000674U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B58[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B58[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B58[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B58[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCR_TYPE = 0x0 + // .. ==> 0XF8000B58[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B58[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B58[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B58[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B58[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B58, 0x00000FFFU ,0x00000600U), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B5C[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B5C[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x3 + // .. ==> 0XF8000B5C[18:14] = 0x00000003U + // .. ==> MASK : 0x0007C000U VAL : 0x0000C000U + // .. SLEW_N = 0x3 + // .. ==> 0XF8000B5C[23:19] = 0x00000003U + // .. ==> MASK : 0x00F80000U VAL : 0x00180000U + // .. GTL = 0x0 + // .. ==> 0XF8000B5C[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B5C[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B5C, 0xFFFFFFFFU ,0x0018C61CU), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B60[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B60[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x6 + // .. ==> 0XF8000B60[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. SLEW_N = 0x1f + // .. ==> 0XF8000B60[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. GTL = 0x0 + // .. ==> 0XF8000B60[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B60[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B60, 0xFFFFFFFFU ,0x00F9861CU), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B64[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B64[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x6 + // .. ==> 0XF8000B64[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. SLEW_N = 0x1f + // .. ==> 0XF8000B64[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. GTL = 0x0 + // .. ==> 0XF8000B64[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B64[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B64, 0xFFFFFFFFU ,0x00F9861CU), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B68[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B68[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x6 + // .. ==> 0XF8000B68[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. SLEW_N = 0x1f + // .. ==> 0XF8000B68[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. GTL = 0x0 + // .. ==> 0XF8000B68[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B68[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B68, 0xFFFFFFFFU ,0x00F9861CU), + // .. VREF_INT_EN = 0x0 + // .. ==> 0XF8000B6C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. VREF_SEL = 0x0 + // .. ==> 0XF8000B6C[4:1] = 0x00000000U + // .. ==> MASK : 0x0000001EU VAL : 0x00000000U + // .. VREF_EXT_EN = 0x3 + // .. ==> 0XF8000B6C[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. VREF_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[8:7] = 0x00000000U + // .. ==> MASK : 0x00000180U VAL : 0x00000000U + // .. REFIO_EN = 0x1 + // .. ==> 0XF8000B6C[9:9] = 0x00000001U + // .. ==> MASK : 0x00000200U VAL : 0x00000200U + // .. REFIO_TEST = 0x0 + // .. ==> 0XF8000B6C[11:10] = 0x00000000U + // .. ==> MASK : 0x00000C00U VAL : 0x00000000U + // .. REFIO_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DRST_B_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. CKE_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[14:14] = 0x00000000U + // .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B6C, 0x00007FFFU ,0x00000260U), + // .. .. START: ASSERT RESET + // .. .. RESET = 1 + // .. .. ==> 0XF8000B70[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000021U), + // .. .. FINISH: ASSERT RESET + // .. .. START: DEASSERT RESET + // .. .. RESET = 0 + // .. .. ==> 0XF8000B70[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000020U), + // .. .. FINISH: DEASSERT RESET + // .. .. RESET = 0x1 + // .. .. ==> 0XF8000B70[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. ENABLE = 0x1 + // .. .. ==> 0XF8000B70[1:1] = 0x00000001U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. VRP_TRI = 0x0 + // .. .. ==> 0XF8000B70[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. VRN_TRI = 0x0 + // .. .. ==> 0XF8000B70[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. VRP_OUT = 0x0 + // .. .. ==> 0XF8000B70[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. NREF_OPT1 = 0x0 + // .. .. ==> 0XF8000B70[7:6] = 0x00000000U + // .. .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. .. NREF_OPT2 = 0x0 + // .. .. ==> 0XF8000B70[10:8] = 0x00000000U + // .. .. ==> MASK : 0x00000700U VAL : 0x00000000U + // .. .. NREF_OPT4 = 0x1 + // .. .. ==> 0XF8000B70[13:11] = 0x00000001U + // .. .. ==> MASK : 0x00003800U VAL : 0x00000800U + // .. .. PREF_OPT1 = 0x0 + // .. .. ==> 0XF8000B70[16:14] = 0x00000000U + // .. .. ==> MASK : 0x0001C000U VAL : 0x00000000U + // .. .. PREF_OPT2 = 0x0 + // .. .. ==> 0XF8000B70[19:17] = 0x00000000U + // .. .. ==> MASK : 0x000E0000U VAL : 0x00000000U + // .. .. UPDATE_CONTROL = 0x0 + // .. .. ==> 0XF8000B70[20:20] = 0x00000000U + // .. .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. .. INIT_COMPLETE = 0x0 + // .. .. ==> 0XF8000B70[21:21] = 0x00000000U + // .. .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. .. TST_CLK = 0x0 + // .. .. ==> 0XF8000B70[22:22] = 0x00000000U + // .. .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. .. TST_HLN = 0x0 + // .. .. ==> 0XF8000B70[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. TST_HLP = 0x0 + // .. .. ==> 0XF8000B70[24:24] = 0x00000000U + // .. .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. .. TST_RST = 0x0 + // .. .. ==> 0XF8000B70[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. INT_DCI_EN = 0x0 + // .. .. ==> 0XF8000B70[26:26] = 0x00000000U + // .. .. ==> MASK : 0x04000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x07FFFFFFU ,0x00000823U), + // .. FINISH: DDRIOB SETTINGS + // .. START: MIO PROGRAMMING + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000700[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000700[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000700[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000700[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000700[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000700[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000700[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000700[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000700[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000700, 0x00003FFFU ,0x00001600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000704[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000704[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000704[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000704[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000704[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000704[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000704[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000704[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000704[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000704, 0x00003FFFU ,0x00001600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000708[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000708[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000708[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000708[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000708[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000708[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000708[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000708[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000708[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000708, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800070C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800070C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800070C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800070C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800070C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800070C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800070C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF800070C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800070C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800070C, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000710[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000710[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000710[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000710[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000710[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000710[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000710[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000710[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000710[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000710, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000714[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000714[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000714[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000714[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000714[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000714[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000714[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000714[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000714[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000714, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000718[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000718[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000718[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000718[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000718[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000718[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000718[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000718[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000718[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000718, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800071C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800071C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800071C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800071C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800071C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800071C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800071C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF800071C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800071C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800071C, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000720[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000720[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000720[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000720[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF8000720[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF8000720[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000720[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000720[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000720[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000720, 0x00003FFFU ,0x000006E0U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000724[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000724[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000724[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000724[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF8000724[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF8000724[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000724[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000724[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000724[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000724, 0x00003FFFU ,0x000016E1U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000728[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000728[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000728[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000728[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000728[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000728[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000728[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000728[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000728[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000728, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800072C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800072C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800072C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800072C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF800072C[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF800072C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800072C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF800072C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800072C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800072C, 0x00003FFFU ,0x00001660U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000730[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000730[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000730[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000730[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000730[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000730[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000730[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000730[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000730[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000730, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000734[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000734[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000734[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000734[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000734[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000734[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000734[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000734[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000734[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000734, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000738[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000738[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000738[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000738[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000738[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF8000738[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000738[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000738[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000738[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000738, 0x00003FFFU ,0x00001640U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800073C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800073C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800073C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800073C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF800073C[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF800073C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800073C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF800073C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800073C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800073C, 0x00003FFFU ,0x00001640U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000740[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000740[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000740[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000740[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000740[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000740[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000740[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000740[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000740[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000744[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000744[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000744[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000744[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000744[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000744[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000744[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000744[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000744[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000748[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000748[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000748[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000748[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000748[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000748[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000748[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000748[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000748[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800074C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF800074C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800074C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800074C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800074C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800074C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800074C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800074C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800074C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000750[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000750[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000750[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000750[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000750[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000750[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000750[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000750[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000750[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000754[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000754[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000754[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000754[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000754[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000754[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000754[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000754[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000754[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000758[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000758[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000758[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000758[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000758[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000758[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000758[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000758[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000758[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800075C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF800075C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800075C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800075C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800075C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800075C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800075C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800075C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800075C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000760[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000760[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000760[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000760[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000760[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000760[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000760[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000760[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000760[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000764[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000764[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000764[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000764[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000764[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000764[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000764[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000764[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000764[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000768[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000768[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000768[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000768[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000768[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000768[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000768[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000768[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000768[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800076C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF800076C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800076C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800076C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800076C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800076C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800076C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800076C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800076C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000770[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000770[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000770[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000770[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000770[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000770[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000770[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000770[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000770[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000774[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000774[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000774[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000774[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000774[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000774[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000774[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000774[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000774[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000778[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000778[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000778[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000778[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000778[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000778[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000778[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000778[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000778[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800077C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF800077C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800077C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800077C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800077C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800077C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800077C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800077C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800077C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000780[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000780[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000780[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000780[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000780[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000780[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000780[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000780[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000780[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000784[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000784[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000784[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000784[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000784[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000784[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000784[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000784[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000784[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000788[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000788[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000788[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000788[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000788[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000788[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000788[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000788[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000788[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800078C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800078C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800078C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800078C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800078C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800078C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800078C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800078C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800078C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000790[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000790[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000790[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000790[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000790[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000790[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000790[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000790[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000790[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000794[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000794[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000794[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000794[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000794[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000794[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000794[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000794[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000794[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000798[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000798[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000798[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000798[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000798[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000798[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000798[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000798[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000798[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800079C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800079C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800079C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800079C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800079C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800079C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800079C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800079C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800079C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A8[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A8[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007AC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007AC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007AC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007AC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007AC[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007AC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007AC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007AC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007AC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007B0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007B0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007B0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007B0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007B4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007B4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007B4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007B4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF80007B8[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF80007B8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF80007B8[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF80007B8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B8, 0x00003FFFU ,0x000012E1U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007BC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007BC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007BC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007BC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF80007BC[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF80007BC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007BC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007BC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007BC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007BC, 0x00003FFFU ,0x000012E0U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF80007C0[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF80007C0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x00001240U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF80007C4[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF80007C4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x00001240U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C8[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF80007C8[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF80007C8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007CC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007CC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007CC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007CC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF80007CC[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF80007CC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007CC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007CC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007CC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007D0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007D0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007D0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007D0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007D0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007D0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007D0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007D0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007D0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007D4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007D4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007D4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007D4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007D4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007D4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007D4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007D4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007D4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), + // .. SDIO0_WP_SEL = 55 + // .. ==> 0XF8000830[5:0] = 0x00000037U + // .. ==> MASK : 0x0000003FU VAL : 0x00000037U + // .. SDIO0_CD_SEL = 56 + // .. ==> 0XF8000830[21:16] = 0x00000038U + // .. ==> MASK : 0x003F0000U VAL : 0x00380000U + // .. + EMIT_MASKWRITE(0XF8000830, 0x003F003FU ,0x00380037U), + // .. FINISH: MIO PROGRAMMING + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_peripherals_init_data_2_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: DDR TERM/IBUF_DISABLE_MODE SETTINGS + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B48[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B48[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B48, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B4C[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B4C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B4C, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B50[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B50[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B50, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B54[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B54[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B54, 0x00000180U ,0x00000180U), + // .. FINISH: DDR TERM/IBUF_DISABLE_MODE SETTINGS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // .. START: SRAM/NOR SET OPMODE + // .. FINISH: SRAM/NOR SET OPMODE + // .. START: UART REGISTERS + // .. BDIV = 0x6 + // .. ==> 0XE0001034[7:0] = 0x00000006U + // .. ==> MASK : 0x000000FFU VAL : 0x00000006U + // .. + EMIT_MASKWRITE(0XE0001034, 0x000000FFU ,0x00000006U), + // .. CD = 0x7c + // .. ==> 0XE0001018[15:0] = 0x0000007CU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000007CU + // .. + EMIT_MASKWRITE(0XE0001018, 0x0000FFFFU ,0x0000007CU), + // .. STPBRK = 0x0 + // .. ==> 0XE0001000[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. STTBRK = 0x0 + // .. ==> 0XE0001000[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. RSTTO = 0x0 + // .. ==> 0XE0001000[6:6] = 0x00000000U + // .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. TXDIS = 0x0 + // .. ==> 0XE0001000[5:5] = 0x00000000U + // .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. TXEN = 0x1 + // .. ==> 0XE0001000[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. RXDIS = 0x0 + // .. ==> 0XE0001000[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. RXEN = 0x1 + // .. ==> 0XE0001000[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. TXRES = 0x1 + // .. ==> 0XE0001000[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. RXRES = 0x1 + // .. ==> 0XE0001000[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. + EMIT_MASKWRITE(0XE0001000, 0x000001FFU ,0x00000017U), + // .. IRMODE = 0x0 + // .. ==> 0XE0001004[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. UCLKEN = 0x0 + // .. ==> 0XE0001004[10:10] = 0x00000000U + // .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. CHMODE = 0x0 + // .. ==> 0XE0001004[9:8] = 0x00000000U + // .. ==> MASK : 0x00000300U VAL : 0x00000000U + // .. NBSTOP = 0x0 + // .. ==> 0XE0001004[7:6] = 0x00000000U + // .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. PAR = 0x4 + // .. ==> 0XE0001004[5:3] = 0x00000004U + // .. ==> MASK : 0x00000038U VAL : 0x00000020U + // .. CHRL = 0x0 + // .. ==> 0XE0001004[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. CLKS = 0x0 + // .. ==> 0XE0001004[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XE0001004, 0x00000FFFU ,0x00000020U), + // .. BDIV = 0x6 + // .. ==> 0XE0000034[7:0] = 0x00000006U + // .. ==> MASK : 0x000000FFU VAL : 0x00000006U + // .. + EMIT_MASKWRITE(0XE0000034, 0x000000FFU ,0x00000006U), + // .. CD = 0x7c + // .. ==> 0XE0000018[15:0] = 0x0000007CU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000007CU + // .. + EMIT_MASKWRITE(0XE0000018, 0x0000FFFFU ,0x0000007CU), + // .. STPBRK = 0x0 + // .. ==> 0XE0000000[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. STTBRK = 0x0 + // .. ==> 0XE0000000[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. RSTTO = 0x0 + // .. ==> 0XE0000000[6:6] = 0x00000000U + // .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. TXDIS = 0x0 + // .. ==> 0XE0000000[5:5] = 0x00000000U + // .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. TXEN = 0x1 + // .. ==> 0XE0000000[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. RXDIS = 0x0 + // .. ==> 0XE0000000[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. RXEN = 0x1 + // .. ==> 0XE0000000[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. TXRES = 0x1 + // .. ==> 0XE0000000[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. RXRES = 0x1 + // .. ==> 0XE0000000[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. + EMIT_MASKWRITE(0XE0000000, 0x000001FFU ,0x00000017U), + // .. IRMODE = 0x0 + // .. ==> 0XE0000004[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. UCLKEN = 0x0 + // .. ==> 0XE0000004[10:10] = 0x00000000U + // .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. CHMODE = 0x0 + // .. ==> 0XE0000004[9:8] = 0x00000000U + // .. ==> MASK : 0x00000300U VAL : 0x00000000U + // .. NBSTOP = 0x0 + // .. ==> 0XE0000004[7:6] = 0x00000000U + // .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. PAR = 0x4 + // .. ==> 0XE0000004[5:3] = 0x00000004U + // .. ==> MASK : 0x00000038U VAL : 0x00000020U + // .. CHRL = 0x0 + // .. ==> 0XE0000004[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. CLKS = 0x0 + // .. ==> 0XE0000004[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XE0000004, 0x00000FFFU ,0x00000020U), + // .. FINISH: UART REGISTERS + // .. START: QSPI REGISTERS + // .. Holdb_dr = 1 + // .. ==> 0XE000D000[19:19] = 0x00000001U + // .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. + EMIT_MASKWRITE(0XE000D000, 0x00080000U ,0x00080000U), + // .. FINISH: QSPI REGISTERS + // .. START: PL POWER ON RESET REGISTERS + // .. PCFG_POR_CNT_4K = 0 + // .. ==> 0XF8007000[29:29] = 0x00000000U + // .. ==> MASK : 0x20000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8007000, 0x20000000U ,0x00000000U), + // .. FINISH: PL POWER ON RESET REGISTERS + // .. START: SMC TIMING CALCULATION REGISTER UPDATE + // .. .. START: NAND SET CYCLE + // .. .. FINISH: NAND SET CYCLE + // .. .. START: OPMODE + // .. .. FINISH: OPMODE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: SRAM/NOR CS0 SET CYCLE + // .. .. FINISH: SRAM/NOR CS0 SET CYCLE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: NOR CS0 BASE ADDRESS + // .. .. FINISH: NOR CS0 BASE ADDRESS + // .. .. START: SRAM/NOR CS1 SET CYCLE + // .. .. FINISH: SRAM/NOR CS1 SET CYCLE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: NOR CS1 BASE ADDRESS + // .. .. FINISH: NOR CS1 BASE ADDRESS + // .. .. START: USB RESET + // .. .. .. START: USB0 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. DIRECTION_0 = 0x18 + // .. .. .. .. ==> 0XE000A204[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x10 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000010U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000010U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. OP_ENABLE_0 = 0x18 + // .. .. .. .. ==> 0XE000A208[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x0 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000000U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000000U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0000U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x10 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000010U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000010U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: USB0 RESET + // .. .. .. START: USB1 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: USB1 RESET + // .. .. FINISH: USB RESET + // .. .. START: ENET RESET + // .. .. .. START: ENET0 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. DIRECTION_0 = 0x18 + // .. .. .. .. ==> 0XE000A204[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x8 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000008U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. OP_ENABLE_0 = 0x18 + // .. .. .. .. ==> 0XE000A208[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x0 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000000U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000000U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70000U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x8 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000008U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: ENET0 RESET + // .. .. .. START: ENET1 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: ENET1 RESET + // .. .. FINISH: ENET RESET + // .. .. START: I2C RESET + // .. .. .. START: I2C0 RESET + // .. .. .. .. START: DIR MODE GPIO BANK0 + // .. .. .. .. FINISH: DIR MODE GPIO BANK0 + // .. .. .. .. START: DIR MODE GPIO BANK1 + // .. .. .. .. FINISH: DIR MODE GPIO BANK1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: I2C0 RESET + // .. .. .. START: I2C1 RESET + // .. .. .. .. START: DIR MODE GPIO BANK0 + // .. .. .. .. FINISH: DIR MODE GPIO BANK0 + // .. .. .. .. START: DIR MODE GPIO BANK1 + // .. .. .. .. FINISH: DIR MODE GPIO BANK1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: I2C1 RESET + // .. .. FINISH: I2C RESET + // .. .. START: NOR CHIP SELECT + // .. .. .. START: DIR MODE BANK 0 + // .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. FINISH: NOR CHIP SELECT + // .. FINISH: SMC TIMING CALCULATION REGISTER UPDATE + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_post_config_2_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: ENABLING LEVEL SHIFTER + // .. USER_INP_ICT_EN_0 = 3 + // .. ==> 0XF8000900[1:0] = 0x00000003U + // .. ==> MASK : 0x00000003U VAL : 0x00000003U + // .. USER_INP_ICT_EN_1 = 3 + // .. ==> 0XF8000900[3:2] = 0x00000003U + // .. ==> MASK : 0x0000000CU VAL : 0x0000000CU + // .. + EMIT_MASKWRITE(0XF8000900, 0x0000000FU ,0x0000000FU), + // .. FINISH: ENABLING LEVEL SHIFTER + // .. START: FPGA RESETS TO 0 + // .. reserved_3 = 0 + // .. ==> 0XF8000240[31:25] = 0x00000000U + // .. ==> MASK : 0xFE000000U VAL : 0x00000000U + // .. FPGA_ACP_RST = 0 + // .. ==> 0XF8000240[24:24] = 0x00000000U + // .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. FPGA_AXDS3_RST = 0 + // .. ==> 0XF8000240[23:23] = 0x00000000U + // .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. FPGA_AXDS2_RST = 0 + // .. ==> 0XF8000240[22:22] = 0x00000000U + // .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. FPGA_AXDS1_RST = 0 + // .. ==> 0XF8000240[21:21] = 0x00000000U + // .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. FPGA_AXDS0_RST = 0 + // .. ==> 0XF8000240[20:20] = 0x00000000U + // .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. reserved_2 = 0 + // .. ==> 0XF8000240[19:18] = 0x00000000U + // .. ==> MASK : 0x000C0000U VAL : 0x00000000U + // .. FSSW1_FPGA_RST = 0 + // .. ==> 0XF8000240[17:17] = 0x00000000U + // .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. FSSW0_FPGA_RST = 0 + // .. ==> 0XF8000240[16:16] = 0x00000000U + // .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. reserved_1 = 0 + // .. ==> 0XF8000240[15:14] = 0x00000000U + // .. ==> MASK : 0x0000C000U VAL : 0x00000000U + // .. FPGA_FMSW1_RST = 0 + // .. ==> 0XF8000240[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. FPGA_FMSW0_RST = 0 + // .. ==> 0XF8000240[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. FPGA_DMA3_RST = 0 + // .. ==> 0XF8000240[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. FPGA_DMA2_RST = 0 + // .. ==> 0XF8000240[10:10] = 0x00000000U + // .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. FPGA_DMA1_RST = 0 + // .. ==> 0XF8000240[9:9] = 0x00000000U + // .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. FPGA_DMA0_RST = 0 + // .. ==> 0XF8000240[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. reserved = 0 + // .. ==> 0XF8000240[7:4] = 0x00000000U + // .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. FPGA3_OUT_RST = 0 + // .. ==> 0XF8000240[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. FPGA2_OUT_RST = 0 + // .. ==> 0XF8000240[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. FPGA1_OUT_RST = 0 + // .. ==> 0XF8000240[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. FPGA0_OUT_RST = 0 + // .. ==> 0XF8000240[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000240, 0xFFFFFFFFU ,0x00000000U), + // .. FINISH: FPGA RESETS TO 0 + // .. START: AFI REGISTERS + // .. .. START: AFI0 REGISTERS + // .. .. FINISH: AFI0 REGISTERS + // .. .. START: AFI1 REGISTERS + // .. .. FINISH: AFI1 REGISTERS + // .. .. START: AFI2 REGISTERS + // .. .. FINISH: AFI2 REGISTERS + // .. .. START: AFI3 REGISTERS + // .. .. FINISH: AFI3 REGISTERS + // .. FINISH: AFI REGISTERS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_debug_2_0[] = { + // START: top + // .. START: CROSS TRIGGER CONFIGURATIONS + // .. .. START: UNLOCKING CTI REGISTERS + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8898FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8898FB0, 0xC5ACCE55U), + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8899FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8899FB0, 0xC5ACCE55U), + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8809FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8809FB0, 0xC5ACCE55U), + // .. .. FINISH: UNLOCKING CTI REGISTERS + // .. .. START: ENABLING CTI MODULES AND CHANNELS + // .. .. FINISH: ENABLING CTI MODULES AND CHANNELS + // .. .. START: MAPPING CPU0, CPU1 AND FTM EVENTS TO CTM CHANNELS + // .. .. FINISH: MAPPING CPU0, CPU1 AND FTM EVENTS TO CTM CHANNELS + // .. FINISH: CROSS TRIGGER CONFIGURATIONS + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_pll_init_data_1_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: PLL SLCR REGISTERS + // .. .. START: ARM PLL INIT + // .. .. PLL_RES = 0x4 + // .. .. ==> 0XF8000110[7:4] = 0x00000004U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000040U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000110[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0xfa + // .. .. ==> 0XF8000110[21:12] = 0x000000FAU + // .. .. ==> MASK : 0x003FF000U VAL : 0x000FA000U + // .. .. + EMIT_MASKWRITE(0XF8000110, 0x003FFFF0U ,0x000FA240U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x3c + // .. .. .. ==> 0XF8000100[18:12] = 0x0000003CU + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x0003C000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x0007F000U ,0x0003C000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000100[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000100[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000100[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. ARM_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000001U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000100[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000100, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. .. SRCSEL = 0x0 + // .. .. .. ==> 0XF8000120[5:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. .. DIVISOR = 0x2 + // .. .. .. ==> 0XF8000120[13:8] = 0x00000002U + // .. .. .. ==> MASK : 0x00003F00U VAL : 0x00000200U + // .. .. .. CPU_6OR4XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[24:24] = 0x00000001U + // .. .. .. ==> MASK : 0x01000000U VAL : 0x01000000U + // .. .. .. CPU_3OR2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[25:25] = 0x00000001U + // .. .. .. ==> MASK : 0x02000000U VAL : 0x02000000U + // .. .. .. CPU_2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[26:26] = 0x00000001U + // .. .. .. ==> MASK : 0x04000000U VAL : 0x04000000U + // .. .. .. CPU_1XCLKACT = 0x1 + // .. .. .. ==> 0XF8000120[27:27] = 0x00000001U + // .. .. .. ==> MASK : 0x08000000U VAL : 0x08000000U + // .. .. .. CPU_PERI_CLKACT = 0x1 + // .. .. .. ==> 0XF8000120[28:28] = 0x00000001U + // .. .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000120, 0x1F003F30U ,0x1F000200U), + // .. .. FINISH: ARM PLL INIT + // .. .. START: DDR PLL INIT + // .. .. PLL_RES = 0x2 + // .. .. ==> 0XF8000114[7:4] = 0x00000002U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000020U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000114[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0x12c + // .. .. ==> 0XF8000114[21:12] = 0x0000012CU + // .. .. ==> MASK : 0x003FF000U VAL : 0x0012C000U + // .. .. + EMIT_MASKWRITE(0XF8000114, 0x003FFFF0U ,0x0012C220U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x20 + // .. .. .. ==> 0XF8000104[18:12] = 0x00000020U + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x00020000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x0007F000U ,0x00020000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000104[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000104[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000104[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. DDR_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[1:1] = 0x00000001U + // .. .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000002U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000104[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000104, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. .. DDR_3XCLKACT = 0x1 + // .. .. .. ==> 0XF8000124[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. DDR_2XCLKACT = 0x1 + // .. .. .. ==> 0XF8000124[1:1] = 0x00000001U + // .. .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. .. DDR_3XCLK_DIVISOR = 0x2 + // .. .. .. ==> 0XF8000124[25:20] = 0x00000002U + // .. .. .. ==> MASK : 0x03F00000U VAL : 0x00200000U + // .. .. .. DDR_2XCLK_DIVISOR = 0x3 + // .. .. .. ==> 0XF8000124[31:26] = 0x00000003U + // .. .. .. ==> MASK : 0xFC000000U VAL : 0x0C000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000124, 0xFFF00003U ,0x0C200003U), + // .. .. FINISH: DDR PLL INIT + // .. .. START: IO PLL INIT + // .. .. PLL_RES = 0x4 + // .. .. ==> 0XF8000118[7:4] = 0x00000004U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000040U + // .. .. PLL_CP = 0x2 + // .. .. ==> 0XF8000118[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. LOCK_CNT = 0xfa + // .. .. ==> 0XF8000118[21:12] = 0x000000FAU + // .. .. ==> MASK : 0x003FF000U VAL : 0x000FA000U + // .. .. + EMIT_MASKWRITE(0XF8000118, 0x003FFFF0U ,0x000FA240U), + // .. .. .. START: UPDATE FB_DIV + // .. .. .. PLL_FDIV = 0x3c + // .. .. .. ==> 0XF8000108[18:12] = 0x0000003CU + // .. .. .. ==> MASK : 0x0007F000U VAL : 0x0003C000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x0007F000U ,0x0003C000U), + // .. .. .. FINISH: UPDATE FB_DIV + // .. .. .. START: BY PASS PLL + // .. .. .. PLL_BYPASS_FORCE = 1 + // .. .. .. ==> 0XF8000108[4:4] = 0x00000001U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000010U), + // .. .. .. FINISH: BY PASS PLL + // .. .. .. START: ASSERT RESET + // .. .. .. PLL_RESET = 1 + // .. .. .. ==> 0XF8000108[0:0] = 0x00000001U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000001U), + // .. .. .. FINISH: ASSERT RESET + // .. .. .. START: DEASSERT RESET + // .. .. .. PLL_RESET = 0 + // .. .. .. ==> 0XF8000108[0:0] = 0x00000000U + // .. .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000001U ,0x00000000U), + // .. .. .. FINISH: DEASSERT RESET + // .. .. .. START: CHECK PLL STATUS + // .. .. .. IO_PLL_LOCK = 1 + // .. .. .. ==> 0XF800010C[2:2] = 0x00000001U + // .. .. .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. .. .. + EMIT_MASKPOLL(0XF800010C, 0x00000004U), + // .. .. .. FINISH: CHECK PLL STATUS + // .. .. .. START: REMOVE PLL BY PASS + // .. .. .. PLL_BYPASS_FORCE = 0 + // .. .. .. ==> 0XF8000108[4:4] = 0x00000000U + // .. .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. .. + EMIT_MASKWRITE(0XF8000108, 0x00000010U ,0x00000000U), + // .. .. .. FINISH: REMOVE PLL BY PASS + // .. .. FINISH: IO PLL INIT + // .. FINISH: PLL SLCR REGISTERS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_clock_init_data_1_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: CLOCK CONTROL SLCR REGISTERS + // .. CLKACT = 0x1 + // .. ==> 0XF8000128[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. DIVISOR0 = 0xf + // .. ==> 0XF8000128[13:8] = 0x0000000FU + // .. ==> MASK : 0x00003F00U VAL : 0x00000F00U + // .. DIVISOR1 = 0x7 + // .. ==> 0XF8000128[25:20] = 0x00000007U + // .. ==> MASK : 0x03F00000U VAL : 0x00700000U + // .. + EMIT_MASKWRITE(0XF8000128, 0x03F03F01U ,0x00700F01U), + // .. CLKACT = 0x1 + // .. ==> 0XF8000138[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000138[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000138, 0x00000011U ,0x00000001U), + // .. CLKACT = 0x1 + // .. ==> 0XF8000140[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000140[6:4] = 0x00000000U + // .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. DIVISOR = 0x10 + // .. ==> 0XF8000140[13:8] = 0x00000010U + // .. ==> MASK : 0x00003F00U VAL : 0x00001000U + // .. DIVISOR1 = 0x1 + // .. ==> 0XF8000140[25:20] = 0x00000001U + // .. ==> MASK : 0x03F00000U VAL : 0x00100000U + // .. + EMIT_MASKWRITE(0XF8000140, 0x03F03F71U ,0x00101001U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000150[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x0 + // .. ==> 0XF8000150[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000150[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0x14 + // .. ==> 0XF8000150[13:8] = 0x00000014U + // .. ==> MASK : 0x00003F00U VAL : 0x00001400U + // .. + EMIT_MASKWRITE(0XF8000150, 0x00003F33U ,0x00001401U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000154[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x1 + // .. ==> 0XF8000154[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000154[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0x14 + // .. ==> 0XF8000154[13:8] = 0x00000014U + // .. ==> MASK : 0x00003F00U VAL : 0x00001400U + // .. + EMIT_MASKWRITE(0XF8000154, 0x00003F33U ,0x00001403U), + // .. CLKACT0 = 0x1 + // .. ==> 0XF8000158[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. CLKACT1 = 0x1 + // .. ==> 0XF8000158[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. SRCSEL = 0x0 + // .. ==> 0XF8000158[5:4] = 0x00000000U + // .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. DIVISOR = 0xc + // .. ==> 0XF8000158[13:8] = 0x0000000CU + // .. ==> MASK : 0x00003F00U VAL : 0x00000C00U + // .. + EMIT_MASKWRITE(0XF8000158, 0x00003F33U ,0x00000C03U), + // .. .. START: TRACE CLOCK + // .. .. FINISH: TRACE CLOCK + // .. .. CLKACT = 0x1 + // .. .. ==> 0XF8000168[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000168[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR = 0xa + // .. .. ==> 0XF8000168[13:8] = 0x0000000AU + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000A00U + // .. .. + EMIT_MASKWRITE(0XF8000168, 0x00003F31U ,0x00000A01U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000170[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x5 + // .. .. ==> 0XF8000170[13:8] = 0x00000005U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000500U + // .. .. DIVISOR1 = 0x4 + // .. .. ==> 0XF8000170[25:20] = 0x00000004U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00400000U + // .. .. + EMIT_MASKWRITE(0XF8000170, 0x03F03F30U ,0x00400500U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000180[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0xa + // .. .. ==> 0XF8000180[13:8] = 0x0000000AU + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000A00U + // .. .. DIVISOR1 = 0x5 + // .. .. ==> 0XF8000180[25:20] = 0x00000005U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00500000U + // .. .. + EMIT_MASKWRITE(0XF8000180, 0x03F03F30U ,0x00500A00U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF8000190[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x4 + // .. .. ==> 0XF8000190[13:8] = 0x00000004U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000400U + // .. .. DIVISOR1 = 0x3 + // .. .. ==> 0XF8000190[25:20] = 0x00000003U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00300000U + // .. .. + EMIT_MASKWRITE(0XF8000190, 0x03F03F30U ,0x00300400U), + // .. .. SRCSEL = 0x0 + // .. .. ==> 0XF80001A0[5:4] = 0x00000000U + // .. .. ==> MASK : 0x00000030U VAL : 0x00000000U + // .. .. DIVISOR0 = 0x5 + // .. .. ==> 0XF80001A0[13:8] = 0x00000005U + // .. .. ==> MASK : 0x00003F00U VAL : 0x00000500U + // .. .. DIVISOR1 = 0x2 + // .. .. ==> 0XF80001A0[25:20] = 0x00000002U + // .. .. ==> MASK : 0x03F00000U VAL : 0x00200000U + // .. .. + EMIT_MASKWRITE(0XF80001A0, 0x03F03F30U ,0x00200500U), + // .. .. CLK_621_TRUE = 0x1 + // .. .. ==> 0XF80001C4[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. + EMIT_MASKWRITE(0XF80001C4, 0x00000001U ,0x00000001U), + // .. .. DMA_CPU_2XCLKACT = 0x1 + // .. .. ==> 0XF800012C[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. USB0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[2:2] = 0x00000001U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. .. USB1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[3:3] = 0x00000001U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000008U + // .. .. GEM0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[6:6] = 0x00000001U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000040U + // .. .. GEM1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. SDI0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[10:10] = 0x00000001U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000400U + // .. .. SDI1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. SPI0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[14:14] = 0x00000001U + // .. .. ==> MASK : 0x00004000U VAL : 0x00004000U + // .. .. SPI1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[15:15] = 0x00000001U + // .. .. ==> MASK : 0x00008000U VAL : 0x00008000U + // .. .. CAN0_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. CAN1_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. I2C0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[18:18] = 0x00000001U + // .. .. ==> MASK : 0x00040000U VAL : 0x00040000U + // .. .. I2C1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. UART0_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[20:20] = 0x00000001U + // .. .. ==> MASK : 0x00100000U VAL : 0x00100000U + // .. .. UART1_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[21:21] = 0x00000001U + // .. .. ==> MASK : 0x00200000U VAL : 0x00200000U + // .. .. GPIO_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[22:22] = 0x00000001U + // .. .. ==> MASK : 0x00400000U VAL : 0x00400000U + // .. .. LQSPI_CPU_1XCLKACT = 0x0 + // .. .. ==> 0XF800012C[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. SMC_CPU_1XCLKACT = 0x1 + // .. .. ==> 0XF800012C[24:24] = 0x00000001U + // .. .. ==> MASK : 0x01000000U VAL : 0x01000000U + // .. .. + EMIT_MASKWRITE(0XF800012C, 0x01FFCCCDU ,0x017CC44DU), + // .. FINISH: CLOCK CONTROL SLCR REGISTERS + // .. START: THIS SHOULD BE BLANK + // .. FINISH: THIS SHOULD BE BLANK + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_ddr_init_data_1_0[] = { + // START: top + // .. START: DDR INITIALIZATION + // .. .. START: LOCK DDR + // .. .. reg_ddrc_soft_rstb = 0 + // .. .. ==> 0XF8006000[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_powerdown_en = 0x0 + // .. .. ==> 0XF8006000[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_data_bus_width = 0x0 + // .. .. ==> 0XF8006000[3:2] = 0x00000000U + // .. .. ==> MASK : 0x0000000CU VAL : 0x00000000U + // .. .. reg_ddrc_burst8_refresh = 0x0 + // .. .. ==> 0XF8006000[6:4] = 0x00000000U + // .. .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. .. reg_ddrc_rdwr_idle_gap = 0x1 + // .. .. ==> 0XF8006000[13:7] = 0x00000001U + // .. .. ==> MASK : 0x00003F80U VAL : 0x00000080U + // .. .. reg_ddrc_dis_rd_bypass = 0x0 + // .. .. ==> 0XF8006000[14:14] = 0x00000000U + // .. .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_act_bypass = 0x0 + // .. .. ==> 0XF8006000[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_auto_refresh = 0x0 + // .. .. ==> 0XF8006000[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000080U), + // .. .. FINISH: LOCK DDR + // .. .. reg_ddrc_t_rfc_nom_x32 = 0x81 + // .. .. ==> 0XF8006004[11:0] = 0x00000081U + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000081U + // .. .. reg_ddrc_active_ranks = 0x1 + // .. .. ==> 0XF8006004[13:12] = 0x00000001U + // .. .. ==> MASK : 0x00003000U VAL : 0x00001000U + // .. .. reg_ddrc_addrmap_cs_bit0 = 0x0 + // .. .. ==> 0XF8006004[18:14] = 0x00000000U + // .. .. ==> MASK : 0x0007C000U VAL : 0x00000000U + // .. .. reg_ddrc_wr_odt_block = 0x1 + // .. .. ==> 0XF8006004[20:19] = 0x00000001U + // .. .. ==> MASK : 0x00180000U VAL : 0x00080000U + // .. .. reg_ddrc_diff_rank_rd_2cycle_gap = 0x0 + // .. .. ==> 0XF8006004[21:21] = 0x00000000U + // .. .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_cs_bit1 = 0x0 + // .. .. ==> 0XF8006004[26:22] = 0x00000000U + // .. .. ==> MASK : 0x07C00000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_open_bank = 0x0 + // .. .. ==> 0XF8006004[27:27] = 0x00000000U + // .. .. ==> MASK : 0x08000000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_4bank_ram = 0x0 + // .. .. ==> 0XF8006004[28:28] = 0x00000000U + // .. .. ==> MASK : 0x10000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006004, 0x1FFFFFFFU ,0x00081081U), + // .. .. reg_ddrc_hpr_min_non_critical_x32 = 0xf + // .. .. ==> 0XF8006008[10:0] = 0x0000000FU + // .. .. ==> MASK : 0x000007FFU VAL : 0x0000000FU + // .. .. reg_ddrc_hpr_max_starve_x32 = 0xf + // .. .. ==> 0XF8006008[21:11] = 0x0000000FU + // .. .. ==> MASK : 0x003FF800U VAL : 0x00007800U + // .. .. reg_ddrc_hpr_xact_run_length = 0xf + // .. .. ==> 0XF8006008[25:22] = 0x0000000FU + // .. .. ==> MASK : 0x03C00000U VAL : 0x03C00000U + // .. .. + EMIT_MASKWRITE(0XF8006008, 0x03FFFFFFU ,0x03C0780FU), + // .. .. reg_ddrc_lpr_min_non_critical_x32 = 0x1 + // .. .. ==> 0XF800600C[10:0] = 0x00000001U + // .. .. ==> MASK : 0x000007FFU VAL : 0x00000001U + // .. .. reg_ddrc_lpr_max_starve_x32 = 0x2 + // .. .. ==> 0XF800600C[21:11] = 0x00000002U + // .. .. ==> MASK : 0x003FF800U VAL : 0x00001000U + // .. .. reg_ddrc_lpr_xact_run_length = 0x8 + // .. .. ==> 0XF800600C[25:22] = 0x00000008U + // .. .. ==> MASK : 0x03C00000U VAL : 0x02000000U + // .. .. + EMIT_MASKWRITE(0XF800600C, 0x03FFFFFFU ,0x02001001U), + // .. .. reg_ddrc_w_min_non_critical_x32 = 0x1 + // .. .. ==> 0XF8006010[10:0] = 0x00000001U + // .. .. ==> MASK : 0x000007FFU VAL : 0x00000001U + // .. .. reg_ddrc_w_xact_run_length = 0x8 + // .. .. ==> 0XF8006010[14:11] = 0x00000008U + // .. .. ==> MASK : 0x00007800U VAL : 0x00004000U + // .. .. reg_ddrc_w_max_starve_x32 = 0x2 + // .. .. ==> 0XF8006010[25:15] = 0x00000002U + // .. .. ==> MASK : 0x03FF8000U VAL : 0x00010000U + // .. .. + EMIT_MASKWRITE(0XF8006010, 0x03FFFFFFU ,0x00014001U), + // .. .. reg_ddrc_t_rc = 0x1a + // .. .. ==> 0XF8006014[5:0] = 0x0000001AU + // .. .. ==> MASK : 0x0000003FU VAL : 0x0000001AU + // .. .. reg_ddrc_t_rfc_min = 0xa0 + // .. .. ==> 0XF8006014[13:6] = 0x000000A0U + // .. .. ==> MASK : 0x00003FC0U VAL : 0x00002800U + // .. .. reg_ddrc_post_selfref_gap_x32 = 0x10 + // .. .. ==> 0XF8006014[20:14] = 0x00000010U + // .. .. ==> MASK : 0x001FC000U VAL : 0x00040000U + // .. .. + EMIT_MASKWRITE(0XF8006014, 0x001FFFFFU ,0x0004281AU), + // .. .. reg_ddrc_wr2pre = 0x12 + // .. .. ==> 0XF8006018[4:0] = 0x00000012U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000012U + // .. .. reg_ddrc_powerdown_to_x32 = 0x6 + // .. .. ==> 0XF8006018[9:5] = 0x00000006U + // .. .. ==> MASK : 0x000003E0U VAL : 0x000000C0U + // .. .. reg_ddrc_t_faw = 0x16 + // .. .. ==> 0XF8006018[15:10] = 0x00000016U + // .. .. ==> MASK : 0x0000FC00U VAL : 0x00005800U + // .. .. reg_ddrc_t_ras_max = 0x24 + // .. .. ==> 0XF8006018[21:16] = 0x00000024U + // .. .. ==> MASK : 0x003F0000U VAL : 0x00240000U + // .. .. reg_ddrc_t_ras_min = 0x13 + // .. .. ==> 0XF8006018[26:22] = 0x00000013U + // .. .. ==> MASK : 0x07C00000U VAL : 0x04C00000U + // .. .. reg_ddrc_t_cke = 0x4 + // .. .. ==> 0XF8006018[31:28] = 0x00000004U + // .. .. ==> MASK : 0xF0000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006018, 0xF7FFFFFFU ,0x44E458D2U), + // .. .. reg_ddrc_write_latency = 0x5 + // .. .. ==> 0XF800601C[4:0] = 0x00000005U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000005U + // .. .. reg_ddrc_rd2wr = 0x7 + // .. .. ==> 0XF800601C[9:5] = 0x00000007U + // .. .. ==> MASK : 0x000003E0U VAL : 0x000000E0U + // .. .. reg_ddrc_wr2rd = 0xe + // .. .. ==> 0XF800601C[14:10] = 0x0000000EU + // .. .. ==> MASK : 0x00007C00U VAL : 0x00003800U + // .. .. reg_ddrc_t_xp = 0x4 + // .. .. ==> 0XF800601C[19:15] = 0x00000004U + // .. .. ==> MASK : 0x000F8000U VAL : 0x00020000U + // .. .. reg_ddrc_pad_pd = 0x0 + // .. .. ==> 0XF800601C[22:20] = 0x00000000U + // .. .. ==> MASK : 0x00700000U VAL : 0x00000000U + // .. .. reg_ddrc_rd2pre = 0x4 + // .. .. ==> 0XF800601C[27:23] = 0x00000004U + // .. .. ==> MASK : 0x0F800000U VAL : 0x02000000U + // .. .. reg_ddrc_t_rcd = 0x7 + // .. .. ==> 0XF800601C[31:28] = 0x00000007U + // .. .. ==> MASK : 0xF0000000U VAL : 0x70000000U + // .. .. + EMIT_MASKWRITE(0XF800601C, 0xFFFFFFFFU ,0x720238E5U), + // .. .. reg_ddrc_t_ccd = 0x4 + // .. .. ==> 0XF8006020[4:2] = 0x00000004U + // .. .. ==> MASK : 0x0000001CU VAL : 0x00000010U + // .. .. reg_ddrc_t_rrd = 0x6 + // .. .. ==> 0XF8006020[7:5] = 0x00000006U + // .. .. ==> MASK : 0x000000E0U VAL : 0x000000C0U + // .. .. reg_ddrc_refresh_margin = 0x2 + // .. .. ==> 0XF8006020[11:8] = 0x00000002U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000200U + // .. .. reg_ddrc_t_rp = 0x7 + // .. .. ==> 0XF8006020[15:12] = 0x00000007U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00007000U + // .. .. reg_ddrc_refresh_to_x32 = 0x8 + // .. .. ==> 0XF8006020[20:16] = 0x00000008U + // .. .. ==> MASK : 0x001F0000U VAL : 0x00080000U + // .. .. reg_ddrc_sdram = 0x1 + // .. .. ==> 0XF8006020[21:21] = 0x00000001U + // .. .. ==> MASK : 0x00200000U VAL : 0x00200000U + // .. .. reg_ddrc_mobile = 0x0 + // .. .. ==> 0XF8006020[22:22] = 0x00000000U + // .. .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. .. reg_ddrc_clock_stop_en = 0x0 + // .. .. ==> 0XF8006020[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. reg_ddrc_read_latency = 0x7 + // .. .. ==> 0XF8006020[28:24] = 0x00000007U + // .. .. ==> MASK : 0x1F000000U VAL : 0x07000000U + // .. .. reg_phy_mode_ddr1_ddr2 = 0x1 + // .. .. ==> 0XF8006020[29:29] = 0x00000001U + // .. .. ==> MASK : 0x20000000U VAL : 0x20000000U + // .. .. reg_ddrc_dis_pad_pd = 0x0 + // .. .. ==> 0XF8006020[30:30] = 0x00000000U + // .. .. ==> MASK : 0x40000000U VAL : 0x00000000U + // .. .. reg_ddrc_loopback = 0x0 + // .. .. ==> 0XF8006020[31:31] = 0x00000000U + // .. .. ==> MASK : 0x80000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006020, 0xFFFFFFFCU ,0x272872D0U), + // .. .. reg_ddrc_en_2t_timing_mode = 0x0 + // .. .. ==> 0XF8006024[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_prefer_write = 0x0 + // .. .. ==> 0XF8006024[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_max_rank_rd = 0xf + // .. .. ==> 0XF8006024[5:2] = 0x0000000FU + // .. .. ==> MASK : 0x0000003CU VAL : 0x0000003CU + // .. .. reg_ddrc_mr_wr = 0x0 + // .. .. ==> 0XF8006024[6:6] = 0x00000000U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. .. reg_ddrc_mr_addr = 0x0 + // .. .. ==> 0XF8006024[8:7] = 0x00000000U + // .. .. ==> MASK : 0x00000180U VAL : 0x00000000U + // .. .. reg_ddrc_mr_data = 0x0 + // .. .. ==> 0XF8006024[24:9] = 0x00000000U + // .. .. ==> MASK : 0x01FFFE00U VAL : 0x00000000U + // .. .. ddrc_reg_mr_wr_busy = 0x0 + // .. .. ==> 0XF8006024[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. reg_ddrc_mr_type = 0x0 + // .. .. ==> 0XF8006024[26:26] = 0x00000000U + // .. .. ==> MASK : 0x04000000U VAL : 0x00000000U + // .. .. reg_ddrc_mr_rdata_valid = 0x0 + // .. .. ==> 0XF8006024[27:27] = 0x00000000U + // .. .. ==> MASK : 0x08000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006024, 0x0FFFFFFFU ,0x0000003CU), + // .. .. reg_ddrc_final_wait_x32 = 0x7 + // .. .. ==> 0XF8006028[6:0] = 0x00000007U + // .. .. ==> MASK : 0x0000007FU VAL : 0x00000007U + // .. .. reg_ddrc_pre_ocd_x32 = 0x0 + // .. .. ==> 0XF8006028[10:7] = 0x00000000U + // .. .. ==> MASK : 0x00000780U VAL : 0x00000000U + // .. .. reg_ddrc_t_mrd = 0x4 + // .. .. ==> 0XF8006028[13:11] = 0x00000004U + // .. .. ==> MASK : 0x00003800U VAL : 0x00002000U + // .. .. + EMIT_MASKWRITE(0XF8006028, 0x00003FFFU ,0x00002007U), + // .. .. reg_ddrc_emr2 = 0x8 + // .. .. ==> 0XF800602C[15:0] = 0x00000008U + // .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. reg_ddrc_emr3 = 0x0 + // .. .. ==> 0XF800602C[31:16] = 0x00000000U + // .. .. ==> MASK : 0xFFFF0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800602C, 0xFFFFFFFFU ,0x00000008U), + // .. .. reg_ddrc_mr = 0x930 + // .. .. ==> 0XF8006030[15:0] = 0x00000930U + // .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000930U + // .. .. reg_ddrc_emr = 0x4 + // .. .. ==> 0XF8006030[31:16] = 0x00000004U + // .. .. ==> MASK : 0xFFFF0000U VAL : 0x00040000U + // .. .. + EMIT_MASKWRITE(0XF8006030, 0xFFFFFFFFU ,0x00040930U), + // .. .. reg_ddrc_burst_rdwr = 0x4 + // .. .. ==> 0XF8006034[3:0] = 0x00000004U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000004U + // .. .. reg_ddrc_pre_cke_x1024 = 0x16d + // .. .. ==> 0XF8006034[13:4] = 0x0000016DU + // .. .. ==> MASK : 0x00003FF0U VAL : 0x000016D0U + // .. .. reg_ddrc_post_cke_x1024 = 0x1 + // .. .. ==> 0XF8006034[25:16] = 0x00000001U + // .. .. ==> MASK : 0x03FF0000U VAL : 0x00010000U + // .. .. reg_ddrc_burstchop = 0x0 + // .. .. ==> 0XF8006034[28:28] = 0x00000000U + // .. .. ==> MASK : 0x10000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006034, 0x13FF3FFFU ,0x000116D4U), + // .. .. reg_ddrc_force_low_pri_n = 0x0 + // .. .. ==> 0XF8006038[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_dis_dq = 0x0 + // .. .. ==> 0XF8006038[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_debug_mode = 0x0 + // .. .. ==> 0XF8006038[6:6] = 0x00000000U + // .. .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. .. reg_phy_wr_level_start = 0x0 + // .. .. ==> 0XF8006038[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. reg_phy_rd_level_start = 0x0 + // .. .. ==> 0XF8006038[8:8] = 0x00000000U + // .. .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. .. reg_phy_dq0_wait_t = 0x0 + // .. .. ==> 0XF8006038[12:9] = 0x00000000U + // .. .. ==> MASK : 0x00001E00U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006038, 0x00001FC3U ,0x00000000U), + // .. .. reg_ddrc_addrmap_bank_b0 = 0x7 + // .. .. ==> 0XF800603C[3:0] = 0x00000007U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000007U + // .. .. reg_ddrc_addrmap_bank_b1 = 0x7 + // .. .. ==> 0XF800603C[7:4] = 0x00000007U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000070U + // .. .. reg_ddrc_addrmap_bank_b2 = 0x7 + // .. .. ==> 0XF800603C[11:8] = 0x00000007U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000700U + // .. .. reg_ddrc_addrmap_col_b5 = 0x0 + // .. .. ==> 0XF800603C[15:12] = 0x00000000U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b6 = 0x0 + // .. .. ==> 0XF800603C[19:16] = 0x00000000U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800603C, 0x000FFFFFU ,0x00000777U), + // .. .. reg_ddrc_addrmap_col_b2 = 0x0 + // .. .. ==> 0XF8006040[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b3 = 0x0 + // .. .. ==> 0XF8006040[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b4 = 0x0 + // .. .. ==> 0XF8006040[11:8] = 0x00000000U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b7 = 0x0 + // .. .. ==> 0XF8006040[15:12] = 0x00000000U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b8 = 0x0 + // .. .. ==> 0XF8006040[19:16] = 0x00000000U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00000000U + // .. .. reg_ddrc_addrmap_col_b9 = 0xf + // .. .. ==> 0XF8006040[23:20] = 0x0000000FU + // .. .. ==> MASK : 0x00F00000U VAL : 0x00F00000U + // .. .. reg_ddrc_addrmap_col_b10 = 0xf + // .. .. ==> 0XF8006040[27:24] = 0x0000000FU + // .. .. ==> MASK : 0x0F000000U VAL : 0x0F000000U + // .. .. reg_ddrc_addrmap_col_b11 = 0xf + // .. .. ==> 0XF8006040[31:28] = 0x0000000FU + // .. .. ==> MASK : 0xF0000000U VAL : 0xF0000000U + // .. .. + EMIT_MASKWRITE(0XF8006040, 0xFFFFFFFFU ,0xFFF00000U), + // .. .. reg_ddrc_addrmap_row_b0 = 0x6 + // .. .. ==> 0XF8006044[3:0] = 0x00000006U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000006U + // .. .. reg_ddrc_addrmap_row_b1 = 0x6 + // .. .. ==> 0XF8006044[7:4] = 0x00000006U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000060U + // .. .. reg_ddrc_addrmap_row_b2_11 = 0x6 + // .. .. ==> 0XF8006044[11:8] = 0x00000006U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000600U + // .. .. reg_ddrc_addrmap_row_b12 = 0x6 + // .. .. ==> 0XF8006044[15:12] = 0x00000006U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00006000U + // .. .. reg_ddrc_addrmap_row_b13 = 0x6 + // .. .. ==> 0XF8006044[19:16] = 0x00000006U + // .. .. ==> MASK : 0x000F0000U VAL : 0x00060000U + // .. .. reg_ddrc_addrmap_row_b14 = 0x6 + // .. .. ==> 0XF8006044[23:20] = 0x00000006U + // .. .. ==> MASK : 0x00F00000U VAL : 0x00600000U + // .. .. reg_ddrc_addrmap_row_b15 = 0xf + // .. .. ==> 0XF8006044[27:24] = 0x0000000FU + // .. .. ==> MASK : 0x0F000000U VAL : 0x0F000000U + // .. .. + EMIT_MASKWRITE(0XF8006044, 0x0FFFFFFFU ,0x0F666666U), + // .. .. reg_ddrc_rank0_rd_odt = 0x0 + // .. .. ==> 0XF8006048[2:0] = 0x00000000U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000000U + // .. .. reg_ddrc_rank0_wr_odt = 0x1 + // .. .. ==> 0XF8006048[5:3] = 0x00000001U + // .. .. ==> MASK : 0x00000038U VAL : 0x00000008U + // .. .. reg_ddrc_rank1_rd_odt = 0x1 + // .. .. ==> 0XF8006048[8:6] = 0x00000001U + // .. .. ==> MASK : 0x000001C0U VAL : 0x00000040U + // .. .. reg_ddrc_rank1_wr_odt = 0x1 + // .. .. ==> 0XF8006048[11:9] = 0x00000001U + // .. .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. .. reg_phy_rd_local_odt = 0x0 + // .. .. ==> 0XF8006048[13:12] = 0x00000000U + // .. .. ==> MASK : 0x00003000U VAL : 0x00000000U + // .. .. reg_phy_wr_local_odt = 0x3 + // .. .. ==> 0XF8006048[15:14] = 0x00000003U + // .. .. ==> MASK : 0x0000C000U VAL : 0x0000C000U + // .. .. reg_phy_idle_local_odt = 0x3 + // .. .. ==> 0XF8006048[17:16] = 0x00000003U + // .. .. ==> MASK : 0x00030000U VAL : 0x00030000U + // .. .. reg_ddrc_rank2_rd_odt = 0x0 + // .. .. ==> 0XF8006048[20:18] = 0x00000000U + // .. .. ==> MASK : 0x001C0000U VAL : 0x00000000U + // .. .. reg_ddrc_rank2_wr_odt = 0x0 + // .. .. ==> 0XF8006048[23:21] = 0x00000000U + // .. .. ==> MASK : 0x00E00000U VAL : 0x00000000U + // .. .. reg_ddrc_rank3_rd_odt = 0x0 + // .. .. ==> 0XF8006048[26:24] = 0x00000000U + // .. .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. .. reg_ddrc_rank3_wr_odt = 0x0 + // .. .. ==> 0XF8006048[29:27] = 0x00000000U + // .. .. ==> MASK : 0x38000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006048, 0x3FFFFFFFU ,0x0003C248U), + // .. .. reg_phy_rd_cmd_to_data = 0x0 + // .. .. ==> 0XF8006050[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_phy_wr_cmd_to_data = 0x0 + // .. .. ==> 0XF8006050[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_phy_rdc_we_to_re_delay = 0x8 + // .. .. ==> 0XF8006050[11:8] = 0x00000008U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000800U + // .. .. reg_phy_rdc_fifo_rst_disable = 0x0 + // .. .. ==> 0XF8006050[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_phy_use_fixed_re = 0x1 + // .. .. ==> 0XF8006050[16:16] = 0x00000001U + // .. .. ==> MASK : 0x00010000U VAL : 0x00010000U + // .. .. reg_phy_rdc_fifo_rst_err_cnt_clr = 0x0 + // .. .. ==> 0XF8006050[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_phy_dis_phy_ctrl_rstn = 0x0 + // .. .. ==> 0XF8006050[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_phy_clk_stall_level = 0x0 + // .. .. ==> 0XF8006050[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_num_of_dq0 = 0x7 + // .. .. ==> 0XF8006050[27:24] = 0x00000007U + // .. .. ==> MASK : 0x0F000000U VAL : 0x07000000U + // .. .. reg_phy_wrlvl_num_of_dq0 = 0x7 + // .. .. ==> 0XF8006050[31:28] = 0x00000007U + // .. .. ==> MASK : 0xF0000000U VAL : 0x70000000U + // .. .. + EMIT_MASKWRITE(0XF8006050, 0xFF0F8FFFU ,0x77010800U), + // .. .. reg_ddrc_dll_calib_to_min_x1024 = 0x1 + // .. .. ==> 0XF8006058[7:0] = 0x00000001U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000001U + // .. .. reg_ddrc_dll_calib_to_max_x1024 = 0x1 + // .. .. ==> 0XF8006058[15:8] = 0x00000001U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00000100U + // .. .. reg_ddrc_dis_dll_calib = 0x0 + // .. .. ==> 0XF8006058[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006058, 0x0001FFFFU ,0x00000101U), + // .. .. reg_ddrc_rd_odt_delay = 0x3 + // .. .. ==> 0XF800605C[3:0] = 0x00000003U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000003U + // .. .. reg_ddrc_wr_odt_delay = 0x0 + // .. .. ==> 0XF800605C[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. reg_ddrc_rd_odt_hold = 0x0 + // .. .. ==> 0XF800605C[11:8] = 0x00000000U + // .. .. ==> MASK : 0x00000F00U VAL : 0x00000000U + // .. .. reg_ddrc_wr_odt_hold = 0x5 + // .. .. ==> 0XF800605C[15:12] = 0x00000005U + // .. .. ==> MASK : 0x0000F000U VAL : 0x00005000U + // .. .. + EMIT_MASKWRITE(0XF800605C, 0x0000FFFFU ,0x00005003U), + // .. .. reg_ddrc_pageclose = 0x0 + // .. .. ==> 0XF8006060[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_lpr_num_entries = 0x1f + // .. .. ==> 0XF8006060[6:1] = 0x0000001FU + // .. .. ==> MASK : 0x0000007EU VAL : 0x0000003EU + // .. .. reg_ddrc_auto_pre_en = 0x0 + // .. .. ==> 0XF8006060[7:7] = 0x00000000U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. .. reg_ddrc_refresh_update_level = 0x0 + // .. .. ==> 0XF8006060[8:8] = 0x00000000U + // .. .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. .. reg_ddrc_dis_wc = 0x0 + // .. .. ==> 0XF8006060[9:9] = 0x00000000U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. .. reg_ddrc_dis_collision_page_opt = 0x0 + // .. .. ==> 0XF8006060[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_ddrc_selfref_en = 0x0 + // .. .. ==> 0XF8006060[12:12] = 0x00000000U + // .. .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006060, 0x000017FFU ,0x0000003EU), + // .. .. reg_ddrc_go2critical_hysteresis = 0x0 + // .. .. ==> 0XF8006064[12:5] = 0x00000000U + // .. .. ==> MASK : 0x00001FE0U VAL : 0x00000000U + // .. .. reg_arb_go2critical_en = 0x1 + // .. .. ==> 0XF8006064[17:17] = 0x00000001U + // .. .. ==> MASK : 0x00020000U VAL : 0x00020000U + // .. .. + EMIT_MASKWRITE(0XF8006064, 0x00021FE0U ,0x00020000U), + // .. .. reg_ddrc_wrlvl_ww = 0x41 + // .. .. ==> 0XF8006068[7:0] = 0x00000041U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000041U + // .. .. reg_ddrc_rdlvl_rr = 0x41 + // .. .. ==> 0XF8006068[15:8] = 0x00000041U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00004100U + // .. .. reg_ddrc_dfi_t_wlmrd = 0x28 + // .. .. ==> 0XF8006068[25:16] = 0x00000028U + // .. .. ==> MASK : 0x03FF0000U VAL : 0x00280000U + // .. .. + EMIT_MASKWRITE(0XF8006068, 0x03FFFFFFU ,0x00284141U), + // .. .. dfi_t_ctrlupd_interval_min_x1024 = 0x10 + // .. .. ==> 0XF800606C[7:0] = 0x00000010U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000010U + // .. .. dfi_t_ctrlupd_interval_max_x1024 = 0x16 + // .. .. ==> 0XF800606C[15:8] = 0x00000016U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00001600U + // .. .. + EMIT_MASKWRITE(0XF800606C, 0x0000FFFFU ,0x00001610U), + // .. .. refresh_timer0_start_value_x32 = 0x0 + // .. .. ==> 0XF80060A0[11:0] = 0x00000000U + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000000U + // .. .. refresh_timer1_start_value_x32 = 0x8 + // .. .. ==> 0XF80060A0[23:12] = 0x00000008U + // .. .. ==> MASK : 0x00FFF000U VAL : 0x00008000U + // .. .. + EMIT_MASKWRITE(0XF80060A0, 0x00FFFFFFU ,0x00008000U), + // .. .. reg_ddrc_dis_auto_zq = 0x0 + // .. .. ==> 0XF80060A4[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_ddr3 = 0x1 + // .. .. ==> 0XF80060A4[1:1] = 0x00000001U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. reg_ddrc_t_mod = 0x200 + // .. .. ==> 0XF80060A4[11:2] = 0x00000200U + // .. .. ==> MASK : 0x00000FFCU VAL : 0x00000800U + // .. .. reg_ddrc_t_zq_long_nop = 0x200 + // .. .. ==> 0XF80060A4[21:12] = 0x00000200U + // .. .. ==> MASK : 0x003FF000U VAL : 0x00200000U + // .. .. reg_ddrc_t_zq_short_nop = 0x40 + // .. .. ==> 0XF80060A4[31:22] = 0x00000040U + // .. .. ==> MASK : 0xFFC00000U VAL : 0x10000000U + // .. .. + EMIT_MASKWRITE(0XF80060A4, 0xFFFFFFFFU ,0x10200802U), + // .. .. t_zq_short_interval_x1024 = 0xcb73 + // .. .. ==> 0XF80060A8[19:0] = 0x0000CB73U + // .. .. ==> MASK : 0x000FFFFFU VAL : 0x0000CB73U + // .. .. dram_rstn_x1024 = 0x69 + // .. .. ==> 0XF80060A8[27:20] = 0x00000069U + // .. .. ==> MASK : 0x0FF00000U VAL : 0x06900000U + // .. .. + EMIT_MASKWRITE(0XF80060A8, 0x0FFFFFFFU ,0x0690CB73U), + // .. .. deeppowerdown_en = 0x0 + // .. .. ==> 0XF80060AC[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. deeppowerdown_to_x1024 = 0xff + // .. .. ==> 0XF80060AC[8:1] = 0x000000FFU + // .. .. ==> MASK : 0x000001FEU VAL : 0x000001FEU + // .. .. + EMIT_MASKWRITE(0XF80060AC, 0x000001FFU ,0x000001FEU), + // .. .. dfi_wrlvl_max_x1024 = 0xfff + // .. .. ==> 0XF80060B0[11:0] = 0x00000FFFU + // .. .. ==> MASK : 0x00000FFFU VAL : 0x00000FFFU + // .. .. dfi_rdlvl_max_x1024 = 0xfff + // .. .. ==> 0XF80060B0[23:12] = 0x00000FFFU + // .. .. ==> MASK : 0x00FFF000U VAL : 0x00FFF000U + // .. .. ddrc_reg_twrlvl_max_error = 0x0 + // .. .. ==> 0XF80060B0[24:24] = 0x00000000U + // .. .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. .. ddrc_reg_trdlvl_max_error = 0x0 + // .. .. ==> 0XF80060B0[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. reg_ddrc_dfi_wr_level_en = 0x1 + // .. .. ==> 0XF80060B0[26:26] = 0x00000001U + // .. .. ==> MASK : 0x04000000U VAL : 0x04000000U + // .. .. reg_ddrc_dfi_rd_dqs_gate_level = 0x1 + // .. .. ==> 0XF80060B0[27:27] = 0x00000001U + // .. .. ==> MASK : 0x08000000U VAL : 0x08000000U + // .. .. reg_ddrc_dfi_rd_data_eye_train = 0x1 + // .. .. ==> 0XF80060B0[28:28] = 0x00000001U + // .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. + EMIT_MASKWRITE(0XF80060B0, 0x1FFFFFFFU ,0x1CFFFFFFU), + // .. .. reg_ddrc_2t_delay = 0x0 + // .. .. ==> 0XF80060B4[8:0] = 0x00000000U + // .. .. ==> MASK : 0x000001FFU VAL : 0x00000000U + // .. .. reg_ddrc_skip_ocd = 0x1 + // .. .. ==> 0XF80060B4[9:9] = 0x00000001U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000200U + // .. .. reg_ddrc_dis_pre_bypass = 0x0 + // .. .. ==> 0XF80060B4[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060B4, 0x000007FFU ,0x00000200U), + // .. .. reg_ddrc_dfi_t_rddata_en = 0x6 + // .. .. ==> 0XF80060B8[4:0] = 0x00000006U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000006U + // .. .. reg_ddrc_dfi_t_ctrlup_min = 0x3 + // .. .. ==> 0XF80060B8[14:5] = 0x00000003U + // .. .. ==> MASK : 0x00007FE0U VAL : 0x00000060U + // .. .. reg_ddrc_dfi_t_ctrlup_max = 0x40 + // .. .. ==> 0XF80060B8[24:15] = 0x00000040U + // .. .. ==> MASK : 0x01FF8000U VAL : 0x00200000U + // .. .. + EMIT_MASKWRITE(0XF80060B8, 0x01FFFFFFU ,0x00200066U), + // .. .. Clear_Uncorrectable_DRAM_ECC_error = 0x0 + // .. .. ==> 0XF80060C4[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. Clear_Correctable_DRAM_ECC_error = 0x0 + // .. .. ==> 0XF80060C4[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060C4, 0x00000003U ,0x00000000U), + // .. .. CORR_ECC_LOG_VALID = 0x0 + // .. .. ==> 0XF80060C8[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. ECC_CORRECTED_BIT_NUM = 0x0 + // .. .. ==> 0XF80060C8[7:1] = 0x00000000U + // .. .. ==> MASK : 0x000000FEU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060C8, 0x000000FFU ,0x00000000U), + // .. .. UNCORR_ECC_LOG_VALID = 0x0 + // .. .. ==> 0XF80060DC[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060DC, 0x00000001U ,0x00000000U), + // .. .. STAT_NUM_CORR_ERR = 0x0 + // .. .. ==> 0XF80060F0[15:8] = 0x00000000U + // .. .. ==> MASK : 0x0000FF00U VAL : 0x00000000U + // .. .. STAT_NUM_UNCORR_ERR = 0x0 + // .. .. ==> 0XF80060F0[7:0] = 0x00000000U + // .. .. ==> MASK : 0x000000FFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80060F0, 0x0000FFFFU ,0x00000000U), + // .. .. reg_ddrc_ecc_mode = 0x0 + // .. .. ==> 0XF80060F4[2:0] = 0x00000000U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000000U + // .. .. reg_ddrc_dis_scrub = 0x1 + // .. .. ==> 0XF80060F4[3:3] = 0x00000001U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000008U + // .. .. + EMIT_MASKWRITE(0XF80060F4, 0x0000000FU ,0x00000008U), + // .. .. reg_phy_dif_on = 0x0 + // .. .. ==> 0XF8006114[3:0] = 0x00000000U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000000U + // .. .. reg_phy_dif_off = 0x0 + // .. .. ==> 0XF8006114[7:4] = 0x00000000U + // .. .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006114, 0x000000FFU ,0x00000000U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006118[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006118[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF8006118[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF8006118[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006118[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006118[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006118[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006118, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF800611C[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF800611C[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF800611C[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF800611C[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF800611C[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF800611C[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF800611C[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF800611C, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006120[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006120[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF8006120[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF8006120[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006120[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006120[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006120[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006120, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_data_slice_in_use = 0x1 + // .. .. ==> 0XF8006124[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_phy_rdlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_gatelvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_wrlvl_inc_mode = 0x0 + // .. .. ==> 0XF8006124[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_tx = 0x0 + // .. .. ==> 0XF8006124[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_board_lpbk_rx = 0x0 + // .. .. ==> 0XF8006124[5:5] = 0x00000000U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. .. reg_phy_bist_shift_dq = 0x0 + // .. .. ==> 0XF8006124[14:6] = 0x00000000U + // .. .. ==> MASK : 0x00007FC0U VAL : 0x00000000U + // .. .. reg_phy_bist_err_clr = 0x0 + // .. .. ==> 0XF8006124[23:15] = 0x00000000U + // .. .. ==> MASK : 0x00FF8000U VAL : 0x00000000U + // .. .. reg_phy_dq_offset = 0x40 + // .. .. ==> 0XF8006124[30:24] = 0x00000040U + // .. .. ==> MASK : 0x7F000000U VAL : 0x40000000U + // .. .. + EMIT_MASKWRITE(0XF8006124, 0x7FFFFFFFU ,0x40000001U), + // .. .. reg_phy_wrlvl_init_ratio = 0x7 + // .. .. ==> 0XF800612C[9:0] = 0x00000007U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000007U + // .. .. reg_phy_gatelvl_init_ratio = 0x7a + // .. .. ==> 0XF800612C[19:10] = 0x0000007AU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001E800U + // .. .. + EMIT_MASKWRITE(0XF800612C, 0x000FFFFFU ,0x0001E807U), + // .. .. reg_phy_wrlvl_init_ratio = 0x5 + // .. .. ==> 0XF8006130[9:0] = 0x00000005U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000005U + // .. .. reg_phy_gatelvl_init_ratio = 0x7b + // .. .. ==> 0XF8006130[19:10] = 0x0000007BU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001EC00U + // .. .. + EMIT_MASKWRITE(0XF8006130, 0x000FFFFFU ,0x0001EC05U), + // .. .. reg_phy_wrlvl_init_ratio = 0x5 + // .. .. ==> 0XF8006134[9:0] = 0x00000005U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000005U + // .. .. reg_phy_gatelvl_init_ratio = 0x7b + // .. .. ==> 0XF8006134[19:10] = 0x0000007BU + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001EC00U + // .. .. + EMIT_MASKWRITE(0XF8006134, 0x000FFFFFU ,0x0001EC05U), + // .. .. reg_phy_wrlvl_init_ratio = 0x1 + // .. .. ==> 0XF8006138[9:0] = 0x00000001U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000001U + // .. .. reg_phy_gatelvl_init_ratio = 0x78 + // .. .. ==> 0XF8006138[19:10] = 0x00000078U + // .. .. ==> MASK : 0x000FFC00U VAL : 0x0001E000U + // .. .. + EMIT_MASKWRITE(0XF8006138, 0x000FFFFFU ,0x0001E001U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006140[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006140[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006140[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006140, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006144[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006144[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006144[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006144, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF8006148[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006148[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006148[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006148, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_rd_dqs_slave_ratio = 0x35 + // .. .. ==> 0XF800614C[9:0] = 0x00000035U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000035U + // .. .. reg_phy_rd_dqs_slave_force = 0x0 + // .. .. ==> 0XF800614C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_rd_dqs_slave_delay = 0x0 + // .. .. ==> 0XF800614C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800614C, 0x000FFFFFU ,0x00000035U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x87 + // .. .. ==> 0XF8006154[9:0] = 0x00000087U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000087U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006154[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006154[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006154, 0x000FFFFFU ,0x00000087U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x85 + // .. .. ==> 0XF8006158[9:0] = 0x00000085U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000085U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006158[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006158[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006158, 0x000FFFFFU ,0x00000085U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x85 + // .. .. ==> 0XF800615C[9:0] = 0x00000085U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000085U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF800615C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF800615C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800615C, 0x000FFFFFU ,0x00000085U), + // .. .. reg_phy_wr_dqs_slave_ratio = 0x81 + // .. .. ==> 0XF8006160[9:0] = 0x00000081U + // .. .. ==> MASK : 0x000003FFU VAL : 0x00000081U + // .. .. reg_phy_wr_dqs_slave_force = 0x0 + // .. .. ==> 0XF8006160[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_dqs_slave_delay = 0x0 + // .. .. ==> 0XF8006160[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006160, 0x000FFFFFU ,0x00000081U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xcf + // .. .. ==> 0XF8006168[10:0] = 0x000000CFU + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000CFU + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006168[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006168[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006168, 0x001FFFFFU ,0x000000CFU), + // .. .. reg_phy_fifo_we_slave_ratio = 0xd0 + // .. .. ==> 0XF800616C[10:0] = 0x000000D0U + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000D0U + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF800616C[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF800616C[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800616C, 0x001FFFFFU ,0x000000D0U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xd0 + // .. .. ==> 0XF8006170[10:0] = 0x000000D0U + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000D0U + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006170[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006170[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006170, 0x001FFFFFU ,0x000000D0U), + // .. .. reg_phy_fifo_we_slave_ratio = 0xcd + // .. .. ==> 0XF8006174[10:0] = 0x000000CDU + // .. .. ==> MASK : 0x000007FFU VAL : 0x000000CDU + // .. .. reg_phy_fifo_we_in_force = 0x0 + // .. .. ==> 0XF8006174[11:11] = 0x00000000U + // .. .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. .. reg_phy_fifo_we_in_delay = 0x0 + // .. .. ==> 0XF8006174[20:12] = 0x00000000U + // .. .. ==> MASK : 0x001FF000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006174, 0x001FFFFFU ,0x000000CDU), + // .. .. reg_phy_wr_data_slave_ratio = 0xc7 + // .. .. ==> 0XF800617C[9:0] = 0x000000C7U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C7U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF800617C[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF800617C[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800617C, 0x000FFFFFU ,0x000000C7U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc5 + // .. .. ==> 0XF8006180[9:0] = 0x000000C5U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C5U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006180[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006180[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006180, 0x000FFFFFU ,0x000000C5U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc5 + // .. .. ==> 0XF8006184[9:0] = 0x000000C5U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C5U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006184[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006184[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006184, 0x000FFFFFU ,0x000000C5U), + // .. .. reg_phy_wr_data_slave_ratio = 0xc1 + // .. .. ==> 0XF8006188[9:0] = 0x000000C1U + // .. .. ==> MASK : 0x000003FFU VAL : 0x000000C1U + // .. .. reg_phy_wr_data_slave_force = 0x0 + // .. .. ==> 0XF8006188[10:10] = 0x00000000U + // .. .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. .. reg_phy_wr_data_slave_delay = 0x0 + // .. .. ==> 0XF8006188[19:11] = 0x00000000U + // .. .. ==> MASK : 0x000FF800U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006188, 0x000FFFFFU ,0x000000C1U), + // .. .. reg_phy_loopback = 0x0 + // .. .. ==> 0XF8006190[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_phy_bl2 = 0x0 + // .. .. ==> 0XF8006190[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_phy_at_spd_atpg = 0x0 + // .. .. ==> 0XF8006190[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_phy_bist_enable = 0x0 + // .. .. ==> 0XF8006190[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. reg_phy_bist_force_err = 0x0 + // .. .. ==> 0XF8006190[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. reg_phy_bist_mode = 0x0 + // .. .. ==> 0XF8006190[6:5] = 0x00000000U + // .. .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. .. reg_phy_invert_clkout = 0x1 + // .. .. ==> 0XF8006190[7:7] = 0x00000001U + // .. .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. .. reg_phy_all_dq_mpr_rd_resp = 0x0 + // .. .. ==> 0XF8006190[8:8] = 0x00000000U + // .. .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. .. reg_phy_sel_logic = 0x0 + // .. .. ==> 0XF8006190[9:9] = 0x00000000U + // .. .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_ratio = 0x100 + // .. .. ==> 0XF8006190[19:10] = 0x00000100U + // .. .. ==> MASK : 0x000FFC00U VAL : 0x00040000U + // .. .. reg_phy_ctrl_slave_force = 0x0 + // .. .. ==> 0XF8006190[20:20] = 0x00000000U + // .. .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_delay = 0x0 + // .. .. ==> 0XF8006190[27:21] = 0x00000000U + // .. .. ==> MASK : 0x0FE00000U VAL : 0x00000000U + // .. .. reg_phy_use_rank0_delays = 0x1 + // .. .. ==> 0XF8006190[28:28] = 0x00000001U + // .. .. ==> MASK : 0x10000000U VAL : 0x10000000U + // .. .. reg_phy_lpddr = 0x0 + // .. .. ==> 0XF8006190[29:29] = 0x00000000U + // .. .. ==> MASK : 0x20000000U VAL : 0x00000000U + // .. .. reg_phy_cmd_latency = 0x0 + // .. .. ==> 0XF8006190[30:30] = 0x00000000U + // .. .. ==> MASK : 0x40000000U VAL : 0x00000000U + // .. .. reg_phy_int_lpbk = 0x0 + // .. .. ==> 0XF8006190[31:31] = 0x00000000U + // .. .. ==> MASK : 0x80000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006190, 0xFFFFFFFFU ,0x10040080U), + // .. .. reg_phy_wr_rl_delay = 0x2 + // .. .. ==> 0XF8006194[4:0] = 0x00000002U + // .. .. ==> MASK : 0x0000001FU VAL : 0x00000002U + // .. .. reg_phy_rd_rl_delay = 0x4 + // .. .. ==> 0XF8006194[9:5] = 0x00000004U + // .. .. ==> MASK : 0x000003E0U VAL : 0x00000080U + // .. .. reg_phy_dll_lock_diff = 0xf + // .. .. ==> 0XF8006194[13:10] = 0x0000000FU + // .. .. ==> MASK : 0x00003C00U VAL : 0x00003C00U + // .. .. reg_phy_use_wr_level = 0x1 + // .. .. ==> 0XF8006194[14:14] = 0x00000001U + // .. .. ==> MASK : 0x00004000U VAL : 0x00004000U + // .. .. reg_phy_use_rd_dqs_gate_level = 0x1 + // .. .. ==> 0XF8006194[15:15] = 0x00000001U + // .. .. ==> MASK : 0x00008000U VAL : 0x00008000U + // .. .. reg_phy_use_rd_data_eye_level = 0x1 + // .. .. ==> 0XF8006194[16:16] = 0x00000001U + // .. .. ==> MASK : 0x00010000U VAL : 0x00010000U + // .. .. reg_phy_dis_calib_rst = 0x0 + // .. .. ==> 0XF8006194[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_phy_ctrl_slave_delay = 0x0 + // .. .. ==> 0XF8006194[19:18] = 0x00000000U + // .. .. ==> MASK : 0x000C0000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006194, 0x000FFFFFU ,0x0001FC82U), + // .. .. reg_arb_page_addr_mask = 0x0 + // .. .. ==> 0XF8006204[31:0] = 0x00000000U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006204, 0xFFFFFFFFU ,0x00000000U), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006208[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006208[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006208[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006208[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF8006208[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF8006208, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF800620C[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF800620C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF800620C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF800620C[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF800620C[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF800620C, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006210[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006210[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006210[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006210[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF8006210[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF8006210, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_wr_portn = 0x3ff + // .. .. ==> 0XF8006214[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_wr_portn = 0x0 + // .. .. ==> 0XF8006214[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_wr_portn = 0x0 + // .. .. ==> 0XF8006214[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_wr_portn = 0x0 + // .. .. ==> 0XF8006214[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_dis_rmw_portn = 0x1 + // .. .. ==> 0XF8006214[19:19] = 0x00000001U + // .. .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. .. + EMIT_MASKWRITE(0XF8006214, 0x000F03FFU ,0x000803FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006218[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006218[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006218[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006218[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006218[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006218, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF800621C[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF800621C[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF800621C[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF800621C[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF800621C[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF800621C, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006220[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006220[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006220[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006220[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006220[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006220, 0x000F03FFU ,0x000003FFU), + // .. .. reg_arb_pri_rd_portn = 0x3ff + // .. .. ==> 0XF8006224[9:0] = 0x000003FFU + // .. .. ==> MASK : 0x000003FFU VAL : 0x000003FFU + // .. .. reg_arb_disable_aging_rd_portn = 0x0 + // .. .. ==> 0XF8006224[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. reg_arb_disable_urgent_rd_portn = 0x0 + // .. .. ==> 0XF8006224[17:17] = 0x00000000U + // .. .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. .. reg_arb_dis_page_match_rd_portn = 0x0 + // .. .. ==> 0XF8006224[18:18] = 0x00000000U + // .. .. ==> MASK : 0x00040000U VAL : 0x00000000U + // .. .. reg_arb_set_hpr_rd_portn = 0x0 + // .. .. ==> 0XF8006224[19:19] = 0x00000000U + // .. .. ==> MASK : 0x00080000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006224, 0x000F03FFU ,0x000003FFU), + // .. .. reg_ddrc_lpddr2 = 0x0 + // .. .. ==> 0XF80062A8[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. reg_ddrc_per_bank_refresh = 0x0 + // .. .. ==> 0XF80062A8[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_derate_enable = 0x0 + // .. .. ==> 0XF80062A8[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. reg_ddrc_mr4_margin = 0x0 + // .. .. ==> 0XF80062A8[11:4] = 0x00000000U + // .. .. ==> MASK : 0x00000FF0U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80062A8, 0x00000FF7U ,0x00000000U), + // .. .. reg_ddrc_mr4_read_interval = 0x0 + // .. .. ==> 0XF80062AC[31:0] = 0x00000000U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF80062AC, 0xFFFFFFFFU ,0x00000000U), + // .. .. reg_ddrc_min_stable_clock_x1 = 0x5 + // .. .. ==> 0XF80062B0[3:0] = 0x00000005U + // .. .. ==> MASK : 0x0000000FU VAL : 0x00000005U + // .. .. reg_ddrc_idle_after_reset_x32 = 0x12 + // .. .. ==> 0XF80062B0[11:4] = 0x00000012U + // .. .. ==> MASK : 0x00000FF0U VAL : 0x00000120U + // .. .. reg_ddrc_t_mrw = 0x5 + // .. .. ==> 0XF80062B0[21:12] = 0x00000005U + // .. .. ==> MASK : 0x003FF000U VAL : 0x00005000U + // .. .. + EMIT_MASKWRITE(0XF80062B0, 0x003FFFFFU ,0x00005125U), + // .. .. reg_ddrc_max_auto_init_x1024 = 0xa8 + // .. .. ==> 0XF80062B4[7:0] = 0x000000A8U + // .. .. ==> MASK : 0x000000FFU VAL : 0x000000A8U + // .. .. reg_ddrc_dev_zqinit_x32 = 0x12 + // .. .. ==> 0XF80062B4[17:8] = 0x00000012U + // .. .. ==> MASK : 0x0003FF00U VAL : 0x00001200U + // .. .. + EMIT_MASKWRITE(0XF80062B4, 0x0003FFFFU ,0x000012A8U), + // .. .. START: POLL ON DCI STATUS + // .. .. DONE = 1 + // .. .. ==> 0XF8000B74[13:13] = 0x00000001U + // .. .. ==> MASK : 0x00002000U VAL : 0x00002000U + // .. .. + EMIT_MASKPOLL(0XF8000B74, 0x00002000U), + // .. .. FINISH: POLL ON DCI STATUS + // .. .. START: UNLOCK DDR + // .. .. reg_ddrc_soft_rstb = 0x1 + // .. .. ==> 0XF8006000[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. reg_ddrc_powerdown_en = 0x0 + // .. .. ==> 0XF8006000[1:1] = 0x00000000U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. .. reg_ddrc_data_bus_width = 0x0 + // .. .. ==> 0XF8006000[3:2] = 0x00000000U + // .. .. ==> MASK : 0x0000000CU VAL : 0x00000000U + // .. .. reg_ddrc_burst8_refresh = 0x0 + // .. .. ==> 0XF8006000[6:4] = 0x00000000U + // .. .. ==> MASK : 0x00000070U VAL : 0x00000000U + // .. .. reg_ddrc_rdwr_idle_gap = 1 + // .. .. ==> 0XF8006000[13:7] = 0x00000001U + // .. .. ==> MASK : 0x00003F80U VAL : 0x00000080U + // .. .. reg_ddrc_dis_rd_bypass = 0x0 + // .. .. ==> 0XF8006000[14:14] = 0x00000000U + // .. .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_act_bypass = 0x0 + // .. .. ==> 0XF8006000[15:15] = 0x00000000U + // .. .. ==> MASK : 0x00008000U VAL : 0x00000000U + // .. .. reg_ddrc_dis_auto_refresh = 0x0 + // .. .. ==> 0XF8006000[16:16] = 0x00000000U + // .. .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8006000, 0x0001FFFFU ,0x00000081U), + // .. .. FINISH: UNLOCK DDR + // .. .. START: CHECK DDR STATUS + // .. .. ddrc_reg_operating_mode = 1 + // .. .. ==> 0XF8006054[2:0] = 0x00000001U + // .. .. ==> MASK : 0x00000007U VAL : 0x00000001U + // .. .. + EMIT_MASKPOLL(0XF8006054, 0x00000007U), + // .. .. FINISH: CHECK DDR STATUS + // .. FINISH: DDR INITIALIZATION + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_mio_init_data_1_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: OCM REMAPPING + // .. FINISH: OCM REMAPPING + // .. START: DDRIOB SETTINGS + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B40[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B40[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B40[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B40[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCR_TYPE = 0x0 + // .. ==> 0XF8000B40[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B40[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B40[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B40[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B40[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B40, 0x00000FFFU ,0x00000600U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B44[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B44[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B44[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B44[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCR_TYPE = 0x0 + // .. ==> 0XF8000B44[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B44[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B44[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B44[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B44[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B44, 0x00000FFFU ,0x00000600U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B48[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x1 + // .. ==> 0XF8000B48[2:1] = 0x00000001U + // .. ==> MASK : 0x00000006U VAL : 0x00000002U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B48[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B48[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B48[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B48[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B48[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B48[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B48[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B48, 0x00000FFFU ,0x00000672U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B4C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x1 + // .. ==> 0XF8000B4C[2:1] = 0x00000001U + // .. ==> MASK : 0x00000006U VAL : 0x00000002U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B4C[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B4C[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B4C[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B4C[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B4C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B4C[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B4C[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B4C, 0x00000FFFU ,0x00000672U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B50[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x2 + // .. ==> 0XF8000B50[2:1] = 0x00000002U + // .. ==> MASK : 0x00000006U VAL : 0x00000004U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B50[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B50[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B50[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B50[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B50[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B50[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B50[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B50, 0x00000FFFU ,0x00000674U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B54[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x2 + // .. ==> 0XF8000B54[2:1] = 0x00000002U + // .. ==> MASK : 0x00000006U VAL : 0x00000004U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B54[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x1 + // .. ==> 0XF8000B54[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. DCR_TYPE = 0x3 + // .. ==> 0XF8000B54[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. IBUF_DISABLE_MODE = 0 + // .. ==> 0XF8000B54[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0 + // .. ==> 0XF8000B54[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B54[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B54[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B54, 0x00000FFFU ,0x00000674U), + // .. INP_POWER = 0x0 + // .. ==> 0XF8000B58[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. INP_TYPE = 0x0 + // .. ==> 0XF8000B58[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. DCI_UPDATE = 0x0 + // .. ==> 0XF8000B58[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. TERM_EN = 0x0 + // .. ==> 0XF8000B58[4:4] = 0x00000000U + // .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. DCR_TYPE = 0x0 + // .. ==> 0XF8000B58[6:5] = 0x00000000U + // .. ==> MASK : 0x00000060U VAL : 0x00000000U + // .. IBUF_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B58[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. TERM_DISABLE_MODE = 0x0 + // .. ==> 0XF8000B58[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. OUTPUT_EN = 0x3 + // .. ==> 0XF8000B58[10:9] = 0x00000003U + // .. ==> MASK : 0x00000600U VAL : 0x00000600U + // .. PULLUP_EN = 0x0 + // .. ==> 0XF8000B58[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B58, 0x00000FFFU ,0x00000600U), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B5C[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B5C[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x3 + // .. ==> 0XF8000B5C[18:14] = 0x00000003U + // .. ==> MASK : 0x0007C000U VAL : 0x0000C000U + // .. SLEW_N = 0x3 + // .. ==> 0XF8000B5C[23:19] = 0x00000003U + // .. ==> MASK : 0x00F80000U VAL : 0x00180000U + // .. GTL = 0x0 + // .. ==> 0XF8000B5C[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B5C[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B5C, 0xFFFFFFFFU ,0x0018C61CU), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B60[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B60[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x6 + // .. ==> 0XF8000B60[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. SLEW_N = 0x1f + // .. ==> 0XF8000B60[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. GTL = 0x0 + // .. ==> 0XF8000B60[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B60[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B60, 0xFFFFFFFFU ,0x00F9861CU), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B64[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B64[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x6 + // .. ==> 0XF8000B64[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. SLEW_N = 0x1f + // .. ==> 0XF8000B64[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. GTL = 0x0 + // .. ==> 0XF8000B64[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B64[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B64, 0xFFFFFFFFU ,0x00F9861CU), + // .. DRIVE_P = 0x1c + // .. ==> 0XF8000B68[6:0] = 0x0000001CU + // .. ==> MASK : 0x0000007FU VAL : 0x0000001CU + // .. DRIVE_N = 0xc + // .. ==> 0XF8000B68[13:7] = 0x0000000CU + // .. ==> MASK : 0x00003F80U VAL : 0x00000600U + // .. SLEW_P = 0x6 + // .. ==> 0XF8000B68[18:14] = 0x00000006U + // .. ==> MASK : 0x0007C000U VAL : 0x00018000U + // .. SLEW_N = 0x1f + // .. ==> 0XF8000B68[23:19] = 0x0000001FU + // .. ==> MASK : 0x00F80000U VAL : 0x00F80000U + // .. GTL = 0x0 + // .. ==> 0XF8000B68[26:24] = 0x00000000U + // .. ==> MASK : 0x07000000U VAL : 0x00000000U + // .. RTERM = 0x0 + // .. ==> 0XF8000B68[31:27] = 0x00000000U + // .. ==> MASK : 0xF8000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B68, 0xFFFFFFFFU ,0x00F9861CU), + // .. VREF_INT_EN = 0x0 + // .. ==> 0XF8000B6C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. VREF_SEL = 0x0 + // .. ==> 0XF8000B6C[4:1] = 0x00000000U + // .. ==> MASK : 0x0000001EU VAL : 0x00000000U + // .. VREF_EXT_EN = 0x3 + // .. ==> 0XF8000B6C[6:5] = 0x00000003U + // .. ==> MASK : 0x00000060U VAL : 0x00000060U + // .. VREF_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[8:7] = 0x00000000U + // .. ==> MASK : 0x00000180U VAL : 0x00000000U + // .. REFIO_EN = 0x1 + // .. ==> 0XF8000B6C[9:9] = 0x00000001U + // .. ==> MASK : 0x00000200U VAL : 0x00000200U + // .. REFIO_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DRST_B_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. CKE_PULLUP_EN = 0x0 + // .. ==> 0XF8000B6C[14:14] = 0x00000000U + // .. ==> MASK : 0x00004000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000B6C, 0x000073FFU ,0x00000260U), + // .. .. START: ASSERT RESET + // .. .. RESET = 1 + // .. .. ==> 0XF8000B70[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000021U), + // .. .. FINISH: ASSERT RESET + // .. .. START: DEASSERT RESET + // .. .. RESET = 0 + // .. .. ==> 0XF8000B70[0:0] = 0x00000000U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. .. VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x00000021U ,0x00000020U), + // .. .. FINISH: DEASSERT RESET + // .. .. RESET = 0x1 + // .. .. ==> 0XF8000B70[0:0] = 0x00000001U + // .. .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. .. ENABLE = 0x1 + // .. .. ==> 0XF8000B70[1:1] = 0x00000001U + // .. .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. .. VRP_TRI = 0x0 + // .. .. ==> 0XF8000B70[2:2] = 0x00000000U + // .. .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. .. VRN_TRI = 0x0 + // .. .. ==> 0XF8000B70[3:3] = 0x00000000U + // .. .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. .. VRP_OUT = 0x0 + // .. .. ==> 0XF8000B70[4:4] = 0x00000000U + // .. .. ==> MASK : 0x00000010U VAL : 0x00000000U + // .. .. VRN_OUT = 0x1 + // .. .. ==> 0XF8000B70[5:5] = 0x00000001U + // .. .. ==> MASK : 0x00000020U VAL : 0x00000020U + // .. .. NREF_OPT1 = 0x0 + // .. .. ==> 0XF8000B70[7:6] = 0x00000000U + // .. .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. .. NREF_OPT2 = 0x0 + // .. .. ==> 0XF8000B70[10:8] = 0x00000000U + // .. .. ==> MASK : 0x00000700U VAL : 0x00000000U + // .. .. NREF_OPT4 = 0x1 + // .. .. ==> 0XF8000B70[13:11] = 0x00000001U + // .. .. ==> MASK : 0x00003800U VAL : 0x00000800U + // .. .. PREF_OPT1 = 0x0 + // .. .. ==> 0XF8000B70[16:14] = 0x00000000U + // .. .. ==> MASK : 0x0001C000U VAL : 0x00000000U + // .. .. PREF_OPT2 = 0x0 + // .. .. ==> 0XF8000B70[19:17] = 0x00000000U + // .. .. ==> MASK : 0x000E0000U VAL : 0x00000000U + // .. .. UPDATE_CONTROL = 0x0 + // .. .. ==> 0XF8000B70[20:20] = 0x00000000U + // .. .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. .. INIT_COMPLETE = 0x0 + // .. .. ==> 0XF8000B70[21:21] = 0x00000000U + // .. .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. .. TST_CLK = 0x0 + // .. .. ==> 0XF8000B70[22:22] = 0x00000000U + // .. .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. .. TST_HLN = 0x0 + // .. .. ==> 0XF8000B70[23:23] = 0x00000000U + // .. .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. .. TST_HLP = 0x0 + // .. .. ==> 0XF8000B70[24:24] = 0x00000000U + // .. .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. .. TST_RST = 0x0 + // .. .. ==> 0XF8000B70[25:25] = 0x00000000U + // .. .. ==> MASK : 0x02000000U VAL : 0x00000000U + // .. .. INT_DCI_EN = 0x0 + // .. .. ==> 0XF8000B70[26:26] = 0x00000000U + // .. .. ==> MASK : 0x04000000U VAL : 0x00000000U + // .. .. + EMIT_MASKWRITE(0XF8000B70, 0x07FFFFFFU ,0x00000823U), + // .. FINISH: DDRIOB SETTINGS + // .. START: MIO PROGRAMMING + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000700[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000700[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000700[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000700[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000700[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000700[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000700[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000700[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000700[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000700, 0x00003FFFU ,0x00001600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000704[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000704[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000704[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000704[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000704[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000704[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000704[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000704[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000704[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000704, 0x00003FFFU ,0x00001600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000708[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000708[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000708[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000708[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000708[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000708[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000708[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000708[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000708[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000708, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800070C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800070C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800070C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800070C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800070C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800070C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800070C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF800070C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800070C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800070C, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000710[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000710[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000710[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000710[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000710[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000710[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000710[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000710[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000710[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000710, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000714[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000714[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000714[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000714[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000714[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000714[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000714[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000714[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000714[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000714, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000718[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000718[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000718[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000718[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000718[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000718[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000718[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000718[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000718[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000718, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800071C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800071C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800071C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800071C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800071C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800071C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800071C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF800071C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800071C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800071C, 0x00003FFFU ,0x00000600U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000720[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000720[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000720[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000720[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF8000720[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF8000720[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000720[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 0 + // .. ==> 0XF8000720[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000720[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000720, 0x00003FFFU ,0x000006E0U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000724[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000724[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000724[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000724[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF8000724[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF8000724[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000724[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000724[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000724[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000724, 0x00003FFFU ,0x000016E1U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000728[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000728[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000728[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000728[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000728[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000728[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000728[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000728[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000728[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000728, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800072C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800072C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800072C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800072C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF800072C[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF800072C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800072C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF800072C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800072C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800072C, 0x00003FFFU ,0x00001660U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000730[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000730[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000730[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000730[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000730[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000730[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000730[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000730[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000730[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000730, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000734[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000734[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000734[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000734[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 3 + // .. ==> 0XF8000734[7:5] = 0x00000003U + // .. ==> MASK : 0x000000E0U VAL : 0x00000060U + // .. Speed = 0 + // .. ==> 0XF8000734[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000734[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000734[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000734[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000734, 0x00003FFFU ,0x00001661U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000738[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000738[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF8000738[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000738[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000738[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF8000738[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF8000738[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF8000738[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000738[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000738, 0x00003FFFU ,0x00001640U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800073C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800073C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF800073C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800073C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF800073C[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF800073C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 3 + // .. ==> 0XF800073C[11:9] = 0x00000003U + // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. PULLUP = 1 + // .. ==> 0XF800073C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800073C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800073C, 0x00003FFFU ,0x00001640U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000740[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000740[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000740[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000740[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000740[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000740[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000740[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000740[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000740[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000744[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000744[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000744[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000744[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000744[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000744[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000744[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000744[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000744[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000748[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000748[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000748[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000748[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000748[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000748[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000748[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000748[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000748[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800074C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF800074C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800074C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800074C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800074C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800074C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800074C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800074C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800074C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000750[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000750[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000750[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000750[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000750[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000750[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000750[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000750[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000750[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000754[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 1 + // .. ==> 0XF8000754[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000754[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000754[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000754[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000754[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000754[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000754[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000754[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000758[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000758[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000758[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000758[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000758[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000758[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000758[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000758[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000758[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800075C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF800075C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800075C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800075C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800075C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800075C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800075C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800075C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800075C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000760[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000760[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000760[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000760[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000760[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000760[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000760[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000760[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000760[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000764[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000764[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000764[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000764[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000764[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000764[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000764[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000764[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000764[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000768[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF8000768[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF8000768[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF8000768[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000768[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF8000768[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF8000768[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF8000768[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000768[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800076C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 1 + // .. ==> 0XF800076C[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. L1_SEL = 0 + // .. ==> 0XF800076C[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF800076C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800076C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 1 + // .. ==> 0XF800076C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. IO_Type = 1 + // .. ==> 0XF800076C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 0 + // .. ==> 0XF800076C[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. DisableRcvr = 0 + // .. ==> 0XF800076C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000770[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000770[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000770[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000770[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000770[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000770[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000770[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000770[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000770[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000774[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000774[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000774[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000774[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000774[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000774[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000774[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000774[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000774[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000778[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000778[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000778[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000778[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000778[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000778[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000778[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000778[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000778[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF800077C[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF800077C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800077C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800077C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800077C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800077C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800077C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800077C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800077C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000780[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000780[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000780[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000780[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000780[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000780[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000780[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000780[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000780[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000784[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000784[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000784[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000784[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000784[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000784[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000784[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000784[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000784[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000788[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000788[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000788[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000788[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000788[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000788[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000788[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000788[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000788[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800078C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800078C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800078C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800078C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800078C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800078C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800078C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800078C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800078C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF8000790[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF8000790[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000790[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000790[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000790[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000790[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000790[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000790[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000790[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001205U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000794[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000794[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000794[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000794[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000794[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000794[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000794[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000794[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000794[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF8000798[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF8000798[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF8000798[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF8000798[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF8000798[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF8000798[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF8000798[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF8000798[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF8000798[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF800079C[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF800079C[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 1 + // .. ==> 0XF800079C[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. L2_SEL = 0 + // .. ==> 0XF800079C[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF800079C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF800079C[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF800079C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF800079C[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF800079C[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001204U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007A8[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007A8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007A8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007A8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007A8[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007A8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007A8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007A8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007A8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007AC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007AC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007AC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007AC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007AC[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007AC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007AC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007AC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007AC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007B0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007B0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007B0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007B0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007B4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007B4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007B4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007B4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 1 + // .. ==> 0XF80007B8[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. L0_SEL = 0 + // .. ==> 0XF80007B8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007B8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007B8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF80007B8[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF80007B8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007B8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007B8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007B8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007B8, 0x00003FFFU ,0x000012E1U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007BC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007BC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007BC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007BC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 7 + // .. ==> 0XF80007BC[7:5] = 0x00000007U + // .. ==> MASK : 0x000000E0U VAL : 0x000000E0U + // .. Speed = 0 + // .. ==> 0XF80007BC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007BC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007BC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007BC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007BC, 0x00003FFFU ,0x000012E0U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF80007C0[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF80007C0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x00001240U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF80007C4[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. Speed = 0 + // .. ==> 0XF80007C4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x00001240U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007C8[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007C8[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007C8[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007C8[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF80007C8[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF80007C8[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007C8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007C8[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007C8[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007CC[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007CC[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007CC[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007CC[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 0 + // .. ==> 0XF80007CC[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. Speed = 0 + // .. ==> 0XF80007CC[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007CC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007CC[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007CC[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007D0[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007D0[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007D0[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007D0[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007D0[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007D0[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007D0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007D0[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007D0[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00001280U), + // .. TRI_ENABLE = 0 + // .. ==> 0XF80007D4[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. L0_SEL = 0 + // .. ==> 0XF80007D4[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. L1_SEL = 0 + // .. ==> 0XF80007D4[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. L2_SEL = 0 + // .. ==> 0XF80007D4[4:3] = 0x00000000U + // .. ==> MASK : 0x00000018U VAL : 0x00000000U + // .. L3_SEL = 4 + // .. ==> 0XF80007D4[7:5] = 0x00000004U + // .. ==> MASK : 0x000000E0U VAL : 0x00000080U + // .. Speed = 0 + // .. ==> 0XF80007D4[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. IO_Type = 1 + // .. ==> 0XF80007D4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U + // .. PULLUP = 1 + // .. ==> 0XF80007D4[12:12] = 0x00000001U + // .. ==> MASK : 0x00001000U VAL : 0x00001000U + // .. DisableRcvr = 0 + // .. ==> 0XF80007D4[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), + // .. SDIO0_WP_SEL = 55 + // .. ==> 0XF8000830[5:0] = 0x00000037U + // .. ==> MASK : 0x0000003FU VAL : 0x00000037U + // .. SDIO0_CD_SEL = 56 + // .. ==> 0XF8000830[21:16] = 0x00000038U + // .. ==> MASK : 0x003F0000U VAL : 0x00380000U + // .. + EMIT_MASKWRITE(0XF8000830, 0x003F003FU ,0x00380037U), + // .. FINISH: MIO PROGRAMMING + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_peripherals_init_data_1_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: DDR TERM/IBUF_DISABLE_MODE SETTINGS + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B48[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B48[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B48, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B4C[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B4C[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B4C, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B50[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B50[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B50, 0x00000180U ,0x00000180U), + // .. IBUF_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B54[7:7] = 0x00000001U + // .. ==> MASK : 0x00000080U VAL : 0x00000080U + // .. TERM_DISABLE_MODE = 0x1 + // .. ==> 0XF8000B54[8:8] = 0x00000001U + // .. ==> MASK : 0x00000100U VAL : 0x00000100U + // .. + EMIT_MASKWRITE(0XF8000B54, 0x00000180U ,0x00000180U), + // .. FINISH: DDR TERM/IBUF_DISABLE_MODE SETTINGS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // .. START: SRAM/NOR SET OPMODE + // .. FINISH: SRAM/NOR SET OPMODE + // .. START: UART REGISTERS + // .. BDIV = 0x6 + // .. ==> 0XE0001034[7:0] = 0x00000006U + // .. ==> MASK : 0x000000FFU VAL : 0x00000006U + // .. + EMIT_MASKWRITE(0XE0001034, 0x000000FFU ,0x00000006U), + // .. CD = 0x7c + // .. ==> 0XE0001018[15:0] = 0x0000007CU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000007CU + // .. + EMIT_MASKWRITE(0XE0001018, 0x0000FFFFU ,0x0000007CU), + // .. STPBRK = 0x0 + // .. ==> 0XE0001000[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. STTBRK = 0x0 + // .. ==> 0XE0001000[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. RSTTO = 0x0 + // .. ==> 0XE0001000[6:6] = 0x00000000U + // .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. TXDIS = 0x0 + // .. ==> 0XE0001000[5:5] = 0x00000000U + // .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. TXEN = 0x1 + // .. ==> 0XE0001000[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. RXDIS = 0x0 + // .. ==> 0XE0001000[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. RXEN = 0x1 + // .. ==> 0XE0001000[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. TXRES = 0x1 + // .. ==> 0XE0001000[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. RXRES = 0x1 + // .. ==> 0XE0001000[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. + EMIT_MASKWRITE(0XE0001000, 0x000001FFU ,0x00000017U), + // .. IRMODE = 0x0 + // .. ==> 0XE0001004[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. UCLKEN = 0x0 + // .. ==> 0XE0001004[10:10] = 0x00000000U + // .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. CHMODE = 0x0 + // .. ==> 0XE0001004[9:8] = 0x00000000U + // .. ==> MASK : 0x00000300U VAL : 0x00000000U + // .. NBSTOP = 0x0 + // .. ==> 0XE0001004[7:6] = 0x00000000U + // .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. PAR = 0x4 + // .. ==> 0XE0001004[5:3] = 0x00000004U + // .. ==> MASK : 0x00000038U VAL : 0x00000020U + // .. CHRL = 0x0 + // .. ==> 0XE0001004[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. CLKS = 0x0 + // .. ==> 0XE0001004[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XE0001004, 0x00000FFFU ,0x00000020U), + // .. BDIV = 0x6 + // .. ==> 0XE0000034[7:0] = 0x00000006U + // .. ==> MASK : 0x000000FFU VAL : 0x00000006U + // .. + EMIT_MASKWRITE(0XE0000034, 0x000000FFU ,0x00000006U), + // .. CD = 0x7c + // .. ==> 0XE0000018[15:0] = 0x0000007CU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000007CU + // .. + EMIT_MASKWRITE(0XE0000018, 0x0000FFFFU ,0x0000007CU), + // .. STPBRK = 0x0 + // .. ==> 0XE0000000[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. STTBRK = 0x0 + // .. ==> 0XE0000000[7:7] = 0x00000000U + // .. ==> MASK : 0x00000080U VAL : 0x00000000U + // .. RSTTO = 0x0 + // .. ==> 0XE0000000[6:6] = 0x00000000U + // .. ==> MASK : 0x00000040U VAL : 0x00000000U + // .. TXDIS = 0x0 + // .. ==> 0XE0000000[5:5] = 0x00000000U + // .. ==> MASK : 0x00000020U VAL : 0x00000000U + // .. TXEN = 0x1 + // .. ==> 0XE0000000[4:4] = 0x00000001U + // .. ==> MASK : 0x00000010U VAL : 0x00000010U + // .. RXDIS = 0x0 + // .. ==> 0XE0000000[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. RXEN = 0x1 + // .. ==> 0XE0000000[2:2] = 0x00000001U + // .. ==> MASK : 0x00000004U VAL : 0x00000004U + // .. TXRES = 0x1 + // .. ==> 0XE0000000[1:1] = 0x00000001U + // .. ==> MASK : 0x00000002U VAL : 0x00000002U + // .. RXRES = 0x1 + // .. ==> 0XE0000000[0:0] = 0x00000001U + // .. ==> MASK : 0x00000001U VAL : 0x00000001U + // .. + EMIT_MASKWRITE(0XE0000000, 0x000001FFU ,0x00000017U), + // .. IRMODE = 0x0 + // .. ==> 0XE0000004[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. UCLKEN = 0x0 + // .. ==> 0XE0000004[10:10] = 0x00000000U + // .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. CHMODE = 0x0 + // .. ==> 0XE0000004[9:8] = 0x00000000U + // .. ==> MASK : 0x00000300U VAL : 0x00000000U + // .. NBSTOP = 0x0 + // .. ==> 0XE0000004[7:6] = 0x00000000U + // .. ==> MASK : 0x000000C0U VAL : 0x00000000U + // .. PAR = 0x4 + // .. ==> 0XE0000004[5:3] = 0x00000004U + // .. ==> MASK : 0x00000038U VAL : 0x00000020U + // .. CHRL = 0x0 + // .. ==> 0XE0000004[2:1] = 0x00000000U + // .. ==> MASK : 0x00000006U VAL : 0x00000000U + // .. CLKS = 0x0 + // .. ==> 0XE0000004[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XE0000004, 0x00000FFFU ,0x00000020U), + // .. FINISH: UART REGISTERS + // .. START: QSPI REGISTERS + // .. Holdb_dr = 1 + // .. ==> 0XE000D000[19:19] = 0x00000001U + // .. ==> MASK : 0x00080000U VAL : 0x00080000U + // .. + EMIT_MASKWRITE(0XE000D000, 0x00080000U ,0x00080000U), + // .. FINISH: QSPI REGISTERS + // .. START: PL POWER ON RESET REGISTERS + // .. PCFG_POR_CNT_4K = 0 + // .. ==> 0XF8007000[29:29] = 0x00000000U + // .. ==> MASK : 0x20000000U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8007000, 0x20000000U ,0x00000000U), + // .. FINISH: PL POWER ON RESET REGISTERS + // .. START: SMC TIMING CALCULATION REGISTER UPDATE + // .. .. START: NAND SET CYCLE + // .. .. FINISH: NAND SET CYCLE + // .. .. START: OPMODE + // .. .. FINISH: OPMODE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: SRAM/NOR CS0 SET CYCLE + // .. .. FINISH: SRAM/NOR CS0 SET CYCLE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: NOR CS0 BASE ADDRESS + // .. .. FINISH: NOR CS0 BASE ADDRESS + // .. .. START: SRAM/NOR CS1 SET CYCLE + // .. .. FINISH: SRAM/NOR CS1 SET CYCLE + // .. .. START: DIRECT COMMAND + // .. .. FINISH: DIRECT COMMAND + // .. .. START: NOR CS1 BASE ADDRESS + // .. .. FINISH: NOR CS1 BASE ADDRESS + // .. .. START: USB RESET + // .. .. .. START: USB0 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. DIRECTION_0 = 0x18 + // .. .. .. .. ==> 0XE000A204[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x10 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000010U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000010U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. OP_ENABLE_0 = 0x18 + // .. .. .. .. ==> 0XE000A208[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x0 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000000U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000000U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0000U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xffef + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFEFU + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFEF0000U + // .. .. .. .. DATA_0_LSW = 0x10 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000010U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000010U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFEF0010U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: USB0 RESET + // .. .. .. START: USB1 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: USB1 RESET + // .. .. FINISH: USB RESET + // .. .. START: ENET RESET + // .. .. .. START: ENET0 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. DIRECTION_0 = 0x18 + // .. .. .. .. ==> 0XE000A204[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A204, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x8 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000008U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. OP_ENABLE_0 = 0x18 + // .. .. .. .. ==> 0XE000A208[31:0] = 0x00000018U + // .. .. .. .. ==> MASK : 0xFFFFFFFFU VAL : 0x00000018U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A208, 0xFFFFFFFFU ,0x00000018U), + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x0 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000000U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000000U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70000U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. MASK_0_LSW = 0xfff7 + // .. .. .. .. ==> 0XE000A000[31:16] = 0x0000FFF7U + // .. .. .. .. ==> MASK : 0xFFFF0000U VAL : 0xFFF70000U + // .. .. .. .. DATA_0_LSW = 0x8 + // .. .. .. .. ==> 0XE000A000[15:0] = 0x00000008U + // .. .. .. .. ==> MASK : 0x0000FFFFU VAL : 0x00000008U + // .. .. .. .. + EMIT_MASKWRITE(0XE000A000, 0xFFFFFFFFU ,0xFFF70008U), + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: ENET0 RESET + // .. .. .. START: ENET1 RESET + // .. .. .. .. START: DIR MODE BANK 0 + // .. .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. .. START: DIR MODE BANK 1 + // .. .. .. .. FINISH: DIR MODE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. .. .. START: OUTPUT ENABLE BANK 1 + // .. .. .. .. FINISH: OUTPUT ENABLE BANK 1 + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: ENET1 RESET + // .. .. FINISH: ENET RESET + // .. .. START: I2C RESET + // .. .. .. START: I2C0 RESET + // .. .. .. .. START: DIR MODE GPIO BANK0 + // .. .. .. .. FINISH: DIR MODE GPIO BANK0 + // .. .. .. .. START: DIR MODE GPIO BANK1 + // .. .. .. .. FINISH: DIR MODE GPIO BANK1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: I2C0 RESET + // .. .. .. START: I2C1 RESET + // .. .. .. .. START: DIR MODE GPIO BANK0 + // .. .. .. .. FINISH: DIR MODE GPIO BANK0 + // .. .. .. .. START: DIR MODE GPIO BANK1 + // .. .. .. .. FINISH: DIR MODE GPIO BANK1 + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: OUTPUT ENABLE + // .. .. .. .. FINISH: OUTPUT ENABLE + // .. .. .. .. START: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW LOW BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW LOW BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW LOW BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW LOW BANK [53:48] + // .. .. .. .. START: ADD 1 MS DELAY + // .. .. .. .. + EMIT_MASKDELAY(0XF8F00200, 1), + // .. .. .. .. FINISH: ADD 1 MS DELAY + // .. .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. .. START: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. FINISH: MASK_DATA_0_MSW HIGH BANK [31:16] + // .. .. .. .. START: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. FINISH: MASK_DATA_1_LSW HIGH BANK [47:32] + // .. .. .. .. START: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. .. FINISH: MASK_DATA_1_MSW HIGH BANK [53:48] + // .. .. .. FINISH: I2C1 RESET + // .. .. FINISH: I2C RESET + // .. .. START: NOR CHIP SELECT + // .. .. .. START: DIR MODE BANK 0 + // .. .. .. FINISH: DIR MODE BANK 0 + // .. .. .. START: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. FINISH: MASK_DATA_0_LSW HIGH BANK [15:0] + // .. .. .. START: OUTPUT ENABLE BANK 0 + // .. .. .. FINISH: OUTPUT ENABLE BANK 0 + // .. .. FINISH: NOR CHIP SELECT + // .. FINISH: SMC TIMING CALCULATION REGISTER UPDATE + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_post_config_1_0[] = { + // START: top + // .. START: SLCR SETTINGS + // .. UNLOCK_KEY = 0XDF0D + // .. ==> 0XF8000008[15:0] = 0x0000DF0DU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000DF0DU + // .. + EMIT_WRITE(0XF8000008, 0x0000DF0DU), + // .. FINISH: SLCR SETTINGS + // .. START: ENABLING LEVEL SHIFTER + // .. USER_INP_ICT_EN_0 = 3 + // .. ==> 0XF8000900[1:0] = 0x00000003U + // .. ==> MASK : 0x00000003U VAL : 0x00000003U + // .. USER_INP_ICT_EN_1 = 3 + // .. ==> 0XF8000900[3:2] = 0x00000003U + // .. ==> MASK : 0x0000000CU VAL : 0x0000000CU + // .. + EMIT_MASKWRITE(0XF8000900, 0x0000000FU ,0x0000000FU), + // .. FINISH: ENABLING LEVEL SHIFTER + // .. START: FPGA RESETS TO 0 + // .. reserved_3 = 0 + // .. ==> 0XF8000240[31:25] = 0x00000000U + // .. ==> MASK : 0xFE000000U VAL : 0x00000000U + // .. FPGA_ACP_RST = 0 + // .. ==> 0XF8000240[24:24] = 0x00000000U + // .. ==> MASK : 0x01000000U VAL : 0x00000000U + // .. FPGA_AXDS3_RST = 0 + // .. ==> 0XF8000240[23:23] = 0x00000000U + // .. ==> MASK : 0x00800000U VAL : 0x00000000U + // .. FPGA_AXDS2_RST = 0 + // .. ==> 0XF8000240[22:22] = 0x00000000U + // .. ==> MASK : 0x00400000U VAL : 0x00000000U + // .. FPGA_AXDS1_RST = 0 + // .. ==> 0XF8000240[21:21] = 0x00000000U + // .. ==> MASK : 0x00200000U VAL : 0x00000000U + // .. FPGA_AXDS0_RST = 0 + // .. ==> 0XF8000240[20:20] = 0x00000000U + // .. ==> MASK : 0x00100000U VAL : 0x00000000U + // .. reserved_2 = 0 + // .. ==> 0XF8000240[19:18] = 0x00000000U + // .. ==> MASK : 0x000C0000U VAL : 0x00000000U + // .. FSSW1_FPGA_RST = 0 + // .. ==> 0XF8000240[17:17] = 0x00000000U + // .. ==> MASK : 0x00020000U VAL : 0x00000000U + // .. FSSW0_FPGA_RST = 0 + // .. ==> 0XF8000240[16:16] = 0x00000000U + // .. ==> MASK : 0x00010000U VAL : 0x00000000U + // .. reserved_1 = 0 + // .. ==> 0XF8000240[15:14] = 0x00000000U + // .. ==> MASK : 0x0000C000U VAL : 0x00000000U + // .. FPGA_FMSW1_RST = 0 + // .. ==> 0XF8000240[13:13] = 0x00000000U + // .. ==> MASK : 0x00002000U VAL : 0x00000000U + // .. FPGA_FMSW0_RST = 0 + // .. ==> 0XF8000240[12:12] = 0x00000000U + // .. ==> MASK : 0x00001000U VAL : 0x00000000U + // .. FPGA_DMA3_RST = 0 + // .. ==> 0XF8000240[11:11] = 0x00000000U + // .. ==> MASK : 0x00000800U VAL : 0x00000000U + // .. FPGA_DMA2_RST = 0 + // .. ==> 0XF8000240[10:10] = 0x00000000U + // .. ==> MASK : 0x00000400U VAL : 0x00000000U + // .. FPGA_DMA1_RST = 0 + // .. ==> 0XF8000240[9:9] = 0x00000000U + // .. ==> MASK : 0x00000200U VAL : 0x00000000U + // .. FPGA_DMA0_RST = 0 + // .. ==> 0XF8000240[8:8] = 0x00000000U + // .. ==> MASK : 0x00000100U VAL : 0x00000000U + // .. reserved = 0 + // .. ==> 0XF8000240[7:4] = 0x00000000U + // .. ==> MASK : 0x000000F0U VAL : 0x00000000U + // .. FPGA3_OUT_RST = 0 + // .. ==> 0XF8000240[3:3] = 0x00000000U + // .. ==> MASK : 0x00000008U VAL : 0x00000000U + // .. FPGA2_OUT_RST = 0 + // .. ==> 0XF8000240[2:2] = 0x00000000U + // .. ==> MASK : 0x00000004U VAL : 0x00000000U + // .. FPGA1_OUT_RST = 0 + // .. ==> 0XF8000240[1:1] = 0x00000000U + // .. ==> MASK : 0x00000002U VAL : 0x00000000U + // .. FPGA0_OUT_RST = 0 + // .. ==> 0XF8000240[0:0] = 0x00000000U + // .. ==> MASK : 0x00000001U VAL : 0x00000000U + // .. + EMIT_MASKWRITE(0XF8000240, 0xFFFFFFFFU ,0x00000000U), + // .. FINISH: FPGA RESETS TO 0 + // .. START: AFI REGISTERS + // .. .. START: AFI0 REGISTERS + // .. .. FINISH: AFI0 REGISTERS + // .. .. START: AFI1 REGISTERS + // .. .. FINISH: AFI1 REGISTERS + // .. .. START: AFI2 REGISTERS + // .. .. FINISH: AFI2 REGISTERS + // .. .. START: AFI3 REGISTERS + // .. .. FINISH: AFI3 REGISTERS + // .. FINISH: AFI REGISTERS + // .. START: LOCK IT BACK + // .. LOCK_KEY = 0X767B + // .. ==> 0XF8000004[15:0] = 0x0000767BU + // .. ==> MASK : 0x0000FFFFU VAL : 0x0000767BU + // .. + EMIT_WRITE(0XF8000004, 0x0000767BU), + // .. FINISH: LOCK IT BACK + // FINISH: top + // + EMIT_EXIT(), + + // +}; + +unsigned long ps7_debug_1_0[] = { + // START: top + // .. START: CROSS TRIGGER CONFIGURATIONS + // .. .. START: UNLOCKING CTI REGISTERS + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8898FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8898FB0, 0xC5ACCE55U), + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8899FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8899FB0, 0xC5ACCE55U), + // .. .. KEY = 0XC5ACCE55 + // .. .. ==> 0XF8809FB0[31:0] = 0xC5ACCE55U + // .. .. ==> MASK : 0xFFFFFFFFU VAL : 0xC5ACCE55U + // .. .. + EMIT_WRITE(0XF8809FB0, 0xC5ACCE55U), + // .. .. FINISH: UNLOCKING CTI REGISTERS + // .. .. START: ENABLING CTI MODULES AND CHANNELS + // .. .. FINISH: ENABLING CTI MODULES AND CHANNELS + // .. .. START: MAPPING CPU0, CPU1 AND FTM EVENTS TO CTM CHANNELS + // .. .. FINISH: MAPPING CPU0, CPU1 AND FTM EVENTS TO CTM CHANNELS + // .. FINISH: CROSS TRIGGER CONFIGURATIONS + // FINISH: top + // + EMIT_EXIT(), + + // +}; + + +#include "xil_io.h" +#define PS7_MASK_POLL_TIME 100000000 + +char* +getPS7MessageInfo(unsigned key) { + + char* err_msg = ""; + switch (key) { + case PS7_INIT_SUCCESS: err_msg = "PS7 initialization successful"; break; + case PS7_INIT_CORRUPT: err_msg = "PS7 init Data Corrupted"; break; + case PS7_INIT_TIMEOUT: err_msg = "PS7 init mask poll timeout"; break; + case PS7_POLL_FAILED_DDR_INIT: err_msg = "Mask Poll failed for DDR Init"; break; + case PS7_POLL_FAILED_DMA: err_msg = "Mask Poll failed for PLL Init"; break; + case PS7_POLL_FAILED_PLL: err_msg = "Mask Poll failed for DMA done bit"; break; + default: err_msg = "Undefined error status"; break; + } + + return err_msg; +} + +unsigned long +ps7GetSiliconVersion () { + // Read PS version from MCTRL register [31:28] + unsigned long mask = 0xF0000000; + unsigned long *addr = (unsigned long*) 0XF8007080; + unsigned long ps_version = (*addr & mask) >> 28; + return ps_version; +} + +void mask_write (unsigned long add , unsigned long mask, unsigned long val ) { + volatile unsigned long *addr = (volatile unsigned long*) add; + *addr = ( val & mask ) | ( *addr & ~mask); + //xil_printf("MaskWrite : 0x%x--> 0x%x \n \r" ,add, *addr); +} + + +int mask_poll(unsigned long add , unsigned long mask ) { + volatile unsigned long *addr = (volatile unsigned long*) add; + int i = 0; + while (!(*addr & mask)) { + if (i == PS7_MASK_POLL_TIME) { + return -1; + } + i++; + } + return 1; + //xil_printf("MaskPoll : 0x%x --> 0x%x \n \r" , add, *addr); +} + +unsigned long mask_read(unsigned long add , unsigned long mask ) { + volatile unsigned long *addr = (volatile unsigned long*) add; + unsigned long val = (*addr & mask); + //xil_printf("MaskRead : 0x%x --> 0x%x \n \r" , add, val); + return val; +} + + + +int +ps7_config(unsigned long * ps7_config_init) +{ + unsigned long *ptr = ps7_config_init; + + unsigned long opcode; // current instruction .. + unsigned long args[16]; // no opcode has so many args ... + int numargs; // number of arguments of this instruction + int j; // general purpose index + + volatile unsigned long *addr; // some variable to make code readable + unsigned long val,mask; // some variable to make code readable + + int finish = -1 ; // loop while this is negative ! + int i = 0; // Timeout variable + + while( finish < 0 ) { + numargs = ptr[0] & 0xF; + opcode = ptr[0] >> 4; + + for( j = 0 ; j < numargs ; j ++ ) + args[j] = ptr[j+1]; + ptr += numargs + 1; + + + switch ( opcode ) { + + case OPCODE_EXIT: + finish = PS7_INIT_SUCCESS; + break; + + case OPCODE_CLEAR: + addr = (unsigned long*) args[0]; + *addr = 0; + break; + + case OPCODE_WRITE: + addr = (unsigned long*) args[0]; + val = args[1]; + *addr = val; + break; + + case OPCODE_MASKWRITE: + addr = (unsigned long*) args[0]; + mask = args[1]; + val = args[2]; + *addr = ( val & mask ) | ( *addr & ~mask); + break; + + case OPCODE_MASKPOLL: + addr = (unsigned long*) args[0]; + mask = args[1]; + i = 0; + while (!(*addr & mask)) { + if (i == PS7_MASK_POLL_TIME) { + finish = PS7_INIT_TIMEOUT; + break; + } + i++; + } + break; + case OPCODE_MASKDELAY: + addr = (unsigned long*) args[0]; + mask = args[1]; + int delay = get_number_of_cycles_for_delay(mask); + perf_reset_and_start_timer(); + while ((*addr < delay)) { + } + break; + default: + finish = PS7_INIT_CORRUPT; + break; + } + } + return finish; +} + +unsigned long *ps7_mio_init_data = ps7_mio_init_data_3_0; +unsigned long *ps7_pll_init_data = ps7_pll_init_data_3_0; +unsigned long *ps7_clock_init_data = ps7_clock_init_data_3_0; +unsigned long *ps7_ddr_init_data = ps7_ddr_init_data_3_0; +unsigned long *ps7_peripherals_init_data = ps7_peripherals_init_data_3_0; + +int +ps7_post_config() +{ + // Get the PS_VERSION on run time + unsigned long si_ver = ps7GetSiliconVersion (); + int ret = -1; + if (si_ver == PCW_SILICON_VERSION_1) { + ret = ps7_config (ps7_post_config_1_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } else if (si_ver == PCW_SILICON_VERSION_2) { + ret = ps7_config (ps7_post_config_2_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } else { + ret = ps7_config (ps7_post_config_3_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } + return PS7_INIT_SUCCESS; +} + +int +ps7_debug() +{ + // Get the PS_VERSION on run time + unsigned long si_ver = ps7GetSiliconVersion (); + int ret = -1; + if (si_ver == PCW_SILICON_VERSION_1) { + ret = ps7_config (ps7_debug_1_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } else if (si_ver == PCW_SILICON_VERSION_2) { + ret = ps7_config (ps7_debug_2_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } else { + ret = ps7_config (ps7_debug_3_0); + if (ret != PS7_INIT_SUCCESS) return ret; + } + return PS7_INIT_SUCCESS; +} + + +int +ps7_init() +{ + // Get the PS_VERSION on run time + unsigned long si_ver = ps7GetSiliconVersion (); + int ret; + //int pcw_ver = 0; + + if (si_ver == PCW_SILICON_VERSION_1) { + ps7_mio_init_data = ps7_mio_init_data_1_0; + ps7_pll_init_data = ps7_pll_init_data_1_0; + ps7_clock_init_data = ps7_clock_init_data_1_0; + ps7_ddr_init_data = ps7_ddr_init_data_1_0; + ps7_peripherals_init_data = ps7_peripherals_init_data_1_0; + //pcw_ver = 1; + + } else if (si_ver == PCW_SILICON_VERSION_2) { + ps7_mio_init_data = ps7_mio_init_data_2_0; + ps7_pll_init_data = ps7_pll_init_data_2_0; + ps7_clock_init_data = ps7_clock_init_data_2_0; + ps7_ddr_init_data = ps7_ddr_init_data_2_0; + ps7_peripherals_init_data = ps7_peripherals_init_data_2_0; + //pcw_ver = 2; + + } else { + ps7_mio_init_data = ps7_mio_init_data_3_0; + ps7_pll_init_data = ps7_pll_init_data_3_0; + ps7_clock_init_data = ps7_clock_init_data_3_0; + ps7_ddr_init_data = ps7_ddr_init_data_3_0; + ps7_peripherals_init_data = ps7_peripherals_init_data_3_0; + //pcw_ver = 3; + } + + // MIO init + ret = ps7_config (ps7_mio_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + + // PLL init + ret = ps7_config (ps7_pll_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + + // Clock init + ret = ps7_config (ps7_clock_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + + // DDR init + ret = ps7_config (ps7_ddr_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + + + + // Peripherals init + ret = ps7_config (ps7_peripherals_init_data); + if (ret != PS7_INIT_SUCCESS) return ret; + //xil_printf ("\n PCW Silicon Version : %d.0", pcw_ver); + return PS7_INIT_SUCCESS; +} + + + + +/* For delay calculation using global timer */ + +/* start timer */ + void perf_start_clock(void) +{ + *(volatile unsigned int*)SCU_GLOBAL_TIMER_CONTROL = ((1 << 0) | // Timer Enable + (1 << 3) | // Auto-increment + (0 << 8) // Pre-scale + ); +} + +/* stop timer and reset timer count regs */ + void perf_reset_clock(void) +{ + perf_disable_clock(); + *(volatile unsigned int*)SCU_GLOBAL_TIMER_COUNT_L32 = 0; + *(volatile unsigned int*)SCU_GLOBAL_TIMER_COUNT_U32 = 0; +} + +/* Compute mask for given delay in miliseconds*/ +int get_number_of_cycles_for_delay(unsigned int delay) +{ + // GTC is always clocked at 1/2 of the CPU frequency (CPU_3x2x) + return (APU_FREQ*delay/(2*1000)); + +} + +/* stop timer */ + void perf_disable_clock(void) +{ + *(volatile unsigned int*)SCU_GLOBAL_TIMER_CONTROL = 0; +} + +void perf_reset_and_start_timer() +{ + perf_reset_clock(); + perf_start_clock(); +} + + + + diff --git a/fpga/usrp3/top/e320/ip/fifo_4k_2clk/Makefile.inc b/fpga/usrp3/top/e320/ip/fifo_4k_2clk/Makefile.inc new file mode 100644 index 000000000..e022d9a1c --- /dev/null +++ b/fpga/usrp3/top/e320/ip/fifo_4k_2clk/Makefile.inc @@ -0,0 +1,15 @@ +# +# Copyright 2014 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_FIFO_4K_2CLK_SRCS = $(IP_BUILD_DIR)/fifo_4k_2clk/fifo_4k_2clk.xci + +IP_FIFO_4K_2CLK_OUTS = $(addprefix $(IP_BUILD_DIR)/fifo_4k_2clk/, \ +fifo_4k_2clk.xci.out \ +synth/fifo_4k_2clk.vhd \ +) + +$(IP_FIFO_4K_2CLK_SRCS) $(IP_FIFO_4K_2CLK_OUTS) : $(IP_DIR)/fifo_4k_2clk/fifo_4k_2clk.xci + $(call BUILD_VIVADO_IP,fifo_4k_2clk,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) diff --git a/fpga/usrp3/top/e320/ip/fifo_4k_2clk/fifo_4k_2clk.xci b/fpga/usrp3/top/e320/ip/fifo_4k_2clk/fifo_4k_2clk.xci new file mode 100644 index 000000000..888840273 --- /dev/null +++ b/fpga/usrp3/top/e320/ip/fifo_4k_2clk/fifo_4k_2clk.xci @@ -0,0 +1,576 @@ + + + xilinx.com + xci + unknown + 1.0 + + + fifo_4k_2clk + + + + + + 100000000 + 0 + 0.000 + + + 100000000 + 0 + 0.000 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + + + 100000000 + 0 + 0.000 + + 100000000 + 0 + 0.000 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + + + 100000000 + 0 + 0.000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 4 + 0 + 32 + 1 + 1 + 1 + 64 + 1 + 8 + 1 + 1 + 1 + 1 + 0 + 0 + 9 + BlankString + 72 + 1 + 32 + 64 + 1 + 64 + 2 + 0 + 72 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + zynq + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 2 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + 1 + BlankString + 0 + 0 + 0 + 0 + 0 + 1 + 512x72 + 1kx18 + 512x36 + 1kx36 + 512x36 + 1kx36 + 512x36 + 4 + 1022 + 1022 + 1022 + 1022 + 1022 + 1022 + 5 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 511 + 1023 + 1023 + 1023 + 1023 + 1023 + 1023 + 510 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 10 + 512 + 1 + 9 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 2 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 10 + 512 + 1024 + 16 + 1024 + 16 + 1024 + 16 + 1 + 9 + 10 + 4 + 10 + 4 + 10 + 4 + 1 + 32 + 0 + 0 + false + false + false + 0 + 0 + Slave_Interface_Clock_Enable + Common_Clock + fifo_4k_2clk + 64 + false + 9 + true + false + 0 + 4 + 1022 + 1022 + 1022 + 1022 + 1022 + 1022 + 5 + false + false + false + false + false + false + false + false + false + Hard_ECC + false + false + false + false + false + false + true + false + false + true + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Independent_Clocks_Block_RAM + 1 + 511 + 1023 + 1023 + 1023 + 1023 + 1023 + 1023 + 510 + false + false + false + 0 + Native + false + false + false + false + false + false + false + false + false + false + false + false + false + false + 72 + 512 + 1024 + 16 + 1024 + 16 + 1024 + 16 + false + 72 + 512 + Embedded_Reg + false + false + Active_High + Active_High + AXI4 + First_Word_Fall_Through + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + READ_WRITE + 0 + 1 + true + 10 + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + true + Asynchronous_Reset + false + 0 + 0 + 0 + 0 + 0 + 4 + false + false + Active_High + Active_High + true + false + false + true + false + Active_High + 0 + false + Active_High + 1 + true + 10 + false + FIFO + false + false + false + false + FIFO + FIFO + 2 + 2 + false + FIFO + FIFO + FIFO + zynq + + + xc7z100 + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 4 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/e320/ip/fifo_short_2clk/Makefile.inc b/fpga/usrp3/top/e320/ip/fifo_short_2clk/Makefile.inc new file mode 100644 index 000000000..8c5c54213 --- /dev/null +++ b/fpga/usrp3/top/e320/ip/fifo_short_2clk/Makefile.inc @@ -0,0 +1,15 @@ +# +# Copyright 2014 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_FIFO_SHORT_2CLK_SRCS = $(IP_BUILD_DIR)/fifo_short_2clk/fifo_short_2clk.xci + +IP_FIFO_SHORT_2CLK_OUTS = $(addprefix $(IP_BUILD_DIR)/fifo_short_2clk/, \ +fifo_short_2clk.xci.out \ +synth/fifo_short_2clk.vhd \ +) + +$(IP_FIFO_SHORT_2CLK_SRCS) $(IP_FIFO_SHORT_2CLK_OUTS) : $(IP_DIR)/fifo_short_2clk/fifo_short_2clk.xci + $(call BUILD_VIVADO_IP,fifo_short_2clk,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) diff --git a/fpga/usrp3/top/e320/ip/fifo_short_2clk/fifo_short_2clk.xci b/fpga/usrp3/top/e320/ip/fifo_short_2clk/fifo_short_2clk.xci new file mode 100644 index 000000000..cc0f896b8 --- /dev/null +++ b/fpga/usrp3/top/e320/ip/fifo_short_2clk/fifo_short_2clk.xci @@ -0,0 +1,578 @@ + + + xilinx.com + xci + unknown + 1.0 + + + fifo_short_2clk + + + + + + 100000000 + 0 + 0.000 + + + 100000000 + 0 + 0.000 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + + + 100000000 + 0 + 0.000 + + 100000000 + 0 + 0.000 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + + + 100000000 + 0 + 0.000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 4 + 0 + 32 + 1 + 1 + 1 + 64 + 1 + 8 + 1 + 1 + 1 + 1 + 0 + 0 + 5 + BlankString + 72 + 1 + 32 + 64 + 1 + 64 + 2 + 0 + 72 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + zynq + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 2 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + 2 + BlankString + 1 + 0 + 0 + 0 + 0 + 1 + 512x72 + 1kx18 + 512x36 + 1kx36 + 512x36 + 1kx36 + 512x36 + 4 + 1022 + 1022 + 1022 + 1022 + 1022 + 1022 + 5 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 31 + 1023 + 1023 + 1023 + 1023 + 1023 + 1023 + 30 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 6 + 32 + 1 + 5 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 3 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 6 + 32 + 1024 + 16 + 1024 + 16 + 1024 + 16 + 1 + 5 + 10 + 4 + 10 + 4 + 10 + 4 + 1 + 32 + 0 + 0 + false + false + false + 0 + 0 + Slave_Interface_Clock_Enable + Common_Clock + fifo_short_2clk + 64 + false + 5 + false + false + 0 + 4 + 1022 + 1022 + 1022 + 1022 + 1022 + 1022 + 5 + false + false + false + false + false + false + false + false + false + Hard_ECC + false + false + false + false + false + false + true + false + false + true + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Independent_Clocks_Distributed_RAM + 1 + 31 + 1023 + 1023 + 1023 + 1023 + 1023 + 1023 + 30 + false + false + false + 0 + Native + false + false + false + false + false + false + false + false + false + false + false + false + false + false + 72 + 32 + 1024 + 16 + 1024 + 16 + 1024 + 16 + false + 72 + 32 + Embedded_Reg + false + false + Active_High + Active_High + AXI4 + First_Word_Fall_Through + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + READ_WRITE + 0 + 1 + true + 6 + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + true + Asynchronous_Reset + false + 0 + 0 + 0 + 0 + 0 + 4 + false + false + Active_High + Active_High + true + false + false + true + false + Active_High + 0 + false + Active_High + 1 + true + 6 + false + FIFO + false + false + false + false + FIFO + FIFO + 3 + 2 + false + FIFO + FIFO + FIFO + zynq + + + xc7z100 + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 4 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/e320/ip/one_gig_eth_pcs_pma/Makefile.inc b/fpga/usrp3/top/e320/ip/one_gig_eth_pcs_pma/Makefile.inc new file mode 100644 index 000000000..413db0f83 --- /dev/null +++ b/fpga/usrp3/top/e320/ip/one_gig_eth_pcs_pma/Makefile.inc @@ -0,0 +1,49 @@ +# +# Copyright 2008-2013 Ettus Research LLC +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +ONE_GIGE_PHY_SRCS = \ +$(IP_DIR)/one_gig_eth_pcs_pma/one_gige_phy.v \ +$(IP_ONE_GIG_ETH_PCS_PMA_EXAMPLE_SRCS) + +IP_ONE_GIG_ETH_PCS_PMA_EXAMPLE_SRCS = $(addprefix $(IP_BUILD_DIR)/one_gig_eth_pcs_pma_ex/imports/, \ +one_gig_eth_pcs_pma_example_design.v \ +one_gig_eth_pcs_pma_reset_sync_ex.v \ +one_gig_eth_pcs_pma_sync_block_ex.v \ +one_gig_eth_pcs_pma_tx_elastic_buffer.v \ +one_gig_eth_pcs_pma_clocking.v \ +one_gig_eth_pcs_pma_gt_common.v \ +one_gig_eth_pcs_pma_resets.v \ +one_gig_eth_pcs_pma_support.v \ +) + +IP_ONE_GIG_ETH_PCS_PMA_SRCS = $(IP_BUILD_DIR)/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma.xci + +IP_ONE_GIG_ETH_PCS_PMA_OUTS = $(addprefix $(IP_BUILD_DIR)/one_gig_eth_pcs_pma/, \ +one_gig_eth_pcs_pma.xci.out \ +synth/one_gig_eth_pcs_pma_block.v \ +synth/one_gig_eth_pcs_pma_reset_sync.v \ +synth/one_gig_eth_pcs_pma.v \ +synth/one_gig_eth_pcs_pma_ooc.xdc \ +synth/one_gig_eth_pcs_pma_sync_block.v \ +synth/one_gig_eth_pcs_pma.xdc \ +synth/transceiver/one_gig_eth_pcs_pma_gtwizard_gt.v \ +synth/transceiver/one_gig_eth_pcs_pma_gtwizard.v \ +synth/transceiver/one_gig_eth_pcs_pma_transceiver.v \ +synth/transceiver/one_gig_eth_pcs_pma_gtwizard_init.v \ +synth/transceiver/one_gig_eth_pcs_pma_reset_wtd_timer.v \ +synth/transceiver/one_gig_eth_pcs_pma_tx_startup_fsm.v \ +synth/transceiver/one_gig_eth_pcs_pma_gtwizard_multi_gt.v \ +synth/transceiver/one_gig_eth_pcs_pma_rx_startup_fsm.v \ +) + +$(IP_ONE_GIG_ETH_PCS_PMA_EXAMPLE_SRCS) : $(IP_ONE_GIG_ETH_PCS_PMA_OUTS) + +$(IP_ONE_GIG_ETH_PCS_PMA_SRCS) $(IP_ONE_GIG_ETH_PCS_PMA_OUTS) : $(IP_DIR)/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma.xci + $(call BUILD_VIVADO_IP,one_gig_eth_pcs_pma,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),1) + cp $(IP_BUILD_DIR)/one_gig_eth_pcs_pma_ex/imports/one_gig_eth_pcs_pma_clocking.v $(IP_BUILD_DIR)/one_gig_eth_pcs_pma_ex/imports/one_gig_eth_pcs_pma_clocking.v.orig + patch $(IP_BUILD_DIR)/one_gig_eth_pcs_pma_ex/imports/one_gig_eth_pcs_pma_clocking.v $(IP_DIR)/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_clocking.v.patch + cp $(IP_BUILD_DIR)/one_gig_eth_pcs_pma_ex/imports/one_gig_eth_pcs_pma_support.v $(IP_BUILD_DIR)/one_gig_eth_pcs_pma_ex/imports/one_gig_eth_pcs_pma_support.v.orig + patch $(IP_BUILD_DIR)/one_gig_eth_pcs_pma_ex/imports/one_gig_eth_pcs_pma_support.v $(IP_DIR)/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_support.v.patch diff --git a/fpga/usrp3/top/e320/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma.xci b/fpga/usrp3/top/e320/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma.xci new file mode 100644 index 000000000..ae93c645d --- /dev/null +++ b/fpga/usrp3/top/e320/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma.xci @@ -0,0 +1,352 @@ + + + xilinx.com + xci + unknown + 1.0 + + + one_gig_eth_pcs_pma + + + 1 + 1 + 1 + 1 + + + + 0 + + + + 0 + + + 0 + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + 100000000 + 0 + 0.000 + + + 100000000 + 0 + 0.000 + false + false + false + false + 0 + + + + 0 + + + + 0 + false + 100000000 + + + + 0 + + + + 0 + + + + 100000000 + 0 + 0.000 + + + 100000000 + 0 + 0.000 + + + 100000000 + 0 + 0.000 + false + false + false + false + 0 + 0 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + false + false + false + + + + 100000000 + 0 + 0.000 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + ACTIVE_LOW + ACTIVE_LOW + ACTIVE_LOW + ACTIVE_LOW + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + + 100000000 + 0 + 0.000 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + true + 0 + 0 + false + false + DIFF_PAIR_0 + DIFF_PAIR_1 + false + DIFF_PAIR_0 + DIFF_PAIR_1 + zynq + 0 + one_gig_eth_pcs_pma + 50.0 + false + . + false + false + false + false + zynq + 8 + 9 + X0Y0 + 7 + 4 + GTH + false + false + false + false + true + false + false + 1 + clk0 + 125 + TXOUTCLK + true + false + one_gig_eth_pcs_pma_gt + false + GTXE2 + false + 1 + false + false + true + xc7z045 + false + 1 + false + false + Sync + one_gig_eth_pcs_pma + Custom + 50.0 + TEMAC + Custom + 0 + false + false + false + false + X0Y0 + GTH + false + false + 125 + Custom + true + 1G + 1 + Transceiver + 125 + clk0 + TXOUTCLK + DIFF_PAIR_0 + DIFF_PAIR_1 + false + 10_100_1000 + false + 1000BASEX + Include_Shared_Logic_in_Example_Design + Time_of_day + false + DIFF_PAIR_0 + DIFF_PAIR_1 + 1 + false + zynq + + + xc7z045 + ffg900 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Flow + 6 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/e320/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_clocking.v.patch b/fpga/usrp3/top/e320/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_clocking.v.patch new file mode 100644 index 000000000..41f963797 --- /dev/null +++ b/fpga/usrp3/top/e320/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_clocking.v.patch @@ -0,0 +1,25 @@ +65,66d64 +< input gtrefclk_p, // Differential +ve of reference clock for MGT: 125MHz, very high quality. +< input gtrefclk_n, // Differential -ve of reference clock for MGT: 125MHz, very high quality. +70,71d67 +< output gtrefclk, // gtrefclk routed through an IBUFG. +< output gtrefclk_bufg, // gtrefclk routed through a BUFG for driving logic. +88d83 +< wire gtrefclk_i; +93,108d87 +< // Clock circuitry for the Transceiver uses a differential input clock. +< // gtrefclk is routed to the tranceiver. +< IBUFDS_GTE2 ibufds_gtrefclk ( +< .I (gtrefclk_p), +< .IB (gtrefclk_n), +< .CEB (1'b0), +< .O (gtrefclk_i), +< .ODIV2 () +< ); +< +< assign gtrefclk = gtrefclk_i; +< +< BUFG bufg_gtrefclk ( +< .I (gtrefclk_i), +< .O (gtrefclk_bufg) +< ); diff --git a/fpga/usrp3/top/e320/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_support.v.patch b/fpga/usrp3/top/e320/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_support.v.patch new file mode 100644 index 000000000..277c890f1 --- /dev/null +++ b/fpga/usrp3/top/e320/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_support.v.patch @@ -0,0 +1,17 @@ +70,73c70,71 +< input gtrefclk_p, // differential clock +< input gtrefclk_n, // differential clock +< output gtrefclk_out, // Very high quality clock for GT transceiver. +< output gtrefclk_bufg_out, +--- +> input gtrefclk, // gtrefclk routed through an IBUFG. +> input gtrefclk_bufg, // gtrefclk routed through a BUFG for driving logic. +125,126d122 +< wire gtrefclk; // High quality clock +< wire gtrefclk_bufg; +205,206d200 +< .gtrefclk_p (gtrefclk_p), +< .gtrefclk_n (gtrefclk_n), +210,211d203 +< .gtrefclk (gtrefclk), +< .gtrefclk_bufg (gtrefclk_bufg), diff --git a/fpga/usrp3/top/e320/ip/one_gig_eth_pcs_pma/one_gige_phy.v b/fpga/usrp3/top/e320/ip/one_gig_eth_pcs_pma/one_gige_phy.v new file mode 100644 index 000000000..f30f98fc3 --- /dev/null +++ b/fpga/usrp3/top/e320/ip/one_gig_eth_pcs_pma/one_gige_phy.v @@ -0,0 +1,102 @@ +// +// Copyright 2014 Ettus Research LLC +// + +module one_gige_phy +( + input independent_clock, + + // Tranceiver Interface + //--------------------- + input gtrefclk, // Reference clock for MGT: 125MHz, very high quality. + input gtrefclk_bufg, // Reference clock routed through a BUFG + output txp, // Differential +ve of serial transmission from PMA to PMD. + output txn, // Differential -ve of serial transmission from PMA to PMD. + input rxp, // Differential +ve for serial reception from PMD to PMA. + input rxn, // Differential -ve for serial reception from PMD to PMA. + + // GMII Interface (client MAC <=> PCS) + //------------------------------------ + output gmii_clk, // Receive clock to client MAC. + input [7:0] gmii_txd, // Transmit data from client MAC. + input gmii_tx_en, // Transmit control signal from client MAC. + input gmii_tx_er, // Transmit control signal from client MAC. + output reg [7:0] gmii_rxd, // Received Data to client MAC. + output reg gmii_rx_dv, // Received control signal to client MAC. + output reg gmii_rx_er, // Received control signal to client MAC. + + // Management: MDIO Interface + //--------------------------- + input mdc, // Management Data Clock + input mdio_i, // Management Data In + output mdio_o, // Management Data Out + output mdio_t, // Management Data Tristate + input [4:0] phyaddr, // MDIO PHY Address + input [4:0] configuration_vector, // Alternative to MDIO interface. + input configuration_valid, // Validation signal for Config vector + + // General IO's + //------------- + output [15:0] status_vector, // Core status. + input reset, // Asynchronous reset for entire core. + input signal_detect // Input from PMD to indicate presence of optical input. +); + + wire resetdone; // To indicate that the GT transceiver has completed its reset cycle + wire userclk; // 62.5MHz clock for GT transceiver Tx/Rx user clocks + wire userclk2; // 125MHz clock for core reference clock. + wire rxuserclk2; + wire gmii_isolate; // internal gmii_isolate signal. + + wire [7:0] gmii_rxd_int; + wire gmii_rx_dv_int; + wire gmii_rx_er_int; + + always @(posedge gmii_clk) begin + gmii_rxd <= gmii_rxd_int; + gmii_rx_dv <= gmii_rx_dv_int; + gmii_rx_er <= gmii_rx_er_int; + end + + //---------------------------------------------------------------------------- + // Instantiate core wrapper + //---------------------------------------------------------------------------- + one_gig_eth_pcs_pma_support core_support_i ( + .gtrefclk (gtrefclk), + .gtrefclk_bufg (gtrefclk_bufg), + .txp (txp), + .txn (txn), + .rxp (rxp), + .rxn (rxn), + .mmcm_locked_out (), + .userclk_out (userclk), + .userclk2_out (userclk2), + .rxuserclk_out (), + .rxuserclk2_out (rxuserclk2), + .independent_clock_bufg(independent_clock), + .pma_reset_out (), + .resetdone (resetdone), + .gmii_txd (gmii_txd), + .gmii_tx_en (gmii_tx_en), + .gmii_tx_er (gmii_tx_er), + .gmii_rxd (gmii_rxd_int), + .gmii_rx_dv (gmii_rx_dv_int), + .gmii_rx_er (gmii_rx_er_int), + .gmii_isolate (gmii_isolate), + .mdc (mdc), + .mdio_i (mdio_i), + .mdio_o (mdio_o), + .mdio_t (mdio_t), + .phyaddr (phyaddr), + .configuration_vector (configuration_vector), + .configuration_valid (configuration_valid), + .status_vector (status_vector), + .reset (reset), + .signal_detect (signal_detect), + .gt0_qplloutclk_out (), + .gt0_qplloutrefclk_out () + ); + + assign gmii_clk = userclk2; + +endmodule // one_gige_phy diff --git a/fpga/usrp3/top/e320/ip/ten_gig_eth_pcs_pma/Makefile.inc b/fpga/usrp3/top/e320/ip/ten_gig_eth_pcs_pma/Makefile.inc new file mode 100644 index 000000000..899260fe0 --- /dev/null +++ b/fpga/usrp3/top/e320/ip/ten_gig_eth_pcs_pma/Makefile.inc @@ -0,0 +1,42 @@ +# +# Copyright 2008-2013 Ettus Research LLC +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +TEN_GIGE_PHY_SRCS = \ +$(IP_DIR)/ten_gig_eth_pcs_pma/ten_gige_phy_clk_gen.v \ +$(IP_DIR)/ten_gig_eth_pcs_pma/ten_gige_phy.v \ +$(IP_TEN_GIG_ETH_PCS_PMA_EXAMPLE_SRCS) + +IP_TEN_GIG_ETH_PCS_PMA_EXAMPLE_SRCS = $(addprefix $(IP_BUILD_DIR)/ten_gig_eth_pcs_pma_ex/, \ +imports/ten_gig_eth_pcs_pma_example_design.v \ +imports/ten_gig_eth_pcs_pma_ff_synchronizer_rst2.v \ +imports/ten_gig_eth_pcs_pma_shared_clock_and_reset.v \ +imports/ten_gig_eth_pcs_pma_support.v \ +imports/ten_gig_eth_pcs_pma_gt_common.v \ +) + +IP_TEN_GIG_ETH_PCS_PMA_SRCS = $(IP_BUILD_DIR)/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.xci + +IP_TEN_GIG_ETH_PCS_PMA_OUTS = $(addprefix $(IP_BUILD_DIR)/ten_gig_eth_pcs_pma/, \ +ten_gig_eth_pcs_pma.xci.out \ +synth/ten_gig_eth_pcs_pma_block.v \ +synth/ten_gig_eth_pcs_pma_gtwizard_10gbaser_multi_gt.v \ +synth/ten_gig_eth_pcs_pma_cable_pull_logic.v \ +synth/ten_gig_eth_pcs_pma_local_clock_and_reset.v \ +synth/ten_gig_eth_pcs_pma_clocks.xdc \ +synth/ten_gig_eth_pcs_pma_ooc.xdc \ +synth/ten_gig_eth_pcs_pma_ff_synchronizer_rst.v \ +synth/ten_gig_eth_pcs_pma_sim_speedup_controller.v \ +synth/ten_gig_eth_pcs_pma_ff_synchronizer.v \ +synth/ten_gig_eth_pcs_pma.v \ +synth/ten_gig_eth_pcs_pma_gtwizard_10gbaser_gt.v \ +synth/ten_gig_eth_pcs_pma.xdc \ +) + +$(IP_TEN_GIG_ETH_PCS_PMA_EXAMPLE_SRCS) : $(IP_TEN_GIG_ETH_PCS_PMA_OUTS) + +$(IP_TEN_GIG_ETH_PCS_PMA_SRCS) $(IP_TEN_GIG_ETH_PCS_PMA_OUTS) : $(IP_DIR)/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.xci + $(call BUILD_VIVADO_IP,ten_gig_eth_pcs_pma,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),1) + diff --git a/fpga/usrp3/top/e320/ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.xci b/fpga/usrp3/top/e320/ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.xci new file mode 100644 index 000000000..140a5049b --- /dev/null +++ b/fpga/usrp3/top/e320/ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.xci @@ -0,0 +1,200 @@ + + + xilinx.com + xci + unknown + 1.0 + + + ten_gig_eth_pcs_pma + + + 0 + 0 + 0 + 0 + 0 + + + 0 + 0.000 + + + + 0 + 0.000 + + + 100000000 + 0 + 0.000 + 0 + 0 + 0 + 0 + 0 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + 0 + false + 0 + 0 + + + + 100000000 + 0 + 0.000 + + + + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 0 + 0.000 + false + 0 + 0 + + + 0 + 0.000 + 0 + + + + 0 + 0.000 + + + + 0 + 0.000 + 0 + + + + 0 + 0.000 + + + + 0 + 0.000 + + 0 + 0.000 + + + + 0 + 0.000 + 0 + ten_gig_eth_pcs_pma + 78.125 + zynq + X0Y0 + 32 + 0 + false + false + true + false + false + false + clk0 + 156 + 10 + ten_gig_eth_pcs_pma_gt + ten_gig_eth_pcs_pma + 78.125 + None + X0Y0 + true + clk0 + 156.25 + 0 + Time_of_day + false + false + false + BASE-R + 64bit + false + false + 10Gig + GTH + zynq + + + xc7z045 + ffg900 + VERILOG + + MIXED + -3 + + + TRUE + TRUE + IP_Flow + 15 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + diff --git a/fpga/usrp3/top/e320/ip/ten_gig_eth_pcs_pma/ten_gige_phy.v b/fpga/usrp3/top/e320/ip/ten_gig_eth_pcs_pma/ten_gige_phy.v new file mode 100644 index 000000000..aa4db148f --- /dev/null +++ b/fpga/usrp3/top/e320/ip/ten_gig_eth_pcs_pma/ten_gige_phy.v @@ -0,0 +1,249 @@ +// +// Copyright 2008-2013 Ettus Research LLC +// + +module ten_gige_phy +( + input refclk, + input clk156, + input dclk, + input areset, + input sim_speedup_control, + input [63:0] xgmii_txd, + input [7:0] xgmii_txc, + output reg [63:0] xgmii_rxd, + output reg [7:0] xgmii_rxc, + output txp, + output txn, + input rxp, + input rxn, + input mdc, + input mdio_in, + output reg mdio_out, + output reg mdio_tri, + input [4:0] prtad, + output [7:0] core_status, + output resetdone, + input signal_detect, + input tx_fault, + output tx_disable +); + + reg [63:0] xgmii_txd_reg; + reg [7:0] xgmii_txc_reg; + wire [63:0] xgmii_rxd_int; + wire [7:0] xgmii_rxc_int; + + // Add a pipeline to the xmgii_tx inputs, to aid timing closure + always @(posedge clk156) + begin + xgmii_txd_reg <= xgmii_txd; + xgmii_txc_reg <= xgmii_txc; + end + + // Add a pipeline to the xmgii_rx outputs, to aid timing closure + always @(posedge clk156) + begin + xgmii_rxd <= xgmii_rxd_int; + xgmii_rxc <= xgmii_rxc_int; + end + + wire mdio_out_int; + wire mdio_tri_int; + reg mdc_reg; + reg mdio_in_reg; + + // Add a pipeline to the mdio in/outputs, to aid timing closure + // This is safe because the mdio clock is running so slowly + always @(posedge clk156) + begin + mdio_out <= mdio_out_int; + mdio_tri <= mdio_tri_int; + mdc_reg <= mdc; + mdio_in_reg <= mdio_in; + end + + // Signal declarations + wire txclk322; + wire qplloutclk; + wire qplloutrefclk; + wire qplllock; + + wire drp_gnt; + wire drp_req; + wire drp_den_o; + wire drp_dwe_o; + wire [15:0] drp_daddr_o; + wire [15:0] drp_di_o; + wire drp_drdy_o; + wire [15:0] drp_drpdo_o; + wire drp_den_i; + wire drp_dwe_i; + wire [15:0] drp_daddr_i; + wire [15:0] drp_di_i; + wire drp_drdy_i; + wire [15:0] drp_drpdo_i; + + wire tx_resetdone_int; + wire rx_resetdone_int; + + wire areset_clk156; + wire gttxreset; + wire gtrxreset; + wire qpllreset; + wire qplllock_txusrclk2; + wire gttxreset_txusrclk2; + wire reset_counter_done; + wire txusrclk; + wire txusrclk2; + reg txuserrdy; + + assign resetdone = tx_resetdone_int && rx_resetdone_int; + + // If no arbitration is required on the GT DRP ports then connect REQ to GNT + // and connect other signals i <= o; + assign drp_gnt = drp_req; + assign drp_den_i = drp_den_o; + assign drp_dwe_i = drp_dwe_o; + assign drp_daddr_i = drp_daddr_o; + assign drp_di_i = drp_di_o; + assign drp_drdy_i = drp_drdy_o; + assign drp_drpdo_i = drp_drpdo_o; + + // Instantiate the 10GBASER/KR GT Common block + ten_gig_eth_pcs_pma_gt_common # ( + .WRAPPER_SIM_GTRESET_SPEEDUP("TRUE") //Does not affect hardware + ) ten_gig_eth_pcs_pma_gt_common_block ( + .refclk(refclk), + .qpllreset(qpllreset), + .qplllock(qplllock), + .qplloutclk(qplloutclk), + .qplloutrefclk(qplloutrefclk) + ); + + // Asynch reset synchronizers... + ten_gig_eth_pcs_pma_ff_synchronizer_rst2 #( + .C_NUM_SYNC_REGS(4), + .C_RVAL(1'b1) + ) areset_clk156_sync_i ( + .clk(clk156), + .rst(areset), + .data_in(1'b0), + .data_out(areset_clk156) + ); + + ten_gig_eth_pcs_pma_ff_synchronizer_rst2 #( + .C_NUM_SYNC_REGS(4), + .C_RVAL(1'b0) + ) qplllock_txusrclk2_sync_i ( + .clk(txusrclk2), + .rst(!qplllock), + .data_in(1'b1), + .data_out(qplllock_txusrclk2) + ); + + reg [7:0] reset_counter = 8'h00; + reg [3:0] reset_pulse = 4'b1110; + assign reset_counter_done = reset_counter[7]; + + // Hold off the GT resets until 500ns after configuration. + // 128 ticks at 6.4ns period will be >> 500 ns. + always @(posedge clk156) + begin + if (!reset_counter[7]) + reset_counter <= reset_counter + 1'b1; + else + reset_counter <= reset_counter; + end + + always @(posedge clk156) + begin + if (areset_clk156 == 1'b1) + reset_pulse <= 4'b1110; + else if(reset_counter[7]) + reset_pulse <= {1'b0, reset_pulse[3:1]}; + end + + assign qpllreset = reset_pulse[0]; + assign gttxreset = reset_pulse[0]; + assign gtrxreset = reset_pulse[0]; + + ten_gig_eth_pcs_pma_ff_synchronizer_rst2 #( + .C_NUM_SYNC_REGS(4), + .C_RVAL(1'b1) + ) gttxreset_txusrclk2_sync_i ( + .clk(txusrclk2), + .rst(gttxreset), + .data_in(1'b0), + .data_out(gttxreset_txusrclk2) + ); + + always @(posedge txusrclk2 or posedge gttxreset_txusrclk2) + begin + if(gttxreset_txusrclk2) + txuserrdy <= 1'b0; + else + txuserrdy <= qplllock_txusrclk2; + end + + BUFG tx322clk_bufg_i ( + .I (txclk322), + .O (txusrclk) + ); + + assign txusrclk2 = txusrclk; + + // Instantiate the 10GBASER/KR Block Level + ten_gig_eth_pcs_pma ten_gig_eth_pcs_pma_i ( + .coreclk(clk156), + .dclk(dclk), + .txusrclk(txusrclk), + .txusrclk2(txusrclk2), + .txoutclk(txclk322), + .areset_coreclk(areset_clk156), + .txuserrdy(txuserrdy), + .areset(areset), + .gttxreset(gttxreset), + .gtrxreset(gtrxreset), + .sim_speedup_control(sim_speedup_control), + .qplllock(qplllock), + .qplloutclk(qplloutclk), + .qplloutrefclk(qplloutrefclk), + .reset_counter_done(reset_counter_done), + .xgmii_txd(xgmii_txd_reg), + .xgmii_txc(xgmii_txc_reg), + .xgmii_rxd(xgmii_rxd_int), + .xgmii_rxc(xgmii_rxc_int), + .txp(txp), + .txn(txn), + .rxp(rxp), + .rxn(rxn), + .mdc(mdc_reg), + .mdio_in(mdio_in_reg), + .mdio_out(mdio_out_int), + .mdio_tri(mdio_tri_int), + .prtad(prtad), + .core_status(core_status), + .tx_resetdone(tx_resetdone_int), + .rx_resetdone(rx_resetdone_int), + .signal_detect(signal_detect), + .tx_fault(tx_fault), + .drp_req(drp_req), + .drp_gnt(drp_gnt), + .drp_den_o(drp_den_o), + .drp_dwe_o(drp_dwe_o), + .drp_daddr_o(drp_daddr_o), + .drp_di_o(drp_di_o), + .drp_drdy_o(drp_drdy_o), + .drp_drpdo_o(drp_drpdo_o), + .drp_den_i(drp_den_i), + .drp_dwe_i(drp_dwe_i), + .drp_daddr_i(drp_daddr_i), + .drp_di_i(drp_di_i), + .drp_drdy_i(drp_drdy_i), + .drp_drpdo_i(drp_drpdo_i), + .pma_pmd_type(3'b101), + .tx_disable(tx_disable) + ); + +endmodule diff --git a/fpga/usrp3/top/e320/ip/ten_gig_eth_pcs_pma/ten_gige_phy_clk_gen.v b/fpga/usrp3/top/e320/ip/ten_gig_eth_pcs_pma/ten_gige_phy_clk_gen.v new file mode 100644 index 000000000..7c1d09fe6 --- /dev/null +++ b/fpga/usrp3/top/e320/ip/ten_gig_eth_pcs_pma/ten_gige_phy_clk_gen.v @@ -0,0 +1,37 @@ +// +// Copyright 2008-2013 Ettus Research LLC +// + +module ten_gige_phy_clk_gen +( + input refclk_ibuf, + output clk156, + output dclk +); + + wire dclk_buf; + + BUFG clk156_bufg_inst ( + .I (refclk_ibuf), + .O (clk156) + ); + + // Dividing independent clock by 2 as source for DRP clock + BUFR # ( + .BUFR_DIVIDE ("2") + ) dclk_divide_by_2_buf ( + .I (clk156), + .O (dclk_buf), + .CE (1'b1), + .CLR (1'b0) + ); + + BUFG dclk_bufg_i ( + .I (dclk_buf), + .O (dclk) + ); + +endmodule + + + diff --git a/fpga/usrp3/top/e320/mb_pins.xdc b/fpga/usrp3/top/e320/mb_pins.xdc new file mode 100644 index 000000000..aa299133c --- /dev/null +++ b/fpga/usrp3/top/e320/mb_pins.xdc @@ -0,0 +1,473 @@ +# +# Copyright 2018 Ettus Research, A National Instruments Company +# SPDX-License-Identifier: LGPL-3.0 +# +# Motherboard Pin Definitions for the E320. +# + +## Power ################################################################### +## +############################################################################ + +# Power Enable, Bank 10 +set_property PACKAGE_PIN AK15 [get_ports {ENA_PAPWR}] +set_property IOSTANDARD LVCMOS33 [get_ports {ENA_PAPWR}] + +## SFP + ################################################################### +## +############################################################################ + +## Power +#set_property PACKAGE_PIN AB9 [get_ports {+1V2_MGT_AVTT}] +#set_property IOSTANDARD [get_ports {+1V2_MGT_AVTT}] + +## Clocks, Bank 112 +set_property PACKAGE_PIN R7 [get_ports {CLK_MGT_156_25M_N}] +set_property PACKAGE_PIN R8 [get_ports {CLK_MGT_156_25M_P}] + +set_property PACKAGE_PIN N7 [get_ports {CLK_MGT_125M_N}] +set_property PACKAGE_PIN N8 [get_ports {CLK_MGT_125M_P}] + +## MGT, Bank 112 +set_property PACKAGE_PIN V5 [get_ports {SFP1_RX_N}] +set_property PACKAGE_PIN V6 [get_ports {SFP1_RX_P}] +set_property PACKAGE_PIN T1 [get_ports {SFP1_TX_N}] +set_property PACKAGE_PIN T2 [get_ports {SFP1_TX_P}] + +## SFP Sideband, Bank 10, 3.3V +set_property PACKAGE_PIN AJ16 [get_ports {SFP1_RXLOS}] +set_property IOSTANDARD LVCMOS33 [get_ports {SFP1_RXLOS}] + +set_property PACKAGE_PIN AK13 [get_ports {SFP1_TXFAULT}] +set_property IOSTANDARD LVCMOS33 [get_ports {SFP1_TXFAULT}] + +set_property PACKAGE_PIN AK12 [get_ports {SFP1_TXDISABLE}] +set_property IOSTANDARD LVCMOS33 [get_ports {SFP1_TXDISABLE}] + +set_property PACKAGE_PIN AE17 [get_ports {SFP1_MOD_ABS}] +set_property IOSTANDARD LVCMOS33 [get_ports {SFP1_MOD_ABS}] + +set_property PACKAGE_PIN AJ18 [get_ports {SFP1_RS0}] +set_property PACKAGE_PIN AK16 [get_ports {SFP1_RS1}] +set_property IOSTANDARD LVCMOS33 [get_ports {SFP1_RS*}] + +set_property PACKAGE_PIN AB17 [get_ports {LED_LINK1}] +set_property IOSTANDARD LVCMOS33 [get_ports {LED_LINK1}] + +set_property PACKAGE_PIN AB16 [get_ports {LED_ACT1}] +set_property IOSTANDARD LVCMOS33 [get_ports {LED_ACT1}] + +## Used with N310 hardware +#set_property PACKAGE_PIN U25 [get_ports PANEL_LED_GPS] +#set_property PACKAGE_PIN T25 [get_ports PANEL_LED_LINK] +#set_property PACKAGE_PIN W29 [get_ports PANEL_LED_PPS] +#set_property PACKAGE_PIN V24 [get_ports PANEL_LED_REF] +#set_property IOSTANDARD LVCMOS33 [get_ports PANEL_LED_*] +#set_property DRIVE 4 [get_ports PANEL_LED_*] +#set_property SLEW SLOW [get_ports PANEL_LED_*] + +## XCVR #################################################################### +## Catalina AD9361 Connections +## -- Data Buses +## -- Clocks +## -- SPI +## -- TX Amplifier +## -- LEDs +## +############################################################################ + +set_property PACKAGE_PIN P25 [get_ports {XCVR_ENABLE}] +set_property PACKAGE_PIN T25 [get_ports {XCVR_SYNC}] +set_property PACKAGE_PIN P23 [get_ports {XCVR_TXNRX}] +set_property PACKAGE_PIN N27 [get_ports {XCVR_ENA_AGC}] +set_property PACKAGE_PIN P26 [get_ports {XCVR_RESET_N}] + +## AD9361 SPI, Bank 13, 1.8V +set_property PACKAGE_PIN T27 [get_ports {XCVR_SPI_CS_N}] +set_property PACKAGE_PIN N26 [get_ports {XCVR_SPI_MISO}] +set_property PACKAGE_PIN P24 [get_ports {XCVR_SPI_MOSI}] +set_property PACKAGE_PIN T24 [get_ports {XCVR_SPI_CLK}] + +set_property IOSTANDARD LVCMOS18 [get_ports {XCVR_*}] + +## Catalina TX Data Bus +set_property PACKAGE_PIN F3 [get_ports {TX_CLK_N}] +set_property PACKAGE_PIN F4 [get_ports {TX_CLK_P}] +set_property IOSTANDARD LVDS [get_ports {TX_CLK_*}] +# +set_property PACKAGE_PIN B4 [get_ports {TX_FRAME_N}] +set_property PACKAGE_PIN B5 [get_ports {TX_FRAME_P}] +set_property IOSTANDARD LVDS [get_ports {TX_FRAME_*}] +# +set_property PACKAGE_PIN L2 [get_ports {TX_DATA_N[0]}] +set_property PACKAGE_PIN L3 [get_ports {TX_DATA_P[0]}] +set_property PACKAGE_PIN D5 [get_ports {TX_DATA_N[1]}] +set_property PACKAGE_PIN E6 [get_ports {TX_DATA_P[1]}] +set_property PACKAGE_PIN A4 [get_ports {TX_DATA_N[2]}] +set_property PACKAGE_PIN A5 [get_ports {TX_DATA_P[2]}] +set_property PACKAGE_PIN J3 [get_ports {TX_DATA_N[3]}] +set_property PACKAGE_PIN J4 [get_ports {TX_DATA_P[3]}] +set_property PACKAGE_PIN D1 [get_ports {TX_DATA_N[4]}] +set_property PACKAGE_PIN E1 [get_ports {TX_DATA_P[4]}] +set_property PACKAGE_PIN E2 [get_ports {TX_DATA_N[5]}] +set_property PACKAGE_PIN E3 [get_ports {TX_DATA_P[5]}] +set_property IOSTANDARD LVDS [get_ports {TX_DATA_*[*]}] + +## Catalina RX Data Bus +set_property PACKAGE_PIN G4 [get_ports {RX_CLK_N}] +set_property PACKAGE_PIN G5 [get_ports {RX_CLK_P}] +set_property IOSTANDARD LVDS [get_ports {RX_CLK_*}] +set_property DIFF_TERM TRUE [get_ports {RX_CLK_*}] +# +set_property PACKAGE_PIN J6 [get_ports {RX_FRAME_N}] +set_property PACKAGE_PIN K6 [get_ports {RX_FRAME_P}] +set_property IOSTANDARD LVDS [get_ports {RX_FRAME_*}] +set_property DIFF_TERM TRUE [get_ports {RX_FRAME_*}] +# +set_property PACKAGE_PIN C3 [get_ports {RX_DATA_N[0]}] +set_property PACKAGE_PIN C4 [get_ports {RX_DATA_P[0]}] +set_property PACKAGE_PIN G6 [get_ports {RX_DATA_N[1]}] +set_property PACKAGE_PIN H6 [get_ports {RX_DATA_P[1]}] +set_property PACKAGE_PIN D3 [get_ports {RX_DATA_N[2]}] +set_property PACKAGE_PIN D4 [get_ports {RX_DATA_P[2]}] +set_property PACKAGE_PIN K1 [get_ports {RX_DATA_N[3]}] +set_property PACKAGE_PIN L1 [get_ports {RX_DATA_P[3]}] +set_property PACKAGE_PIN J5 [get_ports {RX_DATA_N[4]}] +set_property PACKAGE_PIN K5 [get_ports {RX_DATA_P[4]}] +set_property PACKAGE_PIN F2 [get_ports {RX_DATA_N[5]}] +set_property PACKAGE_PIN G2 [get_ports {RX_DATA_P[5]}] +set_property IOSTANDARD LVDS [get_ports {RX_DATA_*[*]}] +set_property DIFF_TERM TRUE [get_ports {RX_DATA_*[*]}] + +## TX Amp, Bank 13, 1.8V +set_property PACKAGE_PIN P29 [get_ports {TX_HFAMP1_ENA}] +set_property PACKAGE_PIN U29 [get_ports {TX_HFAMP2_ENA}] +set_property IOSTANDARD LVCMOS18 [get_ports {TX_HFAMP*_ENA}] + +set_property PACKAGE_PIN N29 [get_ports {TX_LFAMP1_ENA}] +set_property PACKAGE_PIN T29 [get_ports {TX_LFAMP2_ENA}] +set_property IOSTANDARD LVCMOS18 [get_ports {TX_LFAMP*_ENA}] + +# CTRL_OUT, Bank 13, 1.8 V +set_property PACKAGE_PIN V28 [get_ports {XCVR_CTRL_OUT[0]}] +set_property PACKAGE_PIN V29 [get_ports {XCVR_CTRL_OUT[1]}] +set_property PACKAGE_PIN W29 [get_ports {XCVR_CTRL_OUT[2]}] +set_property PACKAGE_PIN W30 [get_ports {XCVR_CTRL_OUT[3]}] +set_property PACKAGE_PIN V27 [get_ports {XCVR_CTRL_OUT[4]}] +set_property PACKAGE_PIN W28 [get_ports {XCVR_CTRL_OUT[5]}] +set_property PACKAGE_PIN W25 [get_ports {XCVR_CTRL_OUT[6]}] +set_property PACKAGE_PIN W26 [get_ports {XCVR_CTRL_OUT[7]}] +set_property IOSTANDARD LVCMOS18 [get_ports {XCVR_CTRL_OUT[*]}] +# Set pull-up on bits 6 and 7 to make the bitstream compatible with Rev A, +# where the signals don't exist. +set_property PULLUP TRUE [get_ports {XCVR_CTRL_OUT[6]}] +set_property PULLUP TRUE [get_ports {XCVR_CTRL_OUT[7]}] + +## RX/TX LEDs, Bank 10, 3.3 V +set_property PACKAGE_PIN AG14 [get_ports {RX1_GRN_ENA}] +set_property PACKAGE_PIN AG17 [get_ports {RX2_GRN_ENA}] +set_property IOSTANDARD LVCMOS33 [get_ports {RX*_GRN_ENA}] + +set_property PACKAGE_PIN AG16 [get_ports {TX1_RED_ENA}] +set_property PACKAGE_PIN AF15 [get_ports {TX2_RED_ENA}] +set_property IOSTANDARD LVCMOS33 [get_ports {TX*_RED_ENA}] + +set_property PACKAGE_PIN AG15 [get_ports {TXRX1_GRN_ENA}] +set_property PACKAGE_PIN AF18 [get_ports {TXRX2_GRN_ENA}] +set_property IOSTANDARD LVCMOS33 [get_ports {TXRX*_GRN_ENA}] + + +## PL DDR #################################################################### +## +############################################################################ + +## PL DDR, Bank 34,35 + +set_property PACKAGE_PIN G9 [get_ports {SYS_CLK_N}] +set_property PACKAGE_PIN H9 [get_ports {SYS_CLK_P}] +set_property PACKAGE_PIN D8 [get_ports {DDR3_ADDR[0]}] +set_property PACKAGE_PIN F9 [get_ports {DDR3_ADDR[1]}] +set_property PACKAGE_PIN A7 [get_ports {DDR3_ADDR[2]}] +set_property PACKAGE_PIN D9 [get_ports {DDR3_ADDR[3]}] +set_property PACKAGE_PIN H7 [get_ports {DDR3_ADDR[4]}] +set_property PACKAGE_PIN E8 [get_ports {DDR3_ADDR[5]}] +set_property PACKAGE_PIN F8 [get_ports {DDR3_ADDR[6]}] +set_property PACKAGE_PIN E7 [get_ports {DDR3_ADDR[7]}] +set_property PACKAGE_PIN C6 [get_ports {DDR3_ADDR[8]}] +set_property PACKAGE_PIN C7 [get_ports {DDR3_ADDR[9]}] +set_property PACKAGE_PIN B7 [get_ports {DDR3_ADDR[10]}] +set_property PACKAGE_PIN J9 [get_ports {DDR3_ADDR[11]}] +set_property PACKAGE_PIN D11 [get_ports {DDR3_ADDR[12]}] +set_property PACKAGE_PIN F7 [get_ports {DDR3_ADDR[13]}] +set_property PACKAGE_PIN D6 [get_ports {DDR3_ADDR[14]}] +set_property PACKAGE_PIN B6 [get_ports {DDR3_ADDR[15]}] +set_property PACKAGE_PIN C9 [get_ports {DDR3_BA[0]}] +set_property PACKAGE_PIN G7 [get_ports {DDR3_BA[1]}] +set_property PACKAGE_PIN B9 [get_ports {DDR3_BA[2]}] +set_property PACKAGE_PIN D10 [get_ports {DDR3_CAS_N}] +set_property PACKAGE_PIN E11 [get_ports {DDR3_CKE[0]}] +set_property PACKAGE_PIN H8 [get_ports {DDR3_CK_N[0]}] +set_property PACKAGE_PIN J8 [get_ports {DDR3_CK_P[0]}] +set_property PACKAGE_PIN E10 [get_ports {DDR3_CS_N[0]}] +set_property PACKAGE_PIN D16 [get_ports {DDR3_DM[0]}] +set_property PACKAGE_PIN C12 [get_ports {DDR3_DM[1]}] +set_property PACKAGE_PIN J13 [get_ports {DDR3_DM[2]}] +set_property PACKAGE_PIN F14 [get_ports {DDR3_DM[3]}] +set_property PACKAGE_PIN B16 [get_ports {DDR3_DQ[0]}] +set_property PACKAGE_PIN A17 [get_ports {DDR3_DQ[1]}] +set_property PACKAGE_PIN C16 [get_ports {DDR3_DQ[2]}] +set_property PACKAGE_PIN E15 [get_ports {DDR3_DQ[3]}] +set_property PACKAGE_PIN C17 [get_ports {DDR3_DQ[4]}] +set_property PACKAGE_PIN D14 [get_ports {DDR3_DQ[5]}] +set_property PACKAGE_PIN B17 [get_ports {DDR3_DQ[6]}] +set_property PACKAGE_PIN D15 [get_ports {DDR3_DQ[7]}] +set_property PACKAGE_PIN B12 [get_ports {DDR3_DQ[8]}] +set_property PACKAGE_PIN A13 [get_ports {DDR3_DQ[9]}] +set_property PACKAGE_PIN C11 [get_ports {DDR3_DQ[10]}] +set_property PACKAGE_PIN C14 [get_ports {DDR3_DQ[11]}] +set_property PACKAGE_PIN A12 [get_ports {DDR3_DQ[12]}] +set_property PACKAGE_PIN B14 [get_ports {DDR3_DQ[13]}] +set_property PACKAGE_PIN B11 [get_ports {DDR3_DQ[14]}] +set_property PACKAGE_PIN A14 [get_ports {DDR3_DQ[15]}] +set_property PACKAGE_PIN J16 [get_ports {DDR3_DQ[16]}] +set_property PACKAGE_PIN J14 [get_ports {DDR3_DQ[17]}] +set_property PACKAGE_PIN L15 [get_ports {DDR3_DQ[18]}] +set_property PACKAGE_PIN H14 [get_ports {DDR3_DQ[19]}] +set_property PACKAGE_PIN K15 [get_ports {DDR3_DQ[20]}] +set_property PACKAGE_PIN H13 [get_ports {DDR3_DQ[21]}] +set_property PACKAGE_PIN L14 [get_ports {DDR3_DQ[22]}] +set_property PACKAGE_PIN J15 [get_ports {DDR3_DQ[23]}] +set_property PACKAGE_PIN E13 [get_ports {DDR3_DQ[24]}] +set_property PACKAGE_PIN F15 [get_ports {DDR3_DQ[25]}] +set_property PACKAGE_PIN F13 [get_ports {DDR3_DQ[26]}] +set_property PACKAGE_PIN G16 [get_ports {DDR3_DQ[27]}] +set_property PACKAGE_PIN E12 [get_ports {DDR3_DQ[28]}] +set_property PACKAGE_PIN G15 [get_ports {DDR3_DQ[29]}] +set_property PACKAGE_PIN D13 [get_ports {DDR3_DQ[30]}] +set_property PACKAGE_PIN G14 [get_ports {DDR3_DQ[31]}] +set_property PACKAGE_PIN E17 [get_ports {DDR3_DQS_N[0]}] +set_property PACKAGE_PIN F17 [get_ports {DDR3_DQS_P[0]}] +set_property PACKAGE_PIN A15 [get_ports {DDR3_DQS_N[1]}] +set_property PACKAGE_PIN B15 [get_ports {DDR3_DQS_P[1]}] +set_property PACKAGE_PIN K13 [get_ports {DDR3_DQS_N[2]}] +set_property PACKAGE_PIN L13 [get_ports {DDR3_DQS_P[2]}] +set_property PACKAGE_PIN F12 [get_ports {DDR3_DQS_N[3]}] +set_property PACKAGE_PIN G12 [get_ports {DDR3_DQS_P[3]}] +set_property PACKAGE_PIN G11 [get_ports {DDR3_ODT[0]}] +set_property PACKAGE_PIN A10 [get_ports {DDR3_RAS_N}] +set_property PACKAGE_PIN B10 [get_ports {DDR3_RESET_N}] +set_property PACKAGE_PIN A9 [get_ports {DDR3_WE_N}] + +## Front Panel GPIO ######################################################## +## +############################################################################ + +# GPIO, Bank 12 +set_property PACKAGE_PIN AC26 [get_ports {EN_GPIO_2V5}] +set_property IOSTANDARD LVCMOS18 [get_ports {EN_GPIO_2V5}] + +set_property PACKAGE_PIN AA29 [get_ports {EN_GPIO_3V3}] +set_property IOSTANDARD LVCMOS18 [get_ports {EN_GPIO_3V3}] + +set_property PACKAGE_PIN AB30 [get_ports {EN_GPIO_VAR_SUPPLY}] +set_property IOSTANDARD LVCMOS18 [get_ports {EN_GPIO_VAR_SUPPLY}] + +set_property PACKAGE_PIN AF27 [get_ports {GPIO_PREBUFF[0]}] +set_property PACKAGE_PIN AF29 [get_ports {GPIO_PREBUFF[1]}] +set_property PACKAGE_PIN AE25 [get_ports {GPIO_PREBUFF[2]}] +set_property PACKAGE_PIN AF25 [get_ports {GPIO_PREBUFF[3]}] +set_property PACKAGE_PIN AJ30 [get_ports {GPIO_PREBUFF[4]}] +set_property PACKAGE_PIN AK30 [get_ports {GPIO_PREBUFF[5]}] +set_property PACKAGE_PIN AJ28 [get_ports {GPIO_PREBUFF[6]}] +set_property PACKAGE_PIN AJ29 [get_ports {GPIO_PREBUFF[7]}] + +set_property PACKAGE_PIN AA27 [get_ports {GPIO_DIR[0]}] +set_property PACKAGE_PIN AA28 [get_ports {GPIO_DIR[1]}] +set_property PACKAGE_PIN AB25 [get_ports {GPIO_DIR[2]}] +set_property PACKAGE_PIN AB26 [get_ports {GPIO_DIR[3]}] +set_property PACKAGE_PIN AE26 [get_ports {GPIO_DIR[4]}] +set_property PACKAGE_PIN AB27 [get_ports {GPIO_DIR[5]}] +set_property PACKAGE_PIN AC27 [get_ports {GPIO_DIR[6]}] +set_property PACKAGE_PIN Y25 [get_ports {GPIO_DIR[7]}] + +set_property PACKAGE_PIN AD26 [get_ports {GPIO_OE_N}] + +set_property IOSTANDARD LVCMOS18 [get_ports {GPIO_*}] + +## GPSDO ################################################################### +## +############################################################################ + +# GPSDO, Bank 13 +set_property PACKAGE_PIN R28 [get_ports {CLK_GPS_PWR_EN}] +set_property IOSTANDARD LVCMOS18 [get_ports {CLK_GPS_PWR_EN}] + +# GPSDO, Bank 10 +set_property PACKAGE_PIN AD14 [get_ports {GPS_ALARM}] +set_property PACKAGE_PIN AD13 [get_ports {GPS_INITSURV_N}] +set_property PACKAGE_PIN AH13 [get_ports {GPS_LOCK}] +set_property PACKAGE_PIN AE12 [get_ports {GPS_PHASELOCK}] +set_property PACKAGE_PIN AG12 [get_ports {GPS_RST_N}] +set_property PACKAGE_PIN AH14 [get_ports {GPS_SURVEY}] +set_property PACKAGE_PIN AF12 [get_ports {GPS_WARMUP}] + +set_property IOSTANDARD LVCMOS33 [get_ports {GPS_*}] + +## Daughterboard Connections ############################################### +## +############################################################################ + +# Switches, Bank 9 +set_property PACKAGE_PIN Y20 [get_ports {FE1_SEL[0]}] +set_property PACKAGE_PIN AA20 [get_ports {FE1_SEL[1]}] +set_property PACKAGE_PIN AC18 [get_ports {FE1_SEL[2]}] +set_property PACKAGE_PIN AA18 [get_ports {FE2_SEL[0]}] +set_property PACKAGE_PIN AA19 [get_ports {FE2_SEL[1]}] +set_property PACKAGE_PIN AB19 [get_ports {FE2_SEL[2]}] +set_property IOSTANDARD LVCMOS33 [get_ports {FE*_SEL[*]}] + +set_property PACKAGE_PIN AC19 [get_ports {RX1_SEL[0]}] +set_property PACKAGE_PIN AD18 [get_ports {RX1_SEL[1]}] +set_property PACKAGE_PIN AB20 [get_ports {RX2_SEL[0]}] +set_property PACKAGE_PIN AD20 [get_ports {RX2_SEL[1]}] +set_property IOSTANDARD LVCMOS33 [get_ports {RX*_SEL[*]}] + +# Switches, Bank 11 +set_property PACKAGE_PIN AB21 [get_ports {RX1_BSEL[0]}] +set_property PACKAGE_PIN AB22 [get_ports {RX1_BSEL[1]}] +set_property PACKAGE_PIN W21 [get_ports {RX1_BSEL[2]}] +set_property PACKAGE_PIN Y21 [get_ports {RX1_BSEL[3]}] +set_property PACKAGE_PIN AA24 [get_ports {RX1_BSEL[4]}] +set_property PACKAGE_PIN AB24 [get_ports {RX1_BSEL[5]}] +set_property IOSTANDARD LVCMOS18 [get_ports {RX1_BSEL[*]}] + +set_property PACKAGE_PIN AC24 [get_ports {RX2_BSEL[0]}] +set_property PACKAGE_PIN AD24 [get_ports {RX2_BSEL[1]}] +set_property PACKAGE_PIN AG24 [get_ports {RX2_BSEL[2]}] +set_property PACKAGE_PIN AG25 [get_ports {RX2_BSEL[3]}] +set_property PACKAGE_PIN AD21 [get_ports {RX2_BSEL[4]}] +set_property PACKAGE_PIN AE21 [get_ports {RX2_BSEL[5]}] +set_property IOSTANDARD LVCMOS18 [get_ports {RX2_BSEL[*]}] + +set_property PACKAGE_PIN AK17 [get_ports {TX1_BSEL[0]}] +set_property PACKAGE_PIN AK18 [get_ports {TX1_BSEL[1]}] +set_property PACKAGE_PIN AH19 [get_ports {TX1_BSEL[2]}] +set_property PACKAGE_PIN AJ19 [get_ports {TX1_BSEL[3]}] +set_property PACKAGE_PIN AF19 [get_ports {TX1_BSEL[4]}] +set_property PACKAGE_PIN AG19 [get_ports {TX1_BSEL[5]}] +set_property IOSTANDARD LVCMOS18 [get_ports {TX1_BSEL[*]}] + +set_property PACKAGE_PIN AK23 [get_ports {TX2_BSEL[0]}] +set_property PACKAGE_PIN AJ23 [get_ports {TX2_BSEL[1]}] +set_property PACKAGE_PIN AJ24 [get_ports {TX2_BSEL[2]}] +set_property PACKAGE_PIN AH23 [get_ports {TX2_BSEL[3]}] +set_property PACKAGE_PIN AH24 [get_ports {TX2_BSEL[4]}] +set_property PACKAGE_PIN AG22 [get_ports {TX2_BSEL[5]}] +set_property IOSTANDARD LVCMOS18 [get_ports {TX2_BSEL[*]}] + +## PPS, REFCLK ############################################################# +## +############################################################################ + +# RefClk, Bank 10 +set_property PACKAGE_PIN AF14 [get_ports {CLK_REF_RAW}] +set_property PACKAGE_PIN AH17 [get_ports {CLK_REF_SEL}] +set_property IOSTANDARD LVCMOS33 [get_ports {CLK_REF_*}] + +# PPS, Bank 10 +set_property PACKAGE_PIN AD15 [get_ports {CLK_SYNC_EXT}] +set_property PACKAGE_PIN AC14 [get_ports {CLK_SYNC_INT}] +set_property PACKAGE_PIN AA15 [get_ports {CLK_SYNC_INT_RAW}] +set_property IOSTANDARD LVCMOS33 [get_ports {CLK_SYNC_*}] + +## REF CLK PLL, Bank 10 +set_property PACKAGE_PIN AE15 [get_ports {CLK_PLL_SCLK}] +set_property PACKAGE_PIN AE16 [get_ports {CLK_PLL_SDATA}] +set_property PACKAGE_PIN AE18 [get_ports {CLK_PLL_SLE}] +set_property IOSTANDARD LVCMOS33 [get_ports {CLK_PLL_*}] +# +# Set pull-up on CLK_MUX_OUT to make the bitstream compatible with Rev A, where +# the signal doesn't exist. +set_property PACKAGE_PIN AH18 [get_ports {CLK_MUX_OUT}] +set_property IOSTANDARD LVCMOS33 [get_ports {CLK_MUX_OUT}] +set_property PULLUP TRUE [get_ports {CLK_MUX_OUT}] + + +############################################################################ +##FIXME: Remove this? + +## PS DDR +#set_property PACKAGE_PIN K25 [get_ports DDR_MODCLK_P] +#set_property PACKAGE_PIN J25 [get_ports DDR_MODCLK_N] +#set_property PACKAGE_PIN M22 [get_ports PS_DDR3_CKE] +#set_property PACKAGE_PIN F25 [get_ports PS_DDR3_RESET_N] +#set_property PACKAGE_PIN J24 [get_ports PS_DDR3_ADDR[14]] +#set_property PACKAGE_PIN H23 [get_ports PS_DDR3_ADDR[13]] +#set_property PACKAGE_PIN K23 [get_ports PS_DDR3_ADDR[12]] +#set_property PACKAGE_PIN H24 [get_ports PS_DDR3_ADDR[11]] +#set_property PACKAGE_PIN G26 [get_ports PS_DDR3_ADDR[10]] +#set_property PACKAGE_PIN J23 [get_ports PS_DDR3_ADDR[9]] +#set_property PACKAGE_PIN F27 [get_ports PS_DDR3_ADDR[8]] +#set_property PACKAGE_PIN K22 [get_ports PS_DDR3_ADDR[7]] +#set_property PACKAGE_PIN H26 [get_ports PS_DDR3_ADDR[6]] +#set_property PACKAGE_PIN G24 [get_ports PS_DDR3_ADDR[5]] +#set_property PACKAGE_PIN J26 [get_ports PS_DDR3_ADDR[4]] +#set_property PACKAGE_PIN G25 [get_ports PS_DDR3_ADDR[3]] +#set_property PACKAGE_PIN L27 [get_ports PS_DDR3_ADDR[2]] +#set_property PACKAGE_PIN K26 [get_ports PS_DDR3_ADDR[1]] +#set_property PACKAGE_PIN L25 [get_ports PS_DDR3_ADDR[0]] +#set_property PACKAGE_PIN K28 [get_ports PS_DDR3_DM[3]] +#set_property PACKAGE_PIN H29 [get_ports PS_DDR3_DM[2]] +#set_property PACKAGE_PIN B30 [get_ports PS_DDR3_DM[1]] +#set_property PACKAGE_PIN C27 [get_ports PS_DDR3_DM[0]] +#set_property PACKAGE_PIN M25 [get_ports PS_DDR3_BA[2]] +#set_property PACKAGE_PIN M26 [get_ports PS_DDR3_BA[1]] +#set_property PACKAGE_PIN M27 [get_ports PS_DDR3_BA[0]] +#set_property PACKAGE_PIN L28 [get_ports PS_DDR3_DQS_P[3]] +#set_property PACKAGE_PIN G29 [get_ports PS_DDR3_DQS_P[2]] +#set_property PACKAGE_PIN C29 [get_ports PS_DDR3_DQS_P[1]] +#set_property PACKAGE_PIN C26 [get_ports PS_DDR3_DQS_P[0]] +#set_property PACKAGE_PIN L29 [get_ports PS_DDR3_DQS_N[3]] +#set_property PACKAGE_PIN F29 [get_ports PS_DDR3_DQS_N[2]] +#set_property PACKAGE_PIN B29 [get_ports PS_DDR3_DQS_N[1]] +#set_property PACKAGE_PIN B26 [get_ports PS_DDR3_DQS_N[0]] +#set_property PACKAGE_PIN M30 [get_ports PS_DDR3_DQ[31]] +#set_property PACKAGE_PIN L30 [get_ports PS_DDR3_DQ[30]] +#set_property PACKAGE_PIN M29 [get_ports PS_DDR3_DQ[29]] +#set_property PACKAGE_PIN K30 [get_ports PS_DDR3_DQ[28]] +#set_property PACKAGE_PIN J28 [get_ports PS_DDR3_DQ[27]] +#set_property PACKAGE_PIN J30 [get_ports PS_DDR3_DQ[26]] +#set_property PACKAGE_PIN K27 [get_ports PS_DDR3_DQ[25]] +#set_property PACKAGE_PIN J29 [get_ports PS_DDR3_DQ[24]] +#set_property PACKAGE_PIN F30 [get_ports PS_DDR3_DQ[23]] +#set_property PACKAGE_PIN G30 [get_ports PS_DDR3_DQ[22]] +#set_property PACKAGE_PIN F28 [get_ports PS_DDR3_DQ[21]] +#set_property PACKAGE_PIN E30 [get_ports PS_DDR3_DQ[20]] +#set_property PACKAGE_PIN E28 [get_ports PS_DDR3_DQ[19]] +#set_property PACKAGE_PIN H28 [get_ports PS_DDR3_DQ[18]] +#set_property PACKAGE_PIN G27 [get_ports PS_DDR3_DQ[17]] +#set_property PACKAGE_PIN H27 [get_ports PS_DDR3_DQ[16]] +#set_property PACKAGE_PIN D29 [get_ports PS_DDR3_DQ[15]] +#set_property PACKAGE_PIN D28 [get_ports PS_DDR3_DQ[14]] +#set_property PACKAGE_PIN D30 [get_ports PS_DDR3_DQ[13]] +#set_property PACKAGE_PIN C28 [get_ports PS_DDR3_DQ[12]] +#set_property PACKAGE_PIN A28 [get_ports PS_DDR3_DQ[11]] +#set_property PACKAGE_PIN A30 [get_ports PS_DDR3_DQ[10]] +#set_property PACKAGE_PIN A27 [get_ports PS_DDR3_DQ[9]] +#set_property PACKAGE_PIN A29 [get_ports PS_DDR3_DQ[8]] +#set_property PACKAGE_PIN E27 [get_ports PS_DDR3_DQ[7]] +#set_property PACKAGE_PIN D26 [get_ports PS_DDR3_DQ[6]] +#set_property PACKAGE_PIN E26 [get_ports PS_DDR3_DQ[5]] +#set_property PACKAGE_PIN B25 [get_ports PS_DDR3_DQ[4]] +#set_property PACKAGE_PIN D25 [get_ports PS_DDR3_DQ[3]] +#set_property PACKAGE_PIN B27 [get_ports PS_DDR3_DQ[2]] +#set_property PACKAGE_PIN E25 [get_ports PS_DDR3_DQ[1]] +#set_property PACKAGE_PIN A25 [get_ports PS_DDR3_DQ[0]] +#set_property PACKAGE_PIN L23 [get_ports PS_DDR3_ODT] +#set_property PACKAGE_PIN N21 [get_ports PS_DDR3_VRN] +#set_property PACKAGE_PIN M21 [get_ports PS_DDR3_VRP] +#set_property PACKAGE_PIN N23 [get_ports PS_DDR3_WE_N] +#set_property PACKAGE_PIN N22 [get_ports PS_DDR3_CS_N] +#set_property PACKAGE_PIN M24 [get_ports PS_DDR3_CAS_N] +#set_property PACKAGE_PIN N24 [get_ports PS_DDR3_RAS_N] + diff --git a/fpga/usrp3/top/e320/mb_timing.xdc b/fpga/usrp3/top/e320/mb_timing.xdc new file mode 100644 index 000000000..3b77cad2d --- /dev/null +++ b/fpga/usrp3/top/e320/mb_timing.xdc @@ -0,0 +1,360 @@ +# +# Copyright 2018 Ettus Research, A National Instruments Company +# SPDX-License-Identifier: LGPL-3.0 +# +# Description: Timing constraints for the USRP E320 +# + + +############################################################################### +# Input Clocks +############################################################################### + +# External Reference Clock +set REF_CLK_PERIOD 50.00 +create_clock -name ref_clk -period $REF_CLK_PERIOD [get_ports CLK_REF_RAW] + +# Radio clock from AD9361 +set rx_clk_period 8.138 +create_clock -name rx_clk -period $rx_clk_period [get_ports RX_CLK_P] + +# 1 Gigabit Ethernet Reference Clock +create_clock -name ge_clk -period 8.000 [get_ports CLK_MGT_125M_P] + +# 10 Gigabit and Aurora Reference Clock +create_clock -name xge_clk -period 6.400 [get_ports CLK_MGT_156_25M_P] + +# Derived radio clocks (two mutually-exclusive clocks using a BUFGMUX) +create_generated_clock -name radio_clk_1x \ + -divide_by 4 \ + -add \ + -master_clock rx_clk \ + -source [get_pins cat_io_lvds_dual_mode_i0/cat_io_lvds_i0/cat_input_lvds_i0/sdr_clk_2x_bufr/O] \ + [get_pins cat_io_lvds_dual_mode_i0/BUFGCTRL_radio_clk/O] +create_generated_clock -name radio_clk_2x \ + -divide_by 2 \ + -add \ + -master_clock rx_clk \ + -source [get_pins cat_io_lvds_dual_mode_i0/cat_io_lvds_i0/cat_input_lvds_i0/sdr_clk_bufr/O] \ + [get_pins cat_io_lvds_dual_mode_i0/BUFGCTRL_radio_clk/O] +set_clock_groups -physically_exclusive -group radio_clk_1x -group radio_clk_2x + + + +############################################################################### +# Rename Clocks +############################################################################### + +create_clock -name clk100 \ + -period [get_property PERIOD [get_clocks clk_fpga_0]] \ + [get_pins [get_property SOURCE_PINS [get_clocks clk_fpga_0]]] +set_input_jitter clk100 0.3 + +create_clock -name clk40 \ + -period [get_property PERIOD [get_clocks clk_fpga_1]] \ + [get_pins [get_property SOURCE_PINS [get_clocks clk_fpga_1]]] +set_input_jitter clk40 0.75 + +create_clock -name meas_clk_ref \ + -period [get_property PERIOD [get_clocks clk_fpga_2]] \ + [get_pins [get_property SOURCE_PINS [get_clocks clk_fpga_2]]] +set_input_jitter meas_clk_ref 0.18 + +create_clock -name bus_clk \ + -period [get_property PERIOD [get_clocks clk_fpga_3]] \ + [get_pins [get_property SOURCE_PINS [get_clocks clk_fpga_3]]] +set_input_jitter bus_clk 0.15 + +# DMA Clock +create_generated_clock -name ddr3_dma_clk \ + [get_pins {e320_clocking_i/mmcm_adv_inst/CLKOUT0}] + + + +############################################################################### +# Clock Crossings +############################################################################### + +set DDR3_UI_CLK_PERIOD [get_property period [get_clocks ddr3_ui_clk]] +set RADIO_CLK_1X_PERIOD [get_property period [get_clocks radio_clk_1x]] +set RADIO_CLK_2X_PERIOD [get_property period [get_clocks radio_clk_2x]] +set XGE_CLK_PERIOD [get_property period [get_clocks xge_clk]] + +# XADC temperature +set_max_delay -from [get_pins tempmon_i/device_temp_r_reg[*]/C] -to [get_clocks ddr3_ui_clk] $DDR3_UI_CLK_PERIOD -datapath_only + +# GPIO muxing +set_max_delay -from [get_pins e320_core_i/fp_gpio_src_reg_reg[*]/C] -to [get_clocks radio_clk_1x] $RADIO_CLK_1X_PERIOD -datapath_only +set_max_delay -from [get_pins e320_core_i/fp_gpio_src_reg_reg[*]/C] -to [get_clocks radio_clk_2x] $RADIO_CLK_2X_PERIOD -datapath_only + +# Codec reset +set_max_delay -from [get_pins e320_core_i/dboard_ctrl_reg[2]/C] -to [get_clocks radio_clk_1x_1] $RADIO_CLK_1X_PERIOD -datapath_only + +# Power-on reset +set_max_delay -from [get_pins por_gen/por_rst_reg/C] -to [get_clocks xge_clk] $XGE_CLK_PERIOD -datapath_only + +# SFP MDIO data and clock signal crossings. These are double synchronized in +# the Xilinx MDIO IP. +set_max_delay -from [get_pins sfp_wrapper_i/mgt_io_i/mdio_master_i/mdio_out_reg/C] \ + -to [get_clocks xge_clk] $XGE_CLK_PERIOD -datapath_only +set_max_delay -from [get_pins sfp_wrapper_i/mgt_io_i/mdio_master_i/mdc_reg/C] \ + -to [get_clocks xge_clk] $XGE_CLK_PERIOD -datapath_only + + + +############################################################################### +# False Paths +############################################################################### + +# Synchronizer core false paths +set_false_path -to [get_pins -hierarchical -filter {NAME =~ */synchronizer_false_path/stages[0].value_reg[0][*]/D}] +set_false_path -to [get_pins -hierarchical -filter {NAME =~ */synchronizer_false_path/stages[0].value_reg[0][*]/S}] + + +# MIG core reset +# According to Xilinx AR 61112, it is safe to make sys_rst a false path. +set_false_path -from [get_pins bus_reset_gen/reset_out_reg/C] \ + -to [get_clocks ddr3_ui_clk] +set_false_path -from [get_pins bus_reset_gen/reset_out_reg/C] \ + -to [get_clocks ddr3_ui_clk_2x] + +# USR_ACCESS build date +set_false_path -through [get_pins usr_access_i/DATA[*]] + + + +############################################################################### +# PPS Input Timing +############################################################################### + +# The external PPS is synchronous to the external reference clock. We want to +# allow for 5 ns of setup and 5 ns of hold at the external connectors of the +# device. +set t_ext_setup 5.0 +set t_ext_hold 5.0 + +# Board delays for external REF/PPS +set t_ext_pps_to_fpga(min) 1.673 ; # Delay from external pin of PPS to FPGA +set t_ext_pps_to_fpga(max) 5.011 +set t_ext_ref_to_fpga(min) 1.452 ; # Delay from external pin of reference clock to FPGA +set t_ext_ref_to_fpga(max) 4.000 + +# Calculate the needed setup and hold at FPGA for external PPS, taking into +# account worst-case clock and data path skew. +set t_ext_fpga_setup [expr $t_ext_setup + ($t_ext_ref_to_fpga(min) - $t_ext_pps_to_fpga(max))] +set t_ext_fpga_hold [expr $t_ext_hold + ($t_ext_pps_to_fpga(min) - $t_ext_ref_to_fpga(max))] + +set_input_delay -clock ref_clk -max [expr $REF_CLK_PERIOD - $t_ext_fpga_setup] [get_ports CLK_SYNC_EXT] +set_input_delay -clock ref_clk -min $t_ext_fpga_hold [get_ports CLK_SYNC_EXT] + + +# The GPS provides 2 ns setup and 2 ns of hold around the rising clock edge +set t_int_setup 2.0 +set t_int_hold 2.0 + +# Board delays for internal REF/PPS +set t_int_pps_to_fpga(min) 0.359 ; # Delay from PPS output of GPS to FPGA +set t_int_pps_to_fpga(max) 0.438 +set t_int_ref_to_fpga(min) 1.699 ; # Delay from reference clock output of GPS to FPGA +set t_int_ref_to_fpga(max) 3.149 + +# Calculate the needed setup and hold at FPGA for internal PPS, taking into +# account worst-case clock and data path skew. +set t_int_fpga_setup [expr $t_int_setup + ($t_int_ref_to_fpga(min) - $t_int_pps_to_fpga(max))] +set t_int_fpga_hold [expr $t_int_hold + ($t_int_pps_to_fpga(min) - $t_int_ref_to_fpga(max))] + +set_input_delay -clock ref_clk -max [expr $REF_CLK_PERIOD - $t_int_fpga_setup] [get_ports CLK_SYNC_INT] +set_input_delay -clock ref_clk -min $t_int_fpga_hold [get_ports CLK_SYNC_INT] + + + +############################################################################### +# LVDS Interface +############################################################################### + +# LVDS interface is source synchronous DDR. tPCB numbers are taken from +# HyperLynx for the Rev B PCB. 10 ps was added to each PCB delay for additional +# margin. + +# From the AD9361 data sheet +set tDDRX(min) 0.25 +set tDDRX(max) 1.25 +set tSTX(min) 1.0 +set tHTX(min) 0.0 + +# Other timing parameters +set tCP2X(min) [expr 0.45 * $rx_clk_period] ; # Worst-case bit period +set tTrns(max) 0.220 ; # Amount of time it takes an input to transition + +# Input timing parameters +set tPCB_RX(max) 0.058 ; # Max delay by which the clock trace is longer than the data trace +set tPCB_RX(min) -0.059 ; # Min delay by which the clock trace is longer than the data trace +set tSetupIn [expr $tCP2X(min) - $tDDRX(max) + $tPCB_RX(min)] +set tHoldIn [expr $tDDRX(min) - $tTrns(max) - $tPCB_RX(max)] + +# Input Setup/Hold (Rising Clock Edge) +set_input_delay -clock [get_clocks rx_clk] -max [expr $tCP2X(min) - $tSetupIn] [get_ports {RX_DATA_*[*] RX_FRAME_*}] +set_input_delay -clock [get_clocks rx_clk] -min $tHoldIn [get_ports {RX_DATA_*[*] RX_FRAME_*}] + +# Input Setup/Hold (Falling Clock Edge) +set_input_delay -clock [get_clocks rx_clk] -max [expr $tCP2X(min) - $tSetupIn] [get_ports {RX_DATA_*[*] RX_FRAME_*}] -clock_fall -add_delay +set_input_delay -clock [get_clocks rx_clk] -min $tHoldIn [get_ports {RX_DATA_*[*] RX_FRAME_*}] -clock_fall -add_delay + + +# Output timing parameters +set tPCB_TX(max) 0.066 ; # Max delay by which the clock trace is longer than the data trace +set tPCB_TX(min) -0.049 ; # Min delay by which the clock trace is longer than the data trace +set tSetupOut [expr $tSTX(min) - $tPCB_TX(min)] +set tHoldOut [expr $tHTX(min) + $tPCB_TX(max)] + +# Create tx_clk (FB_CLK) +create_generated_clock \ + -name tx_clk \ + -multiply_by 1 \ + -source [get_pins cat_io_lvds_dual_mode_i0/cat_io_lvds_i0/cat_output_lvds_i0/ddr_clk_oserdese2/CLK] \ + [get_ports TX_CLK_P] + +# Output Setup +set_output_delay -clock [get_clocks tx_clk] -max $tSetupOut [get_ports {TX_DATA_*[*] TX_FRAME_*}] +set_output_delay -clock [get_clocks tx_clk] -max $tSetupOut [get_ports {TX_DATA_*[*] TX_FRAME_*}] -clock_fall -add_delay + +# Output Hold +set_output_delay -clock [get_clocks tx_clk] -min [expr -$tHoldOut] [get_ports {TX_DATA_*[*] TX_FRAME_*}] +set_output_delay -clock [get_clocks tx_clk] -min [expr -$tHoldOut] [get_ports {TX_DATA_*[*] TX_FRAME_*}] -clock_fall -add_delay + + + +############################################################################### +# SPI +############################################################################### + +# Xilinx doesn't allow you to fully constrain EMIO because the internal SPI +# clock is not accessible. So delay constraints are used to limit the delays to +# compatible values. + +# Transceiver SPI +set_max_delay -from [get_pins e320_ps_bd_i/processing_system7_0/inst/PS7_i/EMIOSPI0MO] \ + -to [get_ports XCVR_SPI_MOSI] 6.0 -datapath_only +set_min_delay -to [get_ports XCVR_SPI_MOSI] 0.0 +# +set_max_delay -from [get_pins e320_ps_bd_i/processing_system7_0/inst/PS7_i/EMIOSPI0SCLKO] \ + -to [get_ports XCVR_SPI_CLK] 6.0 -datapath_only +set_min_delay -to [get_ports XCVR_SPI_CLK] 0.0 +# +set_max_delay -from [get_pins e320_ps_bd_i/processing_system7_0/inst/PS7_i/EMIOSPI0SSON[0]] \ + -to [get_ports XCVR_SPI_CS_N] 6.0 -datapath_only +set_min_delay -to [get_ports XCVR_SPI_CS_N] 0.0 +# +set_max_delay -from [get_ports XCVR_SPI_MISO] \ + -to [get_pins e320_ps_bd_i/processing_system7_0/inst/PS7_i/EMIOSPI0MI] 4.0 -datapath_only +set_min_delay -from [get_ports XCVR_SPI_MISO] -to [get_pins e320_ps_bd_i/processing_system7_0/inst/PS7_i/EMIOSPI0MI] 0.0 + +# Clock synthesizer SPI +set_max_delay -from [get_pins e320_ps_bd_i/processing_system7_0/inst/PS7_i/EMIOSPI1MO] \ + -to [get_ports CLK_PLL_SDATA] 9.0 -datapath_only +set_min_delay -to [get_ports XCVR_SPI_MOSI] 0.0 +# +set_max_delay -from [get_pins e320_ps_bd_i/processing_system7_0/inst/PS7_i/EMIOSPI1SCLKO] \ + -to [get_ports CLK_PLL_SCLK] 9.0 -datapath_only +set_min_delay -to [get_ports XCVR_SPI_CLK] 0.0 +# +set_max_delay -from [get_pins e320_ps_bd_i/processing_system7_0/inst/PS7_i/EMIOSPI1SSON[0]] \ + -to [get_ports CLK_PLL_SLE] 9.0 -datapath_only +set_min_delay -to [get_ports XCVR_SPI_CS_N] 0.0 + + + +############################################################################### +# Miscellaneous I/O Constraints +############################################################################### + +# Transceiver +set_max_delay -to [get_ports XCVR_RESET_N] 50.0 +set_min_delay -to [get_ports XCVR_RESET_N] 0.0 +# +set_max_delay -from [get_ports XCVR_CTRL_OUT[*]] 5.0 -datapath_only +set_min_delay -from [get_ports XCVR_CTRL_OUT[*]] 0.0 + +# GPIO +set_max_delay -from [get_ports GPIO_PREBUFF[*]] 5.0 -datapath_only +set_min_delay -from [get_ports GPIO_PREBUFF[*]] 0.0 +# +set_max_delay -from [all_fanin -only_cells -startpoints_only -flat [get_ports GPIO_DIR[*]]] \ + -to [get_ports GPIO_DIR[*]] 8.0 -datapath_only +set_min_delay -to [get_ports GPIO_DIR[*]] 0.0 +# +set_max_delay -from [all_fanin -only_cells -startpoints_only -flat [get_ports GPIO_PREBUFF[*]]] \ + -to [get_ports GPIO_PREBUFF[*]] 8.0 -datapath_only +set_min_delay -to [get_ports GPIO_PREBUFF[*]] 0.0 +# +set_max_delay -from [all_fanin -only_cells -startpoints_only -flat [get_ports GPIO_OE_N]] \ + -to [get_ports GPIO_OE_N] 8.0 -datapath_only +set_min_delay -to [get_ports GPIO_OE_N] 0.0 +# +set_max_delay -to [get_ports {EN_GPIO_2V5 EN_GPIO_3V3 EN_GPIO_VAR_SUPPLY}] 50.0 +set_min_delay -to [get_ports {EN_GPIO_2V5 EN_GPIO_3V3 EN_GPIO_VAR_SUPPLY}] 0.0 + +# GPS +set_max_delay -from [get_ports {GPS_ALARM GPS_LOCK GPS_PHASELOCK GPS_SURVEY GPS_WARMUP}] 10.0 -datapath_only +set_min_delay -from [get_ports {GPS_ALARM GPS_LOCK GPS_PHASELOCK GPS_SURVEY GPS_WARMUP}] 0.0 +# +set_max_delay -to [get_ports GPS_INITSURV_N] 50.0 +set_min_delay -to [get_ports GPS_INITSURV_N] 0.0 +set_max_delay -to [get_ports GPS_RST_N] 50.0 +set_min_delay -to [get_ports GPS_RST_N] 0.0 +# +set_max_delay -to [get_ports CLK_GPS_PWR_EN] 50.0 +set_min_delay -to [get_ports CLK_GPS_PWR_EN] 0.0 + +# Clock Control +set_max_delay -from [all_fanin -only_cells -startpoints_only -flat [get_ports CLK_REF_SEL]] \ + -to [get_ports CLK_REF_SEL] 8.0 -datapath_only +set_min_delay -to [get_ports CLK_REF_SEL] 0.0 +# +set_max_delay -from [get_ports CLK_MUX_OUT] 5.0 -datapath_only +set_min_delay -from [get_ports CLK_MUX_OUT] 0.0 + +# DDR3 +set_max_delay -to [get_ports ddr3_reset_n] 50.0 +set_min_delay -to [get_ports ddr3_reset_n] 0.0 + +# LEDs +set_max_delay -to [get_ports RX1_GRN_ENA] 50.0 +set_min_delay -to [get_ports RX1_GRN_ENA] 0.0 +set_max_delay -to [get_ports TX1_RED_ENA] 50.0 +set_min_delay -to [get_ports TX1_RED_ENA] 0.0 +set_max_delay -to [get_ports TXRX1_GRN_ENA] 50.0 +set_min_delay -to [get_ports TXRX1_GRN_ENA] 0.0 +set_max_delay -to [get_ports RX2_GRN_ENA] 50.0 +set_min_delay -to [get_ports RX2_GRN_ENA] 0.0 +set_max_delay -to [get_ports TX2_RED_ENA] 50.0 +set_min_delay -to [get_ports TX2_RED_ENA] 0.0 +set_max_delay -to [get_ports TXRX2_GRN_ENA] 50.0 +set_min_delay -to [get_ports TXRX2_GRN_ENA] 0.0 +# +set_max_delay -to [get_ports LED_ACT1] 50.0 +set_min_delay -to [get_ports LED_ACT1] 0.0 +set_max_delay -to [get_ports LED_LINK1] 50.0 +set_min_delay -to [get_ports LED_LINK1] 0.0 + +# Control Filters +set_max_delay -from [all_fanin -only_cells -startpoints_only -flat [get_ports FE*_SEL[*]]] \ + -to [get_ports FE*_SEL[*]] 10.0 -datapath_only +set_max_delay -from [all_fanin -only_cells -startpoints_only -flat [get_ports RX*_SEL[*]]] \ + -to [get_ports RX*_SEL[*]] 10.0 -datapath_only +set_max_delay -from [all_fanin -only_cells -startpoints_only -flat [get_ports RX*_BSEL[*]]] \ + -to [get_ports RX*_BSEL[*]] 10.0 -datapath_only +set_max_delay -from [all_fanin -only_cells -startpoints_only -flat [get_ports TX*_BSEL[*]]] \ + -to [get_ports TX*_BSEL[*]] 10.0 -datapath_only + +# PA Control +set_max_delay -from [all_fanin -only_cells -startpoints_only -flat [get_ports TX_HFAMP*_ENA]] \ + -to [get_ports TX_HFAMP*_ENA] 10.0 -datapath_only +set_max_delay -from [all_fanin -only_cells -startpoints_only -flat [get_ports TX_LFAMP*_ENA]] \ + -to [get_ports TX_LFAMP*_ENA] 10.0 -datapath_only + +# SFP +set_max_delay -from [get_ports SFP1_RXLOS] 50.0 +set_min_delay -from [get_ports SFP1_RXLOS] 0.0 +set_max_delay -to [get_ports SFP1_TXDISABLE] 50.0 +set_min_delay -to [get_ports SFP1_TXDISABLE] 0.0 diff --git a/fpga/usrp3/top/e320/n3xx_mgt_io_core.v b/fpga/usrp3/top/e320/n3xx_mgt_io_core.v new file mode 100644 index 000000000..aa702775e --- /dev/null +++ b/fpga/usrp3/top/e320/n3xx_mgt_io_core.v @@ -0,0 +1,654 @@ +/////////////////////////////////////////////////////////////////// +// +// Copyright 2018 Ettus Research, A National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0 +// +// Module: e320_mgt_io_core +// Description: +// Encapsulates the PSC/PMA, the MAC layer and the control interface +// for 1GbE, 10GbE and Aurora +// +////////////////////////////////////////////////////////////////////// + +module n3xx_mgt_io_core #( + parameter PROTOCOL = "10GbE", // Must be {10GbE, 1GbE, Aurora, Disabled} + parameter [13:0] REG_BASE = 14'h0, + parameter REG_DWIDTH = 32, + parameter REG_AWIDTH = 14, + parameter [7:0] PORTNUM = 8'd0, + parameter MDIO_EN = 0, + parameter [4:0] MDIO_PHYADDR = 5'd0 +)( + // Resets + input areset, + input bus_rst, + // Clocks + input gt_refclk, + input gb_refclk, + input misc_clk, + input bus_clk, + // SFP high-speed IO + output txp, + output txn, + input rxp, + input rxn, + // SFP low-speed IO + input sfpp_rxlos, + input sfpp_tx_fault, + output sfpp_tx_disable, + // Data port: Ethernet TX + input [63:0] s_axis_tdata, + input [3:0] s_axis_tuser, + input s_axis_tlast, + input s_axis_tvalid, + output s_axis_tready, + // Data port: Ethernet RX + output [63:0] m_axis_tdata, + output [3:0] m_axis_tuser, + output m_axis_tlast, + output m_axis_tvalid, + input m_axis_tready, + // Register port + input reg_wr_req, + input [REG_AWIDTH-1:0] reg_wr_addr, + input [REG_DWIDTH-1:0] reg_wr_data, + input reg_rd_req, + input [REG_AWIDTH-1:0] reg_rd_addr, + output reg_rd_resp, + output [REG_DWIDTH-1:0] reg_rd_data, + // Misc + output [31:0] port_info, + output link_up, + output reg activity +); + + //----------------------------------------------------------------- + // Registers + //----------------------------------------------------------------- + localparam [7:0] COMPAT_NUM = 8'd2; + + // Common registers + localparam REG_PORT_INFO = REG_BASE + 'h0; + localparam REG_MAC_CTRL_STATUS = REG_BASE + 'h4; + localparam REG_PHY_CTRL_STATUS = REG_BASE + 'h8; + localparam REG_MAC_LED_CTL = REG_BASE + 'hC; + + // Ethernet specific + localparam REG_ETH_MDIO_BASE = REG_BASE + 'h10; + // Aurora specific + localparam REG_AURORA_OVERRUNS = REG_BASE + 'h20; + localparam REG_CHECKSUM_ERRORS = REG_BASE + 'h24; + localparam REG_BIST_CHECKER_SAMPS = REG_BASE + 'h28; + localparam REG_BIST_CHECKER_ERRORS = REG_BASE + 'h2C; + + wire reg_rd_resp_mdio; + reg reg_rd_resp_glob = 1'b0; + wire [REG_DWIDTH-1:0] reg_rd_data_mdio; + reg [REG_DWIDTH-1:0] reg_rd_data_glob = 32'h0; + + // Protocol specific constants + wire [7:0] mgt_protocol; + wire [31:0] mac_ctrl_rst_val, phy_ctrl_rst_val; + wire [1:0] mac_led_ctl_rst_val = 2'h0; + + // Flush logic: If the link is not up, we will flush all packets coming from + // the device. This avoids the MAC backpressuring when the PHY is down. + // The device will always send discovery packets to the transports during + // initialization, and they have no way of knowing if it's safe to travel + // down this route. c2mac == "CHDR to MAC" + wire [63:0] c2mac_tdata; + wire [3:0] c2mac_tuser; + wire c2mac_tlast; + wire c2mac_tvalid; + wire c2mac_tready; + + axis_packet_flush #( + .WIDTH(64+3), // tdata + tuser + .TIMEOUT_W(1), // Not using timeout + .FLUSH_PARTIAL_PKTS(0), + .PIPELINE("NONE") + ) linkup_flush ( + .clk(bus_clk), + .reset(bus_rst), + .enable(~link_up), // enable flushing when link down + .timeout(1'b0), + .flushing(/* not required */), + .done(/* not required */), + // Input from device/crossbar + .s_axis_tdata ({s_axis_tuser, s_axis_tdata}), + .s_axis_tlast (s_axis_tlast), + .s_axis_tvalid (s_axis_tvalid), + .s_axis_tready (s_axis_tready), + // Output to MAC + .m_axis_tdata ({c2mac_tuser, c2mac_tdata}), + .m_axis_tlast (c2mac_tlast), + .m_axis_tvalid (c2mac_tvalid), + .m_axis_tready (c2mac_tready) + ); + + generate + if (PROTOCOL == "Aurora") begin + assign mgt_protocol = 8'd3; + assign mac_ctrl_rst_val = 32'h0; + assign phy_ctrl_rst_val = 32'h0; + end else if (PROTOCOL == "10GbE") begin + assign mgt_protocol = 8'd2; + assign mac_ctrl_rst_val = {31'h0, 1'b1}; // tx_enable on reset + assign phy_ctrl_rst_val = 32'h0; + end else if (PROTOCOL == "1GbE") begin + assign mgt_protocol = 8'd1; + assign mac_ctrl_rst_val = {31'h0, 1'b1}; // tx_enable on reset + assign phy_ctrl_rst_val = 32'h0; + end else begin + assign mgt_protocol = 8'd0; + assign mac_ctrl_rst_val = 32'h0; + assign phy_ctrl_rst_val = 32'h0; + end + endgenerate + + // Writable registers + reg [31:0] mac_ctrl_reg = 32'h0; + reg [31:0] phy_ctrl_reg = 32'h0; + reg [1:0] mac_led_ctl = 2'h0; + + always @(posedge bus_clk) begin + if (bus_rst) begin + mac_ctrl_reg <= mac_ctrl_rst_val; + phy_ctrl_reg <= phy_ctrl_rst_val; + mac_led_ctl <= mac_led_ctl_rst_val; + end else if (reg_wr_req) begin + case(reg_wr_addr) + REG_MAC_CTRL_STATUS: + mac_ctrl_reg <= reg_wr_data; + REG_PHY_CTRL_STATUS: + phy_ctrl_reg <= reg_wr_data; + REG_MAC_LED_CTL: + mac_led_ctl <= reg_wr_data[1:0]; + endcase + end + end + + // Readable registers + wire [31:0] overruns; + wire [31:0] checksum_errors; + wire [47:0] bist_checker_samps; + wire [47:0] bist_checker_errors; + wire [31:0] mac_status, phy_status; + wire [31:0] mac_status_bclk, phy_status_bclk; + + assign port_info = {COMPAT_NUM, 6'h0, activity, link_up, mgt_protocol, PORTNUM}; + + always @(posedge bus_clk) begin + // No reset handling needed for readback + if (reg_rd_req) begin + reg_rd_resp_glob <= 1'b1; + case(reg_rd_addr) + REG_PORT_INFO: + reg_rd_data_glob <= port_info; + REG_MAC_CTRL_STATUS: + reg_rd_data_glob <= mac_status_bclk; + REG_PHY_CTRL_STATUS: + reg_rd_data_glob <= phy_status_bclk; + REG_MAC_LED_CTL: + reg_rd_data_glob <= {30'd0, mac_led_ctl}; + REG_AURORA_OVERRUNS: + reg_rd_data_glob <= overruns; + REG_CHECKSUM_ERRORS: + reg_rd_data_glob <= checksum_errors; + REG_BIST_CHECKER_SAMPS: + reg_rd_data_glob <= bist_checker_samps[47:16]; //Scale num samples by 2^16 + REG_BIST_CHECKER_ERRORS: + reg_rd_data_glob <= bist_checker_errors[31:0]; //Don't scale errors + default: + reg_rd_resp_glob <= 1'b0; + endcase + end if (reg_rd_resp_glob) begin + reg_rd_resp_glob <= 1'b0; + end + end + + synchronizer #( .STAGES(2), .WIDTH(32), .INITIAL_VAL(32'h0) ) mac_status_sync_i ( + .clk(bus_clk), .rst(1'b0), .in(mac_status), .out(mac_status_bclk) + ); + + synchronizer #( .STAGES(2), .WIDTH(32), .INITIAL_VAL(32'h0) ) phy_status_sync_i ( + .clk(bus_clk), .rst(1'b0), .in(phy_status), .out(phy_status_bclk) + ); + + // Regport Mux for response + regport_resp_mux #( + .WIDTH (REG_DWIDTH), + .NUM_SLAVES (2) + ) reg_resp_mux_i ( + .clk(bus_clk), .reset(bus_rst), + .sla_rd_resp({reg_rd_resp_mdio, reg_rd_resp_glob}), + .sla_rd_data({reg_rd_data_mdio, reg_rd_data_glob}), + .mst_rd_resp(reg_rd_resp), .mst_rd_data(reg_rd_data) + ); + + //----------------------------------------------------------------- + // Ethernet Specific: MDIO + //----------------------------------------------------------------- + + wire mdc, mdio_m2s, mdio_s2m, mdio_s2m_sync; + generate + if ((PROTOCOL == "10GbE" || PROTOCOL == "1GbE") && (MDIO_EN == 1)) begin + mdio_master #( + .REG_BASE (REG_ETH_MDIO_BASE), + .REG_AWIDTH (REG_AWIDTH), + .MDC_DIVIDER (8'd200) + ) mdio_master_i ( + .clk (bus_clk), + .rst (bus_rst), + .mdc (mdc), + .mdio_in (mdio_s2m_sync), + .mdio_out (mdio_m2s), + .mdio_tri (), + .reg_wr_req (reg_wr_req), + .reg_wr_addr (reg_wr_addr), + .reg_wr_data (reg_wr_data), + .reg_rd_req (reg_rd_req), + .reg_rd_addr (reg_rd_addr), + .reg_rd_data (reg_rd_data_mdio), + .reg_rd_resp (reg_rd_resp_mdio) + ); + + // We can cross mdio_s2m into the bus_clk domain. A synchronizer is safe + // here because the bit is inherently async + synchronizer #(.INITIAL_VAL(1'b0)) mdio_s2m_sync_i ( + .clk(bus_clk), .rst(1'b0 /* no reset */), .in(mdio_s2m), .out(mdio_s2m_sync) + ); + end else begin + assign mdc = 1'b0; + assign mdio_m2s = 1'b0; + assign reg_rd_resp_mdio = 1'b0; + assign reg_rd_data_mdio = 32'h0; + end + endgenerate + + generate + if (PROTOCOL == "10GbE") begin + //----------------------------------------------------------------- + // 10 Gigabit Ethernet + //----------------------------------------------------------------- + wire [63:0] xgmii_txd; + wire [7:0] xgmii_txc; + wire [63:0] xgmii_rxd; + wire [7:0] xgmii_rxc; + wire xge_phy_resetdone; + + ten_gige_phy ten_gige_phy_i + ( + // Clocks and Reset + .areset(areset | phy_ctrl_reg[0]), // Asynchronous reset for entire core. + .refclk(gt_refclk), // Transciever reference clock: 156.25MHz + .clk156(gb_refclk), // Globally buffered core clock: 156.25MHz + .dclk(misc_clk), // Management/DRP clock: 78.125MHz + .sim_speedup_control(1'b0), + // GMII Interface (client MAC <=> PCS) + .xgmii_txd(xgmii_txd), // Transmit data from client MAC. + .xgmii_txc(xgmii_txc), // Transmit control signal from client MAC. + .xgmii_rxd(xgmii_rxd), // Received Data to client MAC. + .xgmii_rxc(xgmii_rxc), // Received control signal to client MAC. + // Tranceiver Interface + .txp(txp), // Differential +ve of serial transmission from PMA to PMD. + .txn(txn), // Differential -ve of serial transmission from PMA to PMD. + .rxp(rxp), // Differential +ve for serial reception from PMD to PMA. + .rxn(rxn), // Differential -ve for serial reception from PMD to PMA. + // Management: MDIO Interface + .mdc(mdc), // Management Data Clock + .mdio_in(mdio_m2s), // Management Data In + .mdio_out(mdio_s2m), // Management Data Out + .mdio_tri(), // Management Data Tristate + .prtad(MDIO_PHYADDR), // MDIO address + // General IO's + .core_status(phy_status[7:0]), // Core status + .resetdone(xge_phy_resetdone), + .signal_detect(~sfpp_rxlos), // Input from PMD to indicate presence of optical input. (Undocumented, but it seems Xilinx expect this to be inverted.) + .tx_fault(sfpp_tx_fault), + .tx_disable(sfpp_tx_disable) + ); + + xge_mac_wrapper #( + .PORTNUM(PORTNUM), + .WISHBONE(0) + ) xge_mac_wrapper_i ( + // XGMII + .xgmii_clk(gb_refclk), + .xgmii_txd(xgmii_txd), + .xgmii_txc(xgmii_txc), + .xgmii_rxd(xgmii_rxd), + .xgmii_rxc(xgmii_rxc), + // Client FIFO Interfaces + .sys_clk(bus_clk), + .sys_rst(bus_rst), + .rx_tdata(m_axis_tdata), + .rx_tuser(m_axis_tuser), + .rx_tlast(m_axis_tlast), + .rx_tvalid(m_axis_tvalid), + .rx_tready(m_axis_tready), + .tx_tdata(c2mac_tdata), + .tx_tuser(c2mac_tuser), // Bit[3] (error) is ignored for now. + .tx_tlast(c2mac_tlast), + .tx_tvalid(c2mac_tvalid), + .tx_tready(c2mac_tready), + // Other + .phy_ready(xge_phy_resetdone), + .ctrl_tx_enable(mac_ctrl_reg[0]), + .status_crc_error(mac_status[0]), + .status_fragment_error(mac_status[1]), + .status_txdfifo_ovflow(mac_status[2]), + .status_txdfifo_udflow(mac_status[3]), + .status_rxdfifo_ovflow(mac_status[4]), + .status_rxdfifo_udflow(mac_status[5]), + .status_pause_frame_rx(mac_status[6]), + .status_local_fault(mac_status[7]), + .status_remote_fault(mac_status[8]), + .wb_ack_o(), + .wb_dat_o(), + .wb_adr_i(1'b0), + .wb_clk_i(1'b0), + .wb_cyc_i(1'b0), + .wb_dat_i(1'b0), + .wb_rst_i(1'b0), + .wb_stb_i(1'b0), + .wb_we_i (1'b0), + .wb_int_o() + ); + + assign phy_status[31:8] = 24'h0; + assign mac_status[31:9] = 23'h0; + assign link_up = phy_status_bclk[0]; + + end else if (PROTOCOL == "1GbE") begin + + //----------------------------------------------------------------- + // 1 Gigabit Ethernet + //----------------------------------------------------------------- + wire [7:0] gmii_txd, gmii_rxd; + wire gmii_tx_en, gmii_tx_er, gmii_rx_dv, gmii_rx_er; + wire gmii_clk; + wire gt0_qplloutclk, gt0_qplloutrefclk; //unused in 7-series Zynq + + assign gt0_qplloutclk = 1'b0; + assign gt0_qplloutrefclk = 1'b0; + assign sfpp_tx_disable = 1'b0; // Always on. + + one_gige_phy one_gige_phy_i + ( + .reset(areset | phy_ctrl_reg[0]), // Asynchronous reset for entire core. + .independent_clock(bus_clk), + // Tranceiver Interface + .gtrefclk(gt_refclk), // Reference clock for MGT: 125MHz, very high quality. + .gtrefclk_bufg(gb_refclk), // Reference clock routed through a BUFG + .txp(txp), // Differential +ve of serial transmission from PMA to PMD. + .txn(txn), // Differential -ve of serial transmission from PMA to PMD. + .rxp(rxp), // Differential +ve for serial reception from PMD to PMA. + .rxn(rxn), // Differential -ve for serial reception from PMD to PMA. + // GMII Interface (client MAC <=> PCS) + .gmii_clk(gmii_clk), // Clock to client MAC. + .gmii_txd(gmii_txd), // Transmit data from client MAC. + .gmii_tx_en(gmii_tx_en), // Transmit control signal from client MAC. + .gmii_tx_er(gmii_tx_er), // Transmit control signal from client MAC. + .gmii_rxd(gmii_rxd), // Received Data to client MAC. + .gmii_rx_dv(gmii_rx_dv), // Received control signal to client MAC. + .gmii_rx_er(gmii_rx_er), // Received control signal to client MAC. + // Management: MDIO Interface + .mdc(mdc), // Management Data Clock + .mdio_i(mdio_m2s), // Management Data In + .mdio_o(mdio_s2m), // Management Data Out + .mdio_t(), // Management Data Tristate + .phyaddr(MDIO_PHYADDR), // MDIO address + .configuration_vector(5'd0), // Alternative to MDIO interface. + .configuration_valid(1'b1), // Validation signal for Config vector (MUST be 1 for proper functionality...undocumented) + // General IO's + .status_vector(phy_status[15:0]), // Core status. + .signal_detect(1'b1 /*Optical module not supported*/) // Input from PMD to indicate presence of optical input. + ); + + simple_gemac_wrapper #(.RX_FLOW_CTRL(0), .PORTNUM(PORTNUM)) simple_gemac_wrapper_i + ( + .clk125(gmii_clk), + .reset(areset), + + .GMII_GTX_CLK(), + .GMII_TX_EN(gmii_tx_en), + .GMII_TX_ER(gmii_tx_er), + .GMII_TXD(gmii_txd), + .GMII_RX_CLK(gmii_clk), + .GMII_RX_DV(gmii_rx_dv), + .GMII_RX_ER(gmii_rx_er), + .GMII_RXD(gmii_rxd), + + .sys_clk(bus_clk), + .rx_tdata(m_axis_tdata), + .rx_tuser(m_axis_tuser), + .rx_tlast(m_axis_tlast), + .rx_tvalid(m_axis_tvalid), + .rx_tready(m_axis_tready), + .tx_tdata(c2mac_tdata), + .tx_tuser(c2mac_tuser), + .tx_tlast(c2mac_tlast), + .tx_tvalid(c2mac_tvalid), + .tx_tready(c2mac_tready), + + .wb_clk_i(1'b0), + .wb_rst_i(1'b0), + .wb_adr_i(8'h0), + .wb_dat_i(32'h0), + .wb_we_i(1'b0), + .wb_stb_i(1'b0), + .wb_cyc_i(1'b0), + .wb_dat_o(), + .wb_ack_o(), + .wb_int_o(), + .mdc(), + .mdio_out(1'b0), + .mdio_tri(), + .mdio_in(), + .debug_tx(), + .debug_rx() + ); + + assign phy_status[31:16] = 16'h0; + assign mac_status[31:0] = 32'h0; + assign link_up = phy_status_bclk[0]; + + assign gt_tx_out_clk_unbuf = 1'b0; + + end else if (PROTOCOL == "Aurora") begin + + //----------------------------------------------------------------- + // Aurora + //----------------------------------------------------------------- + wire user_clk, user_rst; + wire [63:0] m2p_tdata, p2m_tdata; + wire m2p_tvalid, m2p_tready, p2m_tvalid; + wire channel_up, hard_err, soft_err, mac_crit_err; + + wire bist_checker_en = mac_ctrl_reg[0]; + wire bist_gen_en = mac_ctrl_reg[1]; + wire bist_loopback_en = mac_ctrl_reg[2]; + wire [5:0] bist_gen_rate = mac_ctrl_reg[8:3]; + wire phy_areset = mac_ctrl_reg[9]; + wire mac_clear = mac_ctrl_reg[10]; + wire bist_checker_locked; + + assign sfpp_tx_disable = 1'b0; // Always on. + + aurora_phy_x1 aurora_phy_i ( + // Resets + .areset(areset | phy_areset), + // Clocks + .refclk(gt_refclk), + .init_clk(misc_clk), + .user_clk(user_clk), + .user_rst(user_rst), + // GTX Serial I/O + .tx_p(txp), + .tx_n(txn), + .rx_p(rxp), + .rx_n(rxn), + // AXI4-Stream TX Interface + .s_axis_tdata(m2p_tdata), + .s_axis_tvalid(m2p_tvalid), + .s_axis_tready(m2p_tready), + // AXI4-Stream RX Interface + .m_axis_tdata(p2m_tdata), + .m_axis_tvalid(p2m_tvalid), + // AXI4-Lite Config Interface (unused) + .s_axi_awaddr(32'h0), + .s_axi_araddr(32'h0), + .s_axi_awvalid(1'b0), + .s_axi_awready(), + .s_axi_wdata(32'h0), + .s_axi_wvalid(1'b0), + .s_axi_wstrb(1'b0), + .s_axi_wready(), + .s_axi_bvalid(), + .s_axi_bresp(), + .s_axi_bready(1'b1), + .s_axi_arready(), + .s_axi_arvalid(1'b0), + .s_axi_rdata(), + .s_axi_rvalid(), + .s_axi_rresp(), + .s_axi_rready(1'b1), + // Status and Error Reporting Interface + .channel_up(channel_up), + .hard_err(hard_err), + .soft_err(soft_err) + ); + + aurora_axis_mac #( + .PHY_ENDIANNESS ("LITTLE"), + .PACKET_MODE (1), + .MAX_PACKET_SIZE(1024), + .BIST_ENABLED (1) + ) aurora_mac_i ( + // Clocks and resets + .phy_clk(user_clk), .phy_rst(user_rst), + .sys_clk(bus_clk), .sys_rst(bus_rst), + .clear(mac_clear), + // PHY Interface (Synchronous to phy_clk) + .phy_s_axis_tdata(p2m_tdata), + .phy_s_axis_tvalid(p2m_tvalid), + .phy_m_axis_tdata(m2p_tdata), + .phy_m_axis_tvalid(m2p_tvalid), + .phy_m_axis_tready(m2p_tready), + // User Interface (Synchronous to sys_clk) + .s_axis_tdata(c2mac_tdata), + .s_axis_tlast(c2mac_tlast), + .s_axis_tvalid(c2mac_tvalid), + .s_axis_tready(c2mac_tready), + .m_axis_tdata(m_axis_tdata), + .m_axis_tlast(m_axis_tlast), + .m_axis_tvalid(m_axis_tvalid), + .m_axis_tready(m_axis_tready), + // PHY Status Inputs (Synchronous to phy_clk) + .channel_up(channel_up), + .hard_err(hard_err), + .soft_err(soft_err), + // Status and Error Outputs (Synchronous to sys_clk) + .overruns(overruns), + .soft_errors(), + .checksum_errors(checksum_errors), + .critical_err(mac_crit_err), + // BIST Interface (Synchronous to sys_clk) + .bist_gen_en(bist_gen_en), + .bist_gen_rate(bist_gen_rate), + .bist_checker_en(bist_checker_en), + .bist_loopback_en(bist_loopback_en), + .bist_checker_locked(bist_checker_locked), + .bist_checker_samps(bist_checker_samps), + .bist_checker_errors(bist_checker_errors) + ); + + assign m_axis_tuser = 4'd0; + + wire channel_up_bclk, hard_err_bclk, soft_err_bclk, mac_crit_err_bclk; + synchronizer #(.INITIAL_VAL(1'b0)) channel_up_sync ( + .clk(bus_clk), .rst(1'b0 /* no reset */), .in(channel_up), .out(channel_up_bclk)); + synchronizer #(.INITIAL_VAL(1'b0)) hard_err_sync ( + .clk(bus_clk), .rst(1'b0 /* no reset */), .in(hard_err), .out(hard_err_bclk)); + synchronizer #(.INITIAL_VAL(1'b0)) soft_err_sync ( + .clk(bus_clk), .rst(1'b0 /* no reset */), .in(soft_err), .out(soft_err_bclk)); + synchronizer #(.INITIAL_VAL(1'b0)) mac_crit_err_sync ( + .clk(bus_clk), .rst(1'b0 /* no reset */), .in(mac_crit_err), .out(mac_crit_err_bclk)); + + reg [19:0] bist_lock_latency; + always @(posedge bus_clk) begin + if (!bist_checker_en && !bist_checker_locked) + bist_lock_latency <= 20'd0; + else if (bist_checker_en && !bist_checker_locked) + bist_lock_latency <= bist_lock_latency + 20'd1; + end + + reg mac_crit_err_latch; + always @(posedge bus_clk) begin + if (bus_rst | mac_clear) begin + mac_crit_err_latch <= 1'b0; + end else begin + if (mac_crit_err_bclk) + mac_crit_err_latch <= 1'b1; + end + end + + assign phy_status = {30'd0, hard_err, channel_up}; + assign mac_status = { + 6'h0, //[31:26] + mac_crit_err_latch, //[25] + 1'b1, //[24] + 1'b0, //[23] + 1'b0, //[22] + 1'b0, //[21] + 1'b0, //[20] + bist_lock_latency[19:4], //[19:4] + bist_checker_locked, //[3] + soft_err_bclk, //[2] + hard_err_bclk, //[1] + channel_up_bclk //[0] + }; + + assign link_up = channel_up_bclk; + + end else begin + + //----------------------------------------------------------------- + // Disabled + //----------------------------------------------------------------- + + assign phy_status = 'h0; + assign mac_status = 'h0; + assign link_up = 1'b0; + + assign sfpp_tx_disable = 1'b0; // Always on. + + assign c2mac_tready = 1'b1; + assign m_axis_tdata = 64'h0; + assign m_axis_tuser = 4'h0; + assign m_axis_tlast = 1'b0; + assign m_axis_tvalid = 1'b0; + + end + endgenerate + + wire identify_enable = mac_led_ctl[0]; + wire identify_value = mac_led_ctl[1]; + + //----------------------------------------------------------------- + // Activity detector + //----------------------------------------------------------------- + wire activity_int; + + pulse_stretch act_pulse_str_i ( + .clk(bus_clk), + .rst(bus_rst | ~link_up), + .pulse((s_axis_tvalid & s_axis_tready) | (m_axis_tvalid & m_axis_tready)), + .pulse_stretched(activity_int) + ); + + always @ (posedge bus_clk) activity <= identify_enable ? identify_value : activity_int; + +endmodule diff --git a/fpga/usrp3/top/e320/n3xx_sfp_wrapper.v b/fpga/usrp3/top/e320/n3xx_sfp_wrapper.v new file mode 100644 index 000000000..5067b1727 --- /dev/null +++ b/fpga/usrp3/top/e320/n3xx_sfp_wrapper.v @@ -0,0 +1,484 @@ +/////////////////////////////////////////////////////////////////// +// +// Copyright 2017 Ettus Research, A National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// +// Module: n3xx_sfp_wrapper +// Description: +// Reduces clutter at top level. +// - Aurora: wraps up sfpp_io, axil_regport and axi_dummy +// - 1/10G: wrapper around network interface +// +////////////////////////////////////////////////////////////////////// + +module n3xx_sfp_wrapper #( + parameter PROTOCOL = "10GbE", // Must be {10GbE, 1GbE, Aurora, Disabled} + parameter DWIDTH = 32, + parameter AWIDTH = 14, + parameter [7:0] PORTNUM = 8'd0, + parameter MDIO_EN = 0, + parameter [4:0] MDIO_PHYADDR = 5'd0, + parameter [15:0] RFNOC_PROTOVER = {8'd1, 8'd0} +)( + // Resets + input areset, + input bus_rst, + + // Clocks + input gt_refclk, + input gb_refclk, + input misc_clk, + input bus_clk, + // FIXME: Merge E320 and N310 files + input user_clk, + input sync_clk, + + //Axi-lite + input s_axi_aclk, + input s_axi_aresetn, + input [AWIDTH-1:0] s_axi_awaddr, + input s_axi_awvalid, + output s_axi_awready, + + input [DWIDTH-1:0] s_axi_wdata, + input [DWIDTH/8-1:0] s_axi_wstrb, + input s_axi_wvalid, + output s_axi_wready, + + output [1:0] s_axi_bresp, + output s_axi_bvalid, + input s_axi_bready, + + input [AWIDTH-1:0] s_axi_araddr, + input s_axi_arvalid, + output s_axi_arready, + + output [DWIDTH-1:0] s_axi_rdata, + output [1:0] s_axi_rresp, + output s_axi_rvalid, + input s_axi_rready, + + // SFP high-speed IO + output txp, + output txn, + input rxp, + input rxn, + + // SFP low-speed IO + input sfpp_present_n, + input sfpp_rxlos, + input sfpp_tx_fault, + output sfpp_tx_disable, + + //GT Common + input qpllrefclklost, + input qplllock, + input qplloutclk, + input qplloutrefclk, + output qpllreset, + + //Aurora MMCM + input mmcm_locked, + output gt_pll_lock, + output gt_tx_out_clk_unbuf, + + // Vita router interface + output [63:0] e2v_tdata, + output e2v_tlast, + output e2v_tvalid, + input e2v_tready, + + input [63:0] v2e_tdata, + input v2e_tlast, + input v2e_tvalid, + output v2e_tready, + + // CPU + output [63:0] e2c_tdata, + output [7:0] e2c_tkeep, + output e2c_tlast, + output e2c_tvalid, + input e2c_tready, + + input [63:0] c2e_tdata, + input [7:0] c2e_tkeep, + input c2e_tlast, + input c2e_tvalid, + output c2e_tready, + + // MISC + output [31:0] port_info, + input [15:0] device_id, + + // Timebase Outputs + output sfp_pps, + output sfp_refclk, + + // Sideband White Rabbit Control + input wr_reset_n, + input wr_refclk, + + output wr_dac_sclk, + output wr_dac_din, + output wr_dac_clr_n, + output wr_dac_cs_n, + output wr_dac_ldac_n, + + output wr_eeprom_scl_o, + input wr_eeprom_scl_i, + output wr_eeprom_sda_o, + input wr_eeprom_sda_i, + + input wr_uart_rx, + output wr_uart_tx, + + // WR AXI Control + output wr_axi_aclk, + input wr_axi_aresetn, + input [31:0] wr_axi_awaddr, + input wr_axi_awvalid, + output wr_axi_awready, + input [DWIDTH-1:0] wr_axi_wdata, + input [DWIDTH/8-1:0] wr_axi_wstrb, + input wr_axi_wvalid, + output wr_axi_wready, + output [1:0] wr_axi_bresp, + output wr_axi_bvalid, + input wr_axi_bready, + input [31:0] wr_axi_araddr, + input wr_axi_arvalid, + output wr_axi_arready, + output [DWIDTH-1:0] wr_axi_rdata, + output [1:0] wr_axi_rresp, + output wr_axi_rvalid, + input wr_axi_rready, + output wr_axi_rlast, + + output link_up, + output activity + +); + + localparam REG_BASE_SFP_IO = 14'h0; + localparam REG_BASE_ETH_SWITCH = 14'h1000; + + // AXI4-Lite to RegPort (PS to PL Register Access) + wire reg_wr_req; + wire [AWIDTH-1:0] reg_wr_addr; + wire [DWIDTH-1:0] reg_wr_data; + wire reg_rd_req; + wire [AWIDTH-1:0] reg_rd_addr; + wire reg_rd_resp, reg_rd_resp_io, reg_rd_resp_eth_if; + wire [DWIDTH-1:0] reg_rd_data, reg_rd_data_io, reg_rd_data_eth_if; + + axil_regport_master #( + .DWIDTH (DWIDTH), // Width of the AXI4-Lite data bus (must be 32 or 64) + .AWIDTH (AWIDTH), // Width of the address bus + .WRBASE (0), // Write address base + .RDBASE (0), // Read address base + .TIMEOUT (10) // log2(timeout). Read will timeout after (2^TIMEOUT - 1) cycles + ) sfp_reg_mst_i ( + // Clock and reset + .s_axi_aclk (s_axi_aclk), + .s_axi_aresetn (s_axi_aresetn), + // AXI4-Lite: Write address port (domain: s_axi_aclk) + .s_axi_awaddr (s_axi_awaddr), + .s_axi_awvalid (s_axi_awvalid), + .s_axi_awready (s_axi_awready), + // AXI4-Lite: Write data port (domain: s_axi_aclk) + .s_axi_wdata (s_axi_wdata), + .s_axi_wstrb (s_axi_wstrb), + .s_axi_wvalid (s_axi_wvalid), + .s_axi_wready (s_axi_wready), + // AXI4-Lite: Write response port (domain: s_axi_aclk) + .s_axi_bresp (s_axi_bresp), + .s_axi_bvalid (s_axi_bvalid), + .s_axi_bready (s_axi_bready), + // AXI4-Lite: Read address port (domain: s_axi_aclk) + .s_axi_araddr (s_axi_araddr), + .s_axi_arvalid (s_axi_arvalid), + .s_axi_arready (s_axi_arready), + // AXI4-Lite: Read data port (domain: s_axi_aclk) + .s_axi_rdata (s_axi_rdata), + .s_axi_rresp (s_axi_rresp), + .s_axi_rvalid (s_axi_rvalid), + .s_axi_rready (s_axi_rready), + // Register port: Write port (domain: reg_clk) + .reg_clk (bus_clk), + .reg_wr_req (reg_wr_req), + .reg_wr_addr (reg_wr_addr), + .reg_wr_data (reg_wr_data), + // Register port: Read port (domain: reg_clk) + .reg_rd_req (reg_rd_req), + .reg_rd_addr (reg_rd_addr), + .reg_rd_resp (reg_rd_resp), + .reg_rd_data (reg_rd_data) + ); + + // Regport Mux for response + regport_resp_mux #( + .WIDTH (DWIDTH), + .NUM_SLAVES (2) + ) reg_resp_mux_i ( + .clk(bus_clk), .reset(bus_rst), + .sla_rd_resp({reg_rd_resp_eth_if, reg_rd_resp_io}), + .sla_rd_data({reg_rd_data_eth_if, reg_rd_data_io}), + .mst_rd_resp(reg_rd_resp), .mst_rd_data(reg_rd_data) + ); + + wire [63:0] sfpo_tdata, sfpi_tdata; + wire [3:0] sfpo_tuser, sfpi_tuser; + wire sfpo_tlast, sfpi_tlast, sfpo_tvalid, sfpi_tvalid, sfpo_tready, sfpi_tready; + + generate + if (PROTOCOL != "WhiteRabbit") begin + n3xx_mgt_io_core #( + .PROTOCOL (PROTOCOL), + .REG_BASE (REG_BASE_SFP_IO), + .REG_DWIDTH (DWIDTH), // Width of the AXI4-Lite data bus (must be 32 or 64) + .REG_AWIDTH (AWIDTH), // Width of the address bus + .MDIO_EN (MDIO_EN), + .MDIO_PHYADDR (MDIO_PHYADDR), + .PORTNUM (PORTNUM) + ) mgt_io_i ( + //must reset all channels on quad when sfp1 gtx core is reset + .areset (areset), + .gt_refclk (gt_refclk), + .gb_refclk (gb_refclk), + .misc_clk (misc_clk), + + .bus_rst (bus_rst), + .bus_clk (bus_clk), + + .txp (txp), + .txn (txn), + .rxp (rxp), + .rxn (rxn), + + .sfpp_rxlos (sfpp_rxlos), + .sfpp_tx_fault (sfpp_tx_fault), + .sfpp_tx_disable(sfpp_tx_disable), + + //RegPort + .reg_wr_req (reg_wr_req), + .reg_wr_addr (reg_wr_addr), + .reg_wr_data (reg_wr_data), + .reg_rd_req (reg_rd_req), + .reg_rd_addr (reg_rd_addr), + .reg_rd_resp (reg_rd_resp_io), + .reg_rd_data (reg_rd_data_io), + + // Vita to Ethernet + .s_axis_tdata (sfpi_tdata), + .s_axis_tuser (sfpi_tuser), + .s_axis_tlast (sfpi_tlast), + .s_axis_tvalid (sfpi_tvalid), + .s_axis_tready (sfpi_tready), + + // Ethernet to Vita + .m_axis_tdata (sfpo_tdata), + .m_axis_tuser (sfpo_tuser), + .m_axis_tlast (sfpo_tlast), + .m_axis_tvalid (sfpo_tvalid), + .m_axis_tready (sfpo_tready), + + .port_info (port_info), + .link_up (link_up), + .activity (activity) + ); + + end else begin + //--------------------------------------------------------------------------------- + // White Rabbit + //--------------------------------------------------------------------------------- + + wire wr_sfp_scl, wr_sfp_sda_o, wr_sfp_sda_i; + + n3xx_wr_top #( + .g_simulation(1'b0), // in std_logic + .g_dpram_size(131072/4), + .g_dpram_initf("../../../../bin/wrpc/wrc_phy16.bram") + ) wr_inst ( + .areset_n_i (wr_reset_n), // in std_logic; -- active low reset, optional + .wr_refclk_buf_i (wr_refclk), // in std_logic; -- 20MHz VCXO after IBUFGDS + .gige_refclk_buf_i (gt_refclk), // in std_logic; -- 125 MHz MGT Ref after IBUFDS_GTE2 + .dac_sclk_o (wr_dac_sclk), // out std_logic; -- N3xx cWB-DAC-SCLK + .dac_din_o (wr_dac_din), // out std_logic; -- N3xx cWB-DAC-DIN + .dac_clr_n_o (wr_dac_clr_n), // out std_logic; -- N3xx cWB-DAC-nCLR + .dac_cs_n_o (wr_dac_cs_n), // out std_logic; -- N3xx cWB-DAC-nSYNC + .dac_ldac_n_o (wr_dac_ldac_n), // out std_logic; -- N3xx cWB-DAC-nLDAC + .LED_ACT (activity), // out std_logic; -- connect to SFP+ ACT + .LED_LINK (link_up), // out std_logic; -- connect to SFP+ LINK + .sfp_txp_o (txp), // out std_logic; + .sfp_txn_o (txn), // out std_logic; + .sfp_rxp_i (rxp), // in std_logic; + .sfp_rxn_i (rxn), // in std_logic; + .sfp_mod_def0_b (sfpp_present_n), // in std_logic; - sfp detect + .eeprom_scl_o (wr_eeprom_scl_o), + .eeprom_scl_i (wr_eeprom_scl_i), + .eeprom_sda_o (wr_eeprom_sda_o), + .eeprom_sda_i (wr_eeprom_sda_i), + .sfp_scl_o (wr_sfp_scl), + .sfp_scl_i (wr_sfp_scl), + .sfp_sda_o (wr_sfp_sda_o), + .sfp_sda_i (wr_sfp_sda_i), + .sfp_tx_fault_i (sfpp_tx_fault), // in std_logic; + .sfp_tx_disable_o (sfpp_tx_disable), // out std_logic; + .sfp_los_i (sfpp_rxlos), // in std_logic; + .wr_uart_rxd (wr_uart_rx), // in std_logic; + .wr_uart_txd (wr_uart_tx), // out std_logic; + + .s00_axi_aclk_o (wr_axi_aclk), + .s00_axi_aresetn (wr_axi_aresetn), + .s00_axi_awaddr (wr_axi_awaddr), + .s00_axi_awprot (3'b0), + .s00_axi_awvalid (wr_axi_awvalid), + .s00_axi_awready (wr_axi_awready), + .s00_axi_wdata (wr_axi_wdata), + .s00_axi_wstrb (wr_axi_wstrb), + .s00_axi_wvalid (wr_axi_wvalid), + .s00_axi_wready (wr_axi_wready), + .s00_axi_bresp (wr_axi_bresp), + .s00_axi_bvalid (wr_axi_bvalid), + .s00_axi_bready (wr_axi_bready), + .s00_axi_araddr (wr_axi_araddr), + .s00_axi_arprot (3'b0), + .s00_axi_arvalid (wr_axi_arvalid), + .s00_axi_arready (wr_axi_arready), + .s00_axi_rdata (wr_axi_rdata), + .s00_axi_rresp (wr_axi_rresp), + .s00_axi_rvalid (wr_axi_rvalid), + .s00_axi_rready (wr_axi_rready), + .s00_axi_rlast (wr_axi_rlast), + .axi_int_o (), + + .pps_o (sfp_pps), // out std_logic; + .clk_pps_o (sfp_refclk), // out std_logic; + .link_ok_o (), // out std_logic; + .clk_sys_locked_o (), // out std_logic; + .clk_dmtd_locked_o (), // out std_logic); + .wr_debug0_o (), + .wr_debug1_o () + ); + + // TEMPORARY mimic the AXGE SFP EEROM + sfp_eeprom sfp_eeprom_i ( + .clk_i(bus_clk), + .sfp_scl(wr_sfp_scl), + .sfp_sda_i(wr_sfp_sda_o), + .sfp_sda_o(wr_sfp_sda_i)); + + // Assign the port_info vector similarly to mgt_io_core + localparam [7:0] COMPAT_NUM = 8'd2; + localparam [7:0] MGT_PROTOCOL = 8'd4; + assign port_info = {COMPAT_NUM, 6'h0, activity, link_up, MGT_PROTOCOL, PORTNUM}; + + // Tie off unused outputs. + assign gt_pll_lock = 1'b0; + assign gt_tx_out_clk_unbuf = 1'b0; + end + endgenerate + + generate + // Tie off the Ethernet switch for these protocols that do not use it. + if(PROTOCOL == "Aurora" || PROTOCOL == "Disabled" || PROTOCOL == "WhiteRabbit") begin + + //set unused wires to default value + assign e2c_tdata = 64'h0; + assign e2c_tkeep = 8'h0; + assign e2c_tlast = 1'b0; + assign e2c_tvalid = 1'b0; + assign c2e_tready = 1'b1; + + assign reg_rd_resp_eth_if = 1'b0; + assign reg_rd_data_eth_if = 'h0; + + end else begin + + wire [3:0] e2c_tuser; + wire [3:0] c2e_tuser; + + // In AXI Stream, tkeep is the byte qualifier that indicates + // whether the content of the associated byte + // of TDATA is processed as part of the data stream. + // tuser as used in eth_interface is the number of valid bytes + + // Converting tuser to tkeep for ingress packets + assign e2c_tkeep = ~e2c_tlast ? 8'b1111_1111 + : (e2c_tuser == 4'd0) ? 8'b1111_1111 + : (e2c_tuser == 4'd1) ? 8'b0000_0001 + : (e2c_tuser == 4'd2) ? 8'b0000_0011 + : (e2c_tuser == 4'd3) ? 8'b0000_0111 + : (e2c_tuser == 4'd4) ? 8'b0000_1111 + : (e2c_tuser == 4'd5) ? 8'b0001_1111 + : (e2c_tuser == 4'd6) ? 8'b0011_1111 + : 8'b0111_1111; + + // Converting tkeep to tuser for egress packets + assign c2e_tuser = ~c2e_tlast ? 4'd0 + : (c2e_tkeep == 8'b1111_1111) ? 4'd0 + : (c2e_tkeep == 8'b0111_1111) ? 4'd7 + : (c2e_tkeep == 8'b0011_1111) ? 4'd6 + : (c2e_tkeep == 8'b0001_1111) ? 4'd5 + : (c2e_tkeep == 8'b0000_1111) ? 4'd4 + : (c2e_tkeep == 8'b0000_0111) ? 4'd3 + : (c2e_tkeep == 8'b0000_0011) ? 4'd2 + : (c2e_tkeep == 8'b0000_0001) ? 4'd1 + : 4'd0; + + + eth_interface #( + .PROTOVER (RFNOC_PROTOVER), + .MTU (10), + .NODE_INST (0), + .REG_AWIDTH (AWIDTH), + .BASE (REG_BASE_ETH_SWITCH) + ) eth_interface ( + .clk (bus_clk), + .reset (bus_rst), + .device_id (device_id), + .reg_wr_req (reg_wr_req), + .reg_wr_addr (reg_wr_addr), + .reg_wr_data (reg_wr_data), + .reg_rd_req (reg_rd_req), + .reg_rd_addr (reg_rd_addr), + .reg_rd_resp (reg_rd_resp_eth_if), + .reg_rd_data (reg_rd_data_eth_if), + .my_mac (), + .my_ip (), + .my_udp_port (), + .eth_tx_tdata (sfpi_tdata), + .eth_tx_tuser (sfpi_tuser), + .eth_tx_tlast (sfpi_tlast), + .eth_tx_tvalid (sfpi_tvalid), + .eth_tx_tready (sfpi_tready), + .eth_rx_tdata (sfpo_tdata), + .eth_rx_tuser (sfpo_tuser), + .eth_rx_tlast (sfpo_tlast), + .eth_rx_tvalid (sfpo_tvalid), + .eth_rx_tready (sfpo_tready), + .e2v_tdata (e2v_tdata), + .e2v_tlast (e2v_tlast), + .e2v_tvalid (e2v_tvalid), + .e2v_tready (e2v_tready), + .v2e_tdata (v2e_tdata), + .v2e_tlast (v2e_tlast), + .v2e_tvalid (v2e_tvalid), + .v2e_tready (v2e_tready), + .e2c_tdata (e2c_tdata), + .e2c_tuser (e2c_tuser), + .e2c_tlast (e2c_tlast), + .e2c_tvalid (e2c_tvalid), + .e2c_tready (e2c_tready), + .c2e_tdata (c2e_tdata), + .c2e_tuser (c2e_tuser), + .c2e_tlast (c2e_tlast), + .c2e_tvalid (c2e_tvalid), + .c2e_tready (c2e_tready) + ); + + end + endgenerate + +endmodule // n310_sfp_wrapper diff --git a/fpga/usrp3/top/e320/setupenv.sh b/fpga/usrp3/top/e320/setupenv.sh new file mode 100644 index 000000000..76bf520ed --- /dev/null +++ b/fpga/usrp3/top/e320/setupenv.sh @@ -0,0 +1,10 @@ +#!/bin/bash + +VIVADO_VER=2019.1 +DISPLAY_NAME="USRP-E320" +REPO_BASE_PATH=$(cd "$(dirname "${BASH_SOURCE[0]}")/../.." && pwd) + +declare -A PRODUCT_ID_MAP +PRODUCT_ID_MAP["E320"]="zynq/xc7z045/ffg900/-3" + +source $REPO_BASE_PATH/tools/scripts/setupenv_base.sh diff --git a/fpga/usrp3/top/n3xx/.gitignore b/fpga/usrp3/top/n3xx/.gitignore new file mode 100644 index 000000000..1b0a8a728 --- /dev/null +++ b/fpga/usrp3/top/n3xx/.gitignore @@ -0,0 +1,8 @@ +build +build-* +isim* +fuse* +tmp* +*.log +*.jou +*impact* diff --git a/fpga/usrp3/top/n3xx/Makefile b/fpga/usrp3/top/n3xx/Makefile new file mode 100644 index 000000000..5900b26d0 --- /dev/null +++ b/fpga/usrp3/top/n3xx/Makefile @@ -0,0 +1,191 @@ +# +# Copyright 2016-2018 Ettus Research, a National Instruments Company +# Copyright 2019 Ettus Research, a National Instruments Brand +# + +# NOTE: All comments prefixed with a "##" will be displayed as a part of the "make help" target +##------------------- +##USRP N3XX FPGA Help +##------------------- +##Usage: +## make +## +##Output: +## build/usrp__fpga_.bit: Configuration bitstream with header +## build/usrp__fpga_.dts: Device tree source file +## build/usrp__fpga_.rpt: Build report (includes utilization and timing summary) + +# Debug Options +# Uncomment this line or add to make arg to omit radio_clk (sourced from db) and use bus_clk as radio_clk +# OPTIONS += NO_DB=1 +# Uncomment this line to add two example Aurora loopback lanes on the general NPIO bus. +# OPTIONS += NPIO_LANES=2 +# Uncomment this line to add four example Aurora loopback lanes on the QSFP NPIO bus. +# OPTIONS += QSFP_LANES=4 + +# Additional definitions specific to the various targets: +WX_DEFS=SFP0_WR=1 SFP1_10GBE=1 USE_REPLAY=1 BUILD_WR=1 BUILD_10G=1 $(OPTIONS) +HG_DEFS=SFP0_1GBE=1 SFP1_10GBE=1 USE_REPLAY=1 BUILD_1G=1 BUILD_10G=1 $(OPTIONS) +XG_DEFS=SFP0_10GBE=1 SFP1_10GBE=1 USE_REPLAY=1 BUILD_10G=1 $(OPTIONS) +HA_DEFS=SFP0_1GBE=1 SFP1_AURORA=1 USE_REPLAY=1 BUILD_1G=1 BUILD_AURORA=1 $(OPTIONS) +XA_DEFS=SFP0_10GBE=1 SFP1_AURORA=1 USE_REPLAY=1 BUILD_10G=1 BUILD_AURORA=1 $(OPTIONS) +AA_DEFS=SFP0_AURORA=1 SFP1_AURORA=1 BUILD_AURORA=1 $(OPTIONS) +XQ_DEFS=SFP0_WR=1 QSFP_10GBE=1 USE_REPLAY=1 BUILD_WR=1 BUILD_10G=1 QSFP_LANES=2 $(OPTIONS) +AQ_DEFS=SFP0_10GBE=1 SFP1_10GBE=1 QSFP_AURORA=1 USE_REPLAY=1 BUILD_10G=1 BUILD_AURORA=1 QSFP_LANES=4 $(OPTIONS) + +# Defaults specific to the various targets: +N300_DEFAULTS:=DEFAULT_RFNOC_IMAGE_CORE_FILE=n300_rfnoc_image_core.v DEFAULT_EDGE_FILE=$(abspath n300_static_router.hex) +N310_DEFAULTS:=DEFAULT_RFNOC_IMAGE_CORE_FILE=n310_rfnoc_image_core.v DEFAULT_EDGE_FILE=$(abspath n310_static_router.hex) +N320_DEFAULTS:=DEFAULT_RFNOC_IMAGE_CORE_FILE=n320_rfnoc_image_core.v DEFAULT_EDGE_FILE=$(abspath n320_static_router.hex) +N300AA_DEFAULTS:=DEFAULT_RFNOC_IMAGE_CORE_FILE=n300_bist_image_core.v DEFAULT_EDGE_FILE=$(abspath n300_bist_static_router.hex) +N310AA_DEFAULTS:=DEFAULT_RFNOC_IMAGE_CORE_FILE=n310_bist_image_core.v DEFAULT_EDGE_FILE=$(abspath n310_bist_static_router.hex) + +# Set build option (check RTL, run synthesis, or do a full build) +ifndef TARGET + ifdef CHECK + TARGET = rtl + else ifdef SYNTH + TARGET = synth + else + TARGET = bin + endif +endif +TOP ?= n3xx + +# vivado_build($1=Device, $2=Definitions, $3=Defaults) +# Device: N300, N310, or N320 +# Definitions: See above (*_DEFS) +# Defaults: See above (*_DEFAULTS) +vivado_build = make -f Makefile.n3xx.inc $(TARGET) NAME=$@ ARCH=$(XIL_ARCH_$1) PART_ID=$(XIL_PART_ID_$1) $2 TOP_MODULE=$(TOP) EXTRA_DEFS="$2" $3 + +# post_build($1=Device, $2=Option) +ifeq ($(TARGET),bin) + post_build = @\ + mkdir -p build; \ + echo "Exporting bitstream file..."; \ + cp build-$(1)_$(2)/n3xx.bit build/usrp_`echo $(1) | tr A-Z a-z`_fpga_$(2).bit; \ + echo "Exporting build report..."; \ + cp build-$(1)_$(2)/build.rpt build/usrp_`echo $(1) | tr A-Z a-z`_fpga_$(2).rpt; \ + echo "Build DONE ... $(1)_$(2)"; +else + post_build = @echo "Skipping bitfile export." +endif + +## +##Supported Targets +##----------------- + +all: N300_HG N300_XG N310_HG N310_XG N320_HG N320_XG ##(Default target) + +##N310_WX: 1GigE White Rabbit on SFP+ Port0, 10Gig on SFP+ Port1. +N310_WX: build/usrp_n310_fpga_WX.dts + $(call vivado_build,N310,$(WX_DEFS) N310=1,$(N310_DEFAULTS)) + $(call post_build,N310,WX) + +##N310_HG: 1GigE on SFP+ Port0, 10Gig on SFP+ Port1. +N310_HG: build/usrp_n310_fpga_HG.dts + $(call vivado_build,N310,$(HG_DEFS) N310=1,$(N310_DEFAULTS)) + $(call post_build,N310,HG) + +##N310_XG: 10GigE on SFP+ Port0, 10Gig on SFP+ Port1. +N310_XG: build/usrp_n310_fpga_XG.dts + $(call vivado_build,N310,$(XG_DEFS) N310=1,$(N310_DEFAULTS)) + $(call post_build,N310,XG) + +##N310_HA: 1Gig on SFP+ Port0, Aurora on SFP+ Port1. +N310_HA: build/usrp_n310_fpga_HA.dts + $(call vivado_build,N310,$(HA_DEFS) N310=1,$(N310_DEFAULTS)) + $(call post_build,N310,HA) + +##N310_XA: 10Gig on SFP+ Port0, Aurora on SFP+ Port1. +N310_XA: build/usrp_n310_fpga_XA.dts + $(call vivado_build,N310,$(XA_DEFS) N310=1,$(N310_DEFAULTS)) + $(call post_build,N310,XA) + +##N310_AA: Aurora on SFP+ Port0, Aurora on SFP+ Port1. +N310_AA: build/usrp_n310_fpga_AA.dts + $(call vivado_build,N310,$(AA_DEFS) N310=1,$(N310AA_DEFAULTS)) + $(call post_build,N310,AA) + +##N300_WX: 1GigE White Rabbit on SFP+ Port0, 10Gig on SFP+ Port1. +N300_WX: build/usrp_n300_fpga_WX.dts + $(call vivado_build,N300,$(WX_DEFS) N300=1,$(N300_DEFAULTS)) + $(call post_build,N300,WX) + +##N300_HG: 1GigE on SFP+ Port0, 10Gig on SFP+ Port1. +N300_HG: build/usrp_n300_fpga_HG.dts + $(call vivado_build,N300,$(HG_DEFS) N300=1,$(N300_DEFAULTS)) + $(call post_build,N300,HG) + +##N300_XG: 10GigE on SFP+ Port0, 10Gig on SFP+ Port1. +N300_XG: build/usrp_n300_fpga_XG.dts + $(call vivado_build,N300,$(XG_DEFS) N300=1,$(N300_DEFAULTS)) + $(call post_build,N300,XG) + +##N300_HA: 1Gig on SFP+ Port0, Aurora on SFP+ Port1. +N300_HA: build/usrp_n300_fpga_HA.dts + $(call vivado_build,N300,$(HA_DEFS) N300=1,$(N300_DEFAULTS)) + $(call post_build,N300,HA) + +##N300_XA: 10Gig on SFP+ Port0, Aurora on SFP+ Port1. +N300_XA: build/usrp_n300_fpga_XA.dts + $(call vivado_build,N300,$(XA_DEFS) N300=1,$(N300_DEFAULTS)) + $(call post_build,N300,XA) + +##N300_AA: Aurora on SFP+ Port0, Aurora on SFP+ Port1. +N300_AA: build/usrp_n300_fpga_AA.dts + $(call vivado_build,N300,$(AA_DEFS) N300=1,$(N300AA_DEFAULTS)) + $(call post_build,N300,AA) + +##N320_WX: 1GigE White Rabbit on SFP+ Port0, 10Gig on SFP+ Port1. +N320_WX: build/usrp_n320_fpga_WX.dts + $(call vivado_build,N320,$(WX_DEFS) N320=1,$(N320_DEFAULTS)) + $(call post_build,N320,WX) + +##N320_HG: 1GigE on SFP+ Port0, 10Gig on SFP+ Port1. +N320_HG: build/usrp_n320_fpga_HG.dts + $(call vivado_build,N320,$(HG_DEFS) N320=1,$(N320_DEFAULTS)) + $(call post_build,N320,HG) + +##N320_XG: 10GigE on SFP+ Port0, 10Gig on SFP+ Port1. +N320_XG: build/usrp_n320_fpga_XG.dts + $(call vivado_build,N320,$(XG_DEFS) N320=1,$(N320_DEFAULTS)) + $(call post_build,N320,XG) + +##N320_XQ: WR on SFP+ Port0, 10Gig on QSFP+ Port0,1. +N320_XQ: build/usrp_n320_fpga_XQ.dts + $(call vivado_build,N320,$(XQ_DEFS) N320=1,$(N320_DEFAULTS)) + $(call post_build,N320,XQ) + +##N320_AQ: 10Gig on SFP+ Port0,1 Aurora on QSFP+ Port0,1,2,3. +N320_AQ: build/usrp_n320_fpga_AQ.dts + $(call vivado_build,N320,$(AQ_DEFS) N320=1,$(N320_DEFAULTS)) + $(call post_build,N320,AQ) + +build/%.dts: dts/%.dts dts/*.dtsi + -mkdir -p build + ${CC} -o $@ -E -I dts -nostdinc -undef -x assembler-with-cpp -D__DTS__ $< + +clean: ##Clean up all target build outputs. + @echo "Cleaning targets..." + @rm -rf build-N3*_* + @rm -rf build + +cleanall: ##Clean up all target and ip build outputs. + @echo "Cleaning targets and IP..." + @rm -rf build-ip + @rm -rf build-N3*_* + @rm -rf build + +help: ##Show this help message. + @grep -h "##" Makefile | grep -v "\"##\"" | sed -e 's/\\$$//' | sed -e 's/##//' + +## +##Supported Options +##----------------- +##GUI=1 Launch the build in the Vivado GUI. +##CHECK=1 Launch the syntax checker instead of building a bitfile. +##SYNTH=1 Launch the build but stop after synthesis. +##TOP= Specify a top module for syntax checking. (Optional. Default is the bitfile top) + +.PHONY: all clean cleanall help diff --git a/fpga/usrp3/top/n3xx/Makefile.n3xx.inc b/fpga/usrp3/top/n3xx/Makefile.n3xx.inc new file mode 100644 index 000000000..aea75a69a --- /dev/null +++ b/fpga/usrp3/top/n3xx/Makefile.n3xx.inc @@ -0,0 +1,161 @@ +# +# Copyright 2008-2019 Ettus Research, a National Instruments Brand +# + +################################################## +# Project Setup +################################################## +# TOP_MODULE = +# NAME = +# PART_ID = +# ARCH = + +################################################## +# Include other makefiles +################################################## + +BASE_DIR = $(abspath ..) +IP_DIR = $(abspath ./ip) +include $(BASE_DIR)/../tools/make/viv_design_builder.mak + +include $(IP_DIR)/Makefile.inc +include coregen_dsp/Makefile.srcs +include $(LIB_DIR)/ip/Makefile.inc +include $(LIB_DIR)/hls/Makefile.inc +include $(LIB_DIR)/control/Makefile.srcs +include $(LIB_DIR)/fifo/Makefile.srcs +include $(LIB_DIR)/simple_gemac/Makefile.srcs +include $(LIB_DIR)/axi/Makefile.srcs +include $(LIB_DIR)/timing/Makefile.srcs +include $(LIB_DIR)/packet_proc/Makefile.srcs +include $(LIB_DIR)/xge/Makefile.srcs +include $(LIB_DIR)/xge_interface/Makefile.srcs +include $(LIB_DIR)/dsp/Makefile.srcs +include $(LIB_DIR)/axi/Makefile.srcs +include $(LIB_DIR)/white_rabbit/wr_cores_v4_2/Makefile.srcs +include $(LIB_DIR)/rfnoc/Makefile.srcs +include $(BASE_DIR)/n3xx/dboards/rh/Makefile.srcs +include $(BASE_DIR)/n3xx/dboards/mg/Makefile.srcs +include $(BASE_DIR)/n3xx/dboards/common/Makefile.srcs +# For sake of convenience, we include the Makefile.srcs for DRAM FIFO, DDC, and +# DUC, and of course the radio. Any other block needs to use the +# RFNOC_OOT_MAKEFILE_SRCS variable (see below). +include $(LIB_DIR)/rfnoc/blocks/rfnoc_block_axi_ram_fifo/Makefile.srcs +include $(LIB_DIR)/rfnoc/blocks/rfnoc_block_radio/Makefile.srcs +include $(LIB_DIR)/rfnoc/blocks/rfnoc_block_ddc/Makefile.srcs +include $(LIB_DIR)/rfnoc/blocks/rfnoc_block_duc/Makefile.srcs +# If out-of-tree modules want to be compiled into this image, then they need to +# pass in the RFNOC_OOT_MAKEFILE_SRCS as a list of Makefile.srcs files. +# Those files need to amend the RFNOC_OOT_SRCS variable with a list of actual +# source files. +include $(RFNOC_OOT_MAKEFILE_SRCS) + +IMAGE_CORE ?= $(DEFAULT_RFNOC_IMAGE_CORE_FILE) +EDGE_FILE ?= $(DEFAULT_EDGE_FILE) + +################################################## +# Sources +################################################## +TOP_SRCS = \ +n3xx_core.v \ +n3xx_mgt_channel_wrapper.v \ +n3xx_mgt_wrapper.v \ +n3xx_mgt_io_core.v \ +n3xx_clocking.v \ +n3xx_db_fe_core.v \ +WrapBufg.vhd \ +$(IMAGE_CORE) + +MB_CLOCKS_XDC = $(abspath mb_clocks.xdc) + +MB_XDC = \ +mb_timing.xdc \ +mb_pins.xdc + +ifdef BUILD_WR +MB_XDC += $(abspath n3xx_wr.xdc) +TOP_SRCS += \ +n3xx_wr_top.vhd \ +n3xx_serial_dac.vhd \ +n3xx_serial_dac_arb.vhd +endif + +ifdef BUILD_10G +MB_XDC += $(abspath n310_10ge.xdc) +endif + +ifdef BUILD_1G +MB_XDC += $(abspath n310_1ge.xdc) +endif + +ifdef BUILD_AURORA +MB_XDC += $(abspath n310_aurora.xdc) +endif + +ifndef NO_DRAM_FIFOS +DRAM_SRCS = $(IP_DRAM_XCI_SRCS) $(abspath n310_dram.xdc) +else +DRAM_SRCS = +endif + +ifdef N300 +DB_CLOCKS_XDC = +DB_SRCS = $(MAGNESIUM_DB_TIMING_XDC) \ +$(MAGNESIUM_DB0_XDC) \ +$(MAGNESIUM_TOP_SRCS) $(MAGNESIUM_DB_SRCS) +endif + +ifdef N310 +DB_CLOCKS_XDC = +DB_SRCS = $(MAGNESIUM_DB_TIMING_XDC) \ +$(MAGNESIUM_DB0_XDC) $(MAGNESIUM_DB1_XDC) \ +$(MAGNESIUM_TOP_SRCS) $(MAGNESIUM_DB_SRCS) +endif + +ifdef N320 +DB_CLOCKS_XDC = $(RHODIUM_DB_CLOCKS_XDC) +DB_SRCS = $(RHODIUM_DB_XDC) \ +$(RHODIUM_TOP_SRCS) $(RHODIUM_DB_SRCS) +endif + +DB_SRCS += $(DB_COMMON_SRCS) + +# The XDC files must be read in a specific order, motherboard first and then daughterboard, +# and the clocking XDC files must be before other XDC files. +# Outside of that, all the other sources can be read in any order desired. +DESIGN_SRCS = $(MB_CLOCKS_XDC) $(DB_CLOCKS_XDC) $(abspath $(MB_XDC)) $(DB_SRCS) \ +$(abspath $(TOP_SRCS)) $(AXI_SRCS) $(FIFO_SRCS) \ +$(CONTROL_LIB_SRCS) $(SDR_LIB_SRCS) $(SERDES_SRCS) \ +$(SIMPLE_GEMAC_SRCS) $(TIMING_SRCS) $(COREGEN_DSP_SRCS) \ +$(UDP_SRCS) $(EXTRAM_SRCS) $(WISHBONE_SRCS) \ +$(XGE_SRCS) $(XGE_INTERFACE_SRCS) $(AURORA_PHY_SRCS) \ +$(TEN_GIGE_PHY_SRCS) $(ONE_GIGE_PHY_SRCS) \ +$(PACKET_PROC_SRCS) $(DSP_SRCS) $(DRAM_SRCS) \ +$(RADIO_SRCS) $(CAP_GEN_GENERIC_SRCS) $(IP_XCI_SRCS) $(BD_SRCS) \ +$(RFNOC_SRCS) $(RFNOC_OOT_SRCS) $(LIB_IP_XCI_SRCS) $(LIB_HLS_IP_SRCS) \ +$(WHITE_RABBIT_SRCS) \ +$(RFNOC_FRAMEWORK_SRCS) \ +$(RFNOC_BLOCK_AXI_RAM_FIFO_SRCS) \ +$(RFNOC_BLOCK_DUC_SRCS) $(RFNOC_BLOCK_DDC_SRCS) \ +$(RFNOC_BLOCK_RADIO_SRCS) + +EDGE_TBL_DEF="RFNOC_EDGE_TBL_FILE=$(EDGE_FILE)" + +################################################## +# Dependency Targets +################################################## +.SECONDEXPANSION: + +VERILOG_DEFS=$(EXTRA_DEFS) $(CUSTOM_DEFS) $(GIT_HASH_VERILOG_DEF) $(EDGE_TBL_DEF) + +# DESIGN_SRCS and VERILOG_DEFS must be defined +bin: .prereqs $$(DESIGN_SRCS) ip + $(call BUILD_VIVADO_DESIGN,$(abspath ./build_n3xx.tcl),$(TOP_MODULE),$(ARCH),$(PART_ID)) + +synth: .prereqs $$(DESIGN_SRCS) ip + $(call BUILD_VIVADO_DESIGN,$(TOOLS_DIR)/scripts/viv_synth.tcl,$(TOP_MODULE),$(ARCH),$(PART_ID)) + +rtl: .prereqs $$(DESIGN_SRCS) ip + $(call CHECK_VIVADO_DESIGN,$(TOOLS_DIR)/scripts/viv_check_syntax.tcl,$(TOP_MODULE),$(ARCH),$(PART_ID)) + +.PHONY: bin rtl diff --git a/fpga/usrp3/top/n3xx/WrapBufg.vhd b/fpga/usrp3/top/n3xx/WrapBufg.vhd new file mode 100644 index 000000000..1f3417658 --- /dev/null +++ b/fpga/usrp3/top/n3xx/WrapBufg.vhd @@ -0,0 +1,172 @@ +-------------------------------------------------------------------------------- +-- +-- File: WrapBufg.vhd +-- Author: Robert Atkinson +-- Original Project: RF-RIO +-- Date: 13 January 2012 +-- +-------------------------------------------------------------------------------- +-- Copyright 2012 Ettus Research, A National Instruments Company +-- SPDX-License-Identifier: GPL-3.0 +-------------------------------------------------------------------------------- +-- +-- Purpose: This is a simple wrapper around a BUFG to make instantiating BUFGCTRLs +-- easier without a headache to relearn the port usage each time. This +-- wrapper only supports a single input clock. When disabled, the BUFG +-- output is zero. +-- +-------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + +library UNISIM; + use UNISIM.vcomponents.all; + + +entity WrapBufg is + generic( + -- ClkIn is selected by default if set to true, otherwise zero. + kEnableByDefault : boolean := false; + -- If kIgnore is set to true, then the BUFG will switch inputs whenever + -- the aCe signal changes as opposed to waiting for ClkIn to transition low. + kIgnore : boolean := false; + -- If aCe is asynchronous to ClkIn, set this generic to true and the select + -- lines of the BUFGCTRL will be used. If aCe is synchronous to ClkIn, then the CE pins + -- will be used - note that this requires that setup and hold times be met! If aCe is + -- synchronous to ClkIn but no timing relationship is really needed then set this to true. + kEnableIsAsync : boolean := false + ); + port( + -- Input clock + ClkIn : in std_logic; + -- Enable to the BUFG - this signal is treated either asynchronously + -- or synchronously based on the value of the kEnableIsAsync generic. + aCe : in std_logic; + -- Clock output + ClkOut : out std_logic + ); +end WrapBufg; + + +architecture rtl of WrapBufg is + + signal iCe0, + iCe1, + aSel0, + aSel1, + kIgnore0 : std_logic; + +begin + + -- From Data Sheet: + -- The BUFGCTRL is designed to switch between two clock inputs without the possibility of a + -- glitch. When the presently selected clock transitions from High to Low after S0 and S1 + -- changes, the output is kept Low until the other (to-be-selected) clock has transitioned from + -- High to Low. Then the new clock starts driving the output. The default configuration for + -- BUFGCTRL is falling edge sensitive and held at Low prior to the input switching. + -- BUFGCTRL can also be rising edge sensitive and held at High prior to the input switching + -- by using the INIT_OUT attribute. + -- In some applications the conditions previously described are not desirable. Asserting the + -- IGNORE pins will bypass the BUFGCTRL from detecting the conditions for switching + -- between two clock inputs. In other words, asserting IGNORE causes the MUX to switch + -- the inputs at the instant the select pin changes. IGNORE0 causes the output to switch away + -- from the I0 input immediately when the select pin changes, while IGNORE1 causes the + -- output to switch away from the I1 input immediately when the select pin changes. + -- Selection of an input clock requires a "select" pair (S0 and CE0, or S1 and CE1) to be + -- asserted High. If either S or CE is not asserted High, the desired input will not be selected. + -- In normal operation, both S and CE pairs (all four select lines) are not expected to be + -- asserted High simultaneously. Typically only one pin of a "select" pair is used as a select + -- line, while the other pin is tied High. + + -- If the aCe input is async to the I clock, then use the select pins + -- of the BUFGCTRL since setup and hold times at the S* pins do not have + -- to be met. Enable both Select pins if the aCe signal is synchronous to the I clock. + aSel0 <= aCe when kEnableIsAsync else + '1'; + aSel1 <= not aCe when kEnableIsAsync else + '1'; + + -- Only use the CE pins when the input aCe signal is synchronous to the I clock. + iCe0 <= '1' when kEnableIsAsync else + aCe; + iCe1 <= '1' when kEnableIsAsync else + not aCe; + + -- No PkgNiUtilities for me. + kIgnore0 <= '1' when kIgnore else + '0'; + + --vhook_i BUFGCTRL GlobalBuffer + --vhook_a {^IS_(.*)} '0' + --vhook_a INIT_OUT 0 + --vhook_a PRESELECT_I0 kEnableByDefault + --vhook_a PRESELECT_I1 not kEnableByDefault + --vhook_a O ClkOut + --vhook_a CE0 iCe0 + --vhook_a CE1 iCe1 + --vhook_a I0 ClkIn + --vhook_a I1 '0' + --vhook_a IGNORE0 kIgnore0 + --vhook_a IGNORE1 '1' + --vhook_a S0 aSel0 + --vhook_a S1 aSel1 + GlobalBuffer: BUFGCTRL + generic map ( + INIT_OUT => 0, --integer:=0 + IS_CE0_INVERTED => '0', --bit:='0' + IS_CE1_INVERTED => '0', --bit:='0' + IS_I0_INVERTED => '0', --bit:='0' + IS_I1_INVERTED => '0', --bit:='0' + IS_IGNORE0_INVERTED => '0', --bit:='0' + IS_IGNORE1_INVERTED => '0', --bit:='0' + IS_S0_INVERTED => '0', --bit:='0' + IS_S1_INVERTED => '0', --bit:='0' + PRESELECT_I0 => kEnableByDefault, --boolean:=false + PRESELECT_I1 => not kEnableByDefault) --boolean:=false + port map ( + O => ClkOut, --out std_ulogic + CE0 => iCe0, --in std_ulogic + CE1 => iCe1, --in std_ulogic + I0 => ClkIn, --in std_ulogic + I1 => '0', --in std_ulogic + IGNORE0 => kIgnore0, --in std_ulogic + IGNORE1 => '1', --in std_ulogic + S0 => aSel0, --in std_ulogic + S1 => aSel1); --in std_ulogic + + + --vscan Begin Add Explain Clock + --vscan # These are the outputs of the BUFGCTRLs in the WrapBufg module. VScan + --vscan # sees the output as glitchy but the clocks are guaranteed to switch + --vscan # in a glitchless fashion provided that either setup and hold times + --vscan # are met at the CE pins of the BUFGCTRL (these paths are analyzed by the + --vscan # tools for timing) or the CE pins are hardwired and the S pins are used + --vscan # which require no timing relationship to the input clocks. + --vscan *[WrapBufg]GlobalBuffer/[BUFGCTRL]O + --vscan End Add Explain Clock + + + -- Check that enable lines match up with the generics of the BUFGCTRL + --vscan vscan_off + --synthesis translate_off + SimProcess: process + begin + wait for 1 ns; + if kEnableIsAsync then + assert kEnableByDefault = (aSel0 = '1') + report "Initial condition on enable lines do not match between" & LF + & "the BUFGCTRL generic and the SEL line" + severity error; + else + assert kEnableByDefault = (iCe0 = '1') + report "Initial condition on enable lines do not match between" & LF + & "the BUFGCTRL generic and the CE line" + severity error; + end if; + wait; + end process SimProcess; + --synthesis translate_on + --vscan vscan_on + +end rtl; diff --git a/fpga/usrp3/top/n3xx/build_n3xx.tcl b/fpga/usrp3/top/n3xx/build_n3xx.tcl new file mode 100644 index 000000000..45ba102c3 --- /dev/null +++ b/fpga/usrp3/top/n3xx/build_n3xx.tcl @@ -0,0 +1,39 @@ +# +# Copyright 2016 Ettus Research +# + +source $::env(VIV_TOOLS_DIR)/scripts/viv_utils.tcl +source $::env(VIV_TOOLS_DIR)/scripts/viv_strategies.tcl + +# STEP#1: Create project, add sources, refresh IP +vivado_utils::initialize_project + +# STEP#2: Run synthesis +vivado_utils::synthesize_design +vivado_utils::generate_post_synth_reports + +# STEP#3: Run implementation strategy +set n3xx_strategy [dict create] +dict set n3xx_strategy "opt_design.is_enabled" 1 +dict set n3xx_strategy "opt_design.directive" "NoBramPowerOpt" +dict set n3xx_strategy "post_opt_power_opt_design.is_enabled" 0 +dict set n3xx_strategy "place_design.directive" "ExtraNetDelay_high" +dict set n3xx_strategy "post_place_power_opt_design.is_enabled" 0 +dict set n3xx_strategy "post_place_phys_opt_design.is_enabled" 1 +dict set n3xx_strategy "post_place_phys_opt_design.directive" "AggressiveExplore" +dict set n3xx_strategy "route_design.directive" "Explore" +dict set n3xx_strategy "route_design.more_options" "-tns_cleanup" +dict set n3xx_strategy "post_route_phys_opt_design.is_enabled" 1 +dict set n3xx_strategy "post_route_phys_opt_design.directive" "Explore" +vivado_strategies::implement_design $n3xx_strategy + +# STEP#4: Generate reports +vivado_utils::generate_post_route_reports + +# STEP#5: Generate a bitstream, netlist and debug probes +set_property BITSTREAM.CONFIG.USR_ACCESS TIMESTAMP [get_designs *] +set byte_swap_bin 1 +vivado_utils::write_implementation_outputs $byte_swap_bin + +# Cleanup +vivado_utils::close_batch_project diff --git a/fpga/usrp3/top/n3xx/coregen_dsp/.gitignore b/fpga/usrp3/top/n3xx/coregen_dsp/.gitignore new file mode 100644 index 000000000..dbc4e5588 --- /dev/null +++ b/fpga/usrp3/top/n3xx/coregen_dsp/.gitignore @@ -0,0 +1,4 @@ +_xmsgs +*.log +*.ncf + diff --git a/fpga/usrp3/top/n3xx/coregen_dsp/.lso b/fpga/usrp3/top/n3xx/coregen_dsp/.lso new file mode 100644 index 000000000..9d9a4a3aa --- /dev/null +++ b/fpga/usrp3/top/n3xx/coregen_dsp/.lso @@ -0,0 +1 @@ +fir_compiler_v5_0 diff --git a/fpga/usrp3/top/n3xx/coregen_dsp/Makefile.srcs b/fpga/usrp3/top/n3xx/coregen_dsp/Makefile.srcs new file mode 100644 index 000000000..6717ac611 --- /dev/null +++ b/fpga/usrp3/top/n3xx/coregen_dsp/Makefile.srcs @@ -0,0 +1,17 @@ +# +# Copyright 2012 Ettus Research LLC +# + +################################################## +# Coregen Sources +################################################## + +COREGEN_DSP_SRCS = $(abspath $(addprefix $(BASE_DIR)/../top/n3xx/coregen_dsp/, \ +hbdec1.v \ +hbdec1.ngc \ +hbdec2.v \ +hbdec2.ngc \ +hbdec3.v \ +hbdec3.ngc \ +)) + diff --git a/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc b/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc new file mode 100644 index 000000000..5c09a6253 --- /dev/null +++ b/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$22g6~753-Xnzd}foo8#5+421).97 ?>;168456702:;<=>?01a8456789:;<=>?8:2345678820<=>?0121<>6789:;<>6401236567:2:?>6>61:30?4(7:2;;86??0133?4>=AGZ^X7L31683:45<910BB][[:CAJJ970294:86?7:HLSQQ=AGZ^X7m`eg]gmk:6?3:5=85>8;MVPUSS2KIDYY2>7;2=53=603E^X][[:EC\KPR;9>0;2<84198LQQVR\3NISB[[<0594;76380BB][[:@FGV96=87;97<4FNQWW>DBCZH6;6=0>2:39MKVR\3KOH_L30;2=5f=62@D[YY4lodd\bljsm{Uxia2?:1<23>7=AGZ^X7hflugq[vck490;2<;41;MVPUSS2jbjbRga<183:40<93E^X][[:bjbjZir|5:1<3?423914==58HK9>6:07>LHW]]0OMYOPIO>17?699;1987GAPTV9`lh;::0;2<;4258JJUSS2mceS<>32283:43<:=0BB][[:ekm[47;::0;2<;4258JJUSS2mceS<<32283:43<:=0BB][[:ekm[45;::0;2<;4258JJUSS2mceS<:32283:43<:=0BB][[:ekm[43;::0;2<;4258JJUSS2mceS<832283:42<:=0BB][[:ekm[4:5;3:5=95=4;KMTPR=l`dT>1<<:1<20>432@D[YY4kio]0875=87;?7?:5IORVP?bnfV>7>>4?>0686117?699=1987GAPTV9`lhX>5886=0>4:07>LHW]]0oecQ8<3194;733;>1EC^ZT;fjjZ>;::0;2<:4258JJUSS2mceS42=3;2=5==5<3E^X][[:ECWEZIR\5886=0>6:07>JSSX\^1hb{{_h>17?69901987AZTQWW>air|VcT==2=3;2=5<=5<3E^X][[:emvpZoX9869?7>118910?IR\Y__6iazt^k\57:5;3:5=45=4;MVPUSS2me~xRgP12>17?69901987AZTQWW>air|VcT=92=3;2=5<=5<3E^X][[:emvpZoX9<69?7>118910?IR\Y__6iazt^k\53:5;3:5=55=4;MVPUSS2me~xRgP1=00>586028?6B[[PTV9`jssW`U90?=50?3;?72=G\^[YY4kotv\mZ5;::0;2<64258LQQVR\3ndyyQf_5>17?69911987AZTQWW>air|VcT91<<:1<2<>432F__\XZ5dnww[lY14;91<3?7;369KPRW]]0ocxzPi^5?66<76820>94@UURVP?bh}}UbS52=3;2=5==5<3E^X][[:emvpZoX15886=0>0:06>LHW]]0O0?:50?31?72>586:281EC^ZT;CG@WD;93:5==5<0;KMTPR=I5826=0>3:13>LHW]]0JOD@32883:43<;90DYY^ZT;C@KPR;:00;2<<43;KMTPR=IMNYM1<50?31?61>586?291EC^ZT;ABVW_NFZOG0?4?>0680272?699>1?;7AZTQWW>air|V;;09850?34?11=G\^[YY4kotv\54:3>3:5=:5;7;MVPUSS2me~xR?=<5494;703==1CXZ_UU8gkprX9:6?:7>116973?IR\Y__6iazt^37810=87;<7995OTVSQQ586>2><6B[[PTV9`jssW;6?:7>117973?IR\Y__6iazt^1?03<768<08:4@UURVP?bh}}U?09850?35?11=G\^[YY4kotv\1921294::6:8:NWWTPR=lfS;2;6;2=53=3?3E^X][[:emvpZ1;=6=0>6:64>JSSX\^1hb{{_p>72?69901?;7AZTQWW>air|V{T==2;6;2=5<=3?3E^X][[:emvpZwX986?:7>118973?IR\Y__6iazt^s\57:3>3:5=45;7;MVPUSS2me~xRP12>72?69901?;7AZTQWW>air|V{T=92;6;2=5<=3?3E^X][[:emvpZwX9<6?:7>118973?IR\Y__6iazt^s\53:3>3:5=55;7;MVPUSS2me~xRP1=65>58602><6B[[PTV9`jssWxU909850?3;?11=G\^[YY4kotv\uZ5;72?69911?;7AZTQWW>air|V{T91:9:1<2<>202F__\XZ5dnww[tY14=<1<3?7;559KPRW]]0ocxzPq^5?03<768208:4@UURVP?bh}}UzS52;6;2=5==3?3E^X][[:emvpZwX15>=6=0>0:6;>LHW]]0H09950?37?1>=AGZ^X7JN_HL?02<76890854FNQWW>UENF5><6=0>6:6;>JSSX\^1HLQ@UU>73?699?1?47AZTQWW>ADXG\^78:4?>0380=0;2<:44;KMTPR=IE_FCIK33;2=53=32F__\XZ5C@PQ]JSS4:0;255;F56701233<;?<95:55227>3=AGZ^X7GAMNFF81<7681=868NA@:82DGFIHKJ>68L1:51?246;2=1EC^ZT;MSIJBB4?0;2?58Fd94o642|oll5=>"209;0>>?81805L<4A108E44<4A568E969=2K7==0:;@>25;3G;9=4>7L2>5?78E9716<1J0<915:C?5=823H6:53:4A=3=1>G;:94>7L2=1?78E9456<1J0?=15:C?61823H6993;4A=05:0=F4;=596O329<4?D:513:596O328<7?D:56=1J0>0;;@>7:1=F4<4?7L29>59B82833H63295N<8<;?DEF[JXNK:5NCHL?4;>18:C@MK:69720MNGA<00=<>GDAG6:?364ABKM842902KHEC2>5?:8EFOI48<546OLIO>23;>99BGLH;::437LMFN=07:==FK@D7>807;@AJJ941611JOD@326<;?DENF5832l5NCHL?6<<7611JOD@328<4?DENF585;6OLIO>0:2=FK@D78394ABKM80803HIBB1817:C@MK:06>1JOD@38?58EFOI40437LM@UU>3:<=FKF__0<>19:C@KPR;98427LM@UU>26;?89BGJSS48>556OLOTV?508>3HIDYY2>6?;8EFIR\5;<245NCNWW84>912KHCXZ318<;?DEH]]6:245NCNWW876912KHCXZ320<:?DEH]]69>374ABMVP944601JOB[[<36==>GDG\^7>806;@ALQQ:5>730MNAZT=04:<=FKF__0?61b:C@KPR;:00;245NCNWW87?902KHCXZ32?:8EFIR\59546OLOTV?0;>720MNAZT=5=<>GDG\^74364ABMVP9?912KOH_2?:1<4?DBCZ5:5m6OKDSC?4?6902KOH_O30?c8EABUI5;1<364AEFQE979i2KOH_O32;2=<>GCL[K7>3o4AEFQF96=8720MIJ]B=2=e>GCL[H7=7>18:CG@WD;97k0MIJ]B=094;>0:d=FD\GDHH\JG59BV@A682K^XB\JSDV\TDRCM]=0MRGAPTV7?G:76<1I0<>15:@?54823K6:>3;4B=30:0=E48>596L314<6?G:6>7=0N1?8:1<6?G:6?7>0N1?14:@?6;2D;07>0N1718:@@EVEUMN=0NNGA<1<;?GENF5;;255MCHL?548?3KIBB1?=>99AGLH;9:437OMFN=37:==EK@D7=807;CAJJ9716h1IOD@31683:==EK@D7=:08;CAJJ979?2HHEC2=>69AGLH;;7=0NNGA<5<4?GENF5?5;6LLIO>5:2=EK@D7;394BBKM8=803KIBB1718:@@KPR;8730NNAZT=33:<=EKF__027;?89AGJSS48?556LLOTV?538e3KIDYY2>7;2==>DDG\^7=:07;CALQQ:6611IOB[[<3<;?GEH]]68255MCNWW818?3KIDYY2:>99AGJSS4?437OM@UU>4:==EKF__0507;CALQQ:>6=1I^HI7;CWP[LHAG>1ISD@_UU38G1=D494>7N2>0?78G9766<1H0<<15:A?56823J6:83;4C=36:0=D48<596M316<6?F:607?0O1?6>59@84823J69<3;4C=02:0=D4;8596M322<6?F:5<7?0O1<:>49@8709=2I7>:0:;B>1<;3>49@8649=2I7?>0:;B>00;3285L<24=1>E;;>4>7N2<8?78G95>6=1H0>0:;B>74;3:285L<50=1>E;<:4>7N2;4?78G9226<1H09817:A?02<76<1H09914:A?0;2EFZ[SHM^MFNb9@EWT^KHYHCXZ8;BCQV\OIi2IJ^_WFNSGD`>EFZ[SBB^KC<10>58e3JKY^TAZT=1=6>EB<2INM<:4CDC10>EBIM30OHOCULMGA1=DMK;?7NKM229@AF?25;3B;9=4>7I2>5?78@9716<1O0<915:F?5=823M6:53:4D=3=1>B;:94>7I2=1?78@9456<1O0?=17:F?61<76<1O0?:14:F?6;2B;07>0H1712:FBe>BF\HUBB1>1b:FBPDYNF5;;2o5KAUC\MK:697h0HLZN_HL?578e3MK_MRGA<01=f>BF\HUBB1?;>c9GEQGXAG6:93l4D@VB[LH;9?4i7IO[A^KM8419j2NJXLQFN=3;:g=CI]KTEC2>9?c8@DRFW@D7=3l4D@VB[LH;:94i7IO[A^KM8779j2NJXLQFN=01:a=CI]KTEC2=3;2=f>BF\HUBB1<<>`9GEQGXAG692l5KAUC\MK:46h1OMYOPIO>7:d=CI]KTEC2:>`9GEQGXAG6=2l5KAUC\MK:06h1OMYOPIO>;:d=CI]KTEC26>c9GEQGXG\^7<3m4D@VB[JSS48:5o6JNT@]LQQ:697i0HLZN_NWW8449k2NJXLQ@UU>27;eBF\HUDYY2>5?a8@DRFWF__0<81c:FBPDYH]]6:;3m4D@VB[JSS4825o6JNT@]LQQ:617h0HLZN_NWW848d3MK_MRAZT=03:f=CI]KTCXZ320<`?AGSIVE^X1<=>d9GEQGXG\^7>>4?>b9GEQGXG\^7>>0m;ECWEZIR\585n6JNT@]LQQ:46k1OMYOPOTV?0;d89GEZOI48:556JN_HL?548>3MKTEC2>2?;8@DYNF5;8245KA^KM842912NJSD@314<:?AGXAG6::3l4D@]JJ97029427IOPIO>23;?89GEZOI483546JN_HL?5;?89GEZOI4;;556JN_HL?678>3MKTEC2=3?;8@DYNF58?245KA^KM873912NJSD@327<:?AGXAG69;374D@]JJ94?601OMRGA<3;=<>BFW@D7>374D@]JJ957601OMRGA<23==>BFW@D7??06;EC\MK:4;730HLQFN=17:<=CIVCE0>;19:FB[LH;;?427IOPIO>03;?89GEZOI4:3546JN_HL?7;?89GEZOI4=;556JN_HL?078>3MKTEC2;3?;8@DYNF5>?245KA^KM813912NJSD@34719:FB[LH;<>437IOPIO>7:==CIVCE0807;EC\MK:1611OMRGA<6<;?AGXAG63255KA^KM8<8>3MKTCXZ30?c8@DYH]]6:<3o4D@]LQQ:697k0HLQ@UU>26;g3?c8@DYH]]6:83o4D@]LQQ:6=7k0HLQ@UU>22;e7;2=e>BFWF__0<91a:FB[JSS4825m6JN_NWW84?912NJSB[[<0=0n;EC\KPR;:84j7IOPOTV?678f3MKTCXZ32290n;EC\KPR;:<4j7IOPOTV?638f3MKTCXZ32650n;EC\KPR;:0427IOPOTV?6;g07;g7k0HLQ@UU>03;g>`9GEZIR\5>92l5KA^MVP9246h1OMRAZT=67:d=CIVE^X1::>`9GEZIR\5>=2n5KA^MVP920294j7IOPOTV?028>3MKTCXZ34?;8@DYH]]6>245KA^MVP90912NJSB[[<6<:?AGXG\^74374D@]LQQ:>601ONRAZT=2=e>BEWF__0<>1a:FA[JSS48;5m6JM_NWW8449i2NISB[[<01=e>BEWF__0<:1a:FA[JSS48?5m6JM_NWW8409k2NISB[[<0594;g7?c8@GYH]]6:43o4DC]LQQ:61730HOQ@UU>2:d=CJVE^X1`9GFZIR\58:2l5KB^MVP9456h1ONRAZT=00:d=CJVE^X1<;>`9GFZIR\58>2l5KB^MVP9416h1ONRAZT=04:d=CJVE^X1<7>`9GFZIR\582245KB^MVP949i2NISB[[<22=e>BEWF__0>?1a:FA[JSS4:85m6JM_NWW8659i2NISB[[<26=e>BEWF__0>;1a:FA[JSS4:<5m6JM_NWW8619i2NISB[[<2:=e>BEWF__0>719:FA[JSS4:4j7ILPOTV?058f3MHTCXZ3400;2l5KB^MVP920601ONRAZT=6==>BEWF__0806;E@\KPR;>730HOQ@UU>4:<=CJVE^X1619:FA[JSS40497IK=;ED1?AO13MCYINZ<;ERL1>BWZH:>7I^]A078@UTF:<1O\_O<5:FSVD233MXNK:5KSQ6;A47@CI190JIK<;GFQ0>@CZL>0JI]J4:DELONc:DEBC@ANOLMJH?4G29DJA7OIEFNN094?>89JJHICM5>556GAMNFFV@A43@D]>6BF2:NL2>JHIMOO;6B@GHABH1=K]];?7A[[259OQQ533E__895CUU77?HGTC=1F^HI7;LWOPLVKQh1FYAZ\IFLJJg=J]E^XEJ@@UU78IP^DQ>1FYUMV_E58IP^DQVFh7@oeosTfvvohfj1Feca}Vdppmjh43G;;?6@>129M57533G;<5>5A1968J4>7<2D:4<:4N0:10>H60:>0B<6;4:L2<028668J4>?<2D:44=4N0;7?K7>8=1E=4?;;O3:61=I909?7C?6459M5<333G;2:95A1857?K7>0=1E=47=;O00?K47<2D9<=:4N3220>H58;>0B?><4:L141286@=0768J760<2D9<5:4N32:7>H59=1E><>;;O0251=I:88?7C<>359M64233G8:995A2047?K46?=1E><6;;O02=6=I:;>0B?=4N221?K253G??7C;:959M13633G?==95A5707?K31;=1E9;:;;O7511=I=?33G?=595A5627?K309=1E9:<;;O7471=I=>>?7C;8559M12033G?<;95A56:7?K301=1E95>;;O7;51=I=18?7C;7359M1=233G?3995A5947?K3??=1E956;;O7;=1=I=0:?7C;6159M1<433G?2?95A5867?K3>==1E948;;O7:31=I=02?7C;6959M25633G<;=95A6107?K07;=1E:=:;;O4311=I>>=?7C88859M22?33G<3<95A6937?K0?:=1E:5=;;O4;01=I>1??7C87659M2=133G<3495A69;7?K0>8=1E:4?;;O4:61=I>09?7C86459M2<333G<2:95A6857?K0>0=1E:47=;O57?K178=1E;=?;;O5361=I?99?7C9?459M35333G=;:95A7157?K170=1E;=7;;O5241=I?8;?7C9>259M34533G=:895A7077?K16>=1E;<9;;O52<1=I?83?7C9=059M37733G=9>95A7311?K>53G3m7CLPBTQSMKYWZFZX;6@JTVMQO2=IGDOYKH74NN]SEQBB\11ECR\JSDV2?J43FZFCIK32?;8KUKHLL68245@PLMGA92912E[ABJJ<419:MSIJBB4?427B^BOEGQAB703FZ_E@GUE^RQMHOSA_OXSCIL8:MUAW@KG^k0Cohzsbcwkw724;3V;9:4>7]2>4?78T9726<1[0<815:R?52823Y6:43;4P=3::1=W484>7]2=0?78T9466<1[0?<15:R?66823Y6983;4P=06:0=W4;<596^326<6?U:507?0\1<6>59S87823Y68<3;4P=12:0=W4:8596^332<6?U:4<7?0\1=:>49S8609=2Z7?:0:;Q>0<;3>49S8149=2Z78>0:;Q>70;3>285_<54=3>V;<>0;285_<55=0>V;<7>0\1;14:R?2;299SGLH;9<437]MFN=35:==WK@D7=:07;QAJJ97?611[OD@318<4?UENF5;546^LIO>14;>VDAG698364PBKM873902ZHEC2=6?:8TFOI4;=546^LIO>1<;>99SGLH;;=437]MFN=16:==WK@D7?;07;QAJJ950611[OD@339<;?UENF5922:5_CHL?7;>18:R@MK:39720\NGA<50=<>VDAG6??364PBKM812902ZHEC2;5?:8TFOI4=<5m6^LIO>73?6902ZHEC2;7?58TFOI4=4<7]MFN=7=3>VDAG6=2:5_CHL?3;1VDG\^7=;06;QALQQ:6?730\NAZT=3;:<=WKF__0<718:R@KPR;9730\NAZT=03:<=WKF__0??19:R@KPR;:;427]M@UU>17;?89SGJSS4;?556^LOTV?638>3YIDYY2=7?;8TFIR\583245_CNWW87?902ZHCXZ32?;8TFIR\59;245_CNWW867912ZHCXZ333<:?UEH]]68?374PBMVP953601[OB[[<27==>VDG\^7?;06;QALQQ:4?730\NAZT=1;:<=WKF__0>718:R@KPR;;730\NAZT=63:<=WKF__09?19:R@KPR;<;427]M@UU>77;?89SGJSS4=?556^LOTV?038e3YIDYY2;7;2==>VDG\^78:07;QALQQ:3611[OB[[<4<;?UEH]]6=255_CNWW828?3YIDYY27>99SGJSS404j7]GA_CWPMA^e3YCESO[\N@OF=>VLWAF^XCC;;QPFC4=V;2[:9<5]8:PBI64_9M>0^^ZNd:PPPDJKKHXYUD@n;SQWEISJGMO?7_][B59QWQE03[Y_OY\C4:PPPA?<[LFTAL]Db:QFHZVF\]OYB7UA]CX48\VRKAKh0TRM@RD]JJCI13QniSDji;Yfk[Utne_oydaa119[`hYJiceyZh||inl24>^ceVGbbb|Yesqjkk5_^]V4*aXehi%alm fhp\w`jbk}%licQgamdaekbXllibalzfoo,emw)`mgUxxb~Pe`pjh|)Je|rT~hiPiorvp47182UTSUBAM^]\6ZYX]9%lS`ol.lc`+couWzoginz gdl\ldjajhdoSiklilcwmjh)n`x$ecQndep,c`hX{}x~oy afg\vvrwg}=j7RQPXMLN[ZY4WVU^<"iPm`a-ide(n`xThbjcu-dakYoielimcjPddajidrngg$me!heo]qaiifl'xn`bok_blwvi)umeejhRm`mqnfp`YDGLLT@IQ@R^31[j72=2UTSUBAM^]\0ZYX]9%lS`ol.lc`+couWzoginz gdl\ldjajhdoSiklilcwmjh)n`x$e}lPotv\tdabW{y|bz88:]\[]JIEVUT9RQPU1-d[hgd&dkh#kg}_rgoafr(oldTdlbib`lg[acdadkeb`!fhp,c`hXjekegR|jg.efjZdkigaT~hi|[1_-figicVxnkRv rde\mkvr|8<;7RQPXMLN[ZY1WVU^<"iPm`a-ide(n`xThbjcu-dakYoielimcjPddajidrngg$me!]ergw[JTXL{c}iOADBnlgnCiikfn=8o4_^][HKKXWV=TSR[?/f]nef(jij%meQ|emg`p*abfVbj`klnne]gafoji}cdb#hfr.msgjbbWjd~aQklx>2:Zcvf8=37RQPXMLN[ZY?WVU^<"iPm`a-ide(n`xThbjcu-dakYoielimcjPddajidrngg$me!heo]qaiifl'xn`bok_blwvi)DGLLT@IQlodd\haYcdpUJBIQ>32]l53c?013a?gjl89:;<=>=b:`oo56789:;?o5mlj2345678=h0nae?0123453e3kf`<=>?0125f>dkc9:;<=>?7c9ahn6789:;<5l4bmi34567893i7obd0123456fj2hgg=>?0123fg=edb:;<=>?0b`8fim789:;<=jm;cnh456789:nn6lck1234567nk1i`f>?012355d?003a?gjl89:;<=?=b:`oo56789::?o5mlj2345679=h0nae?0123443e3kf`<=>?0135f>dkc9:;<=>>7c9ahn6789:;=5l4bmi34567883i7obd0123457fj2hgg=>?0122fg=edb:;<=>?1b`8fim789:;<?012365d?033a?gjl89:;<=<=b:`oo56789:9?o5mlj234567:=h0nae?0123473e3kf`<=>?0105f>dkc9:;<=>=7c9ahn6789:;>5l4bmi345678;3i7obd0123454fj2hgg=>?0121fg=edb:;<=>?2b`8fim789:;?012375d?023a?gjl89:;<===b:`oo56789:8?o5mlj234567;=h0nae?0123463e3kf`<=>?0115f>dkc9:;<=><7c9ahn6789:;?5l4bmi345678:3i7obd0123455fj2hgg=>?0120fg=edb:;<=>?3b`8fim789:;<>jm;cnh4567899nn6lck1234564nk1i`f>?012305d?053a?gjl89:;<=:=b:`oo56789:??o5mlj234567<=h0nae?0123413e3kf`<=>?0165f>dkc9:;<=>;7c9ahn6789:;85l4bmi345678=3i7obd0123452fj2hgg=>?0127fg=edb:;<=>?4b`8fim789:;<9jm;cnh456789>nn6lck1234563nk1i`f>?012315d?043a?gjl89:;<=;=b:`oo56789:>?o5mlj234567==h0nae?0123403e3kf`<=>?0175f>dkc9:;<=>:7c9ahn6789:;95l4bmi345678<3i7obd0123453fj2hgg=>?0126fg=edb:;<=>?5b`8fim789:;<8jm;cnh456789?nn6lck1234562nk1i`f>?012325d?073a?gjl89:;<=8=b:`oo56789:=?o5mlj234567>=h0nae?0123433e3kf`<=>?0145f>dkc9:;<=>97c9ahn6789:;:5l4bmi345678?3i7obd0123450fj2hgg=>?0125fg=edb:;<=>?6b`8fim789:;<;jm;cnh456789?012335d?063a?gjl89:;<=9=b:`oo56789:?0155f>dkc9:;<=>87c9ahn6789:;;5l4bmi345678>3i7obd0123451fj2hgg=>?0124fg=edb:;<=>?7b`8fim789:;<:jm;cnh456789=nn6lck1234560nk1i`f>?0123<5d?093a?gjl89:;<=6=b:`oo56789:3?o5mlj2345670=h0nae?01234=3e3kf`<=>?01:5f>dkc9:;<=>77c9ahn6789:;45l4bmi34567813i7obd012345>fj2hgg=>?012;fg=edb:;<=>?8b`8fim789:;<5jm;cnh4567892nn6lck123456?nk1i`f>?0123=5d?083a?gjl89:;<=7=b:`oo56789:2?o5mlj2345671=h0nae?01234<3e3kf`<=>?01;5f>dkc9:;<=>67c9ahn6789:;55l4bmi34567803i7obd012345?fj2hgg=>?012:fg=edb:;<=>?9b`8fim789:;<4jm;cnh4567893nn6lck123456>nk1i`f>?0123e5d?0`3a?gjl89:;<=o=b:`oo56789:j?o5mlj234567i=h0nae?01234d3e3kf`<=>?01c5f>dkc9:;<=>n7c9ahn6789:;m5l4bmi345678h3i7obd012345gfj2hgg=>?012bfg=edb:;<=>?ab`8fim789:;?0123f5d?0c3a?gjl89:;<=l=b:`oo56789:i?o5mlj234567j=h0nae?01234g3e3kf`<=>?01`5f>dkc9:;<=>m7c9ahn6789:;n5l4bmi345678k3i7obd012345dfj2hgg=>?012afg=edb:;<=>?bb`8fim789:;?0123g5d?0b3a?gjl89:;<=m=b:`oo56789:h?o5mlj234567k=h0nae?01234f3e3kf`<=>?01a5f>dkc9:;<=>l7c9ahn6789:;o5l4bmi345678j3i7obd012345efj2hgg=>?012`fg=edb:;<=>?cb`8fim789:;?0123`5d?0e3a?gjl89:;<=j=b:`oo56789:o?o5mlj234567l=h0nae?01234a3e3kf`<=>?01f5f>dkc9:;<=>k7c9ahn6789:;h5l4bmi345678m3i7obd012345bfj2hgg=>?012gfg=edb:;<=>?db`8fim789:;?0123a5d?0d3a?gjl89:;<=k=b:`oo56789:n?o5mlj234567m=h0nae?01234`3e3kf`<=>?01g5f>dkc9:;<=>j7c9ahn6789:;i5l4bmi345678l3i7obd012345cfj2hgg=>?012ffg=edb:;<=>?eb`8fim789:;?0123b5d?0g3a?gjl89:;<=h=b:`oo56789:m?o5mlj234567n=h0nae?01234c3e3kf`<=>?01d5f>dkc9:;<=>i7c9ahn6789:;j5l4bmi345678o3i7obd012345`fj2hgg=>?012efg=edb:;<=>?fb`8fim789:;?012245d?113a?gjl89:;<<>=b:`oo56789;;?o5mlj2345668=h0nae?0123553e3kf`<=>?0025f>dkc9:;<=??7c9ahn6789::<5l4bmi34567993i7obd0123446fj2hgg=>?0133fg=edb:;<=>>0b`8fim789:;==jm;cnh456788:nn6lck1234577nk1i`f>?012255d?103a?gjl89:;<?0035f>dkc9:;<=?>7c9ahn6789::=5l4bmi34567983i7obd0123447fj2hgg=>?0132fg=edb:;<=>>1b`8fim789:;=?012265d?133a?gjl89:;<<<=b:`oo56789;9?o5mlj234566:=h0nae?0123573e3kf`<=>?0005f>dkc9:;<=?=7c9ahn6789::>5l4bmi345679;3i7obd0123444fj2hgg=>?0131fg=edb:;<=>>2b`8fim789:;=?jm;cnh4567888nn6lck1234575nk1i`f>?012275d?123a?gjl89:;<<==b:`oo56789;8?o5mlj234566;=h0nae?0123563e3kf`<=>?0015f>dkc9:;<=?<7c9ahn6789::?5l4bmi345679:3i7obd0123445fj2hgg=>?0130fg=edb:;<=>>3b`8fim789:;=>jm;cnh4567889nn6lck1234574nk1i`f>?012205d?153a?gjl89:;<<:=b:`oo56789;??o5mlj234566<=h0nae?0123513e3kf`<=>?0065f>dkc9:;<=?;7c9ahn6789::85l4bmi345679=3i7obd0123442fj2hgg=>?0137fg=edb:;<=>>4b`8fim789:;=9jm;cnh456788>nn6lck1234573nk1i`f>?012215d?143a?gjl89:;<<;=b:`oo56789;>?o5mlj234566==h0nae?0123503e3kf`<=>?0075f>dkc9:;<=?:7c9ahn6789::95l4bmi345679<3i7obd0123443fj2hgg=>?0136fg=edb:;<=>>5b`8fim789:;=8jm;cnh456788?nn6lck1234572nk1i`f>?012225d?173a?gjl89:;<<8=b:`oo56789;=?o5mlj234566>=h0nae?0123533e3kf`<=>?0045f>dkc9:;<=?97c9ahn6789:::5l4bmi345679?3i7obd0123440fj2hgg=>?0135fg=edb:;<=>>6b`8fim789:;=;jm;cnh456788?012235d?163a?gjl89:;<<9=b:`oo56789;?0055f>dkc9:;<=?87c9ahn6789::;5l4bmi345679>3i7obd0123441fj2hgg=>?0134fg=edb:;<=>>7b`8fim789:;=:jm;cnh456788=nn6lck1234570nk1i`f>?0122<5d?193a?gjl89:;<<6=b:`oo56789;3?o5mlj2345660=h0nae?01235=3e3kf`<=>?00:5f>dkc9:;<=?77c9ahn6789::45l4bmi34567913i7obd012344>fj2hgg=>?013;fg=edb:;<=>>8b`8fim789:;=5jm;cnh4567882nn6lck123457?nk1i`f>?0122=5d?183a?gjl89:;<<7=b:`oo56789;2?o5mlj2345661=h0nae?01235<3e3kf`<=>?00;5f>dkc9:;<=?67c9ahn6789::55l4bmi34567903i7obd012344?fj2hgg=>?013:fg=edb:;<=>>9b`8fim789:;=4jm;cnh4567883nn6lck123457>nk1i`f>?0122e5d?1`3a?gjl89:;<?00c5f>dkc9:;<=?n7c9ahn6789::m5l4bmi345679h3i7obd012344gfj2hgg=>?013bfg=edb:;<=>>ab`8fim789:;=ljm;cnh456788knn6lck123457fnk1i`f>?0122f5d?1c3a?gjl89:;<?00`5f>dkc9:;<=?m7c9ahn6789::n5l4bmi345679k3i7obd012344dfj2hgg=>?013afg=edb:;<=>>bb`8fim789:;=ojm;cnh456788hnn6lck123457enk1i`f>?0122g5d?1b3a?gjl89:;<?00a5f>dkc9:;<=?l7c9ahn6789::o5l4bmi345679j3i7obd012344efj2hgg=>?013`fg=edb:;<=>>cb`8fim789:;=njm;cnh456788inn6lck123457dnk1i`f>?0122`5d?1e3a?gjl89:;<?00f5f>dkc9:;<=?k7c9ahn6789::h5l4bmi345679m3i7obd012344bfj2hgg=>?013gfg=edb:;<=>>db`8fim789:;=ijm;cnh456788nnn6lck123457cnk1i`f>?0122a5d?1d3a?gjl89:;<?00g5f>dkc9:;<=?j7c9ahn6789::i5l4bmi345679l3i7obd012344cfj2hgg=>?013ffg=edb:;<=>>eb`8fim789:;=hjm;cnh456788onn6lck123457bnk1i`f>?0122b5d?1g3a?gjl89:;<?00d5f>dkc9:;<=?i7c9ahn6789::j5l4bmi345679o3i7obd012344`fj2hgg=>?013efg=edb:;<=>>fb`8fim789:;=kjm;cnh456788lnn6lck123457ank1i`f>?012145d?213a?gjl89:;=b:`oo567898;?o5mlj2345658=h0nae?0123653e3kf`<=>?0325f>dkc9:;<=?0103fg=edb:;<=>=0b`8fim789:;>=jm;cnh45678;:nn6lck1234547nk1i`f>?012155d?203a?gjl89:;?0335f>dkc9:;<=<>7c9ahn6789:9=5l4bmi34567:83i7obd0123477fj2hgg=>?0102fg=edb:;<=>=1b`8fim789:;>?012165d?233a?gjl89:;?0305f>dkc9:;<=<=7c9ahn6789:9>5l4bmi34567:;3i7obd0123474fj2hgg=>?0101fg=edb:;<=>=2b`8fim789:;>?jm;cnh45678;8nn6lck1234545nk1i`f>?012175d?223a?gjl89:;?0315f>dkc9:;<=<<7c9ahn6789:9?5l4bmi34567::3i7obd0123475fj2hgg=>?0100fg=edb:;<=>=3b`8fim789:;>>jm;cnh45678;9nn6lck1234544nk1i`f>?012105d?253a?gjl89:;?0365f>dkc9:;<=<;7c9ahn6789:985l4bmi34567:=3i7obd0123472fj2hgg=>?0107fg=edb:;<=>=4b`8fim789:;>9jm;cnh45678;>nn6lck1234543nk1i`f>?012115d?243a?gjl89:;?o5mlj234565==h0nae?0123603e3kf`<=>?0375f>dkc9:;<=<:7c9ahn6789:995l4bmi34567:<3i7obd0123473fj2hgg=>?0106fg=edb:;<=>=5b`8fim789:;>8jm;cnh45678;?nn6lck1234542nk1i`f>?012125d?273a?gjl89:;=h0nae?0123633e3kf`<=>?0345f>dkc9:;<=<97c9ahn6789:9:5l4bmi34567:?3i7obd0123470fj2hgg=>?0105fg=edb:;<=>=6b`8fim789:;>;jm;cnh45678;?012135d?263a?gjl89:;?0355f>dkc9:;<=<87c9ahn6789:9;5l4bmi34567:>3i7obd0123471fj2hgg=>?0104fg=edb:;<=>=7b`8fim789:;>:jm;cnh45678;=nn6lck1234540nk1i`f>?0121<5d?293a?gjl89:;?03:5f>dkc9:;<=<77c9ahn6789:945l4bmi34567:13i7obd012347>fj2hgg=>?010;fg=edb:;<=>=8b`8fim789:;>5jm;cnh45678;2nn6lck123454?nk1i`f>?0121=5d?283a?gjl89:;?03;5f>dkc9:;<=<67c9ahn6789:955l4bmi34567:03i7obd012347?fj2hgg=>?010:fg=edb:;<=>=9b`8fim789:;>4jm;cnh45678;3nn6lck123454>nk1i`f>?0121e5d?2`3a?gjl89:;?03c5f>dkc9:;<=?010bfg=edb:;<=>=ab`8fim789:;>ljm;cnh45678;knn6lck123454fnk1i`f>?0121f5d?2c3a?gjl89:;?03`5f>dkc9:;<=?010afg=edb:;<=>=bb`8fim789:;>ojm;cnh45678;hnn6lck123454enk1i`f>?0121g5d?2b3a?gjl89:;?03a5f>dkc9:;<=?010`fg=edb:;<=>=cb`8fim789:;>njm;cnh45678;inn6lck123454dnk1i`f>?0121`5d?2e3a?gjl89:;?03f5f>dkc9:;<=?010gfg=edb:;<=>=db`8fim789:;>ijm;cnh45678;nnn6lck123454cnk1i`f>?0121a5d?2d3a?gjl89:;?03g5f>dkc9:;<=?010ffg=edb:;<=>=eb`8fim789:;>hjm;cnh45678;onn6lck123454bnk1i`f>?0121b5d?2g3a?gjl89:;?03d5f>dkc9:;<=?010efg=edb:;<=>=fb`8fim789:;>kjm;cnh45678;lnn6lck123454ank1i`f>?012045d?313a?gjl89:;<>>=b:`oo567899;?o5mlj2345648=h0nae?0123753e3kf`<=>?0225f>dkc9:;<==?7c9ahn6789:8<5l4bmi34567;93i7obd0123466fj2hgg=>?0113fg=edb:;<=><0b`8fim789:;?=jm;cnh45678::nn6lck1234557nk1i`f>?012055d?303a?gjl89:;<>?=b:`oo567899:?o5mlj2345649=h0nae?0123743e3kf`<=>?0235f>dkc9:;<==>7c9ahn6789:8=5l4bmi34567;83i7obd0123467fj2hgg=>?0112fg=edb:;<=><1b`8fim789:;??012065d?333a?gjl89:;<><=b:`oo5678999?o5mlj234564:=h0nae?0123773e3kf`<=>?0205f>dkc9:;<===7c9ahn6789:8>5l4bmi34567;;3i7obd0123464fj2hgg=>?0111fg=edb:;<=><2b`8fim789:;??jm;cnh45678:8nn6lck1234555nk1i`f>?012075d?323a?gjl89:;<>==b:`oo5678998?o5mlj234564;=h0nae?0123763e3kf`<=>?0215f>dkc9:;<==<7c9ahn6789:8?5l4bmi34567;:3i7obd0123465fj2hgg=>?0110fg=edb:;<=><3b`8fim789:;?>jm;cnh45678:9nn6lck1234554nk1i`f>?012005d?353a?gjl89:;<>:=b:`oo567899??o5mlj234564<=h0nae?0123713e3kf`<=>?0265f>dkc9:;<==;7c9ahn6789:885l4bmi34567;=3i7obd0123462fj2hgg=>?0117fg=edb:;<=><4b`8fim789:;?9jm;cnh45678:>nn6lck1234553nk1i`f>?012015d?343a?gjl89:;<>;=b:`oo567899>?o5mlj234564==h0nae?0123703e3kf`<=>?0275f>dkc9:;<==:7c9ahn6789:895l4bmi34567;<3i7obd0123463fj2hgg=>?0116fg=edb:;<=><5b`8fim789:;?8jm;cnh45678:?nn6lck1234552nk1i`f>?012025d?373a?gjl89:;<>8=b:`oo567899=?o5mlj234564>=h0nae?0123733e3kf`<=>?0245f>dkc9:;<==97c9ahn6789:8:5l4bmi34567;?3i7obd0123460fj2hgg=>?0115fg=edb:;<=><6b`8fim789:;?;jm;cnh45678:?012035d?363a?gjl89:;<>9=b:`oo567899?0255f>dkc9:;<==87c9ahn6789:8;5l4bmi34567;>3i7obd0123461fj2hgg=>?0114fg=edb:;<=><7b`8fim789:;?:jm;cnh45678:=nn6lck1234550nk1i`f>?0120<5d?393a?gjl89:;<>6=b:`oo5678993?o5mlj2345640=h0nae?01237=3e3kf`<=>?02:5f>dkc9:;<==77c9ahn6789:845l4bmi34567;13i7obd012346>fj2hgg=>?011;fg=edb:;<=><8b`8fim789:;?5jm;cnh45678:2nn6lck123455?nk1i`f>?0120=5d?383a?gjl89:;<>7=b:`oo5678992?o5mlj2345641=h0nae?01237<3e3kf`<=>?02;5f>dkc9:;<==67c9ahn6789:855l4bmi34567;03i7obd012346?fj2hgg=>?011:fg=edb:;<=><9b`8fim789:;?4jm;cnh45678:3nn6lck123455>nk1i`f>?0120e5d?3`3a?gjl89:;<>o=b:`oo567899j?o5mlj234564i=h0nae?01237d3e3kf`<=>?02c5f>dkc9:;<==n7c9ahn6789:8m5l4bmi34567;h3i7obd012346gfj2hgg=>?011bfg=edb:;<=>?0120f5d?3c3a?gjl89:;<>l=b:`oo567899i?o5mlj234564j=h0nae?01237g3e3kf`<=>?02`5f>dkc9:;<==m7c9ahn6789:8n5l4bmi34567;k3i7obd012346dfj2hgg=>?011afg=edb:;<=>?0120g5d?3b3a?gjl89:;<>m=b:`oo567899h?o5mlj234564k=h0nae?01237f3e3kf`<=>?02a5f>dkc9:;<==l7c9ahn6789:8o5l4bmi34567;j3i7obd012346efj2hgg=>?011`fg=edb:;<=>?0120`5d?3e3a?gjl89:;<>j=b:`oo567899o?o5mlj234564l=h0nae?01237a3e3kf`<=>?02f5f>dkc9:;<==k7c9ahn6789:8h5l4bmi34567;m3i7obd012346bfj2hgg=>?011gfg=edb:;<=>?0120a5d?3d3a?gjl89:;<>k=b:`oo567899n?o5mlj234564m=h0nae?01237`3e3kf`<=>?02g5f>dkc9:;<==j7c9ahn6789:8i5l4bmi34567;l3i7obd012346cfj2hgg=>?011ffg=edb:;<=>?0120b5d?3g3a?gjl89:;<>h=b:`oo567899m?o5mlj234564n=h0nae?01237c3e3kf`<=>?02d5f>dkc9:;<==i7c9ahn6789:8j5l4bmi34567;o3i7obd012346`fj2hgg=>?011efg=edb:;<=>?012745d?413a?gjl89:;<9>=b:`oo56789>;?o5mlj2345638=h0nae?0123053e3kf`<=>?0525f>dkc9:;<=:?7c9ahn6789:?<5l4bmi34567<93i7obd0123416fj2hgg=>?0163fg=edb:;<=>;0b`8fim789:;8=jm;cnh45678=:nn6lck1234527nk1i`f>?012755d?403a?gjl89:;<9?=b:`oo56789>:?o5mlj2345639=h0nae?0123043e3kf`<=>?0535f>dkc9:;<=:>7c9ahn6789:?=5l4bmi34567<83i7obd0123417fj2hgg=>?0162fg=edb:;<=>;1b`8fim789:;8?012765d?433a?gjl89:;<9<=b:`oo56789>9?o5mlj234563:=h0nae?0123073e3kf`<=>?0505f>dkc9:;<=:=7c9ahn6789:?>5l4bmi34567<;3i7obd0123414fj2hgg=>?0161fg=edb:;<=>;2b`8fim789:;8?jm;cnh45678=8nn6lck1234525nk1i`f>?012775d?423a?gjl89:;<9==b:`oo56789>8?o5mlj234563;=h0nae?0123063e3kf`<=>?0515f>dkc9:;<=:<7c9ahn6789:??5l4bmi34567<:3i7obd0123415fj2hgg=>?0160fg=edb:;<=>;3b`8fim789:;8>jm;cnh45678=9nn6lck1234524nk1i`f>?012705d?453a?gjl89:;<9:=b:`oo56789>??o5mlj234563<=h0nae?0123013e3kf`<=>?0565f>dkc9:;<=:;7c9ahn6789:?85l4bmi34567<=3i7obd0123412fj2hgg=>?0167fg=edb:;<=>;4b`8fim789:;89jm;cnh45678=>nn6lck1234523nk1i`f>?012715d?443a?gjl89:;<9;=b:`oo56789>>?o5mlj234563==h0nae?0123003e3kf`<=>?0575f>dkc9:;<=::7c9ahn6789:?95l4bmi34567<<3i7obd0123413fj2hgg=>?0166fg=edb:;<=>;5b`8fim789:;88jm;cnh45678=?nn6lck1234522nk1i`f>?012725d?473a?gjl89:;<98=b:`oo56789>=?o5mlj234563>=h0nae?0123033e3kf`<=>?0545f>dkc9:;<=:97c9ahn6789:?:5l4bmi34567?0165fg=edb:;<=>;6b`8fim789:;8;jm;cnh45678=?012735d?463a?gjl89:;<99=b:`oo56789>?0555f>dkc9:;<=:87c9ahn6789:?;5l4bmi34567<>3i7obd0123411fj2hgg=>?0164fg=edb:;<=>;7b`8fim789:;8:jm;cnh45678==nn6lck1234520nk1i`f>?0127<5d?493a?gjl89:;<96=b:`oo56789>3?o5mlj2345630=h0nae?01230=3e3kf`<=>?05:5f>dkc9:;<=:77c9ahn6789:?45l4bmi34567<13i7obd012341>fj2hgg=>?016;fg=edb:;<=>;8b`8fim789:;85jm;cnh45678=2nn6lck123452?nk1i`f>?0127=5d?483a?gjl89:;<97=b:`oo56789>2?o5mlj2345631=h0nae?01230<3e3kf`<=>?05;5f>dkc9:;<=:67c9ahn6789:?55l4bmi34567<03i7obd012341?fj2hgg=>?016:fg=edb:;<=>;9b`8fim789:;84jm;cnh45678=3nn6lck123452>nk1i`f>?0127e5d?4`3a?gjl89:;<9o=b:`oo56789>j?o5mlj234563i=h0nae?01230d3e3kf`<=>?05c5f>dkc9:;<=:n7c9ahn6789:?m5l4bmi34567?016bfg=edb:;<=>;ab`8fim789:;8ljm;cnh45678=knn6lck123452fnk1i`f>?0127f5d?4c3a?gjl89:;<9l=b:`oo56789>i?o5mlj234563j=h0nae?01230g3e3kf`<=>?05`5f>dkc9:;<=:m7c9ahn6789:?n5l4bmi34567?016afg=edb:;<=>;bb`8fim789:;8ojm;cnh45678=hnn6lck123452enk1i`f>?0127g5d?4b3a?gjl89:;<9m=b:`oo56789>h?o5mlj234563k=h0nae?01230f3e3kf`<=>?05a5f>dkc9:;<=:l7c9ahn6789:?o5l4bmi34567?016`fg=edb:;<=>;cb`8fim789:;8njm;cnh45678=inn6lck123452dnk1i`f>?0127`5d?4e3a?gjl89:;<9j=b:`oo56789>o?o5mlj234563l=h0nae?01230a3e3kf`<=>?05f5f>dkc9:;<=:k7c9ahn6789:?h5l4bmi34567?016gfg=edb:;<=>;db`8fim789:;8ijm;cnh45678=nnn6lck123452cnk1i`f>?0127a5d?4d3a?gjl89:;<9k=b:`oo56789>n?o5mlj234563m=h0nae?01230`3e3kf`<=>?05g5f>dkc9:;<=:j7c9ahn6789:?i5l4bmi34567?016ffg=edb:;<=>;eb`8fim789:;8hjm;cnh45678=onn6lck123452bnk1i`f>?0127b5d?4g3a?gjl89:;<9h=b:`oo56789>m?o5mlj234563n=h0nae?01230c3e3kf`<=>?05d5f>dkc9:;<=:i7c9ahn6789:?j5l4bmi34567?016efg=edb:;<=>;fb`8fim789:;8kjm;cnh45678=lnn6lck123452ank1i`f>?012645d?513a?gjl89:;<8>=b:`oo56789?;?o5mlj2345628=h0nae?0123153e3kf`<=>?0425f>dkc9:;<=;?7c9ahn6789:><5l4bmi34567=93i7obd0123406fj2hgg=>?0173fg=edb:;<=>:0b`8fim789:;9=jm;cnh45678<:nn6lck1234537nk1i`f>?012655d?503a?gjl89:;<8?=b:`oo56789?:?o5mlj2345629=h0nae?0123143e3kf`<=>?0435f>dkc9:;<=;>7c9ahn6789:>=5l4bmi34567=83i7obd0123407fj2hgg=>?0172fg=edb:;<=>:1b`8fim789:;9?012665d?533a?gjl89:;<8<=b:`oo56789?9?o5mlj234562:=h0nae?0123173e3kf`<=>?0405f>dkc9:;<=;=7c9ahn6789:>>5l4bmi34567=;3i7obd0123404fj2hgg=>?0171fg=edb:;<=>:2b`8fim789:;9?jm;cnh45678<8nn6lck1234535nk1i`f>?012675d?523a?gjl89:;<8==b:`oo56789?8?o5mlj234562;=h0nae?0123163e3kf`<=>?0415f>dkc9:;<=;<7c9ahn6789:>?5l4bmi34567=:3i7obd0123405fj2hgg=>?0170fg=edb:;<=>:3b`8fim789:;9>jm;cnh45678<9nn6lck1234534nk1i`f>?012605d?553a?gjl89:;<8:=b:`oo56789???o5mlj234562<=h0nae?0123113e3kf`<=>?0465f>dkc9:;<=;;7c9ahn6789:>85l4bmi34567==3i7obd0123402fj2hgg=>?0177fg=edb:;<=>:4b`8fim789:;99jm;cnh45678<>nn6lck1234533nk1i`f>?012615d?543a?gjl89:;<8;=b:`oo56789?>?o5mlj234562==h0nae?0123103e3kf`<=>?0475f>dkc9:;<=;:7c9ahn6789:>95l4bmi34567=<3i7obd0123403fj2hgg=>?0176fg=edb:;<=>:5b`8fim789:;98jm;cnh45678?012625d?573a?gjl89:;<88=b:`oo56789?=?o5mlj234562>=h0nae?0123133e3kf`<=>?0445f>dkc9:;<=;97c9ahn6789:>:5l4bmi34567=?3i7obd0123400fj2hgg=>?0175fg=edb:;<=>:6b`8fim789:;9;jm;cnh45678<?012635d?563a?gjl89:;<89=b:`oo56789??0455f>dkc9:;<=;87c9ahn6789:>;5l4bmi34567=>3i7obd0123401fj2hgg=>?0174fg=edb:;<=>:7b`8fim789:;9:jm;cnh45678<=nn6lck1234530nk1i`f>?0126<5d?593a?gjl89:;<86=b:`oo56789?3?o5mlj2345620=h0nae?01231=3e3kf`<=>?04:5f>dkc9:;<=;77c9ahn6789:>45l4bmi34567=13i7obd012340>fj2hgg=>?017;fg=edb:;<=>:8b`8fim789:;95jm;cnh45678<2nn6lck123453?nk1i`f>?0126=5d?583a?gjl89:;<87=b:`oo56789?2?o5mlj2345621=h0nae?01231<3e3kf`<=>?04;5f>dkc9:;<=;67c9ahn6789:>55l4bmi34567=03i7obd012340?fj2hgg=>?017:fg=edb:;<=>:9b`8fim789:;94jm;cnh45678<3nn6lck123453>nk1i`f>?0126e5d?5`3a?gjl89:;<8o=b:`oo56789?j?o5mlj234562i=h0nae?01231d3e3kf`<=>?04c5f>dkc9:;<=;n7c9ahn6789:>m5l4bmi34567=h3i7obd012340gfj2hgg=>?017bfg=edb:;<=>:ab`8fim789:;9ljm;cnh45678?0126f5d?5c3a?gjl89:;<8l=b:`oo56789?i?o5mlj234562j=h0nae?01231g3e3kf`<=>?04`5f>dkc9:;<=;m7c9ahn6789:>n5l4bmi34567=k3i7obd012340dfj2hgg=>?017afg=edb:;<=>:bb`8fim789:;9ojm;cnh45678?0126g5d?5b3a?gjl89:;<8m=b:`oo56789?h?o5mlj234562k=h0nae?01231f3e3kf`<=>?04a5f>dkc9:;<=;l7c9ahn6789:>o5l4bmi34567=j3i7obd012340efj2hgg=>?017`fg=edb:;<=>:cb`8fim789:;9njm;cnh45678?0126`5d?5e3a?gjl89:;<8j=b:`oo56789?o?o5mlj234562l=h0nae?01231a3e3kf`<=>?04f5f>dkc9:;<=;k7c9ahn6789:>h5l4bmi34567=m3i7obd012340bfj2hgg=>?017gfg=edb:;<=>:db`8fim789:;9ijm;cnh45678?0126a5d?5d3a?gjl89:;<8k=b:`oo56789?n?o5mlj234562m=h0nae?01231`3e3kf`<=>?04g5f>dkc9:;<=;j7c9ahn6789:>i5l4bmi34567=l3i7obd012340cfj2hgg=>?017ffg=edb:;<=>:eb`8fim789:;9hjm;cnh45678?0126b5d?5g3a?gjl89:;<8h=b:`oo56789?m?o5mlj234562n=h0nae?01231c3e3kf`<=>?04d5f>dkc9:;<=;i7c9ahn6789:>j5l4bmi34567=o3i7obd012340`fj2hgg=>?017efg=edb:;<=>:fb`8fim789:;9kjm;cnh45678?012545d?613a?gjl89:;<;>=b:`oo56789<;?o5mlj2345618=h0nae?0123253e3kf`<=>?0725f>dkc9:;<=8?7c9ahn6789:=<5l4bmi34567>93i7obd0123436fj2hgg=>?0143fg=edb:;<=>90b`8fim789:;:=jm;cnh45678?:nn6lck1234507nk1i`f>?012555d?603a?gjl89:;<;?=b:`oo56789<:?o5mlj2345619=h0nae?0123243e3kf`<=>?0735f>dkc9:;<=8>7c9ahn6789:==5l4bmi34567>83i7obd0123437fj2hgg=>?0142fg=edb:;<=>91b`8fim789:;:?012565d?633a?gjl89:;<;<=b:`oo56789<9?o5mlj234561:=h0nae?0123273e3kf`<=>?0705f>dkc9:;<=8=7c9ahn6789:=>5l4bmi34567>;3i7obd0123434fj2hgg=>?0141fg=edb:;<=>92b`8fim789:;:?jm;cnh45678?8nn6lck1234505nk1i`f>?012575d?623a?gjl89:;<;==b:`oo56789<8?o5mlj234561;=h0nae?0123263e3kf`<=>?0715f>dkc9:;<=8<7c9ahn6789:=?5l4bmi34567>:3i7obd0123435fj2hgg=>?0140fg=edb:;<=>93b`8fim789:;:>jm;cnh45678?9nn6lck1234504nk1i`f>?012505d?653a?gjl89:;<;:=b:`oo56789?0765f>dkc9:;<=8;7c9ahn6789:=85l4bmi34567>=3i7obd0123432fj2hgg=>?0147fg=edb:;<=>94b`8fim789:;:9jm;cnh45678?>nn6lck1234503nk1i`f>?012515d?643a?gjl89:;<;;=b:`oo56789<>?o5mlj234561==h0nae?0123203e3kf`<=>?0775f>dkc9:;<=8:7c9ahn6789:=95l4bmi34567><3i7obd0123433fj2hgg=>?0146fg=edb:;<=>95b`8fim789:;:8jm;cnh45678??nn6lck1234502nk1i`f>?012525d?673a?gjl89:;<;8=b:`oo56789<=?o5mlj234561>=h0nae?0123233e3kf`<=>?0745f>dkc9:;<=897c9ahn6789:=:5l4bmi34567>?3i7obd0123430fj2hgg=>?0145fg=edb:;<=>96b`8fim789:;:;jm;cnh45678??012535d?663a?gjl89:;<;9=b:`oo56789<?0755f>dkc9:;<=887c9ahn6789:=;5l4bmi34567>>3i7obd0123431fj2hgg=>?0144fg=edb:;<=>97b`8fim789:;::jm;cnh45678?=nn6lck1234500nk1i`f>?0125<5d?693a?gjl89:;<;6=b:`oo56789<3?o5mlj2345610=h0nae?01232=3e3kf`<=>?07:5f>dkc9:;<=877c9ahn6789:=45l4bmi34567>13i7obd012343>fj2hgg=>?014;fg=edb:;<=>98b`8fim789:;:5jm;cnh45678?2nn6lck123450?nk1i`f>?0125=5d?683a?gjl89:;<;7=b:`oo56789<2?o5mlj2345611=h0nae?01232<3e3kf`<=>?07;5f>dkc9:;<=867c9ahn6789:=55l4bmi34567>03i7obd012343?fj2hgg=>?014:fg=edb:;<=>99b`8fim789:;:4jm;cnh45678?3nn6lck123450>nk1i`f>?0125e5d?6`3a?gjl89:;<;o=b:`oo56789?07c5f>dkc9:;<=8n7c9ahn6789:=m5l4bmi34567>h3i7obd012343gfj2hgg=>?014bfg=edb:;<=>9ab`8fim789:;:ljm;cnh45678?knn6lck123450fnk1i`f>?0125f5d?6c3a?gjl89:;<;l=b:`oo56789?07`5f>dkc9:;<=8m7c9ahn6789:=n5l4bmi34567>k3i7obd012343dfj2hgg=>?014afg=edb:;<=>9bb`8fim789:;:ojm;cnh45678?hnn6lck123450enk1i`f>?0125g5d?6b3a?gjl89:;<;m=b:`oo56789?07a5f>dkc9:;<=8l7c9ahn6789:=o5l4bmi34567>j3i7obd012343efj2hgg=>?014`fg=edb:;<=>9cb`8fim789:;:njm;cnh45678?inn6lck123450dnk1i`f>?0125`5d?6e3a?gjl89:;<;j=b:`oo56789?07f5f>dkc9:;<=8k7c9ahn6789:=h5l4bmi34567>m3i7obd012343bfj2hgg=>?014gfg=edb:;<=>9db`8fim789:;:ijm;cnh45678?nnn6lck123450cnk1i`f>?0125a5d?6d3a?gjl89:;<;k=b:`oo56789?07g5f>dkc9:;<=8j7c9ahn6789:=i5l4bmi34567>l3i7obd012343cfj2hgg=>?014ffg=edb:;<=>9eb`8fim789:;:hjm;cnh45678?onn6lck123450bnk1i`f>?0125b5d?6g3a?gjl89:;<;h=b:`oo56789?07d5f>dkc9:;<=8i7c9ahn6789:=j5l4bmi34567>o3i7obd012343`fj2hgg=>?014efg=edb:;<=>9fb`8fim789:;:kjm;cnh45678?lnn6lck123450ank1i`f>?012445d?713a?gjl89:;<:>=b:`oo56789=;?o5mlj2345608=h0nae?0123353e3kf`<=>?0625f>dkc9:;<=9?7c9ahn6789:<<5l4bmi34567?93i7obd0123426fj2hgg=>?0153fg=edb:;<=>80b`8fim789:;;=jm;cnh45678>:nn6lck1234517nk1i`f>?012455d?703a?gjl89:;<:?=b:`oo56789=:?o5mlj2345609=h0nae?0123343e3kf`<=>?0635f>dkc9:;<=9>7c9ahn6789:<=5l4bmi34567?83i7obd0123427fj2hgg=>?0152fg=edb:;<=>81b`8fim789:;;;nn6lck1234516nk1i`f>?012465d?733a?gjl89:;<:<=b:`oo56789=9?o5mlj234560:=h0nae?0123373e3kf`<=>?0605f>dkc9:;<=9=7c9ahn6789:<>5l4bmi34567?;3i7obd0123424fj2hgg=>?0151fg=edb:;<=>82b`8fim789:;;?jm;cnh45678>8nn6lck1234515nk1i`f>?012475d?723a?gjl89:;<:==b:`oo56789=8?o5mlj234560;=h0nae?0123363e3kf`<=>?0615f>dkc9:;<=9<7c9ahn6789:?0150fg=edb:;<=>83b`8fim789:;;>jm;cnh45678>9nn6lck1234514nk1i`f>?012405d?753a?gjl89:;<::=b:`oo56789=??o5mlj234560<=h0nae?0123313e3kf`<=>?0665f>dkc9:;<=9;7c9ahn6789:<85l4bmi34567?=3i7obd0123422fj2hgg=>?0157fg=edb:;<=>84b`8fim789:;;9jm;cnh45678>>nn6lck1234513nk1i`f>?012415d?743a?gjl89:;<:;=b:`oo56789=>?o5mlj234560==h0nae?0123303e3kf`<=>?0675f>dkc9:;<=9:7c9ahn6789:<95l4bmi34567?<3i7obd0123423fj2hgg=>?0156fg=edb:;<=>85b`8fim789:;;8jm;cnh45678>?nn6lck1234512nk1i`f>?012425d?773a?gjl89:;<:8=b:`oo56789==?o5mlj234560>=h0nae?0123333e3kf`<=>?0645f>dkc9:;<=997c9ahn6789:<:5l4bmi34567??3i7obd0123420fj2hgg=>?0155fg=edb:;<=>86b`8fim789:;;;jm;cnh45678>?012435d?763a?gjl89:;<:9=b:`oo56789=?0655f>dkc9:;<=987c9ahn6789:<;5l4bmi34567?>3i7obd0123421fj2hgg=>?0154fg=edb:;<=>87b`8fim789:;;:jm;cnh45678>=nn6lck1234510nk1i`f>?0124<5d?793a?gjl89:;<:6=b:`oo56789=3?o5mlj2345600=h0nae?01233=3e3kf`<=>?06:5f>dkc9:;<=977c9ahn6789:<45l4bmi34567?13i7obd012342>fj2hgg=>?015;fg=edb:;<=>88b`8fim789:;;5jm;cnh45678>2nn6lck123451?nk1i`f>?0124=5d?783a?gjl89:;<:7=b:`oo56789=2?o5mlj2345601=h0nae?01233<3e3kf`<=>?06;5f>dkc9:;<=967c9ahn6789:<55l4bmi34567?03i7obd012342?fj2hgg=>?015:fg=edb:;<=>89b`8fim789:;;4jm;cnh45678>3nn6lck123451>nk1i`f>?0124e5d?7`3a?gjl89:;<:o=b:`oo56789=j?o5mlj234560i=h0nae?01233d3e3kf`<=>?06c5f>dkc9:;<=9n7c9ahn6789:?015bfg=edb:;<=>8ab`8fim789:;;ljm;cnh45678>knn6lck123451fnk1i`f>?0124f5d?7c3a?gjl89:;<:l=b:`oo56789=i?o5mlj234560j=h0nae?01233g3e3kf`<=>?06`5f>dkc9:;<=9m7c9ahn6789:?015afg=edb:;<=>8bb`8fim789:;;ojm;cnh45678>hnn6lck123451enk1i`f>?0124g5d?7b3a?gjl89:;<:m=b:`oo56789=h?o5mlj234560k=h0nae?01233f3e3kf`<=>?06a5f>dkc9:;<=9l7c9ahn6789:?015`fg=edb:;<=>8cb`8fim789:;;njm;cnh45678>inn6lck123451dnk1i`f>?0124`5d?7e3a?gjl89:;<:j=b:`oo56789=o?o5mlj234560l=h0nae?01233a3e3kf`<=>?06f5f>dkc9:;<=9k7c9ahn6789:?015gfg=edb:;<=>8db`8fim789:;;ijm;cnh45678>nnn6lck123451cnk1i`f>?0124a5d?7d3a?gjl89:;<:k=b:`oo56789=n?o5mlj234560m=h0nae?01233`3e3kf`<=>?06g5f>dkc9:;<=9j7c9ahn6789:?015ffg=edb:;<=>8eb`8fim789:;;hjm;cnh45678>onn6lck123451bnk1i`f>?0124b5d?7g3a?gjl89:;<:h=b:`oo56789=m?o5mlj234560n=h0nae?01233c3e3kf`<=>?06d5f>dkc9:;<=9i7c9ahn6789:?015efg=edb:;<=>8fb`8fim789:;;kjm;cnh45678>lnn6lck123451ank1i`f>?012;45d?813a?gjl89:;<5>=b:`oo567892;?o5mlj23456?8=h0nae?0123<53e3kf`<=>?0925f>dkc9:;<=6?7c9ahn6789:3<5l4bmi34567093i7obd01234=6fj2hgg=>?01:3fg=edb:;<=>70b`8fim789:;4=jm;cnh456781:nn6lck12345>7nk1i`f>?012;55d?803a?gjl89:;<5?=b:`oo567892:?o5mlj23456?9=h0nae?0123<43e3kf`<=>?0935f>dkc9:;<=6>7c9ahn6789:3=5l4bmi34567083i7obd01234=7fj2hgg=>?01:2fg=edb:;<=>71b`8fim789:;46nk1i`f>?012;65d?833a?gjl89:;<5<=b:`oo5678929?o5mlj23456?:=h0nae?0123<73e3kf`<=>?0905f>dkc9:;<=6=7c9ahn6789:3>5l4bmi345670;3i7obd01234=4fj2hgg=>?01:1fg=edb:;<=>72b`8fim789:;4?jm;cnh4567818nn6lck12345>5nk1i`f>?012;75d?823a?gjl89:;<5==b:`oo5678928?o5mlj23456?;=h0nae?0123<63e3kf`<=>?0915f>dkc9:;<=6<7c9ahn6789:3?5l4bmi345670:3i7obd01234=5fj2hgg=>?01:0fg=edb:;<=>73b`8fim789:;4>jm;cnh4567819nn6lck12345>4nk1i`f>?012;05d?853a?gjl89:;<5:=b:`oo567892??o5mlj23456?<=h0nae?0123<13e3kf`<=>?0965f>dkc9:;<=6;7c9ahn6789:385l4bmi345670=3i7obd01234=2fj2hgg=>?01:7fg=edb:;<=>74b`8fim789:;49jm;cnh456781>nn6lck12345>3nk1i`f>?012;15d?843a?gjl89:;<5;=b:`oo567892>?o5mlj23456?==h0nae?0123<03e3kf`<=>?0975f>dkc9:;<=6:7c9ahn6789:395l4bmi345670<3i7obd01234=3fj2hgg=>?01:6fg=edb:;<=>75b`8fim789:;48jm;cnh456781?nn6lck12345>2nk1i`f>?012;25d?873a?gjl89:;<58=b:`oo567892=?o5mlj23456?>=h0nae?0123<33e3kf`<=>?0945f>dkc9:;<=697c9ahn6789:3:5l4bmi345670?3i7obd01234=0fj2hgg=>?01:5fg=edb:;<=>76b`8fim789:;4;jm;cnh4567811nk1i`f>?012;35d?863a?gjl89:;<59=b:`oo567892?0955f>dkc9:;<=687c9ahn6789:3;5l4bmi345670>3i7obd01234=1fj2hgg=>?01:4fg=edb:;<=>77b`8fim789:;4:jm;cnh456781=nn6lck12345>0nk1i`f>?012;<5d?893a?gjl89:;<56=b:`oo5678923?o5mlj23456?0=h0nae?0123<=3e3kf`<=>?09:5f>dkc9:;<=677c9ahn6789:345l4bmi34567013i7obd01234=>fj2hgg=>?01:;fg=edb:;<=>78b`8fim789:;45jm;cnh4567812nn6lck12345>?nk1i`f>?012;=5d?883a?gjl89:;<57=b:`oo5678922?o5mlj23456?1=h0nae?0123<<3e3kf`<=>?09;5f>dkc9:;<=667c9ahn6789:355l4bmi34567003i7obd01234=?fj2hgg=>?01::fg=edb:;<=>79b`8fim789:;44jm;cnh4567813nn6lck12345>>nk1i`f>?012;e5d?8`3a?gjl89:;<5o=b:`oo567892j?o5mlj23456?i=h0nae?0123?09c5f>dkc9:;<=6n7c9ahn6789:3m5l4bmi345670h3i7obd01234=gfj2hgg=>?01:bfg=edb:;<=>7ab`8fim789:;4ljm;cnh456781knn6lck12345>fnk1i`f>?012;f5d?8c3a?gjl89:;<5l=b:`oo567892i?o5mlj23456?j=h0nae?0123?09`5f>dkc9:;<=6m7c9ahn6789:3n5l4bmi345670k3i7obd01234=dfj2hgg=>?01:afg=edb:;<=>7bb`8fim789:;4ojm;cnh456781hnn6lck12345>enk1i`f>?012;g5d?8b3a?gjl89:;<5m=b:`oo567892h?o5mlj23456?k=h0nae?0123?09a5f>dkc9:;<=6l7c9ahn6789:3o5l4bmi345670j3i7obd01234=efj2hgg=>?01:`fg=edb:;<=>7cb`8fim789:;4njm;cnh456781inn6lck12345>dnk1i`f>?012;`5d?8e3a?gjl89:;<5j=b:`oo567892o?o5mlj23456?l=h0nae?0123?09f5f>dkc9:;<=6k7c9ahn6789:3h5l4bmi345670m3i7obd01234=bfj2hgg=>?01:gfg=edb:;<=>7db`8fim789:;4ijm;cnh456781nnn6lck12345>cnk1i`f>?012;a5d?8d3a?gjl89:;<5k=b:`oo567892n?o5mlj23456?m=h0nae?0123<`3e3kf`<=>?09g5f>dkc9:;<=6j7c9ahn6789:3i5l4bmi345670l3i7obd01234=cfj2hgg=>?01:ffg=edb:;<=>7eb`8fim789:;4hjm;cnh456781onn6lck12345>bnk1i`f>?012;b5d?8g3a?gjl89:;<5h=b:`oo567892m?o5mlj23456?n=h0nae?0123?09d5f>dkc9:;<=6i7c9ahn6789:3j5l4bmi345670o3i7obd01234=`fj2hgg=>?01:efg=edb:;<=>7fb`8fim789:;4kjm;cnh456781lnn6lck12345>ank1i`f>?012:45d?913a?gjl89:;<4>=b:`oo567893;?o5mlj23456>8=h0nae?0123=53e3kf`<=>?0825f>dkc9:;<=7?7c9ahn6789:2<5l4bmi34567193i7obd01234<6fj2hgg=>?01;3fg=edb:;<=>60b`8fim789:;5=jm;cnh456780:nn6lck12345?7nk1i`f>?012:55d?903a?gjl89:;<4?=b:`oo567893:?o5mlj23456>9=h0nae?0123=43e3kf`<=>?0835f>dkc9:;<=7>7c9ahn6789:2=5l4bmi34567183i7obd01234<7fj2hgg=>?01;2fg=edb:;<=>61b`8fim789:;5?012:65d?933a?gjl89:;<4<=b:`oo5678939?o5mlj23456>:=h0nae?0123=73e3kf`<=>?0805f>dkc9:;<=7=7c9ahn6789:2>5l4bmi345671;3i7obd01234<4fj2hgg=>?01;1fg=edb:;<=>62b`8fim789:;5?jm;cnh4567808nn6lck12345?5nk1i`f>?012:75d?923a?gjl89:;<4==b:`oo5678938?o5mlj23456>;=h0nae?0123=63e3kf`<=>?0815f>dkc9:;<=7<7c9ahn6789:2?5l4bmi345671:3i7obd01234<5fj2hgg=>?01;0fg=edb:;<=>63b`8fim789:;5>jm;cnh4567809nn6lck12345?4nk1i`f>?012:05d?953a?gjl89:;<4:=b:`oo567893??o5mlj23456><=h0nae?0123=13e3kf`<=>?0865f>dkc9:;<=7;7c9ahn6789:285l4bmi345671=3i7obd01234<2fj2hgg=>?01;7fg=edb:;<=>64b`8fim789:;59jm;cnh456780>nn6lck12345?3nk1i`f>?012:15d?943a?gjl89:;<4;=b:`oo567893>?o5mlj23456>==h0nae?0123=03e3kf`<=>?0875f>dkc9:;<=7:7c9ahn6789:295l4bmi345671<3i7obd01234<3fj2hgg=>?01;6fg=edb:;<=>65b`8fim789:;58jm;cnh456780?nn6lck12345?2nk1i`f>?012:25d?973a?gjl89:;<48=b:`oo567893=?o5mlj23456>>=h0nae?0123=33e3kf`<=>?0845f>dkc9:;<=797c9ahn6789:2:5l4bmi345671?3i7obd01234<0fj2hgg=>?01;5fg=edb:;<=>66b`8fim789:;5;jm;cnh456780?012:35d?963a?gjl89:;<49=b:`oo567893?=h0nae?0123=23e3kf`<=>?0855f>dkc9:;<=787c9ahn6789:2;5l4bmi345671>3i7obd01234<1fj2hgg=>?01;4fg=edb:;<=>67b`8fim789:;5:jm;cnh456780=nn6lck12345?0nk1i`f>?012:<5d?993a?gjl89:;<46=b:`oo5678933?o5mlj23456>0=h0nae?0123==3e3kf`<=>?08:5f>dkc9:;<=777c9ahn6789:245l4bmi34567113i7obd01234<>fj2hgg=>?01;;fg=edb:;<=>68b`8fim789:;55jm;cnh4567802nn6lck12345??nk1i`f>?012:=5d?983a?gjl89:;<47=b:`oo5678932?o5mlj23456>1=h0nae?0123=<3e3kf`<=>?08;5f>dkc9:;<=767c9ahn6789:255l4bmi34567103i7obd01234?01;:fg=edb:;<=>69b`8fim789:;54jm;cnh4567803nn6lck12345?>nk1i`f>?012:e5d?9`3a?gjl89:;<4o=b:`oo567893j?o5mlj23456>i=h0nae?0123=d3e3kf`<=>?08c5f>dkc9:;<=7n7c9ahn6789:2m5l4bmi345671h3i7obd01234?01;bfg=edb:;<=>6ab`8fim789:;5ljm;cnh456780knn6lck12345?fnk1i`f>?012:f5d?9c3a?gjl89:;<4l=b:`oo567893i?o5mlj23456>j=h0nae?0123=g3e3kf`<=>?08`5f>dkc9:;<=7m7c9ahn6789:2n5l4bmi345671k3i7obd01234?01;afg=edb:;<=>6bb`8fim789:;5ojm;cnh456780hnn6lck12345?enk1i`f>?012:g5d?9b3a?gjl89:;<4m=b:`oo567893h?o5mlj23456>k=h0nae?0123=f3e3kf`<=>?08a5f>dkc9:;<=7l7c9ahn6789:2o5l4bmi345671j3i7obd01234?01;`fg=edb:;<=>6cb`8fim789:;5njm;cnh456780inn6lck12345?dnk1i`f>?012:`5d?9e3a?gjl89:;<4j=b:`oo567893o?o5mlj23456>l=h0nae?0123=a3e3kf`<=>?08f5f>dkc9:;<=7k7c9ahn6789:2h5l4bmi345671m3i7obd01234?01;gfg=edb:;<=>6db`8fim789:;5ijm;cnh456780nnn6lck12345?cnk1i`f>?012:a5d?9d3a?gjl89:;<4k=b:`oo567893n?o5mlj23456>m=h0nae?0123=`3e3kf`<=>?08g5f>dkc9:;<=7j7c9ahn6789:2i5l4bmi345671l3i7obd01234?01;ffg=edb:;<=>6eb`8fim789:;5hjm;cnh456780onn6lck12345?bnk1i`f>?012:b5d?9g3a?gjl89:;<4h=b:`oo567893m?o5mlj23456>n=h0nae?0123=c3e3kf`<=>?08d5f>dkc9:;<=7i7c9ahn6789:2j5l4bmi345671o3i7obd01234<`fj2hgg=>?01;efg=edb:;<=>6fb`8fim789:;5kjm;cnh456780lnn6lck12345?ank1i`f>?012b45d?a13a?gjl89:;=b:`oo56789k;?o5mlj23456f8=h0nae?0123e53e3kf`<=>?0`25f>dkc9:;<=o?7c9ahn6789:j<5l4bmi34567i93i7obd01234d6fj2hgg=>?01c3fg=edb:;<=>n0b`8fim789:;m=jm;cnh45678h:nn6lck12345g7nk1i`f>?012b55d?a03a?gjl89:;?0`35f>dkc9:;<=o>7c9ahn6789:j=5l4bmi34567i83i7obd01234d7fj2hgg=>?01c2fg=edb:;<=>n1b`8fim789:;m?012b65d?a33a?gjl89:;?0`05f>dkc9:;<=o=7c9ahn6789:j>5l4bmi34567i;3i7obd01234d4fj2hgg=>?01c1fg=edb:;<=>n2b`8fim789:;m?jm;cnh45678h8nn6lck12345g5nk1i`f>?012b75d?a23a?gjl89:;?0`15f>dkc9:;<=o<7c9ahn6789:j?5l4bmi34567i:3i7obd01234d5fj2hgg=>?01c0fg=edb:;<=>n3b`8fim789:;m>jm;cnh45678h9nn6lck12345g4nk1i`f>?012b05d?a53a?gjl89:;?0`65f>dkc9:;<=o;7c9ahn6789:j85l4bmi34567i=3i7obd01234d2fj2hgg=>?01c7fg=edb:;<=>n4b`8fim789:;m9jm;cnh45678h>nn6lck12345g3nk1i`f>?012b15d?a43a?gjl89:;?o5mlj23456f==h0nae?0123e03e3kf`<=>?0`75f>dkc9:;<=o:7c9ahn6789:j95l4bmi34567i<3i7obd01234d3fj2hgg=>?01c6fg=edb:;<=>n5b`8fim789:;m8jm;cnh45678h?nn6lck12345g2nk1i`f>?012b25d?a73a?gjl89:;=h0nae?0123e33e3kf`<=>?0`45f>dkc9:;<=o97c9ahn6789:j:5l4bmi34567i?3i7obd01234d0fj2hgg=>?01c5fg=edb:;<=>n6b`8fim789:;m;jm;cnh45678h?012b35d?a63a?gjl89:;?0`55f>dkc9:;<=o87c9ahn6789:j;5l4bmi34567i>3i7obd01234d1fj2hgg=>?01c4fg=edb:;<=>n7b`8fim789:;m:jm;cnh45678h=nn6lck12345g0nk1i`f>?012b<5d?a93a?gjl89:;?0`:5f>dkc9:;<=o77c9ahn6789:j45l4bmi34567i13i7obd01234d>fj2hgg=>?01c;fg=edb:;<=>n8b`8fim789:;m5jm;cnh45678h2nn6lck12345g?nk1i`f>?012b=5d?a83a?gjl89:;?0`;5f>dkc9:;<=o67c9ahn6789:j55l4bmi34567i03i7obd01234d?fj2hgg=>?01c:fg=edb:;<=>n9b`8fim789:;m4jm;cnh45678h3nn6lck12345g>nk1i`f>?012be5d?a`3a?gjl89:;?0`c5f>dkc9:;<=on7c9ahn6789:jm5l4bmi34567ih3i7obd01234dgfj2hgg=>?01cbfg=edb:;<=>nab`8fim789:;mljm;cnh45678hknn6lck12345gfnk1i`f>?012bf5d?ac3a?gjl89:;?0``5f>dkc9:;<=om7c9ahn6789:jn5l4bmi34567ik3i7obd01234ddfj2hgg=>?01cafg=edb:;<=>nbb`8fim789:;mojm;cnh45678hhnn6lck12345genk1i`f>?012bg5d?ab3a?gjl89:;?0`a5f>dkc9:;<=ol7c9ahn6789:jo5l4bmi34567ij3i7obd01234defj2hgg=>?01c`fg=edb:;<=>ncb`8fim789:;mnjm;cnh45678hinn6lck12345gdnk1i`f>?012b`5d?ae3a?gjl89:;?0`f5f>dkc9:;<=ok7c9ahn6789:jh5l4bmi34567im3i7obd01234dbfj2hgg=>?01cgfg=edb:;<=>ndb`8fim789:;mijm;cnh45678hnnn6lck12345gcnk1i`f>?012ba5d?ad3a?gjl89:;?0`g5f>dkc9:;<=oj7c9ahn6789:ji5l4bmi34567il3i7obd01234dcfj2hgg=>?01cffg=edb:;<=>neb`8fim789:;mhjm;cnh45678honn6lck12345gbnk1i`f>?012bb5d?ag3a?gjl89:;?0`d5f>dkc9:;<=oi7c9ahn6789:jj5l4bmi34567io3i7obd01234d`fj2hgg=>?01cefg=edb:;<=>nfb`8fim789:;mkjm;cnh45678hlnn6lck12345gank1i`f>?012a45d?b13a?gjl89:;=b:`oo56789h;?o5mlj23456e8=h0nae?0123f53e3kf`<=>?0c25f>dkc9:;<=l?7c9ahn6789:i<5l4bmi34567j93i7obd01234g6fj2hgg=>?01`3fg=edb:;<=>m0b`8fim789:;n=jm;cnh45678k:nn6lck12345d7nk1i`f>?012a55d?b03a?gjl89:;?0c35f>dkc9:;<=l>7c9ahn6789:i=5l4bmi34567j83i7obd01234g7fj2hgg=>?01`2fg=edb:;<=>m1b`8fim789:;n?012a65d?b33a?gjl89:;?0c05f>dkc9:;<=l=7c9ahn6789:i>5l4bmi34567j;3i7obd01234g4fj2hgg=>?01`1fg=edb:;<=>m2b`8fim789:;n?jm;cnh45678k8nn6lck12345d5nk1i`f>?012a75d?b23a?gjl89:;?0c15f>dkc9:;<=l<7c9ahn6789:i?5l4bmi34567j:3i7obd01234g5fj2hgg=>?01`0fg=edb:;<=>m3b`8fim789:;n>jm;cnh45678k9nn6lck12345d4nk1i`f>?012a05d?b53a?gjl89:;?0c65f>dkc9:;<=l;7c9ahn6789:i85l4bmi34567j=3i7obd01234g2fj2hgg=>?01`7fg=edb:;<=>m4b`8fim789:;n9jm;cnh45678k>nn6lck12345d3nk1i`f>?012a15d?b43a?gjl89:;?o5mlj23456e==h0nae?0123f03e3kf`<=>?0c75f>dkc9:;<=l:7c9ahn6789:i95l4bmi34567j<3i7obd01234g3fj2hgg=>?01`6fg=edb:;<=>m5b`8fim789:;n8jm;cnh45678k?nn6lck12345d2nk1i`f>?012a25d?b73a?gjl89:;=h0nae?0123f33e3kf`<=>?0c45f>dkc9:;<=l97c9ahn6789:i:5l4bmi34567j?3i7obd01234g0fj2hgg=>?01`5fg=edb:;<=>m6b`8fim789:;n;jm;cnh45678k?012a35d?b63a?gjl89:;?0c55f>dkc9:;<=l87c9ahn6789:i;5l4bmi34567j>3i7obd01234g1fj2hgg=>?01`4fg=edb:;<=>m7b`8fim789:;n:jm;cnh45678k=nn6lck12345d0nk1i`f>?012a<5d?b93a?gjl89:;?0c:5f>dkc9:;<=l77c9ahn6789:i45l4bmi34567j13i7obd01234g>fj2hgg=>?01`;fg=edb:;<=>m8b`8fim789:;n5jm;cnh45678k2nn6lck12345d?nk1i`f>?012a=5d?b83a?gjl89:;?0c;5f>dkc9:;<=l67c9ahn6789:i55l4bmi34567j03i7obd01234g?fj2hgg=>?01`:fg=edb:;<=>m9b`8fim789:;n4jm;cnh45678k3nn6lck12345d>nk1i`f>?012ae5d?b`3a?gjl89:;?0cc5f>dkc9:;<=ln7c9ahn6789:im5l4bmi34567jh3i7obd01234ggfj2hgg=>?01`bfg=edb:;<=>mab`8fim789:;nljm;cnh45678kknn6lck12345dfnk1i`f>?012af5d?bc3a?gjl89:;?0c`5f>dkc9:;<=lm7c9ahn6789:in5l4bmi34567jk3i7obd01234gdfj2hgg=>?01`afg=edb:;<=>mbb`8fim789:;nojm;cnh45678khnn6lck12345denk1i`f>?012ag5d?bb3a?gjl89:;?0ca5f>dkc9:;<=ll7c9ahn6789:io5l4bmi34567jj3i7obd01234gefj2hgg=>?01``fg=edb:;<=>mcb`8fim789:;nnjm;cnh45678kinn6lck12345ddnk1i`f>?012a`5d?be3a?gjl89:;?0cf5f>dkc9:;<=lk7c9ahn6789:ih5l4bmi34567jm3i7obd01234gbfj2hgg=>?01`gfg=edb:;<=>mdb`8fim789:;nijm;cnh45678knnn6lck12345dcnk1i`f>?012aa5d?bd3a?gjl89:;?0cg5f>dkc9:;<=lj7c9ahn6789:ii5l4bmi34567jl3i7obd01234gcfj2hgg=>?01`ffg=edb:;<=>meb`8fim789:;nhjm;cnh45678konn6lck12345dbnk1i`f>?012ab5d?bg3a?gjl89:;?0cd5f>dkc9:;<=li7c9ahn6789:ij5l4bmi34567jo3i7obd01234g`fj2hgg=>?01`efg=edb:;<=>mfb`8fim789:;nkjm;cnh45678klnn6lck12345dank1i`f>?012`45d?c13a?gjl89:;=b:`oo56789i;?o5mlj23456d8=h0nae?0123g53e3kf`<=>?0b25f>dkc9:;<=m?7c9ahn6789:h<5l4bmi34567k93i7obd01234f6fj2hgg=>?01a3fg=edb:;<=>l0b`8fim789:;o=jm;cnh45678j:nn6lck12345e7nk1i`f>?012`55d?c03a?gjl89:;3>5843jf`n6m`eg]gmk.7!k1hchhPdhl+5,eehmoUoec&>1(a8gjcaWmce$<<&c:alacYcag":?$m4cnge[aoi 8>"o6m`eg]gmk.6= i0obki_ekm,40.k2idikQkio*23,dehmoUoec&7)c9`k``Xl`d#5$l4cnge[aoi494h7najf^fjj9776j1hchhPdhl?548d3jenjRjfn=31:f=dgllThd`312<`?fibnVnbb1?;>b9`k``Xl`d7=80l;bmfbZbnf5;=2h5lodd\`lh;9>0;2n5lodd\`lh;9>4i7najf^fjj979j2idikQkio>1:g=dgllThd`33?`8gjcaWmce090m;bmfbZbnf5?5n6m`eg]gmk:16k1hchhPdhl?3;d1oec&>7(58`lh/91#<7iga(0;*2>bnf!8";6jfn)03-2=cag"9=$94dhl+67/03mce$?=&6:fjj-5.>2nbb%:&6:fjj-3.>2nbb%8&6:fjj-1.>2nbb%6&6:fjj-?.12nbb1<<:1<;?aoiW8";%55kio]2,4/>3mceS<&>0(;8`lhX9!;:%45kio]2,44.12nbbR?'12+:?aoiW8":8$74dhl\5-72!01oecQ>(04*=>bnfV;#=:'6;ekm[4.60 30hd`P1)3:-==cagU:$?'6;ekm[4.58 30hd`P1)02-<=cagU:$?<&9:fjjZ7/::#37iga_0*0-==cagU:$9'7;ekm[4.2!11oecQ>(7+;?aoiW8"<%55kio]2,=/?3mceS<&6)89gmkY68!:"56jfn^33,4/f3mceS<>'11+b?aoiW8:#=<'n;ekm[46/9;#j7iga_02+56/f3mceS<>'15+b?aoiW8:#=8'n;ekm[46/9?#j7iga_02+52/f3mceS<>'19+b?aoiW8:#=4'6;ekm[46/: k0hd`P11*14,g$o4dhl\55.5; 30hd`P11*0-<=cagU:<%:&9:fjjZ77 <#27iga_02+2,?32283:<=cagU:=%>&9:fjjZ76 8#j7iga_03+55/f3mceS#j7iga_03+5=/f3mceS$o4dhl\54.58 k0hd`P10*15,g1)6*=>bnfV;:$8'6;ekm[47/> 30hd`P10*4-<=cagU:=%6&9:fjjZ76 0#h7iga_03?66<7601oecQ>2)2*=>bnfV;9$<'n;ekm[44/99#j7iga_00+54/f3mceS<<'13+b?aoiW88#=>'n;ekm[44/9=#j7iga_00+50/f3mceS<<'17+b?aoiW88#=:'n;ekm[44/91#j7iga_00+53mceS<<'2(c8`lhX9;"9<$o4dhl\57.59 k0hd`P13*16,g2):*=>bnfV;9$4'l;ekm[44;::0;245kio]27-6.12nbbR?<(0+b?aoiW89#=='n;ekm[45/98#j7iga_01+57/f3mceS<='12+b?aoiW89#=9'n;ekm[45/9<#j7iga_01+53/f3mceS<='16+b?aoiW89#=5'n;ekm[45/90#27iga_01+6,g&=0(c8`lhX9:"9=$o4dhl\56.5: k0hd`P12*17,?&<)89gmkY6;!>"56jfn^30,0/>3mceS<='6(;8`lhX9:"<%45kio]27->.12nbbR?<(8+`?aoiW897>>4?>89gmkY6#=<'n;ekm[42/9;#j7iga_06+56/f3mceS<:'15+b?aoiW8>#=8'n;ekm[42/9?#j7iga_06+52/f3mceS<:'19+b?aoiW8>#=4'6;ekm[42/: k0hd`P15*14,g$o4dhl\51.5; 30hd`P15*0-<=cagU:8%:&9:fjjZ73 <#27iga_06+2,?&9:fjjZ72 8#j7iga_07+55/f3mceS<;'10+b?aoiW8?#=?'n;ekm[43/9:#j7iga_07+51/f3mceS<;'14+b?aoiW8?#=;'n;ekm[43/9>#j7iga_07+5=/f3mceS<;'18+:?aoiW8?#>$o4dhl\50.58 k0hd`P14*15,g5)6*=>bnfV;>$8'6;ekm[43/> 30hd`P14*4-<=cagU:9%6&9:fjjZ72 0#h7iga_07?66<7601oecQ>6)2*=>bnfV;=$<'n;ekm[40/99#j7iga_04+54/f3mceS<8'13+b?aoiW8<#=>'n;ekm[40/9=#j7iga_04+50/f3mceS<8'17+b?aoiW8<#=:'n;ekm[40/91#j7iga_04+53mceS<8'2(c8`lhX9?"9<$o4dhl\53.59 k0hd`P17*16,g6):*=>bnfV;=$4'l;ekm[40;::0;255kio]2858>3mceS<2>0?;8`lhX95;:245kio]2844912nbbR?312<:?aoiW86:8374dhl\5972601oecQ><04==>bnfV;7=:06;ekm[4:60730hd`P1=3::==cagU:0<06;ekm[4:58730hd`P1=02:<=cagU:0?<1b:fjjZ7;::0;245kio]2875902nbbR?32?:8`lhX959546jfn^3?0;>720hd`P1=5=<>bnfV;74364dhl\59?902nbbR<'0(:8`lhX:!;"56jfn^0+55/>3mceS?&>1(;8`lhX:!;9%45kio]1,45.12nbbR<'15+:?aoiW;":9$74dhl\6-71!01oecQ=(05*=>bnfV8#=5'6;ekm[7.61 20hd`P2)0*=>bnfV8#>='6;ekm[7.59 30hd`P2)01-<=cagU9$?=&8:fjjZ4/; 20hd`P2)6*<>bnfV8#9$64dhl\6-0.02nbbR<'7(:8`lhX:!2"46jfn^0+=,>1>19:fjjZ4;99427iga_3>25;?1?=>89gmkY5489556jfn^0?518>3mceS?2>5?;8`lhX:5;=245kio]1841912nbbR<319<:?aoiW;6:5364dhl\697912nbbR<321<:?aoiW;69=374dhl\69456k1oecQ=<3194;?1<<>99gmkY54;437iga_3>0:==cagU90907;ekm[7:2611oecQ=<7<;?aoiW;6<255kio]18=8?3mceS?26>99gmkY4 9#37iga_2*2-<=cagU8$<>&9:fjjZ5/98#27iga_2*26,?"56jfn^1+50/>3mceS>&>6(;8`lhX;!;<%45kio]0,4>.12nbbR='18+;?aoiW:"9%45kio]0,76.12nbbR='20+:?aoiW:"9>$74dhl\7-44!11oecQ<(2+;?aoiW:"?%55kio]0,0/?3mceS>&9)99gmkY4 >#37iga_2*;-==cagU8$4'm;ekm[6:5;3:546jfn^6+4,>3mceS9&>5(;8`lhXbnfV>#?$64dhl\0-2.02nbbR:'5(:8`lhX58?3mceS8&?)99gmkY2 8#27iga_4*24,?)89gmkY2 88"56jfn^7+56/>3mceS8&>4(;8`lhX=!;>%45kio]6,40.12nbbR;'16+:?aoiW<":4$74dhl\1-7>!11oecQ:(3+:?aoiW<"9<$74dhl\1-46!01oecQ:(30*=>bnfV?#>>'7;ekm[0.4!11oecQ:(5+;?aoiW<">%55kio]6,3/?3mceS8&8)99gmkY2 1#37iga_4*:-g=cagU>0?=50?:8`lhX>!:"46jfn^4+5,?3mceS;&>3(;8`lhX>!;?%45kio]5,43.12nbbR8'17+:?aoiW?":;$74dhl\2-7?!01oecQ9(0;*<>bnfV<#>$74dhl\2-47!01oecQ9(33*=>bnfV<#>?'6;ekm[3.5; 20hd`P6)1*<>bnfV<#8$64dhl\2-3.02nbbR8'6(:8`lhX>!="46jfn^4+<,>)89gmkY0 8:"56jfn^5+54/>3mceS:&>2(;8`lhX?!;8%45kio]4,42.12nbbR9'14+:?aoiW>"::$74dhl\3-70!01oecQ8(0:*=>bnfV=#=4'7;ekm[2.5!01oecQ8(32*=>bnfV=#><'6;ekm[2.5: 30hd`P7)00-==cagU<$>'7;ekm[2.3!11oecQ8(4+;?aoiW>"=%55kio]4,2/?3mceS:&7)99gmkY0 0#i7iga_6>17?6902nbbR6'0(:8`lhX0!;"56jfn^:+55/>3mceS5&>1(;8`lhX0!;9%45kio];,45.12nbbR6'15+:?aoiW1":9$74dhl\<-71!01oecQ7(05*=>bnfV2#=5'6;ekm[=.61 20hd`P8)0*=>bnfV2#>='6;ekm[=.59 30hd`P8)01-<=cagU3$?=&8:fjjZ>/; 20hd`P8)6*<>bnfV2#9$64dhl\<-0.02nbbR6'7(:8`lhX0!2"46jfn^:+=,d3mceS4&>0(;8`lhX1!;:%45kio]:,44.12nbbR7'12+:?aoiW0":8$74dhl\=-72!01oecQ6(04*=>bnfV3#=:'6;ekm[<.60 30hd`P9)3:-==cagU2$?'6;ekm[<.58 30hd`P9)02-<=cagU2$?<&9:fjjZ?/::#37iga_8*0-==cagU2$9'7;ekm[<.2!11oecQ6(7+;?aoiW0"<%55kio]:,=/?3mceS4&6)c9gmkY>4;91<394dnww,5/03me~x%?&8:flqq.68 20hb{{(03*<>bh}}":>$64dnww,45.02ndyy&>4(:8`jss 8?"46j`uu*22,>bh}}"9%55kotv+65/?3me~x%<>)99gkpr/:;#37iazt)00-==cg|~#>9'7;emvp-42!11ocxz'27+;?air|!8<%55kotv+6=/?3me~x%<6)69gkpr/; 20hb{{(22*<>bh}}"8=$64dnww,64.02ndyy&<3(:8`jss :>"46j`uu*01,>8&8:flqq.4? 20hb{{(2:*<>bh}}"85$94dnww,1/?3me~x%:?)99gkpr/<8#37iazt)61-==cg|~#8>'7;emvp-23!11ocxz'44+;?air|!>=%:5kotv+1,1(03*e>bh}}U:$<<&a:flqqY6 89"m6j`uu]2,42.i2ndyyQ>(07*e>bh}}U:$<8&a:flqqY6 8="m6j`uu]2,4>.i2ndyyQ>(0;*=>bh}}U:$?'n;emvpZ7/:9#j7iazt^3+64/f3me~xR?'23+b?air|V;#>>'n;emvpZ7/:=#j7iazt^3+60/f3me~xR?'27+b?air|V;#>:'n;emvpZ7/:1#j7iazt^3+63me~xR?'3(c8`jssW8"8<$o4dnww[4.49 k0hb{{_0*06,g:%l5kotv\5-25!h1ocxzP1)60-d=cg|~T=%:;)`9gkprX9!>>%l5kotv\5-21!01ocxzP1)7*=>bh}}U:$;'6;emvpZ7/? 30hb{{_0*;-<=cg|~T=%7&a:flqqY68!:"m6j`uu]24-7.j2ndyyQ>0)33-g=cg|~T==&>1(`8`jssW8:#=?'m;emvpZ77 89"n6j`uu]24-73!k1ocxzP11*21,d'17+a?air|V;;$<9&b:flqqY68!;3%o5kotv\55.61 k0hb{{_02+6,d'21+a?air|V;;$??&b:flqqY68!89%o5kotv\55.5; h0hb{{_02+61/e3me~xR??(37*f>bh}}U:<%<9)c9gkprX99"9;$l4dnww[46/:1#i7iazt^33,7?.i2ndyyQ>0)1*f>bh}}U:<%=?)c9gkprX99"8=$l4dnww[46/;;#i7iazt^33,65.j2ndyyQ>0)17-g=cg|~T==&<5(`8`jssW8:#?;'m;emvpZ77 :="n6j`uu]24-5?!k1ocxzP11*0=,g'4(`8`jssW8:#8='m;emvpZ77 =;"n6j`uu]24-25!k1ocxzP11*77,d'45+a?air|V;;$9;&b:flqqY68!>=%l5kotv\55.2!h1ocxzP11*5-d=cg|~T==&8)`9gkprX99"3%l5kotv\55.>!m1ocxzP11>72?69i2ndyyQ>1)2*e>bh}}U:=%?&b:flqqY69!;;%o5kotv\54.69 h0hb{{_03+57/e3me~xR?>(01*f>bh}}U:=%?;)c9gkprX98":9$l4dnww[47/9?#i7iazt^32,41.j2ndyyQ>1)3;-g=cg|~T=<&>9(c8`jssW8;#>$l4dnww[47/:9#i7iazt^32,77.j2ndyyQ>1)01-g=cg|~T=<&=3(`8`jssW8;#>9'm;emvpZ76 ;?"n6j`uu]25-41!k1ocxzP10*13,d=&b:flqqY69!9?%o5kotv\54.4= h0hb{{_03+73/e3me~xR?>(25*f>bh}}U:=%=7)c9gkprX98"85$o4dnww[47/< h0hb{{_03+05/e3me~xR?>(53*f>bh}}U:=%:=)c9gkprX98"??$l4dnww[47/<=#i7iazt^32,13.j2ndyyQ>1)65-d=cg|~T=<&:)`9gkprX98"=%l5kotv\54.0!h1ocxzP10*;-d=cg|~T=<&6)e9gkprX986?:7>1a:flqqY6:!:"m6j`uu]26-7.j2ndyyQ>2)33-g=cg|~T=?&>1(`8`jssW88#=?'m;emvpZ75 89"n6j`uu]26-73!k1ocxzP13*21,dbh}}U:>%<9)c9gkprX9;"9;$l4dnww[44/:1#i7iazt^31,7?.i2ndyyQ>2)1*f>bh}}U:>%=?)c9gkprX9;"8=$l4dnww[44/;;#i7iazt^31,65.j2ndyyQ>2)17-g=cg|~T=?&<5(`8`jssW88#?;'m;emvpZ75 :="n6j`uu]26-5?!k1ocxzP13*0=,g=%l5kotv\57.2!h1ocxzP13*5-d=cg|~T=?&8)`9gkprX9;"3%l5kotv\57.>!m1ocxzP13>72?69i2ndyyQ>3)2*e>bh}}U:?%?&b:flqqY6;!;;%o5kotv\56.69 h0hb{{_01+57/e3me~xR?<(01*f>bh}}U:?%?;)c9gkprX9:":9$l4dnww[45/9?#i7iazt^30,41.j2ndyyQ>3)3;-g=cg|~T=>&>9(c8`jssW89#>$l4dnww[45/:9#i7iazt^30,77.j2ndyyQ>3)01-g=cg|~T=>&=3(`8`jssW89#>9'm;emvpZ74 ;?"n6j`uu]27-41!k1ocxzP12*13,d=&b:flqqY6;!9?%o5kotv\56.4= h0hb{{_01+73/e3me~xR?<(25*f>bh}}U:?%=7)c9gkprX9:"85$o4dnww[45/< h0hb{{_01+05/e3me~xR?<(53*f>bh}}U:?%:=)c9gkprX9:"??$l4dnww[45/<=#i7iazt^30,13.j2ndyyQ>3)65-d=cg|~T=>&:)`9gkprX9:"=%l5kotv\56.0!h1ocxzP12*;-d=cg|~T=>&6)e9gkprX9:6?:7>1a:flqqY64)33-g=cg|~T=9&>1(`8`jssW8>#=?'m;emvpZ73 89"n6j`uu]20-73!k1ocxzP15*21,dbh}}U:8%<9)c9gkprX9="9;$l4dnww[42/:1#i7iazt^37,7?.i2ndyyQ>4)1*f>bh}}U:8%=?)c9gkprX9="8=$l4dnww[42/;;#i7iazt^37,65.j2ndyyQ>4)17-g=cg|~T=9&<5(`8`jssW8>#?;'m;emvpZ73 :="n6j`uu]20-5?!k1ocxzP15*0=,g#8='m;emvpZ73 =;"n6j`uu]20-25!k1ocxzP15*77,d=%l5kotv\51.2!h1ocxzP15*5-d=cg|~T=9&8)`9gkprX9="3%l5kotv\51.>!m1ocxzP15>72?69i2ndyyQ>5)2*e>bh}}U:9%?&b:flqqY6=!;;%o5kotv\50.69 h0hb{{_07+57/e3me~xR?:(01*f>bh}}U:9%?;)c9gkprX9<":9$l4dnww[43/9?#i7iazt^36,41.j2ndyyQ>5)3;-g=cg|~T=8&>9(c8`jssW8?#>$l4dnww[43/:9#i7iazt^36,77.j2ndyyQ>5)01-g=cg|~T=8&=3(`8`jssW8?#>9'm;emvpZ72 ;?"n6j`uu]21-41!k1ocxzP14*13,d$?7&a:flqqY6=!9"n6j`uu]21-57!k1ocxzP14*05,d$>=&b:flqqY6=!9?%o5kotv\50.4= h0hb{{_07+73/e3me~xR?:(25*f>bh}}U:9%=7)c9gkprX9<"85$o4dnww[43/< h0hb{{_07+05/e3me~xR?:(53*f>bh}}U:9%:=)c9gkprX9<"??$l4dnww[43/<=#i7iazt^36,13.j2ndyyQ>5)65-d=cg|~T=8&:)`9gkprX9<"=%l5kotv\50.0!h1ocxzP14*;-d=cg|~T=8&6)e9gkprX9<6?:7>1a:flqqY6>!:"m6j`uu]22-7.j2ndyyQ>6)33-g=cg|~T=;&>1(`8`jssW8<#=?'m;emvpZ71 89"n6j`uu]22-73!k1ocxzP17*21,d!;3%o5kotv\53.61 k0hb{{_04+6,d!89%o5kotv\53.5; h0hb{{_04+61/e3me~xR?9(37*f>bh}}U::%<9)c9gkprX9?"9;$l4dnww[40/:1#i7iazt^35,7?.i2ndyyQ>6)1*f>bh}}U::%=?)c9gkprX9?"8=$l4dnww[40/;;#i7iazt^35,65.j2ndyyQ>6)17-g=cg|~T=;&<5(`8`jssW8<#?;'m;emvpZ71 :="n6j`uu]22-5?!k1ocxzP17*0=,g!>=%l5kotv\53.2!h1ocxzP17*5-d=cg|~T=;&8)`9gkprX9?"3%l5kotv\53.>!m1ocxzP17>72?6912ndyyQ><117;g7k0hb{{_0>13;g>`9gkprX95992l5kotv\59546h1ocxzP1=17:d=cg|~T=1=:>`9gkprX959=2l5kotv\59506h1ocxzP1=1;:d=cg|~T=1=6>89gkprX9595m6j`uu]28169i2ndyyQ><53=e>bh}}U:09<1a:flqqY64=95m6j`uu]28129i2ndyyQ><57=g>bh}}U:09850?c8`jssW86?:374dnww[4:3601ocxzP1=7==>bh}}U:0;06;emvpZ7;?730hb{{_0>;:<=cg|~T=1719:flqqY5 9#27iazt^0+5,g0(c8`jssW;":=$o4dnww[7.6: k0hb{{_3*27,g4(c8`jssW;":9$o4dnww[7.6> k0hb{{_3*23,g8(c8`jssW;":5$74dnww[7.5!h1ocxzP2)03-d=cg|~T>%<>)`9gkprX:!89%l5kotv\6-44!h1ocxzP2)07-d=cg|~T>%<:)`9gkprX:!8=%l5kotv\6-40!h1ocxzP2)0;-d=cg|~T>%<6)89gkprX:!9"m6j`uu]1,66.i2ndyyQ=(23*e>bh}}U9$><&a:flqqY5 :9"m6j`uu]1,62.i2ndyyQ=(27*e>bh}}U9$>8&a:flqqY5 :="m6j`uu]1,6>.i2ndyyQ=(2;*=>bh}}U9$9'n;emvpZ4/<9#j7iazt^0+04/f3me~xR<'43+b?air|V8#8>'n;emvpZ4/<=#j7iazt^0+00/f3me~xR<'47+:?air|V8#9$74dnww[7.1!01ocxzP2)5*=>bh}}U9$5'6;emvpZ4/1 30hb{{_3>3:d=cg|~T>1??>`9gkprX:5;:2l5kotv\69756h1ocxzP2=30:d=cg|~T>1?;>`9gkprX:5;>2l5kotv\69716h1ocxzP2=34:d=cg|~T>1?7>`9gkprX:5;2245kotv\6979i2ndyyQ=<32=e>bh}}U90??1a:flqqY54;85m6j`uu]18759i2ndyyQ=<36=e>bh}}U90?;1a:flqqY54;<5m6j`uu]18719i2ndyyQ=<3:=e>bh}}U90?719:flqqY54;4j7iazt^0?758f3me~xR<3304j7iazt^0?7=8f3me~xR<338<:?air|V87?3o4dnww[7:387k0hb{{_3>75;g71;ebh}}U909819:flqqY54=427iazt^0?1;?89gkprX:5=556j`uu]18=8>3me~xR<39?;8`jssW:";%45kotv\7-7.i2ndyyQ<(02*e>bh}}U8$bh}}U8$<;&a:flqqY4 8<"m6j`uu]0,41.i2ndyyQ<(0:*e>bh}}U8$<7&9:flqqY4 ;#j7iazt^1+65/f3me~xR='20+b?air|V9#>?'n;emvpZ5/::#j7iazt^1+61/f3me~xR='24+b?air|V9#>;'n;emvpZ5/:>#j7iazt^1+6=/f3me~xR='28+:?air|V9#?$o4dnww[6.48 k0hb{{_2*05,g&<2(c8`jssW:"8?$o4dnww[6.4< k0hb{{_2*01,g&<6(c8`jssW:"8;$o4dnww[6.40 k0hb{{_2*0=,?&;)`9gkprX;!>;%l5kotv\7-26!h1ocxzP3)61-d=cg|~T?%:<)`9gkprX;!>?%l5kotv\7-22!h1ocxzP3)65-<=cg|~T?%;&9:flqqY4 ?#27iazt^1+3,?&7)89gkprX;!3"o6j`uu]0810=8730hb{{_5*3-<=cg|~T8%?&a:flqqY3 8:"m6j`uu]7,47.i2ndyyQ;(00*e>bh}}U?$<=&a:flqqY3 8>"m6j`uu]7,43.i2ndyyQ;(04*e>bh}}U?$<9&a:flqqY3 82"m6j`uu]7,4?.12ndyyQ;(3+b?air|V>#>='n;emvpZ2/:8#j7iazt^6+67/f3me~xR:'22+b?air|V>#>9'n;emvpZ2/:<#j7iazt^6+63/f3me~xR:'26+b?air|V>#>5'n;emvpZ2/:0#27iazt^6+7,g)`9gkprX9%l5kotv\0-24!h1ocxzP4)67-d=cg|~T8%::)`9gkprX=%45kotv\0-3.12ndyyQ;(7+:?air|V>#;$74dnww[1.?!01ocxzP4);*g>bh}}U?09850?;8`jssW<";%45kotv\1-7.i2ndyyQ:(02*e>bh}}U>$bh}}U>$<;&a:flqqY2 8<"m6j`uu]6,41.i2ndyyQ:(0:*e>bh}}U>$<7&9:flqqY2 ;#j7iazt^7+65/f3me~xR;'20+b?air|V?#>?'n;emvpZ3/::#j7iazt^7+61/f3me~xR;'24+b?air|V?#>;'n;emvpZ3/:>#j7iazt^7+6=/f3me~xR;'28+:?air|V?#?$o4dnww[0.48 k0hb{{_4*05,g;%l5kotv\1-26!h1ocxzP5)61-d=cg|~T9%:<)`9gkprX=!>?%l5kotv\1-22!h1ocxzP5)65-<=cg|~T9%;&9:flqqY2 ?#27iazt^7+3,?bh}}U=$<=&a:flqqY1 8>"m6j`uu]5,43.i2ndyyQ9(04*e>bh}}U=$<9&a:flqqY1 82"m6j`uu]5,4?.12ndyyQ9(3+b?air|V<#>='n;emvpZ0/:8#j7iazt^4+67/f3me~xR8'22+b?air|V<#>9'n;emvpZ0/:<#j7iazt^4+63/f3me~xR8'26+b?air|V<#>5'n;emvpZ0/:0#27iazt^4+7,g k0hb{{_7*03,g)`9gkprX>!>9%l5kotv\2-24!h1ocxzP6)67-d=cg|~T:%::)`9gkprX>!>=%45kotv\2-3.12ndyyQ9(7+:?air|V<#;$74dnww[3.?!01ocxzP6);*g>bh}}U=09850?;8`jssW>";%45kotv\3-7.i2ndyyQ8(02*e>bh}}U<$bh}}U<$<;&a:flqqY0 8<"m6j`uu]4,41.i2ndyyQ8(0:*e>bh}}U<$<7&9:flqqY0 ;#j7iazt^5+65/f3me~xR9'20+b?air|V=#>?'n;emvpZ1/::#j7iazt^5+61/f3me~xR9'24+b?air|V=#>;'n;emvpZ1/:>#j7iazt^5+6=/f3me~xR9'28+:?air|V=#?$o4dnww[2.48 k0hb{{_6*05,g"8?$o4dnww[2.4< k0hb{{_6*01,g"8;$o4dnww[2.40 k0hb{{_6*0=,?;%l5kotv\3-26!h1ocxzP7)61-d=cg|~T;%:<)`9gkprX?!>?%l5kotv\3-22!h1ocxzP7)65-<=cg|~T;%;&9:flqqY0 ?#27iazt^5+3,?bh}}U3$<=&a:flqqY? 8>"m6j`uu];,43.i2ndyyQ7(04*e>bh}}U3$<9&a:flqqY? 82"m6j`uu];,4?.12ndyyQ7(3+b?air|V2#>='n;emvpZ>/:8#j7iazt^:+67/f3me~xR6'22+b?air|V2#>9'n;emvpZ>/:<#j7iazt^:+63/f3me~xR6'26+b?air|V2#>5'n;emvpZ>/:0#27iazt^:+7,g k0hb{{_9*03,g)`9gkprX0!>9%l5kotv\<-24!h1ocxzP8)67-d=cg|~T4%::)`9gkprX0!>=%45kotv\<-3.12ndyyQ7(7+:?air|V2#;$74dnww[=.?!01ocxzP8);*g>bh}}U309850?;8`jssW0";%45kotv\=-7.i2ndyyQ6(02*e>bh}}U2$ 88"m6j`uu]:,45.i2ndyyQ6(06*e>bh}}U2$<;&a:flqqY> 8<"m6j`uu]:,41.i2ndyyQ6(0:*e>bh}}U2$<7&9:flqqY> ;#j7iazt^;+65/f3me~xR7'20+b?air|V3#>?'n;emvpZ?/::#j7iazt^;+61/f3me~xR7'24+b?air|V3#>;'n;emvpZ?/:>#j7iazt^;+6=/f3me~xR7'28+:?air|V3#?$o4dnww[<.48 k0hb{{_8*05,g;%l5kotv\=-26!h1ocxzP9)61-d=cg|~T5%:<)`9gkprX1!>?%l5kotv\=-22!h1ocxzP9)65-<=cg|~T5%;&9:flqqY> ?#27iazt^;+3,?bh}}Ub$<=&a:flqqYn 8>"m6j`uu]j,43.i2ndyyQf(04*e>bh}}Ub$<9&a:flqqYn 82"m6j`uu]j,4?.12ndyyQf(3+b?air|Vc#>='n;emvpZo/:8#j7iazt^k+67/f3me~xRg'22+:?air|Vc#?$74dnww[l.3!01ocxzPi)7*=>bh}}Ub$;'6;emvpZo/? 30hb{{_h*;-<=cg|~Te%7&c:flqqYn4;91<3l4dnww[lY6 9#i7iazt^k\5-7.k2ndyyQf_0*24,e(03*g>bh}}UbS<&>2(a8`jssW`U:$<=&c:flqqYnW8":8$m4dnww[lY6 8?"o6j`uu]j[4.6> i0hb{{_h]2,41.k2ndyyQf_0*2<,e(0;*f>bh}}UbS<&=)b9gkprXaV;#>='l;emvpZoX9!8:%n5kotv\mZ7/:;#h7iazt^k\5-44!k1ocxzPi^3+7,d(5+a?air|VcT=%;&b:flqqYnW8"=%o5kotv\mZ7/? h0hb{{_h]2,=/e3me~xRgP1);*g>bh}}UbS<>'0(a8`jssW`U:<%?&d:flqqYnW8:#=='k;emvpZoX99":=$j4dnww[lY68!;9%i5kotv\mZ77 89"h6j`uu]j[46/9=#o7iazt^k\55.6= n0hb{{_h]24-71!m1ocxzPi^33,41.l2ndyyQf_02+5=/c3me~xRgP11*2=,e0)0*`>bh}}UbS<>'21+g?air|VcT==&=1(f8`jssW`U:<%<=)e9gkprXaV;;$?=&c:flqqYnW8:#?$m4dnww[lY68!>"o6j`uu]j[46/= i0hb{{_h]24-0.k2ndyyQf_02+3,e0):*g>bh}}UbS<>'9(d8`jssW`U:<1<<:1<`?air|VcT=<&?)b9gkprXaV;:$<'k;emvpZoX98":<$j4dnww[lY69!;:%i5kotv\mZ76 88"h6j`uu]j[47/9:#o7iazt^k\54.6< n0hb{{_h]25-72!m1ocxzPi^32,40.l2ndyyQf_03+52/c3me~xRgP10*2<,b1)3:-f=cg|~TeR?>(3+g?air|VcT=<&=0(f8`jssW`U:=%<>)e9gkprXaV;:$?<&d:flqqYnW8;#>>'l;emvpZoX98"8%n5kotv\mZ76 =#h7iazt^k\54.2!j1ocxzPi^32,3/d3me~xRgP10*4-f=cg|~TeR?>(9+`?air|VcT=<&6)g9gkprXaV;:0?=50?a8`jssW`U:>%>&c:flqqYnW88#=$j4dnww[lY6:!;;%i5kotv\mZ75 8;"h6j`uu]j[44/9;#o7iazt^k\57.6; n0hb{{_h]26-73!m1ocxzPi^31,43.l2ndyyQf_00+53/c3me~xRgP13*23,b2)3;-a=cg|~TeR?=(0;*g>bh}}UbS<<'2(f8`jssW`U:>%?'k;emvpZoX9;"9?$m4dnww[lY6:!9"o6j`uu]j[44/< i0hb{{_h]26-3.k2ndyyQf_00+2,e2)5*g>bh}}UbS<<'8(a8`jssW`U:>%7&f:flqqYnW887>>4?>b9gkprXaV;8$='l;emvpZoX9:":%i5kotv\mZ74 8:"h6j`uu]j[45/98#o7iazt^k\56.6: n0hb{{_h]27-74!m1ocxzPi^30,42.l2ndyyQf_01+50/c3me~xRgP12*22,b3)34-a=cg|~TeR?<(0:*`>bh}}UbS<='18+`?air|VcT=>&=)e9gkprXaV;8$?>&d:flqqYnW89#><'k;emvpZoX9:"9>$j4dnww[lY6;!88%n5kotv\mZ74 :#h7iazt^k\56.3!j1ocxzPi^30,0/d3me~xRgP12*5-f=cg|~TeR?<(6+`?air|VcT=>&7)b9gkprXaV;8$4'i;emvpZoX9:69?7>1c:flqqYnW8>#<$m4dnww[lY64)35-a=cg|~TeR?;(05*`>bh}}UbS<:'19+g?air|VcT=9&>9(a8`jssW`U:8%<&d:flqqYnW8>#>='k;emvpZoX9="9=$j4dnww[lY64)4*g>bh}}UbS<:'7(a8`jssW`U:8%6&c:flqqYnW8>#5$h4dnww[lY6<5886=0l;emvpZoX9<";%n5kotv\mZ72 8#o7iazt^k\50.68 n0hb{{_h]21-76!m1ocxzPi^36,44.l2ndyyQf_07+56/c3me~xRgP14*20,b5)36-a=cg|~TeR?:(04*`>bh}}UbS<;'16+g?air|VcT=8&>8(f8`jssW`U:9%?6)b9gkprXaV;>$?'k;emvpZoX9<"9<$j4dnww[lY6=!8:%i5kotv\mZ72 ;8"h6j`uu]j[43/::#h7iazt^k\50.4!j1ocxzPi^36,1/d3me~xRgP14*6-f=cg|~TeR?:(7+`?air|VcT=8&8)b9gkprXaV;>$5'l;emvpZoX9<"2%k5kotv\mZ724;91<3m4dnww[lY6>!:"o6j`uu]j[40/9 n0hb{{_h]22-77!m1ocxzPi^35,47.l2ndyyQf_04+57/c3me~xRgP17*27,b6)37-a=cg|~TeR?9(07*`>bh}}UbS<8'17+g?air|VcT=;&>7(f8`jssW`U::%?7)e9gkprXaV;=$<7&c:flqqYnW8<#>$j4dnww[lY6>!8;%i5kotv\mZ71 ;;"h6j`uu]j[40/:;#o7iazt^k\53.5; i0hb{{_h]22-5.k2ndyyQf_04+0,e6)7*g>bh}}UbS<8'6(a8`jssW`U::%9&c:flqqYnW8<#4$m4dnww[lY6>!3"j6j`uu]j[40;::0;2h5kotv\mZ7;::0;2o5kotv\mZ4/8 h0hb{{_h]1,4/d3me~xRgP2)33-f=cg|~TeR<'10+`?air|VcT>%?=)b9gkprXaV8#=>'l;emvpZoX:!;?%n5kotv\mZ4/9<#h7iazt^k\6-71!j1ocxzPi^0+52/d3me~xRgP2)3;-f=cg|~TeR<'18+a?air|VcT>%<&c:flqqYnW;"9<$m4dnww[lY5 ;;"o6j`uu]j[7.5: i0hb{{_h]1,75.j2ndyyQf_3*0-g=cg|~TeR<'4(`8`jssW`U9$8'm;emvpZoX:!<"n6j`uu]j[7.0!k1ocxzPi^0+<,d1<<:1&b:flqqYnW:":%n5kotv\mZ5/99#h7iazt^k\7-76!j1ocxzPi^1+57/d3me~xRgP3)30-f=cg|~TeR='15+`?air|VcT?%?:)b9gkprXaV9#=;'l;emvpZoX;!;<%n5kotv\mZ5/91#h7iazt^k\7-7>!k1ocxzPi^1+6,ebh}}UbS>&=1(a8`jssW`U8$?<&c:flqqYnW:"9?$l4dnww[lY4 :#i7iazt^k\7-2.j2ndyyQf_2*6-g=cg|~TeR='6(`8`jssW`U8$:'m;emvpZoX;!2"n6j`uu]j[6.>!l1ocxzPi^1?66<76k1ocxzPi^6+4,d#=<'l;emvpZoX#=4'm;emvpZoXbh}}UbS9&<)c9gkprXaV>#8$l4dnww[lY3 <#i7iazt^k\0-0.j2ndyyQf_5*4-g=cg|~TeR:'8(`8`jssW`U?$4'j;emvpZoX<5886=0m;emvpZoX=!:"n6j`uu]j[0.6!j1ocxzPi^7+55/d3me~xRgP5)32-f=cg|~TeR;'13+`?air|VcT9%?<)b9gkprXaV?#=9'l;emvpZoX=!;>%n5kotv\mZ3/9?#h7iazt^k\1-70!j1ocxzPi^7+5=/d3me~xRgP5)3:-g=cg|~TeR;'2(a8`jssW`U>$?>&c:flqqYnW<"9=$m4dnww[lY2 ;8"o6j`uu]j[0.5; h0hb{{_h]6,6/e3me~xRgP5)6*f>bh}}UbS8&:)c9gkprXaV?#:$l4dnww[lY2 >#i7iazt^k\1->.j2ndyyQf_4*:-`=cg|~TeR;32283:g=cg|~TeR8'0(`8`jssW`U=$<'l;emvpZoX>!;;%n5kotv\mZ0/98#h7iazt^k\2-75!j1ocxzPi^4+56/d3me~xRgP6)37-f=cg|~TeR8'14+`?air|VcT:%?9)b9gkprXaV<#=:'l;emvpZoX>!;3%n5kotv\mZ0/90#i7iazt^k\2-4.k2ndyyQf_7*14,ebh}}UbS;&=2(a8`jssW`U=$?=&b:flqqYnW?"8%o5kotv\mZ0/< h0hb{{_h]5,0/e3me~xRgP6)4*f>bh}}UbS;&8)c9gkprXaV<#4$l4dnww[lY1 0#n7iazt^k\2944294i7iazt^k\3-6.j2ndyyQf_6*2-f=cg|~TeR9'11+`?air|VcT;%?>)b9gkprXaV=#=?'l;emvpZoX?!;8%n5kotv\mZ1/9=#h7iazt^k\3-72!j1ocxzPi^5+53/d3me~xRgP7)34-f=cg|~TeR9'19+`?air|VcT;%?6)c9gkprXaV=#>$m4dnww[lY0 ;:"o6j`uu]j[2.59 i0hb{{_h]4,74.k2ndyyQf_6*17,d">%o5kotv\mZ1/> h0hb{{_h]4,2/e3me~xRgP7):*f>bh}}UbS:&6)d9gkprXaV=7>>4?>c9gkprXaV2#<$l4dnww[lY? 8#h7iazt^k\<-77!j1ocxzPi^:+54/d3me~xRgP8)31-f=cg|~TeR6'12+`?air|VcT4%?;)b9gkprXaV2#=8'l;emvpZoX0!;=%n5kotv\mZ>/9>#h7iazt^k\<-7?!j1ocxzPi^:+5bh}}UbS5&=0(a8`jssW`U3$??&c:flqqYnW1"9>$m4dnww[lY? ;9"n6j`uu]j[=.4!k1ocxzPi^:+0,d/0 h0hb{{_h];,58e3me~xRgP9)2*f>bh}}UbS4&>)b9gkprXaV3#=='l;emvpZoX1!;:%n5kotv\mZ?/9;#h7iazt^k\=-74!j1ocxzPi^;+51/d3me~xRgP9)36-f=cg|~TeR7'17+`?air|VcT5%?8)b9gkprXaV3#=5'l;emvpZoX1!;2%o5kotv\mZ?/: i0hb{{_h]:,76.k2ndyyQf_8*15,ebh}}UbS4&=3(`8`jssW`U2$>'m;emvpZoX1!>"n6j`uu]j[<.2!k1ocxzPi^;+2,d k0hb{{_p*13,g)`9gkprXy!99%l5kotv\u-54!h1ocxzPq)17-d=cg|~T}%=:)`9gkprXy!9=%l5kotv\u-50!h1ocxzPq)1;-d=cg|~T}%=6)89gkprXy!>"m6j`uu]r,16.i2ndyyQ~(53*e>bh}}Uz$9<&a:flqqYv =9"m6j`uu]r,12.i2ndyyQ~(57*e>bh}}Uz$98&9:flqqYv <#27iazt^s+2,?(33*g>bh}}UzS<&=2(a8`jssWxU:$?=&c:flqqYvW8"98$m4dnww[tY6 ;?"o6j`uu]r[4.5> i0hb{{_p]2,71.k2ndyyQ~_0*1<,e(3;*f>bh}}UzS<&<)b9gkprXyV;#?='l;emvpZwX9!9:%n5kotv\uZ7/;;#h7iazt^s\5-54!j1ocxzPq^3+71/d3me~xRP1)16-f=cg|~T}R?'37+`?air|V{T=%=8)b9gkprXyV;#?5'l;emvpZwX9!92%o5kotv\uZ7/< i0hb{{_p]2,16.k2ndyyQ~_0*75,e(50*g>bh}}UzS<&;3(a8`jssWxU:$9:&c:flqqYvW8"?9$m4dnww[tY6 =<"n6j`uu]r[4.2!k1ocxzPq^3+2,d(6+a?air|V{T=%6&b:flqqYvW8"2%n5kotv\uZ77 9#h7iazt^s\55.6!m1ocxzPq^33,46.l2ndyyQ~_02+54/c3me~xRP11*26,b0)30-a=cg|~T}R??(06*`>bh}}UzS<>'14+g?air|V{T==&>6(f8`jssWxU:<%?8)e9gkprXyV;;$<6&d:flqqYvW8:#=4'l;emvpZwX99"9%i5kotv\uZ77 ;:"h6j`uu]r[46/:8#o7iazt^s\55.5: n0hb{{_p]24-44!m1ocxzPq^33,72.l2ndyyQ~_02+60/c3me~xRP11*12,b0)04-a=cg|~T}R??(3:*`>bh}}UzS<>'28+`?air|V{T==&<)e9gkprXyV;;$>>&d:flqqYvW8:#?<'k;emvpZwX99"8>$j4dnww[tY68!98%i5kotv\uZ77 :>"h6j`uu]r[46/;<#o7iazt^s\55.4> n0hb{{_p]24-50!m1ocxzPq^33,6>.l2ndyyQ~_02+7bh}}UzS<>'40+g?air|V{T==&;2(f8`jssWxU:<%:<)e9gkprXyV;;$9:&d:flqqYvW8:#88'k;emvpZwX99"?:$m4dnww[tY68!?"o6j`uu]r[46/> i0hb{{_p]24-1.k2ndyyQ~_02+<,e0);*b>bh}}UzS<>34783:f=cg|~T}R?>(1+`?air|V{T=<&>)e9gkprXyV;:$<>&d:flqqYvW8;#=<'k;emvpZwX98":>$j4dnww[tY69!;8%i5kotv\uZ76 8>"h6j`uu]r[47/9<#o7iazt^s\54.6> n0hb{{_p]25-70!m1ocxzPq^32,4>.l2ndyyQ~_03+5(32*`>bh}}UzS8'k;emvpZwX98"9:$j4dnww[tY69!8<%i5kotv\uZ76 ;2"h6j`uu]r[47/:0#h7iazt^s\54.4!m1ocxzPq^32,66.l2ndyyQ~_03+74/c3me~xRP10*06,b1)10-a=cg|~T}R?>(26*`>bh}}UzS6&d:flqqYvW8;#?4'l;emvpZwX98"?%i5kotv\uZ76 =:"h6j`uu]r[47/<8#o7iazt^s\54.3: n0hb{{_p]25-24!m1ocxzPq^32,12.l2ndyyQ~_03+00/c3me~xRP10*72,e1)7*g>bh}}UzS2)30-a=cg|~T}R?=(06*`>bh}}UzS<<'14+g?air|V{T=?&>6(f8`jssWxU:>%?8)e9gkprXyV;9$<6&d:flqqYvW88#=4'l;emvpZwX9;"9%i5kotv\uZ75 ;:"h6j`uu]r[44/:8#o7iazt^s\57.5: n0hb{{_p]26-44!m1ocxzPq^31,72.l2ndyyQ~_00+60/c3me~xRP13*12,b2)04-a=cg|~T}R?=(3:*`>bh}}UzS<<'28+`?air|V{T=?&<)e9gkprXyV;9$>>&d:flqqYvW88#?<'k;emvpZwX9;"8>$j4dnww[tY6:!98%i5kotv\uZ75 :>"h6j`uu]r[44/;<#o7iazt^s\57.4> n0hb{{_p]26-50!m1ocxzPq^31,6>.l2ndyyQ~_00+7bh}}UzS<<'40+g?air|V{T=?&;2(f8`jssWxU:>%:<)e9gkprXyV;9$9:&d:flqqYvW88#88'k;emvpZwX9;"?:$m4dnww[tY6:!?"o6j`uu]r[44/> i0hb{{_p]26-1.k2ndyyQ~_00+<,e2);*b>bh}}UzS<<34783:f=cg|~T}R?<(1+`?air|V{T=>&>)e9gkprXyV;8$<>&d:flqqYvW89#=<'k;emvpZwX9:":>$j4dnww[tY6;!;8%i5kotv\uZ74 8>"h6j`uu]r[45/9<#o7iazt^s\56.6> n0hb{{_p]27-70!m1ocxzPq^30,4>.l2ndyyQ~_01+5bh}}UzS<='20+g?air|V{T=>&=2(f8`jssWxU:?%<<)e9gkprXyV;8$?:&d:flqqYvW89#>8'k;emvpZwX9:"9:$j4dnww[tY6;!8<%i5kotv\uZ74 ;2"h6j`uu]r[45/:0#h7iazt^s\56.4!m1ocxzPq^30,66.l2ndyyQ~_01+74/c3me~xRP12*06,b3)10-a=cg|~T}R?<(26*`>bh}}UzS<='34+g?air|V{T=>&<6(f8`jssWxU:?%=8)e9gkprXyV;8$>6&d:flqqYvW89#?4'l;emvpZwX9:"?%i5kotv\uZ74 =:"h6j`uu]r[45/<8#o7iazt^s\56.3: n0hb{{_p]27-24!m1ocxzPq^30,12.l2ndyyQ~_01+00/c3me~xRP12*72,e3)7*g>bh}}UzS<='6(a8`jssWxU:?%9&c:flqqYvW89#4$m4dnww[tY6;!3"j6j`uu]r[45;4)30-a=cg|~T}R?;(06*`>bh}}UzS<:'14+g?air|V{T=9&>6(f8`jssWxU:8%?8)e9gkprXyV;?$<6&d:flqqYvW8>#=4'l;emvpZwX9="9%i5kotv\uZ73 ;:"h6j`uu]r[42/:8#o7iazt^s\51.5: n0hb{{_p]20-44!m1ocxzPq^37,72.l2ndyyQ~_06+60/c3me~xRP15*12,b4)04-a=cg|~T}R?;(3:*`>bh}}UzS<:'28+`?air|V{T=9&<)e9gkprXyV;?$>>&d:flqqYvW8>#?<'k;emvpZwX9="8>$j4dnww[tY6"h6j`uu]r[42/;<#o7iazt^s\51.4> n0hb{{_p]20-50!m1ocxzPq^37,6>.l2ndyyQ~_06+7bh}}UzS<:'40+g?air|V{T=9&;2(f8`jssWxU:8%:<)e9gkprXyV;?$9:&d:flqqYvW8>#88'k;emvpZwX9="?:$m4dnww[tY6 i0hb{{_p]20-1.k2ndyyQ~_06+<,e4);*b>bh}}UzS<:34783:f=cg|~T}R?:(1+`?air|V{T=8&>)e9gkprXyV;>$<>&d:flqqYvW8?#=<'k;emvpZwX9<":>$j4dnww[tY6=!;8%i5kotv\uZ72 8>"h6j`uu]r[43/9<#o7iazt^s\50.6> n0hb{{_p]21-70!m1ocxzPq^36,4>.l2ndyyQ~_07+5bh}}UzS<;'20+g?air|V{T=8&=2(f8`jssWxU:9%<<)e9gkprXyV;>$?:&d:flqqYvW8?#>8'k;emvpZwX9<"9:$j4dnww[tY6=!8<%i5kotv\uZ72 ;2"h6j`uu]r[43/:0#h7iazt^s\50.4!m1ocxzPq^36,66.l2ndyyQ~_07+74/c3me~xRP14*06,b5)10-a=cg|~T}R?:(26*`>bh}}UzS<;'34+g?air|V{T=8&<6(f8`jssWxU:9%=8)e9gkprXyV;>$>6&d:flqqYvW8?#?4'l;emvpZwX9<"?%i5kotv\uZ72 =:"h6j`uu]r[43/<8#o7iazt^s\50.3: n0hb{{_p]21-24!m1ocxzPq^36,12.l2ndyyQ~_07+00/c3me~xRP14*72,e5)7*g>bh}}UzS<;'6(a8`jssWxU:9%9&c:flqqYvW8?#4$m4dnww[tY6=!3"j6j`uu]r[43;6)30-a=cg|~T}R?9(06*`>bh}}UzS<8'14+g?air|V{T=;&>6(f8`jssWxU::%?8)e9gkprXyV;=$<6&d:flqqYvW8<#=4'l;emvpZwX9?"9%i5kotv\uZ71 ;:"h6j`uu]r[40/:8#o7iazt^s\53.5: n0hb{{_p]22-44!m1ocxzPq^35,72.l2ndyyQ~_04+60/c3me~xRP17*12,b6)04-a=cg|~T}R?9(3:*`>bh}}UzS<8'28+`?air|V{T=;&<)e9gkprXyV;=$>>&d:flqqYvW8<#?<'k;emvpZwX9?"8>$j4dnww[tY6>!98%i5kotv\uZ71 :>"h6j`uu]r[40/;<#o7iazt^s\53.4> n0hb{{_p]22-50!m1ocxzPq^35,6>.l2ndyyQ~_04+7bh}}UzS<8'40+g?air|V{T=;&;2(f8`jssWxU::%:<)e9gkprXyV;=$9:&d:flqqYvW8<#88'k;emvpZwX9?"?:$m4dnww[tY6>!?"o6j`uu]r[40/> i0hb{{_p]22-1.k2ndyyQ~_04+<,e6);*b>bh}}UzS<834783:`=cg|~T}R?34783:g=cg|~T}R<'0(`8`jssWxU9$<'l;emvpZwX:!;;%n5kotv\uZ4/98#h7iazt^s\6-75!j1ocxzPq^0+56/d3me~xRP2)37-f=cg|~T}R<'14+`?air|V{T>%?9)b9gkprXyV8#=:'l;emvpZwX:!;3%n5kotv\uZ4/90#i7iazt^s\6-4.k2ndyyQ~_3*14,ebh}}UzS?&=2(a8`jssWxU9$?=&c:flqqYvW;"98$m4dnww[tY5 ;?"o6j`uu]r[7.5> i0hb{{_p]1,71.k2ndyyQ~_3*1<,ebh}}UzS?&<)b9gkprXyV8#?='l;emvpZwX:!9:%n5kotv\uZ4/;;#h7iazt^s\6-54!j1ocxzPq^0+71/d3me~xRP2)16-f=cg|~T}R<'37+`?air|V{T>%=8)b9gkprXyV8#?5'l;emvpZwX:!92%o5kotv\uZ4/< i0hb{{_p]1,16.k2ndyyQ~_3*75,ebh}}UzS?&;3(a8`jssWxU9$9:&c:flqqYvW;"?9$m4dnww[tY5 =<"n6j`uu]r[7.2!k1ocxzPq^0+2,d%6&b:flqqYvW;"2%h5kotv\uZ4;'l;emvpZwX;!;?%n5kotv\uZ5/9<#h7iazt^s\7-71!j1ocxzPq^1+52/d3me~xRP3)3;-f=cg|~T}R='18+a?air|V{T?%<&c:flqqYvW:"9<$m4dnww[tY4 ;;"o6j`uu]r[6.5: i0hb{{_p]0,75.k2ndyyQ~_2*10,ebh}}UzS>&=6(a8`jssWxU8$?9&c:flqqYvW:"94$m4dnww[tY4 ;3"n6j`uu]r[6.4!j1ocxzPq^1+75/d3me~xRP3)12-f=cg|~T}R='33+`?air|V{T?%=<)b9gkprXyV9#?9'l;emvpZwX;!9>%n5kotv\uZ5/;?#h7iazt^s\7-50!j1ocxzPq^1+7=/d3me~xRP3)1:-g=cg|~T}R='4(a8`jssWxU8$9>&c:flqqYvW:"?=$m4dnww[tY4 =8"o6j`uu]r[6.3; i0hb{{_p]0,12.k2ndyyQ~_2*71,ebh}}UzS>&:)c9gkprXyV9#:$l4dnww[tY4 >#i7iazt^s\7->.j2ndyyQ~_2*:-`=cg|~T}R=34783:g=cg|~T}R:'0(`8`jssWxU?$<'l;emvpZwX#=:'l;emvpZwXbh}}UzS9&=2(a8`jssWxU?$?=&c:flqqYvW="98$m4dnww[tY3 ;?"o6j`uu]r[1.5> i0hb{{_p]7,71.k2ndyyQ~_5*1<,ebh}}UzS9&<)b9gkprXyV>#?='l;emvpZwX#?5'l;emvpZwXbh}}UzS9&;3(a8`jssWxU?$9:&c:flqqYvW="?9$m4dnww[tY3 =<"n6j`uu]r[1.2!k1ocxzPq^6+2,d'l;emvpZwX=!;?%n5kotv\uZ3/9<#h7iazt^s\1-71!j1ocxzPq^7+52/d3me~xRP5)3;-f=cg|~T}R;'18+a?air|V{T9%<&c:flqqYvW<"9<$m4dnww[tY2 ;;"o6j`uu]r[0.5: i0hb{{_p]6,75.k2ndyyQ~_4*10,ebh}}UzS8&=6(a8`jssWxU>$?9&c:flqqYvW<"94$m4dnww[tY2 ;3"n6j`uu]r[0.4!j1ocxzPq^7+75/d3me~xRP5)12-f=cg|~T}R;'33+`?air|V{T9%=<)b9gkprXyV?#?9'l;emvpZwX=!9>%n5kotv\uZ3/;?#h7iazt^s\1-50!j1ocxzPq^7+7=/d3me~xRP5)1:-g=cg|~T}R;'4(a8`jssWxU>$9>&c:flqqYvW<"?=$m4dnww[tY2 =8"o6j`uu]r[0.3; i0hb{{_p]6,12.k2ndyyQ~_4*71,ebh}}UzS8&:)c9gkprXyV?#:$l4dnww[tY2 >#i7iazt^s\1->.j2ndyyQ~_4*:-`=cg|~T}R;34783:g=cg|~T}R8'0(`8`jssWxU=$<'l;emvpZwX>!;;%n5kotv\uZ0/98#h7iazt^s\2-75!j1ocxzPq^4+56/d3me~xRP6)37-f=cg|~T}R8'14+`?air|V{T:%?9)b9gkprXyV<#=:'l;emvpZwX>!;3%n5kotv\uZ0/90#i7iazt^s\2-4.k2ndyyQ~_7*14,ebh}}UzS;&=2(a8`jssWxU=$?=&c:flqqYvW?"98$m4dnww[tY1 ;?"o6j`uu]r[3.5> i0hb{{_p]5,71.k2ndyyQ~_7*1<,ebh}}UzS;&<)b9gkprXyV<#?='l;emvpZwX>!9:%n5kotv\uZ0/;;#h7iazt^s\2-54!j1ocxzPq^4+71/d3me~xRP6)16-f=cg|~T}R8'37+`?air|V{T:%=8)b9gkprXyV<#?5'l;emvpZwX>!92%o5kotv\uZ0/< i0hb{{_p]5,16.k2ndyyQ~_7*75,ebh}}UzS;&;3(a8`jssWxU=$9:&c:flqqYvW?"?9$m4dnww[tY1 =<"n6j`uu]r[3.2!k1ocxzPq^4+2,d'l;emvpZwX?!;?%n5kotv\uZ1/9<#h7iazt^s\3-71!j1ocxzPq^5+52/d3me~xRP7)3;-f=cg|~T}R9'18+a?air|V{T;%<&c:flqqYvW>"9<$m4dnww[tY0 ;;"o6j`uu]r[2.5: i0hb{{_p]4,75.k2ndyyQ~_6*10,ebh}}UzS:&=6(a8`jssWxU<$?9&c:flqqYvW>"94$m4dnww[tY0 ;3"n6j`uu]r[2.4!j1ocxzPq^5+75/d3me~xRP7)12-f=cg|~T}R9'33+`?air|V{T;%=<)b9gkprXyV=#?9'l;emvpZwX?!9>%n5kotv\uZ1/;?#h7iazt^s\3-50!j1ocxzPq^5+7=/d3me~xRP7)1:-g=cg|~T}R9'4(a8`jssWxU<$9>&c:flqqYvW>"?=$m4dnww[tY0 =8"o6j`uu]r[2.3; i0hb{{_p]4,12.k2ndyyQ~_6*71,ebh}}UzS:&:)c9gkprXyV=#:$l4dnww[tY0 >#i7iazt^s\3->.j2ndyyQ~_6*:-`=cg|~T}R934783:g=cg|~T}R6'0(`8`jssWxU3$<'l;emvpZwX0!;;%n5kotv\uZ>/98#h7iazt^s\<-75!j1ocxzPq^:+56/d3me~xRP8)37-f=cg|~T}R6'14+`?air|V{T4%?9)b9gkprXyV2#=:'l;emvpZwX0!;3%n5kotv\uZ>/90#i7iazt^s\<-4.k2ndyyQ~_9*14,ebh}}UzS5&=2(a8`jssWxU3$?=&c:flqqYvW1"98$m4dnww[tY? ;?"o6j`uu]r[=.5> i0hb{{_p];,71.k2ndyyQ~_9*1<,ebh}}UzS5&<)b9gkprXyV2#?='l;emvpZwX0!9:%n5kotv\uZ>/;;#h7iazt^s\<-54!j1ocxzPq^:+71/d3me~xRP8)16-f=cg|~T}R6'37+`?air|V{T4%=8)b9gkprXyV2#?5'l;emvpZwX0!92%o5kotv\uZ>/< i0hb{{_p];,16.k2ndyyQ~_9*75,ebh}}UzS5&;3(a8`jssWxU3$9:&c:flqqYvW1"?9$m4dnww[tY? =<"n6j`uu]r[=.2!k1ocxzPq^:+2,d;'l;emvpZwX1!;?%n5kotv\uZ?/9<#h7iazt^s\=-71!j1ocxzPq^;+52/d3me~xRP9)3;-f=cg|~T}R7'18+a?air|V{T5%<&c:flqqYvW0"9<$m4dnww[tY> ;;"o6j`uu]r[<.5: i0hb{{_p]:,75.k2ndyyQ~_8*10,ebh}}UzS4&=6(a8`jssWxU2$?9&c:flqqYvW0"94$m4dnww[tY> ;3"n6j`uu]r[<.4!j1ocxzPq^;+75/d3me~xRP9)12-f=cg|~T}R7'33+`?air|V{T5%=<)b9gkprXyV3#?9'l;emvpZwX1!9>%n5kotv\uZ?/;?#h7iazt^s\=-50!j1ocxzPq^;+7=/d3me~xRP9)1:-g=cg|~T}R7'4(a8`jssWxU2$9>&c:flqqYvW0"?=$m4dnww[tY> =8"o6j`uu]r[<.3; i0hb{{_p]:,12.k2ndyyQ~_8*71,ebh}}UzS4&:)c9gkprXyV3#:$l4dnww[tY> >#i7iazt^s\=->.j2ndyyQ~_8*:-`=cg|~T}R734783:<=cx{Uym`Q>9:fsvZtfeV827i~}_scn[6?56jr^pbiZ0>3mzySob_6;8`utXzhgT455jdhd1jbbc3ocgxh|Psdn+4,`3>585l2lb~Rm`mqkoawYq=V:',Ugcioz#GJTB(Noeio{os"20+213ahoin?=2:ja``e6$ocySnabphnfvZp2W9&ECCK#NNLF6ge<`knno<"iis]`khvndlxTz8Q?,zc`gpkX`nd0:8,`nokrYfxyxdt0>#c^jbwZeb58&hSeo|_ecweZpfd`n6=!mPh`q\ja;6$jUcm~Q|cmp>5)eXdh~nbnw220.`[hcjW`dbxRhfld?kfacd9'gbj!mPoqvjil|f|`ee1<"lh`l\mkYfl7; nfnn^qfhZqnl}b6=!mcobi\bwcv5;:;<=>?01.`k``Xelgu}k20-alacYumeejh0?#cnge[qwm4:'obki_vkgpm;60%id`Rm`ngkd92*dgeUfcik20-alhZvnxlUgic3;,ecweZkbe}s{i0>#d`vb[qwm4:'hlzn_vkgpm;5<%njxl~nti]nahr~xl7; iklil]qeqc::%lb`yk}_`p`l87+n`fiQ|em]tmaro58&meazjr^vzt`;1$`di~Pr`vf94*nxkmjRcjmu{sa86+flmTtcbeupz95*i}dUhdl`aemq>5)hreVlb`y}21-lviZvf|ay6>!`zm^vbtv;3?%eohR}vmlgwv|;6$fziykhPmdow}uc:8%e~x}{{_sgd94*h}}z~xRyfduj>02*ui}oToeoagd]w}uc:8%xdycjPmnff95*tidzgiRh}ep?1456789:; ~mcr^ffp`tjagcxxdm21-qzihcszp7: wk}o^rbgnoioVljoya}=0.~5`=ojmoh=Rhfr^aliuokm{U}9R>Pxrv\57=il11eknlzimf1?ki43{nr?6|id59pgite3zcl<=>?0122f>uno9:;<=>?2c9pmb6789:;<>l4she3456789>i7~gh01234562j2ybk=>?01232g=tan:;<=>?06`8wla789:;<=6m;rkd456789:2n6}fg1234567ik1xej>?01234gd<{`m;<=>?01aa?vo`89:;<=>kb:qjc56789:;io5|if2345678oh0di?0123446e3zcl<=>?0132f>uno9:;<=>>2c9pmb6789:;=>l4she3456788>i7~gh01234572j2ybk=>?01222g=tan:;<=>?16`8wla789:;<<6m;rkd456789;2n6}fg1234566ik1xej>?01235gd<{`m;<=>?00aa?vo`89:;<=?kb:qjc56789::io5|if2345679oh0di?0123476e3zcl<=>?0102f>uno9:;<=>=2c9pmb6789:;>>l4she345678;>i7~gh01234542j2ybk=>?01212g=tan:;<=>?26`8wla789:;?01236gd<{`m;<=>?03aa?vo`89:;<=?0112f>uno9:;<=><2c9pmb6789:;?>l4she345678:>i7~gh01234552j2ybk=>?01202g=tan:;<=>?36`8wla789:;<>6m;rkd45678992n6}fg1234564ik1xej>?01237gd<{`m;<=>?02aa?vo`89:;<==kb:qjc56789:8io5|if234567;oh0di?0123416e3zcl<=>?0162f>uno9:;<=>;2c9pmb6789:;8>l4she345678=>i7~gh01234522j2ybk=>?01272g=tan:;<=>?46`8wla789:;<96m;rkd456789>2n6}fg1234563ik1xej>?01230gd<{`m;<=>?05aa?vo`89:;<=:kb:qjc56789:?io5|if234567?0172f>uno9:;<=>:2c9pmb6789:;9>l4she345678<>i7~gh01234532j2ybk=>?01262g=tan:;<=>?56`8wla789:;<86m;rkd456789?2n6}fg1234562ik1xej>?01231gd<{`m;<=>?04aa?vo`89:;<=;kb:qjc56789:>io5|if234567=oh0di?0123436e3zcl<=>?0142f>uno9:;<=>92c9pmb6789:;:>l4she345678?>i7~gh01234502j2ybk=>?01252g=tan:;<=>?66`8wla789:;<;6m;rkd456789<2n6}fg1234561ik1xej>?01232gd<{`m;<=>?07aa?vo`89:;<=8kb:qjc56789:=io5|if234567>oh0di?0123426e3zcl<=>?0152f>uno9:;<=>82c9pmb6789:;;>l4she345678>>i7~gh01234512j2ybk=>?01242g=tan:;<=>?76`8wla789:;<:6m;rkd456789=2n6}fg1234560ik1xej>?01233gd<{`m;<=>?06aa?vo`89:;<=9kb:qjc56789:?01:2f>uno9:;<=>72c9pmb6789:;4>l4she3456781>i7~gh012345>2j2ybk=>?012;2g=tan:;<=>?86`8wla789:;<56m;rkd45678922n6}fg123456?ik1xej>?0123?09aa?vo`89:;<=6kb:qjc56789:3io5|if2345670oh0di?01234<6e3zcl<=>?01;2f>uno9:;<=>62c9pmb6789:;5>l4she3456780>i7~gh012345?2j2ybk=>?012:2g=tan:;<=>?96`8wla789:;<46m;rkd45678932n6}fg123456>ik1xej>?0123=gd<{`m;<=>?08aa?vo`89:;<=7kb:qjc56789:2io5|if2345671oh0di?01234d6e3zcl<=>?01c2f>uno9:;<=>n2c9pmb6789:;m>l4she345678h>i7~gh012345g2j2ybk=>?012b2g=tan:;<=>?a6`8wla789:;?0123egd<{`m;<=>?0`aa?vo`89:;<=okb:qjc56789:jio5|if234567ioh0di?01234g6e3zcl<=>?01`2f>uno9:;<=>m2c9pmb6789:;n>l4she345678k>i7~gh012345d2j2ybk=>?012a2g=tan:;<=>?b6`8wla789:;?0123fgd<{`m;<=>?0caa?vo`89:;<=lkb:qjc56789:iio5|if234567joh0di?01234f6e3zcl<=>?01a2f>uno9:;<=>l2c9pmb6789:;o>l4she345678j>i7~gh012345e2j2ybk=>?012`2g=tan:;<=>?c6`8wla789:;?0123ggd<{`m;<=>?0baa?vo`89:;<=mkb:qjc56789:hio5|if234567koh0di?01234a6e3zcl<=>?01f2f>uno9:;<=>k2c9pmb6789:;h>l4she345678m>i7~gh012345b2j2ybk=>?012g2g=tan:;<=>?d6`8wla789:;?0123`gd<{`m;<=>?0eaa?vo`89:;<=jkb:qjc56789:oio5|if234567loh0di?01234`6e3zcl<=>?01g2f>uno9:;<=>j2c9pmb6789:;i>l4she345678l>i7~gh012345c2j2ybk=>?012f2g=tan:;<=>?e6`8wla789:;?0123agd<{`m;<=>?0daa?vo`89:;<=kkb:qjc56789:nio5|if234567moh0di?01234c6e3zcl<=>?01d2f>uno9:;<=>i2c9pmb6789:;j>l4she345678o>i7~gh012345`2j2ybk=>?012e2g=tan:;<=>?f6`8wla789:;?0123bgd<{`m;<=>?0gaa?vo`89:;<=hkb:qjc56789:mio5|if234567noh0di?0123556e3zcl<=>?0022f>uno9:;<=??2c9pmb6789::<>l4she3456799>i7~gh01234462j2ybk=>?01332g=tan:;<=>>06`8wla789:;==6m;rkd456788:2n6}fg1234577ik1xej>?01224gd<{`m;<=>?11aa?vo`89:;<<>kb:qjc56789;;io5|if2345668oh0di?0123546e3zcl<=>?0032f>uno9:;<=?>2c9pmb6789::=>l4she3456798>i7~gh01234472j2ybk=>?01322g=tan:;<=>>16`8wla789:;=<6m;rkd456788;2n6}fg1234576ik1xej>?01225gd<{`m;<=>?10aa?vo`89:;<?0002f>uno9:;<=?=2c9pmb6789::>>l4she345679;>i7~gh01234442j2ybk=>?01312g=tan:;<=>>26`8wla789:;=?6m;rkd45678882n6}fg1234575ik1xej>?01226gd<{`m;<=>?13aa?vo`89:;<<?0012f>uno9:;<=?<2c9pmb6789::?>l4she345679:>i7~gh01234452j2ybk=>?01302g=tan:;<=>>36`8wla789:;=>6m;rkd45678892n6}fg1234574ik1xej>?01227gd<{`m;<=>?12aa?vo`89:;<<=kb:qjc56789;8io5|if234566;oh0di?0123516e3zcl<=>?0062f>uno9:;<=?;2c9pmb6789::8>l4she345679=>i7~gh01234422j2ybk=>?01372g=tan:;<=>>46`8wla789:;=96m;rkd456788>2n6}fg1234573ik1xej>?01220gd<{`m;<=>?15aa?vo`89:;<<:kb:qjc56789;?io5|if234566?0072f>uno9:;<=?:2c9pmb6789::9>l4she345679<>i7~gh01234432j2ybk=>?01362g=tan:;<=>>56`8wla789:;=86m;rkd456788?2n6}fg1234572ik1xej>?01221gd<{`m;<=>?14aa?vo`89:;<<;kb:qjc56789;>io5|if234566=oh0di?0123536e3zcl<=>?0042f>uno9:;<=?92c9pmb6789:::>l4she345679?>i7~gh01234402j2ybk=>?01352g=tan:;<=>>66`8wla789:;=;6m;rkd456788<2n6}fg1234571ik1xej>?01222gd<{`m;<=>?17aa?vo`89:;<<8kb:qjc56789;=io5|if234566>oh0di?0123526e3zcl<=>?0052f>uno9:;<=?82c9pmb6789::;>l4she345679>>i7~gh01234412j2ybk=>?01342g=tan:;<=>>76`8wla789:;=:6m;rkd456788=2n6}fg1234570ik1xej>?01223gd<{`m;<=>?16aa?vo`89:;<<9kb:qjc56789;?00:2f>uno9:;<=?72c9pmb6789::4>l4she3456791>i7~gh012344>2j2ybk=>?013;2g=tan:;<=>>86`8wla789:;=56m;rkd45678822n6}fg123457?ik1xej>?0122?19aa?vo`89:;<<6kb:qjc56789;3io5|if2345660oh0di?01235<6e3zcl<=>?00;2f>uno9:;<=?62c9pmb6789::5>l4she3456790>i7~gh012344?2j2ybk=>?013:2g=tan:;<=>>96`8wla789:;=46m;rkd45678832n6}fg123457>ik1xej>?0122=gd<{`m;<=>?18aa?vo`89:;<<7kb:qjc56789;2io5|if2345661oh0di?01235d6e3zcl<=>?00c2f>uno9:;<=?n2c9pmb6789::m>l4she345679h>i7~gh012344g2j2ybk=>?013b2g=tan:;<=>>a6`8wla789:;=l6m;rkd456788k2n6}fg123457fik1xej>?0122egd<{`m;<=>?1`aa?vo`89:;<?00`2f>uno9:;<=?m2c9pmb6789::n>l4she345679k>i7~gh012344d2j2ybk=>?013a2g=tan:;<=>>b6`8wla789:;=o6m;rkd456788h2n6}fg123457eik1xej>?0122fgd<{`m;<=>?1caa?vo`89:;<?00a2f>uno9:;<=?l2c9pmb6789::o>l4she345679j>i7~gh012344e2j2ybk=>?013`2g=tan:;<=>>c6`8wla789:;=n6m;rkd456788i2n6}fg123457dik1xej>?0122ggd<{`m;<=>?1baa?vo`89:;<?00f2f>uno9:;<=?k2c9pmb6789::h>l4she345679m>i7~gh012344b2j2ybk=>?013g2g=tan:;<=>>d6`8wla789:;=i6m;rkd456788n2n6}fg123457cik1xej>?0122`gd<{`m;<=>?1eaa?vo`89:;<?00g2f>uno9:;<=?j2c9pmb6789::i>l4she345679l>i7~gh012344c2j2ybk=>?013f2g=tan:;<=>>e6`8wla789:;=h6m;rkd456788o2n6}fg123457bik1xej>?0122agd<{`m;<=>?1daa?vo`89:;<?00d2f>uno9:;<=?i2c9pmb6789::j>l4she345679o>i7~gh012344`2j2ybk=>?013e2g=tan:;<=>>f6`8wla789:;=k6m;rkd456788l2n6}fg123457aik1xej>?0122bgd<{`m;<=>?1gaa?vo`89:;<?0322f>uno9:;<=l4she34567:9>i7~gh01234762j2ybk=>?01032g=tan:;<=>=06`8wla789:;>=6m;rkd45678;:2n6}fg1234547ik1xej>?01214gd<{`m;<=>?21aa?vo`89:;kb:qjc567898;io5|if2345658oh0di?0123646e3zcl<=>?0332f>uno9:;<=<>2c9pmb6789:9=>l4she34567:8>i7~gh01234772j2ybk=>?01022g=tan:;<=>=16`8wla789:;><6m;rkd45678;;2n6}fg1234546ik1xej>?01215gd<{`m;<=>?20aa?vo`89:;?0302f>uno9:;<=<=2c9pmb6789:9>>l4she34567:;>i7~gh01234742j2ybk=>?01012g=tan:;<=>=26`8wla789:;>?6m;rkd45678;82n6}fg1234545ik1xej>?01216gd<{`m;<=>?23aa?vo`89:;?0312f>uno9:;<=<<2c9pmb6789:9?>l4she34567::>i7~gh01234752j2ybk=>?01002g=tan:;<=>=36`8wla789:;>>6m;rkd45678;92n6}fg1234544ik1xej>?01217gd<{`m;<=>?22aa?vo`89:;?0362f>uno9:;<=<;2c9pmb6789:98>l4she34567:=>i7~gh01234722j2ybk=>?01072g=tan:;<=>=46`8wla789:;>96m;rkd45678;>2n6}fg1234543ik1xej>?01210gd<{`m;<=>?25aa?vo`89:;?0372f>uno9:;<=<:2c9pmb6789:99>l4she34567:<>i7~gh01234732j2ybk=>?01062g=tan:;<=>=56`8wla789:;>86m;rkd45678;?2n6}fg1234542ik1xej>?01211gd<{`m;<=>?24aa?vo`89:;io5|if234565=oh0di?0123636e3zcl<=>?0342f>uno9:;<=<92c9pmb6789:9:>l4she34567:?>i7~gh01234702j2ybk=>?01052g=tan:;<=>=66`8wla789:;>;6m;rkd45678;<2n6}fg1234541ik1xej>?01212gd<{`m;<=>?27aa?vo`89:;oh0di?0123626e3zcl<=>?0352f>uno9:;<=<82c9pmb6789:9;>l4she34567:>>i7~gh01234712j2ybk=>?01042g=tan:;<=>=76`8wla789:;>:6m;rkd45678;=2n6}fg1234540ik1xej>?01213gd<{`m;<=>?26aa?vo`89:;?03:2f>uno9:;<=<72c9pmb6789:94>l4she34567:1>i7~gh012347>2j2ybk=>?010;2g=tan:;<=>=86`8wla789:;>56m;rkd45678;22n6}fg123454?ik1xej>?0121?29aa?vo`89:;?03;2f>uno9:;<=<62c9pmb6789:95>l4she34567:0>i7~gh012347?2j2ybk=>?010:2g=tan:;<=>=96`8wla789:;>46m;rkd45678;32n6}fg123454>ik1xej>?0121=gd<{`m;<=>?28aa?vo`89:;?03c2f>uno9:;<=l4she34567:h>i7~gh012347g2j2ybk=>?010b2g=tan:;<=>=a6`8wla789:;>l6m;rkd45678;k2n6}fg123454fik1xej>?0121egd<{`m;<=>?2`aa?vo`89:;?03`2f>uno9:;<=l4she34567:k>i7~gh012347d2j2ybk=>?010a2g=tan:;<=>=b6`8wla789:;>o6m;rkd45678;h2n6}fg123454eik1xej>?0121fgd<{`m;<=>?2caa?vo`89:;?03a2f>uno9:;<=l4she34567:j>i7~gh012347e2j2ybk=>?010`2g=tan:;<=>=c6`8wla789:;>n6m;rkd45678;i2n6}fg123454dik1xej>?0121ggd<{`m;<=>?2baa?vo`89:;?03f2f>uno9:;<=l4she34567:m>i7~gh012347b2j2ybk=>?010g2g=tan:;<=>=d6`8wla789:;>i6m;rkd45678;n2n6}fg123454cik1xej>?0121`gd<{`m;<=>?2eaa?vo`89:;?03g2f>uno9:;<=l4she34567:l>i7~gh012347c2j2ybk=>?010f2g=tan:;<=>=e6`8wla789:;>h6m;rkd45678;o2n6}fg123454bik1xej>?0121agd<{`m;<=>?2daa?vo`89:;?03d2f>uno9:;<=l4she34567:o>i7~gh012347`2j2ybk=>?010e2g=tan:;<=>=f6`8wla789:;>k6m;rkd45678;l2n6}fg123454aik1xej>?0121bgd<{`m;<=>?2gaa?vo`89:;?0222f>uno9:;<==?2c9pmb6789:8<>l4she34567;9>i7~gh01234662j2ybk=>?01132g=tan:;<=><06`8wla789:;?=6m;rkd45678::2n6}fg1234557ik1xej>?01204gd<{`m;<=>?31aa?vo`89:;<>>kb:qjc567899;io5|if2345648oh0di?0123746e3zcl<=>?0232f>uno9:;<==>2c9pmb6789:8=>l4she34567;8>i7~gh01234672j2ybk=>?01122g=tan:;<=><16`8wla789:;?<6m;rkd45678:;2n6}fg1234556ik1xej>?01205gd<{`m;<=>?30aa?vo`89:;<>?kb:qjc567899:io5|if2345649oh0di?0123776e3zcl<=>?0202f>uno9:;<===2c9pmb6789:8>>l4she34567;;>i7~gh01234642j2ybk=>?01112g=tan:;<=><26`8wla789:;??6m;rkd45678:82n6}fg1234555ik1xej>?01206gd<{`m;<=>?33aa?vo`89:;<>?0212f>uno9:;<==<2c9pmb6789:8?>l4she34567;:>i7~gh01234652j2ybk=>?01102g=tan:;<=><36`8wla789:;?>6m;rkd45678:92n6}fg1234554ik1xej>?01207gd<{`m;<=>?32aa?vo`89:;<>=kb:qjc5678998io5|if234564;oh0di?0123716e3zcl<=>?0262f>uno9:;<==;2c9pmb6789:88>l4she34567;=>i7~gh01234622j2ybk=>?01172g=tan:;<=><46`8wla789:;?96m;rkd45678:>2n6}fg1234553ik1xej>?01200gd<{`m;<=>?35aa?vo`89:;<>:kb:qjc567899?io5|if234564?0272f>uno9:;<==:2c9pmb6789:89>l4she34567;<>i7~gh01234632j2ybk=>?01162g=tan:;<=><56`8wla789:;?86m;rkd45678:?2n6}fg1234552ik1xej>?01201gd<{`m;<=>?34aa?vo`89:;<>;kb:qjc567899>io5|if234564=oh0di?0123736e3zcl<=>?0242f>uno9:;<==92c9pmb6789:8:>l4she34567;?>i7~gh01234602j2ybk=>?01152g=tan:;<=><66`8wla789:;?;6m;rkd45678:<2n6}fg1234551ik1xej>?01202gd<{`m;<=>?37aa?vo`89:;<>8kb:qjc567899=io5|if234564>oh0di?0123726e3zcl<=>?0252f>uno9:;<==82c9pmb6789:8;>l4she34567;>>i7~gh01234612j2ybk=>?01142g=tan:;<=><76`8wla789:;?:6m;rkd45678:=2n6}fg1234550ik1xej>?01203gd<{`m;<=>?36aa?vo`89:;<>9kb:qjc567899?02:2f>uno9:;<==72c9pmb6789:84>l4she34567;1>i7~gh012346>2j2ybk=>?011;2g=tan:;<=><86`8wla789:;?56m;rkd45678:22n6}fg123455?ik1xej>?0120?39aa?vo`89:;<>6kb:qjc5678993io5|if2345640oh0di?01237<6e3zcl<=>?02;2f>uno9:;<==62c9pmb6789:85>l4she34567;0>i7~gh012346?2j2ybk=>?011:2g=tan:;<=><96`8wla789:;?46m;rkd45678:32n6}fg123455>ik1xej>?0120=gd<{`m;<=>?38aa?vo`89:;<>7kb:qjc5678992io5|if2345641oh0di?01237d6e3zcl<=>?02c2f>uno9:;<==n2c9pmb6789:8m>l4she34567;h>i7~gh012346g2j2ybk=>?011b2g=tan:;<=>?0120egd<{`m;<=>?3`aa?vo`89:;<>okb:qjc567899jio5|if234564ioh0di?01237g6e3zcl<=>?02`2f>uno9:;<==m2c9pmb6789:8n>l4she34567;k>i7~gh012346d2j2ybk=>?011a2g=tan:;<=>?0120fgd<{`m;<=>?3caa?vo`89:;<>lkb:qjc567899iio5|if234564joh0di?01237f6e3zcl<=>?02a2f>uno9:;<==l2c9pmb6789:8o>l4she34567;j>i7~gh012346e2j2ybk=>?011`2g=tan:;<=>?0120ggd<{`m;<=>?3baa?vo`89:;<>mkb:qjc567899hio5|if234564koh0di?01237a6e3zcl<=>?02f2f>uno9:;<==k2c9pmb6789:8h>l4she34567;m>i7~gh012346b2j2ybk=>?011g2g=tan:;<=>?0120`gd<{`m;<=>?3eaa?vo`89:;<>jkb:qjc567899oio5|if234564loh0di?01237`6e3zcl<=>?02g2f>uno9:;<==j2c9pmb6789:8i>l4she34567;l>i7~gh012346c2j2ybk=>?011f2g=tan:;<=>?0120agd<{`m;<=>?3daa?vo`89:;<>kkb:qjc567899nio5|if234564moh0di?01237c6e3zcl<=>?02d2f>uno9:;<==i2c9pmb6789:8j>l4she34567;o>i7~gh012346`2j2ybk=>?011e2g=tan:;<=>?0120bgd<{`m;<=>?3gaa?vo`89:;<>hkb:qjc567899mio5|if234564noh0di?0123056e3zcl<=>?0522f>uno9:;<=:?2c9pmb6789:?<>l4she34567<9>i7~gh01234162j2ybk=>?01632g=tan:;<=>;06`8wla789:;8=6m;rkd45678=:2n6}fg1234527ik1xej>?01274gd<{`m;<=>?41aa?vo`89:;<9>kb:qjc56789>;io5|if2345638oh0di?0123046e3zcl<=>?0532f>uno9:;<=:>2c9pmb6789:?=>l4she34567<8>i7~gh01234172j2ybk=>?01622g=tan:;<=>;16`8wla789:;8<6m;rkd45678=;2n6}fg1234526ik1xej>?01275gd<{`m;<=>?40aa?vo`89:;<9?kb:qjc56789>:io5|if2345639oh0di?0123076e3zcl<=>?0502f>uno9:;<=:=2c9pmb6789:?>>l4she34567<;>i7~gh01234142j2ybk=>?01612g=tan:;<=>;26`8wla789:;8?6m;rkd45678=82n6}fg1234525ik1xej>?01276gd<{`m;<=>?43aa?vo`89:;<99io5|if234563:oh0di?0123066e3zcl<=>?0512f>uno9:;<=:<2c9pmb6789:??>l4she34567<:>i7~gh01234152j2ybk=>?01602g=tan:;<=>;36`8wla789:;8>6m;rkd45678=92n6}fg1234524ik1xej>?01277gd<{`m;<=>?42aa?vo`89:;<9=kb:qjc56789>8io5|if234563;oh0di?0123016e3zcl<=>?0562f>uno9:;<=:;2c9pmb6789:?8>l4she34567<=>i7~gh01234122j2ybk=>?01672g=tan:;<=>;46`8wla789:;896m;rkd45678=>2n6}fg1234523ik1xej>?01270gd<{`m;<=>?45aa?vo`89:;<9:kb:qjc56789>?io5|if234563?0572f>uno9:;<=::2c9pmb6789:?9>l4she34567<<>i7~gh01234132j2ybk=>?01662g=tan:;<=>;56`8wla789:;886m;rkd45678=?2n6}fg1234522ik1xej>?01271gd<{`m;<=>?44aa?vo`89:;<9;kb:qjc56789>>io5|if234563=oh0di?0123036e3zcl<=>?0542f>uno9:;<=:92c9pmb6789:?:>l4she34567i7~gh01234102j2ybk=>?01652g=tan:;<=>;66`8wla789:;8;6m;rkd45678=<2n6}fg1234521ik1xej>?01272gd<{`m;<=>?47aa?vo`89:;<98kb:qjc56789>=io5|if234563>oh0di?0123026e3zcl<=>?0552f>uno9:;<=:82c9pmb6789:?;>l4she34567<>>i7~gh01234112j2ybk=>?01642g=tan:;<=>;76`8wla789:;8:6m;rkd45678==2n6}fg1234520ik1xej>?01273gd<{`m;<=>?46aa?vo`89:;<99kb:qjc56789>?05:2f>uno9:;<=:72c9pmb6789:?4>l4she34567<1>i7~gh012341>2j2ybk=>?016;2g=tan:;<=>;86`8wla789:;856m;rkd45678=22n6}fg123452?ik1xej>?0127?49aa?vo`89:;<96kb:qjc56789>3io5|if2345630oh0di?01230<6e3zcl<=>?05;2f>uno9:;<=:62c9pmb6789:?5>l4she34567<0>i7~gh012341?2j2ybk=>?016:2g=tan:;<=>;96`8wla789:;846m;rkd45678=32n6}fg123452>ik1xej>?0127=gd<{`m;<=>?48aa?vo`89:;<97kb:qjc56789>2io5|if2345631oh0di?01230d6e3zcl<=>?05c2f>uno9:;<=:n2c9pmb6789:?m>l4she34567i7~gh012341g2j2ybk=>?016b2g=tan:;<=>;a6`8wla789:;8l6m;rkd45678=k2n6}fg123452fik1xej>?0127egd<{`m;<=>?4`aa?vo`89:;<9okb:qjc56789>jio5|if234563ioh0di?01230g6e3zcl<=>?05`2f>uno9:;<=:m2c9pmb6789:?n>l4she34567i7~gh012341d2j2ybk=>?016a2g=tan:;<=>;b6`8wla789:;8o6m;rkd45678=h2n6}fg123452eik1xej>?0127fgd<{`m;<=>?4caa?vo`89:;<9lkb:qjc56789>iio5|if234563joh0di?01230f6e3zcl<=>?05a2f>uno9:;<=:l2c9pmb6789:?o>l4she34567i7~gh012341e2j2ybk=>?016`2g=tan:;<=>;c6`8wla789:;8n6m;rkd45678=i2n6}fg123452dik1xej>?0127ggd<{`m;<=>?4baa?vo`89:;<9mkb:qjc56789>hio5|if234563koh0di?01230a6e3zcl<=>?05f2f>uno9:;<=:k2c9pmb6789:?h>l4she34567i7~gh012341b2j2ybk=>?016g2g=tan:;<=>;d6`8wla789:;8i6m;rkd45678=n2n6}fg123452cik1xej>?0127`gd<{`m;<=>?4eaa?vo`89:;<9jkb:qjc56789>oio5|if234563loh0di?01230`6e3zcl<=>?05g2f>uno9:;<=:j2c9pmb6789:?i>l4she34567i7~gh012341c2j2ybk=>?016f2g=tan:;<=>;e6`8wla789:;8h6m;rkd45678=o2n6}fg123452bik1xej>?0127agd<{`m;<=>?4daa?vo`89:;<9kkb:qjc56789>nio5|if234563moh0di?01230c6e3zcl<=>?05d2f>uno9:;<=:i2c9pmb6789:?j>l4she34567i7~gh012341`2j2ybk=>?016e2g=tan:;<=>;f6`8wla789:;8k6m;rkd45678=l2n6}fg123452aik1xej>?0127bgd<{`m;<=>?4gaa?vo`89:;<9hkb:qjc56789>mio5|if234563noh0di?0123156e3zcl<=>?0422f>uno9:;<=;?2c9pmb6789:><>l4she34567=9>i7~gh01234062j2ybk=>?01732g=tan:;<=>:06`8wla789:;9=6m;rkd45678<:2n6}fg1234537ik1xej>?01264gd<{`m;<=>?51aa?vo`89:;<8>kb:qjc56789?;io5|if2345628oh0di?0123146e3zcl<=>?0432f>uno9:;<=;>2c9pmb6789:>=>l4she34567=8>i7~gh01234072j2ybk=>?01722g=tan:;<=>:16`8wla789:;9<6m;rkd45678<;2n6}fg1234536ik1xej>?01265gd<{`m;<=>?50aa?vo`89:;<8?kb:qjc56789?:io5|if2345629oh0di?0123176e3zcl<=>?0402f>uno9:;<=;=2c9pmb6789:>>>l4she34567=;>i7~gh01234042j2ybk=>?01712g=tan:;<=>:26`8wla789:;9?6m;rkd45678<82n6}fg1234535ik1xej>?01266gd<{`m;<=>?53aa?vo`89:;<8?0412f>uno9:;<=;<2c9pmb6789:>?>l4she34567=:>i7~gh01234052j2ybk=>?01702g=tan:;<=>:36`8wla789:;9>6m;rkd45678<92n6}fg1234534ik1xej>?01267gd<{`m;<=>?52aa?vo`89:;<8=kb:qjc56789?8io5|if234562;oh0di?0123116e3zcl<=>?0462f>uno9:;<=;;2c9pmb6789:>8>l4she34567==>i7~gh01234022j2ybk=>?01772g=tan:;<=>:46`8wla789:;996m;rkd45678<>2n6}fg1234533ik1xej>?01260gd<{`m;<=>?55aa?vo`89:;<8:kb:qjc56789??io5|if234562?0472f>uno9:;<=;:2c9pmb6789:>9>l4she34567=<>i7~gh01234032j2ybk=>?01762g=tan:;<=>:56`8wla789:;986m;rkd45678?01261gd<{`m;<=>?54aa?vo`89:;<8;kb:qjc56789?>io5|if234562=oh0di?0123136e3zcl<=>?0442f>uno9:;<=;92c9pmb6789:>:>l4she34567=?>i7~gh01234002j2ybk=>?01752g=tan:;<=>:66`8wla789:;9;6m;rkd45678<<2n6}fg1234531ik1xej>?01262gd<{`m;<=>?57aa?vo`89:;<88kb:qjc56789?=io5|if234562>oh0di?0123126e3zcl<=>?0452f>uno9:;<=;82c9pmb6789:>;>l4she34567=>>i7~gh01234012j2ybk=>?01742g=tan:;<=>:76`8wla789:;9:6m;rkd45678<=2n6}fg1234530ik1xej>?01263gd<{`m;<=>?56aa?vo`89:;<89kb:qjc56789??04:2f>uno9:;<=;72c9pmb6789:>4>l4she34567=1>i7~gh012340>2j2ybk=>?017;2g=tan:;<=>:86`8wla789:;956m;rkd45678<22n6}fg123453?ik1xej>?0126?59aa?vo`89:;<86kb:qjc56789?3io5|if2345620oh0di?01231<6e3zcl<=>?04;2f>uno9:;<=;62c9pmb6789:>5>l4she34567=0>i7~gh012340?2j2ybk=>?017:2g=tan:;<=>:96`8wla789:;946m;rkd45678<32n6}fg123453>ik1xej>?0126=gd<{`m;<=>?58aa?vo`89:;<87kb:qjc56789?2io5|if2345621oh0di?01231d6e3zcl<=>?04c2f>uno9:;<=;n2c9pmb6789:>m>l4she34567=h>i7~gh012340g2j2ybk=>?017b2g=tan:;<=>:a6`8wla789:;9l6m;rkd45678?0126egd<{`m;<=>?5`aa?vo`89:;<8okb:qjc56789?jio5|if234562ioh0di?01231g6e3zcl<=>?04`2f>uno9:;<=;m2c9pmb6789:>n>l4she34567=k>i7~gh012340d2j2ybk=>?017a2g=tan:;<=>:b6`8wla789:;9o6m;rkd45678?0126fgd<{`m;<=>?5caa?vo`89:;<8lkb:qjc56789?iio5|if234562joh0di?01231f6e3zcl<=>?04a2f>uno9:;<=;l2c9pmb6789:>o>l4she34567=j>i7~gh012340e2j2ybk=>?017`2g=tan:;<=>:c6`8wla789:;9n6m;rkd45678?0126ggd<{`m;<=>?5baa?vo`89:;<8mkb:qjc56789?hio5|if234562koh0di?01231a6e3zcl<=>?04f2f>uno9:;<=;k2c9pmb6789:>h>l4she34567=m>i7~gh012340b2j2ybk=>?017g2g=tan:;<=>:d6`8wla789:;9i6m;rkd45678?0126`gd<{`m;<=>?5eaa?vo`89:;<8jkb:qjc56789?oio5|if234562loh0di?01231`6e3zcl<=>?04g2f>uno9:;<=;j2c9pmb6789:>i>l4she34567=l>i7~gh012340c2j2ybk=>?017f2g=tan:;<=>:e6`8wla789:;9h6m;rkd45678?0126agd<{`m;<=>?5daa?vo`89:;<8kkb:qjc56789?nio5|if234562moh0di?01231c6e3zcl<=>?04d2f>uno9:;<=;i2c9pmb6789:>j>l4she34567=o>i7~gh012340`2j2ybk=>?017e2g=tan:;<=>:f6`8wla789:;9k6m;rkd45678?0126bgd<{`m;<=>?5gaa?vo`89:;<8hkb:qjc56789?mio5|if234562noh0di?0123256e3zcl<=>?0722f>uno9:;<=8?2c9pmb6789:=<>l4she34567>9>i7~gh01234362j2ybk=>?01432g=tan:;<=>906`8wla789:;:=6m;rkd45678?:2n6}fg1234507ik1xej>?01254gd<{`m;<=>?61aa?vo`89:;<;>kb:qjc56789<;io5|if2345618oh0di?0123246e3zcl<=>?0732f>uno9:;<=8>2c9pmb6789:==>l4she34567>8>i7~gh01234372j2ybk=>?01422g=tan:;<=>916`8wla789:;:<6m;rkd45678?;2n6}fg1234506ik1xej>?01255gd<{`m;<=>?60aa?vo`89:;<;?kb:qjc56789<:io5|if2345619oh0di?0123276e3zcl<=>?0702f>uno9:;<=8=2c9pmb6789:=>>l4she34567>;>i7~gh01234342j2ybk=>?01412g=tan:;<=>926`8wla789:;:?6m;rkd45678?82n6}fg1234505ik1xej>?01256gd<{`m;<=>?63aa?vo`89:;<;?0712f>uno9:;<=8<2c9pmb6789:=?>l4she34567>:>i7~gh01234352j2ybk=>?01402g=tan:;<=>936`8wla789:;:>6m;rkd45678?92n6}fg1234504ik1xej>?01257gd<{`m;<=>?62aa?vo`89:;<;=kb:qjc56789<8io5|if234561;oh0di?0123216e3zcl<=>?0762f>uno9:;<=8;2c9pmb6789:=8>l4she34567>=>i7~gh01234322j2ybk=>?01472g=tan:;<=>946`8wla789:;:96m;rkd45678?>2n6}fg1234503ik1xej>?01250gd<{`m;<=>?65aa?vo`89:;<;:kb:qjc56789?0772f>uno9:;<=8:2c9pmb6789:=9>l4she34567><>i7~gh01234332j2ybk=>?01462g=tan:;<=>956`8wla789:;:86m;rkd45678??2n6}fg1234502ik1xej>?01251gd<{`m;<=>?64aa?vo`89:;<;;kb:qjc56789<>io5|if234561=oh0di?0123236e3zcl<=>?0742f>uno9:;<=892c9pmb6789:=:>l4she34567>?>i7~gh01234302j2ybk=>?01452g=tan:;<=>966`8wla789:;:;6m;rkd45678?<2n6}fg1234501ik1xej>?01252gd<{`m;<=>?67aa?vo`89:;<;8kb:qjc56789<=io5|if234561>oh0di?0123226e3zcl<=>?0752f>uno9:;<=882c9pmb6789:=;>l4she34567>>>i7~gh01234312j2ybk=>?01442g=tan:;<=>976`8wla789:;::6m;rkd45678?=2n6}fg1234500ik1xej>?01253gd<{`m;<=>?66aa?vo`89:;<;9kb:qjc56789<?07:2f>uno9:;<=872c9pmb6789:=4>l4she34567>1>i7~gh012343>2j2ybk=>?014;2g=tan:;<=>986`8wla789:;:56m;rkd45678?22n6}fg123450?ik1xej>?0125?69aa?vo`89:;<;6kb:qjc56789<3io5|if2345610oh0di?01232<6e3zcl<=>?07;2f>uno9:;<=862c9pmb6789:=5>l4she34567>0>i7~gh012343?2j2ybk=>?014:2g=tan:;<=>996`8wla789:;:46m;rkd45678?32n6}fg123450>ik1xej>?0125=gd<{`m;<=>?68aa?vo`89:;<;7kb:qjc56789<2io5|if2345611oh0di?01232d6e3zcl<=>?07c2f>uno9:;<=8n2c9pmb6789:=m>l4she34567>h>i7~gh012343g2j2ybk=>?014b2g=tan:;<=>9a6`8wla789:;:l6m;rkd45678?k2n6}fg123450fik1xej>?0125egd<{`m;<=>?6`aa?vo`89:;<;okb:qjc56789?07`2f>uno9:;<=8m2c9pmb6789:=n>l4she34567>k>i7~gh012343d2j2ybk=>?014a2g=tan:;<=>9b6`8wla789:;:o6m;rkd45678?h2n6}fg123450eik1xej>?0125fgd<{`m;<=>?6caa?vo`89:;<;lkb:qjc56789?07a2f>uno9:;<=8l2c9pmb6789:=o>l4she34567>j>i7~gh012343e2j2ybk=>?014`2g=tan:;<=>9c6`8wla789:;:n6m;rkd45678?i2n6}fg123450dik1xej>?0125ggd<{`m;<=>?6baa?vo`89:;<;mkb:qjc56789?07f2f>uno9:;<=8k2c9pmb6789:=h>l4she34567>m>i7~gh012343b2j2ybk=>?014g2g=tan:;<=>9d6`8wla789:;:i6m;rkd45678?n2n6}fg123450cik1xej>?0125`gd<{`m;<=>?6eaa?vo`89:;<;jkb:qjc56789?07g2f>uno9:;<=8j2c9pmb6789:=i>l4she34567>l>i7~gh012343c2j2ybk=>?014f2g=tan:;<=>9e6`8wla789:;:h6m;rkd45678?o2n6}fg123450bik1xej>?0125agd<{`m;<=>?6daa?vo`89:;<;kkb:qjc56789?07d2f>uno9:;<=8i2c9pmb6789:=j>l4she34567>o>i7~gh012343`2j2ybk=>?014e2g=tan:;<=>9f6`8wla789:;:k6m;rkd45678?l2n6}fg123450aik1xej>?0125bgd<{`m;<=>?6gaa?vo`89:;<;hkb:qjc56789?0622f>uno9:;<=9?2c9pmb6789:<<>l4she34567?9>i7~gh01234262j2ybk=>?01532g=tan:;<=>806`8wla789:;;=6m;rkd45678>:2n6}fg1234517ik1xej>?01244gd<{`m;<=>?71aa?vo`89:;<:>kb:qjc56789=;io5|if2345608oh0di?0123346e3zcl<=>?0632f>uno9:;<=9>2c9pmb6789:<=>l4she34567?8>i7~gh01234272j2ybk=>?01522g=tan:;<=>816`8wla789:;;<6m;rkd45678>;2n6}fg1234516ik1xej>?01245gd<{`m;<=>?70aa?vo`89:;<:?kb:qjc56789=:io5|if2345609oh0di?0123376e3zcl<=>?0602f>uno9:;<=9=2c9pmb6789:<>>l4she34567?;>i7~gh01234242j2ybk=>?01512g=tan:;<=>826`8wla789:;;?6m;rkd45678>82n6}fg1234515ik1xej>?01246gd<{`m;<=>?73aa?vo`89:;<:?0612f>uno9:;<=9<2c9pmb6789:l4she34567?:>i7~gh01234252j2ybk=>?01502g=tan:;<=>836`8wla789:;;>6m;rkd45678>92n6}fg1234514ik1xej>?01247gd<{`m;<=>?72aa?vo`89:;<:=kb:qjc56789=8io5|if234560;oh0di?0123316e3zcl<=>?0662f>uno9:;<=9;2c9pmb6789:<8>l4she34567?=>i7~gh01234222j2ybk=>?01572g=tan:;<=>846`8wla789:;;96m;rkd45678>>2n6}fg1234513ik1xej>?01240gd<{`m;<=>?75aa?vo`89:;<::kb:qjc56789=?io5|if234560?0672f>uno9:;<=9:2c9pmb6789:<9>l4she34567?<>i7~gh01234232j2ybk=>?01562g=tan:;<=>856`8wla789:;;86m;rkd45678>?2n6}fg1234512ik1xej>?01241gd<{`m;<=>?74aa?vo`89:;<:;kb:qjc56789=>io5|if234560=oh0di?0123336e3zcl<=>?0642f>uno9:;<=992c9pmb6789:<:>l4she34567??>i7~gh01234202j2ybk=>?01552g=tan:;<=>866`8wla789:;;;6m;rkd45678><2n6}fg1234511ik1xej>?01242gd<{`m;<=>?77aa?vo`89:;<:8kb:qjc56789==io5|if234560>oh0di?0123326e3zcl<=>?0652f>uno9:;<=982c9pmb6789:<;>l4she34567?>>i7~gh01234212j2ybk=>?01542g=tan:;<=>876`8wla789:;;:6m;rkd45678>=2n6}fg1234510ik1xej>?01243gd<{`m;<=>?76aa?vo`89:;<:9kb:qjc56789=?06:2f>uno9:;<=972c9pmb6789:<4>l4she34567?1>i7~gh012342>2j2ybk=>?015;2g=tan:;<=>886`8wla789:;;56m;rkd45678>22n6}fg123451?ik1xej>?0124?79aa?vo`89:;<:6kb:qjc56789=3io5|if2345600oh0di?01233<6e3zcl<=>?06;2f>uno9:;<=962c9pmb6789:<5>l4she34567?0>i7~gh012342?2j2ybk=>?015:2g=tan:;<=>896`8wla789:;;46m;rkd45678>32n6}fg123451>ik1xej>?0124=gd<{`m;<=>?78aa?vo`89:;<:7kb:qjc56789=2io5|if2345601oh0di?01233d6e3zcl<=>?06c2f>uno9:;<=9n2c9pmb6789:l4she34567?h>i7~gh012342g2j2ybk=>?015b2g=tan:;<=>8a6`8wla789:;;l6m;rkd45678>k2n6}fg123451fik1xej>?0124egd<{`m;<=>?7`aa?vo`89:;<:okb:qjc56789=jio5|if234560ioh0di?01233g6e3zcl<=>?06`2f>uno9:;<=9m2c9pmb6789:l4she34567?k>i7~gh012342d2j2ybk=>?015a2g=tan:;<=>8b6`8wla789:;;o6m;rkd45678>h2n6}fg123451eik1xej>?0124fgd<{`m;<=>?7caa?vo`89:;<:lkb:qjc56789=iio5|if234560joh0di?01233f6e3zcl<=>?06a2f>uno9:;<=9l2c9pmb6789:l4she34567?j>i7~gh012342e2j2ybk=>?015`2g=tan:;<=>8c6`8wla789:;;n6m;rkd45678>i2n6}fg123451dik1xej>?0124ggd<{`m;<=>?7baa?vo`89:;<:mkb:qjc56789=hio5|if234560koh0di?01233a6e3zcl<=>?06f2f>uno9:;<=9k2c9pmb6789:l4she34567?m>i7~gh012342b2j2ybk=>?015g2g=tan:;<=>8d6`8wla789:;;i6m;rkd45678>n2n6}fg123451cik1xej>?0124`gd<{`m;<=>?7eaa?vo`89:;<:jkb:qjc56789=oio5|if234560loh0di?01233`6e3zcl<=>?06g2f>uno9:;<=9j2c9pmb6789:l4she34567?l>i7~gh012342c2j2ybk=>?015f2g=tan:;<=>8e6`8wla789:;;h6m;rkd45678>o2n6}fg123451bik1xej>?0124agd<{`m;<=>?7daa?vo`89:;<:kkb:qjc56789=nio5|if234560moh0di?01233c6e3zcl<=>?06d2f>uno9:;<=9i2c9pmb6789:l4she34567?o>i7~gh012342`2j2ybk=>?015e2g=tan:;<=>8f6`8wla789:;;k6m;rkd45678>l2n6}fg123451aik1xej>?0124bgd<{`m;<=>?7gaa?vo`89:;<:hkb:qjc56789=mio5|if234560noh0di?0123<56e3zcl<=>?0922f>uno9:;<=6?2c9pmb6789:3<>l4she3456709>i7~gh01234=62j2ybk=>?01:32g=tan:;<=>706`8wla789:;4=6m;rkd456781:2n6}fg12345>7ik1xej>?012;4gd<{`m;<=>?81aa?vo`89:;<5>kb:qjc567892;io5|if23456?8oh0di?0123<46e3zcl<=>?0932f>uno9:;<=6>2c9pmb6789:3=>l4she3456708>i7~gh01234=72j2ybk=>?01:22g=tan:;<=>716`8wla789:;4<6m;rkd456781;2n6}fg12345>6ik1xej>?012;5gd<{`m;<=>?80aa?vo`89:;<5?kb:qjc567892:io5|if23456?9oh0di?0123<76e3zcl<=>?0902f>uno9:;<=6=2c9pmb6789:3>>l4she345670;>i7~gh01234=42j2ybk=>?01:12g=tan:;<=>726`8wla789:;4?6m;rkd45678182n6}fg12345>5ik1xej>?012;6gd<{`m;<=>?83aa?vo`89:;<5?0912f>uno9:;<=6<2c9pmb6789:3?>l4she345670:>i7~gh01234=52j2ybk=>?01:02g=tan:;<=>736`8wla789:;4>6m;rkd45678192n6}fg12345>4ik1xej>?012;7gd<{`m;<=>?82aa?vo`89:;<5=kb:qjc5678928io5|if23456?;oh0di?0123<16e3zcl<=>?0962f>uno9:;<=6;2c9pmb6789:38>l4she345670=>i7~gh01234=22j2ybk=>?01:72g=tan:;<=>746`8wla789:;496m;rkd456781>2n6}fg12345>3ik1xej>?012;0gd<{`m;<=>?85aa?vo`89:;<5:kb:qjc567892?io5|if23456??0972f>uno9:;<=6:2c9pmb6789:39>l4she345670<>i7~gh01234=32j2ybk=>?01:62g=tan:;<=>756`8wla789:;486m;rkd456781?2n6}fg12345>2ik1xej>?012;1gd<{`m;<=>?84aa?vo`89:;<5;kb:qjc567892>io5|if23456?=oh0di?0123<36e3zcl<=>?0942f>uno9:;<=692c9pmb6789:3:>l4she345670?>i7~gh01234=02j2ybk=>?01:52g=tan:;<=>766`8wla789:;4;6m;rkd456781<2n6}fg12345>1ik1xej>?012;2gd<{`m;<=>?87aa?vo`89:;<58kb:qjc567892=io5|if23456?>oh0di?0123<26e3zcl<=>?0952f>uno9:;<=682c9pmb6789:3;>l4she345670>>i7~gh01234=12j2ybk=>?01:42g=tan:;<=>776`8wla789:;4:6m;rkd456781=2n6}fg12345>0ik1xej>?012;3gd<{`m;<=>?86aa?vo`89:;<59kb:qjc567892?09:2f>uno9:;<=672c9pmb6789:34>l4she3456701>i7~gh01234=>2j2ybk=>?01:;2g=tan:;<=>786`8wla789:;456m;rkd45678122n6}fg12345>?ik1xej>?012;?89aa?vo`89:;<56kb:qjc5678923io5|if23456?0oh0di?0123<<6e3zcl<=>?09;2f>uno9:;<=662c9pmb6789:35>l4she3456700>i7~gh01234=?2j2ybk=>?01::2g=tan:;<=>796`8wla789:;446m;rkd45678132n6}fg12345>>ik1xej>?012;=gd<{`m;<=>?88aa?vo`89:;<57kb:qjc5678922io5|if23456?1oh0di?0123?09c2f>uno9:;<=6n2c9pmb6789:3m>l4she345670h>i7~gh01234=g2j2ybk=>?01:b2g=tan:;<=>7a6`8wla789:;4l6m;rkd456781k2n6}fg12345>fik1xej>?012;egd<{`m;<=>?8`aa?vo`89:;<5okb:qjc567892jio5|if23456?ioh0di?0123?09`2f>uno9:;<=6m2c9pmb6789:3n>l4she345670k>i7~gh01234=d2j2ybk=>?01:a2g=tan:;<=>7b6`8wla789:;4o6m;rkd456781h2n6}fg12345>eik1xej>?012;fgd<{`m;<=>?8caa?vo`89:;<5lkb:qjc567892iio5|if23456?joh0di?0123?09a2f>uno9:;<=6l2c9pmb6789:3o>l4she345670j>i7~gh01234=e2j2ybk=>?01:`2g=tan:;<=>7c6`8wla789:;4n6m;rkd456781i2n6}fg12345>dik1xej>?012;ggd<{`m;<=>?8baa?vo`89:;<5mkb:qjc567892hio5|if23456?koh0di?0123?09f2f>uno9:;<=6k2c9pmb6789:3h>l4she345670m>i7~gh01234=b2j2ybk=>?01:g2g=tan:;<=>7d6`8wla789:;4i6m;rkd456781n2n6}fg12345>cik1xej>?012;`gd<{`m;<=>?8eaa?vo`89:;<5jkb:qjc567892oio5|if23456?loh0di?0123<`6e3zcl<=>?09g2f>uno9:;<=6j2c9pmb6789:3i>l4she345670l>i7~gh01234=c2j2ybk=>?01:f2g=tan:;<=>7e6`8wla789:;4h6m;rkd456781o2n6}fg12345>bik1xej>?012;agd<{`m;<=>?8daa?vo`89:;<5kkb:qjc567892nio5|if23456?moh0di?0123?09d2f>uno9:;<=6i2c9pmb6789:3j>l4she345670o>i7~gh01234=`2j2ybk=>?01:e2g=tan:;<=>7f6`8wla789:;4k6m;rkd456781l2n6}fg12345>aik1xej>?012;bgd<{`m;<=>?8gaa?vo`89:;<5hkb:qjc567892mio5|if23456?noh0di?0123=56e3zcl<=>?0822f>uno9:;<=7?2c9pmb6789:2<>l4she3456719>i7~gh01234<62j2ybk=>?01;32g=tan:;<=>606`8wla789:;5=6m;rkd456780:2n6}fg12345?7ik1xej>?012:4gd<{`m;<=>?91aa?vo`89:;<4>kb:qjc567893;io5|if23456>8oh0di?0123=46e3zcl<=>?0832f>uno9:;<=7>2c9pmb6789:2=>l4she3456718>i7~gh01234<72j2ybk=>?01;22g=tan:;<=>616`8wla789:;5<6m;rkd456780;2n6}fg12345?6ik1xej>?012:5gd<{`m;<=>?90aa?vo`89:;<4?kb:qjc567893:io5|if23456>9oh0di?0123=76e3zcl<=>?0802f>uno9:;<=7=2c9pmb6789:2>>l4she345671;>i7~gh01234<42j2ybk=>?01;12g=tan:;<=>626`8wla789:;5?6m;rkd45678082n6}fg12345?5ik1xej>?012:6gd<{`m;<=>?93aa?vo`89:;<4:oh0di?0123=66e3zcl<=>?0812f>uno9:;<=7<2c9pmb6789:2?>l4she345671:>i7~gh01234<52j2ybk=>?01;02g=tan:;<=>636`8wla789:;5>6m;rkd45678092n6}fg12345?4ik1xej>?012:7gd<{`m;<=>?92aa?vo`89:;<4=kb:qjc5678938io5|if23456>;oh0di?0123=16e3zcl<=>?0862f>uno9:;<=7;2c9pmb6789:28>l4she345671=>i7~gh01234<22j2ybk=>?01;72g=tan:;<=>646`8wla789:;596m;rkd456780>2n6}fg12345?3ik1xej>?012:0gd<{`m;<=>?95aa?vo`89:;<4:kb:qjc567893?io5|if23456>?0872f>uno9:;<=7:2c9pmb6789:29>l4she345671<>i7~gh01234<32j2ybk=>?01;62g=tan:;<=>656`8wla789:;586m;rkd456780?2n6}fg12345?2ik1xej>?012:1gd<{`m;<=>?94aa?vo`89:;<4;kb:qjc567893>io5|if23456>=oh0di?0123=36e3zcl<=>?0842f>uno9:;<=792c9pmb6789:2:>l4she345671?>i7~gh01234<02j2ybk=>?01;52g=tan:;<=>666`8wla789:;5;6m;rkd456780<2n6}fg12345?1ik1xej>?012:2gd<{`m;<=>?97aa?vo`89:;<48kb:qjc567893=io5|if23456>>oh0di?0123=26e3zcl<=>?0852f>uno9:;<=782c9pmb6789:2;>l4she345671>>i7~gh01234<12j2ybk=>?01;42g=tan:;<=>676`8wla789:;5:6m;rkd456780=2n6}fg12345?0ik1xej>?012:3gd<{`m;<=>?96aa?vo`89:;<49kb:qjc567893?oh0di?0123==6e3zcl<=>?08:2f>uno9:;<=772c9pmb6789:24>l4she3456711>i7~gh01234<>2j2ybk=>?01;;2g=tan:;<=>686`8wla789:;556m;rkd45678022n6}fg12345??ik1xej>?012:?99aa?vo`89:;<46kb:qjc5678933io5|if23456>0oh0di?0123=<6e3zcl<=>?08;2f>uno9:;<=762c9pmb6789:25>l4she3456710>i7~gh01234?01;:2g=tan:;<=>696`8wla789:;546m;rkd45678032n6}fg12345?>ik1xej>?012:=gd<{`m;<=>?98aa?vo`89:;<47kb:qjc5678932io5|if23456>1oh0di?0123=d6e3zcl<=>?08c2f>uno9:;<=7n2c9pmb6789:2m>l4she345671h>i7~gh01234?01;b2g=tan:;<=>6a6`8wla789:;5l6m;rkd456780k2n6}fg12345?fik1xej>?012:egd<{`m;<=>?9`aa?vo`89:;<4okb:qjc567893jio5|if23456>ioh0di?0123=g6e3zcl<=>?08`2f>uno9:;<=7m2c9pmb6789:2n>l4she345671k>i7~gh01234?01;a2g=tan:;<=>6b6`8wla789:;5o6m;rkd456780h2n6}fg12345?eik1xej>?012:fgd<{`m;<=>?9caa?vo`89:;<4lkb:qjc567893iio5|if23456>joh0di?0123=f6e3zcl<=>?08a2f>uno9:;<=7l2c9pmb6789:2o>l4she345671j>i7~gh01234?01;`2g=tan:;<=>6c6`8wla789:;5n6m;rkd456780i2n6}fg12345?dik1xej>?012:ggd<{`m;<=>?9baa?vo`89:;<4mkb:qjc567893hio5|if23456>koh0di?0123=a6e3zcl<=>?08f2f>uno9:;<=7k2c9pmb6789:2h>l4she345671m>i7~gh01234?01;g2g=tan:;<=>6d6`8wla789:;5i6m;rkd456780n2n6}fg12345?cik1xej>?012:`gd<{`m;<=>?9eaa?vo`89:;<4jkb:qjc567893oio5|if23456>loh0di?0123=`6e3zcl<=>?08g2f>uno9:;<=7j2c9pmb6789:2i>l4she345671l>i7~gh01234?01;f2g=tan:;<=>6e6`8wla789:;5h6m;rkd456780o2n6}fg12345?bik1xej>?012:agd<{`m;<=>?9daa?vo`89:;<4kkb:qjc567893nio5|if23456>moh0di?0123=c6e3zcl<=>?08d2f>uno9:;<=7i2c9pmb6789:2j>l4she345671o>i7~gh01234<`2j2ybk=>?01;e2g=tan:;<=>6f6`8wla789:;5k6m;rkd456780l2n6}fg12345?aik1xej>?012:bgd<{`m;<=>?9gaa?vo`89:;<4hkb:qjc567893mio5|if23456>noh0di?0123e56e3zcl<=>?0`22f>uno9:;<=o?2c9pmb6789:j<>l4she34567i9>i7~gh01234d62j2ybk=>?01c32g=tan:;<=>n06`8wla789:;m=6m;rkd45678h:2n6}fg12345g7ik1xej>?012b4gd<{`m;<=>?a1aa?vo`89:;kb:qjc56789k;io5|if23456f8oh0di?0123e46e3zcl<=>?0`32f>uno9:;<=o>2c9pmb6789:j=>l4she34567i8>i7~gh01234d72j2ybk=>?01c22g=tan:;<=>n16`8wla789:;m<6m;rkd45678h;2n6}fg12345g6ik1xej>?012b5gd<{`m;<=>?a0aa?vo`89:;?0`02f>uno9:;<=o=2c9pmb6789:j>>l4she34567i;>i7~gh01234d42j2ybk=>?01c12g=tan:;<=>n26`8wla789:;m?6m;rkd45678h82n6}fg12345g5ik1xej>?012b6gd<{`m;<=>?a3aa?vo`89:;?0`12f>uno9:;<=o<2c9pmb6789:j?>l4she34567i:>i7~gh01234d52j2ybk=>?01c02g=tan:;<=>n36`8wla789:;m>6m;rkd45678h92n6}fg12345g4ik1xej>?012b7gd<{`m;<=>?a2aa?vo`89:;?0`62f>uno9:;<=o;2c9pmb6789:j8>l4she34567i=>i7~gh01234d22j2ybk=>?01c72g=tan:;<=>n46`8wla789:;m96m;rkd45678h>2n6}fg12345g3ik1xej>?012b0gd<{`m;<=>?a5aa?vo`89:;?0`72f>uno9:;<=o:2c9pmb6789:j9>l4she34567i<>i7~gh01234d32j2ybk=>?01c62g=tan:;<=>n56`8wla789:;m86m;rkd45678h?2n6}fg12345g2ik1xej>?012b1gd<{`m;<=>?a4aa?vo`89:;io5|if23456f=oh0di?0123e36e3zcl<=>?0`42f>uno9:;<=o92c9pmb6789:j:>l4she34567i?>i7~gh01234d02j2ybk=>?01c52g=tan:;<=>n66`8wla789:;m;6m;rkd45678h<2n6}fg12345g1ik1xej>?012b2gd<{`m;<=>?a7aa?vo`89:;oh0di?0123e26e3zcl<=>?0`52f>uno9:;<=o82c9pmb6789:j;>l4she34567i>>i7~gh01234d12j2ybk=>?01c42g=tan:;<=>n76`8wla789:;m:6m;rkd45678h=2n6}fg12345g0ik1xej>?012b3gd<{`m;<=>?a6aa?vo`89:;?0`:2f>uno9:;<=o72c9pmb6789:j4>l4she34567i1>i7~gh01234d>2j2ybk=>?01c;2g=tan:;<=>n86`8wla789:;m56m;rkd45678h22n6}fg12345g?ik1xej>?012b?a9aa?vo`89:;?0`;2f>uno9:;<=o62c9pmb6789:j5>l4she34567i0>i7~gh01234d?2j2ybk=>?01c:2g=tan:;<=>n96`8wla789:;m46m;rkd45678h32n6}fg12345g>ik1xej>?012b=gd<{`m;<=>?a8aa?vo`89:;?0`c2f>uno9:;<=on2c9pmb6789:jm>l4she34567ih>i7~gh01234dg2j2ybk=>?01cb2g=tan:;<=>na6`8wla789:;ml6m;rkd45678hk2n6}fg12345gfik1xej>?012begd<{`m;<=>?a`aa?vo`89:;?0``2f>uno9:;<=om2c9pmb6789:jn>l4she34567ik>i7~gh01234dd2j2ybk=>?01ca2g=tan:;<=>nb6`8wla789:;mo6m;rkd45678hh2n6}fg12345geik1xej>?012bfgd<{`m;<=>?acaa?vo`89:;?0`a2f>uno9:;<=ol2c9pmb6789:jo>l4she34567ij>i7~gh01234de2j2ybk=>?01c`2g=tan:;<=>nc6`8wla789:;mn6m;rkd45678hi2n6}fg12345gdik1xej>?012bggd<{`m;<=>?abaa?vo`89:;?0`f2f>uno9:;<=ok2c9pmb6789:jh>l4she34567im>i7~gh01234db2j2ybk=>?01cg2g=tan:;<=>nd6`8wla789:;mi6m;rkd45678hn2n6}fg12345gcik1xej>?012b`gd<{`m;<=>?aeaa?vo`89:;?0`g2f>uno9:;<=oj2c9pmb6789:ji>l4she34567il>i7~gh01234dc2j2ybk=>?01cf2g=tan:;<=>ne6`8wla789:;mh6m;rkd45678ho2n6}fg12345gbik1xej>?012bagd<{`m;<=>?adaa?vo`89:;?0`d2f>uno9:;<=oi2c9pmb6789:jj>l4she34567io>i7~gh01234d`2j2ybk=>?01ce2g=tan:;<=>nf6`8wla789:;mk6m;rkd45678hl2n6}fg12345gaik1xej>?012bbgd<{`m;<=>?agaa?vo`89:;?0c22f>uno9:;<=l?2c9pmb6789:i<>l4she34567j9>i7~gh01234g62j2ybk=>?01`32g=tan:;<=>m06`8wla789:;n=6m;rkd45678k:2n6}fg12345d7ik1xej>?012a4gd<{`m;<=>?b1aa?vo`89:;kb:qjc56789h;io5|if23456e8oh0di?0123f46e3zcl<=>?0c32f>uno9:;<=l>2c9pmb6789:i=>l4she34567j8>i7~gh01234g72j2ybk=>?01`22g=tan:;<=>m16`8wla789:;n<6m;rkd45678k;2n6}fg12345d6ik1xej>?012a5gd<{`m;<=>?b0aa?vo`89:;?0c02f>uno9:;<=l=2c9pmb6789:i>>l4she34567j;>i7~gh01234g42j2ybk=>?01`12g=tan:;<=>m26`8wla789:;n?6m;rkd45678k82n6}fg12345d5ik1xej>?012a6gd<{`m;<=>?b3aa?vo`89:;?0c12f>uno9:;<=l<2c9pmb6789:i?>l4she34567j:>i7~gh01234g52j2ybk=>?01`02g=tan:;<=>m36`8wla789:;n>6m;rkd45678k92n6}fg12345d4ik1xej>?012a7gd<{`m;<=>?b2aa?vo`89:;?0c62f>uno9:;<=l;2c9pmb6789:i8>l4she34567j=>i7~gh01234g22j2ybk=>?01`72g=tan:;<=>m46`8wla789:;n96m;rkd45678k>2n6}fg12345d3ik1xej>?012a0gd<{`m;<=>?b5aa?vo`89:;?0c72f>uno9:;<=l:2c9pmb6789:i9>l4she34567j<>i7~gh01234g32j2ybk=>?01`62g=tan:;<=>m56`8wla789:;n86m;rkd45678k?2n6}fg12345d2ik1xej>?012a1gd<{`m;<=>?b4aa?vo`89:;io5|if23456e=oh0di?0123f36e3zcl<=>?0c42f>uno9:;<=l92c9pmb6789:i:>l4she34567j?>i7~gh01234g02j2ybk=>?01`52g=tan:;<=>m66`8wla789:;n;6m;rkd45678k<2n6}fg12345d1ik1xej>?012a2gd<{`m;<=>?b7aa?vo`89:;oh0di?0123f26e3zcl<=>?0c52f>uno9:;<=l82c9pmb6789:i;>l4she34567j>>i7~gh01234g12j2ybk=>?01`42g=tan:;<=>m76`8wla789:;n:6m;rkd45678k=2n6}fg12345d0ik1xej>?012a3gd<{`m;<=>?b6aa?vo`89:;?0c:2f>uno9:;<=l72c9pmb6789:i4>l4she34567j1>i7~gh01234g>2j2ybk=>?01`;2g=tan:;<=>m86`8wla789:;n56m;rkd45678k22n6}fg12345d?ik1xej>?012a?b9aa?vo`89:;?0c;2f>uno9:;<=l62c9pmb6789:i5>l4she34567j0>i7~gh01234g?2j2ybk=>?01`:2g=tan:;<=>m96`8wla789:;n46m;rkd45678k32n6}fg12345d>ik1xej>?012a=gd<{`m;<=>?b8aa?vo`89:;?0cc2f>uno9:;<=ln2c9pmb6789:im>l4she34567jh>i7~gh01234gg2j2ybk=>?01`b2g=tan:;<=>ma6`8wla789:;nl6m;rkd45678kk2n6}fg12345dfik1xej>?012aegd<{`m;<=>?b`aa?vo`89:;?0c`2f>uno9:;<=lm2c9pmb6789:in>l4she34567jk>i7~gh01234gd2j2ybk=>?01`a2g=tan:;<=>mb6`8wla789:;no6m;rkd45678kh2n6}fg12345deik1xej>?012afgd<{`m;<=>?bcaa?vo`89:;?0ca2f>uno9:;<=ll2c9pmb6789:io>l4she34567jj>i7~gh01234ge2j2ybk=>?01``2g=tan:;<=>mc6`8wla789:;nn6m;rkd45678ki2n6}fg12345ddik1xej>?012aggd<{`m;<=>?bbaa?vo`89:;?0cf2f>uno9:;<=lk2c9pmb6789:ih>l4she34567jm>i7~gh01234gb2j2ybk=>?01`g2g=tan:;<=>md6`8wla789:;ni6m;rkd45678kn2n6}fg12345dcik1xej>?012a`gd<{`m;<=>?beaa?vo`89:;?0cg2f>uno9:;<=lj2c9pmb6789:ii>l4she34567jl>i7~gh01234gc2j2ybk=>?01`f2g=tan:;<=>me6`8wla789:;nh6m;rkd45678ko2n6}fg12345dbik1xej>?012aagd<{`m;<=>?bdaa?vo`89:;?0cd2f>uno9:;<=li2c9pmb6789:ij>l4she34567jo>i7~gh01234g`2j2ybk=>?01`e2g=tan:;<=>mf6`8wla789:;nk6m;rkd45678kl2n6}fg12345daik1xej>?012abgd<{`m;<=>?bgaa?vo`89:;?0b22f>uno9:;<=m?2c9pmb6789:h<>l4she34567k9>i7~gh01234f62j2ybk=>?01a32g=tan:;<=>l06`8wla789:;o=6m;rkd45678j:2n6}fg12345e7ik1xej>?012`4gd<{`m;<=>?c1aa?vo`89:;kb:qjc56789i;io5|if23456d8oh0di?0123g46e3zcl<=>?0b32f>uno9:;<=m>2c9pmb6789:h=>l4she34567k8>i7~gh01234f72j2ybk=>?01a22g=tan:;<=>l16`8wla789:;o<6m;rkd45678j;2n6}fg12345e6ik1xej>?012`5gd<{`m;<=>?c0aa?vo`89:;?0b02f>uno9:;<=m=2c9pmb6789:h>>l4she34567k;>i7~gh01234f42j2ybk=>?01a12g=tan:;<=>l26`8wla789:;o?6m;rkd45678j82n6}fg12345e5ik1xej>?012`6gd<{`m;<=>?c3aa?vo`89:;?0b12f>uno9:;<=m<2c9pmb6789:h?>l4she34567k:>i7~gh01234f52j2ybk=>?01a02g=tan:;<=>l36`8wla789:;o>6m;rkd45678j92n6}fg12345e4ik1xej>?012`7gd<{`m;<=>?c2aa?vo`89:;?0b62f>uno9:;<=m;2c9pmb6789:h8>l4she34567k=>i7~gh01234f22j2ybk=>?01a72g=tan:;<=>l46`8wla789:;o96m;rkd45678j>2n6}fg12345e3ik1xej>?012`0gd<{`m;<=>?c5aa?vo`89:;?0b72f>uno9:;<=m:2c9pmb6789:h9>l4she34567k<>i7~gh01234f32j2ybk=>?01a62g=tan:;<=>l56`8wla789:;o86m;rkd45678j?2n6}fg12345e2ik1xej>?012`1gd<{`m;<=>?c4aa?vo`89:;io5|if23456d=oh0di?0123g36e3zcl<=>?0b42f>uno9:;<=m92c9pmb6789:h:>l4she34567k?>i7~gh01234f02j2ybk=>?01a52g=tan:;<=>l66`8wla789:;o;6m;rkd45678j<2n6}fg12345e1ik1xej>?012`2gd<{`m;<=>?c7aa?vo`89:;oh0di?0123g26e3zcl<=>?0b52f>uno9:;<=m82c9pmb6789:h;>l4she34567k>>i7~gh01234f12j2ybk=>?01a42g=tan:;<=>l76`8wla789:;o:6m;rkd45678j=2n6}fg12345e0ik1xej>?012`3gd<{`m;<=>?c6aa?vo`89:;?0b:2f>uno9:;<=m72c9pmb6789:h4>l4she34567k1>i7~gh01234f>2j2ybk=>?01a;2g=tan:;<=>l86`8wla789:;o56m;rkd45678j22n6}fg12345e?ik1xej>?012`?c9aa?vo`89:;?0b;2f>uno9:;<=m62c9pmb6789:h5>l4she34567k0>i7~gh01234f?2j2ybk=>?01a:2g=tan:;<=>l96`8wla789:;o46m;rkd45678j32n6}fg12345e>ik1xej>?012`=gd<{`m;<=>?c8aa?vo`89:;?0bc2f>uno9:;<=mn2c9pmb6789:hm>l4she34567kh>i7~gh01234fg2j2ybk=>?01ab2g=tan:;<=>la6`8wla789:;ol6m;rkd45678jk2n6}fg12345efik1xej>?012`egd<{`m;<=>?c`aa?vo`89:;?0b`2f>uno9:;<=mm2c9pmb6789:hn>l4she34567kk>i7~gh01234fd2j2ybk=>?01aa2g=tan:;<=>lb6`8wla789:;oo6m;rkd45678jh2n6}fg12345eeik1xej>?012`fgd<{`m;<=>?ccaa?vo`89:;?0ba2f>uno9:;<=ml2c9pmb6789:ho>l4she34567kj>i7~gh01234fe2j2ybk=>?01a`2g=tan:;<=>lc6`8wla789:;on6m;rkd45678ji2n6}fg12345edik1xej>?012`ggd<{`m;<=>?cbaa?vo`89:;?0bf2f>uno9:;<=mk2c9pmb6789:hh>l4she34567km>i7~gh01234fb2j2ybk=>?01ag2g=tan:;<=>ld6`8wla789:;oi6m;rkd45678jn2n6}fg12345ecik1xej>?012``gd<{`m;<=>?ceaa?vo`89:;?0bg2f>uno9:;<=mj2c9pmb6789:hi>l4she34567kl>i7~gh01234fc2j2ybk=>?01af2g=tan:;<=>le6`8wla789:;oh6m;rkd45678jo2n6}fg12345ebik1xej>?012`agd<{`m;<=>?cdaa?vo`89:;?0bd2f>uno9:;<=mi2c9pmb6789:hj>l4she34567ko>i7~gh01234f`2j2ybk=>?01ae2g=tan:;<=>lf6`8wla789:;ok6m;rkd45678jl2n6}fg12345eaik1xej>?012`bgd<{`m;<=>?cgaa?vo`89:;?0e22f>uno9:;<=j?2c9pmb6789:o<>l4she34567l9>i7~gh01234a62j2ybk=>?01f32g=tan:;<=>k06`8wla789:;h=6m;rkd45678m:2n6}fg12345b7ik1xej>?012g4gd<{`m;<=>?d1aa?vo`89:;kb:qjc56789n;io5|if23456c8oh0di?0123`46e3zcl<=>?0e32f>uno9:;<=j>2c9pmb6789:o=>l4she34567l8>i7~gh01234a72j2ybk=>?01f22g=tan:;<=>k16`8wla789:;h<6m;rkd45678m;2n6}fg12345b6ik1xej>?012g5gd<{`m;<=>?d0aa?vo`89:;?0e02f>uno9:;<=j=2c9pmb6789:o>>l4she34567l;>i7~gh01234a42j2ybk=>?01f12g=tan:;<=>k26`8wla789:;h?6m;rkd45678m82n6}fg12345b5ik1xej>?012g6gd<{`m;<=>?d3aa?vo`89:;?0e12f>uno9:;<=j<2c9pmb6789:o?>l4she34567l:>i7~gh01234a52j2ybk=>?01f02g=tan:;<=>k36`8wla789:;h>6m;rkd45678m92n6}fg12345b4ik1xej>?012g7gd<{`m;<=>?d2aa?vo`89:;?0e62f>uno9:;<=j;2c9pmb6789:o8>l4she34567l=>i7~gh01234a22j2ybk=>?01f72g=tan:;<=>k46`8wla789:;h96m;rkd45678m>2n6}fg12345b3ik1xej>?012g0gd<{`m;<=>?d5aa?vo`89:;?0e72f>uno9:;<=j:2c9pmb6789:o9>l4she34567l<>i7~gh01234a32j2ybk=>?01f62g=tan:;<=>k56`8wla789:;h86m;rkd45678m?2n6}fg12345b2ik1xej>?012g1gd<{`m;<=>?d4aa?vo`89:;io5|if23456c=oh0di?0123`36e3zcl<=>?0e42f>uno9:;<=j92c9pmb6789:o:>l4she34567l?>i7~gh01234a02j2ybk=>?01f52g=tan:;<=>k66`8wla789:;h;6m;rkd45678m<2n6}fg12345b1ik1xej>?012g2gd<{`m;<=>?d7aa?vo`89:;oh0di?0123`26e3zcl<=>?0e52f>uno9:;<=j82c9pmb6789:o;>l4she34567l>>i7~gh01234a12j2ybk=>?01f42g=tan:;<=>k76`8wla789:;h:6m;rkd45678m=2n6}fg12345b0ik1xej>?012g3gd<{`m;<=>?d6aa?vo`89:;?0e:2f>uno9:;<=j72c9pmb6789:o4>l4she34567l1>i7~gh01234a>2j2ybk=>?01f;2g=tan:;<=>k86`8wla789:;h56m;rkd45678m22n6}fg12345b?ik1xej>?012g?d9aa?vo`89:;?0e;2f>uno9:;<=j62c9pmb6789:o5>l4she34567l0>i7~gh01234a?2j2ybk=>?01f:2g=tan:;<=>k96`8wla789:;h46m;rkd45678m32n6}fg12345b>ik1xej>?012g=gd<{`m;<=>?d8aa?vo`89:;?0ec2f>uno9:;<=jn2c9pmb6789:om>l4she34567lh>i7~gh01234ag2j2ybk=>?01fb2g=tan:;<=>ka6`8wla789:;hl6m;rkd45678mk2n6}fg12345bfik1xej>?012gegd<{`m;<=>?d`aa?vo`89:;?0e`2f>uno9:;<=jm2c9pmb6789:on>l4she34567lk>i7~gh01234ad2j2ybk=>?01fa2g=tan:;<=>kb6`8wla789:;ho6m;rkd45678mh2n6}fg12345beik1xej>?012gfgd<{`m;<=>?dcaa?vo`89:;?0ea2f>uno9:;<=jl2c9pmb6789:oo>l4she34567lj>i7~gh01234ae2j2ybk=>?01f`2g=tan:;<=>kc6`8wla789:;hn6m;rkd45678mi2n6}fg12345bdik1xej>?012gggd<{`m;<=>?dbaa?vo`89:;?0ef2f>uno9:;<=jk2c9pmb6789:oh>l4she34567lm>i7~gh01234ab2j2ybk=>?01fg2g=tan:;<=>kd6`8wla789:;hi6m;rkd45678mn2n6}fg12345bcik1xej>?012g`gd<{`m;<=>?deaa?vo`89:;?0eg2f>uno9:;<=jj2c9pmb6789:oi>l4she34567ll>i7~gh01234ac2j2ybk=>?01ff2g=tan:;<=>ke6`8wla789:;hh6m;rkd45678mo2n6}fg12345bbik1xej>?012gagd<{`m;<=>?ddaa?vo`89:;?0ed2f>uno9:;<=ji2c9pmb6789:oj>l4she34567lo>i7~gh01234a`2j2ybk=>?01fe2g=tan:;<=>kf6`8wla789:;hk6m;rkd45678ml2n6}fg12345baik1xej>?012gbgd<{`m;<=>?dgaa?vo`89:;?0d22f>uno9:;<=k?2c9pmb6789:n<>l4she34567m9>i7~gh01234`62j2ybk=>?01g32g=tan:;<=>j06`8wla789:;i=6m;rkd45678l:2n6}fg12345c7ik1xej>?012f4gd<{`m;<=>?e1aa?vo`89:;kb:qjc56789o;io5|if23456b8oh0di?0123a46e3zcl<=>?0d32f>uno9:;<=k>2c9pmb6789:n=>l4she34567m8>i7~gh01234`72j2ybk=>?01g22g=tan:;<=>j16`8wla789:;i<6m;rkd45678l;2n6}fg12345c6ik1xej>?012f5gd<{`m;<=>?e0aa?vo`89:;?0d02f>uno9:;<=k=2c9pmb6789:n>>l4she34567m;>i7~gh01234`42j2ybk=>?01g12g=tan:;<=>j26`8wla789:;i?6m;rkd45678l82n6}fg12345c5ik1xej>?012f6gd<{`m;<=>?e3aa?vo`89:;?0d12f>uno9:;<=k<2c9pmb6789:n?>l4she34567m:>i7~gh01234`52j2ybk=>?01g02g=tan:;<=>j36`8wla789:;i>6m;rkd45678l92n6}fg12345c4ik1xej>?012f7gd<{`m;<=>?e2aa?vo`89:;?0d62f>uno9:;<=k;2c9pmb6789:n8>l4she34567m=>i7~gh01234`22j2ybk=>?01g72g=tan:;<=>j46`8wla789:;i96m;rkd45678l>2n6}fg12345c3ik1xej>?012f0gd<{`m;<=>?e5aa?vo`89:;?0d72f>uno9:;<=k:2c9pmb6789:n9>l4she34567m<>i7~gh01234`32j2ybk=>?01g62g=tan:;<=>j56`8wla789:;i86m;rkd45678l?2n6}fg12345c2ik1xej>?012f1gd<{`m;<=>?e4aa?vo`89:;io5|if23456b=oh0di?0123a36e3zcl<=>?0d42f>uno9:;<=k92c9pmb6789:n:>l4she34567m?>i7~gh01234`02j2ybk=>?01g52g=tan:;<=>j66`8wla789:;i;6m;rkd45678l<2n6}fg12345c1ik1xej>?012f2gd<{`m;<=>?e7aa?vo`89:;oh0di?0123a26e3zcl<=>?0d52f>uno9:;<=k82c9pmb6789:n;>l4she34567m>>i7~gh01234`12j2ybk=>?01g42g=tan:;<=>j76`8wla789:;i:6m;rkd45678l=2n6}fg12345c0ik1xej>?012f3gd<{`m;<=>?e6aa?vo`89:;?0d:2f>uno9:;<=k72c9pmb6789:n4>l4she34567m1>i7~gh01234`>2j2ybk=>?01g;2g=tan:;<=>j86`8wla789:;i56m;rkd45678l22n6}fg12345c?ik1xej>?012f?e9aa?vo`89:;?0d;2f>uno9:;<=k62c9pmb6789:n5>l4she34567m0>i7~gh01234`?2j2ybk=>?01g:2g=tan:;<=>j96`8wla789:;i46m;rkd45678l32n6}fg12345c>ik1xej>?012f=gd<{`m;<=>?e8aa?vo`89:;?0dc2f>uno9:;<=kn2c9pmb6789:nm>l4she34567mh>i7~gh01234`g2j2ybk=>?01gb2g=tan:;<=>ja6`8wla789:;il6m;rkd45678lk2n6}fg12345cfik1xej>?012fegd<{`m;<=>?e`aa?vo`89:;?0d`2f>uno9:;<=km2c9pmb6789:nn>l4she34567mk>i7~gh01234`d2j2ybk=>?01ga2g=tan:;<=>jb6`8wla789:;io6m;rkd45678lh2n6}fg12345ceik1xej>?012ffgd<{`m;<=>?ecaa?vo`89:;?0da2f>uno9:;<=kl2c9pmb6789:no>l4she34567mj>i7~gh01234`e2j2ybk=>?01g`2g=tan:;<=>jc6`8wla789:;in6m;rkd45678li2n6}fg12345cdik1xej>?012fggd<{`m;<=>?ebaa?vo`89:;?0df2f>uno9:;<=kk2c9pmb6789:nh>l4she34567mm>i7~gh01234`b2j2ybk=>?01gg2g=tan:;<=>jd6`8wla789:;ii6m;rkd45678ln2n6}fg12345ccik1xej>?012f`gd<{`m;<=>?eeaa?vo`89:;?0dg2f>uno9:;<=kj2c9pmb6789:ni>l4she34567ml>i7~gh01234`c2j2ybk=>?01gf2g=tan:;<=>je6`8wla789:;ih6m;rkd45678lo2n6}fg12345cbik1xej>?012fagd<{`m;<=>?edaa?vo`89:;?0dd2f>uno9:;<=ki2c9pmb6789:nj>l4she34567mo>i7~gh01234``2j2ybk=>?01ge2g=tan:;<=>jf6`8wla789:;ik6m;rkd45678ll2n6}fg12345caik1xej>?012fbgd<{`m;<=>?egaa?vo`89:;?0g22f>uno9:;<=h?2c9pmb6789:m<>l4she34567n9>i7~gh01234c62j2ybk=>?01d32g=tan:;<=>i06`8wla789:;j=6m;rkd45678o:2n6}fg12345`7ik1xej>?012e4gd<{`m;<=>?f1aa?vo`89:;kb:qjc56789l;io5|if23456a8oh0di?0123b46e3zcl<=>?0g32f>uno9:;<=h>2c9pmb6789:m=>l4she34567n8>i7~gh01234c72j2ybk=>?01d22g=tan:;<=>i16`8wla789:;j<6m;rkd45678o;2n6}fg12345`6ik1xej>?012e5gd<{`m;<=>?f0aa?vo`89:;?0g02f>uno9:;<=h=2c9pmb6789:m>>l4she34567n;>i7~gh01234c42j2ybk=>?01d12g=tan:;<=>i26`8wla789:;j?6m;rkd45678o82n6}fg12345`5ik1xej>?012e6gd<{`m;<=>?f3aa?vo`89:;?0g12f>uno9:;<=h<2c9pmb6789:m?>l4she34567n:>i7~gh01234c52j2ybk=>?01d02g=tan:;<=>i36`8wla789:;j>6m;rkd45678o92n6}fg12345`4ik1xej>?012e7gd<{`m;<=>?f2aa?vo`89:;?0g62f>uno9:;<=h;2c9pmb6789:m8>l4she34567n=>i7~gh01234c22j2ybk=>?01d72g=tan:;<=>i46`8wla789:;j96m;rkd45678o>2n6}fg12345`3ik1xej>?012e0gd<{`m;<=>?f5aa?vo`89:;?0g72f>uno9:;<=h:2c9pmb6789:m9>l4she34567n<>i7~gh01234c32j2ybk=>?01d62g=tan:;<=>i56`8wla789:;j86m;rkd45678o?2n6}fg12345`2ik1xej>?012e1gd<{`m;<=>?f4aa?vo`89:;io5|if23456a=oh0di?0123b36e3zcl<=>?0g42f>uno9:;<=h92c9pmb6789:m:>l4she34567n?>i7~gh01234c02j2ybk=>?01d52g=tan:;<=>i66`8wla789:;j;6m;rkd45678o<2n6}fg12345`1ik1xej>?012e2gd<{`m;<=>?f7aa?vo`89:;oh0di?0123b26e3zcl<=>?0g52f>uno9:;<=h82c9pmb6789:m;>l4she34567n>>i7~gh01234c12j2ybk=>?01d42g=tan:;<=>i76`8wla789:;j:6m;rkd45678o=2n6}fg12345`0ik1xej>?012e3gd<{`m;<=>?f6aa?vo`89:;?0g:2f>uno9:;<=h72c9pmb6789:m4>l4she34567n1>i7~gh01234c>2j2ybk=>?01d;2g=tan:;<=>i86`8wla789:;j56m;rkd45678o22n6}fg12345`?ik1xej>?012e?f9aa?vo`89:;?0g;2f>uno9:;<=h62c9pmb6789:m5>l4she34567n0>i7~gh01234c?2j2ybk=>?01d:2g=tan:;<=>i96`8wla789:;j46m;rkd45678o32n6}fg12345`>ik1xej>?012e=gd<{`m;<=>?f8aa?vo`89:;?0gc2f>uno9:;<=hn2c9pmb6789:mm>l4she34567nh>i7~gh01234cg2j2ybk=>?01db2g=tan:;<=>ia6`8wla789:;jl6m;rkd45678ok2n6}fg12345`fik1xej>?012eegd<{`m;<=>?f`aa?vo`89:;?0g`2f>uno9:;<=hm2c9pmb6789:mn>l4she34567nk>i7~gh01234cd2j2ybk=>?01da2g=tan:;<=>ib6`8wla789:;jo6m;rkd45678oh2n6}fg12345`eik1xej>?012efgd<{`m;<=>?fcaa?vo`89:;?0ga2f>uno9:;<=hl2c9pmb6789:mo>l4she34567nj>i7~gh01234ce2j2ybk=>?01d`2g=tan:;<=>ic6`8wla789:;jn6m;rkd45678oi2n6}fg12345`dik1xej>?012eggd<{`m;<=>?fbaa?vo`89:;?0gf2f>uno9:;<=hk2c9pmb6789:mh>l4she34567nm>i7~gh01234cb2j2ybk=>?01dg2g=tan:;<=>id6`8wla789:;ji6m;rkd45678on2n6}fg12345`cik1xej>?012e`gd<{`m;<=>?feaa?vo`89:;?0gg2f>uno9:;<=hj2c9pmb6789:mi>l4she34567nl>i7~gh01234cc2j2ybk=>?01df2g=tan:;<=>ie6`8wla789:;jh6m;rkd45678oo2n6}fg12345`bik1xej>?012eagd<{`m;<=>?fdaa?vo`89:;?0gd2f>uno9:;<=hi2c9pmb6789:mj>l4she34567no>i7~gh01234c`2j2ybk=>?01de2g=tan:;<=>if6`8wla789:;jk6m;rkd45678ol2n6}fg12345`aik1xej>?012ebgd<{`m;<=>?fgaa?vo`89:;?1122f>uno9:;<<>?2c9pmb6789;;<>l4she3456689>i7~gh01235562j2ybk=>?00232g=tan:;<=??06`8wla789::<=6m;rkd456799:2n6}fg1234467ik1xej>?01334gd<{`m;<=>>01aa?vo`89:;==>kb:qjc56788:;io5|if2345778oh0di?0122446e3zcl<=>?1132f>uno9:;<<>>2c9pmb6789;;=>l4she3456688>i7~gh01235572j2ybk=>?00222g=tan:;<=??16`8wla789::<<6m;rkd456799;2n6}fg1234466ik1xej>?01335gd<{`m;<=>>00aa?vo`89:;==?kb:qjc56788::io5|if2345779oh0di?0122476e3zcl<=>?1102f>uno9:;<<>=2c9pmb6789;;>>l4she345668;>i7~gh01235542j2ybk=>?00212g=tan:;<=??26`8wla789::?01336gd<{`m;<=>>03aa?vo`89:;==?1112f>uno9:;<<><2c9pmb6789;;?>l4she345668:>i7~gh01235552j2ybk=>?00202g=tan:;<=??36`8wla789::<>6m;rkd45679992n6}fg1234464ik1xej>?01337gd<{`m;<=>>02aa?vo`89:;===kb:qjc56788:8io5|if234577;oh0di?0122416e3zcl<=>?1162f>uno9:;<<>;2c9pmb6789;;8>l4she345668=>i7~gh01235522j2ybk=>?00272g=tan:;<=??46`8wla789::<96m;rkd456799>2n6}fg1234463ik1xej>?01330gd<{`m;<=>>05aa?vo`89:;==:kb:qjc56788:?io5|if234577?1172f>uno9:;<<>:2c9pmb6789;;9>l4she345668<>i7~gh01235532j2ybk=>?00262g=tan:;<=??56`8wla789::<86m;rkd456799?2n6}fg1234462ik1xej>?01331gd<{`m;<=>>04aa?vo`89:;==;kb:qjc56788:>io5|if234577=oh0di?0122436e3zcl<=>?1142f>uno9:;<<>92c9pmb6789;;:>l4she345668?>i7~gh01235502j2ybk=>?00252g=tan:;<=??66`8wla789::<;6m;rkd456799<2n6}fg1234461ik1xej>?01332gd<{`m;<=>>07aa?vo`89:;==8kb:qjc56788:=io5|if234577>oh0di?0122426e3zcl<=>?1152f>uno9:;<<>82c9pmb6789;;;>l4she345668>>i7~gh01235512j2ybk=>?00242g=tan:;<=??76`8wla789::<:6m;rkd456799=2n6}fg1234460ik1xej>?01333gd<{`m;<=>>06aa?vo`89:;==9kb:qjc56788:?11:2f>uno9:;<<>72c9pmb6789;;4>l4she3456681>i7~gh012355>2j2ybk=>?002;2g=tan:;<=??86`8wla789::<56m;rkd45679922n6}fg123446?ik1xej>?0133>09aa?vo`89:;==6kb:qjc56788:3io5|if2345770oh0di?01224<6e3zcl<=>?11;2f>uno9:;<<>62c9pmb6789;;5>l4she3456680>i7~gh012355?2j2ybk=>?002:2g=tan:;<=??96`8wla789::<46m;rkd45679932n6}fg123446>ik1xej>?0133=gd<{`m;<=>>08aa?vo`89:;==7kb:qjc56788:2io5|if2345771oh0di?01224d6e3zcl<=>?11c2f>uno9:;<<>n2c9pmb6789;;m>l4she345668h>i7~gh012355g2j2ybk=>?002b2g=tan:;<=??a6`8wla789::?0133egd<{`m;<=>>0`aa?vo`89:;==okb:qjc56788:jio5|if234577ioh0di?01224g6e3zcl<=>?11`2f>uno9:;<<>m2c9pmb6789;;n>l4she345668k>i7~gh012355d2j2ybk=>?002a2g=tan:;<=??b6`8wla789::?0133fgd<{`m;<=>>0caa?vo`89:;==lkb:qjc56788:iio5|if234577joh0di?01224f6e3zcl<=>?11a2f>uno9:;<<>l2c9pmb6789;;o>l4she345668j>i7~gh012355e2j2ybk=>?002`2g=tan:;<=??c6`8wla789::?0133ggd<{`m;<=>>0baa?vo`89:;==mkb:qjc56788:hio5|if234577koh0di?01224a6e3zcl<=>?11f2f>uno9:;<<>k2c9pmb6789;;h>l4she345668m>i7~gh012355b2j2ybk=>?002g2g=tan:;<=??d6`8wla789::?0133`gd<{`m;<=>>0eaa?vo`89:;==jkb:qjc56788:oio5|if234577loh0di?01224`6e3zcl<=>?11g2f>uno9:;<<>j2c9pmb6789;;i>l4she345668l>i7~gh012355c2j2ybk=>?002f2g=tan:;<=??e6`8wla789::?0133agd<{`m;<=>>0daa?vo`89:;==kkb:qjc56788:nio5|if234577moh0di?01224c6e3zcl<=>?11d2f>uno9:;<<>i2c9pmb6789;;j>l4she345668o>i7~gh012355`2j2ybk=>?002e2g=tan:;<=??f6`8wla789::?0133bgd<{`m;<=>>0gaa?vo`89:;==hkb:qjc56788:mio5|if234577noh0di?0122556e3zcl<=>?1022f>uno9:;<l4she3456699>i7~gh01235462j2ybk=>?00332g=tan:;<=?>06`8wla789::==6m;rkd456798:2n6}fg1234477ik1xej>?01324gd<{`m;<=>>11aa?vo`89:;=<>kb:qjc56788;;io5|if2345768oh0di?0122546e3zcl<=>?1032f>uno9:;<2c9pmb6789;:=>l4she3456698>i7~gh01235472j2ybk=>?00322g=tan:;<=?>16`8wla789::=<6m;rkd456798;2n6}fg1234476ik1xej>?01325gd<{`m;<=>>10aa?vo`89:;=?1002f>uno9:;<>l4she345669;>i7~gh01235442j2ybk=>?00312g=tan:;<=?>26`8wla789::=?6m;rkd45679882n6}fg1234475ik1xej>?01326gd<{`m;<=>>13aa?vo`89:;=<?1012f>uno9:;<l4she345669:>i7~gh01235452j2ybk=>?00302g=tan:;<=?>36`8wla789::=>6m;rkd45679892n6}fg1234474ik1xej>?01327gd<{`m;<=>>12aa?vo`89:;=<=kb:qjc56788;8io5|if234576;oh0di?0122516e3zcl<=>?1062f>uno9:;<l4she345669=>i7~gh01235422j2ybk=>?00372g=tan:;<=?>46`8wla789::=96m;rkd456798>2n6}fg1234473ik1xej>?01320gd<{`m;<=>>15aa?vo`89:;=<:kb:qjc56788;?io5|if234576?1072f>uno9:;<l4she345669<>i7~gh01235432j2ybk=>?00362g=tan:;<=?>56`8wla789::=86m;rkd456798?2n6}fg1234472ik1xej>?01321gd<{`m;<=>>14aa?vo`89:;=<;kb:qjc56788;>io5|if234576=oh0di?0122536e3zcl<=>?1042f>uno9:;<l4she345669?>i7~gh01235402j2ybk=>?00352g=tan:;<=?>66`8wla789::=;6m;rkd456798<2n6}fg1234471ik1xej>?01322gd<{`m;<=>>17aa?vo`89:;=<8kb:qjc56788;=io5|if234576>oh0di?0122526e3zcl<=>?1052f>uno9:;<l4she345669>>i7~gh01235412j2ybk=>?00342g=tan:;<=?>76`8wla789::=:6m;rkd456798=2n6}fg1234470ik1xej>?01323gd<{`m;<=>>16aa?vo`89:;=<9kb:qjc56788;?10:2f>uno9:;<l4she3456691>i7~gh012354>2j2ybk=>?003;2g=tan:;<=?>86`8wla789::=56m;rkd45679822n6}fg123447?ik1xej>?0132>19aa?vo`89:;=<6kb:qjc56788;3io5|if2345760oh0di?01225<6e3zcl<=>?10;2f>uno9:;<l4she3456690>i7~gh012354?2j2ybk=>?003:2g=tan:;<=?>96`8wla789::=46m;rkd45679832n6}fg123447>ik1xej>?0132=gd<{`m;<=>>18aa?vo`89:;=<7kb:qjc56788;2io5|if2345761oh0di?01225d6e3zcl<=>?10c2f>uno9:;<l4she345669h>i7~gh012354g2j2ybk=>?003b2g=tan:;<=?>a6`8wla789::=l6m;rkd456798k2n6}fg123447fik1xej>?0132egd<{`m;<=>>1`aa?vo`89:;=?10`2f>uno9:;<l4she345669k>i7~gh012354d2j2ybk=>?003a2g=tan:;<=?>b6`8wla789::=o6m;rkd456798h2n6}fg123447eik1xej>?0132fgd<{`m;<=>>1caa?vo`89:;=?10a2f>uno9:;<l4she345669j>i7~gh012354e2j2ybk=>?003`2g=tan:;<=?>c6`8wla789::=n6m;rkd456798i2n6}fg123447dik1xej>?0132ggd<{`m;<=>>1baa?vo`89:;=?10f2f>uno9:;<l4she345669m>i7~gh012354b2j2ybk=>?003g2g=tan:;<=?>d6`8wla789::=i6m;rkd456798n2n6}fg123447cik1xej>?0132`gd<{`m;<=>>1eaa?vo`89:;=?10g2f>uno9:;<l4she345669l>i7~gh012354c2j2ybk=>?003f2g=tan:;<=?>e6`8wla789::=h6m;rkd456798o2n6}fg123447bik1xej>?0132agd<{`m;<=>>1daa?vo`89:;=?10d2f>uno9:;<l4she345669o>i7~gh012354`2j2ybk=>?003e2g=tan:;<=?>f6`8wla789::=k6m;rkd456798l2n6}fg123447aik1xej>?0132bgd<{`m;<=>>1gaa?vo`89:;=?1322f>uno9:;<<l4she34566:9>i7~gh01235762j2ybk=>?00032g=tan:;<=?=06`8wla789::>=6m;rkd45679;:2n6}fg1234447ik1xej>?01314gd<{`m;<=>>21aa?vo`89:;=?>kb:qjc567888;io5|if2345758oh0di?0122646e3zcl<=>?1332f>uno9:;<<<>2c9pmb6789;9=>l4she34566:8>i7~gh01235772j2ybk=>?00022g=tan:;<=?=16`8wla789::><6m;rkd45679;;2n6}fg1234446ik1xej>?01315gd<{`m;<=>>20aa?vo`89:;=??kb:qjc567888:io5|if2345759oh0di?0122676e3zcl<=>?1302f>uno9:;<<<=2c9pmb6789;9>>l4she34566:;>i7~gh01235742j2ybk=>?00012g=tan:;<=?=26`8wla789::>?6m;rkd45679;82n6}fg1234445ik1xej>?01316gd<{`m;<=>>23aa?vo`89:;=??1312f>uno9:;<<<<2c9pmb6789;9?>l4she34566::>i7~gh01235752j2ybk=>?00002g=tan:;<=?=36`8wla789::>>6m;rkd45679;92n6}fg1234444ik1xej>?01317gd<{`m;<=>>22aa?vo`89:;=?=kb:qjc5678888io5|if234575;oh0di?0122616e3zcl<=>?1362f>uno9:;<<<;2c9pmb6789;98>l4she34566:=>i7~gh01235722j2ybk=>?00072g=tan:;<=?=46`8wla789::>96m;rkd45679;>2n6}fg1234443ik1xej>?01310gd<{`m;<=>>25aa?vo`89:;=?:kb:qjc567888?io5|if234575?1372f>uno9:;<<<:2c9pmb6789;99>l4she34566:<>i7~gh01235732j2ybk=>?00062g=tan:;<=?=56`8wla789::>86m;rkd45679;?2n6}fg1234442ik1xej>?01311gd<{`m;<=>>24aa?vo`89:;=?;kb:qjc567888>io5|if234575=oh0di?0122636e3zcl<=>?1342f>uno9:;<<<92c9pmb6789;9:>l4she34566:?>i7~gh01235702j2ybk=>?00052g=tan:;<=?=66`8wla789::>;6m;rkd45679;<2n6}fg1234441ik1xej>?01312gd<{`m;<=>>27aa?vo`89:;=?8kb:qjc567888=io5|if234575>oh0di?0122626e3zcl<=>?1352f>uno9:;<<<82c9pmb6789;9;>l4she34566:>>i7~gh01235712j2ybk=>?00042g=tan:;<=?=76`8wla789::>:6m;rkd45679;=2n6}fg1234440ik1xej>?01313gd<{`m;<=>>26aa?vo`89:;=?9kb:qjc567888?13:2f>uno9:;<<<72c9pmb6789;94>l4she34566:1>i7~gh012357>2j2ybk=>?000;2g=tan:;<=?=86`8wla789::>56m;rkd45679;22n6}fg123444?ik1xej>?0131>29aa?vo`89:;=?6kb:qjc5678883io5|if2345750oh0di?01226<6e3zcl<=>?13;2f>uno9:;<<<62c9pmb6789;95>l4she34566:0>i7~gh012357?2j2ybk=>?000:2g=tan:;<=?=96`8wla789::>46m;rkd45679;32n6}fg123444>ik1xej>?0131=gd<{`m;<=>>28aa?vo`89:;=?7kb:qjc5678882io5|if2345751oh0di?01226d6e3zcl<=>?13c2f>uno9:;<<l4she34566:h>i7~gh012357g2j2ybk=>?000b2g=tan:;<=?=a6`8wla789::>l6m;rkd45679;k2n6}fg123444fik1xej>?0131egd<{`m;<=>>2`aa?vo`89:;=?okb:qjc567888jio5|if234575ioh0di?01226g6e3zcl<=>?13`2f>uno9:;<<l4she34566:k>i7~gh012357d2j2ybk=>?000a2g=tan:;<=?=b6`8wla789::>o6m;rkd45679;h2n6}fg123444eik1xej>?0131fgd<{`m;<=>>2caa?vo`89:;=?lkb:qjc567888iio5|if234575joh0di?01226f6e3zcl<=>?13a2f>uno9:;<<l4she34566:j>i7~gh012357e2j2ybk=>?000`2g=tan:;<=?=c6`8wla789::>n6m;rkd45679;i2n6}fg123444dik1xej>?0131ggd<{`m;<=>>2baa?vo`89:;=?mkb:qjc567888hio5|if234575koh0di?01226a6e3zcl<=>?13f2f>uno9:;<<l4she34566:m>i7~gh012357b2j2ybk=>?000g2g=tan:;<=?=d6`8wla789::>i6m;rkd45679;n2n6}fg123444cik1xej>?0131`gd<{`m;<=>>2eaa?vo`89:;=?jkb:qjc567888oio5|if234575loh0di?01226`6e3zcl<=>?13g2f>uno9:;<<l4she34566:l>i7~gh012357c2j2ybk=>?000f2g=tan:;<=?=e6`8wla789::>h6m;rkd45679;o2n6}fg123444bik1xej>?0131agd<{`m;<=>>2daa?vo`89:;=?kkb:qjc567888nio5|if234575moh0di?01226c6e3zcl<=>?13d2f>uno9:;<<l4she34566:o>i7~gh012357`2j2ybk=>?000e2g=tan:;<=?=f6`8wla789::>k6m;rkd45679;l2n6}fg123444aik1xej>?0131bgd<{`m;<=>>2gaa?vo`89:;=?hkb:qjc567888mio5|if234575noh0di?0122756e3zcl<=>?1222f>uno9:;<<=?2c9pmb6789;8<>l4she34566;9>i7~gh01235662j2ybk=>?00132g=tan:;<=?<06`8wla789::?=6m;rkd45679::2n6}fg1234457ik1xej>?01304gd<{`m;<=>>31aa?vo`89:;=>>kb:qjc567889;io5|if2345748oh0di?0122746e3zcl<=>?1232f>uno9:;<<=>2c9pmb6789;8=>l4she34566;8>i7~gh01235672j2ybk=>?00122g=tan:;<=?<16`8wla789::?<6m;rkd45679:;2n6}fg1234456ik1xej>?01305gd<{`m;<=>>30aa?vo`89:;=>?kb:qjc567889:io5|if2345749oh0di?0122776e3zcl<=>?1202f>uno9:;<<==2c9pmb6789;8>>l4she34566;;>i7~gh01235642j2ybk=>?00112g=tan:;<=?<26`8wla789::??6m;rkd45679:82n6}fg1234455ik1xej>?01306gd<{`m;<=>>33aa?vo`89:;=>?1212f>uno9:;<<=<2c9pmb6789;8?>l4she34566;:>i7~gh01235652j2ybk=>?00102g=tan:;<=?<36`8wla789::?>6m;rkd45679:92n6}fg1234454ik1xej>?01307gd<{`m;<=>>32aa?vo`89:;=>=kb:qjc5678898io5|if234574;oh0di?0122716e3zcl<=>?1262f>uno9:;<<=;2c9pmb6789;88>l4she34566;=>i7~gh01235622j2ybk=>?00172g=tan:;<=?<46`8wla789::?96m;rkd45679:>2n6}fg1234453ik1xej>?01300gd<{`m;<=>>35aa?vo`89:;=>:kb:qjc567889?io5|if234574?1272f>uno9:;<<=:2c9pmb6789;89>l4she34566;<>i7~gh01235632j2ybk=>?00162g=tan:;<=?<56`8wla789::?86m;rkd45679:?2n6}fg1234452ik1xej>?01301gd<{`m;<=>>34aa?vo`89:;=>;kb:qjc567889>io5|if234574=oh0di?0122736e3zcl<=>?1242f>uno9:;<<=92c9pmb6789;8:>l4she34566;?>i7~gh01235602j2ybk=>?00152g=tan:;<=?<66`8wla789::?;6m;rkd45679:<2n6}fg1234451ik1xej>?01302gd<{`m;<=>>37aa?vo`89:;=>8kb:qjc567889=io5|if234574>oh0di?0122726e3zcl<=>?1252f>uno9:;<<=82c9pmb6789;8;>l4she34566;>>i7~gh01235612j2ybk=>?00142g=tan:;<=?<76`8wla789::?:6m;rkd45679:=2n6}fg1234450ik1xej>?01303gd<{`m;<=>>36aa?vo`89:;=>9kb:qjc567889?12:2f>uno9:;<<=72c9pmb6789;84>l4she34566;1>i7~gh012356>2j2ybk=>?001;2g=tan:;<=?<86`8wla789::?56m;rkd45679:22n6}fg123445?ik1xej>?0130>39aa?vo`89:;=>6kb:qjc5678893io5|if2345740oh0di?01227<6e3zcl<=>?12;2f>uno9:;<<=62c9pmb6789;85>l4she34566;0>i7~gh012356?2j2ybk=>?001:2g=tan:;<=?<96`8wla789::?46m;rkd45679:32n6}fg123445>ik1xej>?0130=gd<{`m;<=>>38aa?vo`89:;=>7kb:qjc5678892io5|if2345741oh0di?01227d6e3zcl<=>?12c2f>uno9:;<<=n2c9pmb6789;8m>l4she34566;h>i7~gh012356g2j2ybk=>?001b2g=tan:;<=??0130egd<{`m;<=>>3`aa?vo`89:;=>okb:qjc567889jio5|if234574ioh0di?01227g6e3zcl<=>?12`2f>uno9:;<<=m2c9pmb6789;8n>l4she34566;k>i7~gh012356d2j2ybk=>?001a2g=tan:;<=??0130fgd<{`m;<=>>3caa?vo`89:;=>lkb:qjc567889iio5|if234574joh0di?01227f6e3zcl<=>?12a2f>uno9:;<<=l2c9pmb6789;8o>l4she34566;j>i7~gh012356e2j2ybk=>?001`2g=tan:;<=??0130ggd<{`m;<=>>3baa?vo`89:;=>mkb:qjc567889hio5|if234574koh0di?01227a6e3zcl<=>?12f2f>uno9:;<<=k2c9pmb6789;8h>l4she34566;m>i7~gh012356b2j2ybk=>?001g2g=tan:;<=??0130`gd<{`m;<=>>3eaa?vo`89:;=>jkb:qjc567889oio5|if234574loh0di?01227`6e3zcl<=>?12g2f>uno9:;<<=j2c9pmb6789;8i>l4she34566;l>i7~gh012356c2j2ybk=>?001f2g=tan:;<=??0130agd<{`m;<=>>3daa?vo`89:;=>kkb:qjc567889nio5|if234574moh0di?01227c6e3zcl<=>?12d2f>uno9:;<<=i2c9pmb6789;8j>l4she34566;o>i7~gh012356`2j2ybk=>?001e2g=tan:;<=??0130bgd<{`m;<=>>3gaa?vo`89:;=>hkb:qjc567889mio5|if234574noh0di?0122056e3zcl<=>?1522f>uno9:;<<:?2c9pmb6789;?<>l4she34566<9>i7~gh01235162j2ybk=>?00632g=tan:;<=?;06`8wla789::8=6m;rkd45679=:2n6}fg1234427ik1xej>?01374gd<{`m;<=>>41aa?vo`89:;=9>kb:qjc56788>;io5|if2345738oh0di?0122046e3zcl<=>?1532f>uno9:;<<:>2c9pmb6789;?=>l4she34566<8>i7~gh01235172j2ybk=>?00622g=tan:;<=?;16`8wla789::8<6m;rkd45679=;2n6}fg1234426ik1xej>?01375gd<{`m;<=>>40aa?vo`89:;=9?kb:qjc56788>:io5|if2345739oh0di?0122076e3zcl<=>?1502f>uno9:;<<:=2c9pmb6789;?>>l4she34566<;>i7~gh01235142j2ybk=>?00612g=tan:;<=?;26`8wla789::8?6m;rkd45679=82n6}fg1234425ik1xej>?01376gd<{`m;<=>>43aa?vo`89:;=99io5|if234573:oh0di?0122066e3zcl<=>?1512f>uno9:;<<:<2c9pmb6789;??>l4she34566<:>i7~gh01235152j2ybk=>?00602g=tan:;<=?;36`8wla789::8>6m;rkd45679=92n6}fg1234424ik1xej>?01377gd<{`m;<=>>42aa?vo`89:;=9=kb:qjc56788>8io5|if234573;oh0di?0122016e3zcl<=>?1562f>uno9:;<<:;2c9pmb6789;?8>l4she34566<=>i7~gh01235122j2ybk=>?00672g=tan:;<=?;46`8wla789::896m;rkd45679=>2n6}fg1234423ik1xej>?01370gd<{`m;<=>>45aa?vo`89:;=9:kb:qjc56788>?io5|if234573?1572f>uno9:;<<::2c9pmb6789;?9>l4she34566<<>i7~gh01235132j2ybk=>?00662g=tan:;<=?;56`8wla789::886m;rkd45679=?2n6}fg1234422ik1xej>?01371gd<{`m;<=>>44aa?vo`89:;=9;kb:qjc56788>>io5|if234573=oh0di?0122036e3zcl<=>?1542f>uno9:;<<:92c9pmb6789;?:>l4she34566i7~gh01235102j2ybk=>?00652g=tan:;<=?;66`8wla789::8;6m;rkd45679=<2n6}fg1234421ik1xej>?01372gd<{`m;<=>>47aa?vo`89:;=98kb:qjc56788>=io5|if234573>oh0di?0122026e3zcl<=>?1552f>uno9:;<<:82c9pmb6789;?;>l4she34566<>>i7~gh01235112j2ybk=>?00642g=tan:;<=?;76`8wla789::8:6m;rkd45679==2n6}fg1234420ik1xej>?01373gd<{`m;<=>>46aa?vo`89:;=99kb:qjc56788>?15:2f>uno9:;<<:72c9pmb6789;?4>l4she34566<1>i7~gh012351>2j2ybk=>?006;2g=tan:;<=?;86`8wla789::856m;rkd45679=22n6}fg123442?ik1xej>?0137>49aa?vo`89:;=96kb:qjc56788>3io5|if2345730oh0di?01220<6e3zcl<=>?15;2f>uno9:;<<:62c9pmb6789;?5>l4she34566<0>i7~gh012351?2j2ybk=>?006:2g=tan:;<=?;96`8wla789::846m;rkd45679=32n6}fg123442>ik1xej>?0137=gd<{`m;<=>>48aa?vo`89:;=97kb:qjc56788>2io5|if2345731oh0di?01220d6e3zcl<=>?15c2f>uno9:;<<:n2c9pmb6789;?m>l4she34566i7~gh012351g2j2ybk=>?006b2g=tan:;<=?;a6`8wla789::8l6m;rkd45679=k2n6}fg123442fik1xej>?0137egd<{`m;<=>>4`aa?vo`89:;=9okb:qjc56788>jio5|if234573ioh0di?01220g6e3zcl<=>?15`2f>uno9:;<<:m2c9pmb6789;?n>l4she34566i7~gh012351d2j2ybk=>?006a2g=tan:;<=?;b6`8wla789::8o6m;rkd45679=h2n6}fg123442eik1xej>?0137fgd<{`m;<=>>4caa?vo`89:;=9lkb:qjc56788>iio5|if234573joh0di?01220f6e3zcl<=>?15a2f>uno9:;<<:l2c9pmb6789;?o>l4she34566i7~gh012351e2j2ybk=>?006`2g=tan:;<=?;c6`8wla789::8n6m;rkd45679=i2n6}fg123442dik1xej>?0137ggd<{`m;<=>>4baa?vo`89:;=9mkb:qjc56788>hio5|if234573koh0di?01220a6e3zcl<=>?15f2f>uno9:;<<:k2c9pmb6789;?h>l4she34566i7~gh012351b2j2ybk=>?006g2g=tan:;<=?;d6`8wla789::8i6m;rkd45679=n2n6}fg123442cik1xej>?0137`gd<{`m;<=>>4eaa?vo`89:;=9jkb:qjc56788>oio5|if234573loh0di?01220`6e3zcl<=>?15g2f>uno9:;<<:j2c9pmb6789;?i>l4she34566i7~gh012351c2j2ybk=>?006f2g=tan:;<=?;e6`8wla789::8h6m;rkd45679=o2n6}fg123442bik1xej>?0137agd<{`m;<=>>4daa?vo`89:;=9kkb:qjc56788>nio5|if234573moh0di?01220c6e3zcl<=>?15d2f>uno9:;<<:i2c9pmb6789;?j>l4she34566i7~gh012351`2j2ybk=>?006e2g=tan:;<=?;f6`8wla789::8k6m;rkd45679=l2n6}fg123442aik1xej>?0137bgd<{`m;<=>>4gaa?vo`89:;=9hkb:qjc56788>mio5|if234573noh0di?0122156e3zcl<=>?1422f>uno9:;<<;?2c9pmb6789;><>l4she34566=9>i7~gh01235062j2ybk=>?00732g=tan:;<=?:06`8wla789::9=6m;rkd45679<:2n6}fg1234437ik1xej>?01364gd<{`m;<=>>51aa?vo`89:;=8>kb:qjc56788?;io5|if2345728oh0di?0122146e3zcl<=>?1432f>uno9:;<<;>2c9pmb6789;>=>l4she34566=8>i7~gh01235072j2ybk=>?00722g=tan:;<=?:16`8wla789::9<6m;rkd45679<;2n6}fg1234436ik1xej>?01365gd<{`m;<=>>50aa?vo`89:;=8?kb:qjc56788?:io5|if2345729oh0di?0122176e3zcl<=>?1402f>uno9:;<<;=2c9pmb6789;>>>l4she34566=;>i7~gh01235042j2ybk=>?00712g=tan:;<=?:26`8wla789::9?6m;rkd45679<82n6}fg1234435ik1xej>?01366gd<{`m;<=>>53aa?vo`89:;=8?1412f>uno9:;<<;<2c9pmb6789;>?>l4she34566=:>i7~gh01235052j2ybk=>?00702g=tan:;<=?:36`8wla789::9>6m;rkd45679<92n6}fg1234434ik1xej>?01367gd<{`m;<=>>52aa?vo`89:;=8=kb:qjc56788?8io5|if234572;oh0di?0122116e3zcl<=>?1462f>uno9:;<<;;2c9pmb6789;>8>l4she34566==>i7~gh01235022j2ybk=>?00772g=tan:;<=?:46`8wla789::996m;rkd45679<>2n6}fg1234433ik1xej>?01360gd<{`m;<=>>55aa?vo`89:;=8:kb:qjc56788??io5|if234572?1472f>uno9:;<<;:2c9pmb6789;>9>l4she34566=<>i7~gh01235032j2ybk=>?00762g=tan:;<=?:56`8wla789::986m;rkd45679?01361gd<{`m;<=>>54aa?vo`89:;=8;kb:qjc56788?>io5|if234572=oh0di?0122136e3zcl<=>?1442f>uno9:;<<;92c9pmb6789;>:>l4she34566=?>i7~gh01235002j2ybk=>?00752g=tan:;<=?:66`8wla789::9;6m;rkd45679<<2n6}fg1234431ik1xej>?01362gd<{`m;<=>>57aa?vo`89:;=88kb:qjc56788?=io5|if234572>oh0di?0122126e3zcl<=>?1452f>uno9:;<<;82c9pmb6789;>;>l4she34566=>>i7~gh01235012j2ybk=>?00742g=tan:;<=?:76`8wla789::9:6m;rkd45679<=2n6}fg1234430ik1xej>?01363gd<{`m;<=>>56aa?vo`89:;=89kb:qjc56788??14:2f>uno9:;<<;72c9pmb6789;>4>l4she34566=1>i7~gh012350>2j2ybk=>?007;2g=tan:;<=?:86`8wla789::956m;rkd45679<22n6}fg123443?ik1xej>?0136>59aa?vo`89:;=86kb:qjc56788?3io5|if2345720oh0di?01221<6e3zcl<=>?14;2f>uno9:;<<;62c9pmb6789;>5>l4she34566=0>i7~gh012350?2j2ybk=>?007:2g=tan:;<=?:96`8wla789::946m;rkd45679<32n6}fg123443>ik1xej>?0136=gd<{`m;<=>>58aa?vo`89:;=87kb:qjc56788?2io5|if2345721oh0di?01221d6e3zcl<=>?14c2f>uno9:;<<;n2c9pmb6789;>m>l4she34566=h>i7~gh012350g2j2ybk=>?007b2g=tan:;<=?:a6`8wla789::9l6m;rkd45679?0136egd<{`m;<=>>5`aa?vo`89:;=8okb:qjc56788?jio5|if234572ioh0di?01221g6e3zcl<=>?14`2f>uno9:;<<;m2c9pmb6789;>n>l4she34566=k>i7~gh012350d2j2ybk=>?007a2g=tan:;<=?:b6`8wla789::9o6m;rkd45679?0136fgd<{`m;<=>>5caa?vo`89:;=8lkb:qjc56788?iio5|if234572joh0di?01221f6e3zcl<=>?14a2f>uno9:;<<;l2c9pmb6789;>o>l4she34566=j>i7~gh012350e2j2ybk=>?007`2g=tan:;<=?:c6`8wla789::9n6m;rkd45679?0136ggd<{`m;<=>>5baa?vo`89:;=8mkb:qjc56788?hio5|if234572koh0di?01221a6e3zcl<=>?14f2f>uno9:;<<;k2c9pmb6789;>h>l4she34566=m>i7~gh012350b2j2ybk=>?007g2g=tan:;<=?:d6`8wla789::9i6m;rkd45679?0136`gd<{`m;<=>>5eaa?vo`89:;=8jkb:qjc56788?oio5|if234572loh0di?01221`6e3zcl<=>?14g2f>uno9:;<<;j2c9pmb6789;>i>l4she34566=l>=7~~Pr`o26>rjx&Uhk"fmdda2*kah<2|:"=sO@qc7ba=GHq9;h=4I:782V7>=3;jhi4k:0106a>32:>9h3<;0=7):{T9091=ljk:e82764c0=088?>n;R0bg?7fm?0;6<=<2e:7>6258j1X=4=51`g5>5<6;:8o494<4333?V4fk39<57=?d082764c0=088??>;R3:7?50139;h<4>320g<1<4<;;:7i?ne083>4<6sZ;297?nde8g>454:m2?6>:=0`9uP14f290:6<4i17yP5<3=9hno6i4>320g<1<4<;:j7):<7;0b`>P3<909wxol:978f4gb93:1m44<:`;xL1563S;8j7;t57863?4f2;h1=>4r$50f>4gb92.??h4>ad28m4gbi3:17d??6483>>i6il31<75`1`g;>5<5$530>423=2d?=?4?;:m26f0=83.?=>4>4578j1752810c<?96`;1381?>i6:j>1<7*;128201354o00`7?6=,=;86<:;5:l757<332e:>n<50;&756<6<=?0b9?=:498k44d93:1(9?<:0671>h39;0=76a>2cd94?"39:0:89;4n531>2=5$530>423=2d?=?47;:m26gb=83.?=>4>4578j1752010c<?96`;138b?>i6:kh1<7*;1282013o750;&756<6<=?0b9?=:e98k44e03:1(9?<:0671>h39;0n76a>2c594?"39:0:89;4n531>c=5$530>423=2d?=?4>0:9l57d3290/8<=51566?k26:3;:76a>2c194?"39:0:89;4n531>44<3f;9n?4?:%627?73<<1e8<<51298k44e93:1(9?<:0671>h39;0:865`13`3>5<#<891=9::;o626?7232e:>lh50;&756<6<=?0b9?=:048?j75il0;6):>3;3700=i<881=:54o00b`?6=,=;86<:;5:l757<6021d=?ol:18'045=9=>>7c:>2;3:?>i6:hh1<7*;1282013:?7?;449m044=9k10c<?96`;1382g>=h9;k<6=4+401951223g>:>7?k;:m26d0=83.?=>4>4578j17528o07b?=a483>!26;3;?885a40095c=5$530>423=2d?=?4=0:9l57g4290/8<=51566?k26:38:76a>2`094?"39:0:89;4n531>74<3f;9m<4?:%627?73<<1e8<<52298k44f83:1(9?<:0671>h39;09865`13a`>5<#<891=9::;o626?4232e:>nl50;&756<6<=?0b9?=:348?j75kh0;6):>3;3700=i<881>:54o00`=?6=,=;86<:;5:l757<5021d=?m7:18'045=9=>>7c:>2;0:?>i6:j:1<7*;1282013:?7?;449m044=:k10c<?96`;1381g>=h9;3m6=4+401951223g>:>74>4578j1752;o07b?;7783>!26;3;?m95a40094>=h9==>6=4+401951g33g>:>7?4;n3731<72->:?7?;a59m044=:21d=99<:18'045=9=k?7c:>2;18?j73?;0;6):>3;37e1=i<881865`1552>5<#<891=9o;;o626?3<3f;?;=4?:%627?73i=1e8<<56:9l510b290/8<=515c7?k26:3=07b?;6e83>!26;3;?m95a4009<>=h9=:>774;n372g<72->:?7?;a59m044=i21d=98n:18'045=9=k?7c:>2;`8?j73>00;6):>3;37e1=i<881o65`154;>5<#<891=9o;;o626?b<3f;?::4?:%627?73i=1e8<<5e:9l5101290/8<=515c7?k26:3l07b?;6483>!26;3;?m95a400955==?7>5$530>42f<2d?=?4>1:9l5105290/8<=515c7?k26:3;976a>47394?"39:0:8l:4n531>45<3f;?:=4?:%627?73i=1e8<<51598k422n3:1(9?<:06b0>h39;0:965`157f>5<#<891=9o;;o626?7132e:88j50;&756<60b9?=:058?j73=j0;6):>3;37e1=i<881=554o066f?6=,=;86<:n4:l757<6121d=9;n:18'045=9=k?7c:>2;3b?>i6<<21<7*;12820d2:?7?;a59m044=9j10c<::6;29 17428>j86`;1382`>=h9=?>6=4+401951g33g>:>7?j;:m2002=83.?=>4>4`68j17528l07b?;5283>!26;3;?m95a400965=>>7>5$530>42f<2d?=?4=1:9l5136290/8<=515c7?k26:38976a>44294?"39:0:8l:4n531>75<3f;?8k4?:%627?73i=1e8<<52598k420j3:1(9?<:06b0>h39;09965`155b>5<#<891=9o;;o626?4132e:8:750;&756<60b9?=:358?j73?10;6):>3;37e1=i<881>554o0643?6=,=;86<:n4:l757<5121d=98i:18'045=9=k?7c:>2;0b?>i61<7*;12820d2:?7?;a59m044=:j10c<:;e;29 17428>j86`;1381`>=h9=>o6=4+401951g33g>:>74>1968j1752910e<>md;29 17428;386`;1382?>o68ki1<7*;12825=20b9?=:598m46e03:1(9?<:03;0>h39;0>76g>0c594?"39:0:=5:4n531>3=5$530>47?<2d?=?48;:k24g3=83.?=>4>1968j1752110e<>m4;29 17428;386`;138:?>o68k91<7*;12825=20b9?=:b98m46e83:1(9?<:03;0>h39;0o76g>0b794?"39:0:=5:4n531>`=5$530>47?<2d?=?4i;:k24f5=83.?=>4>1968j17528:07d??c383>!26;3;:495a400954=5$530>47?<2d?=?4>2:9j55e7290/8<=510:7?k26:3;876g>0cd94?"39:0:=5:4n531>42<3`;;nl4?:%627?760=1e8<<51498m46fn3:1(9?<:03;0>h39;0::65f11cf>5<#<891=<6;;o626?7032e:<4:50;9j54?b290/8<=510`6?k26:3:07d?>9e83>!26;3;:n85a40095>=n983h6=4+401954d23g>:>7<4;h32=g<72->:?7?>b49m044=;21b=<76:18'045=98h>7c:>2;68?l76110;6):>3;32f0=i<881965f10;4>5<#<891=9583>!26;3;:n85a4009=>=n98386=4+401954d23g>:>7o4;h32=7<72->:?7?>b49m044=j21b=<7>:18'045=98h>7c:>2;a8?l76190;6):>3;32f0=i<881h65f10c6>5<#<891=1`094?"39:0:=o;4n531>47<3`;:m<4?:%627?76j<1e8<<51398m47f83:1(9?<:03a1>h39;0:?65f10;e>5<#<891=3;32f0=i<881=;54i03;a?6=,=;86h39;0;76g>06194?"39:0:<5;4n531>4=7>5$530>46?=2d?=?4=;:k2427=83.?=>4>0978j1752:10e<>80;29 17428:396`;1387?>o68?l1<7*;12824=375:l757<132c:<;j50;&756<681?0b9?=:698m460k3:1(9?<:02;1>h39;0376g>06`94?"39:0:<5;4n531><=5$530>46?=2d?=?4n;:k242?=83.?=>4>0978j1752k10e<>88;29 17428:396`;138`?>o68>=1<7*;12824=375:l757h39;0:<65f114a>5<#<891==6:;o626?7632c:mh;50;9j550f2900e<>62;29?g22:3:1=7>50zJ774=#<;o18>84o25b>5<>?7>51;294~N3;81/8?k528c8k7?>2900qo::4;29==b==0k1n5ktH512?_74n339w9h551865?352<91994:5;16>60=;>0847=6:2c97g<5;38?6?;527813?4?2;31=l4:6;74>0>==009m736=>80=>78<:76920<1>3;86>:55g80g?5c2:o1?k4;0;62>31=<;0=47:<:7;901<1i3?4>c;3g>4d=9k0:?7?n:3096d<5j38h6?j52d81b?572:;1??4=3;07>73=:?09;7<7:3;95f<6l3>n6>:55g862?5d2?=19:40>=;l0=57;6:2d92d<2i3>;6;l55c875?0d215=>l0>i7:;:7d90c<4=3<;68>537855?362:=1:?4:2;1;>35==:08578;:4697d<1=3?>6>l5678~ 14b28kn86*>9182e`4<,83?6947:;3:&023<4>j1b=lkn:188k44a>3:1(9?<:00eg>h39;0;76a>2g794?"39:0:>km4n531>4=5$530>44ak2d?=?4=;:m26c5=83.?=>4>2ga8j1752:10c<i6:o;1<7*;12826cehk50;&756<6:oi0b9?=:698k44bl3:1(9?<:00eg>h39;0376a>2da94?"39:0:>km4n531><=5$530>44ak2d?=?4n;:m26`g=83.?=>4>2ga8j1752k10c<i6:l21<7*;12826ceh850;&756<6:oi0b9?=:g98k44b=3:1(9?<:00eg>h39;0:<65`13g0>5<#<891=?hl;o626?7632e:>h<50;&756<6:oi0b9?=:008?j75m80;6):>3;31bf=i<881=>54o00f4?6=,=;86<2;36?>i6:mo1<7*;12826ce:?7?=fb9m044=9>10c<=h9;ni6=4+401957`d3g>:>7?6;:m26ag=83.?=>4>2ga8j17528k07b?=d983>!26;3;9jn5a40095g=5$530>44ak2d?=?4>c:9l57b1290/8<=513d`?k26:3;o76a>2e794?"39:0:>km4n531>4c<3f;9h94?:%627?75nj1e8<<51g98k44c;3:1(9?<:00eg>h39;09<65`13f1>5<#<891=?hl;o626?4632e:>i?50;&756<6:oi0b9?=:308?j75l90;6):>3;31bf=i<881>>54o00`b?6=,=;86<2;06?>i6:ok1<7*;12826ce:?7?=fb9m044=:>10c<=h9;l<6=4+401957`d3g>:>7<6;:m26``=83.?=>4>2ga8j1752;k07b?=e583>!26;3;9jn5a40096g=5$530>44ak2d?=?4=c:9l57eb290/8<=513d`?k26:38o76a>2bf94?"39:0:>km4n531>7c<3f;8>;4?:%627?74:j1e8<<50:9l5642290/8<=5120`?k26:3;07b?<2583>!26;3;8>n5a40096>=h9:886=4+4019564d3g>:>7=4;n3067<72->:?7?<2b9m044=<21d=><>:18'045=9:8h7c:>2;78?j74:90;6):>3;306f=i<881:65`123f>5<#<891=>!26;3;8>n5a4009e>=h9:;j6=4+4019564d3g>:>7l4;n305<<72->:?7?<2b9m044=k21d=>?7:18'045=9:8h7c:>2;f8?j749>0;6):>3;306f=i<881i65`1235>5<#<891=>h39;0:=65`1231>5<#<891=>3;306f=i<881=954o013b?6=,=;86<==c:l757<6=21d=>>j:18'045=9:8h7c:>2;35?>i6;9n1<7*;128277e:?7?<2b9m044=9110c<=?b;29 1742899o6`;1382=>=h9::j6=4+4019564d3g>:>7?n;:m275>=83.?=>4>33a8j17528h07b?<0683>!26;3;8>n5a40095f=5$530>455k2d?=?4>d:9l5662290/8<=5120`?k26:3;n76a>31694?"39:0:??m4n531>4`<3f;8<>4?:%627?74:j1e8<<52198k457:3:1(9?<:011g>h39;09=65`1222>5<#<891=>50;&756<6;;i0b9?=:318?j75no0;6):>3;306f=i<881>954o011f?6=,=;86<==c:l757<5=21d=>2;05?>i6;;31<7*;128277e:?7?<2b9m044=:110c<==7;29 1742899o6`;1381=>=h9:;m6=4+4019564d3g>:>74>33a8j1752;h07b?<0883>!26;3;8>n5a40096f=5$530>455k2d?=?4=d:9l57`c290/8<=5120`?k26:38n76a>34494?"39:0:?8m4n531>5=97>5$530>452k2d?=?4>;:m2702=83.?=>4>34a8j1752;10c<=:3;29 174289>o6`;1380?>i6;<81<7*;128270e50;&756<6;h39;0<76a>35f94?"39:0:?8m4n531>==5$530>452k2d?=?46;:m271d=83.?=>4>34a8j1752h10c<=;a;29 174289>o6`;138a?>i6;=31<7*;128270e3:1(9?<:016g>h39;0m76a>35794?"39:0:?8m4n531>46<3f;88>4?:%627?74=j1e8<<51098k453:3:1(9?<:016g>h39;0:>65`1262>5<#<891=>;l;o626?7432e:?9>50;&756<6;3;301f=i<881=854o010a?6=,=;86<=:c:l757<6>21d=>=k:18'045=9:?h7c:>2;34?>i6;:i1<7*;128270e:?7?<5b9m044=9010c<=o6`;1382e>=h9:936=4+4019563d3g>:>7?m;:m2761=83.?=>4>34a8j17528i07b?<3783>!26;3;89n5a40095a=5$530>452k2d?=?4>e:9l5653290/8<=5127`?k26:3;m76a>32194?"39:0:?8m4n531>76<3f;8??4?:%627?74=j1e8<<52098k45493:1(9?<:016g>h39;09>65`1213>5<#<891=>;l;o626?4432e:??h50;&756<6;3;301f=i<881>854o016e?6=,=;86<=:c:l757<5>21d=>;6:18'045=9:?h7c:>2;04?>i6;<21<7*;128270e:?7?<5b9m044=:010c<=;f;29 174289>o6`;1381e>=h9:>?6=4+4019563d3g>:>74>34a8j1752;i07b?<2d83>!26;3;89n5a40096a=5$530>452k2d?=?4=e:9l56>1290/8<=512:`?k26:3:07b?<8483>!26;3;84n5a40095>=h9:2?6=4+401956>d3g>:>7<4;n30<6<72->:?7?<8b9m044=;21d=>6=:18'045=9:2h7c:>2;68?j74080;6):>3;305<#<891=>6l;o626?0<3f;8;h4?:%627?740j1e8<<57:9l561c290/8<=512:`?k26:3207b?<7b83>!26;3;84n5a4009=>=h9:=i6=4+401956>d3g>:>7o4;n303d<72->:?7?<8b9m044=j21d=>96:18'045=9:2h7c:>2;a8?j74?10;6):>3;305<#<891=>6l;o626?c<3f;8;;4?:%627?740j1e8<<5f:9l5612290/8<=512:`?k26:3;;76a>36194?"39:0:?5m4n531>47<3f;8;?4?:%627?740j1e8<<51398k45093:1(9?<:01;g>h39;0:?65`1253>5<#<891=>6l;o626?7332e:?;h50;&756<6;1i0b9?=:078?j74>l0;6):>3;308l:18'045=9:2h7c:>2;3;?>i6;?h1<7*;12827=e:?7?<8b9m044=9h10c<=98;29 1742893o6`;1382f>=h9:<<6=4+401956>d3g>:>7?l;:m2730=83.?=>4>39a8j17528n07b?<6483>!26;3;84n5a40095`=5$530>45?k2d?=?4>f:9l5604290/8<=512:`?k26:38;76a>37094?"39:0:?5m4n531>77<3f;8:<4?:%627?740j1e8<<52398k45183:1(9?<:01;g>h39;09?65`127e>5<#<891=>6l;o626?4332e:?5l50;&756<6;1i0b9?=:378?j740h0;6):>3;30;54o01;=?6=,=;86<=7c:l757<5?21d=>67:18'045=9:2h7c:>2;0;?>i6;1=1<7*;12827=e:?7?<8b9m044=:h10c<=84;29 1742893o6`;1381f>=h9:<26=4+401956>d3g>:>74>39a8j1752;n07b?<5e83>!26;3;84n5a40096`=5$530>45ek2d?=?4?;:m27g3=83.?=>4>3ca8j1752810c<=m4;29 174289io6`;1381?>i6;k91<7*;12827ge54o01a6?6=,=;86<=mc:l757<332e:?o?50;&756<6;ki0b9?=:498k45e83:1(9?<:01ag>h39;0=76a>3`g94?"39:0:?om4n531>2=5$530>45ek2d?=?47;:m27de=83.?=>4>3ca8j1752010c<=nb;29 174289io6`;138b?>i6;hk1<7*;12827geh39;0n76a>3`494?"39:0:?om4n531>c=5$530>45ek2d?=?4>0:9l56g4290/8<=512``?k26:3;:76a>3`094?"39:0:?om4n531>44<3f;8m<4?:%627?74jj1e8<<51298k45f83:1(9?<:01ag>h39;0:865`12;e>5<#<891=>ll;o626?7232e:?4k50;&756<6;ki0b9?=:048?j741m0;6):>3;30ff=i<881=:54o01:g?6=,=;86<=mc:l757<6021d=>7m:18'045=9:hh7c:>2;3:?>i6;0k1<7*;12827ge:?7?=h9:3=6=4+401956dd3g>:>7?k;:m27<3=83.?=>4>3ca8j17528o07b?<9583>!26;3;8nn5a40095c=5$530>45ek2d?=?4=0:9l56?5290/8<=512``?k26:38:76a>38394?"39:0:?om4n531>74<3f;85=4?:%627?74jj1e8<<52298k45?n3:1(9?<:01ag>h39;09865`12`a>5<#<891=>ll;o626?4232e:?oo50;&756<6;ki0b9?=:348?j74j00;6):>3;30ff=i<881>:54o01al8:18'045=9:hh7c:>2;0:?>i6;hl1<7*;12827ge:?7?=h9:2n6=4+401956dd3g>:>74>3ca8j1752;o07b?!26;3;8in5a40094>=h9:o>6=4+401956cd3g>:>7?4;n30a1<72->:?7?k<:18'045=9:oh7c:>2;18?j74m;0;6):>3;30af=i<881865`12g2>5<#<891=>kl;o626?3<3f;8i=4?:%627?74mj1e8<<56:9l56bb290/8<=512g`?k26:3=07b?!26;3;8in5a4009<>=h9:nh6=4+401956cd3g>:>774;n30`g<72->:?7?jn:18'045=9:oh7c:>2;`8?j74l00;6):>3;30af=i<881o65`12f;>5<#<891=>kl;o626?b<3f;8h:4?:%627?74mj1e8<<5e:9l56b1290/8<=512g`?k26:3l07b?!26;3;8in5a400955=5$530>45bk2d?=?4>1:9l56b5290/8<=512g`?k26:3;976a>3e394?"39:0:?hm4n531>45<3f;8h=4?:%627?74mj1e8<<51598k45dn3:1(9?<:01fg>h39;0:965`12af>5<#<891=>kl;o626?7132e:?nj50;&756<6;li0b9?=:058?j74kj0;6):>3;30af=i<881=554o01`f?6=,=;86<=jc:l757<6121d=>mn:18'045=9:oh7c:>2;3b?>i6;j21<7*;12827`e:?7?=h9:i>6=4+401956cd3g>:>7?j;:m27f2=83.?=>4>3da8j17528l07b?!26;3;8in5a400965=7>5$530>45bk2d?=?4=1:9l56e6290/8<=512g`?k26:38976a>3b294?"39:0:?hm4n531>75<3f;8nk4?:%627?74mj1e8<<52598k45bj3:1(9?<:01fg>h39;09965`12gb>5<#<891=>kl;o626?4132e:?h750;&756<6;li0b9?=:358?j74m10;6):>3;30af=i<881>554o01f3?6=,=;86<=jc:l757<5121d=>ji:18'045=9:oh7c:>2;0b?>i6;m>1<7*;12827`e:?7?=h9:ho6=4+401956cd3g>:>74>40a8j1752910c<:>5;29 17428>:o6`;1382?>i6<8>1<7*;128204ec:l757<432e:8<<50;&756<6<8i0b9?=:598k42693:1(9?<:062g>h39;0>76a>40294?"39:0:83=;i7>5$530>426k2d?=?48;:m205b=83.?=>4>40a8j1752110c<:?c;29 17428>:o6`;138:?>i6<9h1<7*;128204ec:l757h39;0o76a>41594?"39:0:8`=;:7>5$530>426k2d?=?4i;:m2053=83.?=>4>40a8j17528:07b?;0283>!26;3;?=n5a400954=;>7>5$530>426k2d?=?4>2:9l5166290/8<=5153`?k26:3;876a>41294?"39:0:842<3f;8jk4?:%627?739j1e8<<51498k45am3:1(9?<:062g>h39;0::65`12dg>5<#<891=9?l;o626?7032e:?km50;&756<6<8i0b9?=:0:8?j74nk0;6):>3;375f=i<881=454o01ee?6=,=;86<:>c:l757<6i21d=>h7:18'045=9=;h7c:>2;3a?>i6;o=1<7*;128204e:?7?;1b9m044=9m10c<=i5;29 17428>:o6`;1382a>=h9:l?6=4+4019517d3g>:>7?i;:m27c5=83.?=>4>40a8j1752;:07b?!26;3;?=n5a400964=5$530>426k2d?=?4=2:9l56`7290/8<=5153`?k26:38876a>3dd94?"39:0:872<3f;?=o4?:%627?739j1e8<<52498k426i3:1(9?<:062g>h39;09:65`153:>5<#<891=9?l;o626?4032e:8<650;&756<6<8i0b9?=:3:8?j739>0;6):>3;375f=i<881>454o063b?6=,=;86<:>c:l757<5i21d=9>;:18'045=9=;h7c:>2;0a?>i6;o31<7*;128204e:?7?;1b9m044=:m10c<=jd;29 17428>:o6`;1381a>=n99<>6=44o040`?6=,=;86<8;5:l757<732e::>m50;&756<6>=?0b9?=:098k404j3:1(9?<:0471>h39;0976a>62c94?"39:0::9;4n531>6=5$530>403=2d?=?4;;:m2261=83.?=>4>6578j1752<10c<8<6;29 17428i6>:?1<7*;1282213=50;&756<6>=?0b9?=:898k404:3:1(9?<:0471>h39;0j76a>62394?"39:0::9;4n531>g=5$530>403=2d?=?4l;:m227`=83.?=>4>6578j1752m10c<8;4;29 17428i6>=91<7*;1282213:18'045=9?>>7c:>2;32?>i6>=:1<7*;1282213:?7?9449m044=9:10c<8=h9?926=4+401953223g>:>7?:;:m227c=83.?=>4>6578j17528<07b?92e83>!26;3;=885a400952=:7>5$530>402m2d?=?4?;:m2203=83.?=>4>64g8j1752810c<8:4;29 17428<>i6`;1381?>i6><91<7*;128220c54o0465?6=,=;86<8:e:l757<332e::8>50;&756<6>h39;0=76a>65g94?"39:0::8k4n531>2=5$530>402m2d?=?47;:m221e=83.?=>4>64g8j1752010c<8;b;29 17428<>i6`;138b?>i6>=k1<7*;128220ch39;0n76a>64a94?"39:0::8k4n531>c=n7>5$530>402m2d?=?4>0:9l533f290/8<=5177f?k26:3;:76a>64;94?"39:0::8k4n531>44<3f;=954?:%627?71=l1e8<<51298k402?3:1(9?<:046a>h39;0:865`1771>5<#<891=;;j;o626?7232e::9950;&756<6>3;351`=i<881=:54o045b?6=,=;86<887:l757<732e::;k50;&756<6>>=0b9?=:098k401l3:1(9?<:0443>h39;0976a>67a94?"39:0:::94n531>6=5$530>400?2d?=?4;;:m223?=83.?=>4>6658j1752<10c<898;29 17428<<;6`;1385?>i6>?=1<7*;1282221>=0b9?=:898k401<3:1(9?<:0443>h39;0j76a>67194?"39:0:::94n531>g=7>5$530>400?2d?=?4l;:m2237=83.?=>4>6658j1752m10c<886;29 17428<<;6`;138f?>i6>>?1<7*;12822212;32?>i6>>81<7*;1282221:?7?9769m044=9:10c<880;29 17428<<;6`;13820>=h9?:>7?:;:m2236=83.?=>4>6658j17528<07b?95g83>!26;3;=;:5a400952=5$530>40>82d?=?4?;:m22=1=83.?=>4>6828j1752810c<876;29 17428<2<6`;1381?>i6>1?1<7*;12822<654o04;7?6=,=;86<860:l757<332e::5<50;&756<6>0:0b9?=:498k40?93:1(9?<:04:4>h39;0=76a>69294?"39:0::4>4n531>2=5$530>40>82d?=?47;:m222c=83.?=>4>6828j1752010c<88d;29 17428<2<6`;138b?>i6>>i1<7*;12822<60:0b9?=:e98k40?n3:1(9?<:04:4>h39;0n76a>69g94?"39:0::4>4n531>c=5$530>40>82d?=?4>0:9l53>d290/8<=517;3?k26:3;:76a>69`94?"39:0::4>4n531>44<3f;=4l4?:%627?71191e8<<51298k40?13:1(9?<:04:4>h39;0:865`17:7>5<#<891=;7?;o626?7232e:::750;&756<6>0:0b9?=:048?j71?10;6):>3;35=5=i<881=:54o04b5?6=,=;86<8n9:l757<732e::l>50;&756<6>h30b9?=:098k40>n3:1(9?<:04b=>h39;0976a>68g94?"39:0::l74n531>6=5$530>40f12d?=?4;;:m224>6`;8j1752<10c<86a;29 17428i6>031<7*;12822d?h30b9?=:898k40>>3:1(9?<:04b=>h39;0j76a>68794?"39:0::l74n531>g=5$530>40f12d?=?4l;:m22<5=83.?=>4>6`;8j1752m10c<8n8;29 17428i6>h=1<7*;12822d?2;32?>i6>h>1<7*;12822d?:?7?9a89m044=9:10c<8n2;29 17428=h9?3o6=4+401953g>3g>:>7?:;:m22<4=83.?=>4>6`;8j17528<07b?99083>!26;3;=m45a400952=5$530>40d:2d?=?4?;:m22g?=83.?=>4>6b08j1752810c<8m8;29 174286`;1381?>i6>k=1<7*;12822f454o04a1?6=,=;86<8l2:l757<332e::o:50;&756<6>j80b9?=:498k40e;3:1(9?<:04`6>h39;0=76a>6c094?"39:0::n<4n531>2=5$530>40d:2d?=?47;:m22g6=83.?=>4>6b08j1752010c<8nf;29 174286`;138b?>i6>ho1<7*;12822f4j80b9?=:e98k40d93:1(9?<:04`6>h39;0n76a>6b294?"39:0::n<4n531>c=5$530>40d:2d?=?4>0:9l53db290/8<=517a1?k26:3;:76a>6cf94?"39:0::n<4n531>44<3f;=nn4?:%627?71k;1e8<<51298k40ej3:1(9?<:04`6>h39;0:865`17`5>5<#<891=;m=;o626?7232e::ll50;&756<6>j80b9?=:048?j71ih0;6):>3;35g7=i<881=:54o04g7?6=,=;86<8kb:l757<732e::i<50;&756<6>mh0b9?=:098k40c93:1(9?<:04gf>h39;0976a>6e294?"39:0::il4n531>6=5$530>40cj2d?=?4;;:m22fb=83.?=>4>6e`8j1752<10c<8lc;29 17428i6>jh1<7*;12822admh0b9?=:898k40d03:1(9?<:04gf>h39;0j76a>6b594?"39:0::il4n531>g=5$530>40cj2d?=?4l;:m22f3=83.?=>4>6e`8j1752m10c<8ka;29 17428i6>m31<7*;12822ad2;32?>i6>m<1<7*;12822ad:?7?9dc9m044=9:10c<8k4;29 17428=h9?im6=4+401953be3g>:>7?:;:m22f2=83.?=>4>6e`8j17528<07b?9c283>!26;3;=ho5a400952=5;h33`3<72->:?7??dd9m044=821b==j::18'045=99nn7c:>2;38?l77l=0;6):>3;33``=i<881>65f11f0>5<#<891==jj;o626?5<3`;;h<4?:%627?77ll1e8<<54:9j55b7290/8<=511ff?k26:3?07d??cg83>!26;3;;hh5a40092>=n99in6=4+401955bb3g>:>794;h33ga<72->:?7??dd9m044=021b==ml:18'045=99nn7c:>2;;8?l77kk0;6):>3;33``=i<881m65f11ab>5<#<891==jj;o626?d<3`;;o44?:%627?77ll1e8<<5c:9j55e?290/8<=511ff?k26:3n07d??de83>!26;3;;hh5a4009a>=n99nh6=4+401955bb3g>:>7h4;h33`g<72->:?7??dd9m044=9910e<>ka;29 17428:oi6`;13825>=n99n26=4+401955bb3g>:>7?=;:k24a>=83.?=>4>0eg8j17528907d??d683>!26;3;;hh5a400951=7>5$530>46cm2d?=?4>5:9j55e0290/8<=511ff?k26:3;=76g>0b494?"39:0:41<3`;;ik4?:%627?77n>1e8<<50:9j55cb290/8<=511d4?k26:3;07d??ee83>!26;3;;j:5a40096>=n99oh6=4+401955`03g>:>7=4;h33ad<72->:?7??f69m044=<21b==k6:18'045=99l<7c:>2;78?l77m10;6):>3;33b2=i<881:65f11g4>5<#<891==h8;o626?1<3`;;i;4?:%627?77n>1e8<<58:9j55c2290/8<=511d4?k26:3307d??e583>!26;3;;j:5a4009e>=n99o86=4+401955`03g>:>7l4;h33a7<72->:?7??f69m044=k21b==k>:18'045=99l<7c:>2;f8?l77n?0;6):>3;33b2=i<881i65f11d6>5<#<891==h8;o626?`<3`;;j94?:%627?77n>1e8<<51198m46a;3:1(9?<:02e3>h39;0:=65f11d1>5<#<891==h8;o626?7532c:3;33b2=i<881=954i02ff?6=,=;86<>i7:l757<6=21b==k?:18'045=99l<7c:>2;35?>o68ml1<7*;12824c1:?7?>119m044=821b=<>8:18'045=98;;7c:>2;38?l768?0;6):>3;3255=i<881>65f1026>5<#<891=4?:%627?76991e8<<54:9j5465290/8<=51033?k26:3?07d?>0083>!26;3;:==5a40092>=n98:;6=4+401954773g>:>794;h33bc<72->:?7?>119m044=021b==hj:18'045=98;;7c:>2;;8?l77nm0;6):>3;3255=i<881m65f11d`>5<#<891=0g83>!26;3;:==5a4009a>=n98:n6=4+401954773g>:>7h4;h324a<72->:?7?>119m044=9910e=n98:i6=4+401954773g>:>7?=;:k255g=83.?=>4>1028j17528907d?>0883>!26;3;:==5a400951=5$530>47682d?=?4>5:9j55`>290/8<=51033?k26:3;=76g>0g:94?"39:0:=<>4n531>41<3`;:><4?:%627?76:01e8<<50:9j5447290/8<=5100:?k26:3;07d?>1g83>!26;3;:>45a40096>=n98;n6=4+4019544>3g>:>7=4;h325f<72->:?7?>289m044=<21b=2;78?l769h0;6):>3;326<=i<881:65f103:>5<#<891=<<6;o626?1<3`;:=54?:%627?76:01e8<<58:9j5470290/8<=5100:?k26:3307d?>1783>!26;3;:>45a4009e>=n98;>6=4+4019544>3g>:>7l4;h3251<72->:?7?>289m044=k21b=2;f8?l76:10;6):>3;326<=i<881i65f1004>5<#<891=<<6;o626?`<3`;:>;4?:%627?76:01e8<<51198m475=3:1(9?<:031=>h39;0:=65f1007>5<#<891=<<6;o626?7532c:=?=50;&756<69;30b9?=:018?l76:;0;6):>3;326<=i<881=954i032`?6=,=;862;35?>o698;1<7*;128257?:?7?>439m044=821b=<=6:18'045=98>97c:>2;38?l76;10;6):>3;3207=i<881>65f1014>5<#<891=<:=;o626?5<3`;:?84?:%627?76<;1e8<<54:9j5453290/8<=51061?k26:3?07d?>3283>!26;3;:8?5a40092>=n98996=4+401954253g>:>794;h3274<72->:?7?>439m044=021b=<=?:18'045=98>97c:>2;;8?l76:o0;6):>3;3207=i<881m65f100f>5<#<891=<:=;o626?d<3`;:>i4?:%627?76<;1e8<<5c:9j544d290/8<=51061?k26:3n07d?>4083>!26;3;:8?5a4009a>=n98>;6=4+401954253g>:>7h4;h327c<72->:?7?>439m044=9910e6`;13825>=n989o6=4+401954253g>:>7?=;:k256e=83.?=>4>1508j17528907d?>3c83>!26;3;:8?5a400951=5$530>473:2d?=?4>5:9j544e290/8<=51061?k26:3;=76g>13c94?"39:0:=9<4n531>41<3f;ji54?::k2505=83.?=>4>14`8j1752910en6`;1382?>o69<;1<7*;128250dh39;0>76g>15a94?"39:0:=8l4n531>3=5$530>472j2d?=?48;:k251g=83.?=>4>14`8j1752110en6`;138:?>o69=21<7*;128250dh39;0o76g>14c94?"39:0:=8l4n531>`=57>5$530>472j2d?=?4i;:k250>=83.?=>4>14`8j17528:07d?>5683>!26;3;:9o5a400954=:7>5$530>472j2d?=?4>2:9j5432290/8<=5107a?k26:3;876g>14694?"39:0:=8l4n531>42<3`;:8k4?:%627?76=k1e8<<51498m473<3:1(9?<:036f>h39;0::65f1060>5<#<891=<;m;o626?7032c:=;m50;&756<69>>0b9?=:198m471j3:1(9?<:0340>h39;0:76g>17c94?"39:0:=::4n531>7=5$530>470<2d?=?4<;:k2531=83.?=>4>1668j1752=10eo69??1<7*;1282522>0b9?=:998m471:3:1(9?<:0340>h39;0276g>17394?"39:0:=::4n531>d=5$530>470<2d?=?4m;:k250`=83.?=>4>1668j1752j10eo69>91<7*;1282522>0b9?=:028?l76?90;6):>3;3231=i<881=<54i035b?6=,=;862;30?>o69?n1<7*;1282522:?7?>759m044=9<10e=n98?h6=4+401954133g>:>7?8;:k24<6=83.?=>4>0838j1752910c<?96`;1383?>i6:j<1<7*;1282013n:50;&756<6<=?0b9?=:298k44d;3:1(9?<:0671>h39;0?76a>2b094?"39:0:89;4n531>0=5$530>423=2d?=?49;:m26g`=83.?=>4>4578j1752>10c<?96`;138;?>i6:kn1<7*;1282013ol50;&756<6<=?0b9?=:c98k44ei3:1(9?<:0671>h39;0h76a>2c;94?"39:0:89;4n531>a=5$530>423=2d?=?4j;:m26g1=83.?=>4>4578j1752o10c<?96`;13824>=h9;h?6=4+401951223g>:>7?>;:m26g5=83.?=>4>4578j17528807b?=b383>!26;3;?885a400956=5$530>423=2d?=?4>4:9l57d7290/8<=51566?k26:3;>76a>2`d94?"39:0:89;4n531>40<3f;9mh4?:%627?73<<1e8<<51698k44fl3:1(9?<:0671>h39;0:465`13c`>5<#<891=9::;o626?7>32e:>ll50;&756<6<=?0b9?=:0c8?j75i00;6):>3;3700=i<881=o54o00b>7c:>2;3g?>i6:h<1<7*;1282013:?7?;449m044=9o10c<?96`;13814>=h9;k86=4+401951223g>:>7<>;:m26d4=83.?=>4>4578j1752;807b?=a083>!26;3;?885a400966=5$530>423=2d?=?4=4:9l57ed290/8<=51566?k26:38>76a>2b`94?"39:0:89;4n531>70<3f;9ol4?:%627?73<<1e8<<52698k44d13:1(9?<:0671>h39;09465`13a;>5<#<891=9::;o626?4>32e:>n>50;&756<6<=?0b9?=:3c8?j75j<0;6):>3;3700=i<881>o54o00be?6=,=;86<:;5:l757<5k21d=?7i:18'045=9=>>7c:>2;0g?>i6:0o1<7*;1282013:?7?;a59m044=821d=99::18'045=9=k?7c:>2;38?j73?=0;6):>3;37e1=i<881>65`1550>5<#<891=9o;;o626?5<3f;?;?4?:%627?73i=1e8<<54:9l5116290/8<=515c7?k26:3?07b?;7183>!26;3;?m95a40092>=h9=:>794;n372a<72->:?7?;a59m044=021d=98l:18'045=9=k?7c:>2;;8?j73>k0;6):>3;37e1=i<881m65`154b>5<#<891=9o;;o626?d<3f;?:44?:%627?73i=1e8<<5c:9l510?290/8<=515c7?k26:3n07b?;6683>!26;3;?m95a4009a>=h9=<=6=4+401951g33g>:>7h4;n3720<72->:?7?;a59m044=9910c<:93;29 17428>j86`;13825>=h9=<96=4+401951g33g>:>7?=;:m2037=83.?=>4>4`68j17528907b?;6183>!26;3;?m95a400951=>j7>5$530>42f<2d?=?4>5:9l513b290/8<=515c7?k26:3;=76a>44f94?"39:0:8l:4n531>41<3f;?9n4?:%627?73i=1e8<<51998k422j3:1(9?<:06b0>h39;0:565`157b>5<#<891=9o;;o626?7f32e:88650;&756<60b9?=:0`8?j73=>0;6):>3;37e1=i<881=n54o0662?6=,=;86<:n4:l757<6l21d=9;::18'045=9=k?7c:>2;3f?>i6<<>1<7*;12820d2:?7?;a59m044=:910c<::2;29 17428>j86`;13815>=h9=?:6=4+401951g33g>:>7<=;:m2006=83.?=>4>4`68j1752;907b?;4g83>!26;3;?m95a400961=5$530>42f<2d?=?4=5:9l511f290/8<=515c7?k26:38=76a>46;94?"39:0:8l:4n531>71<3f;?;54?:%627?73i=1e8<<52998k420?3:1(9?<:06b0>h39;09565`154e>5<#<891=9o;;o626?4f32e:8;:50;&756<60b9?=:3`8?j73=00;6):>3;37e1=i<881>n54o067a?6=,=;86<:n4:l757<5l21d=9:k:18'045=9=k?7c:>2;0f?>i6h39;0876a>4e394?"39:0:8il4n531>1=o<7>5$530>42cj2d?=?4:;:m20f`=83.?=>4>4e`8j1752?10c<:ld;29 17428>on6`;1384?>i632e:8no50;&756<6h39;0i76a>4b:94?"39:0:8il4n531>f=h;7>5$530>42cj2d?=?4k;:m20f0=83.?=>4>4e`8j1752l10c<:l5;29 17428>on6`;138e?>i61<7*;12820ad4;n37g7<72->:?7?;dc9m044=9810c<:l1;29 17428>on6`;13826>=h9=i;6=4+401951be3g>:>7?<;:m20g`=83.?=>4>4e`8j17528>07b?;bd83>!26;3;?ho5a400950=ih7>5$530>42cj2d?=?4>6:9l51dd290/8<=515fa?k26:3;<76a>4c`94?"39:0:8il4n531>4><3f;?nl4?:%627?73lk1e8<<51898k42e13:1(9?<:06gf>h39;0:m65`15`4>5<#<891=9jm;o626?7e32e:8o850;&756<63;37`g=i<881=i54o06a0?6=,=;86<:kb:l757<6m21d=9l<:18'045=9=ni7c:>2;3e?>i64;n37f4<72->:?7?;dc9m044=:810c<:m0;29 17428>on6`;13816>=h9=km6=4+401951be3g>:>7<<;:m20dc=83.?=>4>4e`8j1752;>07b?;d`83>!26;3;?ho5a400960=o57>5$530>42cj2d?=?4=6:9l51b?290/8<=515fa?k26:38<76a>4e594?"39:0:8il4n531>7><3f;?h;4?:%627?73lk1e8<<52898k42dm3:1(9?<:06gf>h39;09m65`15a0>5<#<891=9jm;o626?4e32e:8o650;&756<63;37`g=i<881>i54o06bg?6=,=;86<:kb:l757<5m21d==7;:188k437=3:1(9?<:073f>h39;0;76a>51694?"39:0:9=l4n531>4=5$530>437j2d?=?4=;:m2154=83.?=>4>51`8j1752:10c<;?1;29 17428?;n6`;1387?>i6=9:1<7*;128215dh39;0376a>4g`94?"39:0:9=l4n531><=mm7>5$530>437j2d?=?4n;:m20c?=83.?=>4>51`8j1752k10c<:i8;29 17428?;n6`;138`?>i6h39;0:<65`15d1>5<#<891=8>m;o626?7632e:8k?50;&756<6=9h0b9?=:008?j73n90;6):>3;364g=i<881=>54o06fb?6=,=;86<;?b:l757<6<21d=9kj:18'045=9<:i7c:>2;36?>i6:?7?:0c9m044=9>10c<:jb;29 17428?;n6`;1382<>=h9=oj6=4+4019506e3g>:>7?6;:m20`?=83.?=>4>51`8j17528k07b?;e683>!26;3;>n:7>5$530>437j2d?=?4>c:9l51c2290/8<=5142a?k26:3;o76a>4d694?"39:0:9=l4n531>4c<3f;?i>4?:%627?728k1e8<<51g98k42b:3:1(9?<:073f>h39;09<65`15g2>5<#<891=8>m;o626?4632e:8h>50;&756<6=9h0b9?=:308?j73lo0;6):>3;364g=i<881>>54o06ga?6=,=;86<;?b:l757<5<21d=8>n:18'045=9<:i7c:>2;06?>i6=931<7*;128215d:?7?:0c9m044=:>10c<;?7;29 17428?;n6`;1381<>=h9<:=6=4+4019506e3g>:>7<6;:m20cc=83.?=>4>51`8j1752;k07b?;f283>!26;3;>n47>5$530>437j2d?=?4=c:9l51bc290/8<=5142a?k26:38o76a>4ea94?"39:0:9=l4n531>7c<3`;;nh4?:%627?760=1e8<<50:9j55dc290/8<=510:7?k26:3;07d??bb83>!26;3;:495a40096>=n99hi6=4+401954>33g>:>7=4;h33f<<72->:?7?>859m044=<21b==l7:18'045=982?7c:>2;78?l77j>0;6):>3;32<1=i<881:65f11`5>5<#<891=<6;;o626?1<3`;;n84?:%627?760=1e8<<58:9j55d3290/8<=510:7?k26:3307d??b283>!26;3;:495a4009e>=n99h96=4+401954>33g>:>7l4;h33f4<72->:?7?>859m044=k21b==l?:18'045=982?7c:>2;f8?l77k<0;6):>3;32<1=i<881i65f11a7>5<#<891=<6;;o626?`<3`;;o>4?:%627?760=1e8<<51198m46d:3:1(9?<:03;0>h39;0:=65f11a2>5<#<891=<6;;o626?7532c:50;&756<691>0b9?=:018?l77jo0;6):>3;32<1=i<881=954i02ae?6=,=;862;35?>o68ho1<7*;12825=2:?7?>b49m044=821b=<7k:18'045=98h>7c:>2;38?l761j0;6):>3;32f0=i<881>65f10;a>5<#<891=9683>!26;3;:n85a40092>=n983=6=4+401954d23g>:>794;h32=0<72->:?7?>b49m044=021b=<7;:18'045=98h>7c:>2;;8?l761:0;6):>3;32f0=i<881m65f10;1>5<#<891=a483>!26;3;:n85a4009a>=n98k?6=4+401954d23g>:>7h4;h32e6<72->:?7?>b49m044=9910e=n98k:6=4+401954d23g>:>7?=;:k25d6=83.?=>4>1c78j17528907d?>9g83>!26;3;:n85a400951=5$530>47e=2d?=?4>5:9j54>a290/8<=510`6?k26:3;=76g>19g94?"39:0:=o;4n531>41<3f;>?84?:%627?72;k1e8<<50:9l5053290/8<=5141a?k26:3;07b?:3283>!26;3;>?o5a40096>=h9<996=4+4019505e3g>:>7=4;n3674<72->:?7?:3c9m044=<21d=8=?:18'045=9<9i7c:>2;78?j72:o0;6):>3;367g=i<881:65`140g>5<#<891=8=m;o626?1<3f;>>n4?:%627?72;k1e8<<58:9l504e290/8<=5141a?k26:3307b?:2`83>!26;3;>?o5a4009e>=h9<826=4+4019505e3g>:>7l4;n366=<72->:?7?:3c9m044=k21d=8<8:18'045=9<9i7c:>2;f8?j72:?0;6):>3;367g=i<881i65`1406>5<#<891=8=m;o626?`<3f;>>94?:%627?72;k1e8<<51198k435:3:1(9?<:070f>h39;0:=65`1402>5<#<891=8=m;o626?7532e:9?>50;&756<6=:h0b9?=:018?j729o0;6):>3;367g=i<881=954o072a?6=,=;86<;2;35?>i6=8i1<7*;128216d:?7?:3c9m044=9110c<;>a;29 17428?8n6`;1382=>=h9<;26=4+4019505e3g>:>7?n;:m2141=83.?=>4>52`8j17528h07b?:1783>!26;3;>?o5a40095f=5$530>434j2d?=?4>d:9l5073290/8<=5141a?k26:3;n76a>50194?"39:0:9>l4n531>4`<3f;>=?4?:%627?72;k1e8<<52198k43693:1(9?<:070f>h39;09=65`1433>5<#<891=8=m;o626?4532e:9=h50;&756<6=:h0b9?=:318?j728l0;6):>3;367g=i<881>954o070e?6=,=;86<;2;05?>i6=:21<7*;128216d:?7?:3c9m044=:110c<;<6;29 17428?8n6`;1381=>=h9<8n6=4+4019505e3g>:>74>52`8j1752;h07b?:1983>!26;3;>?o5a40096f=5$530>434j2d?=?4=d:9l506d290/8<=5141a?k26:38n76g>1bd94?"39:0:=i94n531>5=5$530>47c?2d?=?4>;:k25fb=83.?=>4>1e58j1752;10eo69jk1<7*;12825a1h39;0<76g>1b494?"39:0:=i94n531>==5$530>47c?2d?=?46;:k25f2=83.?=>4>1e58j1752h10eo69j81<7*;12825a1h39;0m76g>1e694?"39:0:=i94n531>46<3`;:h>4?:%627?76l>1e8<<51098m47c:3:1(9?<:03g3>h39;0:>65f10f2>5<#<891=50;&756<69m=0b9?=:068?l76kk0;6):>3;32`2=i<881=854i03`4?6=,=;8621b=2;34?>i6=??1<7*;128213dh39;0876a>57394?"39:0:9;l4n531>1=5$530>431j2d?=?4:;:m210`=83.?=>4>57`8j1752?10c<;:d;29 17428?=n6`;1384?>i6=32e:98o50;&756<6=?h0b9?=:`98k43213:1(9?<:075f>h39;0i76a>54:94?"39:0:9;l4n531>f=;7>5$530>431j2d?=?4k;:m2100=83.?=>4>57`8j1752l10c<;:5;29 17428?=n6`;138e?>i6=<>1<7*;128213d4;n3617<72->:?7?:6c9m044=9810c<;:1;29 17428?=n6`;13826>=h9:>7?<;:m211`=83.?=>4>57`8j17528>07b?:4d83>!26;3;>:o5a400950=5$530>431j2d?=?4>6:9l502d290/8<=5144a?k26:3;<76a>55`94?"39:0:9;l4n531>4><3f;>8l4?:%627?72>k1e8<<51898k43313:1(9?<:075f>h39;0:m65`1464>5<#<891=88m;o626?7e32e:99850;&756<6=?h0b9?=:0a8?j72<<0;6):>3;362g=i<881=i54o0770?6=,=;86<;9b:l757<6m21d=8:<:18'045=9<2;3e?>i6==81<7*;128213d4;n3604<72->:?7?:6c9m044=:810c<;;0;29 17428?=n6`;13816>=h9<9m6=4+4019500e3g>:>7<<;:m216c=83.?=>4>57`8j1752;>07b?:6`83>!26;3;>:o5a400960=5$530>431j2d?=?4=6:9l500?290/8<=5144a?k26:38<76a>57594?"39:0:9;l4n531>7><3f;>:;4?:%627?72>k1e8<<52898k432m3:1(9?<:075f>h39;09m65`1470>5<#<891=88m;o626?4e32e:99650;&756<6=?h0b9?=:3a8?j72;m0;6):>3;362g=i<881>i54o070g?6=,=;86<;9b:l757<5m21b=2;28?l76m>0;6):>3;32b5=i<881=65f10g5>5<#<891=07d?>e383>!26;3;:j=5a40091>=n98o:6=4+401954`73g>:>784;h32a5<72->:?7?>f19m044=?21b=2;:8?l76ll0;6):>3;32b5=i<881565f10fg>5<#<891=d`83>!26;3;:j=5a4009`>=n98om6=4+401954`73g>:>7k4;h32a`<72->:?7?>f19m044=n21b=2;33?>o69li1<7*;12825c6:?7?>f19m044=9;10e=n98o26=4+401954`73g>:>7?;;:k25`2=83.?=>4>1g28j17528?07d?>d883>!26;3;:j=5a400953=5$530>47a82d?=?4>7:9l50?2290/8<=514;a?k26:3:07b?:9583>!26;3;>5o5a40095>=h9<386=4+401950?e3g>:>7<4;n36=7<72->:?7?:9c9m044=;21d=87>:18'045=9<3i7c:>2;68?j72190;6):>3;36=g=i<881965`14:e>5<#<891=87m;o626?0<3f;>4i4?:%627?721k1e8<<57:9l50>d290/8<=514;a?k26:3207b?:8c83>!26;3;>5o5a4009=>=h9<2j6=4+401950?e3g>:>7o4;n36<<<72->:?7?:9c9m044=j21d=867:18'045=9<3i7c:>2;a8?j720>0;6):>3;36=g=i<881h65`14:5>5<#<891=87m;o626?c<3f;>484?:%627?721k1e8<<5f:9l50>3290/8<=514;a?k26:3;;76a>59094?"39:0:94l4n531>47<3f;>4<4?:%627?721k1e8<<51398k43?83:1(9?<:07:f>h39;0:?65`145e>5<#<891=87m;o626?7332e:9:k50;&756<6=0h0b9?=:078?j72?m0;6):>3;36=g=i<881=;54o074g?6=,=;86<;6b:l757<6?21d=89m:18'045=9<3i7c:>2;3;?>i6=>k1<7*;12821:?7?:9c9m044=9h10c<;87;29 17428?2n6`;1382f>=h9<==6=4+401950?e3g>:>7?l;:m2123=83.?=>4>58`8j17528n07b?:7583>!26;3;>5o5a40095`=5$530>43>j2d?=?4>f:9l5015290/8<=514;a?k26:38;76a>56394?"39:0:94l4n531>77<3f;>;=4?:%627?721k1e8<<52398k431n3:1(9?<:07:f>h39;09?65`144f>5<#<891=87m;o626?4332e:94o50;&756<6=0h0b9?=:378?j72100;6):>3;36=g=i<881>;54o07:2;0;?>i6=0<1<7*;12821:?7?:9c9m044=:h10c<;73;29 17428?2n6`;1381f>=h9<=36=4+401950?e3g>:>74>58`8j1752;n07b?:6b83>!26;3;>5o5a40096`=5$530>44712d?=?4?;:k2656=83.?=>4>21;8j1752810eo69oo1<7*;128265?54i03eg?6=,=;86<h39;0=76g>1g;94?"39:0:>=74n531>2=5$530>44712d?=?47;:k25c1=83.?=>4>21;8j1752010eo69o?1<7*;128265?h39;0n76g>21594?"39:0:>=74n531>c=5$530>44712d?=?4>0:9j5762290/8<=5132:?k26:3;:76g>21694?"39:0:>=74n531>44<3`;9<>4?:%627?75801e8<<51298m447:3:1(9?<:003=>h39;0:865f10dg>5<#<891=?>6;o626?7232c:=k<50;&756<6:930b9?=:048?l76n80;6):>3;314<=i<881=:54o07`1?6=,=;86<;lb:l757<732e:9n:50;&756<6=jh0b9?=:098k43d;3:1(9?<:07`f>h39;0976a>5b094?"39:0:9nl4n531>6=5$530>43dj2d?=?4;;:m21f6=83.?=>4>5b`8j1752<10c<;mf;29 17428?hn6`;1385?>i6=kn1<7*;12821fdh39;0j76a>5c;94?"39:0:9nl4n531>g=5$530>43dj2d?=?4l;:m21g1=83.?=>4>5b`8j1752m10c<;m6;29 17428?hn6`;138f?>i6=k?1<7*;12821fd2;32?>i6=k;1<7*;12821fd:?7?:cc9m044=9:10c<;nf;29 17428?hn6`;13820>=h9:>7?:;:m21db=83.?=>4>5b`8j17528<07b?:ab83>!26;3;>oo5a400952=5$530>43dj2d?=?4>8:9l50gf290/8<=514aa?k26:3;276a>5`;94?"39:0:9nl4n531>4g<3f;>m:4?:%627?72kk1e8<<51c98k43f>3:1(9?<:07`f>h39;0:o65`14c6>5<#<891=8mm;o626?7c32e:9l:50;&756<6=jh0b9?=:0g8?j72i:0;6):>3;36gg=i<881=k54o07b6?6=,=;86<;lb:l757<5821d=8o>:18'045=92;02?>i6=h:1<7*;12821fd:?7?:cc9m044=::10c<;6e;29 17428?hn6`;13810>=h9:>7<:;:m21f?=83.?=>4>5b`8j1752;<07b?:c983>!26;3;>oo5a400962=5$530>43dj2d?=?4=8:9l50e1290/8<=514aa?k26:38276a>5cg94?"39:0:9nl4n531>7g<3f;>n>4?:%627?72kk1e8<<52c98k43f03:1(9?<:07`f>h39;09o65`14;g>5<#<891=8mm;o626?4c32e:94m50;&756<6=jh0b9?=:3g8?l759h0;6):>3;3167=i<881<65f133:>5<#<891=?<=;o626?7<3`;9=54?:%627?75:;1e8<<52:9j5770290/8<=51301?k26:3907d?=1483>!26;3;9>?5a40090>=n9;;?6=4+401957453g>:>7;4;h3156<72->:?7?=239m044=>21b=??=:18'045=9;897c:>2;58?l75980;6):>3;3167=i<881465f1333>5<#<891=?<=;o626??<3`;9!26;3;9>?5a4009g>=n9;:h6=4+401957453g>:>7j4;h3164<72->:?7?=239m044=m21b=?2;d8?l759o0;6):>3;3167=i<881==54i002a?6=,=;86<<=2:l757<6921b=??k:18'045=9;897c:>2;31?>o6:8i1<7*;1282674:?7?=239m044=9=10e<<>6;29 1742889>6`;13821>=n9;:i6=4+401957453g>:>7?9;:k265g=83.?=>4>2308j17528=07b?:f483>!26;3;>jo5a40094>=h9:>7?4;n36b6<72->:?7?:fc9m044=:21d=8h=:18'045=92;18?j72n80;6):>3;36bg=i<881865`14d3>5<#<891=8hm;o626?3<3f;>ik4?:%627?72nk1e8<<56:9l50cc290/8<=514da?k26:3=07b?:eb83>!26;3;>jo5a4009<>=h9:>774;n36ad<72->:?7?:fc9m044=i21d=8k6:18'045=92;`8?j72m10;6):>3;36bg=i<881o65`14g4>5<#<891=8hm;o626?b<3f;>i;4?:%627?72nk1e8<<5e:9l50c2290/8<=514da?k26:3l07b?:e583>!26;3;>jo5a400955=7>5$530>43aj2d?=?4>1:9l50c6290/8<=514da?k26:3;976a>5d294?"39:0:9kl4n531>45<3f;>hk4?:%627?72nk1e8<<51598k43cm3:1(9?<:07ef>h39;0:965`14fg>5<#<891=8hm;o626?7132e:9im50;&756<6=oh0b9?=:058?j72lk0;6):>3;36bg=i<881=554o07ge?6=,=;86<;ib:l757<6121d=8j6:18'045=92;3b?>i6=m=1<7*;12821cd:?7?:fc9m044=9j10c<;k5;29 17428?mn6`;1382`>=h9:>7?j;:m21a5=83.?=>4>5g`8j17528l07b?:d383>!26;3;>jo5a400965=5$530>43aj2d?=?4=1:9l50b7290/8<=514da?k26:38976a>5bd94?"39:0:9kl4n531>75<3f;>oh4?:%627?72nk1e8<<52598k43ai3:1(9?<:07ef>h39;09965`14d:>5<#<891=8hm;o626?4132e:9k650;&756<6=oh0b9?=:358?j72n>0;6):>3;36bg=i<881>554o07e2?6=,=;86<;ib:l757<5121d=8kj:18'045=92;0b?>i6=l91<7*;12821cd:?7?:fc9m044=:j10c<;ld;29 17428?mn6`;1381`>=h9:>74>22`8j1752910e<<<2;29 1742888n6`;1382?>o6::;1<7*;128266d?k50;&756<6::h0b9?=:598m445l3:1(9?<:000f>h39;0>76g>23a94?"39:0:>>l4n531>3=5$530>444j2d?=?48;:k267g=83.?=>4>22`8j1752110e<<=9;29 1742888n6`;138:?>o6:;21<7*;128266d?850;&756<6::h0b9?=:b98m445=3:1(9?<:000f>h39;0o76g>22c94?"39:0:>>l4n531>`=5$530>444j2d?=?4i;:k266>=83.?=>4>22`8j17528:07d?=3683>!26;3;9?o5a400954=5$530>444j2d?=?4>2:9j5752290/8<=5131a?k26:3;876g>22694?"39:0:>>l4n531>42<3`;9>k4?:%627?75;k1e8<<51498m445<3:1(9?<:000f>h39;0::65f1300>5<#<891=?=m;o626?7032c:>9m50;&756<6:<>0b9?=:198m443j3:1(9?<:0060>h39;0:76g>25c94?"39:0:>8:4n531>7=5$530>442<2d?=?4<;:k2611=83.?=>4>2468j1752=10e<<;6;29 174288>86`;1386?>o6:=?1<7*;12826029=50;&756<6:<>0b9?=:998m443:3:1(9?<:0060>h39;0276g>25394?"39:0:>8:4n531>d=5$530>442<2d?=?4m;:k266`=83.?=>4>2468j1752j10e<<86`;138g?>o6:<91<7*;12826028?50;&756<6:<>0b9?=:028?l75=90;6):>3;3111=i<881=<54i007b?6=,=;86<<:4:l757<6:21b=?:j:18'045=9;??7c:>2;30?>o6:=n1<7*;1282602:?7?=559m044=9<10e<<86`;13822>=n9;9h6=4+401957333g>:>7?8;:k2633=83.?=>4>27f8j1752910e<<94;29 174288=h6`;1382?>o6:?91<7*;128263b;>50;&756<6:?n0b9?=:598m442n3:1(9?<:005`>h39;0>76g>24g94?"39:0:>;j4n531>3=h7>5$530>441l2d?=?48;:k260e=83.?=>4>27f8j1752110e<<:b;29 174288=h6`;138:?>o6:8650;&756<6:?n0b9?=:b98m442?3:1(9?<:005`>h39;0o76g>27a94?"39:0:>;j4n531>`=5$530>441l2d?=?4i;:k263g=83.?=>4>27f8j17528:07d?=6883>!26;3;9:i5a400954=5$530>441l2d?=?4>2:9j5700290/8<=5134g?k26:3;876g>27494?"39:0:>;j4n531>42<3`;9:<4?:%627?75>m1e8<<51498m442>3:1(9?<:005`>h39;0::65f1376>5<#<891=?8k;o626?7032e:4?j50;&756<60:90b9?=:198k4>5k3:1(9?<:0:07>h39;0:76a>83`94?"39:0:4>=4n531>7=5$530>4>4;2d?=?4<;:m2<7?=83.?=>4>8218j1752=10c<6=8;29 1742828?6`;1386?>i60;=1<7*;1282<655;3:1(9?<:0:07>h39;0276a>83094?"39:0:4>=4n531>d=5$530>4>4;2d?=?4m;:m2<76=83.?=>4>8218j1752j10c<6>f;29 1742828?6`;138g?>i608o1<7*;1282<653;3;76=i<881=<54o0:2=?6=,=;86<6<3:l757<6:21d=5?7:18'045=91987c:>2;30?>i608=1<7*;1282<65:?7?7329m044=9<10c<6>5;29 1742828?6`;13822>=h91;?6=4+40195=543g>:>7?8;:m2<45=83.?=>4>8218j17528207b?71383>!26;3;3?>5a40095<=5$530>4>4;2d?=?4>a:9l5=6a290/8<=51910?k26:3;i76a>81g94?"39:0:4>=4n531>4e<3f;37k3:1(9?<:0:07>h39;0:i65`192a>5<#<891=5=<;o626?7a32e:4=o50;&756<60:90b9?=:328?j7?800;6):>3;3;76=i<881><54o0:38:18'045=91987c:>2;00?>i609<1<7*;1282<65:?7?7329m044=:<10c<6<1;29 1742828?6`;13812>=h919;6=4+40195=543g>:>7<8;:m2<7`=83.?=>4>8218j1752;207b?72d83>!26;3;3?>5a40096<=5$530>4>4;2d?=?4=a:9l5=7e290/8<=51910?k26:38i76a>80294?"39:0:4>=4n531>7e<3f;3<84?:%627?7?;:1e8<<52e98k4>7<3:1(9?<:0:07>h39;09i65`197g>5<#<891=58<;o626?6<3f;39n4?:%627?7?>:1e8<<51:9l5=3e290/8<=51940?k26:3807b?75`83>!26;3;3:>5a40097>=h91?26=4+40195=043g>:>7:4;n3;1=<72->:?7?7629m044==21d=5;8:18'045=91<87c:>2;48?j7?=<0;6):>3;3;26=i<881;65`1977>5<#<891=58<;o626?><3f;39>4?:%627?7?>:1e8<<59:9l5=35290/8<=51940?k26:3k07b?75083>!26;3;3:>5a4009f>=h91?;6=4+40195=043g>:>7m4;n3;0c<72->:?7?7629m044=l21d=5:j:18'045=91<87c:>2;g8?j7?3;3;26=i<881j65`196`>5<#<891=58<;o626?7732e:49o50;&756<60?90b9?=:038?j7?<00;6):>3;3;26=i<881=?54o0:72;37?>i60=<1<7*;1282<35:?7?7629m044=9?10c<6;4;29 174282=?6`;13823>=h91>86=4+40195=043g>:>7?7;:m2<14=83.?=>4>8718j17528307b?74083>!26;3;3:>5a40095d=5$530>4>1;2d?=?4>b:9l5=5b290/8<=51940?k26:3;h76a>82f94?"39:0:4;=4n531>4b<3f;3?n4?:%627?7?>:1e8<<51d98k4>4j3:1(9?<:0:57>h39;0:j65`191b>5<#<891=58<;o626?4732e:4>750;&756<60?90b9?=:338?j7?;10;6):>3;3;26=i<881>?54o0:03?6=,=;86<693:l757<5;21d=5=9:18'045=91<87c:>2;07?>i60?81<7*;1282<35:?7?7629m044=:?10c<690;29 174282=?6`;13813>=h91?m6=4+40195=043g>:>7<7;:m2<0c=83.?=>4>8718j1752;307b?75783>!26;3;3:>5a40096d=5$530>4>1;2d?=?4=b:9l5=27290/8<=51940?k26:38h76a>82794?"39:0:4;=4n531>7b<3f;3?94?:%627?7?>:1e8<<52d98k4>?l3:1(9?<:0::7>h39;0;76a>89a94?"39:0:44=4n531>4=5$530>4>>;2d?=?4=;:m2<=g=83.?=>4>8818j1752:10c<679;29 1742822?6`;1387?>i60121<7*;1282<<5?<3:1(9?<:0::7>h39;0376a>89194?"39:0:44=4n531><=7>5$530>4>>;2d?=?4n;:m2<=7=83.?=>4>8818j1752k10c<670;29 1742822?6`;138`?>i60>l1<7*;1282<<50k3:1(9?<:0::7>h39;0:<65`195b>5<#<891=57<;o626?7632e:4:750;&756<60090b9?=:008?j7??10;6):>3;3;=6=i<881=>54o0:43?6=,=;86<663:l757<6<21d=599:18'045=91387c:>2;36?>i60>?1<7*;1282<<5:?7?7929m044=9>10c<683;29 1742822?6`;1382<>=h91=96=4+40195=?43g>:>7?6;:m2<27=83.?=>4>8818j17528k07b?76g83>!26;3;35>5a40095g=5$530>4>>;2d?=?4>c:9l5=0c290/8<=519;0?k26:3;o76a>87a94?"39:0:44=4n531>4c<3f;3:o4?:%627?7?1:1e8<<51g98k4>1i3:1(9?<:0::7>h39;09<65`194:>5<#<891=57<;o626?4632e:4;650;&756<60090b9?=:308?j7?>>0;6):>3;3;=6=i<881>>54o0:52?6=,=;86<663:l757<5<21d=57=:18'045=91387c:>2;06?>i600;1<7*;1282<<5:?7?7929m044=:>10c<67f;29 1742822?6`;1381<>=h912n6=4+40195=?43g>:>7<6;:m2<=0=83.?=>4>8818j1752;k07b?77c83>!26;3;35>5a40096g=5$530>4>>;2d?=?4=c:9l5=02290/8<=519;0?k26:38o76a>87694?"39:0:44=4n531>7c<3f;3ni4?:%627?7?k:1e8<<50:9l5=dd290/8<=519a0?k26:3;07b?7bc83>!26;3;3o>5a40096>=h91hj6=4+40195=e43g>:>7=4;n3;f<<72->:?7?7c29m044=<21d=5l7:18'045=91i87c:>2;78?j7?j>0;6):>3;3;g6=i<881:65`19`6>5<#<891=5m<;o626?1<3f;3n94?:%627?7?k:1e8<<58:9l5=d4290/8<=519a0?k26:3307b?7b383>!26;3;3o>5a4009e>=h91h:6=4+40195=e43g>:>7l4;n3;f5<72->:?7?7c29m044=k21d=5oi:18'045=91i87c:>2;f8?j7?il0;6):>3;3;g6=i<881i65`19cg>5<#<891=5m<;o626?`<3f;3mn4?:%627?7?k:1e8<<51198k4>fi3:1(9?<:0:`7>h39;0:=65`19c:>5<#<891=5m<;o626?7532e:4l650;&756<60j90b9?=:018?j7?i>0;6):>3;3;g6=i<881=954o0:b2?6=,=;86<6l3:l757<6=21d=5o::18'045=91i87c:>2;35?>i60h>1<7*;1282:?7?7c29m044=9110c<6n2;29 174282h?6`;1382=>=h91k:6=4+40195=e43g>:>7?n;:m2<<`=83.?=>4>8b18j17528h07b?79d83>!26;3;3o>5a40095f=5$530>4>d;2d?=?4>d:9l5=?d290/8<=519a0?k26:3;n76a>88`94?"39:0:4n=4n531>4`<3f;35l4?:%627?7?k:1e8<<52198k4>>13:1(9?<:0:`7>h39;09=65`19;;>5<#<891=5m<;o626?4532e:44950;&756<60j90b9?=:318?j7?1?0;6):>3;3;g6=i<881>954o0:`6?6=,=;86<6l3:l757<5=21d=5m>:18'045=91i87c:>2;05?>i60j:1<7*;1282:?7?7c29m044=:110c<6me;29 174282h?6`;1381=>=h91h=6=4+40195=e43g>:>74>8b18j1752;h07b?7a183>!26;3;3o>5a40096f=5$530>4>d;2d?=?4=d:9l5=?3290/8<=519a0?k26:38n76a>8df94?"39:0:4k=4n531>5=5$530>4>a;2d?=?4>;:m2<`d=83.?=>4>8g18j1752;10c<6ja;29 174282m?6`;1380?>i60l31<7*;1282b=3:1(9?<:0:e7>h39;0<76a>8d694?"39:0:4k=4n531>==5$530>4>a;2d?=?46;:m2<`4=83.?=>4>8g18j1752h10c<6j1;29 174282m?6`;138a?>i60l:1<7*;1282cl3:1(9?<:0:e7>h39;0m76a>8ea94?"39:0:4k=4n531>46<3f;3hl4?:%627?7?n:1e8<<51098k4>c13:1(9?<:0:e7>h39;0:>65`19f;>5<#<891=5h<;o626?7432e:4i950;&756<60o90b9?=:068?j7?l?0;6):>3;3;b6=i<881=854o0:g1?6=,=;86<6i3:l757<6>21d=5j;:18'045=91l87c:>2;34?>i60m91<7*;1282:?7?7f29m044=9010c<6k1;29 174282m?6`;1382e>=h91im6=4+40195=`43g>:>7?m;:m24>8g18j17528i07b?7ce83>!26;3;3j>5a40095a=5$530>4>a;2d?=?4>e:9l5=ee290/8<=519d0?k26:3;m76a>8bc94?"39:0:4k=4n531>76<3f;3o44?:%627?7?n:1e8<<52098k4>d03:1(9?<:0:e7>h39;09>65`19a4>5<#<891=5h<;o626?4432e:4n850;&756<60o90b9?=:368?j7?n;0;6):>3;3;b6=i<881>854o0:e5?6=,=;86<6i3:l757<5>21d=5h?:18'045=91l87c:>2;04?>i60ll1<7*;1282:?7?7f29m044=:010c<6j6;29 174282m?6`;1381e>=h91ni6=4+40195=`43g>:>74>8g18j1752;i07b?7c483>!26;3;3j>5a40096a=5$530>4>a;2d?=?4=e:9j55?42900c<7>d;29 1742839?6`;1383?>i618i1<7*;1282=75h39;0?76a>90:94?"39:0:5?=4n531>0=5$530>4?5;2d?=?49;:m2=43=83.?=>4>9318j1752>10c<7>4;29 1742839?6`;138;?>i61891<7*;1282=75h39;0h76a>91d94?"39:0:5?=4n531>a=5$530>4?5;2d?=?4j;:m2=5b=83.?=>4>9318j1752o10c<7?c;29 1742839?6`;13824>=h90:j6=4+40195<443g>:>7?>;:m2=5?=83.?=>4>9318j17528807b?60983>!26;3;2>>5a400956=5$530>4?5;2d?=?4>4:9l5<61290/8<=51800?k26:3;>76a>91794?"39:0:5?=4n531>40<3f;2<94?:%627?7>::1e8<<51698k4?7;3:1(9?<:0;17>h39;0:465`1821>5<#<891=4<<;o626?7>32e:5=?50;&756<61;90b9?=:0c8?j7?no0;6):>3;3:66=i<881=o54o0:ea?6=,=;86<7=3:l757<6k21d=5hk:18'045=90887c:>2;3g?>i60oi1<7*;1282=75:?7?6229m044=9o10c<6ia;29 1742839?6`;13814>=h91l26=4+40195<443g>:>7<>;:m2=83.?=>4>9318j1752;807b?7f683>!26;3;2>>5a400966=5$530>4?5;2d?=?4=4:9l5<45290/8<=51800?k26:38>76a>93394?"39:0:5?=4n531>70<3f;2>=4?:%627?7>::1e8<<52698k4?6n3:1(9?<:0;17>h39;09465`183f>5<#<891=4<<;o626?4>32e:5<850;&756<61;90b9?=:3c8?j7>8k0;6):>3;3:66=i<881>o54o0;34?6=,=;86<7=3:l757<5k21d=5h::18'045=90887c:>2;0g?>i60o>1<7*;1282=75:?7?6529m044=821d=4:l:18'045=90?87c:>2;38?j7>3;3:16=i<881>65`186b>5<#<891=4;<;o626?5<3f;2844?:%627?7>=:1e8<<54:9l5<2?290/8<=51870?k26:3?07b?64683>!26;3;29>5a40092>=h90>>6=4+40195<343g>:>794;n3:01<72->:?7?6529m044=021d=4:<:18'045=90?87c:>2;;8?j7><;0;6):>3;3:16=i<881m65`1862>5<#<891=4;<;o626?d<3f;28=4?:%627?7>=:1e8<<5c:9l5<5a290/8<=51870?k26:3n07b?63d83>!26;3;29>5a4009a>=h909o6=4+40195<343g>:>7h4;n3:7f<72->:?7?6529m044=9910c<7?6`;13825>=h90926=4+40195<343g>:>7?=;:m2=6>=83.?=>4>9418j17528907b?63683>!26;3;29>5a400951=5$530>4?2;2d?=?4>5:9l5<52290/8<=51870?k26:3;=76a>92694?"39:0:58=4n531>41<3f;2?>4?:%627?7>=:1e8<<51998k4?4:3:1(9?<:0;67>h39;0:565`1812>5<#<891=4;<;o626?7f32e:5?h50;&756<61<90b9?=:0`8?j7>:l0;6):>3;3:16=i<881=n54o0;1`?6=,=;86<7:3:l757<6l21d=42;3f?>i61;h1<7*;1282=05:?7?6529m044=:910c<7=9;29 174283>?6`;13815>=h90836=4+40195<343g>:>7<=;:m2=71=83.?=>4>9418j1752;907b?62783>!26;3;29>5a400961=>7>5$530>4?2;2d?=?4=5:9l5<36290/8<=51870?k26:38=76a>94294?"39:0:58=4n531>71<3f;28k4?:%627?7>=:1e8<<52998k4?3m3:1(9?<:0;67>h39;09565`1865>5<#<891=4;<;o626?4f32e:5>l50;&756<61<90b9?=:3`8?j7>;90;6):>3;3:16=i<881>n54o0;11?6=,=;86<7:3:l757<5l21d=4<;:18'045=90?87c:>2;0f?>o68>>1<7*;12824=375:l757<632c:<:<50;&756<681?0b9?=:398m46093:1(9?<:02;1>h39;0876g>06294?"39:0:<5;4n531>1=5$530>46?=2d?=?4:;:k243c=83.?=>4>0978j1752?10e<>9d;29 17428:396`;1384?>o68>i1<7*;12824=375:l757<>32c:<:o50;&756<681?0b9?=:`98m46013:1(9?<:02;1>h39;0i76g>06:94?"39:0:<5;4n531>f=5$530>46?=2d?=?4k;:k2420=83.?=>4>0978j1752l10e<>85;29 17428:396`;138e?>o68?i1<7*;12824=34;h332g<72->:?7??849m044=9810e3;3551=i<881<65`172a>5<#<891=;?;;o626?7<3f;=290/8<=51737?k26:3907b?90683>!26;3;==95a40090>=h9?:=6=4+401953733g>:>7;4;n3540<72->:?7?9159m044=>21d=;>;:18'045=9?;?7c:>2;58?j718:0;6):>3;3551=i<881465`1721>5<#<891=;?;;o626??<3f;=<<4?:%627?719=1e8<<5a:9l5367290/8<=51737?k26:3h07b?:fg83>!26;3;==95a4009g>=h9:>7j4;n3556<72->:?7?9159m044=m21d=;?=:18'045=9?;?7c:>2;d8?j71980;6):>3;3551=i<881==54o0424?6=,=;86<8>4:l757<6921d=;>i:18'045=9?;?7c:>2;31?>i6>9o1<7*;1282242:?7?9159m044=9=10c<8?8;29 17428<:86`;13821>=h9:>7?9;:m21ce=83.?=>4>6068j17528=07b?8cd83>!26;3;=h9>io6=4+401952b33g>:>7?4;n34gf<72->:?7?8d59m044=:21d=:mm:18'045=9>n?7c:>2;18?j70kh0;6):>3;34`1=i<881865`16a:>5<#<891=:j;;o626?3<3f;!26;3;=h9>i?6=4+401952b33g>:>774;n34g6<72->:?7?8d59m044=i21d=:m=:18'045=9>n?7c:>2;`8?j70k80;6):>3;34`1=i<881o65`16a3>5<#<891=:j;;o626?b<3f;!26;3;5$530>41c<2d?=?4>1:9l52df290/8<=516f7?k26:3;976a>7c;94?"39:0:;i:4n531>45<3f;h39;0:965`16`5>5<#<891=:j;;o626?7132e:;o;50;&756<6?m>0b9?=:058?j70j=0;6):>3;34`1=i<881=554o05a7?6=,=;86<9k4:l757<6121d=:l=:18'045=9>n?7c:>2;3b?>i6?k:1<7*;12823a2:?7?8d59m044=9j10c<9ne;29 17428=o86`;1382`>=h9>ko6=4+401952b33g>:>7?j;:m23de=83.?=>4>7e68j17528l07b?8ac83>!26;3;5$530>41c<2d?=?4=1:9l52g>290/8<=516f7?k26:38976a>7`:94?"39:0:;i:4n531>75<3f;h39;09965`16f1>5<#<891=:j;;o626?4132e:;i?50;&756<6?m>0b9?=:358?j70l90;6):>3;34`1=i<881>554o05`b?6=,=;86<9k4:l757<5121d=:m8:18'045=9>n?7c:>2;0b?>i6?ki1<7*;12823a2:?7?8d59m044=:j10c<9n6;29 17428=o86`;1381`>=h9>k>6=4+401952b33g>:>72;28?j71:=0;6):>3;35`f=i<881=65`1700>5<#<891=;jl;o626?4<3f;=>?4?:%627?71lj1e8<<53:9l5347290/8<=517f`?k26:3>07b?91g83>!26;3;=hn5a40091>=h9?;n6=4+401953bd3g>:>784;n355a<72->:?7?9db9m044=?21d=;?l:18'045=9?nh7c:>2;:8?j719k0;6):>3;35`f=i<881565`173b>5<#<891=;jl;o626?g<3f;==44?:%627?71lj1e8<<5b:9l537?290/8<=517f`?k26:3i07b?91683>!26;3;=hn5a4009`>=h9?8h6=4+401953bd3g>:>7k4;n356g<72->:?7?9db9m044=n21d=;2;33?>i6>;31<7*;12822ae:?7?9db9m044=9;10c<8=7;29 17428=h9?8=6=4+401953bd3g>:>7?;;:m2277=83.?=>4>6ea8j17528?07b?91783>!26;3;=hn5a400953=5$530>40ck2d?=?4>7:9l53cc290/8<=517d6?k26:3:07b?9eb83>!26;3;=j85a40095>=h9?oi6=4+401953`23g>:>7<4;n35ad<72->:?7?9f49m044=;21d=;k7:18'045=9?l>7c:>2;68?j71m>0;6):>3;35b0=i<881965`17g5>5<#<891=;h:;o626?0<3f;=i84?:%627?71n<1e8<<57:9l53c3290/8<=517d6?k26:3207b?9e283>!26;3;=j85a4009=>=h9?o96=4+401953`23g>:>7o4;n35a4<72->:?7?9f49m044=j21d=;k?:18'045=9?l>7c:>2;a8?j71lo0;6):>3;35b0=i<881h65`17d7>5<#<891=;h:;o626?c<3f;=j>4?:%627?71n<1e8<<5f:9l53`5290/8<=517d6?k26:3;;76a>6g394?"39:0::k;4n531>47<3f;=j=4?:%627?71n<1e8<<51398k40bn3:1(9?<:04e1>h39;0:?65`17gf>5<#<891=;h:;o626?7332e::h750;&756<6>o?0b9?=:078?j71ll0;6):>3;35b0=i<881=;54o04g`?6=,=;86<8i5:l757<6?21d=:>9:18'045=9>:n7c:>2;28?j708<0;6):>3;344`=i<881=65`1627>5<#<891=:>j;o626?4<3f;<<>4?:%627?708l1e8<<53:9l5266290/8<=5162f?k26:3>07b?80183>!26;3;<=h9?lm6=4+4019526b3g>:>784;n35b`<72->:?7?80d9m044=?21d=;hk:18'045=9>:n7c:>2;:8?j71nj0;6):>3;344`=i<881565`17da>5<#<891=:>j;o626?g<3f;=jl4?:%627?708l1e8<<5b:9l53`>290/8<=5162f?k26:3i07b?9f983>!26;3;<=h9>:o6=4+4019526b3g>:>7k4;n344f<72->:?7?80d9m044=n21d=:>m:18'045=9>:n7c:>2;33?>i6?9k1<7*;128235c:?7?80d9m044=9;10c<9?8;29 17428=;i6`;13827>=h9>:<6=4+4019526b3g>:>7?;;:m2354=83.?=>4>71g8j17528?07b?9f683>!26;3;<5$530>417m2d?=?4>7:9l527a290/8<=51604?k26:3:07b?81d83>!26;3;<>:5a40095>=h9>;o6=4+401952403g>:>7<4;n345f<72->:?7?8269m044=;21d=:?n:18'045=9>8<7c:>2;68?j70900;6):>3;3462=i<881965`163;>5<#<891=:<8;o626?0<3f;<=:4?:%627?70:>1e8<<57:9l5271290/8<=51604?k26:3207b?81483>!26;3;<>:5a4009=>=h9>;?6=4+401952403g>:>7o4;n3456<72->:?7?8269m044=j21d=:?=:18'045=9>8<7c:>2;a8?j70980;6):>3;3462=i<881h65`1605>5<#<891=:<8;o626?c<3f;<>84?:%627?70:>1e8<<5f:9l5243290/8<=51604?k26:3;;76a>73194?"39:0:;?94n531>47<3f;<>?4?:%627?70:>1e8<<51398k41593:1(9?<:0513>h39;0:?65`1603>5<#<891=:<8;o626?7332e:;3;3462=i<881=;54o053b?6=,=;86<9=7:l757<6?21d=:=7:18'045=9>>;7c:>2;28?j70;>0;6):>3;3405=i<881=65`1615>5<#<891=::?;o626?4<3f;07b?83383>!26;3;<8=5a40091>=h9>9:6=4+401952273g>:>784;n3475<72->:?7?8419m044=?21d=:>;7c:>2;:8?j70:l0;6):>3;3405=i<881565`160g>5<#<891=::?;o626?g<3f;<>n4?:%627?70<91e8<<5b:9l524e290/8<=51663?k26:3i07b?82`83>!26;3;<8=5a4009`>=h9>9m6=4+401952273g>:>7k4;n347`<72->:?7?8419m044=n21d=:=k:18'045=9>>;7c:>2;33?>i6?:i1<7*;1282316:?7?8419m044=9;10c<9=h9>926=4+401952273g>:>7?;;:m2362=83.?=>4>7528j17528?07b?82883>!26;3;<8=5a400953=5$530>41382d?=?4>7:9l5236290/8<=5167:?k26:3:07b?85183>!26;3;<945a40095>=h9>>m6=4+4019523>3g>:>7<4;n340`<72->:?7?8589m044=;21d=::l:18'045=9>?27c:>2;68?j703;341<=i<881965`166b>5<#<891=:;6;o626?0<3f;<844?:%627?70=01e8<<57:9l522?290/8<=5167:?k26:3207b?84683>!26;3;<945a4009=>=h9>>=6=4+4019523>3g>:>7o4;n3400<72->:?7?8589m044=j21d=::;:18'045=9>?27c:>2;a8?j70<:0;6):>3;341<=i<881h65`167;>5<#<891=:;6;o626?c<3f;<9:4?:%627?70=01e8<<5f:9l5231290/8<=5167:?k26:3;;76a>74794?"39:0:;874n531>47<3f;<994?:%627?70=01e8<<51398k412;3:1(9?<:056=>h39;0:?65`1671>5<#<891=:;6;o626?7332e:;9j50;&756<6?<30b9?=:078?j70<;0;6):>3;341<=i<881=;54o0575?6=,=;86<9:9:l757<6?21d=:hj:18'045=90??7c:>2;28?j70nm0;6):>3;3:11=i<881=65`16d`>5<#<891=4;;;o626?4<3f;==1e8<<53:9l52`f290/8<=51877?k26:3>07b?8f883>!26;3;2995a40091>=h9>l36=4+40195<333g>:>784;n34b3<72->:?7?6559m044=?21d=:h::18'045=90??7c:>2;:8?j70n=0;6):>3;3:11=i<881565`16d0>5<#<891=4;;;o626?g<3f;==1e8<<5b:9l52`6290/8<=51877?k26:3i07b?8f183>!26;3;2995a4009`>=h9>om6=4+40195<333g>:>7k4;n34a`<72->:?7?6559m044=n21d=:kk:18'045=90??7c:>2;33?>i6?lh1<7*;1282=02:?7?6559m044=9;10c<9j9;29 174283>86`;13827>=h9>o36=4+40195<333g>:>7?;;:m23`1=83.?=>4>9468j17528?07b?8e783>!26;3;2995a400953=5$530>4?2<2d?=?4>7:9l52c3290/8<=51877?k26:3;376a>7d194?"39:0:58:4n531>4?<3f;==1e8<<51`98k41b83:1(9?<:0;60>h39;0:n65`16fe>5<#<891=4;;;o626?7d32e:;ik50;&756<61<>0b9?=:0f8?j70lm0;6):>3;3:11=i<881=h54o05gg?6=,=;86<7:4:l757<6n21d=:jm:18'045=90??7c:>2;03?>i6?mk1<7*;1282=02:?7?6559m044=:;10c<9k8;29 174283>86`;13817>=h9>n<6=4+40195<333g>:>7<;;:m2<55=83.?=>4>9468j1752;?07b?70383>!26;3;2995a400963=5$530>4?2<2d?=?4=7:9l5=67290/8<=51877?k26:38376a>7gd94?"39:0:58:4n531>7?<3f;==1e8<<52`98k41bk3:1(9?<:0;60>h39;09n65`16g2>5<#<891=4;;;o626?4d32e:;i850;&756<61<>0b9?=:3f8?j70l<0;6):>3;3:11=i<881>h54o055e?6=,=;86<982:l757<732e:;;750;&756<6?>80b9?=:098k41103:1(9?<:0546>h39;0976a>77594?"39:0:;:<4n531>6=5$530>410:2d?=?4;;:m2332=83.?=>4>7608j1752<10c<993;29 17428=<>6`;1385?>i6??81<7*;128232450;&756<6?>80b9?=:898k412n3:1(9?<:0546>h39;0j76a>74g94?"39:0:;:<4n531>g=h7>5$530>410:2d?=?4l;:m230e=83.?=>4>7608j1752m10c<981;29 17428=<>6`;138f?>i6?>:1<7*;1282324=97c:>2;32?>i6??n1<7*;1282324:?7?8739m044=9:10c<99b;29 17428=<>6`;13820>=h9><=6=4+401952153g>:>7?:;:m230d=83.?=>4>7608j17528<07b?85`83>!26;3;<;?5a400952=5$530>4??<2d?=?4?;:m2=2b=83.?=>4>9968j1752810c<78c;29 174283386`;1381?>i61>h1<7*;1282==254o0;4e?6=,=;86<774:l757<332e:5:750;&756<611>0b9?=:498k4?003:1(9?<:0;;0>h39;0=76a>96494?"39:0:55:4n531>2=5$530>4??<2d?=?47;:m2=22=83.?=>4>9968j1752010c<783;29 174283386`;138b?>i61>81<7*;1282==250;&756<611>0b9?=:e98k4?1n3:1(9?<:0;;0>h39;0n76a>97g94?"39:0:55:4n531>c=5$530>4??<2d?=?4>0:9l5<0e290/8<=518:7?k26:3;:76a>97c94?"39:0:55:4n531>44<3f;2:44?:%627?7>0=1e8<<51298k4?103:1(9?<:0;;0>h39;0:865`1844>5<#<891=46;;o626?7232e:5;850;&756<611>0b9?=:048?j7>><0;6):>3;3:<1=i<881=:54o0;50?6=,=;86<774:l757<6021d=48<:18'045=902?7c:>2;3:?>i61?81<7*;1282==2:?7?6859m044=9k10c<7:f;29 174283386`;1382g>=h90?n6=4+40195<>33g>:>7?k;:m2=0b=83.?=>4>9968j17528o07b?65b83>!26;3;2495a40095c=n7>5$530>4??<2d?=?4=0:9l5<3f290/8<=518:7?k26:38:76a>94;94?"39:0:55:4n531>74<3f;2954?:%627?7>0=1e8<<52298k4?2?3:1(9?<:0;;0>h39;09865`18:0>5<#<891=46;;o626?4232e:55<50;&756<611>0b9?=:348?j7>080;6):>3;3:<1=i<881>:54o0;;4?6=,=;86<774:l757<5021d=49i:18'045=902?7c:>2;0:?>i61>=1<7*;1282==2:?7?6859m044=:k10c<791;29 174283386`;1381g>=h90?=6=4+40195<>33g>:>74>9968j1752;o07b?88283>!26;3;<4o5a40094>=h9>296=4+401952>e3g>:>7?4;n34<4<72->:?7?88c9m044=:21d=:6?:18'045=9>2i7c:>2;18?j70?l0;6):>3;345<#<891=:6m;o626?3<3f;<;n4?:%627?700k1e8<<56:9l521e290/8<=516:a?k26:3=07b?87`83>!26;3;<4o5a4009<>=h9>=26=4+401952>e3g>:>774;n343=<72->:?7?88c9m044=i21d=:98:18'045=9>2i7c:>2;`8?j70??0;6):>3;345<#<891=:6m;o626?b<3f;<4l4?:%627?700k1e8<<5e:9l52>>290/8<=516:a?k26:3l07b?88983>!26;3;<4o5a400955=5$530>41?j2d?=?4>1:9l52>1290/8<=516:a?k26:3;976a>79794?"39:0:;5l4n531>45<3f;<494?:%627?700k1e8<<51598k410n3:1(9?<:05;f>h39;0:965`1657>5<#<891=:6m;o626?7132e:;:=50;&756<6?1h0b9?=:058?j7>il0;6):>3;3:f1=i<881<65`18cg>5<#<891=4l;;o626?7<3f;2mn4?:%627?7>j=1e8<<52:9l5!26;3;2n95a40090>=h90k26=4+40195:>7;4;n3:e=<72->:?7?6b59m044=>21d=4o9:18'045=90h?7c:>2;58?j7>i<0;6):>3;3:f1=i<881465`18c7>5<#<891=4l;;o626??<3f;2m>4?:%627?7>j=1e8<<5a:9l5!26;3;2n95a4009g>=h90k;6=4+40195:>7j4;n3:=c<72->:?7?6b59m044=m21d=47j:18'045=90h?7c:>2;d8?j7>1m0;6):>3;3:f1=i<881==54o0;:f?6=,=;86<7m4:l757<6921d=47n:18'045=90h?7c:>2;31?>i61031<7*;1282=g2:?7?6b59m044=9=10c<767;29 174283i86`;13821>=h903=6=4+40195:>7?9;:m2=<3=83.?=>4>9c68j17528=07b?69583>!26;3;2n95a40095==5$530>4?e<2d?=?4>9:9l598294?"39:0:5o:4n531>4d<3f;24k4?:%627?7>j=1e8<<51b98k4??m3:1(9?<:0;a0>h39;0:h65`18:g>5<#<891=4l;;o626?7b32e:55m50;&756<61k>0b9?=:0d8?j7>0k0;6):>3;3:f1=i<881>=54o0;;e?6=,=;86<7m4:l757<5921d=466:18'045=90h?7c:>2;01?>i61121<7*;1282=g2:?7?6b59m044=:=10c<7m3;29 174283i86`;13811>=h90h96=4+40195:>7<9;:m2=g7=83.?=>4>9c68j1752;=07b?6b183>!26;3;2n95a40096==5$530>4?e<2d?=?4=9:9l598a94?"39:0:5o:4n531>7d<3f;25<4?:%627?7>j=1e8<<52b98k4??>3:1(9?<:0;a0>h39;09h65`18:6>5<#<891=4l;;o626?4b32e:;4m50;&756<6?h>0b9?=:198k41>j3:1(9?<:05b0>h39;0:76a>78c94?"39:0:;l:4n531>7=5$530>41f<2d?=?4<;:m23<1=83.?=>4>7`68j1752=10c<966;29 17428=j86`;1386?>i6?0?1<7*;12823d20b9?=:998k41>:3:1(9?<:05b0>h39;0276a>78394?"39:0:;l:4n531>d=5$530>41f<2d?=?4m;:m23=`=83.?=>4>7`68j1752j10c<97e;29 17428=j86`;138g?>i6?h91<7*;12823d20b9?=:028?j70i90;6):>3;34e1=i<881=<54o05:b?6=,=;86<9n4:l757<6:21d=:7j:18'045=9>k?7c:>2;30?>i6?0n1<7*;12823d2:?7?8a59m044=9<10c<97d;29 17428=j86`;13822>=h9>2h6=4+401952g33g>:>7?8;:m2=ac=83.?=>4>9d68j1752910c<7kd;29 174283n86`;1382?>i61mi1<7*;1282=`20b9?=:598k4?c13:1(9?<:0;f0>h39;0>76a>9e:94?"39:0:5h:4n531>3=5$530>4?b<2d?=?48;:m2=a3=83.?=>4>9d68j1752110c<7k4;29 174283n86`;138:?>i61m91<7*;1282=`20b9?=:b98k4?c83:1(9?<:0;f0>h39;0o76a>9bd94?"39:0:5h:4n531>`=5$530>4?b<2d?=?4i;:m2=fb=83.?=>4>9d68j17528:07b?6cc83>!26;3;2i95a400954=5$530>4?b<2d?=?4>2:9l5290/8<=518g7?k26:3;876a>9b:94?"39:0:5h:4n531>42<3f;2o:4?:%627?7>m=1e8<<51498k4?d>3:1(9?<:0;f0>h39;0::65`18a6>5<#<891=4k;;o626?7032e:5n:50;&756<61l>0b9?=:0:8?j7>k:0;6):>3;3:a1=i<881=454o0;`6?6=,=;86<7j4:l757<6i21d=4m?:18'045=90o?7c:>2;3a?>i61kl1<7*;1282=`2:?7?6e59m044=9m10c<7md;29 174283n86`;1382a>=h90hh6=4+40195:>7?i;:m2=gd=83.?=>4>9d68j1752;:07b?6b`83>!26;3;2i95a400964=5$530>4?b<2d?=?4=2:9l59c594?"39:0:5h:4n531>72<3f;2i>4?:%627?7>m=1e8<<52498k4?b:3:1(9?<:0;f0>h39;09:65`18g2>5<#<891=4k;;o626?4032e:5h>50;&756<61l>0b9?=:3:8?j7>lo0;6):>3;3:a1=i<881>454o0;g3?6=,=;86<7j4:l757<5i21d=4ml:18'045=90o?7c:>2;0a?>i61j;1<7*;1282=`2:?7?6e59m044=:m10c<7m5;29 174283n86`;1381a>=h9h:n6=4+40195d733g>:>7>4;n3b4a<72->:?7?n159m044=921d=l>l:18'045=9h;?7c:>2;08?j7f8k0;6):>3;3b51=i<881?65`1`2b>5<#<891=l?;;o626?2<3f;j<44?:%627?7f9=1e8<<55:9l5d6?290/8<=51`37?k26:3<07b?n0783>!26;3;j=95a40093>=h9h:>6=4+40195d733g>:>764;n3b41<72->:?7?n159m044=121d=l><:18'045=9h;?7c:>2;c8?j7f8;0;6):>3;3b51=i<881n65`1`22>5<#<891=l?;;o626?e<3f;j<=4?:%627?7f9=1e8<<5d:9l5<`a290/8<=51`37?k26:3o07b?6fd83>!26;3;j=95a4009b>=h90lo6=4+40195d733g>:>7??;:m2=cd=83.?=>4>a068j17528;07b?6f`83>!26;3;j=95a400957=5$530>4g6<2d?=?4>3:9l5<`?290/8<=51`37?k26:3;?76a>9g594?"39:0:m<:4n531>43<3f;2j;4?:%627?7f9=1e8<<51798k4?a=3:1(9?<:0c20>h39;0:;65`18d7>5<#<891=l?;;o626?7?32e:5k=50;&756<6i8>0b9?=:0;8?j7>n;0;6):>3;3b51=i<881=l54o0;e4?6=,=;864:l757<6j21d=4ki:18'045=9h;?7c:>2;3`?>i61lo1<7*;1282e42:?7?n159m044=9l10c<7jc;29 17428k:86`;1382b>=h90oi6=4+40195d733g>:>74>a068j1752;;07b?6e883>!26;3;j=95a400967=5$530>4g6<2d?=?4=3:9l5a0194?"39:0:m<:4n531>73<3f;j=?4?:%627?7f9=1e8<<52798k4g693:1(9?<:0c20>h39;09;65`1`33>5<#<891=l?;;o626?4?32e:m=h50;&756<6i8>0b9?=:3;8?j7f8>0;6):>3;3b51=i<881>l54o0;eg?6=,=;864:l757<5j21d=4h>:18'045=9h;?7c:>2;0`?>i61l<1<7*;1282e42:?7?n159m044=:l10ci6i:n1<7*;1282e12l50;&756<6i=>0b9?=:298k4g4i3:1(9?<:0c70>h39;0?76a>a2;94?"39:0:m9:4n531>0=5$530>4g3<2d?=?49;:m2e60=83.?=>4>a568j1752>10ci6i:>1<7*;1282e12<50;&756<6i=>0b9?=:c98k4g493:1(9?<:0c70>h39;0h76a>a2294?"39:0:m9:4n531>a=5$530>4g3<2d?=?4j;:m2e7c=83.?=>4>a568j1752o10c=h9h8i6=4+40195d233g>:>7?>;:m2e7g=83.?=>4>a568j17528807b?n2883>!26;3;j895a400956=5$530>4g3<2d?=?4>4:9l5d40290/8<=51`67?k26:3;>76a>a3494?"39:0:m9:4n531>40<3f;j>84?:%627?7f<=1e8<<51698k4g5<3:1(9?<:0c70>h39;0:465`1`00>5<#<891=l:;;o626?7>32e:m?<50;&756<6i=>0b9?=:0c8?j7f:90;6):>3;3b01=i<881=o54o0c2b?6=,=;86?7c:>2;3g?>i6i8n1<7*;1282e12:?7?n459m044=9o10cb;29 17428k?86`;13814>=h9h;j6=4+40195d233g>:>7<>;:m2e4?=83.?=>4>a568j1752;807b?n1983>!26;3;j895a400966=5$530>4g3<2d?=?4=4:9l5d24290/8<=51`67?k26:38>76a>a5094?"39:0:m9:4n531>70<3f;j8<4?:%627?7f<=1e8<<52698k4g383:1(9?<:0c70>h39;09465`1`1e>5<#<891=l:;;o626?4>32e:m>950;&756<6i=>0b9?=:3c8?j7f:j0;6):>3;3b01=i<881>o54o0c15?6=,=;86?7c:>2;0g?>i6i8?1<7*;1282e12:?7?n759m044=821d=l8k:18'045=9h=?7c:>2;38?j7f>j0;6):>3;3b31=i<881>65`1`4a>5<#<891=l9;;o626?5<3f;j:l4?:%627?7f?=1e8<<54:9l5d0>290/8<=51`57?k26:3?07b?n6983>!26;3;j;95a40092>=h9h<=6=4+40195d133g>:>794;n3b20<72->:?7?n759m044=021d=l8;:18'045=9h=?7c:>2;;8?j7f>:0;6):>3;3b31=i<881m65`1`41>5<#<891=l9;;o626?d<3f;j:<4?:%627?7f?=1e8<<5c:9l5d07290/8<=51`57?k26:3n07b?n5g83>!26;3;j;95a4009a>=h9h?n6=4+40195d133g>:>7h4;n3b1a<72->:?7?n759m044=9910c=h9h?j6=4+40195d133g>:>7?=;:m2e0?=83.?=>4>a668j17528907b?n5983>!26;3;j;95a400951=;7>5$530>4g0<2d?=?4>5:9l5d31290/8<=51`57?k26:3;=76a>a4794?"39:0:m::4n531>41<3f;j994?:%627?7f?=1e8<<51998k4g2;3:1(9?<:0c40>h39;0:565`1`71>5<#<891=l9;;o626?7f32e:m8>50;&756<6i>>0b9?=:0`8?j7f3;3b31=i<881=n54o0c7a?6=,=;862;3f?>i6i=i1<7*;1282e22:?7?n759m044=:910c=h9h>26=4+40195d133g>:>7<=;:m2e1>=83.?=>4>a668j1752;907b?n4683>!26;3;j;95a400961=5$530>4g0<2d?=?4=5:9l5d15290/8<=51`57?k26:38=76a>a6394?"39:0:m::4n531>71<3f;j;=4?:%627?7f?=1e8<<52998k4g1n3:1(9?<:0c40>h39;09565`1`44>5<#<891=l9;;o626?4f32e:m8m50;&756<6i>>0b9?=:3`8?j7f=80;6):>3;3b31=i<881>n54o0c72?6=,=;862;0f?>i6i0o1<7*;1282ed20b9?=:398k4g>j3:1(9?<:0cb0>h39;0876a>a8c94?"39:0:ml:4n531>1=5$530>4gf<2d?=?4:;:m2e<>=83.?=>4>a`68j1752?10ci6i0?1<7*;1282ed232e:m4=50;&756<6ih>0b9?=:`98k4g>:3:1(9?<:0cb0>h39;0i76a>a8394?"39:0:ml:4n531>f=5$530>4gf<2d?=?4k;:m2e=`=83.?=>4>a`68j1752l10ci6i1n1<7*;1282ed24;n3b:?7?na59m044=9810c=h9h226=4+40195dg33g>:>7?<;:m2e=>=83.?=>4>a`68j17528>07b?n8683>!26;3;jm95a400950=5$530>4gf<2d?=?4>6:9l5d>2290/8<=51`c7?k26:3;<76a>a9694?"39:0:ml:4n531>4><3f;j4>4?:%627?7fi=1e8<<51898k4g?:3:1(9?<:0cb0>h39;0:m65`1`:3>5<#<891=lo;;o626?7e32e:m:h50;&756<6ih>0b9?=:0a8?j7f?l0;6):>3;3be1=i<881=i54o0c4`?6=,=;862;3e?>i6i>h1<7*;1282ed24;n3b3d<72->:?7?na59m044=:810c=h9h=36=4+40195dg33g>:>7<<;:m2e21=83.?=>4>a`68j1752;>07b?na283>!26;3;jm95a400960=7>5$530>4gf<2d?=?4=6:9l5dg6290/8<=51`c7?k26:38<76a>a`294?"39:0:ml:4n531>7><3f;j5k4?:%627?7fi=1e8<<52898k4g>?3:1(9?<:0cb0>h39;09m65`1`:`>5<#<891=lo;;o626?4e32e:m5?50;&756<6ih>0b9?=:3a8?j7f??0;6):>3;3be1=i<881>i54o0c41?6=,=;862;28?j7fkm0;6):>3;3b`1=i<881=65`1`a`>5<#<891=lj;;o626?4<3f;joo4?:%627?7fl=1e8<<53:9l5def290/8<=51`f7?k26:3>07b?nc883>!26;3;jh95a40091>=h9hi36=4+40195db33g>:>784;n3bg3<72->:?7?nd59m044=?21d=lm::18'045=9hn?7c:>2;:8?j7fk=0;6):>3;3b`1=i<881565`1`a0>5<#<891=lj;;o626?g<3f;jo?4?:%627?7fl=1e8<<5b:9l5de6290/8<=51`f7?k26:3i07b?nc183>!26;3;jh95a4009`>=h9hhm6=4+40195db33g>:>7k4;n3bf`<72->:?7?nd59m044=n21d=llk:18'045=9hn?7c:>2;33?>i6ikh1<7*;1282ea2:?7?nd59m044=9;10c=h9hh36=4+40195db33g>:>7?;;:m2eg1=83.?=>4>ae68j17528?07b?nb783>!26;3;jh95a400953=5$530>4gc<2d?=?4>7:9l5dd3290/8<=51`f7?k26:3;376a>ac194?"39:0:mi:4n531>4?<3f;jn?4?:%627?7fl=1e8<<51`98k4ge83:1(9?<:0cg0>h39;0:n65`1`ce>5<#<891=lj;;o626?7d32e:mlk50;&756<6im>0b9?=:0f8?j7fim0;6):>3;3b`1=i<881=h54o0cbg?6=,=;862;03?>i6ihk1<7*;1282ea2:?7?nd59m044=:;10c=h9hk<6=4+40195db33g>:>7<;;:m2ea5=83.?=>4>ae68j1752;?07b?nd383>!26;3;jh95a400963=5$530>4gc<2d?=?4=7:9l5db7290/8<=51`f7?k26:38376a>abd94?"39:0:mi:4n531>7?<3f;jo:4?:%627?7fl=1e8<<52`98k4gek3:1(9?<:0cg0>h39;09n65`1``2>5<#<891=lj;;o626?4d32e:ml850;&756<6im>0b9?=:3f8?j7fi<0;6):>3;3b`1=i<881>h54o00:3?6=,=;86<<6d:l757<732e:>4850;&756<6:0n0b9?=:098k44>=3:1(9?<:00:`>h39;0976a>28694?"39:0:>4j4n531>6=5$530>44>l2d?=?4;;:m26<4=83.?=>4>28f8j1752<10c<<61;29 1742882h6`;1385?>i6:1l1<7*;128265j50;&756<6:0n0b9?=:898k44?k3:1(9?<:00:`>h39;0j76a>29`94?"39:0:>4j4n531>g=5$530>44>l2d?=?4l;:m26=?=83.?=>4>28f8j1752m10c<<78;29 1742882h6`;138f?>i6:1=1<7*;128262;32?>i6:191<7*;12826:?7?=9e9m044=9:10c<<71;29 1742882h6`;13820>=h9;2;6=4+401957?c3g>:>7?:;:m262`=83.?=>4>28f8j17528<07b?=7d83>!26;3;95i5a400952=5$530>44>l2d?=?4>8:9l571d290/8<=513;g?k26:3;276a>26`94?"39:0:>4j4n531>4g<3f;9;44?:%627?751m1e8<<51c98k44003:1(9?<:00:`>h39;0:o65`1354>5<#<891=?7k;o626?7c32e:>:850;&756<6:0n0b9?=:0g8?j75?<0;6):>3;31=a=i<881=k54o0040?6=,=;86<<6d:l757<5821d=?9<:18'045=9;3o7c:>2;02?>i6:>81<7*;12826:?7?=9e9m044=::10c<<80;29 1742882h6`;13810>=h9;3h6=4+401957?c3g>:>7<:;:m264>28f8j1752;<07b?=9`83>!26;3;95i5a400962=5$530>44>l2d?=?4=8:9l57??290/8<=513;g?k26:38276a>28294?"39:0:>4j4n531>7g<3f;9484?:%627?751m1e8<<52c98k440i3:1(9?<:00:`>h39;09o65`134e>5<#<891=?7k;o626?4c32e:>;k50;&756<6:0n0b9?=:3g8?l77i<0;6):>3;33ea=i<881<65f11c7>5<#<891==ok;o626?7<3`;;m>4?:%627?77im1e8<<52:9j55g5290/8<=511cg?k26:3907d??a183>!26;3;;mi5a40090>=n993m6=4+401955gc3g>:>7;4;h33=`<72->:?7??ae9m044=>21b==7k:18'045=99ko7c:>2;58?l771j0;6):>3;33ea=i<881465f11;a>5<#<891==ok;o626??<3`;;5l4?:%627?77im1e8<<5a:9j55?>290/8<=511cg?k26:3h07d??9983>!26;3;;mi5a4009g>=n993<6=4+401955gc3g>:>7j4;h33ef<72->:?7??ae9m044=m21b==om:18'045=99ko7c:>2;d8?l77ih0;6):>3;33ea=i<881==54i02b=?6=,=;86<>nd:l757<6921b==o7:18'045=99ko7c:>2;31?>o68h=1<7*;12824db:?7??ae9m044=9=10e<>n1;29 17428:jh6`;13821>=n993=6=4+401955gc3g>:>7?9;:k24<3=83.?=>4>0`f8j17528=07b??6783>!26;3;;::5a40094>=h99<36=4+4019550>3g>:>7>4;h3b``<72->:?7?ndg9m044=821b==7=:188f132290:6=4?{%61a?4>i2B??i5G4238k7?>2900qo::6;295?6=8r.?>h4;379K06b<@=9:7b=8a;29?xd3=>0;684?:1y'07c=:0>0D9=k;I605>"4?>0:mh94$3c2>7=n9=;1<75f19394?=n90=1<75f40:94?=h<8<1<75rb57;>5<2290;w):=e;0a=>N3;m1C8>?4$254>4gb?2c:5<4?::k13`<722c?>94?::m02g<722e9mo4?::a00?=83?1<7>t$50f>7d>3A>8h6F;309'721=9ho<7d?61;29?l40m3:17d:=4;29?j51j3:17b0D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?l2603:17b:>6;29?xd3=k0;684?:1y'07c=:k30D9=k;I605>"4?>0:mh94i0;2>5<5<5<>o7>55;294~"3:l09n45G42f8L1563-9<;7?ne69j5<7=831b>:k50;9j072=831d?;l50;9l6dd=831vn9;k:180>5<7s->9i7:98:0cf3>o6180;66g=a`83>>i4>k0;66sm44g94?5=83:p(9o6<80;66g>9683>>i39?0;66sm44d94?5=83:p(9o6<80;66g>9683>>i39?0;66sm47294?5=83:p(9o6<80;66g>9683>>i39?0;66sm47394?3=83:p(95;h04a?6=3`>987>5;n15f?6=3f8jn7>5;|`727<72<0;6=u+43g96g?<@=9o7E:<1:&032<6il=0e<7>:188m71b2900e9<;:188k60e2900c?om:188yg21;3:1?7>50z&76`<3;j1C8>j4H512?!50?3;ji:5f18394?=n:hk1<75`37`94?=zj=N3;81/?:951`g4?l7>93:17d<8e;29?l25<3:17b=9b;29?j4fj3:17pl;6783>4g6290;w):=e;0;3>N3;m1C8>?4Z01e>46|2>:1==4;5;56>1>=u-9<;7?ne69mea<53glj6=5a11295>h688097)??2;15e>"68:09;k5a10596>h6;l097)?;0;04b>h6??0:7c?8b;38j4?1281e>5850:l1e=<73-8i?7<68:l1f1<63g9<:7?4$2cg>0=i<8?1=6*;2781f6=#<;=1?lj4$511>7>a3->8?701/8>;537f8k6gb2900e9?j:188m4>12900e<6;:188k7d02900e<67:188m1452900e?l9:188m4>02900e9?i:188m4>a2900c<9;:188m17f2900e<66:188k14a2900c>oi:188m17d2900e9?m:188m4>e2900e922900e<6<:188m4?52900e<6=:188m17c2900c>97:188m4>f2900e<6j:188m4122900e<9<:188m1462900e<6k:188m1442900ch=50;&7564=h39;0976akf;29 1742l80b9?=:298kac=83.?=>4j2:l757<332eoh7>5$530>`46`;1385?>icj3:1(9?<:d08j1752>10cio50;&756<=h39;0j76ak6;29 1742l80b9?=:c98ka3=83.?=>4j2:l7575$530>`46`;138f?>ic:3:1(9?<:d08j1752o10ci?50;&7560:9l`5<72->:?7k=;o626?7632ehj7>5$530>`45<#<891i?5a400956=h39;0:865`e`83>!26;3o97c:>2;36?>ib13:1(9?<:d08j17528<07bk7:18'045=m;1e8<<51698k`1=83.?=>4j2:l757<6021di;4?:%627?c53g>:>7?6;:mf1?6=,=;86h<4n531>4g<3fo?6=4+4019a7=i<881=o54oe:94?"39:0n>6`;1382g>=hkm0;6):>3;g1?k26:3;o76g<7483>!26;39<86`;1383?>o4?:0;6):>3;140>h39;0:76g<7383>!26;39<86`;1381?>o4?80;6):>3;140>h39;0876g<7183>!26;39<86`;1387?>o4>o0;6):>3;140>h39;0>76g<6d83>!26;39<86`;1385?>o4lh0;6):>3;1g=>h39;0;76g!26;39o56`;1382?>o4l>0;6):>3;1g=>h39;0976g!26;39o56`;1380?>o4l<0;6):>3;1g=>h39;0?76g!26;39o56`;1386?>o4l:0;6):>3;1g=>h39;0=76g!26;39o56`;1384?>o4l90;6):>3;1g=>h39;0376g!26;39o56`;138:?>o4kl0;6):>3;1g=>h39;0j76g!26;39o56`;138a?>o4kj0;6):>3;1g=>h39;0h76g!26;39o56`;138g?>o4kh0;6):>3;1g=>h39;0n76g!26;39o56`;138e?>o4k10;6):>3;1g=>h39;0:<65f3b594?"39:08h45a400954=6=4+40197a?j6;o626?7432c8o>4?:%627?5c12d?=?4>4:9j7f4=83.?=>4m>:18'045=;m30b9?=:048?l5d83:1(9?<:2f:?k26:3;<76g!26;39o56`;1382<>=n;ko1<7*;1280`<=i<881=454i2`g>5<#<891?i74n531>4g<3`9io7>5$530>6b>3g>:>7?m;:k0fd<72->:?7=k9:l757<6k21b?o750;&756<4l01e8<<51e98m6d?290/8<=53e;8j17528o07d=m7;29 1742:n27c:>2;3e?>o4j?0;6):>3;1g=>h39;09<65f3c794?"39:08h45a400964=j6;o626?4432c8n?4?:%627?5c12d?=?4=4:9j7g7=83.?=>4k?:18'045=;m30b9?=:348?l5cn3:1(9?<:2f:?k26:38<76g!26;39o56`;1381<>=n;mn1<7*;1280`<=i<881>454i2f`>5<#<891?i74n531>7g<3`9on7>5$530>6b>3g>:>7:?7=k9:l757<5k21b?n850;&756<4l01e8<<52e98m6de290/8<=53e;8j1752;o07d=m0;29 1742:n27c:>2;0e?>oan3:1(9?<:gg8j1752910ekj50;&756;:keg?6=,=;86kk4n531>7=h39;0876g>6b83>!26;3;=n6`;1383?>o6>h0;6):>3;35f>h39;0:76g>6883>!26;3;=n6`;1381?>o6>10;6):>3;35f>h39;0876g>6683>!26;3;=n6`;1387?>o6>?0;6):>3;35f>h39;0>76g>6483>!26;3;=n6`;1385?>o6>=0;6):>3;35f>h39;0<76g>6383>!26;3;=n6`;138;?>o6>80;6):>3;35f>h39;0276g>6183>!26;3;=n6`;138b?>o6=o0;6):>3;35f>h39;0i76g>5d83>!26;3;=n6`;138`?>o6=m0;6):>3;35f>h39;0o76g>5b83>!26;3;=n6`;138f?>o6=k0;6):>3;35f>h39;0m76g>5`83>!26;3;=n6`;13824>=n9<31<7*;12822g=i<881=<54i074>5<#<891=;l4n531>44<3`;>:7>5$530>40e3g>:>7?<;:k210<72->:?7?9b:l757<6<21b=8:50;&756<6>k1e8<<51498m434290/8<=517`8j17528<07d?:2;29 174282;34?>o6=80;6):>3;35f>h39;0:465f14294?"39:0::o5a40095<=m6=4+401953dc:9j51d=83.?=>4>6c9m044=9m10e<:n:18'045=9?h0b9?=:0g8?l7313:1(9?<:04a?k26:3;m76g>4983>!26;3;=n6`;13814>=n9==1<7*;12822g=i<881><54i065>5<#<891=;l4n531>74<3`;?97>5$530>40e3g>:>7<<;:k201<72->:?7?9b:l757<5<21b=9=50;&756<6>k1e8<<52498m415290/8<=517`8j1752;<07d?81;29 174282;04?>o6?90;6):>3;35f>h39;09465f17d94?"39:0::o5a40096<=4?:%627?71j2d?=?4=c:9j50>=83.?=>4>6c9m044=:m10e<:k:18'045=9?h0b9?=:3g8?l73:3:1(9?<:04a?k26:38m76a>8183>!26;3;i6?l0;6):>3;34b>h39;0:76a>7e83>!26;3;i6?j0;6):>3;34b>h39;0876g=a683>!26;38j:6`;1383?>o5i<0;6):>3;0b2>h39;0:76g=a583>!26;38j:6`;1381?>o5i:0;6):>3;0b2>h39;0876g=a383>!26;38j:6`;1387?>o6:80;6):>3;314>h39;0;76g>1g83>!26;3;9<6`;1382?>o69l0;6):>3;314>h39;0976g>1e83>!26;3;9<6`;1380?>o69j0;6):>3;314>h39;0?76g>1c83>!26;3;9<6`;1386?>o69h0;6):>3;314>h39;0=76g>1883>!26;3;9<6`;1384?>o6:h0;6):>3;314>h39;0376g>2883>!26;3;9<6`;138:?>o6:10;6):>3;314>h39;0j76g>2683>!26;3;9<6`;138a?>o6:?0;6):>3;314>h39;0h76g>2483>!26;3;9<6`;138g?>o6:=0;6):>3;314>h39;0n76g>2283>!26;3;9<6`;138e?>o6:;0;6):>3;314>h39;0:<65f10:94?"39:0:>=5a400954=5<#<891==m4n531>4=5<#<891==m4n531>6=5<#<891==m4n531>0=5<#<891==m4n531>2=5<#<891==m4n531><=5<#<891==m4n531>g=5<#<891==m4n531>a=5<#<891==m4n531>c=4;h330?6=,=;86<>l;o626?7632e:?94?:%627?74;2d?=?4?;:m277<72->:?7?<3:l757<632e:?<4?:%627?74;2d?=?4=;:m275<72->:?7?<3:l757<432e:>k4?:%627?74;2d?=?4;;:m26`<72->:?7?<3:l757<232e:>i4?:%627?74;2d?=?49;:m26f<72->:?7?<3:l757<032e:?i4?:%627?74;2d?=?47;:m27f<72->:?7?<3:l757<>32e:?o4?:%627?74;2d?=?4n;:m27d<72->:?7?<3:l757:?7?<3:l757:?7?<3:l7570:9l57d=83.?=>4>329m044=9810e10e:18'045=9h30b9?=:028?l7e83:1(9?<:0c:?k26:3;:76g>ag83>!26;3;j56`;13826>=n9ho1<7*;1282e<=i<881=>54i0cg>5<#<891=l74n531>42<3`;jo7>5$530>4g>3g>:>7?:;:k2eg<72->:?7?n9:l757<6>21b=l:50;&756<6i01e8<<51698m4?>290/8<=51`;8j17528207b=n5;29 1742:k?7c:>2;28?j5f;3:1(9?<:2c7?k26:3;07b=n2;29 1742:k?7c:>2;08?j5f93:1(9?<:2c7?k26:3907b=n0;29 1742:k?7c:>2;68?j5>n3:1(9?<:2c7?k26:3?07b=6e;29 1742:k?7c:>2;48?j5>l3:1(9?<:2c7?k26:3=07b=6b;29 1742:k?7c:>2;:8?j5>i3:1(9?<:2c7?k26:3307b=69;29 1742:k?7c:>2;c8?j5>03:1(9?<:2c7?k26:3h07b=67;29 1742:k?7c:>2;a8?j5>>3:1(9?<:2c7?k26:3n07b=65;29 1742:k?7c:>2;g8?j5><3:1(9?<:2c7?k26:3l07b=63;29 1742:k?7c:>2;33?>i41;0;6):>3;1b0>h39;0:=65`38294?"39:08m95a400957=o;;o626?7332e84i4?:%627?5f<2d?=?4>5:9l7=e=83.?=>46m:18'045=;h>0b9?=:058?j5?i3:1(9?<:2c7?k26:3;376a<8883>!26;39j86`;1382=>=h;121<7*;1280e1=i<881=l54o2:4>5<#<891?l:4n531>4d<3f9397>5$530>6g33g>:>7?l;:m0<1<72->:?7=n4:l757<6l21d?5=50;&756<4i=1e8<<51d98k6>5290/8<=53`68j17528l07b=71;29 1742:k?7c:>2;03?>i4090;6):>3;1b0>h39;09=65`36d94?"39:08m95a400967=o;;o626?4332e8;n4?:%627?5f<2d?=?4=5:9l7dd=83.?=>4on:18'045=;h>0b9?=:358?j5f13:1(9?<:2c7?k26:38376a!26;39j86`;1381=>=h;h=1<7*;1280e1=i<881>l54o2c5>5<#<891?l:4n531>7d<3f92o7>5$530>6g33g>:>7:?7=n4:l757<5l21d?5850;&756<4i=1e8<<52d98k61e290/8<=53`68j1752;l07do;:18'045=i:1e8<<50:9je7<72->:?7o<;o626?7<3`k:6=4+4019e6=i<881>65fa183>!26;3k87c:>2;18?l?a290/8<=5a29m044=<21b5h4?:%627?g43g>:>7;4;h;g>5<#<891m>5a40092>=n1j0;6):>3;c0?k26:3=07d7m:18'045=i:1e8<<58:9j=d<72->:?7o<;o626??<3`336=4+4019e6=i<881m65f9683>!26;3k87c:>2;`8?l?1290/8<=5a29m044=k21b584?:%627?g43g>:>7j4;h;7>5<#<891m>5a4009a>=n1:0;6):>3;c0?k26:3l07d7=:18'045=i:1e8<<51198m<7=83.?=>4n3:l757<6921b5=4?:%627?g43g>:>7?=;:k;b?6=,=;86l=4n531>45<3`kh6=4+4019e6=i<881=954i``94?"39:0j?6`;13821>=nih0;6):>3;c0?k26:3;=76gn9;29 1742h90b9?=:058?lg?290/8<=5a29m044=9110el950;&7569:9je3<72->:?7o<;o626?7f32cj97>5$530>d55<#<891m>5a40095f=h39;0:h65`41`94?"39:0?=h<931<7*;12874d=i<881=65`41:94?"39:0?=h<9=1<7*;12874d=i<881?65`41494?"39:0?=h<9?1<7*;12874d=i<881965`41694?"39:0?=h<991<7*;12874d=i<881;65`41394?"39:0?=h<9:1<7*;12874d=i<881565`3gd94?"39:0?=h;oo1<7*;12874d=i<881n65`3gf94?"39:0?=h;oi1<7*;12874d=i<881h65`3g`94?"39:0?=h;ok1<7*;12874d=i<881j65`3g;94?"39:0?n;o626?7532e8j84?:%627?27i2d?=?4>3:9l7c2=83.?=>4;0`9m044=9=10c>h<:18'045=<9k0b9?=:078?j5a:3:1(9?<:52b?k26:3;=76a!26;3>;m6`;13823>=h;o:1<7*;12874d=i<881=554o2ge>5<#<8918=o4n531>4?<3f9ni7>5$530>16f3g>:>7?n;:m0aa<72->:?7:?a:l757<6j21d?hl50;&756<38h1e8<<51b98k6cf290/8<=541c8j17528n07b=j9;29 1742=:j7c:>2;3f?>i4m10;6):>3;63e>h39;0:j65`3d594?"39:0?n;o626?4532e8i94?:%627?27i2d?=?4=3:9l7`5=83.?=>4;0`9m044=:=10c>k=:18'045=<9k0b9?=:378?j2693:1(9?<:52b?k26:38=76a;1183>!26;3>;m6`;13813>=h<9l1<7*;12874d=i<881>554o52f>5<#<8918=o4n531>7?<3f>;h7>5$530>16f3g>:>7:?7:?a:l757<5j21d8=<50;&756<38h1e8<<52b98k6`0290/8<=541c8j1752;n07b=jc;29 1742=:j7c:>2;0f?>i4m80;6):>3;63e>h39;09j65fc583>!26;3i87c:>2;28?le5290/8<=5c29m044=921bo<4?:%627?e43g>:>7<4;ha3>5<#<891o>5a40097>=njo0;6):>3;a0?k26:3>07dlj:18'045=k:1e8<<55:9jfa<72->:?7m<;o626?0<3`hh6=4+4019g6=i<881;65fbc83>!26;3i87c:>2;:8?ldf290/8<=5c29m044=121bn54?:%627?e43g>:>7o4;h`4>5<#<891o>5a4009f>=nj?0;6):>3;a0?k26:3i07dl::18'045=k:1e8<<5d:9jf1<72->:?7m<;o626?c<3`h86=4+4019g6=i<881j65fb383>!26;3i87c:>2;33?>oe93:1(9?<:b18j17528;07dl?:18'045=k:1e8<<51398md`=83.?=>4l3:l757<6;21bon4?:%627?e43g>:>7?;;:k`f?6=,=;86n=4n531>43<3`ij6=4+4019g6=i<881=;54ib;94?"39:0h?6`;13823>=nk10;6):>3;a0?k26:3;376gl7;29 1742j90b9?=:0;8?le1290/8<=5c29m044=9h10en;50;&756b:9jf<<72->:?7m<;o626?7d32cji7>5$530>f55$530>41>3g>:>7?4;h343?6=,=;86<96;o626?4<3th?::4?:0c2>5<7s->9i7<77:J77a=O<:;0V<=i:02x0a<003=<65=57b87e?732><1;?4>1;6:>26=990?979::5:9y!50?3;ji:5aae81?k`f291e==>51:l244<53-;;>7=9a:&246<5?o1e=<952:l27`<53-;?<7<8f:l233<63g;4=i:1<1<6`=a983?!4e;38246`=b582?k50>3;0(>ok:49m043=92.?>;4=b29'071=;hn0(9==:3:e?!24;38i96*;35802<=#<:?1?;j4o2cf>5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<h39;0;76aj1;29 1742l80b9?=:098k`6=83.?=>4j2:l757<532eoj7>5$530>`454oeg94?"39:0n>6`;1387?>icl3:1(9?<:d08j1752<10cim50;&7562=h39;0376ak9;29 1742l80b9?=:898ka1=83.?=>4j2:l7575$530>`46`;138`?>ic<3:1(9?<:d08j1752m10ci=50;&756c=h39;0:<65`d183>!26;3o97c:>2;32?>idn3:1(9?<:d08j17528807bmj:18'045=m;1e8<<51298k`d=83.?=>4j2:l757<6<21dil4?:%627?c53g>:>7?:;:mf=?6=,=;86h<4n531>40<3fo36=4+4019a7=i<881=:54od594?"39:0n>6`;1382<>=hm?0;6):>3;g1?k26:3;276aj5;29 1742l80b9?=:0c8?jc3290/8<=5e39m044=9k10ci650;&756c:9lga<72->:?7k=;o626?7c32c8;84?:%627?50<2d?=?4?;:k036<72->:?7=84:l757<632c8;?4?:%627?50<2d?=?4=;:k034<72->:?7=84:l757<432c8;=4?:%627?50<2d?=?4;;:k02c<72->:?7=84:l757<232c8:h4?:%627?50<2d?=?49;:k0`d<72->:?7=k9:l757<732c8h54?:%627?5c12d?=?4>;:k0`2<72->:?7=k9:l757<532c8h;4?:%627?5c12d?=?4<;:k0`0<72->:?7=k9:l757<332c8h94?:%627?5c12d?=?4:;:k0`6<72->:?7=k9:l757<132c8h?4?:%627?5c12d?=?48;:k0`5<72->:?7=k9:l757:?7=k9:l757:?7=k9:l757:?7=k9:l757:?7=k9:l757<6821b?n950;&756<4l01e8<<51098m6e2290/8<=53e;8j17528807d=l4;29 1742:n27c:>2;30?>o4k:0;6):>3;1g=>h39;0:865f3b094?"39:08h45a400950=j6;o626?7032c8nk4?:%627?5c12d?=?4>8:9j7gc=83.?=>4lk:18'045=;m30b9?=:0c8?l5ek3:1(9?<:2f:?k26:3;i76g!26;39o56`;1382g>=n;k31<7*;1280`<=i<881=i54i2`;>5<#<891?i74n531>4c<3`9i;7>5$530>6b>3g>:>7?i;:k0f3<72->:?7=k9:l757<5821b?o;50;&756<4l01e8<<52098m6d3290/8<=53e;8j1752;807d=m3;29 1742:n27c:>2;00?>o4j;0;6):>3;1g=>h39;09865f3c394?"39:08h45a400960=j6;o626?4032c8hh4?:%627?5c12d?=?4=8:9j7ab=83.?=>4jl:18'045=;m30b9?=:3c8?l5cj3:1(9?<:2f:?k26:38i76g!26;39o56`;1381g>=n;j<1<7*;1280`<=i<881>i54i2`a>5<#<891?i74n531>7c<3`9i<7>5$530>6b>3g>:>75=h39;0:76gic;29 1742oo0b9?=:398mcd=83.?=>4ie:l757<432c::n4?:%627?71j2d?=?4?;:k22d<72->:?7?9b:l757<632c::44?:%627?71j2d?=?4=;:k22=<72->:?7?9b:l757<432c:::4?:%627?71j2d?=?4;;:k223<72->:?7?9b:l757<232c::84?:%627?71j2d?=?49;:k221<72->:?7?9b:l757<032c::?4?:%627?71j2d?=?47;:k224<72->:?7?9b:l757<>32c::=4?:%627?71j2d?=?4n;:k21c<72->:?7?9b:l757:?7?9b:l757:?7?9b:l7570:9j50?=83.?=>4>6c9m044=9810e<;8:18'045=9?h0b9?=:008?l72>3:1(9?<:04a?k26:3;876g>5483>!26;3;=n6`;13820>=n9<>1<7*;12822g=i<881=854i070>5<#<891=;l4n531>40<3`;>>7>5$530>40e3g>:>7?8;:k214<72->:?7?9b:l757<6021b=8>50;&756<6>k1e8<<51898m42a290/8<=517`8j17528k07d?;e;29 174282;3a?>o63;35f>h39;0:o65f15`94?"39:0::o5a40095a=j6=4+401953d4>6c9m044=:810e<:9:18'045=9?h0b9?=:308?l73=3:1(9?<:04a?k26:38876g>4583>!26;3;=n6`;13810>=n9=91<7*;12822g=i<881>854i051>5<#<891=;l4n531>70<3`;<=7>5$530>40e3g>:>7<8;:k235<72->:?7?9b:l757<5021b=;h50;&756<6>k1e8<<52898m40b290/8<=517`8j1752;k07d?9d;29 174282;0a?>o6>:0;6):>3;35f>h39;09o65f14:94?"39:0::o5a40096a=o6=4+401953d:?7?8f:l757<632e:;i4?:%627?70n2d?=?4=;:m23f<72->:?7?8f:l757<432c9m:4?:%627?4f>2d?=?4?;:k1e0<72->:?72d?=?4=;:k1e6<72->:?72d?=?4;;:k264<72->:?7?=0:l757<732c:=k4?:%627?7582d?=?4>;:k25`<72->:?7?=0:l757<532c:=i4?:%627?7582d?=?4<;:k25f<72->:?7?=0:l757<332c:=o4?:%627?7582d?=?4:;:k25d<72->:?7?=0:l757<132c:=44?:%627?7582d?=?48;:k26d<72->:?7?=0:l75744?:%627?7582d?=?46;:k26=<72->:?7?=0:l757:4?:%627?7582d?=?4m;:k263<72->:?7?=0:l75784?:%627?7582d?=?4k;:k261<72->:?7?=0:l757>4?:%627?7582d?=?4i;:k267<72->:?7?=0:l757<6821b=<650;&756<6:91e8<<51098m46c290/8<=511a8j1752910e<>m:18'045=99i0b9?=:098m46f290/8<=511a8j1752;10e<>6:18'045=99i0b9?=:298m46?290/8<=511a8j1752=10e<>8:18'045=99i0b9?=:498m461290/8<=511a8j1752?10e<>::18'045=99i0b9?=:698m471290/8<=511a8j1752110e:18'045=99i0b9?=:e98m477290/8<=511a8j1752l10e<>i:18'045=99i0b9?=:g98m46b290/8<=511a8j17528:07d??4;29 17428:h7c:>2;32?>i6;=0;6):>3;307>h39;0;76a>3383>!26;3;8?6`;1382?>i6;80;6):>3;307>h39;0976a>3183>!26;3;8?6`;1380?>i6:o0;6):>3;307>h39;0?76a>2d83>!26;3;8?6`;1386?>i6:m0;6):>3;307>h39;0=76a>2b83>!26;3;8?6`;1384?>i6;m0;6):>3;307>h39;0376a>3b83>!26;3;8?6`;138:?>i6;k0;6):>3;307>h39;0j76a>3`83>!26;3;8?6`;138a?>i6;00;6):>3;307>h39;0h76a>3983>!26;3;8?6`;138g?>i6;>0;6):>3;307>h39;0n76a>3783>!26;3;8?6`;138e?>i6;<0;6):>3;307>h39;0:<65`13`94?"39:0:?>5a400954=5<#<891=l74n531>4=5<#<891=l74n531>6=6=4+40195d?5<#<891=l74n531>0=5<#<891=l74n531>2=5<#<891=l74n531><=5<#<891=l74n531>g=5<#<891=l74n531>a=5<#<891=l74n531>c=4;h3a4?6=,=;862:9j5dc=83.?=>4>a89m044=9:10e76g>ac83>!26;3;j56`;13822>=n9h>1<7*;1282e<=i<881=:54i0;:>5<#<891=l74n531>4><3f9j97>5$530>6g33g>:>7>4;n1b7?6=,=;86>o;;o626?7<3f9j>7>5$530>6g33g>:>7<4;n1b5?6=,=;86>o;;o626?5<3f9j<7>5$530>6g33g>:>7:4;n1:b?6=,=;86>o;;o626?3<3f92i7>5$530>6g33g>:>784;n1:`?6=,=;86>o;;o626?1<3f92n7>5$530>6g33g>:>764;n1:e?6=,=;86>o;;o626??<3f9257>5$530>6g33g>:>7o4;n1:o;;o626?d<3f92;7>5$530>6g33g>:>7m4;n1:2?6=,=;86>o;;o626?b<3f9297>5$530>6g33g>:>7k4;n1:0?6=,=;86>o;;o626?`<3f92?7>5$530>6g33g>:>7??;:m0=7<72->:?7=n4:l757<6921d?4>50;&756<4i=1e8<<51398k6>a290/8<=53`68j17528907b=7e;29 1742:k?7c:>2;37?>i40m0;6):>3;1b0>h39;0:965`39a94?"39:08m95a400953=o;;o626?7?32e8444?:%627?5f<2d?=?4>9:9l7=>=83.?=>468:18'045=;h>0b9?=:0`8?j5?=3:1(9?<:2c7?k26:3;h76a<8583>!26;39j86`;1382`>=h;191<7*;1280e1=i<881=h54o2:1>5<#<891?l:4n531>4`<3f93=7>5$530>6g33g>:>7:?7=n4:l757<5921d?:h50;&756<4i=1e8<<52398k61b290/8<=53`68j1752;907b=8d;29 1742:k?7c:>2;07?>i4?j0;6):>3;1b0>h39;09965`3``94?"39:08m95a400963=o;;o626?4?32e8m54?:%627?5f<2d?=?4=9:9l7d1=83.?=>4o9:18'045=;h>0b9?=:3`8?j5>k3:1(9?<:2c7?k26:38h76a<9083>!26;39j86`;1381`>=h;1<1<7*;1280e1=i<881>h54o25a>5<#<891?l:4n531>7`<3`k?6=4+4019e6=i<881<65fa383>!26;3k87c:>2;38?lg6290/8<=5a29m044=:21bm=4?:%627?g43g>:>7=4;h;e>5<#<891m>5a40090>=n1l0;6):>3;c0?k26:3?07d7k:18'045=i:1e8<<56:9j=f<72->:?7o<;o626?1<3`3i6=4+4019e6=i<881465f9`83>!26;3k87c:>2;;8?l??290/8<=5a29m044=i21b5:4?:%627?g43g>:>7l4;h;5>5<#<891m>5a4009g>=n1<0;6):>3;c0?k26:3n07d7;:18'045=i:1e8<<5e:9j=6<72->:?7o<;o626?`<3`396=4+4019e6=i<881==54i8394?"39:0j?6`;13825>=n190;6):>3;c0?k26:3;976g7f;29 1742h90b9?=:018?lgd290/8<=5a29m044=9=10ell50;&7565:9jed<72->:?7o<;o626?7132cj57>5$530>d55<#<891m>5a40095==h39;0:565fa783>!26;3k87c:>2;3b?>of=3:1(9?<:`18j17528h07d76:18'045=i:1e8<<51b98m=c=83.?=>4n3:l757<6l21d8=l50;&756<38h1e8<<50:9l05?=83.?=>4;0`9m044=921d8=650;&756<38h1e8<<52:9l051=83.?=>4;0`9m044=;21d8=850;&756<38h1e8<<54:9l053=83.?=>4;0`9m044==21d8=:50;&756<38h1e8<<56:9l055=83.?=>4;0`9m044=?21d8=?50;&756<38h1e8<<58:9l056=83.?=>4;0`9m044=121d?kh50;&756<38h1e8<<5a:9l7cc=83.?=>4;0`9m044=j21d?kj50;&756<38h1e8<<5c:9l7ce=83.?=>4;0`9m044=l21d?kl50;&756<38h1e8<<5e:9l7cg=83.?=>4;0`9m044=n21d?k750;&756<38h1e8<<51198k6`?290/8<=541c8j17528;07b=i6;29 1742=:j7c:>2;31?>i4n<0;6):>3;63e>h39;0:?65`3g694?"39:0?n;o626?7132e8j<4?:%627?27i2d?=?4>7:9l7c6=83.?=>4;0`9m044=9110c>ki:18'045=<9k0b9?=:0;8?j5bm3:1(9?<:52b?k26:3;j76a!26;3>;m6`;1382f>=h;lh1<7*;12874d=i<881=n54o2gb>5<#<8918=o4n531>4b<3f9n57>5$530>16f3g>:>7?j;:m0a=<72->:?7:?a:l757<6n21d?h950;&756<38h1e8<<52198k6c1290/8<=541c8j1752;;07b=j5;29 1742=:j7c:>2;01?>i4m=0;6):>3;63e>h39;09?65`3d194?"39:0?n;o626?4132e?==4?:%627?27i2d?=?4=7:9l05`=83.?=>4;0`9m044=:110c9>j:18'045=<9k0b9?=:3;8?j27l3:1(9?<:52b?k26:38j76a;0b83>!26;3>;m6`;1381f>=h<981<7*;12874d=i<881>n54o2d4>5<#<8918=o4n531>7b<3f9no7>5$530>16f3g>:>7:?7:?a:l757<5n21bo94?:%627?e43g>:>7>4;ha1>5<#<891o>5a40095>=nk80;6):>3;a0?k26:3807dm?:18'045=k:1e8<<53:9jfc<72->:?7m<;o626?2<3`hn6=4+4019g6=i<881965fbe83>!26;3i87c:>2;48?ldd290/8<=5c29m044=?21bno4?:%627?e43g>:>764;h`b>5<#<891o>5a4009=>=nj10;6):>3;a0?k26:3k07dl8:18'045=k:1e8<<5b:9jf3<72->:?7m<;o626?e<3`h>6=4+4019g6=i<881h65fb583>!26;3i87c:>2;g8?ld4290/8<=5c29m044=n21bn?4?:%627?e43g>:>7??;:ka5?6=,=;86n=4n531>47<3`h;6=4+4019g6=i<881=?54i`d94?"39:0h?6`;13827>=nkj0;6):>3;a0?k26:3;?76glb;29 1742j90b9?=:078?lef290/8<=5c29m044=9?10en750;&7567:9jg=<72->:?7m<;o626?7?32ch;7>5$530>f55<#<891o>5a40095d=h39;0:n65fb883>!26;3i87c:>2;3`?>ofm3:1(9?<:b18j17528n07d?8a;29 17428=27c:>2;28?l7003:1(9?<:05:?k26:3;07d?87;29 17428=27c:>2;08?xd3>10;684?:1y'07c=:k30D9=k;I605>"4?>0:mh94i0;2>5<5<5<=57>55;294~"3:l09585G42f8L1563-9<;7?ne69'6d7=k2c:8<4?::k2<4<722c:5:4?::k761<722e?=;4?::a03g=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qo:9b;291?6=8r.?>h4=959K06b<@=9:7)=87;3ba2=#:h;1>6g>4083>>o6080;66g>9683>>o3910;66a;1783>>{e6g>4083>>o6080;66g>9683>>i39?0;66sm47f94?3=83:p(95<5<5<=i7>55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::a03`=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qo:80;291?6=8r.?>h4=959K06b<@=9:7)=87;3ba2=#:h;1>6g>4083>>o6080;66g>9683>>o3910;66a;1783>>{e<>;1<7;50;2x 14b2;3?7E:=1=lk8;%0b5?4:6=44i0:2>5<5<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722wi8:=50;794?6|,=8n6?7:;I60`>N3;81b=9?50;9j5=7=831b=4950;9j072=831d8<850;9~f113290>6=4?{%61a?4><2B??i5G4238m4262900e<6>:188m4?02900e9?7:188k1712900qo:85;291?6=8r.?>h4=959K06b<@=9:7):188m4?02900e9?7:188k1712900qo:86;291?6=8r.?>h4=959K06b<@=9:7d?;1;29?l7?93:17d?67;29?l2603:17b:>6;29?xd3?>0;684?:1y'07c=:0>0D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?l2603:17b:>6;29?xd3?10;684?:1y'07c=:0>0D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?l2603:17b:>6;29?xd3?00;684?:1y'07c=:0>0D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?l2603:17b:>6;29?xd3?h0;684?:1y'07c=:0>0D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?l2603:17b:>6;29?xd3?k0;684?:1y'07c=:0>0D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?l2603:17b:>6;29?xd3?j0;694?:1y'07c=:090D9=k;I605>"5i80h7d?;1;29?l7>?3:17d:>8;29?j26>3:17pl;7e83>;02nvF;309'07c=9hn>7W?h6:=5758~mc5=83.?=>4i2:l757<732cm=7>5$530>c46`;1381?>o5980;6):>3;024>h39;0;76g=0g83>!26;38:<6`;1382?>o58l0;6):>3;024>h39;0976g=0e83>!26;38:<6`;1380?>o58j0;6):>3;024>h39;0?76g=0c83>!26;38:<6`;1386?>o58h0;6):>3;024>h39;0=76g=0883>!26;38:<6`;1384?>o58>0;6):>3;024>h39;0376g=0783>!26;38:<6`;138:?>o58<0;6):>3;024>h39;0j76g=0583>!26;38:<6`;138a?>o58:0;6):>3;024>h39;0h76g=0383>!26;38:<6`;138g?>o5880;6):>3;024>h39;0n76g=0183>!26;38:<6`;138e?>o6no0;6):>3;024>h39;0:<65f1gg94?"39:09==5a400954=4:9j5c?=83.?=>4=119m044=9<10ef783>!26;38:<6`;1382<>=n9o?1<7*;128155=i<881=454i0d7>5<#<891><>4n531>4g<3`;m?7>5$530>7773g>:>7?m;:k2b4<72->:?7<>0:l757<6k21b=k>50;&756<5991e8<<51e98m4ca290/8<=52028j17528o07d?jd;29 1742;;;7c:>2;3e?>o6mj0;6):>3;024>h39;09<65f1d`94?"39:09==5a400964=4=119m044=:<10e??8:18'045=:8:0b9?=:348?l46>3:1(9?<:333?k26:38<76g=1483>!26;38:<6`;1381<>=n:8>1<7*;128155=i<881>454i330>5<#<891><>4n531>7g<3`8:>7>5$530>7773g>:>7:?7<>0:l757<5k21b=kj50;&756<5991e8<<52e98m4`5290/8<=52028j1752;o07d?j6;29 1742;;;7c:>2;0e?>oa13:1(9?<:g:8j1752910ek950;&756;:ke1?6=,=;86k64n531>7=5<6=44i0;1>5<86=4+40196145<#<891>9<4n531>4=;6=4+40196145<#<891>9<4n531>6=5<#<891>9<4n531>0=5<#<891>9<4n531>2=5<#<891>9<4n531><=5<#<891>9<4n531>g=6=4+40196145<#<891>9<4n531>a=5<#<891>9<4n531>c=4;n004?6=,=;86?:=;o626?7632e9>h4?:%627?43:2d?=?4>2:9l67b=83.?=>4=439m044=9:10c?76a=2`83>!26;38?>6`;13822>=h:;31<7*;128107=i<881=:54o30;>5<#<891>9<4n531>4><3f89;7>5$530>7253g>:>7?6;:m163<72->:?7<;2:l757<6i21d>?;50;&756<5<;1e8<<51c98k744290/8<=52508j17528i07b<=2;29 1742;>97c:>2;3g?>i5:80;6):>3;076>h39;0:i65`20d94?"39:098?5a40095c=4;n02`?6=,=;86?:=;o626?4632e9=n4?:%627?43:2d?=?4=2:9l64d=83.?=>4=439m044=::10c??n:18'045=:=80b9?=:368?j4613:1(9?<:361?k26:38>76a=4883>!26;38?>6`;13812>=h:=21<7*;128107=i<881>:54o364>5<#<891>9<4n531>7><3f8?:7>5$530>7253g>:>7<6;:m100<72->:?7<;2:l757<5i21d>9:50;&756<5<;1e8<<52c98k75f290/8<=52508j1752;i07b<=f;29 1742;>97c:>2;0g?>i5:=0;6):>3;076>h39;09i65`20:94?"39:098?5a40096c=6=4+40196225<#<891>::4n531>4=5<#<891>::4n531>6=5<#<891>::4n531>0=5<#<891>::4n531>2=5<#<891>::4n531><=5<#<891>::4n531>g=5<#<891>::4n531>a=6=4+40196225<#<891>::4n531>c=4;n056?6=,=;86?9;;o626?7632e9:=4?:%627?40<2d?=?4>2:9l60`=83.?=>4=759m044=9:10c?;j:18'045=:>>0b9?=:068?j42l3:1(9?<:357?k26:3;>76a=5b83>!26;38<86`;13822>=h:5<#<891>::4n531>4><3f8>57>5$530>7133g>:>7?6;:m11=<72->:?7<84:l757<6i21d>8950;&756<5?=1e8<<51c98k732290/8<=52668j17528i07b<:4;29 1742;=?7c:>2;3g?>i5=:0;6):>3;040>h39;0:i65`24394?"39:09;95a40095c=4;n07b?6=,=;86?9;;o626?4632e98h4?:%627?40<2d?=?4=2:9l61b=83.?=>4=759m044=::10c?:l:18'045=:>>0b9?=:368?j43j3:1(9?<:357?k26:38>76a=7c83>!26;38<86`;13812>=h:>k1<7*;128131=i<881>:54o35:>5<#<891>::4n531>7><3f8<47>5$530>7133g>:>7<6;:m132<72->:?7<84:l757<5i21d>:850;&756<5?=1e8<<52c98k70d290/8<=52668j1752;i07b<91;29 1742;=?7c:>2;0g?>i5=?0;6):>3;040>h39;09i65`25c94?"39:09;95a40096c=:183!25m382m6F;3e9K067;%0b5?4:6=44i0:2>5<5<3<7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<3>7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<387>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<3:7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<347>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<3m7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<3o7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<3i7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<2<7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<2>7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<287>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<2:7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<247>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<2m7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<2o7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<2i7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<j<7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<j>7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<j87>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<j:7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<j47>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<jm7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<jo7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<ji7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?2>o?j3:17d6l:188m=b=831b=4950;9j6=7=831b>5<50;9j6=5=831b>5:50;9j6=3=831b8>650;9j06?=831d8?l50;9l6=6=831vn9l?:18e>5<7s->9i7:>9:J77a=O<:;0(?o>:59j<<<722c3m7>5;h:a>5<>o61>0;66g=8083>>o50;0;66g=8283>>o50=0;66g=8483>>o3;10;66g;3883>>i3:k0;66a=8183>>{ef2900e5l50;9j5;h3:3?6=3`83=7>5;h0;6?6=3`83?7>5;h0;0?6=3`8397>5;h60857>5;n61f?6=3f83<7>5;|`7f7<72o0;6=u+43g904?<@=9o7E:<1:&1e4<33`226=44i9c94?=n0k0;66g7c;29?l>c2900e<78:188m7>62900e?6=:188m7>42900e?6;:188m7>22900e9=7:188m15>2900c972900qo:m3;29b?6=8r.?>h4;189K06b<@=9:7)1<75f29794?=n<:21<75f42;94?=h<;h1<75`29294?=zj=h?6=4i:183!25m3>:56F;3e9K067<,;k:695f8883>>o?i3:17d6m:188m=e=831b4i4?::k2=2<722c94<4?::k1<7<722c94>4?::k1<1<722c9484?::k77=<722c??44?::m76g<722e94=4?::a0g3=83l1<7>t$50f>17>3A>8h6F;309'6d7=<2c357>5;h:b>5<>o?l3:17d?67;29?l4?93:17d<72;29?l4?;3:17d<74;29?l4?=3:17d:<8;29?l2413:17b:=b;29?j4?83:17pl;b783>c<729q/8?k540;8L15c3A>8=6*=a087?l>>2900e5o50;9j5;h:g>5<5<5<5<5<5<i;7>5f;294~"3:l0?=45G42f8L1563-8j=7:4i9;94?=n0h0;66g7b;29?l>d2900e5j50;9j5<1=831b>5?50;9j6=4=831b>5=50;9j6=2=831b>5;50;9j06>=831b8>750;9l07d=831d>5>50;9~f1d?290m6=4?{%61a?2612B??i5G4238 7g62=1b444?::k;e?6=3`2i6=44i9a94?=n0m0;66g>9683>>o5080;66g=8383>>o50:0;66g=8583>>o50<0;66g;3983>>o3;00;66a;2c83>>i5090;66sm4c;94?`=83:p(9o?13:17d6n:188m=d=831b4n4?::k;`?6=3`;2;7>5;h0;5?6=3`83>7>5;h0;7?6=3`8387>5;h0;1?6=3`>847>5;h60=?6=3f>9n7>5;n0;4?6=3th?nl4?:g83>5}#<;o18<74H51g?M2492.9m<4;;h::>5<>o?k3:17d6k:188m4?02900e?6>:188m7>52900e?6<:188m7>32900e?6::188m15?2900e9=6:188k14e2900c?6?:188yg2ej3:1j7>50z&76`<3901C8>j4H512?!4f93>0e5750;9j5;h:`>5<5N3;m1C8>?4$3c2>1=n000;66g7a;29?l>e2900e5m50;9jo4?::m1<5<722wi8oj50;d94?6|,=8n69?6;I60`>N3;81/>l?54:k;=?6=3`2j6=44i9`94?=n0j0;66g7d;29?l7>?3:17d<71;29?l4?:3:17d<73;29?l4?<3:17d<75;29?l2403:17d:<9;29?j25j3:17b<70;29?xd3jl0;6k4?:1y'07c=<830D9=k;I605>"5i80?7d66:188m=g=831b4o4?::k;g?6=3`2o6=44i0;4>5<5<5<6=44i51;>5<5<;%0b5?2>o?j3:17d6l:188m=b=831b=4950;9j6=7=831b>5<50;9j6=5=831b>5:50;9j6=3=831b8>650;9j06?=831d8?l50;9l6=6=831vn9m?:18e>5<7s->9i7:>9:J77a=O<:;0(?o>:59j<<<722c3m7>5;h:a>5<>o61>0;66g=8083>>o50;0;66g=8283>>o50=0;66g=8483>>o3;10;66g;3883>>i3:k0;66a=8183>>{ef2900e5l50;9j5;h3:3?6=3`83=7>5;h0;6?6=3`83?7>5;h0;0?6=3`8397>5;h60857>5;n61f?6=3f83<7>5;|`7g7<72o0;6=u+43g904?<@=9o7E:<1:&1e4<33`226=44i9c94?=n0k0;66g7c;29?l>c2900e<78:188m7>62900e?6=:188m7>42900e?6;:188m7>22900e9=7:188m15>2900c972900qo:l3;29b?6=8r.?>h4;189K06b<@=9:7)1<75f29794?=n<:21<75f42;94?=h<;h1<75`29294?=zj=i?6=4i:183!25m3>:56F;3e9K067<,;k:695f8883>>o?i3:17d6m:188m=e=831b4i4?::k2=2<722c94<4?::k1<7<722c94>4?::k1<1<722c9484?::k77=<722c??44?::m76g<722e94=4?::a0f3=83l1<7>t$50f>17>3A>8h6F;309'6d7=<2c357>5;h:b>5<>o?l3:17d?67;29?l4?93:17d<72;29?l4?;3:17d<74;29?l4?=3:17d:<8;29?l2413:17b:=b;29?j4?83:17pl;c783>c<729q/8?k540;8L15c3A>8=6*=a087?l>>2900e5o50;9j5;h:g>5<5<5<5<5<5<h;7>5f;294~"3:l0?=45G42f8L1563-8j=7:4i9;94?=n0h0;66g7b;29?l>d2900e5j50;9j5<1=831b>5?50;9j6=4=831b>5=50;9j6=2=831b>5;50;9j06>=831b8>750;9l07d=831d>5>50;9~f1e?290m6=4?{%61a?2612B??i5G4238 7g62=1b444?::k;e?6=3`2i6=44i9a94?=n0m0;66g>9683>>o5080;66g=8383>>o50:0;66g=8583>>o50<0;66g;3983>>o3;00;66a;2c83>>i5090;66sm4b;94?`=83:p(9o?13:17d6n:188m=d=831b4n4?::k;`?6=3`;2;7>5;h0;5?6=3`83>7>5;h0;7?6=3`8387>5;h0;1?6=3`>847>5;h60=?6=3f>9n7>5;n0;4?6=3th?ol4?:g83>5}#<;o18<74H51g?M2492.9m<4;;h::>5<>o?k3:17d6k:188m4?02900e?6>:188m7>52900e?6<:188m7>32900e?6::188m15?2900e9=6:188k14e2900c?6?:188yg2dj3:1j7>50z&76`<3901C8>j4H512?!4f93>0e5750;9j5;h:`>5<5N3;m1C8>?4$3c2>1=n000;66g7a;29?l>e2900e5m50;9jo4?::m1<5<722wi8nj50;d94?6|,=8n69?6;I60`>N3;81/>l?54:k;=?6=3`2j6=44i9`94?=n0j0;66g7d;29?l7>?3:17d<71;29?l4?:3:17d<73;29?l4?<3:17d<75;29?l2403:17d:<9;29?j25j3:17b<70;29?xd3kl0;6k4?:1y'07c=<830D9=k;I605>"5i80?7d66:188m=g=831b4o4?::k;g?6=3`2o6=44i0;4>5<5<5<6=44i51;>5<5<;%0b5?2>o?j3:17d6l:188m=b=831b=4950;9j6=7=831b>5<50;9j6=5=831b>5:50;9j6=3=831b8>650;9j06?=831d8?l50;9l6=6=831vn9j?:18e>5<7s->9i7:>9:J77a=O<:;0(?o>:59j<<<722c3m7>5;h:a>5<>o61>0;66g=8083>>o50;0;66g=8283>>o50=0;66g=8483>>o3;10;66g;3883>>i3:k0;66a=8183>>{ef2900e5l50;9j5;h3:3?6=3`83=7>5;h0;6?6=3`83?7>5;h0;0?6=3`8397>5;h60857>5;n61f?6=3f83<7>5;|`7`7<72o0;6=u+43g904?<@=9o7E:<1:&1e4<33`226=44i9c94?=n0k0;66g7c;29?l>c2900e<78:188m7>62900e?6=:188m7>42900e?6;:188m7>22900e9=7:188m15>2900c972900qo:k3;29b?6=8r.?>h4;189K06b<@=9:7)1<75f29794?=n<:21<75f42;94?=h<;h1<75`29294?=zj=n?6=4i:183!25m3>:56F;3e9K067<,;k:695f8883>>o?i3:17d6m:188m=e=831b4i4?::k2=2<722c94<4?::k1<7<722c94>4?::k1<1<722c9484?::k77=<722c??44?::m76g<722e94=4?::a0a3=83l1<7>t$50f>17>3A>8h6F;309'6d7=<2c357>5;h:b>5<>o?l3:17d?67;29?l4?93:17d<72;29?l4?;3:17d<74;29?l4?=3:17d:<8;29?l2413:17b:=b;29?j4?83:17pl;d783>c<729q/8?k540;8L15c3A>8=6*=a087?l>>2900e5o50;9j5;h:g>5<5<5<5<5<5<o;7>5f;294~"3:l0?=45G42f8L1563-8j=7:4i9;94?=n0h0;66g7b;29?l>d2900e5j50;9j5<1=831b>5?50;9j6=4=831b>5=50;9j6=2=831b>5;50;9j06>=831b8>750;9l07d=831d>5>50;9~f1b?290m6=4?{%61a?2612B??i5G4238 7g62=1b444?::k;e?6=3`2i6=44i9a94?=n0m0;66g>9683>>o5080;66g=8383>>o50:0;66g=8583>>o50<0;66g;3983>>o3;00;66a;2c83>>i5090;66sm4e;94?`=83:p(9o?13:17d6n:188m=d=831b4n4?::k;`?6=3`;2;7>5;h0;5?6=3`83>7>5;h0;7?6=3`8387>5;h0;1?6=3`>847>5;h60=?6=3f>9n7>5;n0;4?6=3th?hl4?:g83>5}#<;o18<74H51g?M2492.9m<4;;h::>5<>o?k3:17d6k:188m4?02900e?6>:188m7>52900e?6<:188m7>32900e?6::188m15?2900e9=6:188k14e2900c?6?:188yg2cj3:1j7>50z&76`<3901C8>j4H512?!4f93>0e5750;9j5;h:`>5<5N3;m1C8>?4$3c2>1=n000;66g7a;29?l>e2900e5m50;9jo4?::m1<5<722wi8ij50;d94?6|,=8n69?6;I60`>N3;81/>l?54:k;=?6=3`2j6=44i9`94?=n0j0;66g7d;29?l7>?3:17d<71;29?l4?:3:17d<73;29?l4?<3:17d<75;29?l2403:17d:<9;29?j25j3:17b<70;29?xd3ll0;6k4?:1y'07c=<830D9=k;I605>"5i80?7d66:188m=g=831b4o4?::k;g?6=3`2o6=44i0;4>5<5<5<6=44i51;>5<5<;%0b5?>13`82o7>5;h0:`?6=3f9=n7>5;|q122?=839:wSh<;<6bb?>e34>i<76m;<6a5?>e34>i>76m;<6a7?>e34>i876m;<6a1?>e34>i:76m;<6a3?>e34>i476m;<6a=?>e34>im76m;<6af?>e34>io76m;<6a`?>e34>ii76m;<6ab?>e34>h<76m;<6`5?>e34>h>76m;<6`7?>e34>h876m;<6`1?>e34>h:76m;<6`3?>e34>h476m;<6`=?>e34>hm76m;<6`f?>e34>ho76m;<6``?>e34>hi76m;<6`b?>e34>o<76m;<6g5?>e34>o>76m;<6g7?>e34>o876m;<6g1?>e34>o:76m;<6g3?>e34>o476m;<6g=?>e34>om76m;<6gf?>e34>oo76m;<6g`?>e34>oi76m;|q122g=839:wSh>;<6bb?>f34>i<76n;<6a5?>f34>i>76n;<6a7?>f34>i876n;<6a1?>f34>i:76n;<6a3?>f34>i476n;<6a=?>f34>im76n;<6af?>f34>io76n;<6a`?>f34>ii76n;<6ab?>f34>h<76n;<6`5?>f34>h>76n;<6`7?>f34>h876n;<6`1?>f34>h:76n;<6`3?>f34>h476n;<6`=?>f34>hm76n;<6`f?>f34>ho76n;<6``?>f34>hi76n;<6`b?>f34>o<76n;<6g5?>f34>o>76n;<6g7?>f34>o876n;<6g1?>f34>o:76n;<6g3?>f34>o476n;<6g=?>f34>om76n;<6gf?>f34>oo76n;<6g`?>f34>oi76n;|q122d=839:wSki;<6bb?>>34>i<766;<6a5?>>34>i>766;<6a7?>>34>i8766;<6a1?>>34>i:766;<6a3?>>34>i4766;<6a=?>>34>im766;<6af?>>34>io766;<6a`?>>34>ii766;<6ab?>>34>h<766;<6`5?>>34>h>766;<6`7?>>34>h8766;<6`1?>>34>h:766;<6`3?>>34>h4766;<6`=?>>34>hm766;<6`f?>>34>ho766;<6``?>>34>hi766;<6`b?>>34>o<766;<6g5?>>34>o>766;<6g7?>>34>o8766;<6g1?>>34>o:766;<6g3?>>34>o4766;<6g=?>>34>om766;<6gf?>>34>oo766;<6g`?>>34>oi766;|q122e=838pR??>;<6gg?7>?2wx>;9k:181[47n27?hh4>969~w700m3:1>vP=0d9>0ab=90=0q~<97g83>7}Y:9n019j6:0;4?xu5>1:1<75<5sW8;n63;d`82=2=z{;<3>7>52z\14d=:=74=5f;>4?03ty9:5:50;0xZ76034>o;7?67:p63>22909wS1v?876;296~X58<168i;51858yv410>0;6?uQ216891b3283<7p}=69:94?4|V;:870:k0;3:3>{t:?226=4={_036>;3l;0:5:5rs34;e?6=:rT9<<524e395<1?2wx>;6k:181[7am27?oh4>969~w70?m3:1>vP>fb9>0fg=90=0q~<98g83>7}Y9oh019ml:0;4?xu5>0:1<75<5sW;m563;c682=2=z{;<2>7>52z\2b==:4?03ty9:4:50;0xZ4`134>h87?67:p63?22909wS?i5:?7g3<61>1v?866;296~X6n=168n;51858yv411>0;6?uQ1g1891e6283<7p}=68:94?4|V8l:70:l3;3:3>{t:?326=4={_3e4>;3k;0:5:5rs34:e?6=:rT:ik524cg95<1?2wx>;7k:181[7bj27?no4>969~w70>m3:1>vP>e`9>0gb=90=0q~<99g83>7}Y9l3019ll:0;4?xu5>h:1<75<5sW;n;63;b`82=2=z{;7>52z\152=:<84=5`6>4?03ty9:l:50;0xZ77234>i;7?67:p63g22909wS<>4:?7f3<61>1v?8n6;296~X59:168o<51858yv41i>0;6?uQ200891d3283<7p}=6`:94?4|V;:370:m3;3:3>{t:?k26=4={_3e`>;3io0:5:5rs34be?6=:rT:j?524c395<10g7=:19019l=:3:0?82e;383?63;b581<6=:5=4=5`5>7>434>i;7<73:?7f=<50:168o75291891df2;2870:mb;0;7>;3jj094>524cf96=5<5=hn6?6<;<6ab?4?;27?o=4=829>0f7=:19019m=:3:0?82d;383?63;c581<6=:5=4=5a5>7>434>h;7<73:?7g=<50:168n75291891ef2;2870:lb;0;7>;3kj094>524bf96=5<5=in6?6<;<6`b?4?;27?h=4=829>0a7=:19019j=:3:0?82c;383?63;d581<6=:5=4=5f5>7>434>o;7<73:?7`=<50:168i75291891bf2;2870:kb;0;7>;3lj094>524ef96=5<5=nn6?6<;|q12db=839:wSh8;<6bb?4?:27?n=4=839>0g7=:18019l=:3:1?82e;383>63;b581<7=:5<4=5`5>7>534>i;7<72:?7f=<50;168o75290891df2;2970:mb;0;6>;3jj094?524cf96=4<5=hn6?6=;<6ab?4?:27?o=4=839>0f7=:18019m=:3:1?82d;383>63;c581<7=:5<4=5a5>7>534>h;7<72:?7g=<50;168n75290891ef2;2970:lb;0;6>;3kj094?524bf96=4<5=in6?6=;<6`b?4?:27?h=4=839>0a7=:18019j=:3:1?82c;383>63;d581<7=:5<4=5f5>7>534>o;7<72:?7`=<50;168i75290891bf2;2970:kb;0;6>;3lj094?524ef96=4<5=nn6?6=;|q12dc=839:wSh:;<6bb?4?927?n=4=809>0g7=:1;019l=:3:2?82e;383=63;b581<4=:5?4=5`5>7>634>i;7<71:?7f=<508168o75293891df2;2:70:mb;0;5>;3jj094<524cf96=7<5=hn6?6>;<6ab?4?927?o=4=809>0f7=:1;019m=:3:2?82d;383=63;c581<4=:5?4=5a5>7>634>h;7<71:?7g=<508168n75293891ef2;2:70:lb;0;5>;3kj094<524bf96=7<5=in6?6>;<6`b?4?927?h=4=809>0a7=:1;019j=:3:2?82c;383=63;d581<4=:5?4=5f5>7>634>o;7<71:?7`=<508168i75293891bf2;2:70:kb;0;5>;3lj094<524ef96=7<5=nn6?6>;|q12d`=838pR9=n;<6gb?4>k2wx>;l?:1806~X608168:h5193891>7282:70:71;3;5>;30;0:4<5249195=7<5=2?6<6>;<6;1?7?927?4;4>809>0=1=91;01967:0:2?82?13;3=63;8`82<4=:<1h1=5?4=5:`>4>634>3h7?71:?7<`<6081685h5193891?7282:70:61;3;5>;31;0:4<5248195=7<5=3?6<6>;<6:1?7?927?5;4>809>0<1=91;01977:0:2?82>13;3=63;9`82<4=:<0h1=5?4=5;`>4>634>2h7?71:?7=`<6081684h5193891g7282:70:n1;3;5>;3i;0:4<524`195=7<5=k?6<6>;<6b1?7?927?m;4>809>0d1=91;019o7:0:2?82f13;3=63;a`82<4=:4>634>jh7?71:?7e`<608168ih528f8yv41j80;6;?t^0;1?820n3;?=63;818204=:<1;1=9?4=5:1>42634>3?7?;1:?7<1<6<81685;5153891>128>:70:77;375>;3010:8<5249;9517<5=2j6<:>;<6;f?73927?4n4>409>0=b=9=;0196j:062?82?n3;?=63;918204=:<0;1=9?4=5;1>42634>2?7?;1:?7=1<6<81684;5153891?128>:70:67;375>;3110:8<5248;9517<5=3j6<:>;<6:f?73927?5n4>409>0n3;?=63;a18204=:42634>j?7?;1:?7e1<6<8168l;5153891g128>:70:n7;375>;3i10:8<524`;9517<5=kj6<:>;<6bf?73927?mn4>409>0db=9=;019oj:062?82fn3>8463;b1877==:64=5`1>15?34>i?7:<8:?7f1<3;1168o;542:891d12=9370:m7;60<>;3j10??5524c;906><5=hj69=7;<6af?24027?nn4;399>0gb=<:2019lj:51;?82en3>8463;c1877==:64=5a1>15?34>h?7:<8:?7g1<3;1168n;542:891e12=9370:l7;60<>;3k10??5524b;906><5=ij69=7;<6`f?24027?on4;399>0fb=<:2019mj:51;?82dn3>8463;d1877==:64=5f1>15?34>o?7:<8:?7`1<3;1168i;542:891b12=9370:k7;60<>;3l10??5524e;906><5=nj69=7;<6gf?24027?hn4;399>0ab=<:2019jj:51;?xu5>k81<7::6s|27`0>5<5sW852z\137=::?4=5ca>1713ty9:o850;0xZ71734>jm7:>6:p63d02909wS<9f:?7e<<39?1v?8m8;296~X5>l168l654048yv41j00;6?uQ27f891g02=;=7p}=6cc94?4|V;{t:?hi6=4={_05e>;3i<0?=;5rs34ag?6=:rT9:4524`69040<5=k869?9;|q12gc=838pR?88;<6b6?26>2wx>;li:181[41>27?m<4;179~w70d83:1>vP=649>0d6=<8<0q~<9c083>7}Y:?>0197i:535?xu5>j81<7m3>::6s|27a0>5<5sW8=>63;9e8753=z{;52z\125=:<0i18<84}r05g0<72;qU>8h4=5;a>1713ty9:n850;0xZ73b34>2m7:>6:p63e02909wS<:d:?7=<<39?1v?8l8;296~X5=j1684654048yv41k00;6?uQ24`891?02=;=7p}=6bc94?4|V;?j70:66;622>{t:?ii6=4={_06=>;31<0?=;5rs34`g?6=:rT9955248690402wx>;mi:181[42<27?5<4;179~w70c83:1>vP=529>0<6=<8<0q~<9d083>7}Y:<;0196i:535?xu5>m81<7::6s|27f0>5<5sW8?j63;8e8753=z{;52z\10`=:<1i18<84}r05`0<72;qU>9j4=5:a>1713ty9:i850;0xZ72d34>3m7:>6:p63b02909wS<;b:?7<<<39?1v?8k8;296~X5?k1685654048yv41l00;6?uQ26c891>02=;=7p}=6ec94?4|V;=270:76;622>{t:?ni6=4={_04<>;30<0?=;5rs34gg?6=:rT9;:5249690402wx>;ji:181[41927?4<4;179~w70b83:1>vP=579>0=6=<8<0q~<9e083>7}Y:=k0199i:535?xu5>l81<7m>{<64a?4>127?mk47c:?7ec0d`=:1?019l?:9a891d721n019l?:3:7?82e8383963;b08;g>;3j803h63;b081<1=:5;4=5`1>=e<5=h965j4=5`1>7>334>i>7<75:?7f647d:?7f6<50=168o=5297891d321i019l;:9f891d32;2?70:m4;0;1>;3j<03o63;b48;`>;3j<0949524c796=3<5=h=65m4=5`5>=b<5=h=6?6;;<6a2?4?=27?n:47c:?7f20g1=:1?019l7:9a891d?21n019l7:3:7?82e0383963;b88;g>;3j003h63;b881<1=:5;4=5`b>=e<5=hj65j4=5`b>7>334>im7<75:?7fg;3jm03o63;be8;`>;3jm0949524cf96=3<5=hn65m4=5`f>=b<5=hn6?6;;<6aa?4?=27?nk47c:?7fc0g`=:1?019m?:9a891e721n019m?:3:7?82d8383963;c08;g>;3k803h63;c081<1=:5;4=5a1>=e<5=i965j4=5a1>7>334>h>7<75:?7g647d:?7g6<50=168n=5297891e321i019m;:9f891e32;2?70:l4;0;1>;3k<03o63;c48;`>;3k<0949524b796=3<5=i=65m4=5a5>=b<5=i=6?6;;<6`2?4?=27?o:47c:?7g20f1=:1?019m7:9a891e?21n019m7:3:7?82d0383963;c88;g>;3k003h63;c881<1=:5;4=5ab>=e<5=ij65j4=5ab>7>334>hm7<75:?7gg;3km03o63;ce8;`>;3km0949524bf96=3<5=in65m4=5af>=b<5=in6?6;;<6`a?4?=27?ok47c:?7gc0f`=:1?019j?:9a891b721n019j?:3:7?82c8383963;d08;g>;3l803h63;d081<1=:5;4=5f1>=e<5=n965j4=5f1>7>334>o>7<75:?7`647d:?7`6<50=168i=5297891b321i019j;:9f891b32;2?70:k4;0;1>;3l<03o63;d48;`>;3l<0949524e796=3<5=n=65m4=5f5>=b<5=n=6?6;;<6g2?4?=27?h:47c:?7`20a1=:1?019j7:9a891b?21n019j7:3:7?82c0383963;d88;g>;3l003h63;d881<1=:5;4=5fb>=e<5=nj65j4=5fb>7>334>om7<75:?7`g;3lm03o63;de8;`>;3lm0949524ef96=3<5=nn65m4=5ff>=b<5=nn6?6;;<6ga?4?=2wx>;k<:181820n3;2;63;b181<5=z{;52z?7<5<61>168o?52928yv41m<0;6?u249395<1<5=km6?6?;|q12`0=838p196=:0;4?82e;383<6s|27g4>5<5s4>3?7?67:?7f1<5091v?8j8;296~;30=0:5:524c096=60=3=90=019l9:3:3?xu5>lk1<74?034>i;7<70:p63ce2909w0:77;3:3>;3j<094=5rs34fg?6=:r7?454>969>0g?=:1:0q~<9ee83>7}:<131=494=5`b>7>73ty9:hk50;0x91>f283<70:m8;0;4>{t:?om6=4={<6;f?7>?27?nn4=819~w70a83:1>v3;8b82=2=:5>4}r05b4<72;q685j5185891de2;2;7p}=6g094?4|5=2n6<78;<6ab?4?82wx>;h<:18182?n3;2;63;c181<5=z{;52z?7=5<61>168ok52928yv41n<0;6?u248395<1<5=i96?6?;|q12c0=838p197=:0;4?82d;383<6s|27d4>5<5s4>2?7?67:?7g4<5091v?8i8;296~;31=0:5:524b796=60<3=90=019m9:3:3?xu5>ok1<74?034>h87<70:p63`e2909w0:67;3:3>;3k1094=5rs34eg?6=:r7?554>969>0f?=:1:0q~<9fe83>7}:<031=494=5a4>7>73ty9:kk50;0x91?f283<70:lb;0;4>{t:?lm6=4={<6:f?7>?27?on4=819~w71783:1>v3;9b82=2=:5>4}r0444<72;q684j5185891eb2;2;7p}=71094?4|5=3n6<78;<6`b?4?82wx>:><:18182>n3;2;63;ce81<5=z{;=;87>52z?7e5<61>168i?52928yv408<0;6?u24`395<1<5=n96?6?;|q1350=838p19o=:0;4?82c8383<6s|2624>5<5s4>j?7?67:?7`1<5091v?9?8;296~;3i=0:5:524e796=60d3=90=019j<:3:3?xu5?9k1<74?034>o;7<70:p626e2909w0:n7;3:3>;3l1094=5rs353g?6=:r7?m54>969>0a0=:1:0q~<80e83>7}:7>73ty9;=k50;0x91gf283<70:kb;0;4>{t:>:m6=4={<6bf?7>?27?h44=819~w71683:1>v3;ab82=2=:5>4}r0454<72;q68lj5185891bb2;2;7p}=70094?4|5=kn6<78;<6gg?4?82wx>:?<:1805~;3io0??4524c2906?<5=h:69=6;<6a6?24127?n>4;389>0g2=<:3019l::51:?82e>3>8563;b6877<=:74=5`:>15>34>im7:<9:?7fg<3;0168om542;891dc2=9270:me;60=>;3jo0??4524b2906?<5=i:69=6;<6`6?24127?o>4;389>0f2=<:3019m::51:?82d>3>8563;c6877<=:74=5a:>15>34>hm7:<9:?7gg<3;0168nm542;891ec2=9270:le;60=>;3ko0??4524e2906?<5=n:69=6;<6g6?24127?h>4;389>0a2=<:3019j::51:?82c>3>8563;d6877<=:74=5f:>15>34>om7:<9:?7`g<3;0168im542;891bc2=9270:ke;60=>;3lo08:o5r}c6f4?6==3:1;%143?7fm>1/>l?5c:k204<722c:4<4?::k2=2<722c?>94?::m753<722wi8h?50;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188yg2b:3:197>50z&76`<5j01C8>j4H512?!50?3;ji:5f18394?=n:>o1<75f43694?=h;?h1<75`2``94?=zj=o86=4::183!25m38i56F;3e9K067<,:=<6N3;81/?:951`g4?l7>93:17d0<729q/8?k52c;8L15c3A>8=6*<7682e`15<5<;%143?7fm>1b=4?50;9j6dg=831d?;l50;9~f1c0290>6=4?{%61a?4e12B??i5G4238 61028kn;6g>9083>>o5?l0;66g;2583>>i4>k0;66a=ac83>>{e=1=lk8;h3:5?6=3`85;h610?6=3f9=n7>5;n0bf?6=3th?i44?:483>5}#<;o1>o74H51g?M2492.8;:4>ad58m4?62900e?9j:188m1432900c>8m:188k7ge2900qo:ja;291?6=8r.?>h4=b89K06b<@=9:7)=87;3ba2=n90;1<75f26g94?=n<;>1<75`37`94?=h:hh1<75rb5ga>5<2290;w):=e;0a=>N3;m1C8>?4$254>4gb?2c:5<4?::k13`<722c?>94?::m02g<722e9mo4?::a0`e=83?1<7>t$50f>7d>3A>8h6F;309'721=9ho<7d?61;29?l40m3:17d:=4;29?j51j3:17b"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl;ed83>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd3mo0;684?:1y'07c=:0>0D9=k;I605>"4?>0:mh94$3c2>7=n9=;1<75f19394?=n90=1<75f40:94?=h<8<1<75rb5d3>5<2290;w):=e;0:0>N3;m1C8>?4$254>4gb?2.9m<4=;h375?6=3`;3=7>5;h3:3?6=3`>:47>5;n622?6=3th?j<4?:483>5}#<;o1>4:4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3`>:47>5;n622?6=3th?j?4?:483>5}#<;o1>4:4H51g?M2492.8;:4>ad58 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f1`4290>6=4?{%61a?4><2B??i5G4238 61028kn;6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17pl;f583>0<729q/8?k52868L15c3A>8=6*<7682e`1<,;k:6?5f15394?=n91;1<75f18594?=n<821<75`40494?=zj=l>6=4::183!25m38286F;3e9K067<,:=<65;h3;5?6=3`;2;7>5;h62::7>5;|`7b3<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th?j:4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`7b=<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th?j44?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`7bd<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th?jo4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`7bf<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th?ji4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`7b`<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th?jk4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`645<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th><<4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`647<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th><>4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`641<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th><84?:283>5}#<;o18>m4H51g?M2492.8;:4>ad58m4?62900e?on:188k60e2900qo;?6;297?6=8r.?>h4;3b9K06b<@=9:7)=87;3ba2=n90;1<75f2`c94?=h;?h1<75rb424>5<4290;w):=e;60g>N3;m1C8>?4$254>4gb?2c:5<4?::k1ed<722e8:o4?::a15>=83>1<7>t$50f>7d?3A>8h6F;309'721=9ho<7d?61;29?l40m3:17d:=4;29?j51j3:17pl:0883>0<729q/8?k52c;8L15c3A>8=6*<7682e`15<5<;%143?7fm>1b=4?50;9j62c=831b8?:50;9l6dd=831vn8>m:187>5<7s->9i798:0cf3>o6180;66g=7d83>>o3:=0;66a=ac83>>{e=9i1<7;50;2x 14b2;h27E:=1=lk8;h3:5?6=3`85;h610?6=3f9=n7>5;n0bf?6=3th>5}#<;o18>m4H51g?M2492.8;:4>ad58m4?62900e?on:188k60e2900qo;?e;291?6=8r.?>h4=959K06b<@=9:7):188m4?02900e9?7:188k1712900qo;?f;291?6=8r.?>h4=959K06b<@=9:7):188m4?02900e9?7:188k1712900qo;>0;290?6=8r.?>h4=939K06b<@=9:7d?;1;29?l7?93:17d?67;29?j26>3:17pl:1083>6<729q/8?k542a8L15c3A>8=6*<7682e`15<;%143?7fm>1b=4?50;9j62c=831b8?:50;9l73d=831d>ll50;9~f074290>6=4?{%61a?4e12B??i5G4238 61028kn;6g>9083>>o5?l0;66g;2583>>i4>k0;66a=ac83>>{e=8>1<7;50;2x 14b2;h27E:=1=lk8;h3:5?6=3`85;h610?6=3f9=n7>5;n0bf?6=3th>=84?:483>5}#<;o1>o74H51g?M2492.8;:4>ad58m4?62900e?9j:188m1432900c>8m:188k7ge2900qo;>6;291?6=8r.?>h4=b89K06b<@=9:7)=87;3ba2=n90;1<75f26g94?=n<;>1<75`37`94?=h:hh1<75rb434>5<2290;w):=e;0a=>N3;m1C8>?4$254>4gb?2c:5<4?::k13`<722c?>94?::m02g<722e9mo4?::a14>=83?1<7>t$50f>7d>3A>8h6F;309'721=9ho<7d?61;29?l40m3:17d:=4;29?j51j3:17b0D9=k;I605>"4?>0:mh94$3c2>7=n9=;1<75f19394?=n90=1<75f40:94?=h<8<1<75rb43b>5<2290;w):=e;0:1>N3;m1C8>?4$254>4gb?2.9m<4l;h375?6=3`;3=7>5;h3:3?6=3`>987>5;n622?6=3th>=o4?:283>5}#<;o18>m4H51g?M2492.8;:4>ad58m4?62900e?on:188k60e2900qo;>c;291?6=8r.?>h4=b89K06b<@=9:7)=87;3ba2=n90;1<75f26g94?=n<;>1<75`37`94?=h:hh1<75rb43g>5<2290;w):=e;0a=>N3;m1C8>?4$254>4gb?2c:5<4?::k13`<722c?>94?::m02g<722e9mo4?::a14c=83?1<7>t$50f>7d>3A>8h6F;309'721=9ho<7d?61;29?l40m3:17d:=4;29?j51j3:17b"4?>0:mh94i0;2>5<5<5<55;294~"3:l09n45G42f8L1563-9<;7?ne69j5<7=831b>:k50;9j072=831d?;l50;9l6dd=831vn8<>:186>5<7s->9i798:0cf3>o6180;66g=7d83>>o3:=0;66a<6c83>>i5ik0;66sm53094?3=83:p(95<5<5<55;294~"3:l09585G42f8L1563-9<;7?ne69'6d7=k2c:8<4?::k2<4<722c:5:4?::k761<722e?=;4?::a172=8391<7>t$50f>15d3A>8h6F;309'721=9ho<7d?61;29?l4fi3:17b=9b;29?xd2:<0;6>4?:1y'07c=<:i0D9=k;I605>"4?>0:mh94i0;2>5<5<54;294~"3:l09n55G42f8L1563-9<;7?ne69j5<7=831b>:k50;9j072=831d?;l50;9~f040290>6=4?{%61a?4e12B??i5G4238 61028kn;6g>9083>>o5?l0;66g;2583>>i4>k0;66a=ac83>>{e=;21<7:50;2x 14b2;hj7E:=1=lk8;h3:5?6=3`85;h610?6=3f8jn7>5;|`66<<72:0;6=u+43g906e<@=9o7E:<1:&032<6il=0e<7>:188m7gf2900c>8m:188yg35i3:1?7>50z&76`<3;j1C8>j4H512?!50?3;ji:5f18394?=n:hk1<75`37`94?=zj<8i6=4::183!25m38i56F;3e9K067<,:=<6N3;81/?:951`g4?l7>93:17d<8e;29?l25<3:17b"4?>0:mh94i0;2>5<5<5<53;294~"3:l0??n5G42f8L1563-9<;7?ne69j5<7=831b>lo50;9l73d=831vn85<7s->9i798:0cf3>o6180;66g=7d83>>o3:=0;66a<6c83>>{e=::1<7;50;2x 14b2;h27E:=1=lk8;h3:5?6=3`85;h610?6=3f9=n7>5;n0bf?6=3th>?<4?:283>5}#<;o18>m4H51g?M2492.8;:4>ad58m4?62900e?on:188k60e2900qo;<2;290?6=8r.?>h4=939K06b<@=9:7d?;1;29?l7?93:17d?67;29?j26>3:17pl:3283>0<729q/8?k52c;8L15c3A>8=6*<7682e`15<5<;%143?7fm>1b=4?50;9j6dg=831d?;l50;9~f052290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn8=9:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f050290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f05?290:m<4?:1y'07c=:1=0D9=k;I605>\6;o0:13=?<0?47s+36595dc03gko6<5af`82?k7783:0b<>>:09'554=;?k0(<><:35e?k76?3;0b<=j:09'516=:>l0b<99:09m52d=92d:5;4>;o0;2?7"4im0>7c:>5;38 1412;h87):=7;1b`>"3;;0?>i5+42196g3<,=9?6>86;%601?51l2e8mh4?::k75`<722c:4;4?::k2<1<722e9n:4?::k2<=<722c?>?4?::k1f3<722c:4:4?::k75c<722c:4k4?::m231<722c?=l4?::k2<<<722e?>k4?::m0ec<722c?=n4?::k75g<722c:4o4?::k765<722c:484?::k2<6<722c:5?4?::k2<7<722c?=i4?::m03=<722c:4l4?::k2<`<722c:;84?::k236<722c?><4?::k2>4?::mf7?6=,=;86h<4n531>5=h39;0:76aj0;29 1742l80b9?=:398ka`=83.?=>4j2:l757<432eoi7>5$530>`46`;1386?>ick3:1(9?<:d08j1752?10cil50;&756==h39;0276ak7;29 1742l80b9?=:`98ka0=83.?=>4j2:l7575$530>`46`;138g?>ic;3:1(9?<:d08j1752l10ci<50;&75646<3fn;6=4+4019a7=i<881=<54obd94?"39:0n>6`;13826>=hkl0;6):>3;g1?k26:3;876ajb;29 1742l80b9?=:068?jcf290/8<=5e39m044=9<10ch750;&7566:9la=<72->:?7k=;o626?7032en;7>5$530>`45<#<891i?5a40095<=h39;0:m65`e583>!26;3o97c:>2;3a?>ic03:1(9?<:d08j17528i07bmk:18'045=m;1e8<<51e98m612290/8<=53668j1752910e>9<:18'045=;>>0b9?=:098m615290/8<=53668j1752;10e>9>:18'045=;>>0b9?=:298m617290/8<=53668j1752=10e>8i:18'045=;>>0b9?=:498m60b290/8<=53668j1752?10e>jn:18'045=;m30b9?=:198m6b?290/8<=53e;8j1752810e>j8:18'045=;m30b9?=:398m6b1290/8<=53e;8j1752:10e>j::18'045=;m30b9?=:598m6b3290/8<=53e;8j1752<10e>j<:18'045=;m30b9?=:798m6b5290/8<=53e;8j1752>10e>j?:18'045=;m30b9?=:998m6ea290/8<=53e;8j1752010e>mj:18'045=;m30b9?=:`98m6ec290/8<=53e;8j1752k10e>ml:18'045=;m30b9?=:b98m6ee290/8<=53e;8j1752m10e>mn:18'045=;m30b9?=:d98m6e>290/8<=53e;8j1752o10e>m7:18'045=;m30b9?=:028?l5d?3:1(9?<:2f:?k26:3;:76g!26;39o56`;13826>=n;j>1<7*;1280`<=i<881=>54i2a0>5<#<891?i74n531>42<3`9h>7>5$530>6b>3g>:>7?:;:k0g4<72->:?7=k9:l757<6>21b?n>50;&756<4l01e8<<51698m6da290/8<=53e;8j17528207d=me;29 1742:n27c:>2;3:?>o4jm0;6):>3;1g=>h39;0:m65f3ca94?"39:08h45a40095g=j6;o626?7c32c8n54?:%627?5c12d?=?4>e:9j7g1=83.?=>4l9:18'045=;m30b9?=:328?l5e=3:1(9?<:2f:?k26:38:76g!26;39o56`;13816>=n;k91<7*;1280`<=i<881>>54i2`1>5<#<891?i74n531>72<3`9i=7>5$530>6b>3g>:>7<:;:k0a5<72->:?7=k9:l757<5>21b?ih50;&756<4l01e8<<52698m6bb290/8<=53e;8j1752;207d=kd;29 1742:n27c:>2;0:?>o4lj0;6):>3;1g=>h39;09m65f3e`94?"39:08h45a40096g=j6;o626?4c32c8no4?:%627?5c12d?=?4=e:9j7g6=83.?=>44=h39;0976gib;29 1742oo0b9?=:298m40d290/8<=517`8j1752910e<8n:18'045=9?h0b9?=:098m40>290/8<=517`8j1752;10e<87:18'045=9?h0b9?=:298m400290/8<=517`8j1752=10e<89:18'045=9?h0b9?=:498m402290/8<=517`8j1752?10e<8;:18'045=9?h0b9?=:698m405290/8<=517`8j1752110e<8>:18'045=9?h0b9?=:898m407290/8<=517`8j1752h10e<;i:18'045=9?h0b9?=:c98m43b290/8<=517`8j1752j10e<;k:18'045=9?h0b9?=:e98m43d290/8<=517`8j1752l10e<;m:18'045=9?h0b9?=:g98m43f290/8<=517`8j17528:07d?:9;29 174282;32?>o6=>0;6):>3;35f>h39;0:>65f14494?"39:0::o5a400956=6=4+401953d4?:%627?71j2d?=?4>6:9j504=83.?=>4>6c9m044=9>10e<;>:18'045=9?h0b9?=:0:8?l7283:1(9?<:04a?k26:3;276g>4g83>!26;3;=n6`;1382e>=n9=o1<7*;12822g=i<881=o54i06`>5<#<891=;l4n531>4e<3`;?n7>5$530>40e3g>:>7?k;:k20d<72->:?7?9b:l757<6m21b=9750;&756<6>k1e8<<51g98m42?290/8<=517`8j1752;:07d?;7;29 174282;02?>o63;35f>h39;09>65f15794?"39:0::o5a400966=?6=4+401953d4>6c9m044=:>10e<9?:18'045=9?h0b9?=:3:8?l71n3:1(9?<:04a?k26:38276g>6d83>!26;3;=n6`;1381e>=n9?n1<7*;12822g=i<881>o54i040>5<#<891=;l4n531>7e<3`;>47>5$530>40e3g>:>7:?7?9b:l757<5m21b=9<50;&756<6>k1e8<<52g98k4>7290/8<=516d8j1752910c<9j:18'045=9>l0b9?=:098k41c290/8<=516d8j1752;10c<9l:18'045=9>l0b9?=:298m7g0290/8<=52`48j1752910e?o::18'045=:h<0b9?=:098m7g3290/8<=52`48j1752;10e?o<:18'045=:h<0b9?=:298m7g5290/8<=52`48j1752=10e<<>:18'045=9;:0b9?=:198m47a290/8<=51328j1752810e290/8<=51328j1752>10e<290/8<=51328j1752010e<<7:18'045=9;:0b9?=:`98m440290/8<=51328j1752k10e<<9:18'045=9;:0b9?=:b98m442290/8<=51328j1752m10e<<;:18'045=9;:0b9?=:d98m444290/8<=51328j1752o10e<<=:18'045=9;:0b9?=:028?l7603:1(9?<:003?k26:3;:76g>0e83>!26;3;;o6`;1383?>o68k0;6):>3;33g>h39;0:76g>0`83>!26;3;;o6`;1381?>o6800;6):>3;33g>h39;0876g>0983>!26;3;;o6`;1387?>o68>0;6):>3;33g>h39;0>76g>0783>!26;3;;o6`;1385?>o68<0;6):>3;33g>h39;0<76g>1783>!26;3;;o6`;138;?>o69<0;6):>3;33g>h39;0276g>1583>!26;3;;o6`;138b?>o69:0;6):>3;33g>h39;0i76g>1383>!26;3;;o6`;138`?>o6980;6):>3;33g>h39;0o76g>1183>!26;3;;o6`;138f?>o68o0;6):>3;33g>h39;0m76g>0d83>!26;3;;o6`;13824>=n99>1<7*;12824f=i<881=<54o017>5<#<891=>=4n531>5=5<#<891=>=4n531>7=54o00e>5<#<891=>=4n531>1=5<#<891=>=4n531>3=5<#<891=>=4n531>==5<#<891=>=4n531>d=5<#<891=>=4n531>f=5<#<891=>=4n531>`=5<#<891=>=4n531>46<3f;9n7>5$530>4543g>:>7?>;:k2ed<72->:?7?n9:l757<732c:m54?:%627?7f12d?=?4>;:k2e2<72->:?7?n9:l757<532c:m;4?:%627?7f12d?=?4<;:k2e0<72->:?7?n9:l757<332c:m>4?:%627?7f12d?=?4:;:k2e7<72->:?7?n9:l757<132c:m<4?:%627?7f12d?=?48;:k2e5<72->:?7?n9:l757:?7?n9:l757:?7?n9:l757:?7?n9:l757:?7?n9:l757<6821b=o>50;&756<6i01e8<<51098m4ga290/8<=51`;8j17528807d?ne;29 17428k27c:>2;30?>o6im0;6):>3;3b=>h39;0:865f1`a94?"39:0:m45a400950=8:9l7d3=83.?=>444421d?4j50;&756<4i=1e8<<57:9l7444447=:18'045=;h>0b9?=:038?j5>83:1(9?<:2c7?k26:3;976a<8g83>!26;39j86`;13827>=h;1o1<7*;1280e1=i<881=954o2:g>5<#<891?l:4n531>43<3f93o7>5$530>6g33g>:>7?9;:m0:?7=n4:l757<6?21d?5o50;&756<4i=1e8<<51998k6>>290/8<=53`68j17528307b=78;29 1742:k?7c:>2;3b?>i40>0;6):>3;1b0>h39;0:n65`39794?"39:08m95a40095f=o;;o626?7b32e84?4?:%627?5f<2d?=?4>f:9l7=7=83.?=>46?:18'045=;h>0b9?=:338?j50n3:1(9?<:2c7?k26:38976a<7d83>!26;39j86`;13817>=h;>n1<7*;1280e1=i<881>954o25`>5<#<891?l:4n531>73<3f9jn7>5$530>6g33g>:>7<9;:m0ed<72->:?7=n4:l757<5?21d?l750;&756<4i=1e8<<52998k6g?290/8<=53`68j1752;307b=n7;29 1742:k?7c:>2;0b?>i4i?0;6):>3;1b0>h39;09n65`38a94?"39:08m95a40096f=o;;o626?4b32e8;o4?:%627?5f<2d?=?4=f:9je1<72->:?7o<;o626?6<3`k96=4+4019e6=i<881=65fa083>!26;3k87c:>2;08?lg7290/8<=5a29m044=;21b5k4?:%627?g43g>:>7:4;h;f>5<#<891m>5a40091>=n1m0;6):>3;c0?k26:3<07d7l:18'045=i:1e8<<57:9j=g<72->:?7o<;o626?><3`3j6=4+4019e6=i<881565f9983>!26;3k87c:>2;c8?l?0290/8<=5a29m044=j21b5;4?:%627?g43g>:>7m4;h;6>5<#<891m>5a4009`>=n1=0;6):>3;c0?k26:3o07d7<:18'045=i:1e8<<5f:9j=7<72->:?7o<;o626?7732c2=7>5$530>d55<#<891m>5a400957=h39;0:?65fab83>!26;3k87c:>2;37?>ofj3:1(9?<:`18j17528?07don:18'045=i:1e8<<51798md?=83.?=>4n3:l757<6?21bm54?:%627?g43g>:>7?7;:kb3?6=,=;86l=4n531>4?<3`k=6=4+4019e6=i<881=l54i`794?"39:0j?6`;1382f>=n100;6):>3;c0?k26:3;h76g7e;29 1742h90b9?=:0f8?j27j3:1(9?<:52b?k26:3:07b:?9;29 1742=:j7c:>2;38?j2703:1(9?<:52b?k26:3807b:?7;29 1742=:j7c:>2;18?j27>3:1(9?<:52b?k26:3>07b:?5;29 1742=:j7c:>2;78?j27<3:1(9?<:52b?k26:3<07b:?3;29 1742=:j7c:>2;58?j2793:1(9?<:52b?k26:3207b:?0;29 1742=:j7c:>2;;8?j5an3:1(9?<:52b?k26:3k07b=ie;29 1742=:j7c:>2;`8?j5al3:1(9?<:52b?k26:3i07b=ic;29 1742=:j7c:>2;f8?j5aj3:1(9?<:52b?k26:3o07b=ia;29 1742=:j7c:>2;d8?j5a13:1(9?<:52b?k26:3;;76a!26;3>;m6`;13825>=h;o<1<7*;12874d=i<881=?54o2d6>5<#<8918=o4n531>45<3f9m87>5$530>16f3g>:>7?;;:m0b6<72->:?7:?a:l757<6=21d?k<50;&756<38h1e8<<51798k6`6290/8<=541c8j17528=07b=i0;29 1742=:j7c:>2;3;?>i4mo0;6):>3;63e>h39;0:565`3dg94?"39:0?n;o626?7d32e8il4?:%627?27i2d?=?4>d:9l7`?=83.?=>4;0`9m044=9l10c>k7:18'045=<9k0b9?=:0d8?j5b?3:1(9?<:52b?k26:38;76a!26;3>;m6`;13815>=h;l?1<7*;12874d=i<881>?54o2g7>5<#<8918=o4n531>75<3f9n?7>5$530>16f3g>:>7<;;:m0a7<72->:?7:?a:l757<5=21d82;0;?>i38l0;6):>3;63e>h39;09565`41f94?"39:0?n;o626?4d32e8j:4?:%627?27i2d?=?4=d:9l7`e=83.?=>4;0`9m044=:l10c>k>:18'045=<9k0b9?=:3d8?le3290/8<=5c29m044=821bo?4?:%627?e43g>:>7?4;ha2>5<#<891o>5a40096>=nk90;6):>3;a0?k26:3907dli:18'045=k:1e8<<54:9jf`<72->:?7m<;o626?3<3`ho6=4+4019g6=i<881:65fbb83>!26;3i87c:>2;58?lde290/8<=5c29m044=021bnl4?:%627?e43g>:>774;h`;>5<#<891o>5a4009e>=nj>0;6):>3;a0?k26:3h07dl9:18'045=k:1e8<<5c:9jf0<72->:?7m<;o626?b<3`h?6=4+4019g6=i<881i65fb283>!26;3i87c:>2;d8?ld5290/8<=5c29m044=9910eo?50;&7561:9jf5<72->:?7m<;o626?7532cjj7>5$530>f55<#<891o>5a400951=h39;0:965fc`83>!26;3i87c:>2;35?>od13:1(9?<:b18j17528=07dm7:18'045=k:1e8<<51998mf1=83.?=>4l3:l757<6121bo;4?:%627?e43g>:>7?n;:k`1?6=,=;86n=4n531>4d<3`h26=4+4019g6=i<881=n54i`g94?"39:0h?6`;1382`>=n9>k1<7*;12823<=i<881<65f16:94?"39:0:;45a40095>=n9>=1<7*;12823<=i<881>65rb41:>5<6i80;6=u+43g96=1<@=9o7E:<1:X27c<68r>o6:65768;7?1d2=k1=9486;51>47=<00<<7??:57930<303w/?:951`g4?kgc281ejl4>;o334?6h61?0:7c<76;38j7g?281/>o=528:8j7d3281e?:850:&0ea<23g>:97?4$505>7d43->9;7=nd:&777<3:m1/8>=52c78 1532:<27):<5;15`>i4il0;66g;1d83>>o60?0;66g>8583>>i5j>0;66g>8983>>o3:;0;66g=b783>>o60>0;66g;1g83>>o60o0;66a>7583>>o39h0;66g>8883>>i3:o0;66a>o39j0;66g;1c83>>o60k0;66g;2183>>o60<0;66g>8283>>o61;0;66g>8383>>o39m0;66a<7983>>o60h0;66g>8d83>>o6?<0;66g>7283>>o3:80;66g>8e83>>o3::0;66aj3;29 1742l80b9?=:198k`7=83.?=>4j2:l757<632en<7>5$530>`46`;1380?>icm3:1(9?<:d08j1752=10cij50;&7563=h39;0<76aka;29 1742l80b9?=:998ka?=83.?=>4j2:l757<>32eo;7>5$530>`46`;138a?>ic=3:1(9?<:d08j1752j10ci:50;&756`=h39;0m76ak1;29 1742l80b9?=:028?jb7290/8<=5e39m044=9810cnh50;&7562:9lg`<72->:?7k=;o626?7432enn7>5$530>`45<#<891i?5a400950=h39;0::65`e983>!26;3o97c:>2;34?>ib?3:1(9?<:d08j17528207bk9:18'045=m;1e8<<51898k`3=83.?=>4j2:l757<6i21di94?:%627?c53g>:>7?m;:mg4e<3fio6=4+4019a7=i<881=i54i256>5<#<891?::4n531>5=5<#<891?::4n531>7=54i253>5<#<891?::4n531>1=5<#<891?::4n531>3=5<#<891?i74n531>4=5<#<891?i74n531>6=6=4+40197a?5<#<891?i74n531>0=5<#<891?i74n531>2=5<#<891?i74n531><=5<#<891?i74n531>g=5<#<891?i74n531>a=5<#<891?i74n531>c=4;h1`3?6=,=;86>j6;o626?7632c8o84?:%627?5c12d?=?4>2:9j7f2=83.?=>4m<:18'045=;m30b9?=:068?l5d:3:1(9?<:2f:?k26:3;>76g!26;39o56`;13822>=n;j:1<7*;1280`<=i<881=:54i2`e>5<#<891?i74n531>4><3`9ii7>5$530>6b>3g>:>7?6;:k0fa<72->:?7=k9:l757<6i21b?om50;&756<4l01e8<<51c98m6df290/8<=53e;8j17528i07d=m9;29 1742:n27c:>2;3g?>o4j10;6):>3;1g=>h39;0:i65f3c594?"39:08h45a40095c=4;h1a1?6=,=;86>j6;o626?4632c8n94?:%627?5c12d?=?4=2:9j7g5=83.?=>4l=:18'045=;m30b9?=:368?l5e93:1(9?<:2f:?k26:38>76g!26;39o56`;13812>=n;ml1<7*;1280`<=i<881>:54i2ff>5<#<891?i74n531>7><3`9oh7>5$530>6b>3g>:>7<6;:k0`f<72->:?7=k9:l757<5i21b?il50;&756<4l01e8<<52c98m6b6290/8<=53e;8j1752;i07d=l6;29 1742:n27c:>2;0g?>o4jk0;6):>3;1g=>h39;09i65f3c294?"39:08h45a40096c=h39;0;76gid;29 1742oo0b9?=:098mce=83.?=>4ie:l757<532cmn7>5$530>cc54i04`>5<#<891=;l4n531>5=5<#<891=;l4n531>7=54i044>5<#<891=;l4n531>1=5<#<891=;l4n531>3=5<#<891=;l4n531>==5<#<891=;l4n531>d=5<#<891=;l4n531>f=5<#<891=;l4n531>`=5<#<891=;l4n531>46<3`;>57>5$530>40e3g>:>7?>;:k212<72->:?7?9b:l757<6:21b=8850;&756<6>k1e8<<51298m432290/8<=517`8j17528>07d?:4;29 174282;36?>o6=:0;6):>3;35f>h39;0::65f14094?"39:0::o5a400952=32c:8k4?:%627?71j2d?=?4>a:9j51c=83.?=>4>6c9m044=9k10e<:l:18'045=9?h0b9?=:0a8?l73j3:1(9?<:04a?k26:3;o76g>4`83>!26;3;=n6`;1382a>=n9=31<7*;12822g=i<881=k54i06;>5<#<891=;l4n531>76<3`;?;7>5$530>40e3g>:>7<>;:k203<72->:?7?9b:l757<5:21b=9;50;&756<6>k1e8<<52298m423290/8<=517`8j1752;>07d?;3;29 174282;06?>o6?;0;6):>3;35f>h39;09:65f16394?"39:0::o5a400962=32c::h4?:%627?71j2d?=?4=a:9j53b=83.?=>4>6c9m044=:k10e<8<:18'045=9?h0b9?=:3a8?l7203:1(9?<:04a?k26:38o76g>4e83>!26;3;=n6`;1381a>=n9=81<7*;12822g=i<881>k54o0:3>5<#<891=:h4n531>5=5<#<891=:h4n531>7=54i3c4>5<#<891>l84n531>5=6=4+40196d05<#<891>l84n531>7=54i3c1>5<#<891>l84n531>1=5<#<891=?>4n531>4=5<#<891=?>4n531>6=5<#<891=?>4n531>0=5<#<891=?>4n531>2=5<#<891=?>4n531><=5<#<891=?>4n531>g=5<#<891=?>4n531>a=5<#<891=?>4n531>c=4;h32:?7??c:l757<632c::?7??c:l757<432c:<54?:%627?77k2d?=?4;;:k242<72->:?7??c:l757<232c:<;4?:%627?77k2d?=?49;:k240<72->:?7??c:l757<032c:=;4?:%627?77k2d?=?47;:k250<72->:?7??c:l757<>32c:=94?:%627?77k2d?=?4n;:k256<72->:?7??c:l757:?7??c:l757:?7??c:l7570:9j552=83.?=>4>0b9m044=9810c<=;:18'045=9:90b9?=:198k455290/8<=51218j1752810c<=>:18'045=9:90b9?=:398k457290/8<=51218j1752:10c<10c<=k:18'045=9:90b9?=:998k45d290/8<=51218j1752010c<=m:18'045=9:90b9?=:`98k45f290/8<=51218j1752k10c<=6:18'045=9:90b9?=:b98k45?290/8<=51218j1752m10c<=8:18'045=9:90b9?=:d98k451290/8<=51218j1752o10c<=::18'045=9:90b9?=:028?j75j3:1(9?<:010?k26:3;:76g>a`83>!26;3;j56`;1383?>o6i10;6):>3;3b=>h39;0:76g>a683>!26;3;j56`;1381?>o6i?0;6):>3;3b=>h39;0876g>a483>!26;3;j56`;1387?>o6i:0;6):>3;3b=>h39;0>76g>a383>!26;3;j56`;1385?>o6i80;6):>3;3b=>h39;0<76g>a183>!26;3;j56`;138;?>o61o0;6):>3;3b=>h39;0276g>9d83>!26;3;j56`;138b?>o61m0;6):>3;3b=>h39;0i76g>9b83>!26;3;j56`;138`?>o61k0;6):>3;3b=>h39;0o76g>9`83>!26;3;j56`;138f?>o6j;0;6):>3;3b=>h39;0m76g>b083>!26;3;j56`;13824>=n9k:1<7*;1282e<=i<881=<54i0ce>5<#<891=l74n531>44<3`;ji7>5$530>4g>3g>:>7?<;:k2ea<72->:?7?n9:l757<6<21b=lm50;&756<6i01e8<<51498m4ge290/8<=51`;8j17528<07d?n4;29 17428k27c:>2;34?>o6100;6):>3;3b=>h39;0:465`3`794?"39:08m95a40094>=h;h91<7*;1280e1=i<881=65`3`094?"39:08m95a40096>=h;h;1<7*;1280e1=i<881?65`3`294?"39:08m95a40090>=h;0l1<7*;1280e1=i<881965`38g94?"39:08m95a40092>=h;0n1<7*;1280e1=i<881;65`38`94?"39:08m95a4009<>=h;0k1<7*;1280e1=i<881565`38;94?"39:08m95a4009e>=h;021<7*;1280e1=i<881n65`38594?"39:08m95a4009g>=h;0<1<7*;1280e1=i<881h65`38794?"39:08m95a4009a>=h;0>1<7*;1280e1=i<881j65`38194?"39:08m95a400955=o;;o626?7532e84k4?:%627?5f<2d?=?4>3:9l7=c=83.?=>46k:18'045=;h>0b9?=:078?j5?k3:1(9?<:2c7?k26:3;=76a<8c83>!26;39j86`;13823>=h;1k1<7*;1280e1=i<881=554o2::>5<#<891?l:4n531>4?<3f9347>5$530>6g33g>:>7?n;:m0<2<72->:?7=n4:l757<6j21d?5;50;&756<4i=1e8<<51b98k6>3290/8<=53`68j17528n07b=73;29 1742:k?7c:>2;3f?>i40;0;6):>3;1b0>h39;0:j65`39394?"39:08m95a400965=o;;o626?4532e8;h4?:%627?5f<2d?=?4=3:9l72b=83.?=>49l:18'045=;h>0b9?=:378?j5fj3:1(9?<:2c7?k26:38=76a!26;39j86`;13813>=h;h31<7*;1280e1=i<881>554o2c;>5<#<891?l:4n531>7?<3f9j;7>5$530>6g33g>:>7:?7=n4:l757<5j21d?4m50;&756<4i=1e8<<52b98k6?6290/8<=53`68j1752;n07b=76;29 1742:k?7c:>2;0f?>i4?k0;6):>3;1b0>h39;09j65fa583>!26;3k87c:>2;28?lg5290/8<=5a29m044=921bm<4?:%627?g43g>:>7<4;hc3>5<#<891m>5a40097>=n1o0;6):>3;c0?k26:3>07d7j:18'045=i:1e8<<55:9j=a<72->:?7o<;o626?0<3`3h6=4+4019e6=i<881;65f9c83>!26;3k87c:>2;:8?l?f290/8<=5a29m044=121b554?:%627?g43g>:>7o4;h;4>5<#<891m>5a4009f>=n1?0;6):>3;c0?k26:3i07d7::18'045=i:1e8<<5d:9j=1<72->:?7o<;o626?c<3`386=4+4019e6=i<881j65f9383>!26;3k87c:>2;33?>o>93:1(9?<:`18j17528;07d7?:18'045=i:1e8<<51398m=`=83.?=>4n3:l757<6;21bmn4?:%627?g43g>:>7?;;:kbf?6=,=;86l=4n531>43<3`kj6=4+4019e6=i<881=;54i`;94?"39:0j?6`;13823>=ni10;6):>3;c0?k26:3;376gn7;29 1742h90b9?=:0;8?lg1290/8<=5a29m044=9h10el;50;&756b:9j=<<72->:?7o<;o626?7d32c3i7>5$530>d5n;o626?6<3f>;57>5$530>16f3g>:>7?4;n63n;o626?4<3f>;;7>5$530>16f3g>:>7=4;n632?6=,=;869>n;o626?2<3f>;97>5$530>16f3g>:>7;4;n630?6=,=;869>n;o626?0<3f>;?7>5$530>16f3g>:>794;n635?6=,=;869>n;o626?><3f>;<7>5$530>16f3g>:>774;n1eb?6=,=;869>n;o626?g<3f9mi7>5$530>16f3g>:>7l4;n1e`?6=,=;869>n;o626?e<3f9mo7>5$530>16f3g>:>7j4;n1ef?6=,=;869>n;o626?c<3f9mm7>5$530>16f3g>:>7h4;n1e=?6=,=;869>n;o626?7732e8j54?:%627?27i2d?=?4>1:9l7c0=83.?=>4;0`9m044=9;10c>h::18'045=<9k0b9?=:018?j5a<3:1(9?<:52b?k26:3;?76a!26;3>;m6`;13821>=h;o81<7*;12874d=i<881=;54o2d2>5<#<8918=o4n531>41<3f9m<7>5$530>16f3g>:>7?7;:m0ac<72->:?7:?a:l757<6121d?hk50;&756<38h1e8<<51`98k6cc290/8<=541c8j17528h07b=jb;29 1742=:j7c:>2;3`?>i4mh0;6):>3;63e>h39;0:h65`3d;94?"39:0?n;o626?4732e8i;4?:%627?27i2d?=?4=1:9l7`3=83.?=>4;0`9m044=:;10c>k;:18'045=<9k0b9?=:318?j5b;3:1(9?<:52b?k26:38?76a!26;3>;m6`;13811>=h<8;1<7*;12874d=i<881>;54o533>5<#<8918=o4n531>71<3f>;j7>5$530>16f3g>:>7<7;:m74`<72->:?7:?a:l757<5121d8=j50;&756<38h1e8<<52`98k16d290/8<=541c8j1752;h07b:?2;29 1742=:j7c:>2;0`?>i4n>0;6):>3;63e>h39;09h65`3da94?"39:0?5<#<891o>5a40094>=nk;0;6):>3;a0?k26:3;07dm>:18'045=k:1e8<<52:9jg5<72->:?7m<;o626?5<3`hm6=4+4019g6=i<881865fbd83>!26;3i87c:>2;78?ldc290/8<=5c29m044=>21bnn4?:%627?e43g>:>794;h`a>5<#<891o>5a4009<>=njh0;6):>3;a0?k26:3307dl7:18'045=k:1e8<<5a:9jf2<72->:?7m<;o626?d<3`h=6=4+4019g6=i<881o65fb483>!26;3i87c:>2;f8?ld3290/8<=5c29m044=m21bn>4?:%627?e43g>:>7h4;h`1>5<#<891o>5a400955=h39;0:=65fb183>!26;3i87c:>2;31?>ofn3:1(9?<:b18j17528907dml:18'045=k:1e8<<51598mfd=83.?=>4l3:l757<6=21bol4?:%627?e43g>:>7?9;:k`=?6=,=;86n=4n531>41<3`i36=4+4019g6=i<881=554ib594?"39:0h?6`;1382=>=nk?0;6):>3;a0?k26:3;j76gl5;29 1742j90b9?=:0`8?ld>290/8<=5c29m044=9j10elk50;&756d:9j52g=83.?=>4>789m044=821b=:650;&756<6?01e8<<51:9j521=83.?=>4>789m044=:21vn8=n:182e4<729q/8?k52958L15c3A>8=6T>3g824~2c2>21;:473;5`>1g=9=0<:79=:0390<<083;;69;57487=1=lk8;ocg>4=inh0:7c??0;28j466281/==<537c8 4642;=m7c?>7;38j45b281/=9>526d8j411281e=:l51:l2=3<63g83:7?4n3c;>4=#:k91>464n3`7>4=i;><1<6*o;4$517>60>3->897=9d:m0e`<722c?=h4?::k2<3<722c:494?::m1f2<722c:454?::k767<722c9n;4?::k2<2<722c?=k4?::k2=4?::k2<0<722c:4>4?::k2=7<722c:4?4?::k75a<722e8;54?::k24?::k764<722c:4i4?::k766<722en?7>5$530>`46`;1382?>ib83:1(9?<:d08j1752;10cih50;&7561=h39;0>76akc;29 1742l80b9?=:798kad=83.?=>4j2:l757<032eom7>5$530>`46`;138:?>ic?3:1(9?<:d08j1752h10ci850;&756f=1<7*;128f6>h39;0o76ak3;29 1742l80b9?=:d98ka4=83.?=>4j2:l7575$530>`44;nf3>5<#<891i?5a400954=h39;0:>65`cd83>!26;3o97c:>2;30?>ibj3:1(9?<:d08j17528>07bkn:18'045=m;1e8<<51498k`?=83.?=>4j2:l757<6>21di54?:%627?c53g>:>7?8;:mf3?6=,=;86h<4n531>4><3fo=6=4+4019a7=i<881=454od794?"39:0n>6`;1382e>=hm=0;6):>3;g1?k26:3;i76ak8;29 1742l80b9?=:0a8?jec290/8<=5e39m044=9m10e>9::18'045=;>>0b9?=:198m614290/8<=53668j1752810e>9=:18'045=;>>0b9?=:398m616290/8<=53668j1752:10e>9?:18'045=;>>0b9?=:598m60a290/8<=53668j1752<10e>8j:18'045=;>>0b9?=:798m6bf290/8<=53e;8j1752910e>j7:18'045=;m30b9?=:098m6b0290/8<=53e;8j1752;10e>j9:18'045=;m30b9?=:298m6b2290/8<=53e;8j1752=10e>j;:18'045=;m30b9?=:498m6b4290/8<=53e;8j1752?10e>j=:18'045=;m30b9?=:698m6b7290/8<=53e;8j1752110e>mi:18'045=;m30b9?=:898m6eb290/8<=53e;8j1752h10e>mk:18'045=;m30b9?=:c98m6ed290/8<=53e;8j1752j10e>mm:18'045=;m30b9?=:e98m6ef290/8<=53e;8j1752l10e>m6:18'045=;m30b9?=:g98m6e?290/8<=53e;8j17528:07d=l7;29 1742:n27c:>2;32?>o4k<0;6):>3;1g=>h39;0:>65f3b694?"39:08h45a400956=j6;o626?7232c8o<4?:%627?5c12d?=?4>6:9j7f6=83.?=>410e>li:18'045=;m30b9?=:0:8?l5em3:1(9?<:2f:?k26:3;276g!26;39o56`;1382e>=n;ki1<7*;1280`<=i<881=o54i2`b>5<#<891?i74n531>4e<3`9i57>5$530>6b>3g>:>7?k;:k0f=<72->:?7=k9:l757<6m21b?o950;&756<4l01e8<<51g98m6d1290/8<=53e;8j1752;:07d=m5;29 1742:n27c:>2;02?>o4j=0;6):>3;1g=>h39;09>65f3c194?"39:08h45a400966=j6;o626?4232c8i=4?:%627?5c12d?=?4=6:9j7a`=83.?=>410e>jj:18'045=;m30b9?=:3:8?l5cl3:1(9?<:2f:?k26:38276g!26;39o56`;1381e>=n;mh1<7*;1280`<=i<881>o54i2f2>5<#<891?i74n531>7e<3`9h:7>5$530>6b>3g>:>7:?7=k9:l757<5m21b?o>50;&756<4l01e8<<52g98mc`=83.?=>4ie:l757<732cmh7>5$530>ccoaj3:1(9?<:gg8j1752:10e<8l:18'045=9?h0b9?=:198m40f290/8<=517`8j1752810e<86:18'045=9?h0b9?=:398m40?290/8<=517`8j1752:10e<88:18'045=9?h0b9?=:598m401290/8<=517`8j1752<10e<8::18'045=9?h0b9?=:798m403290/8<=517`8j1752>10e<8=:18'045=9?h0b9?=:998m406290/8<=517`8j1752010e<8?:18'045=9?h0b9?=:`98m43a290/8<=517`8j1752k10e<;j:18'045=9?h0b9?=:b98m43c290/8<=517`8j1752m10e<;l:18'045=9?h0b9?=:d98m43e290/8<=517`8j1752o10e<;n:18'045=9?h0b9?=:028?l7213:1(9?<:04a?k26:3;:76g>5683>!26;3;=n6`;13826>=n9<<1<7*;12822g=i<881=>54i076>5<#<891=;l4n531>42<3`;>87>5$530>40e3g>:>7?:;:k216<72->:?7?9b:l757<6>21b=8<50;&756<6>k1e8<<51698m436290/8<=517`8j17528207d?:0;29 174282;3:?>o63;35f>h39;0:m65f15g94?"39:0::o5a40095g=h6=4+401953de:9j51?=83.?=>4>6c9m044=9o10e<:7:18'045=9?h0b9?=:328?l73?3:1(9?<:04a?k26:38:76g>4783>!26;3;=n6`;13816>=n9=?1<7*;12822g=i<881>>54i067>5<#<891=;l4n531>72<3`;??7>5$530>40e3g>:>7<:;:k237<72->:?7?9b:l757<5>21b=:?50;&756<6>k1e8<<52698m417290/8<=517`8j1752;207d?9f;29 174282;0:?>o6>l0;6):>3;35f>h39;09m65f17f94?"39:0::o5a40096g=4>6c9m044=:o10c<6?:18'045=9>l0b9?=:198k41b290/8<=516d8j1752810c<9k:18'045=9>l0b9?=:398k41d290/8<=516d8j1752:10e?o8:18'045=:h<0b9?=:198m7g2290/8<=52`48j1752810e?o;:18'045=:h<0b9?=:398m7g4290/8<=52`48j1752:10e?o=:18'045=:h<0b9?=:598m446290/8<=51328j1752910e8;29 174288;7c:>2;32?>o68m0;6):>3;33g>h39;0;76g>0c83>!26;3;;o6`;1382?>o68h0;6):>3;33g>h39;0976g>0883>!26;3;;o6`;1380?>o6810;6):>3;33g>h39;0?76g>0683>!26;3;;o6`;1386?>o68?0;6):>3;33g>h39;0=76g>0483>!26;3;;o6`;1384?>o69?0;6):>3;33g>h39;0376g>1483>!26;3;;o6`;138:?>o69=0;6):>3;33g>h39;0j76g>1283>!26;3;;o6`;138a?>o69;0;6):>3;33g>h39;0h76g>1083>!26;3;;o6`;138g?>o6990;6):>3;33g>h39;0n76g>0g83>!26;3;;o6`;138e?>o68l0;6):>3;33g>h39;0:<65f11694?"39:0:5<#<891=>=4n531>4=5<#<891=>=4n531>6=5<#<891=>=4n531>0=5<#<891=>=4n531>2=5<#<891=>=4n531><=5<#<891=>=4n531>g=5<#<891=>=4n531>a=5<#<891=>=4n531>c=6=4+40195654;n31f?6=,=;86<=<;o626?7632c:ml4?:%627?7f12d?=?4?;:k2e=<72->:?7?n9:l757<632c:m:4?:%627?7f12d?=?4=;:k2e3<72->:?7?n9:l757<432c:m84?:%627?7f12d?=?4;;:k2e6<72->:?7?n9:l757<232c:m?4?:%627?7f12d?=?49;:k2e4<72->:?7?n9:l757<032c:m=4?:%627?7f12d?=?47;:k2=c<72->:?7?n9:l757<>32c:5h4?:%627?7f12d?=?4n;:k2=a<72->:?7?n9:l757:?7?n9:l757:?7?n9:l7570:9j5g6=83.?=>4>a89m044=9810eae83>!26;3;j56`;13820>=n9hi1<7*;1282e<=i<881=854i0ca>5<#<891=l74n531>40<3`;j87>5$530>4g>3g>:>7?8;:k2=<<72->:?7?n9:l757<6021d?l;50;&756<4i=1e8<<50:9l7d5=83.?=>4450;&756<4i=1e8<<54:9l7<`=83.?=>444=83.?=>4442;31?>i40o0;6):>3;1b0>h39;0:?65`39g94?"39:08m95a400951=o;;o626?7132e84o4?:%627?5f<2d?=?4>7:9l7=g=83.?=>466:18'045=;h>0b9?=:0;8?j5?03:1(9?<:2c7?k26:3;j76a<8683>!26;39j86`;1382f>=h;1?1<7*;1280e1=i<881=n54o2:7>5<#<891?l:4n531>4b<3f93?7>5$530>6g33g>:>7?j;:m0<7<72->:?7=n4:l757<6n21d?5?50;&756<4i=1e8<<52198k6>7290/8<=53`68j1752;;07b=8f;29 1742:k?7c:>2;01?>i4?l0;6):>3;1b0>h39;09?65`36f94?"39:08m95a400961=o;;o626?4132e8ml4?:%627?5f<2d?=?4=7:9l7d?=83.?=>4o7:18'045=;h>0b9?=:3;8?j5f?3:1(9?<:2c7?k26:38j76a!26;39j86`;1381f>=h;0i1<7*;1280e1=i<881>n54o2;2>5<#<891?l:4n531>7b<3f93:7>5$530>6g33g>:>7:?7=n4:l757<5n21bm94?:%627?g43g>:>7>4;hc1>5<#<891m>5a40095>=ni80;6):>3;c0?k26:3807do?:18'045=i:1e8<<53:9j=c<72->:?7o<;o626?2<3`3n6=4+4019e6=i<881965f9e83>!26;3k87c:>2;48?l?d290/8<=5a29m044=?21b5o4?:%627?g43g>:>764;h;b>5<#<891m>5a4009=>=n110;6):>3;c0?k26:3k07d78:18'045=i:1e8<<5b:9j=3<72->:?7o<;o626?e<3`3>6=4+4019e6=i<881h65f9583>!26;3k87c:>2;g8?l?4290/8<=5a29m044=n21b5?4?:%627?g43g>:>7??;:k:5?6=,=;86l=4n531>47<3`3;6=4+4019e6=i<881=?54i9d94?"39:0j?6`;13827>=nij0;6):>3;c0?k26:3;?76gnb;29 1742h90b9?=:078?lgf290/8<=5a29m044=9?10el750;&7567:9je=<72->:?7o<;o626?7?32cj;7>5$530>d55<#<891m>5a40095d=h39;0:n65f9883>!26;3k87c:>2;3`?>o?m3:1(9?<:`18j17528n07b:?b;29 1742=:j7c:>2;28?j2713:1(9?<:52b?k26:3;07b:?8;29 1742=:j7c:>2;08?j27?3:1(9?<:52b?k26:3907b:?6;29 1742=:j7c:>2;68?j27=3:1(9?<:52b?k26:3?07b:?4;29 1742=:j7c:>2;48?j27;3:1(9?<:52b?k26:3=07b:?1;29 1742=:j7c:>2;:8?j2783:1(9?<:52b?k26:3307b=if;29 1742=:j7c:>2;c8?j5am3:1(9?<:52b?k26:3h07b=id;29 1742=:j7c:>2;a8?j5ak3:1(9?<:52b?k26:3n07b=ib;29 1742=:j7c:>2;g8?j5ai3:1(9?<:52b?k26:3l07b=i9;29 1742=:j7c:>2;33?>i4n10;6):>3;63e>h39;0:=65`3g494?"39:0?6=4+401905gn;o626?7332e8j>4?:%627?27i2d?=?4>5:9l7c4=83.?=>4;0`9m044=9?10c>h>:18'045=<9k0b9?=:058?j5a83:1(9?<:52b?k26:3;376a!26;3>;m6`;1382=>=h;lo1<7*;12874d=i<881=l54o2gg>5<#<8918=o4n531>4d<3f9nn7>5$530>16f3g>:>7?l;:m0ad<72->:?7:?a:l757<6l21d?h750;&756<38h1e8<<51d98k6c?290/8<=541c8j17528l07b=j7;29 1742=:j7c:>2;03?>i4m?0;6):>3;63e>h39;09=65`3d794?"39:0?n;o626?4332e8i?4?:%627?27i2d?=?4=5:9l047=83.?=>4;0`9m044=:?10c9??:18'045=<9k0b9?=:358?j27n3:1(9?<:52b?k26:38376a;0d83>!26;3>;m6`;1381=>=h<9n1<7*;12874d=i<881>l54o52`>5<#<8918=o4n531>7d<3f>;>7>5$530>16f3g>:>7:?7:?a:l757<5l21d?hm50;&756<38h1e8<<52d98k6c6290/8<=541c8j1752;l07dm;:18'045=k:1e8<<50:9jg7<72->:?7m<;o626?7<3`i:6=4+4019g6=i<881>65fc183>!26;3i87c:>2;18?lda290/8<=5c29m044=<21bnh4?:%627?e43g>:>7;4;h`g>5<#<891o>5a40092>=njj0;6):>3;a0?k26:3=07dlm:18'045=k:1e8<<58:9jfd<72->:?7m<;o626??<3`h36=4+4019g6=i<881m65fb683>!26;3i87c:>2;`8?ld1290/8<=5c29m044=k21bn84?:%627?e43g>:>7j4;h`7>5<#<891o>5a4009a>=nj:0;6):>3;a0?k26:3l07dl=:18'045=k:1e8<<51198mg7=83.?=>4l3:l757<6921bn=4?:%627?e43g>:>7?=;:kbb?6=,=;86n=4n531>45<3`ih6=4+4019g6=i<881=954ib`94?"39:0h?6`;13821>=nkh0;6):>3;a0?k26:3;=76gl9;29 1742j90b9?=:058?le?290/8<=5c29m044=9110en950;&7569:9jg3<72->:?7m<;o626?7f32ch97>5$530>f55<#<891o>5a40095f=h39;0:h65f16c94?"39:0:;45a40094>=n9>21<7*;12823<=i<881=65f16594?"39:0:;45a40096>=zj<9i6=4>a083>5}#<;o1>594H51g?M2492P:?k4>0z6g>2>=?>03?79l:5c951<0>3=964n022>4=#9981?;o4$020>71a3g;:;7?4n01f>4=#9=:1>:h4n055>4=i9>h1=6`>9782?k4?>3;0b?o7:09'6g5=:020b?l;:09m720=82.8mi4:;o621?7<,=8=6?l<;%613?5fl2.???4;2e9'065=:k?0(9=;:24:?!24=39=h6a>o39l0;66g>8783>>o60=0;66a=b683>>o6010;66g;2383>>o5j?0;66g>8683>>o39o0;66g>8g83>>i6?=0;66g;1`83>>o6000;66a;2g83>>i4io0;66g;1b83>>o39k0;66g>8c83>>o3:90;66g>8483>>o60:0;66g>9383>>o60;0;66g;1e83>>i4?10;66g>8`83>>o60l0;66g>7483>>o6?:0;66g;2083>>o60m0;66g;2283>>ib;3:1(9?<:d08j1752910ch?50;&756;:mf4?6=,=;86h<4n531>7=h39;0876ake;29 1742l80b9?=:598kab=83.?=>4j2:l757<232eoo7>5$530>`46`;1384?>ici3:1(9?<:d08j1752110ci750;&756d=h39;0i76ak5;29 1742l80b9?=:b98ka2=83.?=>4j2:l7575$530>`46`;138e?>ic93:1(9?<:d08j17528:07bj?:18'045=m;1e8<<51098kf`=83.?=>4j2:l757<6:21doh4?:%627?c53g>:>7?<;:mff?6=,=;86h<4n531>42<3foj6=4+4019a7=i<881=854od;94?"39:0n>6`;13822>=hm10;6):>3;g1?k26:3;<76aj7;29 1742l80b9?=:0:8?jc1290/8<=5e39m044=9010ch;50;&756a:9la1<72->:?7k=;o626?7e32eo47>5$530>`45<#<891i?5a40095a=6=4+40197225<#<891?::4n531>4=5<#<891?::4n531>6=5<#<891?::4n531>0=5<#<891?i74n531>5=5<#<891?i74n531>7=54i2f6>5<#<891?i74n531>1=5<#<891?i74n531>3=5<#<891?i74n531>==5<#<891?i74n531>d=5<#<891?i74n531>f=5<#<891?i74n531>`=5<#<891?i74n531>46<3`9h;7>5$530>6b>3g>:>7?>;:k0g0<72->:?7=k9:l757<6:21b?n:50;&756<4l01e8<<51298m6e4290/8<=53e;8j17528>07d=l2;29 1742:n27c:>2;36?>o4k80;6):>3;1g=>h39;0::65f3b294?"39:08h45a400952=j6;o626?7>32c8ni4?:%627?5c12d?=?4>a:9j7ge=83.?=>4ln:18'045=;m30b9?=:0a8?l5e13:1(9?<:2f:?k26:3;o76g!26;39o56`;1382a>=n;k=1<7*;1280`<=i<881=k54i2`5>5<#<891?i74n531>76<3`9i97>5$530>6b>3g>:>7<>;:k0f1<72->:?7=k9:l757<5:21b?o=50;&756<4l01e8<<52298m6d5290/8<=53e;8j1752;>07d=m1;29 1742:n27c:>2;06?>o4m90;6):>3;1g=>h39;09:65f3ed94?"39:08h45a400962=j6;o626?4>32c8hn4?:%627?5c12d?=?4=a:9j7ad=83.?=>4j>:18'045=;m30b9?=:3a8?l5d>3:1(9?<:2f:?k26:38o76g!26;39o56`;1381a>=n;k:1<7*;1280`<=i<881>k54igd94?"39:0mi6`;1383?>oal3:1(9?<:gg8j1752810ekm50;&7566=5<#<891=;l4n531>4=5<#<891=;l4n531>6=5<#<891=;l4n531>0=6=4+401953d5<#<891=;l4n531>2=5<#<891=;l4n531><=5<#<891=;l4n531>g=5<#<891=;l4n531>a=5<#<891=;l4n531>c=4;h36=?6=,=;86<8m;o626?7632c:9:4?:%627?71j2d?=?4>2:9j500=83.?=>4>6c9m044=9:10e<;::18'045=9?h0b9?=:068?l72<3:1(9?<:04a?k26:3;>76g>5283>!26;3;=n6`;13822>=n9<81<7*;12822g=i<881=:54i072>5<#<891=;l4n531>4><3`;><7>5$530>40e3g>:>7?6;:k20c<72->:?7?9b:l757<6i21b=9k50;&756<6>k1e8<<51c98m42d290/8<=517`8j17528i07d?;b;29 174282;3g?>o63;35f>h39;0:i65f15;94?"39:0::o5a40095c=36=4+401953d4;h373?6=,=;86<8m;o626?4632c:8;4?:%627?71j2d?=?4=2:9j513=83.?=>4>6c9m044=::10e<:;:18'045=9?h0b9?=:368?l73;3:1(9?<:04a?k26:38>76g>7383>!26;3;=n6`;13812>=n9>;1<7*;12822g=i<881>:54i053>5<#<891=;l4n531>7><3`;=j7>5$530>40e3g>:>7<6;:k22`<72->:?7?9b:l757<5i21b=;j50;&756<6>k1e8<<52c98m404290/8<=517`8j1752;i07d?:8;29 174282;0g?>o63;35f>h39;09i65f15094?"39:0::o5a40096c=5<#<891=:h4n531>4=5<#<891=:h4n531>6=5<#<891>l84n531>4=5<#<891>l84n531>6=5<#<891=?>4n531>5=5<#<891=?>4n531>7=54i03`>5<#<891=?>4n531>1=5<#<891=?>4n531>3=5<#<891=?>4n531>==5<#<891=?>4n531>d=5<#<891=?>4n531>f=6=4+40195765<#<891=?>4n531>`=5<#<891=?>4n531>46<3`;:47>5$530>4473g>:>7?>;:k24a<72->:?7??c:l757<732c:;:k24d<72->:?7??c:l757<532c:<44?:%627?77k2d?=?4<;:k24=<72->:?7??c:l757<332c:<:4?:%627?77k2d?=?4:;:k243<72->:?7??c:l757<132c:<84?:%627?77k2d?=?48;:k253<72->:?7??c:l757:?7??c:l7574?:%627?77k2d?=?4m;:k257<72->:?7??c:l757:?7??c:l757:?7??c:l757<6821b==:50;&756<68j1e8<<51098k453290/8<=51218j1752910c<==:18'045=9:90b9?=:098k456290/8<=51218j1752;10c<=?:18'045=9:90b9?=:298k44a290/8<=51218j1752=10c<290/8<=51218j1752j10c<=7:18'045=9:90b9?=:e98k450290/8<=51218j1752l10c<=9:18'045=9:90b9?=:g98k452290/8<=51218j17528:07b?=b;29 17428987c:>2;32?>o6ih0;6):>3;3b=>h39;0;76g>a983>!26;3;j56`;1382?>o6i>0;6):>3;3b=>h39;0976g>a783>!26;3;j56`;1380?>o6i<0;6):>3;3b=>h39;0?76g>a283>!26;3;j56`;1386?>o6i;0;6):>3;3b=>h39;0=76g>a083>!26;3;j56`;1384?>o6i90;6):>3;3b=>h39;0376g>9g83>!26;3;j56`;138:?>o61l0;6):>3;3b=>h39;0j76g>9e83>!26;3;j56`;138a?>o61j0;6):>3;3b=>h39;0h76g>9c83>!26;3;j56`;138g?>o61h0;6):>3;3b=>h39;0n76g>b383>!26;3;j56`;138e?>o6j80;6):>3;3b=>h39;0:<65f1c294?"39:0:m45a400954=4:9j5de=83.?=>4>a89m044=9<10e9883>!26;3;j56`;1382<>=h;h?1<7*;1280e1=i<881<65`3`194?"39:08m95a40095>=h;h81<7*;1280e1=i<881>65`3`394?"39:08m95a40097>=h;h:1<7*;1280e1=i<881865`38d94?"39:08m95a40091>=h;0o1<7*;1280e1=i<881:65`38f94?"39:08m95a40093>=h;0h1<7*;1280e1=i<881465`38c94?"39:08m95a4009=>=h;031<7*;1280e1=i<881m65`38:94?"39:08m95a4009f>=h;0=1<7*;1280e1=i<881o65`38494?"39:08m95a4009`>=h;0?1<7*;1280e1=i<881i65`38694?"39:08m95a4009b>=h;091<7*;1280e1=i<881==54o2;1>5<#<891?l:4n531>47<3f92<7>5$530>6g33g>:>7?=;:m0:?7=n4:l757<6;21d?5k50;&756<4i=1e8<<51598k6>c290/8<=53`68j17528?07b=7c;29 1742:k?7c:>2;35?>i40k0;6):>3;1b0>h39;0:;65`39c94?"39:08m95a40095==o;;o626?7f32e84:4?:%627?5f<2d?=?4>b:9l7=3=83.?=>46;:18'045=;h>0b9?=:0f8?j5?;3:1(9?<:2c7?k26:3;n76a<8383>!26;39j86`;1382b>=h;1;1<7*;1280e1=i<881>=54o2:3>5<#<891?l:4n531>77<3f95$530>6g33g>:>7<=;:m03`<72->:?7=n4:l757<5;21d?:j50;&756<4i=1e8<<52598k61d290/8<=53`68j1752;?07b=nb;29 1742:k?7c:>2;05?>i4ih0;6):>3;1b0>h39;09;65`3`;94?"39:08m95a40096==o;;o626?4f32e8m;4?:%627?5f<2d?=?4=b:9l747>:18'045=;h>0b9?=:3f8?j5?>3:1(9?<:2c7?k26:38n76a<7c83>!26;39j86`;1381b>=ni=0;6):>3;c0?k26:3:07do=:18'045=i:1e8<<51:9je4<72->:?7o<;o626?4<3`k;6=4+4019e6=i<881?65f9g83>!26;3k87c:>2;68?l?b290/8<=5a29m044==21b5i4?:%627?g43g>:>784;h;`>5<#<891m>5a40093>=n1k0;6):>3;c0?k26:3207d7n:18'045=i:1e8<<59:9j==<72->:?7o<;o626?g<3`3<6=4+4019e6=i<881n65f9783>!26;3k87c:>2;a8?l?2290/8<=5a29m044=l21b594?:%627?g43g>:>7k4;h;0>5<#<891m>5a4009b>=n1;0;6):>3;c0?k26:3;;76g61;29 1742h90b9?=:038?l?7290/8<=5a29m044=9;10e5h50;&7563:9jef<72->:?7o<;o626?7332cjn7>5$530>d55<#<891m>5a400953=h39;0:;65fa983>!26;3k87c:>2;3;?>of?3:1(9?<:`18j17528307do9:18'045=i:1e8<<51`98md3=83.?=>4n3:l757<6j21b544?:%627?g43g>:>7?l;:k;a?6=,=;86l=4n531>4b<3f>;n7>5$530>16f3g>:>7>4;n63=?6=,=;869>n;o626?7<3f>;47>5$530>16f3g>:>7<4;n633?6=,=;869>n;o626?5<3f>;:7>5$530>16f3g>:>7:4;n631?6=,=;869>n;o626?3<3f>;87>5$530>16f3g>:>784;n637?6=,=;869>n;o626?1<3f>;=7>5$530>16f3g>:>764;n634?6=,=;869>n;o626??<3f9mj7>5$530>16f3g>:>7o4;n1ea?6=,=;869>n;o626?d<3f9mh7>5$530>16f3g>:>7m4;n1eg?6=,=;869>n;o626?b<3f9mn7>5$530>16f3g>:>7k4;n1ee?6=,=;869>n;o626?`<3f9m57>5$530>16f3g>:>7??;:m0b=<72->:?7:?a:l757<6921d?k850;&756<38h1e8<<51398k6`2290/8<=541c8j17528907b=i4;29 1742=:j7c:>2;37?>i4n:0;6):>3;63e>h39;0:965`3g094?"39:0?n;o626?7?32e8ik4?:%627?27i2d?=?4>9:9l7`c=83.?=>4;0`9m044=9h10c>kk:18'045=<9k0b9?=:0`8?j5bj3:1(9?<:52b?k26:3;h76a!26;3>;m6`;1382`>=h;l31<7*;12874d=i<881=h54o2g;>5<#<8918=o4n531>4`<3f9n;7>5$530>16f3g>:>7:?7:?a:l757<5921d?h;50;&756<38h1e8<<52398k6c3290/8<=541c8j1752;907b=j3;29 1742=:j7c:>2;07?>i4m;0;6):>3;63e>h39;09965`40394?"39:0?n;o626?4?32e?4;0`9m044=:h10c9>l:18'045=<9k0b9?=:3`8?j27:3:1(9?<:52b?k26:38h76a!26;3>;m6`;1381`>=h;li1<7*;12874d=i<881>h54o2g2>5<#<8918=o4n531>7`<3`i?6=4+4019g6=i<881<65fc383>!26;3i87c:>2;38?le6290/8<=5c29m044=:21bo=4?:%627?e43g>:>7=4;h`e>5<#<891o>5a40090>=njl0;6):>3;a0?k26:3?07dlk:18'045=k:1e8<<56:9jff<72->:?7m<;o626?1<3`hi6=4+4019g6=i<881465fb`83>!26;3i87c:>2;;8?ld?290/8<=5c29m044=i21bn:4?:%627?e43g>:>7l4;h`5>5<#<891o>5a4009g>=nj<0;6):>3;a0?k26:3n07dl;:18'045=k:1e8<<5e:9jf6<72->:?7m<;o626?`<3`h96=4+4019g6=i<881==54ic394?"39:0h?6`;13825>=nj90;6):>3;a0?k26:3;976gnf;29 1742j90b9?=:018?led290/8<=5c29m044=9=10enl50;&7565:9jgd<72->:?7m<;o626?7132ch57>5$530>f55<#<891o>5a40095==h39;0:565fc783>!26;3i87c:>2;3b?>od=3:1(9?<:b18j17528h07dl6:18'045=k:1e8<<51b98mdc=83.?=>4l3:l757<6l21b=:o50;&756<6?01e8<<50:9j52>=83.?=>4>789m044=921b=:950;&756<6?01e8<<52:9~f05d290:m<4?:1y'07c=:1=0D9=k;I605>\6;o0:13=?<0?47s+36595dc03gko6<5af`82?k7783:0b<>>:09'554=;?k0(<><:35e?k76?3;0b<=j:09'516=:>l0b<99:09m52d=92d:5;4>;o0;2?7"4im0>7c:>5;38 1412;h87):=7;1b`>"3;;0?>i5+42196g3<,=9?6>86;%601?51l2e8mh4?::k75`<722c:4;4?::k2<1<722e9n:4?::k2<=<722c?>?4?::k1f3<722c:4:4?::k75c<722c:4k4?::m231<722c?=l4?::k2<<<722e?>k4?::m0ec<722c?=n4?::k75g<722c:4o4?::k765<722c:484?::k2<6<722c:5?4?::k2<7<722c?=i4?::m03=<722c:4l4?::k2<`<722c:;84?::k236<722c?><4?::k2>4?::mf7?6=,=;86h<4n531>5=h39;0:76aj0;29 1742l80b9?=:398ka`=83.?=>4j2:l757<432eoi7>5$530>`46`;1386?>ick3:1(9?<:d08j1752?10cil50;&756==h39;0276ak7;29 1742l80b9?=:`98ka0=83.?=>4j2:l7575$530>`46`;138g?>ic;3:1(9?<:d08j1752l10ci<50;&75646<3fn;6=4+4019a7=i<881=<54obd94?"39:0n>6`;13826>=hkl0;6):>3;g1?k26:3;876ajb;29 1742l80b9?=:068?jcf290/8<=5e39m044=9<10ch750;&7566:9la=<72->:?7k=;o626?7032en;7>5$530>`45<#<891i?5a40095<=h39;0:m65`e583>!26;3o97c:>2;3a?>ic03:1(9?<:d08j17528i07bmk:18'045=m;1e8<<51e98m612290/8<=53668j1752910e>9<:18'045=;>>0b9?=:098m615290/8<=53668j1752;10e>9>:18'045=;>>0b9?=:298m617290/8<=53668j1752=10e>8i:18'045=;>>0b9?=:498m60b290/8<=53668j1752?10e>jn:18'045=;m30b9?=:198m6b?290/8<=53e;8j1752810e>j8:18'045=;m30b9?=:398m6b1290/8<=53e;8j1752:10e>j::18'045=;m30b9?=:598m6b3290/8<=53e;8j1752<10e>j<:18'045=;m30b9?=:798m6b5290/8<=53e;8j1752>10e>j?:18'045=;m30b9?=:998m6ea290/8<=53e;8j1752010e>mj:18'045=;m30b9?=:`98m6ec290/8<=53e;8j1752k10e>ml:18'045=;m30b9?=:b98m6ee290/8<=53e;8j1752m10e>mn:18'045=;m30b9?=:d98m6e>290/8<=53e;8j1752o10e>m7:18'045=;m30b9?=:028?l5d?3:1(9?<:2f:?k26:3;:76g!26;39o56`;13826>=n;j>1<7*;1280`<=i<881=>54i2a0>5<#<891?i74n531>42<3`9h>7>5$530>6b>3g>:>7?:;:k0g4<72->:?7=k9:l757<6>21b?n>50;&756<4l01e8<<51698m6da290/8<=53e;8j17528207d=me;29 1742:n27c:>2;3:?>o4jm0;6):>3;1g=>h39;0:m65f3ca94?"39:08h45a40095g=j6;o626?7c32c8n54?:%627?5c12d?=?4>e:9j7g1=83.?=>4l9:18'045=;m30b9?=:328?l5e=3:1(9?<:2f:?k26:38:76g!26;39o56`;13816>=n;k91<7*;1280`<=i<881>>54i2`1>5<#<891?i74n531>72<3`9i=7>5$530>6b>3g>:>7<:;:k0a5<72->:?7=k9:l757<5>21b?ih50;&756<4l01e8<<52698m6bb290/8<=53e;8j1752;207d=kd;29 1742:n27c:>2;0:?>o4lj0;6):>3;1g=>h39;09m65f3e`94?"39:08h45a40096g=j6;o626?4c32c8no4?:%627?5c12d?=?4=e:9j7g6=83.?=>44=h39;0976gib;29 1742oo0b9?=:298m40d290/8<=517`8j1752910e<8n:18'045=9?h0b9?=:098m40>290/8<=517`8j1752;10e<87:18'045=9?h0b9?=:298m400290/8<=517`8j1752=10e<89:18'045=9?h0b9?=:498m402290/8<=517`8j1752?10e<8;:18'045=9?h0b9?=:698m405290/8<=517`8j1752110e<8>:18'045=9?h0b9?=:898m407290/8<=517`8j1752h10e<;i:18'045=9?h0b9?=:c98m43b290/8<=517`8j1752j10e<;k:18'045=9?h0b9?=:e98m43d290/8<=517`8j1752l10e<;m:18'045=9?h0b9?=:g98m43f290/8<=517`8j17528:07d?:9;29 174282;32?>o6=>0;6):>3;35f>h39;0:>65f14494?"39:0::o5a400956=6=4+401953d4?:%627?71j2d?=?4>6:9j504=83.?=>4>6c9m044=9>10e<;>:18'045=9?h0b9?=:0:8?l7283:1(9?<:04a?k26:3;276g>4g83>!26;3;=n6`;1382e>=n9=o1<7*;12822g=i<881=o54i06`>5<#<891=;l4n531>4e<3`;?n7>5$530>40e3g>:>7?k;:k20d<72->:?7?9b:l757<6m21b=9750;&756<6>k1e8<<51g98m42?290/8<=517`8j1752;:07d?;7;29 174282;02?>o63;35f>h39;09>65f15794?"39:0::o5a400966=?6=4+401953d4>6c9m044=:>10e<9?:18'045=9?h0b9?=:3:8?l71n3:1(9?<:04a?k26:38276g>6d83>!26;3;=n6`;1381e>=n9?n1<7*;12822g=i<881>o54i040>5<#<891=;l4n531>7e<3`;>47>5$530>40e3g>:>7:?7?9b:l757<5m21b=9<50;&756<6>k1e8<<52g98k4>7290/8<=516d8j1752910c<9j:18'045=9>l0b9?=:098k41c290/8<=516d8j1752;10c<9l:18'045=9>l0b9?=:298m7g0290/8<=52`48j1752910e?o::18'045=:h<0b9?=:098m7g3290/8<=52`48j1752;10e?o<:18'045=:h<0b9?=:298m7g5290/8<=52`48j1752=10e<<>:18'045=9;:0b9?=:198m47a290/8<=51328j1752810e290/8<=51328j1752>10e<290/8<=51328j1752010e<<7:18'045=9;:0b9?=:`98m440290/8<=51328j1752k10e<<9:18'045=9;:0b9?=:b98m442290/8<=51328j1752m10e<<;:18'045=9;:0b9?=:d98m444290/8<=51328j1752o10e<<=:18'045=9;:0b9?=:028?l7603:1(9?<:003?k26:3;:76g>0e83>!26;3;;o6`;1383?>o68k0;6):>3;33g>h39;0:76g>0`83>!26;3;;o6`;1381?>o6800;6):>3;33g>h39;0876g>0983>!26;3;;o6`;1387?>o68>0;6):>3;33g>h39;0>76g>0783>!26;3;;o6`;1385?>o68<0;6):>3;33g>h39;0<76g>1783>!26;3;;o6`;138;?>o69<0;6):>3;33g>h39;0276g>1583>!26;3;;o6`;138b?>o69:0;6):>3;33g>h39;0i76g>1383>!26;3;;o6`;138`?>o6980;6):>3;33g>h39;0o76g>1183>!26;3;;o6`;138f?>o68o0;6):>3;33g>h39;0m76g>0d83>!26;3;;o6`;13824>=n99>1<7*;12824f=i<881=<54o017>5<#<891=>=4n531>5=5<#<891=>=4n531>7=54o00e>5<#<891=>=4n531>1=5<#<891=>=4n531>3=5<#<891=>=4n531>==5<#<891=>=4n531>d=5<#<891=>=4n531>f=5<#<891=>=4n531>`=5<#<891=>=4n531>46<3f;9n7>5$530>4543g>:>7?>;:k2ed<72->:?7?n9:l757<732c:m54?:%627?7f12d?=?4>;:k2e2<72->:?7?n9:l757<532c:m;4?:%627?7f12d?=?4<;:k2e0<72->:?7?n9:l757<332c:m>4?:%627?7f12d?=?4:;:k2e7<72->:?7?n9:l757<132c:m<4?:%627?7f12d?=?48;:k2e5<72->:?7?n9:l757:?7?n9:l757:?7?n9:l757:?7?n9:l757:?7?n9:l757<6821b=o>50;&756<6i01e8<<51098m4ga290/8<=51`;8j17528807d?ne;29 17428k27c:>2;30?>o6im0;6):>3;3b=>h39;0:865f1`a94?"39:0:m45a400950=8:9l7d3=83.?=>444421d?4j50;&756<4i=1e8<<57:9l7444447=:18'045=;h>0b9?=:038?j5>83:1(9?<:2c7?k26:3;976a<8g83>!26;39j86`;13827>=h;1o1<7*;1280e1=i<881=954o2:g>5<#<891?l:4n531>43<3f93o7>5$530>6g33g>:>7?9;:m0:?7=n4:l757<6?21d?5o50;&756<4i=1e8<<51998k6>>290/8<=53`68j17528307b=78;29 1742:k?7c:>2;3b?>i40>0;6):>3;1b0>h39;0:n65`39794?"39:08m95a40095f=o;;o626?7b32e84?4?:%627?5f<2d?=?4>f:9l7=7=83.?=>46?:18'045=;h>0b9?=:338?j50n3:1(9?<:2c7?k26:38976a<7d83>!26;39j86`;13817>=h;>n1<7*;1280e1=i<881>954o25`>5<#<891?l:4n531>73<3f9jn7>5$530>6g33g>:>7<9;:m0ed<72->:?7=n4:l757<5?21d?l750;&756<4i=1e8<<52998k6g?290/8<=53`68j1752;307b=n7;29 1742:k?7c:>2;0b?>i4i?0;6):>3;1b0>h39;09n65`38a94?"39:08m95a40096f=o;;o626?4b32e8;o4?:%627?5f<2d?=?4=f:9je1<72->:?7o<;o626?6<3`k96=4+4019e6=i<881=65fa083>!26;3k87c:>2;08?lg7290/8<=5a29m044=;21b5k4?:%627?g43g>:>7:4;h;f>5<#<891m>5a40091>=n1m0;6):>3;c0?k26:3<07d7l:18'045=i:1e8<<57:9j=g<72->:?7o<;o626?><3`3j6=4+4019e6=i<881565f9983>!26;3k87c:>2;c8?l?0290/8<=5a29m044=j21b5;4?:%627?g43g>:>7m4;h;6>5<#<891m>5a4009`>=n1=0;6):>3;c0?k26:3o07d7<:18'045=i:1e8<<5f:9j=7<72->:?7o<;o626?7732c2=7>5$530>d55<#<891m>5a400957=h39;0:?65fab83>!26;3k87c:>2;37?>ofj3:1(9?<:`18j17528?07don:18'045=i:1e8<<51798md?=83.?=>4n3:l757<6?21bm54?:%627?g43g>:>7?7;:kb3?6=,=;86l=4n531>4?<3`k=6=4+4019e6=i<881=l54i`794?"39:0j?6`;1382f>=n100;6):>3;c0?k26:3;h76g7e;29 1742h90b9?=:0f8?j27j3:1(9?<:52b?k26:3:07b:?9;29 1742=:j7c:>2;38?j2703:1(9?<:52b?k26:3807b:?7;29 1742=:j7c:>2;18?j27>3:1(9?<:52b?k26:3>07b:?5;29 1742=:j7c:>2;78?j27<3:1(9?<:52b?k26:3<07b:?3;29 1742=:j7c:>2;58?j2793:1(9?<:52b?k26:3207b:?0;29 1742=:j7c:>2;;8?j5an3:1(9?<:52b?k26:3k07b=ie;29 1742=:j7c:>2;`8?j5al3:1(9?<:52b?k26:3i07b=ic;29 1742=:j7c:>2;f8?j5aj3:1(9?<:52b?k26:3o07b=ia;29 1742=:j7c:>2;d8?j5a13:1(9?<:52b?k26:3;;76a!26;3>;m6`;13825>=h;o<1<7*;12874d=i<881=?54o2d6>5<#<8918=o4n531>45<3f9m87>5$530>16f3g>:>7?;;:m0b6<72->:?7:?a:l757<6=21d?k<50;&756<38h1e8<<51798k6`6290/8<=541c8j17528=07b=i0;29 1742=:j7c:>2;3;?>i4mo0;6):>3;63e>h39;0:565`3dg94?"39:0?n;o626?7d32e8il4?:%627?27i2d?=?4>d:9l7`?=83.?=>4;0`9m044=9l10c>k7:18'045=<9k0b9?=:0d8?j5b?3:1(9?<:52b?k26:38;76a!26;3>;m6`;13815>=h;l?1<7*;12874d=i<881>?54o2g7>5<#<8918=o4n531>75<3f9n?7>5$530>16f3g>:>7<;;:m0a7<72->:?7:?a:l757<5=21d82;0;?>i38l0;6):>3;63e>h39;09565`41f94?"39:0?n;o626?4d32e8j:4?:%627?27i2d?=?4=d:9l7`e=83.?=>4;0`9m044=:l10c>k>:18'045=<9k0b9?=:3d8?le3290/8<=5c29m044=821bo?4?:%627?e43g>:>7?4;ha2>5<#<891o>5a40096>=nk90;6):>3;a0?k26:3907dli:18'045=k:1e8<<54:9jf`<72->:?7m<;o626?3<3`ho6=4+4019g6=i<881:65fbb83>!26;3i87c:>2;58?lde290/8<=5c29m044=021bnl4?:%627?e43g>:>774;h`;>5<#<891o>5a4009e>=nj>0;6):>3;a0?k26:3h07dl9:18'045=k:1e8<<5c:9jf0<72->:?7m<;o626?b<3`h?6=4+4019g6=i<881i65fb283>!26;3i87c:>2;d8?ld5290/8<=5c29m044=9910eo?50;&7561:9jf5<72->:?7m<;o626?7532cjj7>5$530>f55<#<891o>5a400951=h39;0:965fc`83>!26;3i87c:>2;35?>od13:1(9?<:b18j17528=07dm7:18'045=k:1e8<<51998mf1=83.?=>4l3:l757<6121bo;4?:%627?e43g>:>7?n;:k`1?6=,=;86n=4n531>4d<3`h26=4+4019g6=i<881=n54i`g94?"39:0h?6`;1382`>=n9>k1<7*;12823<=i<881<65f16:94?"39:0:;45a40095>=n9>=1<7*;12823<=i<881>65rb41g>5<6i80;6=u+43g96=1<@=9o7E:<1:X27c<68r>o6:65768;7?1d2=k1=9486;51>47=<00<<7??:57930<303w/?:951`g4?kgc281ejl4>;o334?6h61?0:7c<76;38j7g?281/>o=528:8j7d3281e?:850:&0ea<23g>:97?4$505>7d43->9;7=nd:&777<3:m1/8>=52c78 1532:<27):<5;15`>i4il0;66g;1d83>>o60?0;66g>8583>>i5j>0;66g>8983>>o3:;0;66g=b783>>o60>0;66g;1g83>>o60o0;66a>7583>>o39h0;66g>8883>>i3:o0;66a>o39j0;66g;1c83>>o60k0;66g;2183>>o60<0;66g>8283>>o61;0;66g>8383>>o39m0;66a<7983>>o60h0;66g>8d83>>o6?<0;66g>7283>>o3:80;66g>8e83>>o3::0;66aj3;29 1742l80b9?=:198k`7=83.?=>4j2:l757<632en<7>5$530>`46`;1380?>icm3:1(9?<:d08j1752=10cij50;&7563=h39;0<76aka;29 1742l80b9?=:998ka?=83.?=>4j2:l757<>32eo;7>5$530>`46`;138a?>ic=3:1(9?<:d08j1752j10ci:50;&756`=h39;0m76ak1;29 1742l80b9?=:028?jb7290/8<=5e39m044=9810cnh50;&7562:9lg`<72->:?7k=;o626?7432enn7>5$530>`45<#<891i?5a400950=h39;0::65`e983>!26;3o97c:>2;34?>ib?3:1(9?<:d08j17528207bk9:18'045=m;1e8<<51898k`3=83.?=>4j2:l757<6i21di94?:%627?c53g>:>7?m;:mg4e<3fio6=4+4019a7=i<881=i54i256>5<#<891?::4n531>5=5<#<891?::4n531>7=54i253>5<#<891?::4n531>1=5<#<891?::4n531>3=5<#<891?i74n531>4=5<#<891?i74n531>6=6=4+40197a?5<#<891?i74n531>0=5<#<891?i74n531>2=5<#<891?i74n531><=5<#<891?i74n531>g=5<#<891?i74n531>a=5<#<891?i74n531>c=4;h1`3?6=,=;86>j6;o626?7632c8o84?:%627?5c12d?=?4>2:9j7f2=83.?=>4m<:18'045=;m30b9?=:068?l5d:3:1(9?<:2f:?k26:3;>76g!26;39o56`;13822>=n;j:1<7*;1280`<=i<881=:54i2`e>5<#<891?i74n531>4><3`9ii7>5$530>6b>3g>:>7?6;:k0fa<72->:?7=k9:l757<6i21b?om50;&756<4l01e8<<51c98m6df290/8<=53e;8j17528i07d=m9;29 1742:n27c:>2;3g?>o4j10;6):>3;1g=>h39;0:i65f3c594?"39:08h45a40095c=4;h1a1?6=,=;86>j6;o626?4632c8n94?:%627?5c12d?=?4=2:9j7g5=83.?=>4l=:18'045=;m30b9?=:368?l5e93:1(9?<:2f:?k26:38>76g!26;39o56`;13812>=n;ml1<7*;1280`<=i<881>:54i2ff>5<#<891?i74n531>7><3`9oh7>5$530>6b>3g>:>7<6;:k0`f<72->:?7=k9:l757<5i21b?il50;&756<4l01e8<<52c98m6b6290/8<=53e;8j1752;i07d=l6;29 1742:n27c:>2;0g?>o4jk0;6):>3;1g=>h39;09i65f3c294?"39:08h45a40096c=h39;0;76gid;29 1742oo0b9?=:098mce=83.?=>4ie:l757<532cmn7>5$530>cc54i04`>5<#<891=;l4n531>5=5<#<891=;l4n531>7=54i044>5<#<891=;l4n531>1=5<#<891=;l4n531>3=5<#<891=;l4n531>==5<#<891=;l4n531>d=5<#<891=;l4n531>f=5<#<891=;l4n531>`=5<#<891=;l4n531>46<3`;>57>5$530>40e3g>:>7?>;:k212<72->:?7?9b:l757<6:21b=8850;&756<6>k1e8<<51298m432290/8<=517`8j17528>07d?:4;29 174282;36?>o6=:0;6):>3;35f>h39;0::65f14094?"39:0::o5a400952=32c:8k4?:%627?71j2d?=?4>a:9j51c=83.?=>4>6c9m044=9k10e<:l:18'045=9?h0b9?=:0a8?l73j3:1(9?<:04a?k26:3;o76g>4`83>!26;3;=n6`;1382a>=n9=31<7*;12822g=i<881=k54i06;>5<#<891=;l4n531>76<3`;?;7>5$530>40e3g>:>7<>;:k203<72->:?7?9b:l757<5:21b=9;50;&756<6>k1e8<<52298m423290/8<=517`8j1752;>07d?;3;29 174282;06?>o6?;0;6):>3;35f>h39;09:65f16394?"39:0::o5a400962=32c::h4?:%627?71j2d?=?4=a:9j53b=83.?=>4>6c9m044=:k10e<8<:18'045=9?h0b9?=:3a8?l7203:1(9?<:04a?k26:38o76g>4e83>!26;3;=n6`;1381a>=n9=81<7*;12822g=i<881>k54o0:3>5<#<891=:h4n531>5=5<#<891=:h4n531>7=54i3c4>5<#<891>l84n531>5=6=4+40196d05<#<891>l84n531>7=54i3c1>5<#<891>l84n531>1=5<#<891=?>4n531>4=5<#<891=?>4n531>6=5<#<891=?>4n531>0=5<#<891=?>4n531>2=5<#<891=?>4n531><=5<#<891=?>4n531>g=5<#<891=?>4n531>a=5<#<891=?>4n531>c=4;h32:?7??c:l757<632c::?7??c:l757<432c:<54?:%627?77k2d?=?4;;:k242<72->:?7??c:l757<232c:<;4?:%627?77k2d?=?49;:k240<72->:?7??c:l757<032c:=;4?:%627?77k2d?=?47;:k250<72->:?7??c:l757<>32c:=94?:%627?77k2d?=?4n;:k256<72->:?7??c:l757:?7??c:l757:?7??c:l7570:9j552=83.?=>4>0b9m044=9810c<=;:18'045=9:90b9?=:198k455290/8<=51218j1752810c<=>:18'045=9:90b9?=:398k457290/8<=51218j1752:10c<10c<=k:18'045=9:90b9?=:998k45d290/8<=51218j1752010c<=m:18'045=9:90b9?=:`98k45f290/8<=51218j1752k10c<=6:18'045=9:90b9?=:b98k45?290/8<=51218j1752m10c<=8:18'045=9:90b9?=:d98k451290/8<=51218j1752o10c<=::18'045=9:90b9?=:028?j75j3:1(9?<:010?k26:3;:76g>a`83>!26;3;j56`;1383?>o6i10;6):>3;3b=>h39;0:76g>a683>!26;3;j56`;1381?>o6i?0;6):>3;3b=>h39;0876g>a483>!26;3;j56`;1387?>o6i:0;6):>3;3b=>h39;0>76g>a383>!26;3;j56`;1385?>o6i80;6):>3;3b=>h39;0<76g>a183>!26;3;j56`;138;?>o61o0;6):>3;3b=>h39;0276g>9d83>!26;3;j56`;138b?>o61m0;6):>3;3b=>h39;0i76g>9b83>!26;3;j56`;138`?>o61k0;6):>3;3b=>h39;0o76g>9`83>!26;3;j56`;138f?>o6j;0;6):>3;3b=>h39;0m76g>b083>!26;3;j56`;13824>=n9k:1<7*;1282e<=i<881=<54i0ce>5<#<891=l74n531>44<3`;ji7>5$530>4g>3g>:>7?<;:k2ea<72->:?7?n9:l757<6<21b=lm50;&756<6i01e8<<51498m4ge290/8<=51`;8j17528<07d?n4;29 17428k27c:>2;34?>o6100;6):>3;3b=>h39;0:465`3`794?"39:08m95a40094>=h;h91<7*;1280e1=i<881=65`3`094?"39:08m95a40096>=h;h;1<7*;1280e1=i<881?65`3`294?"39:08m95a40090>=h;0l1<7*;1280e1=i<881965`38g94?"39:08m95a40092>=h;0n1<7*;1280e1=i<881;65`38`94?"39:08m95a4009<>=h;0k1<7*;1280e1=i<881565`38;94?"39:08m95a4009e>=h;021<7*;1280e1=i<881n65`38594?"39:08m95a4009g>=h;0<1<7*;1280e1=i<881h65`38794?"39:08m95a4009a>=h;0>1<7*;1280e1=i<881j65`38194?"39:08m95a400955=o;;o626?7532e84k4?:%627?5f<2d?=?4>3:9l7=c=83.?=>46k:18'045=;h>0b9?=:078?j5?k3:1(9?<:2c7?k26:3;=76a<8c83>!26;39j86`;13823>=h;1k1<7*;1280e1=i<881=554o2::>5<#<891?l:4n531>4?<3f9347>5$530>6g33g>:>7?n;:m0<2<72->:?7=n4:l757<6j21d?5;50;&756<4i=1e8<<51b98k6>3290/8<=53`68j17528n07b=73;29 1742:k?7c:>2;3f?>i40;0;6):>3;1b0>h39;0:j65`39394?"39:08m95a400965=o;;o626?4532e8;h4?:%627?5f<2d?=?4=3:9l72b=83.?=>49l:18'045=;h>0b9?=:378?j5fj3:1(9?<:2c7?k26:38=76a!26;39j86`;13813>=h;h31<7*;1280e1=i<881>554o2c;>5<#<891?l:4n531>7?<3f9j;7>5$530>6g33g>:>7:?7=n4:l757<5j21d?4m50;&756<4i=1e8<<52b98k6?6290/8<=53`68j1752;n07b=76;29 1742:k?7c:>2;0f?>i4?k0;6):>3;1b0>h39;09j65fa583>!26;3k87c:>2;28?lg5290/8<=5a29m044=921bm<4?:%627?g43g>:>7<4;hc3>5<#<891m>5a40097>=n1o0;6):>3;c0?k26:3>07d7j:18'045=i:1e8<<55:9j=a<72->:?7o<;o626?0<3`3h6=4+4019e6=i<881;65f9c83>!26;3k87c:>2;:8?l?f290/8<=5a29m044=121b554?:%627?g43g>:>7o4;h;4>5<#<891m>5a4009f>=n1?0;6):>3;c0?k26:3i07d7::18'045=i:1e8<<5d:9j=1<72->:?7o<;o626?c<3`386=4+4019e6=i<881j65f9383>!26;3k87c:>2;33?>o>93:1(9?<:`18j17528;07d7?:18'045=i:1e8<<51398m=`=83.?=>4n3:l757<6;21bmn4?:%627?g43g>:>7?;;:kbf?6=,=;86l=4n531>43<3`kj6=4+4019e6=i<881=;54i`;94?"39:0j?6`;13823>=ni10;6):>3;c0?k26:3;376gn7;29 1742h90b9?=:0;8?lg1290/8<=5a29m044=9h10el;50;&756b:9j=<<72->:?7o<;o626?7d32c3i7>5$530>d5n;o626?6<3f>;57>5$530>16f3g>:>7?4;n63n;o626?4<3f>;;7>5$530>16f3g>:>7=4;n632?6=,=;869>n;o626?2<3f>;97>5$530>16f3g>:>7;4;n630?6=,=;869>n;o626?0<3f>;?7>5$530>16f3g>:>794;n635?6=,=;869>n;o626?><3f>;<7>5$530>16f3g>:>774;n1eb?6=,=;869>n;o626?g<3f9mi7>5$530>16f3g>:>7l4;n1e`?6=,=;869>n;o626?e<3f9mo7>5$530>16f3g>:>7j4;n1ef?6=,=;869>n;o626?c<3f9mm7>5$530>16f3g>:>7h4;n1e=?6=,=;869>n;o626?7732e8j54?:%627?27i2d?=?4>1:9l7c0=83.?=>4;0`9m044=9;10c>h::18'045=<9k0b9?=:018?j5a<3:1(9?<:52b?k26:3;?76a!26;3>;m6`;13821>=h;o81<7*;12874d=i<881=;54o2d2>5<#<8918=o4n531>41<3f9m<7>5$530>16f3g>:>7?7;:m0ac<72->:?7:?a:l757<6121d?hk50;&756<38h1e8<<51`98k6cc290/8<=541c8j17528h07b=jb;29 1742=:j7c:>2;3`?>i4mh0;6):>3;63e>h39;0:h65`3d;94?"39:0?n;o626?4732e8i;4?:%627?27i2d?=?4=1:9l7`3=83.?=>4;0`9m044=:;10c>k;:18'045=<9k0b9?=:318?j5b;3:1(9?<:52b?k26:38?76a!26;3>;m6`;13811>=h<8;1<7*;12874d=i<881>;54o533>5<#<8918=o4n531>71<3f>;j7>5$530>16f3g>:>7<7;:m74`<72->:?7:?a:l757<5121d8=j50;&756<38h1e8<<52`98k16d290/8<=541c8j1752;h07b:?2;29 1742=:j7c:>2;0`?>i4n>0;6):>3;63e>h39;09h65`3da94?"39:0?5<#<891o>5a40094>=nk;0;6):>3;a0?k26:3;07dm>:18'045=k:1e8<<52:9jg5<72->:?7m<;o626?5<3`hm6=4+4019g6=i<881865fbd83>!26;3i87c:>2;78?ldc290/8<=5c29m044=>21bnn4?:%627?e43g>:>794;h`a>5<#<891o>5a4009<>=njh0;6):>3;a0?k26:3307dl7:18'045=k:1e8<<5a:9jf2<72->:?7m<;o626?d<3`h=6=4+4019g6=i<881o65fb483>!26;3i87c:>2;f8?ld3290/8<=5c29m044=m21bn>4?:%627?e43g>:>7h4;h`1>5<#<891o>5a400955=h39;0:=65fb183>!26;3i87c:>2;31?>ofn3:1(9?<:b18j17528907dml:18'045=k:1e8<<51598mfd=83.?=>4l3:l757<6=21bol4?:%627?e43g>:>7?9;:k`=?6=,=;86n=4n531>41<3`i36=4+4019g6=i<881=554ib594?"39:0h?6`;1382=>=nk?0;6):>3;a0?k26:3;j76gl5;29 1742j90b9?=:0`8?ld>290/8<=5c29m044=9j10elk50;&756d:9j52g=83.?=>4>789m044=821b=:650;&756<6?01e8<<51:9j521=83.?=>4>789m044=:21vn8=j:182e4<729q/8?k52958L15c3A>8=6T>3g824~2c2>21;:473;5`>1g=9=0<:79=:0390<<083;;69;57487=1=lk8;ocg>4=inh0:7c??0;28j466281/==<537c8 4642;=m7c?>7;38j45b281/=9>526d8j411281e=:l51:l2=3<63g83:7?4n3c;>4=#:k91>464n3`7>4=i;><1<6*o;4$517>60>3->897=9d:m0e`<722c?=h4?::k2<3<722c:494?::m1f2<722c:454?::k767<722c9n;4?::k2<2<722c?=k4?::k2=4?::k2<0<722c:4>4?::k2=7<722c:4?4?::k75a<722e8;54?::k24?::k764<722c:4i4?::k766<722en?7>5$530>`46`;1382?>ib83:1(9?<:d08j1752;10cih50;&7561=h39;0>76akc;29 1742l80b9?=:798kad=83.?=>4j2:l757<032eom7>5$530>`46`;138:?>ic?3:1(9?<:d08j1752h10ci850;&756f=1<7*;128f6>h39;0o76ak3;29 1742l80b9?=:d98ka4=83.?=>4j2:l7575$530>`44;nf3>5<#<891i?5a400954=h39;0:>65`cd83>!26;3o97c:>2;30?>ibj3:1(9?<:d08j17528>07bkn:18'045=m;1e8<<51498k`?=83.?=>4j2:l757<6>21di54?:%627?c53g>:>7?8;:mf3?6=,=;86h<4n531>4><3fo=6=4+4019a7=i<881=454od794?"39:0n>6`;1382e>=hm=0;6):>3;g1?k26:3;i76ak8;29 1742l80b9?=:0a8?jec290/8<=5e39m044=9m10e>9::18'045=;>>0b9?=:198m614290/8<=53668j1752810e>9=:18'045=;>>0b9?=:398m616290/8<=53668j1752:10e>9?:18'045=;>>0b9?=:598m60a290/8<=53668j1752<10e>8j:18'045=;>>0b9?=:798m6bf290/8<=53e;8j1752910e>j7:18'045=;m30b9?=:098m6b0290/8<=53e;8j1752;10e>j9:18'045=;m30b9?=:298m6b2290/8<=53e;8j1752=10e>j;:18'045=;m30b9?=:498m6b4290/8<=53e;8j1752?10e>j=:18'045=;m30b9?=:698m6b7290/8<=53e;8j1752110e>mi:18'045=;m30b9?=:898m6eb290/8<=53e;8j1752h10e>mk:18'045=;m30b9?=:c98m6ed290/8<=53e;8j1752j10e>mm:18'045=;m30b9?=:e98m6ef290/8<=53e;8j1752l10e>m6:18'045=;m30b9?=:g98m6e?290/8<=53e;8j17528:07d=l7;29 1742:n27c:>2;32?>o4k<0;6):>3;1g=>h39;0:>65f3b694?"39:08h45a400956=j6;o626?7232c8o<4?:%627?5c12d?=?4>6:9j7f6=83.?=>410e>li:18'045=;m30b9?=:0:8?l5em3:1(9?<:2f:?k26:3;276g!26;39o56`;1382e>=n;ki1<7*;1280`<=i<881=o54i2`b>5<#<891?i74n531>4e<3`9i57>5$530>6b>3g>:>7?k;:k0f=<72->:?7=k9:l757<6m21b?o950;&756<4l01e8<<51g98m6d1290/8<=53e;8j1752;:07d=m5;29 1742:n27c:>2;02?>o4j=0;6):>3;1g=>h39;09>65f3c194?"39:08h45a400966=j6;o626?4232c8i=4?:%627?5c12d?=?4=6:9j7a`=83.?=>410e>jj:18'045=;m30b9?=:3:8?l5cl3:1(9?<:2f:?k26:38276g!26;39o56`;1381e>=n;mh1<7*;1280`<=i<881>o54i2f2>5<#<891?i74n531>7e<3`9h:7>5$530>6b>3g>:>7:?7=k9:l757<5m21b?o>50;&756<4l01e8<<52g98mc`=83.?=>4ie:l757<732cmh7>5$530>ccoaj3:1(9?<:gg8j1752:10e<8l:18'045=9?h0b9?=:198m40f290/8<=517`8j1752810e<86:18'045=9?h0b9?=:398m40?290/8<=517`8j1752:10e<88:18'045=9?h0b9?=:598m401290/8<=517`8j1752<10e<8::18'045=9?h0b9?=:798m403290/8<=517`8j1752>10e<8=:18'045=9?h0b9?=:998m406290/8<=517`8j1752010e<8?:18'045=9?h0b9?=:`98m43a290/8<=517`8j1752k10e<;j:18'045=9?h0b9?=:b98m43c290/8<=517`8j1752m10e<;l:18'045=9?h0b9?=:d98m43e290/8<=517`8j1752o10e<;n:18'045=9?h0b9?=:028?l7213:1(9?<:04a?k26:3;:76g>5683>!26;3;=n6`;13826>=n9<<1<7*;12822g=i<881=>54i076>5<#<891=;l4n531>42<3`;>87>5$530>40e3g>:>7?:;:k216<72->:?7?9b:l757<6>21b=8<50;&756<6>k1e8<<51698m436290/8<=517`8j17528207d?:0;29 174282;3:?>o63;35f>h39;0:m65f15g94?"39:0::o5a40095g=h6=4+401953de:9j51?=83.?=>4>6c9m044=9o10e<:7:18'045=9?h0b9?=:328?l73?3:1(9?<:04a?k26:38:76g>4783>!26;3;=n6`;13816>=n9=?1<7*;12822g=i<881>>54i067>5<#<891=;l4n531>72<3`;??7>5$530>40e3g>:>7<:;:k237<72->:?7?9b:l757<5>21b=:?50;&756<6>k1e8<<52698m417290/8<=517`8j1752;207d?9f;29 174282;0:?>o6>l0;6):>3;35f>h39;09m65f17f94?"39:0::o5a40096g=4>6c9m044=:o10c<6?:18'045=9>l0b9?=:198k41b290/8<=516d8j1752810c<9k:18'045=9>l0b9?=:398k41d290/8<=516d8j1752:10e?o8:18'045=:h<0b9?=:198m7g2290/8<=52`48j1752810e?o;:18'045=:h<0b9?=:398m7g4290/8<=52`48j1752:10e?o=:18'045=:h<0b9?=:598m446290/8<=51328j1752910e8;29 174288;7c:>2;32?>o68m0;6):>3;33g>h39;0;76g>0c83>!26;3;;o6`;1382?>o68h0;6):>3;33g>h39;0976g>0883>!26;3;;o6`;1380?>o6810;6):>3;33g>h39;0?76g>0683>!26;3;;o6`;1386?>o68?0;6):>3;33g>h39;0=76g>0483>!26;3;;o6`;1384?>o69?0;6):>3;33g>h39;0376g>1483>!26;3;;o6`;138:?>o69=0;6):>3;33g>h39;0j76g>1283>!26;3;;o6`;138a?>o69;0;6):>3;33g>h39;0h76g>1083>!26;3;;o6`;138g?>o6990;6):>3;33g>h39;0n76g>0g83>!26;3;;o6`;138e?>o68l0;6):>3;33g>h39;0:<65f11694?"39:0:5<#<891=>=4n531>4=5<#<891=>=4n531>6=5<#<891=>=4n531>0=5<#<891=>=4n531>2=5<#<891=>=4n531><=5<#<891=>=4n531>g=5<#<891=>=4n531>a=5<#<891=>=4n531>c=6=4+40195654;n31f?6=,=;86<=<;o626?7632c:ml4?:%627?7f12d?=?4?;:k2e=<72->:?7?n9:l757<632c:m:4?:%627?7f12d?=?4=;:k2e3<72->:?7?n9:l757<432c:m84?:%627?7f12d?=?4;;:k2e6<72->:?7?n9:l757<232c:m?4?:%627?7f12d?=?49;:k2e4<72->:?7?n9:l757<032c:m=4?:%627?7f12d?=?47;:k2=c<72->:?7?n9:l757<>32c:5h4?:%627?7f12d?=?4n;:k2=a<72->:?7?n9:l757:?7?n9:l757:?7?n9:l7570:9j5g6=83.?=>4>a89m044=9810eae83>!26;3;j56`;13820>=n9hi1<7*;1282e<=i<881=854i0ca>5<#<891=l74n531>40<3`;j87>5$530>4g>3g>:>7?8;:k2=<<72->:?7?n9:l757<6021d?l;50;&756<4i=1e8<<50:9l7d5=83.?=>4450;&756<4i=1e8<<54:9l7<`=83.?=>444=83.?=>4442;31?>i40o0;6):>3;1b0>h39;0:?65`39g94?"39:08m95a400951=o;;o626?7132e84o4?:%627?5f<2d?=?4>7:9l7=g=83.?=>466:18'045=;h>0b9?=:0;8?j5?03:1(9?<:2c7?k26:3;j76a<8683>!26;39j86`;1382f>=h;1?1<7*;1280e1=i<881=n54o2:7>5<#<891?l:4n531>4b<3f93?7>5$530>6g33g>:>7?j;:m0<7<72->:?7=n4:l757<6n21d?5?50;&756<4i=1e8<<52198k6>7290/8<=53`68j1752;;07b=8f;29 1742:k?7c:>2;01?>i4?l0;6):>3;1b0>h39;09?65`36f94?"39:08m95a400961=o;;o626?4132e8ml4?:%627?5f<2d?=?4=7:9l7d?=83.?=>4o7:18'045=;h>0b9?=:3;8?j5f?3:1(9?<:2c7?k26:38j76a!26;39j86`;1381f>=h;0i1<7*;1280e1=i<881>n54o2;2>5<#<891?l:4n531>7b<3f93:7>5$530>6g33g>:>7:?7=n4:l757<5n21bm94?:%627?g43g>:>7>4;hc1>5<#<891m>5a40095>=ni80;6):>3;c0?k26:3807do?:18'045=i:1e8<<53:9j=c<72->:?7o<;o626?2<3`3n6=4+4019e6=i<881965f9e83>!26;3k87c:>2;48?l?d290/8<=5a29m044=?21b5o4?:%627?g43g>:>764;h;b>5<#<891m>5a4009=>=n110;6):>3;c0?k26:3k07d78:18'045=i:1e8<<5b:9j=3<72->:?7o<;o626?e<3`3>6=4+4019e6=i<881h65f9583>!26;3k87c:>2;g8?l?4290/8<=5a29m044=n21b5?4?:%627?g43g>:>7??;:k:5?6=,=;86l=4n531>47<3`3;6=4+4019e6=i<881=?54i9d94?"39:0j?6`;13827>=nij0;6):>3;c0?k26:3;?76gnb;29 1742h90b9?=:078?lgf290/8<=5a29m044=9?10el750;&7567:9je=<72->:?7o<;o626?7?32cj;7>5$530>d55<#<891m>5a40095d=h39;0:n65f9883>!26;3k87c:>2;3`?>o?m3:1(9?<:`18j17528n07b:?b;29 1742=:j7c:>2;28?j2713:1(9?<:52b?k26:3;07b:?8;29 1742=:j7c:>2;08?j27?3:1(9?<:52b?k26:3907b:?6;29 1742=:j7c:>2;68?j27=3:1(9?<:52b?k26:3?07b:?4;29 1742=:j7c:>2;48?j27;3:1(9?<:52b?k26:3=07b:?1;29 1742=:j7c:>2;:8?j2783:1(9?<:52b?k26:3307b=if;29 1742=:j7c:>2;c8?j5am3:1(9?<:52b?k26:3h07b=id;29 1742=:j7c:>2;a8?j5ak3:1(9?<:52b?k26:3n07b=ib;29 1742=:j7c:>2;g8?j5ai3:1(9?<:52b?k26:3l07b=i9;29 1742=:j7c:>2;33?>i4n10;6):>3;63e>h39;0:=65`3g494?"39:0?6=4+401905gn;o626?7332e8j>4?:%627?27i2d?=?4>5:9l7c4=83.?=>4;0`9m044=9?10c>h>:18'045=<9k0b9?=:058?j5a83:1(9?<:52b?k26:3;376a!26;3>;m6`;1382=>=h;lo1<7*;12874d=i<881=l54o2gg>5<#<8918=o4n531>4d<3f9nn7>5$530>16f3g>:>7?l;:m0ad<72->:?7:?a:l757<6l21d?h750;&756<38h1e8<<51d98k6c?290/8<=541c8j17528l07b=j7;29 1742=:j7c:>2;03?>i4m?0;6):>3;63e>h39;09=65`3d794?"39:0?n;o626?4332e8i?4?:%627?27i2d?=?4=5:9l047=83.?=>4;0`9m044=:?10c9??:18'045=<9k0b9?=:358?j27n3:1(9?<:52b?k26:38376a;0d83>!26;3>;m6`;1381=>=h<9n1<7*;12874d=i<881>l54o52`>5<#<8918=o4n531>7d<3f>;>7>5$530>16f3g>:>7:?7:?a:l757<5l21d?hm50;&756<38h1e8<<52d98k6c6290/8<=541c8j1752;l07dm;:18'045=k:1e8<<50:9jg7<72->:?7m<;o626?7<3`i:6=4+4019g6=i<881>65fc183>!26;3i87c:>2;18?lda290/8<=5c29m044=<21bnh4?:%627?e43g>:>7;4;h`g>5<#<891o>5a40092>=njj0;6):>3;a0?k26:3=07dlm:18'045=k:1e8<<58:9jfd<72->:?7m<;o626??<3`h36=4+4019g6=i<881m65fb683>!26;3i87c:>2;`8?ld1290/8<=5c29m044=k21bn84?:%627?e43g>:>7j4;h`7>5<#<891o>5a4009a>=nj:0;6):>3;a0?k26:3l07dl=:18'045=k:1e8<<51198mg7=83.?=>4l3:l757<6921bn=4?:%627?e43g>:>7?=;:kbb?6=,=;86n=4n531>45<3`ih6=4+4019g6=i<881=954ib`94?"39:0h?6`;13821>=nkh0;6):>3;a0?k26:3;=76gl9;29 1742j90b9?=:058?le?290/8<=5c29m044=9110en950;&7569:9jg3<72->:?7m<;o626?7f32ch97>5$530>f55<#<891o>5a40095f=h39;0:h65f16c94?"39:0:;45a40094>=n9>21<7*;12823<=i<881=65f16594?"39:0:;45a40096>=zj<9m6=4>a083>5}#<;o1>594H51g?M2492P:?k4>0z6g>2>=?>03?79l:5c951<0>3=964n022>4=#9981?;o4$020>71a3g;:;7?4n01f>4=#9=:1>:h4n055>4=i9>h1=6`>9782?k4?>3;0b?o7:09'6g5=:020b?l;:09m720=82.8mi4:;o621?7<,=8=6?l<;%613?5fl2.???4;2e9'065=:k?0(9=;:24:?!24=39=h6a>o39l0;66g>8783>>o60=0;66a=b683>>o6010;66g;2383>>o5j?0;66g>8683>>o39o0;66g>8g83>>i6?=0;66g;1`83>>o6000;66a;2g83>>i4io0;66g;1b83>>o39k0;66g>8c83>>o3:90;66g>8483>>o60:0;66g>9383>>o60;0;66g;1e83>>i4?10;66g>8`83>>o60l0;66g>7483>>o6?:0;66g;2083>>o60m0;66g;2283>>ib;3:1(9?<:d08j1752910ch?50;&756;:mf4?6=,=;86h<4n531>7=h39;0876ake;29 1742l80b9?=:598kab=83.?=>4j2:l757<232eoo7>5$530>`46`;1384?>ici3:1(9?<:d08j1752110ci750;&756d=h39;0i76ak5;29 1742l80b9?=:b98ka2=83.?=>4j2:l7575$530>`46`;138e?>ic93:1(9?<:d08j17528:07bj?:18'045=m;1e8<<51098kf`=83.?=>4j2:l757<6:21doh4?:%627?c53g>:>7?<;:mff?6=,=;86h<4n531>42<3foj6=4+4019a7=i<881=854od;94?"39:0n>6`;13822>=hm10;6):>3;g1?k26:3;<76aj7;29 1742l80b9?=:0:8?jc1290/8<=5e39m044=9010ch;50;&756a:9la1<72->:?7k=;o626?7e32eo47>5$530>`45<#<891i?5a40095a=6=4+40197225<#<891?::4n531>4=5<#<891?::4n531>6=5<#<891?::4n531>0=5<#<891?i74n531>5=5<#<891?i74n531>7=54i2f6>5<#<891?i74n531>1=5<#<891?i74n531>3=5<#<891?i74n531>==5<#<891?i74n531>d=5<#<891?i74n531>f=5<#<891?i74n531>`=5<#<891?i74n531>46<3`9h;7>5$530>6b>3g>:>7?>;:k0g0<72->:?7=k9:l757<6:21b?n:50;&756<4l01e8<<51298m6e4290/8<=53e;8j17528>07d=l2;29 1742:n27c:>2;36?>o4k80;6):>3;1g=>h39;0::65f3b294?"39:08h45a400952=j6;o626?7>32c8ni4?:%627?5c12d?=?4>a:9j7ge=83.?=>4ln:18'045=;m30b9?=:0a8?l5e13:1(9?<:2f:?k26:3;o76g!26;39o56`;1382a>=n;k=1<7*;1280`<=i<881=k54i2`5>5<#<891?i74n531>76<3`9i97>5$530>6b>3g>:>7<>;:k0f1<72->:?7=k9:l757<5:21b?o=50;&756<4l01e8<<52298m6d5290/8<=53e;8j1752;>07d=m1;29 1742:n27c:>2;06?>o4m90;6):>3;1g=>h39;09:65f3ed94?"39:08h45a400962=j6;o626?4>32c8hn4?:%627?5c12d?=?4=a:9j7ad=83.?=>4j>:18'045=;m30b9?=:3a8?l5d>3:1(9?<:2f:?k26:38o76g!26;39o56`;1381a>=n;k:1<7*;1280`<=i<881>k54igd94?"39:0mi6`;1383?>oal3:1(9?<:gg8j1752810ekm50;&7566=5<#<891=;l4n531>4=5<#<891=;l4n531>6=5<#<891=;l4n531>0=6=4+401953d5<#<891=;l4n531>2=5<#<891=;l4n531><=5<#<891=;l4n531>g=5<#<891=;l4n531>a=5<#<891=;l4n531>c=4;h36=?6=,=;86<8m;o626?7632c:9:4?:%627?71j2d?=?4>2:9j500=83.?=>4>6c9m044=9:10e<;::18'045=9?h0b9?=:068?l72<3:1(9?<:04a?k26:3;>76g>5283>!26;3;=n6`;13822>=n9<81<7*;12822g=i<881=:54i072>5<#<891=;l4n531>4><3`;><7>5$530>40e3g>:>7?6;:k20c<72->:?7?9b:l757<6i21b=9k50;&756<6>k1e8<<51c98m42d290/8<=517`8j17528i07d?;b;29 174282;3g?>o63;35f>h39;0:i65f15;94?"39:0::o5a40095c=36=4+401953d4;h373?6=,=;86<8m;o626?4632c:8;4?:%627?71j2d?=?4=2:9j513=83.?=>4>6c9m044=::10e<:;:18'045=9?h0b9?=:368?l73;3:1(9?<:04a?k26:38>76g>7383>!26;3;=n6`;13812>=n9>;1<7*;12822g=i<881>:54i053>5<#<891=;l4n531>7><3`;=j7>5$530>40e3g>:>7<6;:k22`<72->:?7?9b:l757<5i21b=;j50;&756<6>k1e8<<52c98m404290/8<=517`8j1752;i07d?:8;29 174282;0g?>o63;35f>h39;09i65f15094?"39:0::o5a40096c=5<#<891=:h4n531>4=5<#<891=:h4n531>6=5<#<891>l84n531>4=5<#<891>l84n531>6=5<#<891=?>4n531>5=5<#<891=?>4n531>7=54i03`>5<#<891=?>4n531>1=5<#<891=?>4n531>3=5<#<891=?>4n531>==5<#<891=?>4n531>d=5<#<891=?>4n531>f=6=4+40195765<#<891=?>4n531>`=5<#<891=?>4n531>46<3`;:47>5$530>4473g>:>7?>;:k24a<72->:?7??c:l757<732c:;:k24d<72->:?7??c:l757<532c:<44?:%627?77k2d?=?4<;:k24=<72->:?7??c:l757<332c:<:4?:%627?77k2d?=?4:;:k243<72->:?7??c:l757<132c:<84?:%627?77k2d?=?48;:k253<72->:?7??c:l757:?7??c:l7574?:%627?77k2d?=?4m;:k257<72->:?7??c:l757:?7??c:l757:?7??c:l757<6821b==:50;&756<68j1e8<<51098k453290/8<=51218j1752910c<==:18'045=9:90b9?=:098k456290/8<=51218j1752;10c<=?:18'045=9:90b9?=:298k44a290/8<=51218j1752=10c<290/8<=51218j1752j10c<=7:18'045=9:90b9?=:e98k450290/8<=51218j1752l10c<=9:18'045=9:90b9?=:g98k452290/8<=51218j17528:07b?=b;29 17428987c:>2;32?>o6ih0;6):>3;3b=>h39;0;76g>a983>!26;3;j56`;1382?>o6i>0;6):>3;3b=>h39;0976g>a783>!26;3;j56`;1380?>o6i<0;6):>3;3b=>h39;0?76g>a283>!26;3;j56`;1386?>o6i;0;6):>3;3b=>h39;0=76g>a083>!26;3;j56`;1384?>o6i90;6):>3;3b=>h39;0376g>9g83>!26;3;j56`;138:?>o61l0;6):>3;3b=>h39;0j76g>9e83>!26;3;j56`;138a?>o61j0;6):>3;3b=>h39;0h76g>9c83>!26;3;j56`;138g?>o61h0;6):>3;3b=>h39;0n76g>b383>!26;3;j56`;138e?>o6j80;6):>3;3b=>h39;0:<65f1c294?"39:0:m45a400954=4:9j5de=83.?=>4>a89m044=9<10e9883>!26;3;j56`;1382<>=h;h?1<7*;1280e1=i<881<65`3`194?"39:08m95a40095>=h;h81<7*;1280e1=i<881>65`3`394?"39:08m95a40097>=h;h:1<7*;1280e1=i<881865`38d94?"39:08m95a40091>=h;0o1<7*;1280e1=i<881:65`38f94?"39:08m95a40093>=h;0h1<7*;1280e1=i<881465`38c94?"39:08m95a4009=>=h;031<7*;1280e1=i<881m65`38:94?"39:08m95a4009f>=h;0=1<7*;1280e1=i<881o65`38494?"39:08m95a4009`>=h;0?1<7*;1280e1=i<881i65`38694?"39:08m95a4009b>=h;091<7*;1280e1=i<881==54o2;1>5<#<891?l:4n531>47<3f92<7>5$530>6g33g>:>7?=;:m0:?7=n4:l757<6;21d?5k50;&756<4i=1e8<<51598k6>c290/8<=53`68j17528?07b=7c;29 1742:k?7c:>2;35?>i40k0;6):>3;1b0>h39;0:;65`39c94?"39:08m95a40095==o;;o626?7f32e84:4?:%627?5f<2d?=?4>b:9l7=3=83.?=>46;:18'045=;h>0b9?=:0f8?j5?;3:1(9?<:2c7?k26:3;n76a<8383>!26;39j86`;1382b>=h;1;1<7*;1280e1=i<881>=54o2:3>5<#<891?l:4n531>77<3f95$530>6g33g>:>7<=;:m03`<72->:?7=n4:l757<5;21d?:j50;&756<4i=1e8<<52598k61d290/8<=53`68j1752;?07b=nb;29 1742:k?7c:>2;05?>i4ih0;6):>3;1b0>h39;09;65`3`;94?"39:08m95a40096==o;;o626?4f32e8m;4?:%627?5f<2d?=?4=b:9l747>:18'045=;h>0b9?=:3f8?j5?>3:1(9?<:2c7?k26:38n76a<7c83>!26;39j86`;1381b>=ni=0;6):>3;c0?k26:3:07do=:18'045=i:1e8<<51:9je4<72->:?7o<;o626?4<3`k;6=4+4019e6=i<881?65f9g83>!26;3k87c:>2;68?l?b290/8<=5a29m044==21b5i4?:%627?g43g>:>784;h;`>5<#<891m>5a40093>=n1k0;6):>3;c0?k26:3207d7n:18'045=i:1e8<<59:9j==<72->:?7o<;o626?g<3`3<6=4+4019e6=i<881n65f9783>!26;3k87c:>2;a8?l?2290/8<=5a29m044=l21b594?:%627?g43g>:>7k4;h;0>5<#<891m>5a4009b>=n1;0;6):>3;c0?k26:3;;76g61;29 1742h90b9?=:038?l?7290/8<=5a29m044=9;10e5h50;&7563:9jef<72->:?7o<;o626?7332cjn7>5$530>d55<#<891m>5a400953=h39;0:;65fa983>!26;3k87c:>2;3;?>of?3:1(9?<:`18j17528307do9:18'045=i:1e8<<51`98md3=83.?=>4n3:l757<6j21b544?:%627?g43g>:>7?l;:k;a?6=,=;86l=4n531>4b<3f>;n7>5$530>16f3g>:>7>4;n63=?6=,=;869>n;o626?7<3f>;47>5$530>16f3g>:>7<4;n633?6=,=;869>n;o626?5<3f>;:7>5$530>16f3g>:>7:4;n631?6=,=;869>n;o626?3<3f>;87>5$530>16f3g>:>784;n637?6=,=;869>n;o626?1<3f>;=7>5$530>16f3g>:>764;n634?6=,=;869>n;o626??<3f9mj7>5$530>16f3g>:>7o4;n1ea?6=,=;869>n;o626?d<3f9mh7>5$530>16f3g>:>7m4;n1eg?6=,=;869>n;o626?b<3f9mn7>5$530>16f3g>:>7k4;n1ee?6=,=;869>n;o626?`<3f9m57>5$530>16f3g>:>7??;:m0b=<72->:?7:?a:l757<6921d?k850;&756<38h1e8<<51398k6`2290/8<=541c8j17528907b=i4;29 1742=:j7c:>2;37?>i4n:0;6):>3;63e>h39;0:965`3g094?"39:0?n;o626?7?32e8ik4?:%627?27i2d?=?4>9:9l7`c=83.?=>4;0`9m044=9h10c>kk:18'045=<9k0b9?=:0`8?j5bj3:1(9?<:52b?k26:3;h76a!26;3>;m6`;1382`>=h;l31<7*;12874d=i<881=h54o2g;>5<#<8918=o4n531>4`<3f9n;7>5$530>16f3g>:>7:?7:?a:l757<5921d?h;50;&756<38h1e8<<52398k6c3290/8<=541c8j1752;907b=j3;29 1742=:j7c:>2;07?>i4m;0;6):>3;63e>h39;09965`40394?"39:0?n;o626?4?32e?4;0`9m044=:h10c9>l:18'045=<9k0b9?=:3`8?j27:3:1(9?<:52b?k26:38h76a!26;3>;m6`;1381`>=h;li1<7*;12874d=i<881>h54o2g2>5<#<8918=o4n531>7`<3`i?6=4+4019g6=i<881<65fc383>!26;3i87c:>2;38?le6290/8<=5c29m044=:21bo=4?:%627?e43g>:>7=4;h`e>5<#<891o>5a40090>=njl0;6):>3;a0?k26:3?07dlk:18'045=k:1e8<<56:9jff<72->:?7m<;o626?1<3`hi6=4+4019g6=i<881465fb`83>!26;3i87c:>2;;8?ld?290/8<=5c29m044=i21bn:4?:%627?e43g>:>7l4;h`5>5<#<891o>5a4009g>=nj<0;6):>3;a0?k26:3n07dl;:18'045=k:1e8<<5e:9jf6<72->:?7m<;o626?`<3`h96=4+4019g6=i<881==54ic394?"39:0h?6`;13825>=nj90;6):>3;a0?k26:3;976gnf;29 1742j90b9?=:018?led290/8<=5c29m044=9=10enl50;&7565:9jgd<72->:?7m<;o626?7132ch57>5$530>f55<#<891o>5a40095==h39;0:565fc783>!26;3i87c:>2;3b?>od=3:1(9?<:b18j17528h07dl6:18'045=k:1e8<<51b98mdc=83.?=>4l3:l757<6l21b=:o50;&756<6?01e8<<50:9j52>=83.?=>4>789m044=921b=:950;&756<6?01e8<<52:9~f027290:m<4?:1y'07c=:1=0D9=k;I605>\6;o0:13=?<0?47s+36595dc03gko6<5af`82?k7783:0b<>>:09'554=;?k0(<><:35e?k76?3;0b<=j:09'516=:>l0b<99:09m52d=92d:5;4>;o0;2?7"4im0>7c:>5;38 1412;h87):=7;1b`>"3;;0?>i5+42196g3<,=9?6>86;%601?51l2e8mh4?::k75`<722c:4;4?::k2<1<722e9n:4?::k2<=<722c?>?4?::k1f3<722c:4:4?::k75c<722c:4k4?::m231<722c?=l4?::k2<<<722e?>k4?::m0ec<722c?=n4?::k75g<722c:4o4?::k765<722c:484?::k2<6<722c:5?4?::k2<7<722c?=i4?::m03=<722c:4l4?::k2<`<722c:;84?::k236<722c?><4?::k2>4?::mf7?6=,=;86h<4n531>5=h39;0:76aj0;29 1742l80b9?=:398ka`=83.?=>4j2:l757<432eoi7>5$530>`46`;1386?>ick3:1(9?<:d08j1752?10cil50;&756==h39;0276ak7;29 1742l80b9?=:`98ka0=83.?=>4j2:l7575$530>`46`;138g?>ic;3:1(9?<:d08j1752l10ci<50;&75646<3fn;6=4+4019a7=i<881=<54obd94?"39:0n>6`;13826>=hkl0;6):>3;g1?k26:3;876ajb;29 1742l80b9?=:068?jcf290/8<=5e39m044=9<10ch750;&7566:9la=<72->:?7k=;o626?7032en;7>5$530>`45<#<891i?5a40095<=h39;0:m65`e583>!26;3o97c:>2;3a?>ic03:1(9?<:d08j17528i07bmk:18'045=m;1e8<<51e98m612290/8<=53668j1752910e>9<:18'045=;>>0b9?=:098m615290/8<=53668j1752;10e>9>:18'045=;>>0b9?=:298m617290/8<=53668j1752=10e>8i:18'045=;>>0b9?=:498m60b290/8<=53668j1752?10e>jn:18'045=;m30b9?=:198m6b?290/8<=53e;8j1752810e>j8:18'045=;m30b9?=:398m6b1290/8<=53e;8j1752:10e>j::18'045=;m30b9?=:598m6b3290/8<=53e;8j1752<10e>j<:18'045=;m30b9?=:798m6b5290/8<=53e;8j1752>10e>j?:18'045=;m30b9?=:998m6ea290/8<=53e;8j1752010e>mj:18'045=;m30b9?=:`98m6ec290/8<=53e;8j1752k10e>ml:18'045=;m30b9?=:b98m6ee290/8<=53e;8j1752m10e>mn:18'045=;m30b9?=:d98m6e>290/8<=53e;8j1752o10e>m7:18'045=;m30b9?=:028?l5d?3:1(9?<:2f:?k26:3;:76g!26;39o56`;13826>=n;j>1<7*;1280`<=i<881=>54i2a0>5<#<891?i74n531>42<3`9h>7>5$530>6b>3g>:>7?:;:k0g4<72->:?7=k9:l757<6>21b?n>50;&756<4l01e8<<51698m6da290/8<=53e;8j17528207d=me;29 1742:n27c:>2;3:?>o4jm0;6):>3;1g=>h39;0:m65f3ca94?"39:08h45a40095g=j6;o626?7c32c8n54?:%627?5c12d?=?4>e:9j7g1=83.?=>4l9:18'045=;m30b9?=:328?l5e=3:1(9?<:2f:?k26:38:76g!26;39o56`;13816>=n;k91<7*;1280`<=i<881>>54i2`1>5<#<891?i74n531>72<3`9i=7>5$530>6b>3g>:>7<:;:k0a5<72->:?7=k9:l757<5>21b?ih50;&756<4l01e8<<52698m6bb290/8<=53e;8j1752;207d=kd;29 1742:n27c:>2;0:?>o4lj0;6):>3;1g=>h39;09m65f3e`94?"39:08h45a40096g=j6;o626?4c32c8no4?:%627?5c12d?=?4=e:9j7g6=83.?=>44=h39;0976gib;29 1742oo0b9?=:298m40d290/8<=517`8j1752910e<8n:18'045=9?h0b9?=:098m40>290/8<=517`8j1752;10e<87:18'045=9?h0b9?=:298m400290/8<=517`8j1752=10e<89:18'045=9?h0b9?=:498m402290/8<=517`8j1752?10e<8;:18'045=9?h0b9?=:698m405290/8<=517`8j1752110e<8>:18'045=9?h0b9?=:898m407290/8<=517`8j1752h10e<;i:18'045=9?h0b9?=:c98m43b290/8<=517`8j1752j10e<;k:18'045=9?h0b9?=:e98m43d290/8<=517`8j1752l10e<;m:18'045=9?h0b9?=:g98m43f290/8<=517`8j17528:07d?:9;29 174282;32?>o6=>0;6):>3;35f>h39;0:>65f14494?"39:0::o5a400956=6=4+401953d4?:%627?71j2d?=?4>6:9j504=83.?=>4>6c9m044=9>10e<;>:18'045=9?h0b9?=:0:8?l7283:1(9?<:04a?k26:3;276g>4g83>!26;3;=n6`;1382e>=n9=o1<7*;12822g=i<881=o54i06`>5<#<891=;l4n531>4e<3`;?n7>5$530>40e3g>:>7?k;:k20d<72->:?7?9b:l757<6m21b=9750;&756<6>k1e8<<51g98m42?290/8<=517`8j1752;:07d?;7;29 174282;02?>o63;35f>h39;09>65f15794?"39:0::o5a400966=?6=4+401953d4>6c9m044=:>10e<9?:18'045=9?h0b9?=:3:8?l71n3:1(9?<:04a?k26:38276g>6d83>!26;3;=n6`;1381e>=n9?n1<7*;12822g=i<881>o54i040>5<#<891=;l4n531>7e<3`;>47>5$530>40e3g>:>7:?7?9b:l757<5m21b=9<50;&756<6>k1e8<<52g98k4>7290/8<=516d8j1752910c<9j:18'045=9>l0b9?=:098k41c290/8<=516d8j1752;10c<9l:18'045=9>l0b9?=:298m7g0290/8<=52`48j1752910e?o::18'045=:h<0b9?=:098m7g3290/8<=52`48j1752;10e?o<:18'045=:h<0b9?=:298m7g5290/8<=52`48j1752=10e<<>:18'045=9;:0b9?=:198m47a290/8<=51328j1752810e290/8<=51328j1752>10e<290/8<=51328j1752010e<<7:18'045=9;:0b9?=:`98m440290/8<=51328j1752k10e<<9:18'045=9;:0b9?=:b98m442290/8<=51328j1752m10e<<;:18'045=9;:0b9?=:d98m444290/8<=51328j1752o10e<<=:18'045=9;:0b9?=:028?l7603:1(9?<:003?k26:3;:76g>0e83>!26;3;;o6`;1383?>o68k0;6):>3;33g>h39;0:76g>0`83>!26;3;;o6`;1381?>o6800;6):>3;33g>h39;0876g>0983>!26;3;;o6`;1387?>o68>0;6):>3;33g>h39;0>76g>0783>!26;3;;o6`;1385?>o68<0;6):>3;33g>h39;0<76g>1783>!26;3;;o6`;138;?>o69<0;6):>3;33g>h39;0276g>1583>!26;3;;o6`;138b?>o69:0;6):>3;33g>h39;0i76g>1383>!26;3;;o6`;138`?>o6980;6):>3;33g>h39;0o76g>1183>!26;3;;o6`;138f?>o68o0;6):>3;33g>h39;0m76g>0d83>!26;3;;o6`;13824>=n99>1<7*;12824f=i<881=<54o017>5<#<891=>=4n531>5=5<#<891=>=4n531>7=54o00e>5<#<891=>=4n531>1=5<#<891=>=4n531>3=5<#<891=>=4n531>==5<#<891=>=4n531>d=5<#<891=>=4n531>f=5<#<891=>=4n531>`=5<#<891=>=4n531>46<3f;9n7>5$530>4543g>:>7?>;:k2ed<72->:?7?n9:l757<732c:m54?:%627?7f12d?=?4>;:k2e2<72->:?7?n9:l757<532c:m;4?:%627?7f12d?=?4<;:k2e0<72->:?7?n9:l757<332c:m>4?:%627?7f12d?=?4:;:k2e7<72->:?7?n9:l757<132c:m<4?:%627?7f12d?=?48;:k2e5<72->:?7?n9:l757:?7?n9:l757:?7?n9:l757:?7?n9:l757:?7?n9:l757<6821b=o>50;&756<6i01e8<<51098m4ga290/8<=51`;8j17528807d?ne;29 17428k27c:>2;30?>o6im0;6):>3;3b=>h39;0:865f1`a94?"39:0:m45a400950=8:9l7d3=83.?=>444421d?4j50;&756<4i=1e8<<57:9l7444447=:18'045=;h>0b9?=:038?j5>83:1(9?<:2c7?k26:3;976a<8g83>!26;39j86`;13827>=h;1o1<7*;1280e1=i<881=954o2:g>5<#<891?l:4n531>43<3f93o7>5$530>6g33g>:>7?9;:m0:?7=n4:l757<6?21d?5o50;&756<4i=1e8<<51998k6>>290/8<=53`68j17528307b=78;29 1742:k?7c:>2;3b?>i40>0;6):>3;1b0>h39;0:n65`39794?"39:08m95a40095f=o;;o626?7b32e84?4?:%627?5f<2d?=?4>f:9l7=7=83.?=>46?:18'045=;h>0b9?=:338?j50n3:1(9?<:2c7?k26:38976a<7d83>!26;39j86`;13817>=h;>n1<7*;1280e1=i<881>954o25`>5<#<891?l:4n531>73<3f9jn7>5$530>6g33g>:>7<9;:m0ed<72->:?7=n4:l757<5?21d?l750;&756<4i=1e8<<52998k6g?290/8<=53`68j1752;307b=n7;29 1742:k?7c:>2;0b?>i4i?0;6):>3;1b0>h39;09n65`38a94?"39:08m95a40096f=o;;o626?4b32e8;o4?:%627?5f<2d?=?4=f:9je1<72->:?7o<;o626?6<3`k96=4+4019e6=i<881=65fa083>!26;3k87c:>2;08?lg7290/8<=5a29m044=;21b5k4?:%627?g43g>:>7:4;h;f>5<#<891m>5a40091>=n1m0;6):>3;c0?k26:3<07d7l:18'045=i:1e8<<57:9j=g<72->:?7o<;o626?><3`3j6=4+4019e6=i<881565f9983>!26;3k87c:>2;c8?l?0290/8<=5a29m044=j21b5;4?:%627?g43g>:>7m4;h;6>5<#<891m>5a4009`>=n1=0;6):>3;c0?k26:3o07d7<:18'045=i:1e8<<5f:9j=7<72->:?7o<;o626?7732c2=7>5$530>d55<#<891m>5a400957=h39;0:?65fab83>!26;3k87c:>2;37?>ofj3:1(9?<:`18j17528?07don:18'045=i:1e8<<51798md?=83.?=>4n3:l757<6?21bm54?:%627?g43g>:>7?7;:kb3?6=,=;86l=4n531>4?<3`k=6=4+4019e6=i<881=l54i`794?"39:0j?6`;1382f>=n100;6):>3;c0?k26:3;h76g7e;29 1742h90b9?=:0f8?j27j3:1(9?<:52b?k26:3:07b:?9;29 1742=:j7c:>2;38?j2703:1(9?<:52b?k26:3807b:?7;29 1742=:j7c:>2;18?j27>3:1(9?<:52b?k26:3>07b:?5;29 1742=:j7c:>2;78?j27<3:1(9?<:52b?k26:3<07b:?3;29 1742=:j7c:>2;58?j2793:1(9?<:52b?k26:3207b:?0;29 1742=:j7c:>2;;8?j5an3:1(9?<:52b?k26:3k07b=ie;29 1742=:j7c:>2;`8?j5al3:1(9?<:52b?k26:3i07b=ic;29 1742=:j7c:>2;f8?j5aj3:1(9?<:52b?k26:3o07b=ia;29 1742=:j7c:>2;d8?j5a13:1(9?<:52b?k26:3;;76a!26;3>;m6`;13825>=h;o<1<7*;12874d=i<881=?54o2d6>5<#<8918=o4n531>45<3f9m87>5$530>16f3g>:>7?;;:m0b6<72->:?7:?a:l757<6=21d?k<50;&756<38h1e8<<51798k6`6290/8<=541c8j17528=07b=i0;29 1742=:j7c:>2;3;?>i4mo0;6):>3;63e>h39;0:565`3dg94?"39:0?n;o626?7d32e8il4?:%627?27i2d?=?4>d:9l7`?=83.?=>4;0`9m044=9l10c>k7:18'045=<9k0b9?=:0d8?j5b?3:1(9?<:52b?k26:38;76a!26;3>;m6`;13815>=h;l?1<7*;12874d=i<881>?54o2g7>5<#<8918=o4n531>75<3f9n?7>5$530>16f3g>:>7<;;:m0a7<72->:?7:?a:l757<5=21d82;0;?>i38l0;6):>3;63e>h39;09565`41f94?"39:0?n;o626?4d32e8j:4?:%627?27i2d?=?4=d:9l7`e=83.?=>4;0`9m044=:l10c>k>:18'045=<9k0b9?=:3d8?le3290/8<=5c29m044=821bo?4?:%627?e43g>:>7?4;ha2>5<#<891o>5a40096>=nk90;6):>3;a0?k26:3907dli:18'045=k:1e8<<54:9jf`<72->:?7m<;o626?3<3`ho6=4+4019g6=i<881:65fbb83>!26;3i87c:>2;58?lde290/8<=5c29m044=021bnl4?:%627?e43g>:>774;h`;>5<#<891o>5a4009e>=nj>0;6):>3;a0?k26:3h07dl9:18'045=k:1e8<<5c:9jf0<72->:?7m<;o626?b<3`h?6=4+4019g6=i<881i65fb283>!26;3i87c:>2;d8?ld5290/8<=5c29m044=9910eo?50;&7561:9jf5<72->:?7m<;o626?7532cjj7>5$530>f55<#<891o>5a400951=h39;0:965fc`83>!26;3i87c:>2;35?>od13:1(9?<:b18j17528=07dm7:18'045=k:1e8<<51998mf1=83.?=>4l3:l757<6121bo;4?:%627?e43g>:>7?n;:k`1?6=,=;86n=4n531>4d<3`h26=4+4019g6=i<881=n54i`g94?"39:0h?6`;1382`>=n9>k1<7*;12823<=i<881<65f16:94?"39:0:;45a40095>=n9>=1<7*;12823<=i<881>65rb462>5<6i80;6=u+43g96=1<@=9o7E:<1:X27c<68r>o6:65768;7?1d2=k1=9486;51>47=<00<<7??:57930<303w/?:951`g4?kgc281ejl4>;o334?6h61?0:7c<76;38j7g?281/>o=528:8j7d3281e?:850:&0ea<23g>:97?4$505>7d43->9;7=nd:&777<3:m1/8>=52c78 1532:<27):<5;15`>i4il0;66g;1d83>>o60?0;66g>8583>>i5j>0;66g>8983>>o3:;0;66g=b783>>o60>0;66g;1g83>>o60o0;66a>7583>>o39h0;66g>8883>>i3:o0;66a>o39j0;66g;1c83>>o60k0;66g;2183>>o60<0;66g>8283>>o61;0;66g>8383>>o39m0;66a<7983>>o60h0;66g>8d83>>o6?<0;66g>7283>>o3:80;66g>8e83>>o3::0;66aj3;29 1742l80b9?=:198k`7=83.?=>4j2:l757<632en<7>5$530>`46`;1380?>icm3:1(9?<:d08j1752=10cij50;&7563=h39;0<76aka;29 1742l80b9?=:998ka?=83.?=>4j2:l757<>32eo;7>5$530>`46`;138a?>ic=3:1(9?<:d08j1752j10ci:50;&756`=h39;0m76ak1;29 1742l80b9?=:028?jb7290/8<=5e39m044=9810cnh50;&7562:9lg`<72->:?7k=;o626?7432enn7>5$530>`45<#<891i?5a400950=h39;0::65`e983>!26;3o97c:>2;34?>ib?3:1(9?<:d08j17528207bk9:18'045=m;1e8<<51898k`3=83.?=>4j2:l757<6i21di94?:%627?c53g>:>7?m;:mg4e<3fio6=4+4019a7=i<881=i54i256>5<#<891?::4n531>5=5<#<891?::4n531>7=54i253>5<#<891?::4n531>1=5<#<891?::4n531>3=5<#<891?i74n531>4=5<#<891?i74n531>6=6=4+40197a?5<#<891?i74n531>0=5<#<891?i74n531>2=5<#<891?i74n531><=5<#<891?i74n531>g=5<#<891?i74n531>a=5<#<891?i74n531>c=4;h1`3?6=,=;86>j6;o626?7632c8o84?:%627?5c12d?=?4>2:9j7f2=83.?=>4m<:18'045=;m30b9?=:068?l5d:3:1(9?<:2f:?k26:3;>76g!26;39o56`;13822>=n;j:1<7*;1280`<=i<881=:54i2`e>5<#<891?i74n531>4><3`9ii7>5$530>6b>3g>:>7?6;:k0fa<72->:?7=k9:l757<6i21b?om50;&756<4l01e8<<51c98m6df290/8<=53e;8j17528i07d=m9;29 1742:n27c:>2;3g?>o4j10;6):>3;1g=>h39;0:i65f3c594?"39:08h45a40095c=4;h1a1?6=,=;86>j6;o626?4632c8n94?:%627?5c12d?=?4=2:9j7g5=83.?=>4l=:18'045=;m30b9?=:368?l5e93:1(9?<:2f:?k26:38>76g!26;39o56`;13812>=n;ml1<7*;1280`<=i<881>:54i2ff>5<#<891?i74n531>7><3`9oh7>5$530>6b>3g>:>7<6;:k0`f<72->:?7=k9:l757<5i21b?il50;&756<4l01e8<<52c98m6b6290/8<=53e;8j1752;i07d=l6;29 1742:n27c:>2;0g?>o4jk0;6):>3;1g=>h39;09i65f3c294?"39:08h45a40096c=h39;0;76gid;29 1742oo0b9?=:098mce=83.?=>4ie:l757<532cmn7>5$530>cc54i04`>5<#<891=;l4n531>5=5<#<891=;l4n531>7=54i044>5<#<891=;l4n531>1=5<#<891=;l4n531>3=5<#<891=;l4n531>==5<#<891=;l4n531>d=5<#<891=;l4n531>f=5<#<891=;l4n531>`=5<#<891=;l4n531>46<3`;>57>5$530>40e3g>:>7?>;:k212<72->:?7?9b:l757<6:21b=8850;&756<6>k1e8<<51298m432290/8<=517`8j17528>07d?:4;29 174282;36?>o6=:0;6):>3;35f>h39;0::65f14094?"39:0::o5a400952=32c:8k4?:%627?71j2d?=?4>a:9j51c=83.?=>4>6c9m044=9k10e<:l:18'045=9?h0b9?=:0a8?l73j3:1(9?<:04a?k26:3;o76g>4`83>!26;3;=n6`;1382a>=n9=31<7*;12822g=i<881=k54i06;>5<#<891=;l4n531>76<3`;?;7>5$530>40e3g>:>7<>;:k203<72->:?7?9b:l757<5:21b=9;50;&756<6>k1e8<<52298m423290/8<=517`8j1752;>07d?;3;29 174282;06?>o6?;0;6):>3;35f>h39;09:65f16394?"39:0::o5a400962=32c::h4?:%627?71j2d?=?4=a:9j53b=83.?=>4>6c9m044=:k10e<8<:18'045=9?h0b9?=:3a8?l7203:1(9?<:04a?k26:38o76g>4e83>!26;3;=n6`;1381a>=n9=81<7*;12822g=i<881>k54o0:3>5<#<891=:h4n531>5=5<#<891=:h4n531>7=54i3c4>5<#<891>l84n531>5=6=4+40196d05<#<891>l84n531>7=54i3c1>5<#<891>l84n531>1=5<#<891=?>4n531>4=5<#<891=?>4n531>6=5<#<891=?>4n531>0=5<#<891=?>4n531>2=5<#<891=?>4n531><=5<#<891=?>4n531>g=5<#<891=?>4n531>a=5<#<891=?>4n531>c=4;h32:?7??c:l757<632c::?7??c:l757<432c:<54?:%627?77k2d?=?4;;:k242<72->:?7??c:l757<232c:<;4?:%627?77k2d?=?49;:k240<72->:?7??c:l757<032c:=;4?:%627?77k2d?=?47;:k250<72->:?7??c:l757<>32c:=94?:%627?77k2d?=?4n;:k256<72->:?7??c:l757:?7??c:l757:?7??c:l7570:9j552=83.?=>4>0b9m044=9810c<=;:18'045=9:90b9?=:198k455290/8<=51218j1752810c<=>:18'045=9:90b9?=:398k457290/8<=51218j1752:10c<10c<=k:18'045=9:90b9?=:998k45d290/8<=51218j1752010c<=m:18'045=9:90b9?=:`98k45f290/8<=51218j1752k10c<=6:18'045=9:90b9?=:b98k45?290/8<=51218j1752m10c<=8:18'045=9:90b9?=:d98k451290/8<=51218j1752o10c<=::18'045=9:90b9?=:028?j75j3:1(9?<:010?k26:3;:76g>a`83>!26;3;j56`;1383?>o6i10;6):>3;3b=>h39;0:76g>a683>!26;3;j56`;1381?>o6i?0;6):>3;3b=>h39;0876g>a483>!26;3;j56`;1387?>o6i:0;6):>3;3b=>h39;0>76g>a383>!26;3;j56`;1385?>o6i80;6):>3;3b=>h39;0<76g>a183>!26;3;j56`;138;?>o61o0;6):>3;3b=>h39;0276g>9d83>!26;3;j56`;138b?>o61m0;6):>3;3b=>h39;0i76g>9b83>!26;3;j56`;138`?>o61k0;6):>3;3b=>h39;0o76g>9`83>!26;3;j56`;138f?>o6j;0;6):>3;3b=>h39;0m76g>b083>!26;3;j56`;13824>=n9k:1<7*;1282e<=i<881=<54i0ce>5<#<891=l74n531>44<3`;ji7>5$530>4g>3g>:>7?<;:k2ea<72->:?7?n9:l757<6<21b=lm50;&756<6i01e8<<51498m4ge290/8<=51`;8j17528<07d?n4;29 17428k27c:>2;34?>o6100;6):>3;3b=>h39;0:465`3`794?"39:08m95a40094>=h;h91<7*;1280e1=i<881=65`3`094?"39:08m95a40096>=h;h;1<7*;1280e1=i<881?65`3`294?"39:08m95a40090>=h;0l1<7*;1280e1=i<881965`38g94?"39:08m95a40092>=h;0n1<7*;1280e1=i<881;65`38`94?"39:08m95a4009<>=h;0k1<7*;1280e1=i<881565`38;94?"39:08m95a4009e>=h;021<7*;1280e1=i<881n65`38594?"39:08m95a4009g>=h;0<1<7*;1280e1=i<881h65`38794?"39:08m95a4009a>=h;0>1<7*;1280e1=i<881j65`38194?"39:08m95a400955=o;;o626?7532e84k4?:%627?5f<2d?=?4>3:9l7=c=83.?=>46k:18'045=;h>0b9?=:078?j5?k3:1(9?<:2c7?k26:3;=76a<8c83>!26;39j86`;13823>=h;1k1<7*;1280e1=i<881=554o2::>5<#<891?l:4n531>4?<3f9347>5$530>6g33g>:>7?n;:m0<2<72->:?7=n4:l757<6j21d?5;50;&756<4i=1e8<<51b98k6>3290/8<=53`68j17528n07b=73;29 1742:k?7c:>2;3f?>i40;0;6):>3;1b0>h39;0:j65`39394?"39:08m95a400965=o;;o626?4532e8;h4?:%627?5f<2d?=?4=3:9l72b=83.?=>49l:18'045=;h>0b9?=:378?j5fj3:1(9?<:2c7?k26:38=76a!26;39j86`;13813>=h;h31<7*;1280e1=i<881>554o2c;>5<#<891?l:4n531>7?<3f9j;7>5$530>6g33g>:>7:?7=n4:l757<5j21d?4m50;&756<4i=1e8<<52b98k6?6290/8<=53`68j1752;n07b=76;29 1742:k?7c:>2;0f?>i4?k0;6):>3;1b0>h39;09j65fa583>!26;3k87c:>2;28?lg5290/8<=5a29m044=921bm<4?:%627?g43g>:>7<4;hc3>5<#<891m>5a40097>=n1o0;6):>3;c0?k26:3>07d7j:18'045=i:1e8<<55:9j=a<72->:?7o<;o626?0<3`3h6=4+4019e6=i<881;65f9c83>!26;3k87c:>2;:8?l?f290/8<=5a29m044=121b554?:%627?g43g>:>7o4;h;4>5<#<891m>5a4009f>=n1?0;6):>3;c0?k26:3i07d7::18'045=i:1e8<<5d:9j=1<72->:?7o<;o626?c<3`386=4+4019e6=i<881j65f9383>!26;3k87c:>2;33?>o>93:1(9?<:`18j17528;07d7?:18'045=i:1e8<<51398m=`=83.?=>4n3:l757<6;21bmn4?:%627?g43g>:>7?;;:kbf?6=,=;86l=4n531>43<3`kj6=4+4019e6=i<881=;54i`;94?"39:0j?6`;13823>=ni10;6):>3;c0?k26:3;376gn7;29 1742h90b9?=:0;8?lg1290/8<=5a29m044=9h10el;50;&756b:9j=<<72->:?7o<;o626?7d32c3i7>5$530>d5n;o626?6<3f>;57>5$530>16f3g>:>7?4;n63n;o626?4<3f>;;7>5$530>16f3g>:>7=4;n632?6=,=;869>n;o626?2<3f>;97>5$530>16f3g>:>7;4;n630?6=,=;869>n;o626?0<3f>;?7>5$530>16f3g>:>794;n635?6=,=;869>n;o626?><3f>;<7>5$530>16f3g>:>774;n1eb?6=,=;869>n;o626?g<3f9mi7>5$530>16f3g>:>7l4;n1e`?6=,=;869>n;o626?e<3f9mo7>5$530>16f3g>:>7j4;n1ef?6=,=;869>n;o626?c<3f9mm7>5$530>16f3g>:>7h4;n1e=?6=,=;869>n;o626?7732e8j54?:%627?27i2d?=?4>1:9l7c0=83.?=>4;0`9m044=9;10c>h::18'045=<9k0b9?=:018?j5a<3:1(9?<:52b?k26:3;?76a!26;3>;m6`;13821>=h;o81<7*;12874d=i<881=;54o2d2>5<#<8918=o4n531>41<3f9m<7>5$530>16f3g>:>7?7;:m0ac<72->:?7:?a:l757<6121d?hk50;&756<38h1e8<<51`98k6cc290/8<=541c8j17528h07b=jb;29 1742=:j7c:>2;3`?>i4mh0;6):>3;63e>h39;0:h65`3d;94?"39:0?n;o626?4732e8i;4?:%627?27i2d?=?4=1:9l7`3=83.?=>4;0`9m044=:;10c>k;:18'045=<9k0b9?=:318?j5b;3:1(9?<:52b?k26:38?76a!26;3>;m6`;13811>=h<8;1<7*;12874d=i<881>;54o533>5<#<8918=o4n531>71<3f>;j7>5$530>16f3g>:>7<7;:m74`<72->:?7:?a:l757<5121d8=j50;&756<38h1e8<<52`98k16d290/8<=541c8j1752;h07b:?2;29 1742=:j7c:>2;0`?>i4n>0;6):>3;63e>h39;09h65`3da94?"39:0?5<#<891o>5a40094>=nk;0;6):>3;a0?k26:3;07dm>:18'045=k:1e8<<52:9jg5<72->:?7m<;o626?5<3`hm6=4+4019g6=i<881865fbd83>!26;3i87c:>2;78?ldc290/8<=5c29m044=>21bnn4?:%627?e43g>:>794;h`a>5<#<891o>5a4009<>=njh0;6):>3;a0?k26:3307dl7:18'045=k:1e8<<5a:9jf2<72->:?7m<;o626?d<3`h=6=4+4019g6=i<881o65fb483>!26;3i87c:>2;f8?ld3290/8<=5c29m044=m21bn>4?:%627?e43g>:>7h4;h`1>5<#<891o>5a400955=h39;0:=65fb183>!26;3i87c:>2;31?>ofn3:1(9?<:b18j17528907dml:18'045=k:1e8<<51598mfd=83.?=>4l3:l757<6=21bol4?:%627?e43g>:>7?9;:k`=?6=,=;86n=4n531>41<3`i36=4+4019g6=i<881=554ib594?"39:0h?6`;1382=>=nk?0;6):>3;a0?k26:3;j76gl5;29 1742j90b9?=:0`8?ld>290/8<=5c29m044=9j10elk50;&756d:9j52g=83.?=>4>789m044=821b=:650;&756<6?01e8<<51:9j521=83.?=>4>789m044=:21vn8:=:182e4<729q/8?k52958L15c3A>8=6T>3g824~2c2>21;:473;5`>1g=9=0<:79=:0390<<083;;69;57487=1=lk8;ocg>4=inh0:7c??0;28j466281/==<537c8 4642;=m7c?>7;38j45b281/=9>526d8j411281e=:l51:l2=3<63g83:7?4n3c;>4=#:k91>464n3`7>4=i;><1<6*o;4$517>60>3->897=9d:m0e`<722c?=h4?::k2<3<722c:494?::m1f2<722c:454?::k767<722c9n;4?::k2<2<722c?=k4?::k2=4?::k2<0<722c:4>4?::k2=7<722c:4?4?::k75a<722e8;54?::k24?::k764<722c:4i4?::k766<722en?7>5$530>`46`;1382?>ib83:1(9?<:d08j1752;10cih50;&7561=h39;0>76akc;29 1742l80b9?=:798kad=83.?=>4j2:l757<032eom7>5$530>`46`;138:?>ic?3:1(9?<:d08j1752h10ci850;&756f=1<7*;128f6>h39;0o76ak3;29 1742l80b9?=:d98ka4=83.?=>4j2:l7575$530>`44;nf3>5<#<891i?5a400954=h39;0:>65`cd83>!26;3o97c:>2;30?>ibj3:1(9?<:d08j17528>07bkn:18'045=m;1e8<<51498k`?=83.?=>4j2:l757<6>21di54?:%627?c53g>:>7?8;:mf3?6=,=;86h<4n531>4><3fo=6=4+4019a7=i<881=454od794?"39:0n>6`;1382e>=hm=0;6):>3;g1?k26:3;i76ak8;29 1742l80b9?=:0a8?jec290/8<=5e39m044=9m10e>9::18'045=;>>0b9?=:198m614290/8<=53668j1752810e>9=:18'045=;>>0b9?=:398m616290/8<=53668j1752:10e>9?:18'045=;>>0b9?=:598m60a290/8<=53668j1752<10e>8j:18'045=;>>0b9?=:798m6bf290/8<=53e;8j1752910e>j7:18'045=;m30b9?=:098m6b0290/8<=53e;8j1752;10e>j9:18'045=;m30b9?=:298m6b2290/8<=53e;8j1752=10e>j;:18'045=;m30b9?=:498m6b4290/8<=53e;8j1752?10e>j=:18'045=;m30b9?=:698m6b7290/8<=53e;8j1752110e>mi:18'045=;m30b9?=:898m6eb290/8<=53e;8j1752h10e>mk:18'045=;m30b9?=:c98m6ed290/8<=53e;8j1752j10e>mm:18'045=;m30b9?=:e98m6ef290/8<=53e;8j1752l10e>m6:18'045=;m30b9?=:g98m6e?290/8<=53e;8j17528:07d=l7;29 1742:n27c:>2;32?>o4k<0;6):>3;1g=>h39;0:>65f3b694?"39:08h45a400956=j6;o626?7232c8o<4?:%627?5c12d?=?4>6:9j7f6=83.?=>410e>li:18'045=;m30b9?=:0:8?l5em3:1(9?<:2f:?k26:3;276g!26;39o56`;1382e>=n;ki1<7*;1280`<=i<881=o54i2`b>5<#<891?i74n531>4e<3`9i57>5$530>6b>3g>:>7?k;:k0f=<72->:?7=k9:l757<6m21b?o950;&756<4l01e8<<51g98m6d1290/8<=53e;8j1752;:07d=m5;29 1742:n27c:>2;02?>o4j=0;6):>3;1g=>h39;09>65f3c194?"39:08h45a400966=j6;o626?4232c8i=4?:%627?5c12d?=?4=6:9j7a`=83.?=>410e>jj:18'045=;m30b9?=:3:8?l5cl3:1(9?<:2f:?k26:38276g!26;39o56`;1381e>=n;mh1<7*;1280`<=i<881>o54i2f2>5<#<891?i74n531>7e<3`9h:7>5$530>6b>3g>:>7:?7=k9:l757<5m21b?o>50;&756<4l01e8<<52g98mc`=83.?=>4ie:l757<732cmh7>5$530>ccoaj3:1(9?<:gg8j1752:10e<8l:18'045=9?h0b9?=:198m40f290/8<=517`8j1752810e<86:18'045=9?h0b9?=:398m40?290/8<=517`8j1752:10e<88:18'045=9?h0b9?=:598m401290/8<=517`8j1752<10e<8::18'045=9?h0b9?=:798m403290/8<=517`8j1752>10e<8=:18'045=9?h0b9?=:998m406290/8<=517`8j1752010e<8?:18'045=9?h0b9?=:`98m43a290/8<=517`8j1752k10e<;j:18'045=9?h0b9?=:b98m43c290/8<=517`8j1752m10e<;l:18'045=9?h0b9?=:d98m43e290/8<=517`8j1752o10e<;n:18'045=9?h0b9?=:028?l7213:1(9?<:04a?k26:3;:76g>5683>!26;3;=n6`;13826>=n9<<1<7*;12822g=i<881=>54i076>5<#<891=;l4n531>42<3`;>87>5$530>40e3g>:>7?:;:k216<72->:?7?9b:l757<6>21b=8<50;&756<6>k1e8<<51698m436290/8<=517`8j17528207d?:0;29 174282;3:?>o63;35f>h39;0:m65f15g94?"39:0::o5a40095g=h6=4+401953de:9j51?=83.?=>4>6c9m044=9o10e<:7:18'045=9?h0b9?=:328?l73?3:1(9?<:04a?k26:38:76g>4783>!26;3;=n6`;13816>=n9=?1<7*;12822g=i<881>>54i067>5<#<891=;l4n531>72<3`;??7>5$530>40e3g>:>7<:;:k237<72->:?7?9b:l757<5>21b=:?50;&756<6>k1e8<<52698m417290/8<=517`8j1752;207d?9f;29 174282;0:?>o6>l0;6):>3;35f>h39;09m65f17f94?"39:0::o5a40096g=4>6c9m044=:o10c<6?:18'045=9>l0b9?=:198k41b290/8<=516d8j1752810c<9k:18'045=9>l0b9?=:398k41d290/8<=516d8j1752:10e?o8:18'045=:h<0b9?=:198m7g2290/8<=52`48j1752810e?o;:18'045=:h<0b9?=:398m7g4290/8<=52`48j1752:10e?o=:18'045=:h<0b9?=:598m446290/8<=51328j1752910e8;29 174288;7c:>2;32?>o68m0;6):>3;33g>h39;0;76g>0c83>!26;3;;o6`;1382?>o68h0;6):>3;33g>h39;0976g>0883>!26;3;;o6`;1380?>o6810;6):>3;33g>h39;0?76g>0683>!26;3;;o6`;1386?>o68?0;6):>3;33g>h39;0=76g>0483>!26;3;;o6`;1384?>o69?0;6):>3;33g>h39;0376g>1483>!26;3;;o6`;138:?>o69=0;6):>3;33g>h39;0j76g>1283>!26;3;;o6`;138a?>o69;0;6):>3;33g>h39;0h76g>1083>!26;3;;o6`;138g?>o6990;6):>3;33g>h39;0n76g>0g83>!26;3;;o6`;138e?>o68l0;6):>3;33g>h39;0:<65f11694?"39:0:5<#<891=>=4n531>4=5<#<891=>=4n531>6=5<#<891=>=4n531>0=5<#<891=>=4n531>2=5<#<891=>=4n531><=5<#<891=>=4n531>g=5<#<891=>=4n531>a=5<#<891=>=4n531>c=6=4+40195654;n31f?6=,=;86<=<;o626?7632c:ml4?:%627?7f12d?=?4?;:k2e=<72->:?7?n9:l757<632c:m:4?:%627?7f12d?=?4=;:k2e3<72->:?7?n9:l757<432c:m84?:%627?7f12d?=?4;;:k2e6<72->:?7?n9:l757<232c:m?4?:%627?7f12d?=?49;:k2e4<72->:?7?n9:l757<032c:m=4?:%627?7f12d?=?47;:k2=c<72->:?7?n9:l757<>32c:5h4?:%627?7f12d?=?4n;:k2=a<72->:?7?n9:l757:?7?n9:l757:?7?n9:l7570:9j5g6=83.?=>4>a89m044=9810eae83>!26;3;j56`;13820>=n9hi1<7*;1282e<=i<881=854i0ca>5<#<891=l74n531>40<3`;j87>5$530>4g>3g>:>7?8;:k2=<<72->:?7?n9:l757<6021d?l;50;&756<4i=1e8<<50:9l7d5=83.?=>4450;&756<4i=1e8<<54:9l7<`=83.?=>444=83.?=>4442;31?>i40o0;6):>3;1b0>h39;0:?65`39g94?"39:08m95a400951=o;;o626?7132e84o4?:%627?5f<2d?=?4>7:9l7=g=83.?=>466:18'045=;h>0b9?=:0;8?j5?03:1(9?<:2c7?k26:3;j76a<8683>!26;39j86`;1382f>=h;1?1<7*;1280e1=i<881=n54o2:7>5<#<891?l:4n531>4b<3f93?7>5$530>6g33g>:>7?j;:m0<7<72->:?7=n4:l757<6n21d?5?50;&756<4i=1e8<<52198k6>7290/8<=53`68j1752;;07b=8f;29 1742:k?7c:>2;01?>i4?l0;6):>3;1b0>h39;09?65`36f94?"39:08m95a400961=o;;o626?4132e8ml4?:%627?5f<2d?=?4=7:9l7d?=83.?=>4o7:18'045=;h>0b9?=:3;8?j5f?3:1(9?<:2c7?k26:38j76a!26;39j86`;1381f>=h;0i1<7*;1280e1=i<881>n54o2;2>5<#<891?l:4n531>7b<3f93:7>5$530>6g33g>:>7:?7=n4:l757<5n21bm94?:%627?g43g>:>7>4;hc1>5<#<891m>5a40095>=ni80;6):>3;c0?k26:3807do?:18'045=i:1e8<<53:9j=c<72->:?7o<;o626?2<3`3n6=4+4019e6=i<881965f9e83>!26;3k87c:>2;48?l?d290/8<=5a29m044=?21b5o4?:%627?g43g>:>764;h;b>5<#<891m>5a4009=>=n110;6):>3;c0?k26:3k07d78:18'045=i:1e8<<5b:9j=3<72->:?7o<;o626?e<3`3>6=4+4019e6=i<881h65f9583>!26;3k87c:>2;g8?l?4290/8<=5a29m044=n21b5?4?:%627?g43g>:>7??;:k:5?6=,=;86l=4n531>47<3`3;6=4+4019e6=i<881=?54i9d94?"39:0j?6`;13827>=nij0;6):>3;c0?k26:3;?76gnb;29 1742h90b9?=:078?lgf290/8<=5a29m044=9?10el750;&7567:9je=<72->:?7o<;o626?7?32cj;7>5$530>d55<#<891m>5a40095d=h39;0:n65f9883>!26;3k87c:>2;3`?>o?m3:1(9?<:`18j17528n07b:?b;29 1742=:j7c:>2;28?j2713:1(9?<:52b?k26:3;07b:?8;29 1742=:j7c:>2;08?j27?3:1(9?<:52b?k26:3907b:?6;29 1742=:j7c:>2;68?j27=3:1(9?<:52b?k26:3?07b:?4;29 1742=:j7c:>2;48?j27;3:1(9?<:52b?k26:3=07b:?1;29 1742=:j7c:>2;:8?j2783:1(9?<:52b?k26:3307b=if;29 1742=:j7c:>2;c8?j5am3:1(9?<:52b?k26:3h07b=id;29 1742=:j7c:>2;a8?j5ak3:1(9?<:52b?k26:3n07b=ib;29 1742=:j7c:>2;g8?j5ai3:1(9?<:52b?k26:3l07b=i9;29 1742=:j7c:>2;33?>i4n10;6):>3;63e>h39;0:=65`3g494?"39:0?6=4+401905gn;o626?7332e8j>4?:%627?27i2d?=?4>5:9l7c4=83.?=>4;0`9m044=9?10c>h>:18'045=<9k0b9?=:058?j5a83:1(9?<:52b?k26:3;376a!26;3>;m6`;1382=>=h;lo1<7*;12874d=i<881=l54o2gg>5<#<8918=o4n531>4d<3f9nn7>5$530>16f3g>:>7?l;:m0ad<72->:?7:?a:l757<6l21d?h750;&756<38h1e8<<51d98k6c?290/8<=541c8j17528l07b=j7;29 1742=:j7c:>2;03?>i4m?0;6):>3;63e>h39;09=65`3d794?"39:0?n;o626?4332e8i?4?:%627?27i2d?=?4=5:9l047=83.?=>4;0`9m044=:?10c9??:18'045=<9k0b9?=:358?j27n3:1(9?<:52b?k26:38376a;0d83>!26;3>;m6`;1381=>=h<9n1<7*;12874d=i<881>l54o52`>5<#<8918=o4n531>7d<3f>;>7>5$530>16f3g>:>7:?7:?a:l757<5l21d?hm50;&756<38h1e8<<52d98k6c6290/8<=541c8j1752;l07dm;:18'045=k:1e8<<50:9jg7<72->:?7m<;o626?7<3`i:6=4+4019g6=i<881>65fc183>!26;3i87c:>2;18?lda290/8<=5c29m044=<21bnh4?:%627?e43g>:>7;4;h`g>5<#<891o>5a40092>=njj0;6):>3;a0?k26:3=07dlm:18'045=k:1e8<<58:9jfd<72->:?7m<;o626??<3`h36=4+4019g6=i<881m65fb683>!26;3i87c:>2;`8?ld1290/8<=5c29m044=k21bn84?:%627?e43g>:>7j4;h`7>5<#<891o>5a4009a>=nj:0;6):>3;a0?k26:3l07dl=:18'045=k:1e8<<51198mg7=83.?=>4l3:l757<6921bn=4?:%627?e43g>:>7?=;:kbb?6=,=;86n=4n531>45<3`ih6=4+4019g6=i<881=954ib`94?"39:0h?6`;13821>=nkh0;6):>3;a0?k26:3;=76gl9;29 1742j90b9?=:058?le?290/8<=5c29m044=9110en950;&7569:9jg3<72->:?7m<;o626?7f32ch97>5$530>f55<#<891o>5a40095f=h39;0:h65f16c94?"39:0:;45a40094>=n9>21<7*;12823<=i<881=65f16594?"39:0:;45a40096>=zj<>86=4>a083>5}#<;o1>594H51g?M2492P:?k4>0z6g>2>=?>03?79l:5c951<0>3=964n022>4=#9981?;o4$020>71a3g;:;7?4n01f>4=#9=:1>:h4n055>4=i9>h1=6`>9782?k4?>3;0b?o7:09'6g5=:020b?l;:09m720=82.8mi4:;o621?7<,=8=6?l<;%613?5fl2.???4;2e9'065=:k?0(9=;:24:?!24=39=h6a>o39l0;66g>8783>>o60=0;66a=b683>>o6010;66g;2383>>o5j?0;66g>8683>>o39o0;66g>8g83>>i6?=0;66g;1`83>>o6000;66a;2g83>>i4io0;66g;1b83>>o39k0;66g>8c83>>o3:90;66g>8483>>o60:0;66g>9383>>o60;0;66g;1e83>>i4?10;66g>8`83>>o60l0;66g>7483>>o6?:0;66g;2083>>o60m0;66g;2283>>ib;3:1(9?<:d08j1752910ch?50;&756;:mf4?6=,=;86h<4n531>7=h39;0876ake;29 1742l80b9?=:598kab=83.?=>4j2:l757<232eoo7>5$530>`46`;1384?>ici3:1(9?<:d08j1752110ci750;&756d=h39;0i76ak5;29 1742l80b9?=:b98ka2=83.?=>4j2:l7575$530>`46`;138e?>ic93:1(9?<:d08j17528:07bj?:18'045=m;1e8<<51098kf`=83.?=>4j2:l757<6:21doh4?:%627?c53g>:>7?<;:mff?6=,=;86h<4n531>42<3foj6=4+4019a7=i<881=854od;94?"39:0n>6`;13822>=hm10;6):>3;g1?k26:3;<76aj7;29 1742l80b9?=:0:8?jc1290/8<=5e39m044=9010ch;50;&756a:9la1<72->:?7k=;o626?7e32eo47>5$530>`45<#<891i?5a40095a=6=4+40197225<#<891?::4n531>4=5<#<891?::4n531>6=5<#<891?::4n531>0=5<#<891?i74n531>5=5<#<891?i74n531>7=54i2f6>5<#<891?i74n531>1=5<#<891?i74n531>3=5<#<891?i74n531>==5<#<891?i74n531>d=5<#<891?i74n531>f=5<#<891?i74n531>`=5<#<891?i74n531>46<3`9h;7>5$530>6b>3g>:>7?>;:k0g0<72->:?7=k9:l757<6:21b?n:50;&756<4l01e8<<51298m6e4290/8<=53e;8j17528>07d=l2;29 1742:n27c:>2;36?>o4k80;6):>3;1g=>h39;0::65f3b294?"39:08h45a400952=j6;o626?7>32c8ni4?:%627?5c12d?=?4>a:9j7ge=83.?=>4ln:18'045=;m30b9?=:0a8?l5e13:1(9?<:2f:?k26:3;o76g!26;39o56`;1382a>=n;k=1<7*;1280`<=i<881=k54i2`5>5<#<891?i74n531>76<3`9i97>5$530>6b>3g>:>7<>;:k0f1<72->:?7=k9:l757<5:21b?o=50;&756<4l01e8<<52298m6d5290/8<=53e;8j1752;>07d=m1;29 1742:n27c:>2;06?>o4m90;6):>3;1g=>h39;09:65f3ed94?"39:08h45a400962=j6;o626?4>32c8hn4?:%627?5c12d?=?4=a:9j7ad=83.?=>4j>:18'045=;m30b9?=:3a8?l5d>3:1(9?<:2f:?k26:38o76g!26;39o56`;1381a>=n;k:1<7*;1280`<=i<881>k54igd94?"39:0mi6`;1383?>oal3:1(9?<:gg8j1752810ekm50;&7566=5<#<891=;l4n531>4=5<#<891=;l4n531>6=5<#<891=;l4n531>0=6=4+401953d5<#<891=;l4n531>2=5<#<891=;l4n531><=5<#<891=;l4n531>g=5<#<891=;l4n531>a=5<#<891=;l4n531>c=4;h36=?6=,=;86<8m;o626?7632c:9:4?:%627?71j2d?=?4>2:9j500=83.?=>4>6c9m044=9:10e<;::18'045=9?h0b9?=:068?l72<3:1(9?<:04a?k26:3;>76g>5283>!26;3;=n6`;13822>=n9<81<7*;12822g=i<881=:54i072>5<#<891=;l4n531>4><3`;><7>5$530>40e3g>:>7?6;:k20c<72->:?7?9b:l757<6i21b=9k50;&756<6>k1e8<<51c98m42d290/8<=517`8j17528i07d?;b;29 174282;3g?>o63;35f>h39;0:i65f15;94?"39:0::o5a40095c=36=4+401953d4;h373?6=,=;86<8m;o626?4632c:8;4?:%627?71j2d?=?4=2:9j513=83.?=>4>6c9m044=::10e<:;:18'045=9?h0b9?=:368?l73;3:1(9?<:04a?k26:38>76g>7383>!26;3;=n6`;13812>=n9>;1<7*;12822g=i<881>:54i053>5<#<891=;l4n531>7><3`;=j7>5$530>40e3g>:>7<6;:k22`<72->:?7?9b:l757<5i21b=;j50;&756<6>k1e8<<52c98m404290/8<=517`8j1752;i07d?:8;29 174282;0g?>o63;35f>h39;09i65f15094?"39:0::o5a40096c=5<#<891=:h4n531>4=5<#<891=:h4n531>6=5<#<891>l84n531>4=5<#<891>l84n531>6=5<#<891=?>4n531>5=5<#<891=?>4n531>7=54i03`>5<#<891=?>4n531>1=5<#<891=?>4n531>3=5<#<891=?>4n531>==5<#<891=?>4n531>d=5<#<891=?>4n531>f=6=4+40195765<#<891=?>4n531>`=5<#<891=?>4n531>46<3`;:47>5$530>4473g>:>7?>;:k24a<72->:?7??c:l757<732c:;:k24d<72->:?7??c:l757<532c:<44?:%627?77k2d?=?4<;:k24=<72->:?7??c:l757<332c:<:4?:%627?77k2d?=?4:;:k243<72->:?7??c:l757<132c:<84?:%627?77k2d?=?48;:k253<72->:?7??c:l757:?7??c:l7574?:%627?77k2d?=?4m;:k257<72->:?7??c:l757:?7??c:l757:?7??c:l757<6821b==:50;&756<68j1e8<<51098k453290/8<=51218j1752910c<==:18'045=9:90b9?=:098k456290/8<=51218j1752;10c<=?:18'045=9:90b9?=:298k44a290/8<=51218j1752=10c<290/8<=51218j1752j10c<=7:18'045=9:90b9?=:e98k450290/8<=51218j1752l10c<=9:18'045=9:90b9?=:g98k452290/8<=51218j17528:07b?=b;29 17428987c:>2;32?>o6ih0;6):>3;3b=>h39;0;76g>a983>!26;3;j56`;1382?>o6i>0;6):>3;3b=>h39;0976g>a783>!26;3;j56`;1380?>o6i<0;6):>3;3b=>h39;0?76g>a283>!26;3;j56`;1386?>o6i;0;6):>3;3b=>h39;0=76g>a083>!26;3;j56`;1384?>o6i90;6):>3;3b=>h39;0376g>9g83>!26;3;j56`;138:?>o61l0;6):>3;3b=>h39;0j76g>9e83>!26;3;j56`;138a?>o61j0;6):>3;3b=>h39;0h76g>9c83>!26;3;j56`;138g?>o61h0;6):>3;3b=>h39;0n76g>b383>!26;3;j56`;138e?>o6j80;6):>3;3b=>h39;0:<65f1c294?"39:0:m45a400954=4:9j5de=83.?=>4>a89m044=9<10e9883>!26;3;j56`;1382<>=h;h?1<7*;1280e1=i<881<65`3`194?"39:08m95a40095>=h;h81<7*;1280e1=i<881>65`3`394?"39:08m95a40097>=h;h:1<7*;1280e1=i<881865`38d94?"39:08m95a40091>=h;0o1<7*;1280e1=i<881:65`38f94?"39:08m95a40093>=h;0h1<7*;1280e1=i<881465`38c94?"39:08m95a4009=>=h;031<7*;1280e1=i<881m65`38:94?"39:08m95a4009f>=h;0=1<7*;1280e1=i<881o65`38494?"39:08m95a4009`>=h;0?1<7*;1280e1=i<881i65`38694?"39:08m95a4009b>=h;091<7*;1280e1=i<881==54o2;1>5<#<891?l:4n531>47<3f92<7>5$530>6g33g>:>7?=;:m0:?7=n4:l757<6;21d?5k50;&756<4i=1e8<<51598k6>c290/8<=53`68j17528?07b=7c;29 1742:k?7c:>2;35?>i40k0;6):>3;1b0>h39;0:;65`39c94?"39:08m95a40095==o;;o626?7f32e84:4?:%627?5f<2d?=?4>b:9l7=3=83.?=>46;:18'045=;h>0b9?=:0f8?j5?;3:1(9?<:2c7?k26:3;n76a<8383>!26;39j86`;1382b>=h;1;1<7*;1280e1=i<881>=54o2:3>5<#<891?l:4n531>77<3f95$530>6g33g>:>7<=;:m03`<72->:?7=n4:l757<5;21d?:j50;&756<4i=1e8<<52598k61d290/8<=53`68j1752;?07b=nb;29 1742:k?7c:>2;05?>i4ih0;6):>3;1b0>h39;09;65`3`;94?"39:08m95a40096==o;;o626?4f32e8m;4?:%627?5f<2d?=?4=b:9l747>:18'045=;h>0b9?=:3f8?j5?>3:1(9?<:2c7?k26:38n76a<7c83>!26;39j86`;1381b>=ni=0;6):>3;c0?k26:3:07do=:18'045=i:1e8<<51:9je4<72->:?7o<;o626?4<3`k;6=4+4019e6=i<881?65f9g83>!26;3k87c:>2;68?l?b290/8<=5a29m044==21b5i4?:%627?g43g>:>784;h;`>5<#<891m>5a40093>=n1k0;6):>3;c0?k26:3207d7n:18'045=i:1e8<<59:9j==<72->:?7o<;o626?g<3`3<6=4+4019e6=i<881n65f9783>!26;3k87c:>2;a8?l?2290/8<=5a29m044=l21b594?:%627?g43g>:>7k4;h;0>5<#<891m>5a4009b>=n1;0;6):>3;c0?k26:3;;76g61;29 1742h90b9?=:038?l?7290/8<=5a29m044=9;10e5h50;&7563:9jef<72->:?7o<;o626?7332cjn7>5$530>d55<#<891m>5a400953=h39;0:;65fa983>!26;3k87c:>2;3;?>of?3:1(9?<:`18j17528307do9:18'045=i:1e8<<51`98md3=83.?=>4n3:l757<6j21b544?:%627?g43g>:>7?l;:k;a?6=,=;86l=4n531>4b<3f>;n7>5$530>16f3g>:>7>4;n63=?6=,=;869>n;o626?7<3f>;47>5$530>16f3g>:>7<4;n633?6=,=;869>n;o626?5<3f>;:7>5$530>16f3g>:>7:4;n631?6=,=;869>n;o626?3<3f>;87>5$530>16f3g>:>784;n637?6=,=;869>n;o626?1<3f>;=7>5$530>16f3g>:>764;n634?6=,=;869>n;o626??<3f9mj7>5$530>16f3g>:>7o4;n1ea?6=,=;869>n;o626?d<3f9mh7>5$530>16f3g>:>7m4;n1eg?6=,=;869>n;o626?b<3f9mn7>5$530>16f3g>:>7k4;n1ee?6=,=;869>n;o626?`<3f9m57>5$530>16f3g>:>7??;:m0b=<72->:?7:?a:l757<6921d?k850;&756<38h1e8<<51398k6`2290/8<=541c8j17528907b=i4;29 1742=:j7c:>2;37?>i4n:0;6):>3;63e>h39;0:965`3g094?"39:0?n;o626?7?32e8ik4?:%627?27i2d?=?4>9:9l7`c=83.?=>4;0`9m044=9h10c>kk:18'045=<9k0b9?=:0`8?j5bj3:1(9?<:52b?k26:3;h76a!26;3>;m6`;1382`>=h;l31<7*;12874d=i<881=h54o2g;>5<#<8918=o4n531>4`<3f9n;7>5$530>16f3g>:>7:?7:?a:l757<5921d?h;50;&756<38h1e8<<52398k6c3290/8<=541c8j1752;907b=j3;29 1742=:j7c:>2;07?>i4m;0;6):>3;63e>h39;09965`40394?"39:0?n;o626?4?32e?4;0`9m044=:h10c9>l:18'045=<9k0b9?=:3`8?j27:3:1(9?<:52b?k26:38h76a!26;3>;m6`;1381`>=h;li1<7*;12874d=i<881>h54o2g2>5<#<8918=o4n531>7`<3`i?6=4+4019g6=i<881<65fc383>!26;3i87c:>2;38?le6290/8<=5c29m044=:21bo=4?:%627?e43g>:>7=4;h`e>5<#<891o>5a40090>=njl0;6):>3;a0?k26:3?07dlk:18'045=k:1e8<<56:9jff<72->:?7m<;o626?1<3`hi6=4+4019g6=i<881465fb`83>!26;3i87c:>2;;8?ld?290/8<=5c29m044=i21bn:4?:%627?e43g>:>7l4;h`5>5<#<891o>5a4009g>=nj<0;6):>3;a0?k26:3n07dl;:18'045=k:1e8<<5e:9jf6<72->:?7m<;o626?`<3`h96=4+4019g6=i<881==54ic394?"39:0h?6`;13825>=nj90;6):>3;a0?k26:3;976gnf;29 1742j90b9?=:018?led290/8<=5c29m044=9=10enl50;&7565:9jgd<72->:?7m<;o626?7132ch57>5$530>f55<#<891o>5a40095==h39;0:565fc783>!26;3i87c:>2;3b?>od=3:1(9?<:b18j17528h07dl6:18'045=k:1e8<<51b98mdc=83.?=>4l3:l757<6l21b=:o50;&756<6?01e8<<50:9j52>=83.?=>4>789m044=921b=:950;&756<6?01e8<<52:9~f023290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f022290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f021290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f020290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f02?290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f02>290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f02f290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f02e290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f02d290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f02c290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f02b290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f02a290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f037290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f036290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f035290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f034290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f033290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f032290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f031290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f030290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f03?290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f03>290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f03f290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f03e290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f03d290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f03c290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f03b290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f03a290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f007290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f006290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f005290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f004290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f003290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f002290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f001290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f000290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f00?290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f00>290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f00f290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f00e290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f00d290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f00c290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f00b290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f00a290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f017290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f016290897==:5gxL1563->9i7=?cg9Y56`=;r;<6??5328~m`b=83.?=>4jc:l757<732c:o94?:%627?7d;2d?=?4?;:k2g7<72->:?7?l3:l757<632c:o<4?:%627?7d;2d?=?4=;:k2g5<72->:?7?l3:l757<432c:nh4?:%627?7d;2d?=?4;;:k2fa<72->:?7?l3:l757<232c:nn4?:%627?7d;2d?=?49;:k2fg<72->:?7?l3:l757<032c:nl4?:%627?7d;2d?=?47;:k2f<<72->:?7?l3:l757<>32c:n54?:%627?7d;2d?=?4n;:k2f2<72->:?7?l3:l757:?7?l3:l757:?7?l3:l7570:9j5f?=83.?=>4>c29m044=9810ec783>!26;3;h?6`;13820>=n9j?1<7*;1282g6=i<881=854i0`e>5<#<891=n=4n531>40<3`;i87>5$530>4e43g>:>7?8;:k77<<722c:4<4?::k760<722c:5?4?::m2`a<72->:?7?kc:l757<732e:ho4?:%627?7ck2d?=?4>;:m2`d<72->:?7?kc:l757<532e:h44?:%627?7ck2d?=?4<;:m2`2<72->:?7?kc:l757<332e:h;4?:%627?7ck2d?=?4:;:m2`0<72->:?7?kc:l757<132e:h94?:%627?7ck2d?=?48;:m2`6<72->:?7?kc:l757:?7?kc:l757:?7?kc:l757:?7?kc:l757:?7?kc:l757<6821d=h<50;&756<6lj1e8<<51098k4c6290/8<=51ea8j17528807b?j0;29 17428nh7c:>2;30?>i6lo0;6):>3;3gg>h39;0:865`1eg94?"39:0:hn5a400950=;?4?:083>5}#<;o1>4o4H51g?M2492e9544?::a125=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi9::50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a123=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi9:850;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a121=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi9:650;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a12?=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi9:o50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a12d=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi9:m50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a12b=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi9:k50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a12`=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi95>50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1=7=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi95<50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1=5=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi95:50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1=3=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi95850;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1=1=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi95650;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1=?=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi95o50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1=d=8331<7>t$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm59a94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi95j50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e=1o1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm58294??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi94?50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e=081<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm58694??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi94;50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e=0<1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm58:94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi94750;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e=0k1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm58a94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi94j50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e=0o1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm5`294??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi9l?50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e=h81<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>7ga3A>8h6F;309'6d7=0?1b>4m50;9j61=d=001695m5889>1=b=001695k5889>1=`=001694>5889>1<7=001694<5889>1<5=001694:5889>1<3=00169485889>1<1=00169465889>1111<`=00169l>5889>1d7=00169l<5889~w716=3:1>vP>c59>1d6=90=0q~<81783>7}Y9j8018o=:0;4?xu5?8=1<75<5sW;h<63:9e82=2=z{;=:57>52z\2f`=:=0l1=494}r045d<72;qU=oj4=4;f>4?03ty9;1v?9>d;296~X6jh1694l51858yv409l0;6?uQ1c;890?0283<7p}=70d94?4|V8h370;69;3:3>{t:>8;6=4={_3a3>;2110:5:5rs3515?6=:rT:n;5258695<1?4?:3y]5g3<5<3=6<78;|q1375=838pR?2wx>:<;:181[7dj27>5<4>969~w715=3:1>vP>c`9>1<5=90=0q~<82783>7}Y9j30187=:0;4?xu5?;=1<75<5sW;h;63:9182=2=z{;=957>52z\2g3=:=1l1=494}r046d<72;qU=n;4=4:a>4?03ty9;?l50;0xZ4da34?3h7?67:p624d2909wS?m4:?61v?9=d;296~X3;0169l=528f8yv40:l0;6?1=5?4=455>4>634?<;7?71:?63=<608169:751938901f282:70;8b;3;5>;2?j0:4<5256f95=7<5<=n6<6>;<74b?7?927>4=4>809>1=7=91;0186=:0:2?83?;3;3=63:8582<4=:=1?1=5?4=4:5>4>634?3;7?71:?6<=<608169575193890>f282:70;n3;0:g>{t:>8m6=4<1z\2=7=:=>91=9?4=457>42634?<97?;1:?633<6<8169:951538901?28>:70;89;375>;2?h0:8<5256`9517<5<=h6<:>;<74`?73927>;h4>409>12`=9=;0186?:062?83?93;?=63:838204=:=191=9?4=4:7>42634?397?;1:?6<3<6<8169595153890>?28>:70;79;375>;20h0:8<5259`95<4<5<2h6<7=;<7;`?7>:27>4h4>939>1=`=9080187?:0;1?83>93;2>63:9382=7=:=091=4<4=4;7>4?534?297?62:?6=3<61;169495180890??283970;69;3:6>;21h0:5?5258`95<4<5<3h6<7=;<7:`?7>:27>5h4>939>1<`=908018o?:0;1?83f93;2>63:a382=7=z{;=8<7>52z\2`a=:=1k18<84}r0474<72;qU=il4=4::>1713ty9;><50;0xZ4bf34?347:>6:p62542909wS?k9:?6<2<39?1v?9<4;296~X6l>1695854048yv40;<0;6?uQ1e4890>22=;=7p}=72494?4|V8n>70;74;622>{t:>9<6=4={_3g0>;20:0?=;5rs350525909040;<7;4?26>2wx>:=m:181[7c827>;k4;179~w714k3:1>vP>cg9>12c=<8<0q~<83e83>7}Y9jo0189k:535?xu5?:o1<7::6s|261e>5<5sW;n863:7c8753=z{;=?<7>52z\2a6=:=>k18<84}r0404<72;qU=h<4=45:>1713ty9;9<50;0xZ4c634?<47:>6:p62242909wS?j0:?632<39?1v?9;4;296~X6lo169:854048yv40<<0;6?uQ1eg890122=;=7p}=75494?4|V8n370;84;622>{t:>><6=4={_3``>;2?:0?=;5rs357e21k0186m:9`890>e21i0186l:9c890>d21h0186l:9a890>c21k0186k:9`890>c21i0186j:9c890>b21h0186j:9a890>a21k0186i:9`890>a21i0187?:9c890?721h0187?:9a890?621k0187>:9`890?621i0187=:9c890?521h0187=:9a890?421k0187<:9`890?421i0187;:9c890?321h0187;:9a890?221k0187::9`890?221i01879:9c890?121h01879:9a890?021k01878:9`890?021i01877:9c890??21h01877:9a890?>21k01876:9`890?>21i0187n:9c890?f21h0187n:9a890?e21k0187m:9`890?e21i0187l:9c890?d21h0187l:9a890?c21k0187k:9`890?c21i0187j:9c890?b21h0187j:9a890?a21k0187i:9`890?a21i018o?:9c890g721h018o?:9a890g621k018o>:9`890g621i018o=:9c890g521h018o=:9a8yv40<00;6?u256195<1<5<2h69?9;|q131g=838p189;:0;4?83?l3>::6s|266a>5<5s4?<97?67:?6121=90=0187?:535?xu5?=o1<74?034?3i7:>6:p622a2909w0;89;3:3>;21;0?=;5rs3564?6=:r7>;l4>969>1<5=<8<0q~<85083>7}:=>h1=494=4;2>1713ty9;8<50;0x901d283<70;65;622>{t:>?86=4={<74`?7>?27>5;4;179~w712<3:1>v3:7d82=2=:=0>18<84}r0410<72;q69:h5185890??2=;=7p}=74494?4|5<2;6<78;<7:=?26>2wx>:;8:18183?93;2;63:968753=z{;=>47>52z?6<7<61>1694l54048yv40=00;6?u259195<1<5<3h69?9;|q130g=838p186;:0;4?83>i3>::6s|267a>5<5s4?397?67:?6=`<39?1v?9:c;296~;20?0:5:5258d90401=1=90=0187k:535?xu5?4?034?j=7:>6:p623a2909w0;79;3:3>;2i;0?=;5rs3554?6=:r7>4l4>969>1d6=<8<0q~<86083>4?|5<2i6<6>;<7;g?7?927>4i4>809>1=c=91;0186i:0:2?83>83;3=63:9082<4=:=081=5?4=4;0>4>634?287?71:?6=0<608169485193890?0282:70;68;3;5>;2100:4<5258c95=7<5<3i6<6>;<7:g?7?927>5i4>809>160e3twi9l:50;16>64=?4$50f>66dn2P:?k4<{05964<4;3wbii4?:%627?cd3g>:>7>4;h3`0?6=,=;867>5$530>4e43g>:>7?4;h3`5?6=,=;865$530>4e43g>:>7=4;h3aa?6=,=;865$530>4e43g>:>7;4;h3ag?6=,=;865$530>4e43g>:>794;h3ae?6=,=;86<3`;i57>5$530>4e43g>:>774;h3a5$530>4e43g>:>7l4;h3a2?6=,=;865$530>4e43g>:>7j4;h3`g?6=,=;865$530>4e43g>:>7h4;h3`e?6=,=;861:9j5f>=83.?=>4>c29m044=9;10e3:1(9?<:0a0?k26:3;?76g>c483>!26;3;h?6`;13821>=n9kl1<7*;1282g6=i<881=;54i0`7>5<#<891=n=4n531>41<3`>857>5;h3;5?6=3`>997>5;h3:6?6=3f;oh7>5$530>4bd3g>:>7>4;n3gf?6=,=;865$530>4bd3g>:>7<4;n3g=?6=,=;865$530>4bd3g>:>7:4;n3g2?6=,=;865$530>4bd3g>:>784;n3g0?6=,=;865$530>4bd3g>:>764;n3g6?6=,=;865$530>4bd3g>:>7o4;n3g4?6=,=;865$530>4bd3g>:>7m4;n3`a?6=,=;865$530>4bd3g>:>7k4;n3f0?6=,=;865$530>4bd3g>:>7??;:m2a7<72->:?7?kc:l757<6921d=h?50;&756<6lj1e8<<51398k4c7290/8<=51ea8j17528907b?kf;29 17428nh7c:>2;37?>i6ll0;6):>3;3gg>h39;0:965`1e:94?"39:0:hn5a400953=;n0:=?6=3th>m;4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`6e2<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th>m54?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`6e<<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th>ml4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`6eg<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th>mn4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`6ea<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th>mh4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`6ec<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th>n=4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`6f4<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th>n?4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`6f6<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th>n94?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`6f0<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th>n;4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`6f2<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th>n54?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`6f<<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th>nl4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`6fg<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th>nn4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`6fa<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th>nh4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd2jo0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`6g5<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl:c083><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th>o?4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd2k:0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`6g1<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl:c483><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th>o;4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd2k>0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`6g=<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl:c883><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th>ol4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd2kk0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`6gf<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl:ce83><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th>oh4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd2ko0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`6`5<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl:d083><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th>h?4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd2l:0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`6`1<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl:d483><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th>h;4?:283>5}#<;o1>lh4H51g?M2492.9m<476:k1=f<722c95i4?::m02g<722wx>:8=:182=~Xbl27>nh479:?6fco=479:?6g4o?479:?6g6o9479:?6g0o;479:?6g2o5479:?6g<ol479:?6ggon479:?6gaoh479:?6gch=479:?6`4h?479:?6`6h9479:?6`0:8<:181[7d<27>h>4>969~w711<3:1>vP>c39>1a3=90=0q~<86483>7}Y9j;018j;:0;4?xu5??<1<75<5sW;ii63:d382=2=z{;==47>52z\2fa=:=m;1=494}r042<<72;qU=om4=4ag>4?03ty9;;o50;0xZ4de34?hj7?67:p620e2909wS?ma:?6g`<61>1v?99c;296~X6j0169no51858yv40>m0;6?uQ1c:890ed283<7p}=77g94?4|V8h<70;lb;3:3>{t:>;2k>0:5:5rs3544?6=:rT:n8525b;95<1?2wx>:9<:181[7di27>o;4>969~w710<3:1>vP>c89>1f3=90=0q~<87483>7}Y9j2018m>:0;4?xu5?><1<75<5sW;h:63:c382=2=z{;=<47>52z\2g0=:=ko1=494}r043<<72;qU=oh4=4a3>4?03ty9;:o50;0xZ4d334?ij7?67:p621e2909wS:<9:?6`3<51m1v?98c;295d}Y91;018o9:0:2?83f?3;3=63:a982<4=:=h31=5?4=4cb>4>634?jn7?71:?6ef<608169lj5193890gb282:70;nf;3;5>;2j90:4<525c395=7<5;<7a7?7?927>n94>809>1g3=91;018l9:0:2?83e?3;3=63:b982<4=:=k31=5?4=4`b>4>634?in7?71:?6ff<608169oj5193890b12;3h7p}=76f94?56sW;2>63:a78204=:=h=1=9?4=4c;>42634?j57?;1:?6ed<6<8169ll5153890gd28>:70;nd;375>;2il0:8<525`d9517<5;<7a5?73927>n?4>409>1g5=9=;018l;:062?83e=3;?=63:b78204=:=k=1=9?4=4`;>42634?i57?;1:?6fd<6<8169ol5153890dd28>:70;md;375>;2jl0:5?525cd95<4<5:27>o?4>939>1f5=908018m;:0;1?83d=3;2>63:c782=7=:=j=1=4<4=4a;>4?534?h57?62:?6gd<61;169nl5180890ed283970;ld;3:6>;2kl0:5?525bd95<4<5:27>h?4>939>1a5=908018j;:0;1?83c=3;2>6s|265f>5<5sW;oh63:be8753=z{;=52z\2`g=:=ki18<84}r04<5<72;qU=io4=4`a>1713ty9;5?50;0xZ4b>34?im7:>6:p62>52909wS?k7:?6f<<39?1v?973;296~X6l?169o654048yv400=0;6?uQ1e7890d02=;=7p}=79794?4|V8n?70;m6;622>{t:>2=6=4={_3g7>;2j<0?=;5rs35;3?6=:rT:h?525c690402wx>:6n:181[7dn27>n<4;179~w71?j3:1>vP>cd9>1g6=<8<0q~<88b83>7}Y9l?018oi:535?xu5?1n1<7::6s|26:f>5<5sW;n?63:ae8753=z{;=3j7>52z\2a7=:=hi18<84}r04=5<72;qU=h?4=4ca>1713ty9;4?50;0xZ4c734?jm7:>6:p62?52909wS?kf:?6e<<39?1v?963;296~X6ll169l654048yv401=0;6?uQ1e:890g02=;=7p}=78794?4|V8io70;n6;622>{t:>3=6=4;9z?6e0<510169ok58`9>1gc=0k169ok58b9>1g`=0h169oh58c9>1g`=0j169n>58`9>1f6=0k169n>58b9>1f7=0h169n?58c9>1f7=0j169n<58`9>1f4=0k169n<58b9>1f5=0h169n=58c9>1f5=0j169n:58`9>1f2=0k169n:58b9>1f3=0h169n;58c9>1f3=0j169n858`9>1f0=0k169n858b9>1f1=0h169n958c9>1f1=0j169n658`9>1f>=0k169n658b9>1f?=0h169n758c9>1f?=0j169no58`9>1fg=0k169no58b9>1fd=0h169nl58c9>1fd=0j169nm58`9>1fe=0k169nm58b9>1fb=0h169nj58c9>1fb=0j169nk58`9>1fc=0k169nk58b9>1f`=0h169nh58c9>1f`=0j169i>58`9>1a6=0k169i>58b9>1a7=0h169i?58c9>1a7=0j169i<58`9>1a4=0k169i<58b9>1a5=0h169i=58c9>1a5=0j169i:58`9>1a2=0k169i:58b9>1a3=0h169i;58c9>1a3=0j1v?967;296~;2i?0:5:525cd90401d1=90=018m?:535?xu5?031<74?034?ii7:>6:p62?f2909w0;n9;3:3>;2k;0?=;5rs35:f?6=:r7>ml4>969>1f5=<8<0q~<89b83>7}:=hh1=494=4a2>1713ty9;4j50;0x90gd283<70;l5;622>{t:>3n6=4={<7b`?7>?27>o;4;179~w71>n3:1>v3:ad82=2=:=j>18<84}r04e5<72;q69lh5185890e?2=;=7p}=7`394?4|52wx>:o=:18183e93;2;63:c68753=z{;=j?7>52z?6f7<61>169nl54048yv40i=0;6?u25c195<1<5::6s|26c5>5<5s4?i97?67:?6g`<39?1v?9n7;296~;2j?0:5:525bd90401g1=90=018mk:535?xu5?h31<74?034?o=7:>6:p62gf2909w0;m9;3:3>;2l;0?=;5rs35bf?6=:r7>nl4>969>1a6=<8<0q~<8ab83>7}:=kh1=494=4f7>1713ty9;lj50;0x90dd283<70;k5;622>{t:>kn6=4={<7a`?7>?27>h>4;179~w71fn3:1=4u25cg95=7<5;<7`4?7?927>o<4>809>1f4=91;018m<:0:2?83d<3;3=63:c482<4=:=j<1=5?4=4a4>4>634?h47?71:?6g<<608169no5193890ee282:70;lc;3;5>;2km0:4<525bg95=7<5;<7g4?7?927>h<4>809>1a4=91;018j<:0:2?83c<3;3=63:d482<4=:=m<1?;l4}|`6`2<72:?1??4;ezJ774=#<;o1?=mi;[30b?5|9>09=7=<:|kf`?6=,=;86hm4n531>5=5<#<891=n=4n531>4=5<#<891=n=4n531>6=5<#<891=n=4n531>0=5<#<891=n=4n531>2=5<#<891=n=4n531><=5<#<891=n=4n531>g=5<#<891=n=4n531>a=5<#<891=n=4n531>c=4;h3`=?6=,=;862:9j5f1=83.?=>4>c29m044=9:10e76g>bg83>!26;3;h?6`;13822>=n9k>1<7*;1282g6=i<881=:54i51:>5<5<5<#<891=im4n531>5=5<#<891=im4n531>7=54o0f4>5<#<891=im4n531>1=5<#<891=im4n531>3=5<#<891=im4n531>==5<#<891=im4n531>d=5<#<891=im4n531>f=5<#<891=im4n531>`=5<#<891=im4n531>46<3f;n>7>5$530>4bd3g>:>7?>;:m2a4<72->:?7?kc:l757<6:21d=h>50;&756<6lj1e8<<51298k4ba290/8<=51ea8j17528>07b?ke;29 17428nh7c:>2;36?>i6l10;6):>3;3gg>h39;0::65`1bf94?"39:0:hn5a400952=:183!25m382m6F;3e9K067;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo;i2;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg3a<3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo;i6;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg3a03:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo;ia;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg3ak3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo;ie;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg0783:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo8?2;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg07<3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo8?6;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg0703:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?>13`82o7>5;h0:`?6=3f9=n7>5;|q13g6=83;2wSkk;<7e5?>>34?m>766;<7e7?>>34?m8766;<7e1?>>34?m:766;<7e3?>>34?m4766;<7e=?>>34?mm766;<7ef?>>34?mo766;<7e`?>>34?mi766;<7eb?>>34<;<766;<435?>>34<;>766;<437?>>34<;8766;<431?>>34<;:766;<433?>>34<;4766;|q13g7=838pR?2wx>:l=:181[7d:27=<54>969~w71e;3:1>vP>c09>251=90=0q~<8b583>7}Y9j:01;><:0;4?xu5?k?1<75<5sW;ih6390582=2=z{;=i;7>52z\2ff=:>9:1=494}r04f=<72;qU=ol4=721>4?03ty9;o750;0xZ4df34<;=7?67:p62df2909wS?m9:?6ba<61>1v?9mb;296~X6j1169kh51858yv40jj0;6?uQ1c5890`b283<7p}=7cf94?4|V8h=70;ia;3:3>{t:>hn6=4={_3a1>;2nj0:5:5rs35ab?6=:rT:on525g`95<1?2wx>:m=:181[7d127>j54>969~w71d;3:1>vP>c99>1c2=90=0q~<8c583>7}Y9j=018h9:0;4?xu5?j?1<75<5sW;h963:f082=2=z{;=h;7>52z\2fc=:=o91=494}r04g=<72;qU=o:4=4d1>4?03ty9;n750;0xZ15>34<;57<6d:p62ef290:mvP>809>1a?=91;018jn:0:2?83cj3;3=63:db82<4=:=mn1=5?4=4ff>4>634?oj7?71:?6a5<608169h?5193890c5282:70;j3;3;5>;2m=0:4<525d795=7<5;<7f3?7?927>i54>809>1`?=91;018kn:0:2?83bj3;3=63:eb82<4=:=ln1=5?4=4gf>4>634?nj7?71:?6b5<60816:=7528a8yv40kk0;6>?t^0;1?83c13;?=63:d`8204=:=mh1=9?4=4f`>42634?oh7?;1:?6``<6<8169ih5153890c728>:70;j1;375>;2m;0:8<525d19517<5;<7f1?73927>i;4>409>1`1=9=;018k7:062?83b13;?=63:e`8204=:=lh1=9?4=4g`>42634?nh7?;1:?6a`<6<8169hh5153890`728>:70;i1;3:6>;2n;0:5?525g195<4<5:27>j;4>939>1c1=908018h7:0;1?83a13;2>63:f`82=7=:=oh1=4<4=4d`>4?534?mh7?62:?6b`<61;169kh5180893672839708?1;3:6>;18;0:5?5261195<4<5?:?6<7=;<431?7>:27=<;4>939>251=90801;>7:0;1?xu5?ji1<7::6s|26ag>5<5sW;on63:eg8753=z{;=hi7>52z\2`d=:=lo18<84}r04gc<72;qU=i74=4gg>1713ty9;i>50;0xZ4b034?no7:>6:p62b62909wS?k6:?6ag<39?1v?9k2;296~X6l<169ho54048yv40l:0;6?uQ1e6890c>2=;=7p}=7e694?4|V8n870;j8;622>{t:>n>6=4={_3g6>;2m>0?=;5rs35g2?6=:rT:h<525d4904069?9;|q13a>=838pR2wx>:j6:181[7dm27>i>4;179~w71ci3:1>vP>e49>1`4=<8<0q~<8dc83>7}Y9l>018k>:535?xu5?mi1<7::6s|26fg>5<5sW;n>63:dg8753=z{;=oi7>52z\2a4=:=mo18<84}r04`c<72;qU=h>4=4fg>1713ty9;h>50;0xZ4ba34?oo7:>6:p62c62909wS?ke:?6`g<39?1v?9j2;296~X6l1169io54048yv40m:0;6?uQ1bf890b>2=;=7p}=7d694?2>s4?o47<69:?6b4j<47b:?6b4j?47a:?6b7j?47c:?6b6j>47b:?6b6j947a:?6b1j947c:?6b0j847b:?6b0j;47a:?6b3j;47c:?6b2j:47b:?6b2j547a:?6b=j547c:?6b<j447b:?6b<jl47a:?6bdjl47c:?6bgjo47b:?6bgjn47a:?6bfjn47c:?6baji47b:?6bajh47a:?6b`jh47c:?6bcjk47b:?6bc47b:?546;2n;0?=;5rs35f2?6=:r7>hl4>969>1c5=<8<0q~<8e683>7}:=mh1=494=4d2>1713ty9;h650;0x90bd283<70;i5;622>{t:>o26=4={<7g`?7>?27>j;4;179~w71bi3:1>v3:dd82=2=:=o>18<84}r04ag<72;q69ih5185890`?2=;=7p}=7da94?4|52wx>:kk:18183b93;2;63:f68753=z{;=ni7>52z?6a7<61>169kl54048yv40mo0;6?u25d195<1<5::6s|26d2>5<5s4?n97?67:?6b`<39?1v?9i2;296~;2m?0:5:525gd90404?:3y>1`1=90=018hk:535?xu5?o>1<74?034<;=7:>6:p62`22909w0;j9;3:3>;18;0?=;5rs35e2?6=:r7>il4>969>256=<8<0q~<8f683>7}:=lh1=494=727>1713ty9;k650;0x90cd283<708?5;622>{t:>l26=4={<7f`?7>?27=<>4;179~w71ai3:1>v3:ed82=2=:>9=18<84}r04bg<72;q69hh51858936?2=;=7p}=7ga94?4|52wx>:hk:182=~;2n80:4<525g095=7<5;<7e0?7?927>j84>809>1c0=91;018h8:0:2?83a03;3=63:f882<4=:=ok1=5?4=4da>4>634?mo7?71:?6ba<608169kk5193890`a282:708?0;3;5>;1880:4<5261095=7<5?:86<6>;<430?7?927=<84>809>250=91;01;>8:0:2?80703;3=63908802g=zuk<;m7>534806?2bsA>8=6*;2d804f`u>7;02>65=u`oo6=4+4019af=i<881<65f1b694?"39:0:o>5a40094>=n9j81<7*;1282g6=i<881=65f1b394?"39:0:o>5a40096>=n9j:1<7*;1282g6=i<881?65f1cg94?"39:0:o>5a40090>=n9kn1<7*;1282g6=i<881965f1ca94?"39:0:o>5a40092>=n9kh1<7*;1282g6=i<881;65f1cc94?"39:0:o>5a4009<>=n9k31<7*;1282g6=i<881565f1c:94?"39:0:o>5a4009e>=n9k=1<7*;1282g6=i<881n65f1c494?"39:0:o>5a4009g>=n9k?1<7*;1282g6=i<881h65f1ba94?"39:0:o>5a4009a>=n9jh1<7*;1282g6=i<881j65f1bc94?"39:0:o>5a400955=3:9j5f0=83.?=>4>c29m044=9=10eb583>!26;3;h?6`;13823>=n<:31<75f19394?=n<;?1<75f18094?=h9mn1<7*;1282`f=i<881<65`1e`94?"39:0:hn5a40095>=h9mk1<7*;1282`f=i<881>65`1e;94?"39:0:hn5a40097>=h9m=1<7*;1282`f=i<881865`1e494?"39:0:hn5a40091>=h9m?1<7*;1282`f=i<881:65`1e694?"39:0:hn5a40093>=h9m91<7*;1282`f=i<881465`1e094?"39:0:hn5a4009=>=h9m;1<7*;1282`f=i<881m65`1e294?"39:0:hn5a4009f>=h9jl1<7*;1282`f=i<881o65`1bg94?"39:0:hn5a4009`>=h9l?1<7*;1282`f=i<881i65`1d694?"39:0:hn5a4009b>=h9l91<7*;1282`f=i<881==54o0g1>5<#<891=im4n531>47<3f;n=7>5$530>4bd3g>:>7?=;:m2a5<72->:?7?kc:l757<6;21d=ih50;&756<6lj1e8<<51598k4bb290/8<=51ea8j17528?07b?k8;29 17428nh7c:>2;35?>i6km0;6):>3;3gg>h39;0:;65m61`94?7=83:p(96F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb72g>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj?:n6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb72e>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj?;;6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb732>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj?;96=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb730>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj?;?6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb736>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj?;=6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb734>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj?;36=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb73:>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj?;j6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb73a>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj?;h6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb73g>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj?;n6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb73e>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj?8;6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb702>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj?896=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb700>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj?8?6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f34229026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb705>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn;<8:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f34>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb70b>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn;5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f34c29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb70f>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn;5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f35629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb711>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn;=<:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f35229026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb715>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn;=8:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f35>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb71b>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn;=m:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<5<5<=?<5?8=6574=704>=?<5?836574=70:>=?<5?8j6574=70a>=?<5?8h6574=70g>=?<5?8n6574=70e>=?<5?9;6574=712>=?<5?996574=710>=?<5?9?6574=716>=?<5?9=6574=714>=?<5?936574=71:>=?<5?9j6574=71a>=??2wx>5>>:181[7d927=?l4>969~w7>7:3:1>vP>c19>260=90=0q~<70283>7}Y9ko01;=7:0;4?xu509>1<75<5sW;io6393282=2=z{;2;:7>52z\2fg=:>:?1=494}r0;42<72;qU=oo4=717>4?03ty94=650;0xZ4d>34<8<7?67:p6=6>2909wS?m8:?577<61>1v?6?a;296~X6j>16:>?51858yv4?8k0;6?uQ1c48934c283<7p}=81a94?4|V8h>708=f;3:3>{t:1:o6=4={_3`g>;1:l0:5:5rs3:3a?6=:rT:oo5263c95<1?2wx>5?>:181[7d027=>:4>969~w7>6:3:1>vP>c69>27?=90=0q~<71283>7}Y9j<01;<7:0;4?xu508>1<75<5sW;ij6392782=2=z{;2::7>52z\2f1=:>;?1=494}r0;52<72;qU8>74=71`>7?c3ty94<650;3b[7?927=809>25b=91;01;>j:0:2?807n3;3=6391182<4=:>8;1=5?4=731>4>634<:?7?71:?551<60816:<;519389371282:708>7;3;5>;1910:4<5260;95=7<5?;j6<6>;<42f?7?927==n4>809>24b=91;01;?j:0:2?806n3;3=6392182<4=:>;;1=5?4=701>4>634<9?7?71:?57f<51j1v?6>9;2974}Y90801;>l:062?807l3;?=6390d8204=:>9l1=9?4=733>42634<:=7?;1:?557<6<816:<=51538937328>:708>5;375>;19?0:8<526059517<5?;36<:>;<42=?73927==l4>409>24d=9=;01;?l:062?806l3;?=6391d8204=:>8l1=9?4=703>42634<9=7?;1:?567<6<816:?=5153893432839708=5;3:6>;1:?0:5?5263595<4<5?836<7=;<41=?7>:27=>l4>939>27d=90801;6392d82=7=:>;l1=4<4=713>4?534<8=7?62:?577<61;16:>=5180893532839708<5;3:6>;1;?0:5?5262595<4<5?936<7=;<40=?7>:27=?l4>939>26d=9080q~<71`83>7}Y9mn01;<<:535?xu508h1<7::6s|293`>5<5sW;om639208753=z{;2:h7>52z\2`<=:>;:18<84}r0;5`<72;qU=i94=73e>1713ty946:p6=472909wS?k5:?55a<39?1v?6=1;296~X6l=16:a;622>{t:18?6=4={_3g5>;1900?=;5rs3:11?6=:rT:h=5260:9040;4?:3y]5f`<5?;<69?9;|q1<71=838pR2wx>5<7:181[7b=27==84;179~w7>513:1>vP>e59>242=<8<0q~<72`83>7}Y9l901;?<:535?xu50;h1<7::6s|290`>5<5sW;n=639108753=z{;29h7>52z\2a5=:>8:18<84}r0;6`<72;qU=ih4=72e>1713ty94?h50;0xZ4bb34<;i7:>6:p6=572909wS?k8:?54a<39?1v?6<1;296~X6km16:=m54048yv4?;;0;697t=72a>7?>34<9876n;<410?>e34<9876l;<411?>f34<9976m;<411?>d34<9:76n;<412?>e34<9:76l;<413?>f34<9;76m;<413?>d34<9476n;<41e34<9476l;<41=?>f34<9576m;<41=?>d34<9m76n;<41e?>e34<9m76l;<41f?>f34<9n76m;<41f?>d34<9o76n;<41g?>e34<9o76l;<41`?>f34<9h76m;<41`?>d34<9i76n;<41a?>e34<9i76l;<41b?>f34<9j76m;<41b?>d34<8<76n;<404?>e34<8<76l;<405?>f34<8=76m;<405?>d34<8>76n;<406?>e34<8>76l;<407?>f34<8?76m;<407?>d34<8876n;<400?>e34<8876l;<401?>f34<8976m;<401?>d34<8:76n;<402?>e34<8:76l;<403?>f34<8;76m;<403?>d34<8476n;<40e34<8476l;<40=?>f34<8576m;<40=?>d34<8m76n;<40e?>e34<8m76l;<40f?>f34<8n76m;<40f?>d3ty94>=50;0x936d283<708=5;622>{t:19?6=4={<43`?7>?27=>;4;179~w7>4=3:1>v390d82=2=:>;>18<84}r0;73<72;q6:=h51858934?2=;=7p}=82594?4|5?;;6<78;<41=?26>2wx>5=7:18180693;2;639268753=z{;2857>52z?557<61>16:?l54048yv4?;h0;6?u260195<1<5?8h69?9;|q1<6d=838p1;?;:0;4?805i3>::6s|291`>5<5s4<:97?67:?56`<39?1v?6241=90=01;4?034<8=7:>6:p6=272909w08>9;3:3>;1;;0?=;5rs3:75?6=:r7==l4>969>266=<8<0q~<74383>7}:>8h1=494=717>1713ty949=50;0x937d283<708<5;622>{t:1>?6=4={<42`?7>?27=?>4;179~w7>3=3:1>v391d82=2=:>:=18<84}r0;03<72;q6:2wx>5:7:18180593;2;6393`8753=z{;2?57>52z?567<61>16:>l54048yv4?;1:<0:4<5263495=7<5?8<6<6>;<4144>809>27g=91;01;;o1=5?4=70e>4>634<8<7?71:?574<60816:><519389354282:708<4;3;5>;1;<0:4<5262495=7<5?9<6<6>;<40809>26g=91;01;=m:0:2?804k39=n6srb71g>5<4=39969ktH512?!25m39;ok5U12d97~702;;1?>4ridf94?"39:0no6`;1383?>o6k=0;6):>3;3`7>h39;0;76g>c383>!26;3;h?6`;1382?>o6k80;6):>3;3`7>h39;0976g>c183>!26;3;h?6`;1380?>o6jl0;6):>3;3`7>h39;0?76g>be83>!26;3;h?6`;1386?>o6jj0;6):>3;3`7>h39;0=76g>bc83>!26;3;h?6`;1384?>o6jh0;6):>3;3`7>h39;0376g>b883>!26;3;h?6`;138:?>o6j10;6):>3;3`7>h39;0j76g>b683>!26;3;h?6`;138a?>o6j?0;6):>3;3`7>h39;0h76g>b483>!26;3;h?6`;138g?>o6kj0;6):>3;3`7>h39;0n76g>cc83>!26;3;h?6`;138e?>o6kh0;6):>3;3`7>h39;0:<65f1b;94?"39:0:o>5a400954=4:9j5f3=83.?=>4>c29m044=9<10e>o6080;66g;2483>>o61;0;66a>de83>!26;3;oo6`;1383?>i6lk0;6):>3;3gg>h39;0:76a>d`83>!26;3;oo6`;1381?>i6l00;6):>3;3gg>h39;0876a>d683>!26;3;oo6`;1387?>i6l?0;6):>3;3gg>h39;0>76a>d483>!26;3;oo6`;1385?>i6l=0;6):>3;3gg>h39;0<76a>d283>!26;3;oo6`;138;?>i6l;0;6):>3;3gg>h39;0276a>d083>!26;3;oo6`;138b?>i6l90;6):>3;3gg>h39;0i76a>cg83>!26;3;oo6`;138`?>i6kl0;6):>3;3gg>h39;0o76a>e483>!26;3;oo6`;138f?>i6m=0;6):>3;3gg>h39;0m76a>e283>!26;3;oo6`;13824>=h9l81<7*;1282`f=i<881=<54o0g2>5<#<891=im4n531>44<3f;n<7>5$530>4bd3g>:>7?<;:m2`c<72->:?7?kc:l757<6<21d=ik50;&756<6lj1e8<<51498k4b?290/8<=51ea8j17528<07b?ld;29 17428nh7c:>2;34?>d1;l0;6<4?:1y'07c=:0k0D9=k;I605>i5100;66sm62d94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e>=:1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm65394?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e>=81<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm65194?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e>=>1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm65794?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e>=<1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm65594?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e>=21<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm65;94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e>=k1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm65`94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e>=i1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm65f94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e>=o1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm65d94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e><:1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm64394?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e><81<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm64194?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e><>1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm64794?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e><<1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm64594??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi:8650;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e><31<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm64`94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi:8m50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e>f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm64d94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi:;>50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e>?;1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm67194??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi:;:50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e>??1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm67594??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi:;650;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e>?31<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm67`94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi:;m50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e>?n1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm67d94?5=83:p(9<21445264;9<<=:>?:144526739<<=:>?8144526719<<=:>?>144526779<<=:>?<144526759<<=:>?21445267;9<<=:>?k1445267`9<<=:>?i1445267f9<<=:>?o1445rs3:7`?6=:rT:o95267a95<1;<45`?7>?2wx>5;?:181[7d827=:44>969~w7>293:1>vP>bd9>23d=90=0q~<75383>7}Y9kn01;8n:0;4?xu50<91<73;2;6s|2977>5<5sW;in6396982=2=z{;2>97>52z\2fd=:>?=1=494}r0;13<72;qU=o74=740>4?03ty948950;0xZ4d?34<=97?67:p6=3?2909wS?m7:?521<61>1v?6:9;296~X6j?16:;>51858yv4?=h0;6?uQ1c789305283<7p}=84`94?4|V8ih70891;3:3>{t:1?h6=4={_3`f>;1=m0:5:5rs3:6`?6=:rT:ol5264d95<1?2wx>58?:181[7d?27=9n4>969~w7>193:1>vP>c79>20d=90=0q~<76383>7}Y9j?01;;8:0;4?xu50?91<75<5sW;i86395982=2=z{;2=97>52z\77<=:>?l1>4j4}r0;23<728kpR<6>;<40b?7?927=8=4>809>217=91;01;:=:0:2?803;3;3=6394582<4=:>=?1=5?4=765>4>634;1n6<6>;<47b?7?927=9=4>809>207=91;01;;=:0:2?802;3;3=6395582<4=:>4>634<=j7<6c:p6=002908=vP>939>26`=9=;01;:?:062?80393;?=639438204=:>=91=9?4=767>42634:708;9;375>;1h6<:>;<47`?73927=8h4>409>21`=9=;01;;?:062?80293;?=639538204=:><91=9?4=777>42634<>97?;1:?513<6<816:8951808933?2839708:9;3:6>;1=h0:5?5264`95<4<5??h6<7=;<46`?7>:27=9h4>939>20`=90801;8?:0;1?80193;2>6396382=7=:>?91=4<4=747>4?534<=97?62:?523<61;16:;951808930?283970899;3:6>;1>h0:5?5267`95<4<5?:27=:h4>939~w7>103:1>vP>de9>200=<8<0q~<76883>7}Y9mh01;;::535?xu50?k1<7::6s|294a>5<5sW;o5639528753=z{;2=o7>52z\2`2=:><818<84}r0;2a<72;qU=i84=772>1713ty94;k50;0xZ4b234<><7:>6:p6=0a2909wS?k4:?50c<39?1v?680;296~X6l:16:9k54048yv4??80;6?uQ1e08932c2=;=7p}=86094?4|V8n:708;c;622>{t:1=86=4={_3g4>;1269?9;|q1<20=838pR2wx>598:181[7b<27=8:4;179~w7>003:1>vP>e29>210=<8<0q~<77883>7}Y9l801;:::535?xu50>k1<7::6s|295a>5<5sW;n<639428753=z{;252z\2`c=:>=818<84}r0;3a<72;qU=ik4=762>1713ty94:k50;0xZ4b?346:p6=1a2909wS?ld:?57c<39?1v?670;290<}:>:o1>474=774>=g<5??<65l4=774>=e<5??365o4=77;>=d<5??365m4=77:>=g<5??265l4=77:>=e<5??j65o4=77b>=d<5??j65m4=77a>=g<5??i65l4=77a>=e<5??h65o4=77`>=d<5??h65m4=77g>=g<5??o65l4=77g>=e<5??n65o4=77f>=d<5??n65m4=77e>=g<5??m65l4=77e>=e<5?<;65o4=743>=d<5?<;65m4=742>=g<5?<:65l4=742>=e<5?<965o4=741>=d<5?<965m4=740>=g<5?<865l4=740>=e<5?=d<5?=g<5?<>65l4=746>=e<5?<=65o4=745>=d<5?<=65m4=744>=g<5?<<65l4=744>=e<5?<365o4=74;>=d<5?<365m4=74:>=g<5?<265l4=74:>=e<5?=d<5?=g<5?=e<5?=d<5?=g<5?=e<5?=d<5?h51858933?2=;=7p}=89094?4|5?>;6<78;<46=?26>2wx>56<:18180393;2;639568753=z{;2387>52z?507<61>16:8l54048yv4?0<0;6?u265195<1<5??h69?9;|q1<=0=838p1;:;:0;4?802i3>::6s|29:4>5<5s4211=90=01;;k:535?xu501k1<74?034<==7:>6:p6=>e2909w08;9;3:3>;1>;0?=;5rs3:;g?6=:r7=8l4>969>236=<8<0q~<78e83>7}:>=h1=494=747>1713ty945k50;0x932d283<70895;622>{t:12m6=4={<47`?7>?27=:>4;179~w7>>83:1>v394d82=2=:>?=18<84}r0;=4<72;q6:9h51858930?2=;=7p}=88094?4|5??;6<78;<452?26>2wx>57<:18180293;2;6396`8753=z{;2287>52z?517<61>16:;l54048yv4?1<0;6?u264195<1<5?<269?9;|q1<<0=838p1;;;:0;4?801l3>::6s|29;4>5<5s4<>97?67:?52`<39?1v?668;296~;1=?0:5:5267a9040;1=00:4<5264c95=7<5??i6<6>;<46g?7?927=9i4>809>20c=91;01;;i:0:2?80183;3=6396082<4=:>?81=5?4=740>4>634<=87?71:?520<60816:;8519389300282:70898;3;5>;1>00:4<5267c95=7<5?;<45g?7?927=:i4>809>23c=91;01;8i:24a?x{e>>:1<7=::2090`}O<:;0(9\6;o08w<9520807?{nmm0;6):>3;g`?k26:3:07d?l4;29 17428i87c:>2;28?l7d:3:1(9?<:0a0?k26:3;07d?l1;29 17428i87c:>2;08?l7d83:1(9?<:0a0?k26:3907d?me;29 17428i87c:>2;68?l7el3:1(9?<:0a0?k26:3?07d?mc;29 17428i87c:>2;48?l7ej3:1(9?<:0a0?k26:3=07d?ma;29 17428i87c:>2;:8?l7e13:1(9?<:0a0?k26:3307d?m8;29 17428i87c:>2;c8?l7e?3:1(9?<:0a0?k26:3h07d?m6;29 17428i87c:>2;a8?l7e=3:1(9?<:0a0?k26:3n07d?lc;29 17428i87c:>2;g8?l7dj3:1(9?<:0a0?k26:3l07d?la;29 17428i87c:>2;33?>o6k00;6):>3;3`7>h39;0:=65f1b:94?"39:0:o>5a400957=5:9j5g`=83.?=>4>c29m044=9?10e2;38?j7ci3:1(9?<:0f`?k26:3807b?k9;29 17428nh7c:>2;18?j7c?3:1(9?<:0f`?k26:3>07b?k6;29 17428nh7c:>2;78?j7c=3:1(9?<:0f`?k26:3<07b?k4;29 17428nh7c:>2;58?j7c;3:1(9?<:0f`?k26:3207b?k2;29 17428nh7c:>2;;8?j7c93:1(9?<:0f`?k26:3k07b?k0;29 17428nh7c:>2;`8?j7dn3:1(9?<:0f`?k26:3i07b?le;29 17428nh7c:>2;f8?j7b=3:1(9?<:0f`?k26:3o07b?j4;29 17428nh7c:>2;d8?j7b;3:1(9?<:0f`?k26:3;;76a>e383>!26;3;oo6`;13825>=h9l;1<7*;1282`f=i<881=?54o0g3>5<#<891=im4n531>45<3f;oj7>5$530>4bd3g>:>7?;;:m2``<72->:?7?kc:l757<6=21d=i650;&756<6lj1e8<<51798k4ec290/8<=51ea8j17528=07o881;295?6=8r.?>h4=9`9K06b<@=9:7b<69;29?xd1?;0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl97283>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd1?=0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl97483>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd1??0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl97683>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd1?10;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl97883>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd1?h0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl97c83>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd1?j0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl97e83>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd1?l0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl97g83>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd1090;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl98083>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd10;0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl98283>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd10=0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl98483>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd10?0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl98683>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd1010;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl98883>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd10h0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`5?3:17b:>6;29?j26?3:17pl98b83><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th=4i4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd10l0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`5?3:17b:>6;29?j26?3:17pl99183><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th=5<4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd11;0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`5=6<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl99583><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th=584?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd11?0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`5=2<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl99983><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th=544?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd11h0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`5=g<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl99b83><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th=5i4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd11l0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`5=c<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl9a183><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th=m<4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd1i;0;6>4?:1y'07c=:hl0D9=k;I605>"5i803:6g=9b83>>o51m0;66a<6c83>>{t:13j6=4>9z\f`>;10h0356398c8;=>;10j0356398e8;=>;10l0356398g8;=>;119035639908;=>;11;035639928;=>;11=035639948;=>;11?035639968;=>;111035639988;=>;11h0356399c8;=>;11j0356399e8;=>;11l0356399g8;=>;1i9035639a08;=>{t:13i6=4={_3`0>;11o0:5:5rs3::g?6=:rT:o?526`395<1?2wx>57i:181[7em27=5h4>969~w7>f83:1>vP>be9>27}Y9ki01;76:0;4?xu50h81<7j3;2;6s|29c0>5<5sW;im6399`82=2=z{;2j87>52z\2f<=:>0<1=494}r0;e0<72;qU=o64=7;;>4?03ty94l850;0xZ4d034<2;7?67:p6=g02909wS?m6:?5=6<61>1v?6n8;296~X6j<16:4;51858yv4?i00;6?uQ1ba893?3283<7p}=8`c94?4|V8ii70860;3:3>{t:1ki6=4={_3`e>;11;0:5:5rs3:bg?6=:rT:o45268395<1<5?2o6<78;|q1?2wx>5oi:181[7d>27=4h4>969~w7>e83:1>vP>c49>2=g=90=0q~<7b083>7}Y9kl01;6l:0;4?xu50k81<75<5sW>85639a381=a=z{;2i87>51`y]5=7<5?=96<6>;<447?7?927=;94>809>223=91;01;99:0:2?800?3;3=6397982<4=:>>31=5?4=75b>4>634<;1090:4<5269395=7<5?296<6>;<4;7?7?927=494>809>2=3=91;01;69:0:2?80??3;3=6398982<4=:>131=5?4=7c1>7?d3ty94o;50;12[7>:27=;?4>409>225=9=;01;9;:062?800=3;?=639778204=:>>=1=9?4=75;>42634<<57?;1:?53d<6<816::l51538931d28>:7088d;375>;1?l0:8<5266d9517<5?2;6<:>;<4;5?73927=4?4>409>2=5=9=;01;6;:062?80?=3;?=639878204=:>1=1=9?4=7:;>42634<357?;1:?5d28397087d;3:6>;10l0:5?5269d95<4<5?3;6<7=;<4:5?7>:27=5?4>939>2<5=90801;7;:0;1?80>=3;2>6399782=7=:>0=1=4<4=7;;>4?534<257?62:?5=d<61;16:4l5180893?d28397086d;3:6>;11l0:5?5268d95<4<5?k;6<7=;<4b5?7>:2wx>5l9:181[7cl27=444;179~w7>e?3:1>vP>dc9>2=>=<8<0q~<7b983>7}Y9mk01;68:535?xu50k31<73>::6s|29`b>5<5sW;o;639848753=z{;2in7>52z\2`3=:>1>18<84}r0;ff<72;qU=i;4=7:0>1713ty94oj50;0xZ4b334<3>7:>6:p6=db2909wS?k3:?5<4<39?1v?6mf;296~X6l;16:5>54048yv4?k90;6?uQ1e38931a2=;=7p}=8b394?4|V8n;7088e;622>{t:1i96=4={_3`b>;1?m0?=;5rs3:`7?6=:rT:oh5266a90402wx>5m9:181[7b;27=;44;179~w7>d?3:1>vP>e39>22>=<8<0q~<7c983>7}Y9l;01;98:535?xu50j31<73>::6s|29ab>5<5sW;oj639748753=z{;2hn7>52z\2``=:>>>18<84}r0;gf<72;qU=i64=750>1713ty94nj50;0xZ4ec34<<>7:>6:p6=eb290?5v397081=<=:>1k14l5269c91k14n5269`91h14o5269`91i14l5269a91i14n5269f91n14o5269f91o14l5269g91o14n5269d91l14o5269d90:14l5268290:14n5268390;14o5268390814l5268090814n5268190914o5268190>14l5268690>14n5268790?14o5268790<14l5268490<14n5268590=14o5268590214l5268:90214n5268;90314o5268;90k14l5268c90k14n5268`90h14o5268`90i14l5268a90i14n5268f90n14o5268f90o14l5268g90o14n5268d90l14o5268d9h:14l526`29h:14n526`39h;14o526`3952z?537<61>16:5l54048yv4?l90;6?u266195<1<5?2h69?9;|q1::6s|29f1>5<5s4<<97?67:?5<`<39?1v?6k3;296~;1??0:5:5269d9040221=90=01;6k:535?xu50m?1<74?034<2=7:>6:p6=b12909w0889;3:3>;11;0?=;5rs3:g3?6=:r7=;l4>969>2<6=<8<0q~<7d983>7}:>>h1=494=7;7>1713ty94i750;0x931d283<70865;622>{t:1nj6=4={<44`?7>?27=5>4;179~w7>cj3:1>v397d82=2=:>0=18<84}r0;`f<72;q6::h5185893??2=;=7p}=8ef94?4|5?2;6<78;<4:2?26>2wx>5jj:18180?93;2;6399`8753=z{;2oj7>52z?5<7<61>16:4l54048yv4?m90;6?u269195<1<5?3269?9;|q1<`7=838p1;6;:0;4?80>l3>::6s|29g1>5<5s4<397?67:?5=`<39?1v?6j3;296~;10?0:5:5268a90402=1=90=01;o?:535?xu50l?1<74?0346:p6=c12909w0879;3:3>;11o0?=;5rs3:f3?6=90q6:5o5193893>e282:7087c;3;5>;10m0:4<5269g95=7<5?2m6<6>;<4:4?7?927=5<4>809>2<4=91;01;7<:0:2?80><3;3=6399482<4=:>0<1=5?4=7;4>4>634<247?71:?5=<<60816:4o5193893?e282:7086c;3;5>;11m0:4<5268g95=7<5?3m6<6>;<4b4?7?927=m<4>809>2d4=;?h0qpl9a283>63=;;0?ivF;309'07c=;9im7W?2;32?>o6k10;6):>3;3`7>h39;0:>65f1b594?"39:0:o>5a400956=6:9j5g2=83.?=>4>c29m044=9>10e9=6:188m4>62900e9<::188m4?52900c290/8<=51ea8j1752:10c10c:18'045=9mi0b9?=:`98k4b7290/8<=51ea8j1752k10ce083>!26;3;oo6`;13826>=h9l:1<7*;1282`f=i<881=>54o0fe>5<#<891=im4n531>42<3f;oi7>5$530>4bd3g>:>7?:;:m2`=<72->:?7?kc:l757<6>21d=nj50;&756<6lj1e8<<51698f3g3290:6=4?{%61a?4>i2B??i5G4238k7?>2900qo8n5;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg0f>3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo8n7;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg0f03:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo8n9;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg0fi3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo8nb;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg0fk3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo8nd;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg0fm3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo8nf;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg0e83:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo8m1;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg0e:3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo8m3;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg0e<3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo8m5;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg0e>3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo8m7;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg0e03:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo8m9;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg0ei3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo8mb;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg0ek3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo8md;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg0en3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo8l1;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<7>59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg0d;3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo8l5;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg0d?3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo8l9;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg0dj3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo8ld;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg0dn3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo8k1;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<7>59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg0c;3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo8k5;297?6=8r.?>h4=ag9K06b<@=9:7)k3:17d<6d;29?j51j3:17p}=8d:94?7>sWoo708md;::?80em322708mf;::?80d8322708l1;::?80d:322708l3;::?80d<322708l5;::?80d>322708l7;::?80d0322708l9;::?80di322708lb;::?80dk322708ld;::?80dm322708lf;::?80c8322708k1;::?80c:322708k3;::?80c<3227p}=8d;94?4|V8i?708k2;3:3>{t:1oj6=4={_3`6>;1l=0:5:5rs3:ff?6=:rT:o<526e195<1?2wx>5kj:181[7el27=h=4>969~w7>bn3:1>vP>bb9>2fe=90=0q~<7f183>7}Y9kh01;mj:0;4?xu50o;1<75<5sW;i5639c882=2=z{;2m?7>52z\2f==:>jh1=494}r0;b1<72;qU=o94=7ab>4?03ty94k;50;0xZ4d1341v?6i7;296~X6kj16:n951858yv4?n10;6?uQ1b`893e4283<7p}=8g;94?4|V8ij708l5;3:3>{t:1lj6=4={_3`=>;1k=0:5:5rs3:ef?6=:rT:o5526b295<1?2wx>5hj:181[7d=27=ni4>969~w7>an3:1>vP>bg9>2g`=90=0q~<60183>7}Y9k>01;lj:0;4?xu519;1<75<6irT:4<526`795=7<5?k=6<6>;<4b3?7?927=m54>809>2d?=91;01;on:0:2?80fj3;3=639ab82<4=:>hn1=5?4=7cf>4>634;1j=0:4<526c795=7<5?h=6<6>;<4a3?7?927=n54>809>2g?=91;01;ln:0:2?80ej3;3=639bb82<4=:>m?1>4m4}r0:46<72:;pR<7=;<4b1?73927=m;4>409>2d1=9=;01;o7:062?80f13;?=639a`8204=:>hh1=9?4=7c`>42634:708m1;375>;1j;0:8<526c19517<5?h?6<:>;<4a1?73927=n;4>409>2g1=9=;01;l7:062?80e13;?=639b`8204=:>kh1=9?4=7``>42634;1k;0:5?526b195<4<5?i?6<7=;<4`1?7>:27=o;4>939>2f1=90801;m7:0;1?80d13;2>639c`82=7=:>jh1=4<4=7a`>4?534;1l;0:5?526e195<4<5?n?6<7=;|q1=52=838pR2wx>4>::181[7cj27=no4;179~w7?7>3:1>vP>d`9>2gg=<8<0q~<60683>7}Y9m301;l6:535?xu51921<7::6s|282:>5<5sW;o:639b68753=z{;3;m7>52z\2`0=:>k<18<84}r0:4g<72;qU=i:4=7`6>1713ty95=m50;0xZ4b4346:p6<6c2909wS?k2:?5f6<39?1v?7?e;296~X6l816:o<54048yv4>8o0;6?uQ1e2893d62=;=7p}=90294?4|V8im708m0;622>{t:0;:6=4={_3`a>;1io0?=;5rs3;26?6=:rT:i8526`g90404?:3y]5`2<5?ko69?9;|q1=42=838pR2wx>4?::181[7b:27=mo4;179~w7?6>3:1>vP>e09>2dg=<8<0q~<61683>7}Y9l:01;o6:535?xu51821<7::6s|283:>5<5sW;oi639a68753=z{;3:m7>52z\2`==:>h<18<84}r0:5g<72;qU=nj4=7c6>1713ty95;1jm03n639be8;g>;1jl03m639bd8;f>;1jl03o639bg8;e>;1jo03n639bg8;g>;1k903m639c18;f>;1k903o639c08;e>;1k803n639c08;g>;1k;03m639c38;f>;1k;03o639c28;e>;1k:03n639c28;g>;1k=03m639c58;f>;1k=03o639c48;e>;1k<03n639c48;g>;1k?03m639c78;f>;1k?03o639c68;e>;1k>03n639c68;g>;1k103m639c98;f>;1k103o639c88;e>;1k003n639c88;g>;1kh03m639c`8;f>;1kh03o639cc8;e>;1kk03n639cc8;g>;1kj03m639cb8;f>;1kj03o639ce8;e>;1km03n639ce8;g>;1kl03m639cd8;f>;1kl03o639cg8;e>;1ko03n639cg8;g>;1l903m639d18;f>;1l903o639d08;e>;1l803n639d08;g>;1l;03m639d38;f>;1l;03o639d28;e>;1l:03n639d28;g>;1l=03m639d58;f>;1l=03o6s|283g>5<5s4e;296~;1i?0:5:526cd90402d1=90=01;lk:535?xu51;:1<74?0346:p6<462909w08n9;3:3>;1k;0?=;5rs3;16?6=:r7=ml4>969>2f6=<8<0q~<62283>7}:>hh1=494=7a7>1713ty95?:50;0x93gd283<708l5;622>{t:08>6=4={<4b`?7>?27=o>4;179~w7?5>3:1>v39ad82=2=:>j=18<84}r0:62<72;q6:lh5185893e?2=;=7p}=93:94?4|5?h;6<78;<4`2?26>2wx>4<6:18180e93;2;639c`8753=z{;39m7>52z?5f7<61>16:nl54048yv4>:k0;6?u26c195<1<5?i269?9;|q1=7e=838p1;l;:0;4?80dl3>::6s|280g>5<5s4k4?:3y>2g1=90=01;j?:535?xu51::1<74?0346:p6<562909w08m9;3:3>;1ko0?=;5rs3;06?6=:r7=nl4>969>2a5=<8<0q~<63283>7}:>kh1=494=7f7>1713ty95>:50;0x93dd283<708k2;622>{t:09>6=4>9z?5fa<60816:ok5193893da282:708l0;3;5>;1k80:4<526b095=7<5?i86<6>;<4`0?7?927=o84>809>2f0=91;01;m8:0:2?80d03;3=639c882<4=:>jk1=5?4=7aa>4>634;1l80:4<526e095=7<5?n86<6>;<4g0?7?927=h84<6c9~yg0c>3:1?84<2;6fM2492.?>h4<0bd8^45a2:q:;7<>:219ylcc290/8<=5eb9m044=821b=n:50;&756<6k:1e8<<50:9j5f4=83.?=>4>c29m044=921b=n?50;&756<6k:1e8<<52:9j5f6=83.?=>4>c29m044=;21b=ok50;&756<6k:1e8<<54:9j5gb=83.?=>4>c29m044==21b=om50;&756<6k:1e8<<56:9j5gd=83.?=>4>c29m044=?21b=oo50;&756<6k:1e8<<58:9j5g?=83.?=>4>c29m044=121b=o650;&756<6k:1e8<<5a:9j5g1=83.?=>4>c29m044=j21b=o850;&756<6k:1e8<<5c:9j5g3=83.?=>4>c29m044=l21b=nm50;&756<6k:1e8<<5e:9j5fd=83.?=>4>c29m044=n21b=no50;&756<6k:1e8<<51198m4e>290/8<=51b18j17528;07d?l8;29 17428i87c:>2;31?>o6k>0;6):>3;3`7>h39;0:?65f1b494?"39:0:o>5a400951=6=4+40195f57:9j06?=831b=5?50;9j073=831b=4<50;9l5ab=83.?=>4>db9m044=821d=il50;&756<6lj1e8<<51:9l5ag=83.?=>4>db9m044=:21d=i750;&756<6lj1e8<<53:9l5a1=83.?=>4>db9m044=<21d=i850;&756<6lj1e8<<55:9l5a3=83.?=>4>db9m044=>21d=i:50;&756<6lj1e8<<57:9l5a5=83.?=>4>db9m044=021d=i<50;&756<6lj1e8<<59:9l5a7=83.?=>4>db9m044=i21d=i>50;&756<6lj1e8<<5b:9l5f`=83.?=>4>db9m044=k21d=nk50;&756<6lj1e8<<5d:9l5`3=83.?=>4>db9m044=m21d=h:50;&756<6lj1e8<<5f:9l5`5=83.?=>4>db9m044=9910ce183>!26;3;oo6`;13827>=h9ml1<7*;1282`f=i<881=954o0ff>5<#<891=im4n531>43<3f;o47>5$530>4bd3g>:>7?9;:m2ga<72->:?7?kc:l757<6?21i:i950;394?6|,=8n6?7n;I60`>N3;81d>4750;9~f3b?290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn;j6:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f3bf290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn;jm:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f3bd290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn;jk:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f3bb290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn;ji:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f3c7290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn;k>:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f3c5290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn;k<:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f3c3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn;k::187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f3c1290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn;k8:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f3c?290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn;k6:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f3cf290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn;km:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f3cd290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn;kk:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f3cb290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn;ki:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f3`729026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb7d2>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn;h=:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f3`329026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb7d6>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn;h9:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f3`?29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb7d:>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn;hn:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f3`d29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb7dg>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn;hj:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f26729026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb622>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn:>=:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<:86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f26329026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb626>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn:>9:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<:<6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f26?29086=4?{%61a?4fn2B??i5G4238 7g621<0e?7l:188m7?c2900c>8m:188yv4>;?0;6<7t^df893`721301;h>:9;893`521301;h<:9;893`321301;h::9;893`121301;h8:9;893`?21301;h6:9;893`f21301;hm:9;893`d21301;hk:9;893`b21301;hi:9;8926721301:>>:9;8926521301:><:9;8926321301:>::9;8926121301:>8:9;8yv4>;>0;6?uQ1b689262283<7p}=92:94?4|V8i9709?7;3:3>{t:0926=4={_3`5>;08?0:5:5rs3;0e?6=:rT:o=5271095<1:?6<78;|q1=6e=838pR?2wx>4=k:181[7ek27=jk4>969~w7?4m3:1>vP>bc9>357=90=0q~<63g83>7}Y9kk01:>?:0;4?xu51=:1<75<5sW;i4639fd82=2=z{;3?>7>52z\2f2=:>on1=494}r0:06<72;qU=o84=7d:>4?03ty959:50;0xZ4d2341v?7;6;296~X6kk16:k851858yv4><>0;6?uQ1bc893`?283<7p}=95:94?4|V8i2708i7;3:3>{t:0>26=4={_3`<>;1n:0:5:5rs3;7e?6=:rT:o:526g795<1?2wx>4:k:181[7en27=j?4>969~w7?3m3:1>vP>b59>2c7=90=0q~<64g83>7}Y<:301:>7:3;g?xu51<:1<7?n{_3;5>;1l10:4<526e;95=7<5?nj6<6>;<4gf?7?927=hn4>809>2ab=91;01;jj:0:2?80cn3;3=639e182<4=:>l;1=5?4=7g1>4>634;1m10:4<526d;95=7<5?oj6<6>;<4ff?7?927=in4>809>2`b=91;01;kj:0:2?80bn3;3=6380981=f=z{;3>=7>530y]5<4<5?n36<:>;<4g=?73927=hl4>409>2ad=9=;01;jl:062?80cl3;?=639dd8204=:>ml1=9?4=7g3>42634:708j5;375>;1m?0:8<526d59517<5?o36<:>;<4f=?73927=il4>409>2`d=9=;01;kl:062?80bl3;?=639ed8204=:>ll1=9?4=7d3>4?534;1n?0:5?526g595<4<5?l36<7=;<4e=?7>:27=jl4>939>2cd=90801;hl:0;1?80al3;2>639fd82=7=:>ol1=4<4=623>4?534=;=7?62:?447<61;16;==5180892632839709?5;3:6>;08?0:5?5271595<42wx>4;;:181[7ci27=ii4;179~w7?2=3:1>vP>d89>2`e=<8<0q~<65783>7}Y9m=01;km:535?xu51<=1<7::6s|287;>5<5sW;o9639e88753=z{;3>57>52z\2`1=:>l218<84}r0:1d<72;qU=i=4=7g4>1713ty958l50;0xZ4b5346:p6<3d2909wS?k1:?5a0<39?1v?7:d;296~X6l916:h:54048yv4>=l0;6?uQ1bd893c42=;=7p}=94d94?4|V8in708j2;622>{t:0<;6=4={_3f1>;1m80?=;5rs3;55?6=:rT:i9526d290402wx>48;:181[7b927=hi4;179~w7?1=3:1>vP>e19>2ae=<8<0q~<66783>7}Y9ml01;jm:535?xu51?=1<7::6s|284;>5<5sW;o4639d88753=z{;3=57>52z\2ga=:>m218<84}r0:2d<72=3p1;j8:3;:?80a832j708i0;:a?80a832h708i1;:b?80a932i708i1;:`?80a:32j708i2;:a?80a:32h708i3;:b?80a;32i708i3;:`?80a<32j708i4;:a?80a<32h708i5;:b?80a=32i708i5;:`?80a>32j708i6;:a?80a>32h708i7;:b?80a?32i708i7;:`?80a032j708i8;:a?80a032h708i9;:b?80a132i708i9;:`?80ai32j708ia;:a?80ai32h708ib;:b?80aj32i708ib;:`?80ak32j708ic;:a?80ak32h708id;:b?80al32i708id;:`?80am32j708ie;:a?80am32h708if;:b?80an32i708if;:`?817832j709?0;:a?817832h709?1;:b?817932i709?1;:`?817:32j709?2;:a?817:32h709?3;:b?817;32i709?3;:`?817<32j709?4;:a?817<32h709?5;:b?817=32i709?5;:`?817>32j709?6;:a?817>32h709?7;:b?817?32i709?7;:`?xu51?h1<74?0346:p6<0d2909w08k9;3:3>;1n;0?=;5rs3;5`?6=:r7=hl4>969>2c6=<8<0q~<66d83>7}:>mh1=494=7d7>1713ty95;h50;0x93bd283<708i5;622>{t:0=;6=4={<4g`?7>?27=j>4;179~w7?093:1>v39dd82=2=:>o=18<84}r0:37<72;q6:ih5185893`?2=;=7p}=96194?4|5?o;6<78;<4e2?26>2wx>49;:18180b93;2;639f`8753=z{;3<97>52z?5a7<61>16:kl54048yv4>??0;6?u26d195<1<5?l269?9;|q1=21=838p1;k;:0;4?80al3>::6s|285;>5<5s42`1=90=01:>?:535?xu51>h1<74?034=;=7:>6:p6<1d2909w08j9;3:3>;1no0?=;5rs3;4`?6=:r7=il4>969>355=<8<0q~<67d83>7}:>lh1=494=627>1713ty95:h50;0x93cd283<709?2;622>{t:02;6=4={<4f`?7>?27<<;4;179~w7??93:1>v39ed82=2=:?9=18<84}r0:<7<72;q6:hh5185892622=;=7p}=99194?7>s4;1n<0:4<526g495=7<5?l<6<6>;<4e809>2cg=91;01;hm:0:2?80ak3;3=639fe82<4=:>oo1=5?4=7de>4>634=;<7?71:?444<60816;=<519389264282:709?4;3;5>;08<0:4<5271495=7<5>:<6<6>;<536:1801?552=opD9=>;%61a?57ko1Q=>h53z34>77=;:0vehj50;&756:?7?l3:l757<732c:o?4?:%627?7d;2d?=?4>;:k2g4<72->:?7?l3:l757<532c:o=4?:%627?7d;2d?=?4<;:k2f`<72->:?7?l3:l757<332c:ni4?:%627?7d;2d?=?4:;:k2ff<72->:?7?l3:l757<132c:no4?:%627?7d;2d?=?48;:k2fd<72->:?7?l3:l757:?7?l3:l757:?7?l3:l757:?7?l3:l757:?7?l3:l757<6821b=n750;&756<6k:1e8<<51098m4e?290/8<=51b18j17528807d?l7;29 17428i87c:>2;30?>o6k?0;6):>3;3`7>h39;0:865f1b794?"39:0:o>5a400950=84?::k2=7<722e:hi4?:%627?7ck2d?=?4?;:m2`g<72->:?7?kc:l757<632e:hl4?:%627?7ck2d?=?4=;:m2`<<72->:?7?kc:l757<432e:h:4?:%627?7ck2d?=?4;;:m2`3<72->:?7?kc:l757<232e:h84?:%627?7ck2d?=?49;:m2`1<72->:?7?kc:l757<032e:h>4?:%627?7ck2d?=?47;:m2`7<72->:?7?kc:l757<>32e:h<4?:%627?7ck2d?=?4n;:m2`5<72->:?7?kc:l757:?7?kc:l757:?7?kc:l7574?:%627?7ck2d?=?4>0:9l5`4=83.?=>4>db9m044=9810c:18'045=9mi0b9?=:008?j7b83:1(9?<:0f`?k26:3;876a>dg83>!26;3;oo6`;13820>=h9mo1<7*;1282`f=i<881=854o0f;>5<#<891=im4n531>40<3f;hh7>5$530>4bd3g>:>7?8;:`44d<7280;6=u+43g96N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a35e=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi;=j50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a35c=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi;=h50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a346=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi;N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a344=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi;<=50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a342=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi;<;50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a340=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi;<950;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a34>=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi;<750;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a34g=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi;N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a34e=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi;N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a34c=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi;N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a376=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi;??50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a374=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi;?=50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e?;>1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm73494??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi;?950;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e?;21<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm73c94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi;?l50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e?;i1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm73g94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi;?h50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e?::1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm72094??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi;>=50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e?:>1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm72494??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi;>950;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e?:21<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm72c94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi;>l50;194?6|,=8n6?oi;I60`>N3;81/>l?5879j64j50;9l73d=831v?774;295<}Ymm16;?=5889>372=0016;?;5889>370=0016;?95889>37>=0016;?75889>37g=0016;?l5889>37e=0016;?j5889>37c=0016;?h5889>366=0016;>?5889>364=0016;>=5889>362=0016;>;5889>360=0016;>95889>36>=0016;>75889>36g=001v?775;296~X6k=16;>651858yv4>0?0;6?uQ1b08925f283<7p}=99594?4|V8i:709<9;3:3>{t:0236=4={_3`4>;0;<0:5:5rs3;;=?6=:rT:nh5272595<19=6<78;|q1==d=838pR?2wx>46l:181[7ej27969~w7??l3:1>vP>b`9>365=90=0q~<68d83>7}Y9k301:5<5sW;i;6383182=2=z{;32=7>52z\2f3=:?;i1=494}r0:=7<72;qU=o;4=60f>4?03ty954=50;0xZ4ed34=9h7?67:p61v?765;296~X6kh16;?l51858yv4>1?0;6?uQ1b;8924f283<7p}=98594?4|V8i3709=6;3:3>{t:0336=4={_3`3>;0:10:5:5rs3;:=?6=:rT:o;5273595<1886<78;|q1=?2wx>47l:181[7e<27<>94>969~w7?>l3:1>vP;389>36d=:0n0q~<69d83>4g|V82:709?b;3;5>;08j0:4<5271f95=7<5>:n6<6>;<53b?7?927<==4>809>347=91;01:?=:0:2?816;3;3=6381582<4=:?8?1=5?4=635>4>634=:;7?71:?45=<60816;<751938927f282:709>b;3;5>;09j0:4<5270f95=7<5>;n6<6>;<52b?7?927<>=4>809>377=91;01:<=:0:2?814j382o6s|28;e>5<49rT:5?5271`9517<5>:h6<:>;<53`?73927<

409>35`=9=;01:??:062?81693;?=638138204=:?891=9?4=637>42634=:97?;1:?453<6<816;<951538927?28>:709>9;375>;09h0:8<5270`9517<5>;h6<:>;<52`?73927<=h4>409>34`=9=;01:4?534=997?62:?463<61;16;?951808924?2839709=9;3:6>;0:h0:5?5273`95<4<5>8h6<7=;<51`?7>:27<>h4>939>37`=90801:=?:0;1?81493;2>6383382=7=:?:91=4<4=617>4?534=897?62:?473<61;16;>951808925?2839709<9;3:6>;0;h0:5?5rs3;b4?6=:rT:hi5273090408:69?9;|q1=d4=838pR2wx>4o<:181[7c127<=k4;179~w7?f<3:1>vP>d69>34c=<8<0q~<6a483>7}Y9m<01:?k:535?xu51h<1<7::6s|28c4>5<5sW;o86381c8753=z{;3j47>52z\2`6=:?8k18<84}r0:e<<72;qU=i<4=63:>1713ty95lo50;0xZ4b634=:47:>6:p6im0;6?uQ1bg892722=;=7p}=9`g94?4|V8o>709>4;622>{t:0km6=4={_3f0>;09:0?=;5rs3;a4?6=:rT:i>527009040;:69?9;|q1=g4=838pR;<524?26>2wx>4l<:181[7b827<vP>dg9>35c=<8<0q~<6b483>7}Y9mo01:>k:535?xu51k<1<7::6s|28`4>5<5sW;hh6380c8753=z{;3i47>548y>35g=:0301:<<:9c8924421h01:<<:9a8924321k01:<;:9`8924321i01:<::9c8924221h01:<::9a8924121k01:<9:9`8924121i01:<8:9c8924021h01:<8:9a8924?21k01:<7:9`8924?21i01:<6:9c8924>21h01:<6:9a8924f21k01::9c8925621h01:=>:9a8925521k01:==:9`8925521i01:=<:9c8925421h01:=<:9a8925321k01:=;:9`8925321i01:=::9c8925221h01:=::9a8925121k01:=9:9`8925121i01:=8:9c8925021h01:=8:9a8925?21k01:=7:9`8925?21i01:=6:9c8925>21h01:=6:9a8925f21k01:=n:9`8925f21i0q~<6b883>7}:?9h1=494=607>1713ty95oo50;0x926d283<709=5;622>{t:0hi6=4={<53`?7>?27<>>4;179~w7?ek3:1>v380d82=2=:?;=18<84}r0:fa<72;q6;=h51858924?2=;=7p}=9cg94?4|5>;;6<78;<512?26>2wx>4li:18181693;2;6382`8753=z{;3h<7>52z?457<61>16;?l54048yv4>k80;6?u270195<1<5>8269?9;|q1=f4=838p1:?;:0;4?815l3>::6s|28a0>5<5s4=:97?67:?46`<39?1v?7l4;296~;09?0:5:5273a9040341=90=01:=?:535?xu51j<1<74?034=8=7:>6:p69;3:3>;0:o0?=;5rs3;`969>365=<8<0q~<6c883>7}:?8h1=494=617>1713ty95no50;0x927d283<709<2;622>{t:0ii6=4={<52`?7>?27v381d82=2=:?:=18<84}r0:ga<72;q6;8;6<78;<50=?26>2wx>4mi:18181593;2;6383`8753=z{;3o<7>52z?467<61>16;>654048yv4>l80;6<7t=600>4>634=987?71:?460<60816;?8519389240282:709=8;3;5>;0:00:4<5273c95=7<5>8i6<6>;<51g?7?927<>i4>809>37c=91;01:4>634=887?71:?470<60816;>8519389250282:709<8;3;5>;0;00:4<5272c95=7<5>9i6>8m;|a36e=839>6><54dyK067<,=8n6>>lf:X27c<4s8=1><4<3;jaa<72->:?7kl;o626?6<3`;h87>5$530>4e43g>:>7>4;h3`6?6=,=;865$530>4e43g>:>7<4;h3`4?6=,=;865$530>4e43g>:>7:4;h3a`?6=,=;865$530>4e43g>:>784;h3af?6=,=;865$530>4e43g>:>764;h3a=?6=,=;865$530>4e43g>:>7o4;h3a3?6=,=;865$530>4e43g>:>7m4;h3a1?6=,=;865$530>4e43g>:>7k4;h3`f?6=,=;865$530>4e43g>:>7??;:k2g<<72->:?7?l3:l757<6921b=n650;&756<6k:1e8<<51398m4e0290/8<=51b18j17528907d?l6;29 17428i87c:>2;37?>o6k<0;6):>3;3`7>h39;0:965f1cd94?"39:0:o>5a400953=5;h611?6=3`;2>7>5;n3g`?6=,=;865$530>4bd3g>:>7?4;n3ge?6=,=;865$530>4bd3g>:>7=4;n3g3?6=,=;865$530>4bd3g>:>7;4;n3g1?6=,=;865$530>4bd3g>:>794;n3g7?6=,=;86<3f;o>7>5$530>4bd3g>:>774;n3g5?6=,=;865$530>4bd3g>:>7l4;n3`b?6=,=;865$530>4bd3g>:>7j4;n3f1?6=,=;865$530>4bd3g>:>7h4;n3f7?6=,=;861:9l5`7=83.?=>4>db9m044=9;10cdd83>!26;3;oo6`;13821>=h9m21<7*;1282`f=i<881=;54o0ag>5<#<891=im4n531>41<3k=8h7>51;294~"3:l095l5G42f8L1563f8257>5;|`47`<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`405<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th<8<4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`407<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th<8>4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`401<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th<884?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`403<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th<8:4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`40=<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th<844?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`40d<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th<8o4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`40f<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th<8i4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`40`<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th<8k4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`415<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th<9<4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`417<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th<9>4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`411<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th<984?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`413<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl85683><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th<954?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd0=00;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`41d<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl85c83><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th<9n4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd0=m0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`41`<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl85g83><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th<:=4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd0>80;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`427<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl86283><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th<:94?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd0><0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`423<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl86683><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th<:54?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd0>00;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`42d<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl86c83><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th<:n4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd0>m0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`42`<72:0;6=u+43g96d`<@=9o7E:<1:&1e42c95n4?::k1=a<722e8:o4?::p6479:?4211v?7k4;296~X6k;16;;j51858yv4>l<0;6?uQ1b38920d283<7p}=9e494?4|V8i;70998;3:3>{t:0n<6=4={_3aa>;0>h0:5:5rs3;g<>6<78;|q1=ag=838pR?2wx>4jm:181[7ei27<:;4>969~w7?ck3:1>vP>b89>334=90=0q~<6de83>7}Y9k201:8;:0;4?xu51mo1<75<5sW;i:6385g82=2=z{;3n<7>52z\2f0=:??;1=494}r0:a4<72;qU=nm4=643>4?03ty95h<50;0xZ4ee34=>o7?67:p61v?7j4;296~X6k016;8j51858yv4>m<0;6?uQ1b:8923>283<7p}=9d494?4|V8i<709:b;3:3>{t:0o<6=4={_3`2>;0=h0:5:5rs3;f?36<78;|q1=`g=838pR?2wx>4km:181[24127<:h4=9e9~w7?bk3:1=luQ1938925b282:709;0<90:4<5275395=7<5>>96<6>;<577?7?927<894>809>313=91;01::9:0:2?813?3;3=6384982<4=:?=31=5?4=66b>4>634=?n7?71:?40f<60816;9j51938922b282:709;f;3;5>;0=90:4<5274395=7<5>?96<6>;<567?7?927<994>809>303=91;01:8j:3;`?xu51ln1<7=>{_3:6>;0;l0:8<5272d9517<5>>;6<:>;<575?73927<8?4>409>315=9=;01::;:062?813=3;?=638478204=:?==1=9?4=66;>42634=?57?;1:?40d<6<816;9l51538922d28>:709;d;375>;0?;6<:>;<565?73927<9?4>409>305=9=;01:;;:062?812=3;?=6385782=7=:?<=1=4<4=67;>4?534=>57?62:?41d<61;16;8l51808923d2839709:d;3:6>;0=l0:5?5274d95<4<5><;6<7=;<555?7>:27<:?4>939>335=90801:8;:0;1?811=3;2>6386782=7=:??=1=4<4=64;>4?534==57?62:?42d<61;16;;l51808920d28397099d;3:6>{t:0on6=4={_3g`>;0=<0?=;5rs3;fb?6=:rT:ho527469040?869?9;|q1=c7=838pR2wx>4h=:181[7c?27<9<4;179~w7?a;3:1>vP>d79>306=<8<0q~<6f583>7}Y9m?01::i:535?xu51o?1<7::6s|28d5>5<5sW;o?6384e8753=z{;3m;7>52z\2`7=:?=i18<84}r0:b=<72;qU=i?4=66a>1713ty95k750;0xZ4b734=?m7:>6:p6<`f2909wS?lf:?40<<39?1v?7ib;296~X6kl16;9654048yv4>nj0;6?uQ1d7892202=;=7p}=9gf94?4|V8o?709;6;622>{t:0ln6=4={_3f7>;0<<0?=;5rs3;eb?6=:rT:i?527569040>869?9;|q1e57=838pR2wx>l>=:181[7cn27<8<4;179~w7g7;3:1>vP>dd9>316=<8<0q~7}Y9m201:=i:535?xu5i9?1<7::6s|2`25>5<31r7300=0h16;8858c9>300=0j16;8958`9>301=0k16;8958b9>30>=0h16;8658c9>30>=0j16;8758`9>30?=0k16;8758b9>30g=0h16;8o58c9>30g=0j16;8l58`9>30d=0k16;8l58b9>30e=0h16;8m58c9>30e=0j16;8j58`9>30b=0k16;8j58b9>30c=0h16;8k58c9>30c=0j16;8h58`9>30`=0k16;8h58b9>336=0h16;;>58c9>336=0j16;;?58`9>337=0k16;;?58b9>334=0h16;;<58c9>334=0j16;;=58`9>335=0k16;;=58b9>332=0h16;;:58c9>332=0j16;;;58`9>333=0k16;;;58b9>330=0h16;;858c9>330=0j16;;958`9>331=0k16;;958b9>33>=0h16;;658c9>33>=0j16;;758`9>33?=0k16;;758b9>33g=0h16;;o58c9>33g=0j16;;l58`9>33d=0k16;;l58b9>33e=0h16;;m58c9>33e=0j16;;j58`9>33b=0k16;;j58b9~w7g7?3:1>v383d82=2=:?<=18<84}r0b4=<72;q6;>h51858923?2=;=7p}=a1;94?4|5>>;6<78;<562?26>2wx>l>n:18181393;2;6385`8753=z{;k;n7>52z?407<61>16;8l54048yv4f8j0;6?u275195<1<5>?269?9;|q1e5b=838p1::;:0;4?812l3>::6s|2`2f>5<5s4=?97?67:?41`<39?1v?o?f;296~;0311=90=01:8?:535?xu5i8;1<74?034===7:>6:p6d752909w09;9;3:3>;0=o0?=;5rs3c27?6=:r7<8l4>969>335=<8<0q~7}:?=h1=494=647>1713ty9m<;50;0x922d283<70992;622>{t:h;=6=4={<57`?7>?27<:;4;179~w7g6?3:1>v384d82=2=:??=18<84}r0b5=<72;q6;9h5185892022=;=7p}=a0;94?4|5>?;6<78;<55=?26>2wx>l?n:18181293;2;6386`8753=z{;k:n7>52z?417<61>16;;654048yv4f9j0;6?u274195<1<5>::6s|2`3f>5<5s4=>97?67:?42g<39?1v?o>f;295<}:?<<1=5?4=674>4>634=>47?71:?41<<60816;8o51938923e282:709:c;3;5>;0=m0:4<5274g95=7<5>?m6<6>;<554?7?927<:<4>809>334=91;01:8<:0:2?811<3;3=6386482<4=:??<1=5?4=644>4>634==47?71:?42<<60816;;o51938920e282:7099c;3;5>;0>m0:4<5277g973d5$530>`e5<#<891=n=4n531>5=5<#<891=n=4n531>7=54i0`f>5<#<891=n=4n531>1=5<#<891=n=4n531>3=5<#<891=n=4n531>==5<#<891=n=4n531>d=5<#<891=n=4n531>f=6=4+40195f55<#<891=n=4n531>`=5<#<891=n=4n531>46<3`;h57>5$530>4e43g>:>7?>;:k2g=<72->:?7?l3:l757<6:21b=n950;&756<6k:1e8<<51298m4e1290/8<=51b18j17528>07d?l5;29 17428i87c:>2;36?>o6jo0;6):>3;3`7>h39;0::65f1c694?"39:0:o>5a400952=5<6=44i0;1>5<5<#<891=im4n531>4=5<#<891=im4n531>6=5<#<891=im4n531>0=6=4+40195ae5<#<891=im4n531>2=5<#<891=im4n531><=5<#<891=im4n531>g=5<#<891=im4n531>a=6=4+40195ae5<#<891=im4n531>c=4;n3f6?6=,=;862:9l5`6=83.?=>4>db9m044=9:10c76a>d983>!26;3;oo6`;13822>=h9jn1<7*;1282`f=i<881=:54b653>5<6290;w):=e;0:e>N3;m1C8>?4o3;:>5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg1?i3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo97c;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg1?m3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo960;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg1>:3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo964;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg1>>3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo968;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg1>i3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo96c;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg1>m3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo9n0;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<53;294~"3:l09mk5G42f8L1563-8j=769;h0:g?6=3`82h7>5;n15f?6=3ty9m?>50;3:[cc34=35766;<5;e?>>34=3n766;<5;g?>>34=3h766;<5;a?>>34=3j766;<5:4?>>34=2=766;<5:6?>>34=2?766;<5:0?>>34=29766;<5:2?>>34=2;766;<5:>34=25766;<5:e?>>34=2n766;<5:g?>>34=2h766;<5:a?>>34=2j766;<5b4?>>3ty9m??50;0xZ4e334=2i7?67:p6d452909wS?l2:?4e5<61>1v?o=3;296~X6k816;4h51858yv4f:=0;6?uQ1b2892?e283<7p}=a3794?4|V8hn7096d;3:3>{t:h8=6=4={_3a`>;01j0:5:5rs3c13?6=:rT:nn5278:95<154?:3y]5gd<5>3j6<78;|q1e7?=838pR?2wx>l969~w7g5j3:1>vP>b99>3<1=90=0q~7}Y9k=01:79:0;4?xu5i;n1<7:3;2;6s|2`0f>5<5sW;i96389582=2=z{;k9j7>52z\2gf=:?091=494}r0b75<72;qU=nl4=6:e>4?03ty9m>?50;0xZ4ef34=2=7?67:p6d552909wS?l9:?4=5<61>1v?o<3;296~X6k116;5m51858yv4f;=0;6?uQ1b5892>b283<7p}=a2794?4|V8i=7097d;3:3>{t:h9=6=4={_3`1>;0000:5:5rs3c03?6=:rT:nk5279`95<12j6<78;|q1e6?=838pR9=6;<5b5?4>l2wx>l=n:182e~X60816;:?519389215282:70983;3;5>;0?=0:4<5276795=7<5>==6<6>;<543?7?927<;54>809>32?=91;01:9n:0:2?810j3;3=6387b82<4=:?>n1=5?4=65f>4>634=5282:70973;3;5>;00=0:4<5279795=7<5>2=6<6>;<5;3?7?927<454>809>3d7=:0i0q~67|V83970981;375>;0?;0:8<527619517<5>=?6<:>;<541?73927<;;4>409>321=9=;01:97:062?81013;?=6387`8204=:?>h1=9?4=65`>42634=728>:70971;375>;00;0:8<527919517<5>2?6<:>;<5;1?73927<4;4>409>3=1=9=;01:67:062?81?13;2>6388`82=7=:?1h1=4<4=6:`>4?534=3h7?62:?4<`<61;16;5h5180892?7283970961;3:6>;01;0:5?5278195<4<5>3?6<7=;<5:1?7>:27<5;4>939>3<1=90801:77:0;1?81>13;2>6389`82=7=:?0h1=4<4=6;`>4?534=2h7?62:?4=`<61;16;4h5180892g728397p}=a2a94?4|V8no70978;622>{t:h9o6=4={_3gf>;00>0?=;5rs3c0a?6=:rT:hl5279490402>69?9;|q1e16=838pR2wx>l:>:181[7c>27<4>4;179~w7g3:3:1>vP>d49>3=4=<8<0q~7}Y9m>01:6>:535?xu5i=>1<7::6s|2`66>5<5sW;o>6387g8753=z{;k?:7>52z\2`4=:?>o18<84}r0b02<72;qU=i>4=65g>1713ty9m9650;0xZ4ea34=6:p6d2>2909wS?le:?43g<39?1v?o;a;296~X6m<16;:o54048yv4f2=;=7p}=a5a94?4|V8o870988;622>{t:h>o6=4={_3f6>;0?>0?=;5rs3c7a?6=:rT:i<527649040=>69?9;|q1e06=838pR2wx>l;>:181[7cm27<;>4;179~w7g2:3:1>vP>d99>324=<8<0q~7}Y9jn01:9>:535?xu5i<>1<7:6{<544?4>127<4447a:?4<<47a:?4=647c:?4=1l;::18181093;2;6388`8753=z{;k>:7>52z?437<61>16;5l54048yv4f=>0;6?u276195<1<5>2269?9;|q1e0>=838p1:9;:0;4?81?l3>::6s|2`7:>5<5s4=<97?67:?4<`<39?1v?o:a;296~;0??0:5:5279a9040321=90=01:7?:535?xu5i4?034=2=7:>6:p6d3c2909w0989;3:3>;00o0?=;5rs3c6a?6=:r7<;l4>969>3<5=<8<0q~7}:?>h1=494=6;7>1713ty9m;>50;0x921d283<70962;622>{t:h<:6=4={<54`?7>?27<5;4;179~w7g1:3:1>v387d82=2=:?0=18<84}r0b26<72;q6;:h5185892?22=;=7p}=a7694?4|5>2;6<78;<5:=?26>2wx>l8::18181?93;2;6389`8753=z{;k=:7>52z?4<7<61>16;4654048yv4f>>0;6?u279195<1<5>3h69?9;|q1e3>=838p1:6;:0;4?81>l3>::6s|2`4:>5<5s4=397?67:?4=g<39?1v?o9a;296~;00?0:5:5278d90403=1=90=01:o?:535?xu5i?i1<74?034=2i7:>6:p6d0c290:5v388882<4=:?1k1=5?4=6:a>4>634=3o7?71:?4a282:70960;3;5>;0180:4<5278095=7<5>386<6>;<5:0?7?927<584>809>3<0=91;01:78:0:2?81>03;3=6389882<4=:?0k1=5?4=6;a>4>634=2o7?71:?4=a<60816;4k5193892?a282:709n0;3;5>;0i808:o5r}c5b6?6=;<08>7:j{I605>"3:l086}6?38:6>=5}hgg>5<#<891in5a40094>=n9j>1<7*;1282g6=i<881<65f1b094?"39:0:o>5a40095>=n9j;1<7*;1282g6=i<881>65f1b294?"39:0:o>5a40097>=n9ko1<7*;1282g6=i<881865f1cf94?"39:0:o>5a40091>=n9ki1<7*;1282g6=i<881:65f1c`94?"39:0:o>5a40093>=n9kk1<7*;1282g6=i<881465f1c;94?"39:0:o>5a4009=>=n9k21<7*;1282g6=i<881m65f1c594?"39:0:o>5a4009f>=n9k<1<7*;1282g6=i<881o65f1c794?"39:0:o>5a4009`>=n9ji1<7*;1282g6=i<881i65f1b`94?"39:0:o>5a4009b>=n9jk1<7*;1282g6=i<881==54i0a:>5<#<891=n=4n531>47<3`;h47>5$530>4e43g>:>7?=;:k2g2<72->:?7?l3:l757<6;21b=n850;&756<6k:1e8<<51598m4e2290/8<=51b18j17528?07d?mf;29 17428i87c:>2;35?>o6j=0;6):>3;3`7>h39;0:;65f42;94?=n91;1<75f43794?=n9081<75`1ef94?"39:0:hn5a40094>=h9mh1<7*;1282`f=i<881=65`1ec94?"39:0:hn5a40096>=h9m31<7*;1282`f=i<881?65`1e594?"39:0:hn5a40090>=h9m<1<7*;1282`f=i<881965`1e794?"39:0:hn5a40092>=h9m>1<7*;1282`f=i<881;65`1e194?"39:0:hn5a4009<>=h9m81<7*;1282`f=i<881565`1e394?"39:0:hn5a4009e>=h9m:1<7*;1282`f=i<881n65`1bd94?"39:0:hn5a4009g>=h9jo1<7*;1282`f=i<881h65`1d794?"39:0:hn5a4009a>=h9l>1<7*;1282`f=i<881j65`1d194?"39:0:hn5a400955=3:9l5a`=83.?=>4>db9m044=9=10cce83>!26;3;oo6`;13823>=e?h91<7?50;2x 14b2;3j7E:5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj>k>6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb6c5>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj>k<6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb6c;>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj>k26=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb6cb>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj>ki6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb6c`>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj>ko6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb6cf>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj>km6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb6`3>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj>h:6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb6`1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj>h86=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb6`7>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj>h>6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb6`5>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj>h<6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb6`;>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj>h26=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb6`b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj>hi6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb6``>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn:lk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<hn6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f2da29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb6a3>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn:m>:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<i96=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f2e429026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb6a7>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn:m::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<i=6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f2e029026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb6a;>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn:m6:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<ij6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f2ee29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb6a`>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn:mk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<in6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f2ea29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb6f3>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn:j>:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<n96=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f2b429026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb6f7>5<4290;w):=e;0bb>N3;m1C8>?4$3c2>=05<=?<5>ho6574=6`f>=?<5>hm6574=6a3>=?<5>i:6574=6a1>=?<5>i86574=6a7>=?<5>i>6574=6a5>=?<5>i<6574=6a;>=?<5>i26574=6ab>=?<5>ii6574=6a`>=?<5>io6574=6af>=?<5>im6574=6f3>=?<5>n:6574=6f1>=?<5>n86574}r0b2c<72;qU=n:4=6f2>4?03ty9m:>50;0xZ4e534=o?7?67:p6d162909wS?l1:?4`7<61>1v?o82;296~X6k916;nk51858yv4f?:0;6?uQ1cg892b7283<7p}=a6694?4|V8ho709lf;3:3>{t:h=>6=4={_3ag>;0kk0:5:5rs3c42?6=:rT:no527bf95<1ih6<78;|q1e2>=838pR?2wx>l96:181[7e027969~w7g0i3:1>vP>b69>3f?=90=0q~7}Y9k<01:m::0;4?xu5i>i1<75<5sW;ho638c782=2=z{;k52z\2gg=:?j81=494}r0b3c<72;qU=no4=6a7>4?03ty9m5>50;0xZ4e>34=h?7?67:p6d>62909wS?l8:?4fc<61>1v?o72;296~X6k>16;n?51858yv4f0:0;6?uQ1b4892e7283<7p}=a9694?4|V8i>709mc;3:3>{t:h2>6=4={_3ab>;0jl0:5:5rs3c;2?6=:rT:n9527cf95<1n?6?7k;|q1e=>=83;jwS?71:?4e1<60816;l;5193892g1282:709n7;3;5>;0i10:4<527`;95=7<5>kj6<6>;<5bf?7?927809>3db=91;01:oj:0:2?81fn3;3=638b182<4=:?k;1=5?4=6`1>4>634=i?7?71:?4f1<60816;o;5193892d1282:709m7;3;5>;0j10:4<527c;95=7<5>hj6<6>;<5af?7?927:709n5;375>;0i?0:8<527`59517<5>k36<:>;<5b=?73927409>3dd=9=;01:ol:062?81fl3;?=638ad8204=:?hl1=9?4=6`3>42634=i=7?;1:?4f7<6<816;o=5153892d328>:709m5;375>;0j?0:8<527c59517<5>h36<:>;<5a=?73927409>3gd=9=;01:ll:0;1?81el3;2>638bd82=7=:?kl1=4<4=6a3>4?534=h=7?62:?4g7<61;16;n=5180892e32839709l5;3:6>;0k?0:5?527b595<4<5>i36<7=;<5`=?7>:27939>3fd=90801:ml:0;1?81dl3;2>638cd82=7=:?jl1=4<4=6f3>4?534=o=7?62:?4`7<61;16;i=51808yv4f0h0;6?uQ1ef892de2=;=7p}=a9`94?4|V8ni709ma;622>{t:h2h6=4={_3ge>;0j00?=;5rs3c;`?6=:rT:h4527c:9040h<69?9;|q1e=`=838pR2wx>l7?:181[7c=2793:1>vP>d59>3g2=<8<0q~7}Y9m901:l<:535?xu5i091<7::6s|2`;7>5<5sW;o=638b08753=z{;k297>52z\2`5=:?k:18<84}r0b=3<72;qU=nh4=6ce>1713ty9m4950;0xZ4eb34=ji7:>6:p6d??2909wS?j5:?4ea<39?1v?o69;296~X6m=16;lm54048yv4f1h0;6?uQ1d1892ge2=;=7p}=a8`94?4|V8o9709na;622>{t:h3h6=4={_3f5>;0i00?=;5rs3c:`?6=:rT:i=527`:9040k<69?9;|q1e<`=838pR2wx>lo?:181[7c027vP>ce9>3d2=<8<0q~1?|5>k86?76;<5ag?>f34=io76m;<5ag?>d34=ih76n;<5a`?>e34=ih76l;<5aa?>f34=ii76m;<5aa?>d34=ij76n;<5ab?>e34=ij76l;<5`4?>f34=h<76m;<5`4?>d34=h=76n;<5`5?>e34=h=76l;<5`6?>f34=h>76m;<5`6?>d34=h?76n;<5`7?>e34=h?76l;<5`0?>f34=h876m;<5`0?>d34=h976n;<5`1?>e34=h976l;<5`2?>f34=h:76m;<5`2?>d34=h;76n;<5`3?>e34=h;76l;<5`f34=h476m;<5`d34=h576n;<5`=?>e34=h576l;<5`e?>f34=hm76m;<5`e?>d34=hn76n;<5`f?>e34=hn76l;<5`g?>f34=ho76m;<5`g?>d34=hh76n;<5``?>e34=hh76l;<5`a?>f34=hi76m;<5`a?>d34=hj76n;<5`b?>e34=hj76l;<5g4?>f34=o<76m;<5g4?>d34=o=76n;<5g5?>e34=o=76l;<5g6?>f34=o>76m;<5g6?>d34=o?76n;<5g7?>e34=o?76l;|q1ed5=838p1:o;:0;4?81el3>::6s|2`c7>5<5s4=j97?67:?4f`<39?1v?on5;296~;0i?0:5:527ca90403d1=90=01:m?:535?xu5ih=1<74?034=h=7:>6:p6dg?2909w09n9;3:3>;0jo0?=;5rs3cb=?6=:r7969>3f5=<8<0q~7}:?hh1=494=6a7>1713ty9mll50;0x92gd283<709l2;622>{t:hkh6=4={<5b`?7>?27v38ad82=2=:?j=18<84}r0be`<72;q6;lh5185892e22=;=7p}=a`d94?4|5>h;6<78;<5`=?26>2wx>ll?:18181e93;2;638c`8753=z{;ki=7>52z?4f7<61>16;n654048yv4fj;0;6?u27c195<1<5>ih69?9;|q1eg5=838p1:l;:0;4?81dl3>::6s|2``7>5<5s4=i97?67:?4gg<39?1v?om5;296~;0j?0:5:527bd90403g1=90=01:j?:535?xu5ik=1<74?034=hi7:>6:p6dd?2909w09m9;3:3>;0l;0?=;5rs3ca=?6=:r7969>3a5=<8<0q~7}:?kh1=494=6f2>1713ty9mol50;3:81ek3;3=638be82<4=:?ko1=5?4=6`e>4>634=h<7?71:?4g4<60816;n<5193892e4282:709l4;3;5>;0k<0:4<527b495=7<5>i<6<6>;<5`809>3fg=91;01:mm:0:2?81dk3;3=638ce82<4=:?jo1=5?4=6ae>4>634=o<7?71:?4`4<60816;i<5193892b4282:709k4;15f>{zj>n>6=4<5;11>1c|@=9:7):=e;13gc=]9:l1?v?8:33976h39;0;76g>c583>!26;3;h?6`;1383?>o6k;0;6):>3;3`7>h39;0:76g>c083>!26;3;h?6`;1381?>o6k90;6):>3;3`7>h39;0876g>bd83>!26;3;h?6`;1387?>o6jm0;6):>3;3`7>h39;0>76g>bb83>!26;3;h?6`;1385?>o6jk0;6):>3;3`7>h39;0<76g>b`83>!26;3;h?6`;138;?>o6j00;6):>3;3`7>h39;0276g>b983>!26;3;h?6`;138b?>o6j>0;6):>3;3`7>h39;0i76g>b783>!26;3;h?6`;138`?>o6j<0;6):>3;3`7>h39;0o76g>cb83>!26;3;h?6`;138f?>o6kk0;6):>3;3`7>h39;0m76g>c`83>!26;3;h?6`;13824>=n9j31<7*;1282g6=i<881=<54i0a;>5<#<891=n=4n531>44<3`;h;7>5$530>4e43g>:>7?<;:k2g3<72->:?7?l3:l757<6<21b=n;50;&756<6k:1e8<<51498m4da290/8<=51b18j17528<07d?m4;29 17428i87c:>2;34?>o3;00;66g>8083>>o3:<0;66g>9383>>i6lm0;6):>3;3gg>h39;0;76a>dc83>!26;3;oo6`;1382?>i6lh0;6):>3;3gg>h39;0976a>d883>!26;3;oo6`;1380?>i6l>0;6):>3;3gg>h39;0?76a>d783>!26;3;oo6`;1386?>i6l<0;6):>3;3gg>h39;0=76a>d583>!26;3;oo6`;1384?>i6l:0;6):>3;3gg>h39;0376a>d383>!26;3;oo6`;138:?>i6l80;6):>3;3gg>h39;0j76a>d183>!26;3;oo6`;138a?>i6ko0;6):>3;3gg>h39;0h76a>cd83>!26;3;oo6`;138g?>i6m<0;6):>3;3gg>h39;0n76a>e583>!26;3;oo6`;138e?>i6m:0;6):>3;3gg>h39;0:<65`1d094?"39:0:hn5a400954=4:9l5ac=83.?=>4>db9m044=9<10c4<729q/8?k528c8L15c3A>8=6a=9883>>{e?m=1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm7e:94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e?m31<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm7ec94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e?mh1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm7ea94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e?mn1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm7eg94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e?ml1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm7d294?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e?l;1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm7d094?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e?l91<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm7d694?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e?l?1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm7d494?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e?l=1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm7d:94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e?l31<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm7dc94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e?lh1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm7da94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e?ln1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm7dg94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e?ll1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm7g394??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi;k<50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e?o91<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm7g794??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi;k850;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e?o=1<7750;2x 14b2=8h7E:f2900e5l50;9j=8331<7>t$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm7g;94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi;ko50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e?oh1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm7gf94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi;kk50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e?ol1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm81394??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi4=<50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e0991<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm81794??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi4=850;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e09=1<7=50;2x 14b2;km7E:518y]aa=:?ll144527g29<<=:?o;144527g09<<=:?o9144527g69<<=:?o?144527g49<<=:?o=144527g:9<<=:?o3144527gc9<<=:?oh144527ga9<<=:?on144527gg9<<=:?ol144528129<<=:09;144528109<<=:099144528169<<=:09?144528149<<=z{;kih7>52z\2g1=:09>1=494}r0bf`<72;qU=n<4=925>4?03ty9moh50;0xZ4e6342;97?67:p6de72909wS?l0:?;44<61>1v?ol1;296~X6jl164==51858yv4fk;0;6?uQ1cf89=65283<7p}=ab194?4|V8hh709ie;3:3>{t:hi?6=4={_3af>;?890:5:5rs3c`1?6=:rT:nl527gd95<1li6<78;|q1ef1=838pR?2wx>lm7:181[7e?27969~w7gd13:1>vP>b79>3c>=90=0q~7}Y9k?01:hn:0;4?xu5ijh1<75<5sW;hn638f482=2=z{;khh7>52z\2gd=:?o=1=494}r0bg`<72;qU=n74=6d5>4?03ty9mnh50;0xZ4e?34=m>7?67:p6db72909wS?l7:?4b1<61>1v?ok1;296~X6k?16;k=51858yv4fl;0;6?uQ1b7892ca283<7p}=ae194?4|V8hm709i1;3:3>{t:hn?6=4={_3a0>;0n90:5:5rs3cg1?6=:rT??45281596634=o;7?71:?4`=<60816;i75193892bf282:709kb;3;5>;0lj0:4<527ef95=7<5>nn6<6>;<5gb?7?927809>3`7=91;01:k=:0:2?81b;3;3=638e582<4=:?l?1=5?4=6g5>4>634=n;7?71:?4a=<60816;h75193892cf282:709jb;3;5>;0mj0:4<527df95=7<5>on6<6>;<:33?4>k2wx>lj8:1805~X61;16;i95153892b?28>:709k9;375>;0lh0:8<527e`9517<5>nh6<:>;<5g`?73927409>3a`=9=;01:k?:062?81b93;?=638e38204=:?l91=9?4=6g7>42634=n97?;1:?4a3<6<816;h95153892c?28>:709j9;375>;0mh0:8<527d`9517<5>oh6<:>;<5f`?73927409>3``=90801:h?:0;1?81a93;2>638f382=7=:?o91=4<4=6d7>4?534=m97?62:?4b3<61;16;k95180892`?2839709i9;3:6>;0nh0:5?527g`95<4<5>lh6<7=;<5e`?7>:27939>3c`=908015>?:0;1?8>793;2>6370382=7=:0991=4<4=927>4?5342;97?62:?;43<61;1v?ok8;296~X6lm16;hk54048yv4fl00;6?uQ1e`892cc2=;=7p}=aec94?4|V8nj709jc;622>{t:hni6=4={_3g=>;0mk0?=;5rs3cgg?6=:rT:h:527dc9040o269?9;|q1eac=838pR2wx>lji:181[7c<27vP>d29>3`0=<8<0q~7}Y9m801:k::535?xu5il81<7::6s|2`g0>5<5sW;o<638e28753=z{;kn87>52z\2gc=:?l818<84}r0ba0<72;qU=nk4=6g2>1713ty9mh850;0xZ4c234=n<7:>6:p6dc02909wS?j4:?4`c<39?1v?oj8;296~X6m:16;ik54048yv4fm00;6?uQ1d0892bc2=;=7p}=adc94?4|V8o:709kc;622>{t:hoi6=4={_3f4>;0lk0?=;5rs3cfg?6=:rT:hk527ec9040n269?9;|q1e`c=838pR2wx>lki:181[7dl27om65o4=6ge>=d<5>om65m4=6d3>=g<5>l;65l4=6d3>=e<5>l:65o4=6d2>=d<5>l:65m4=6d1>=g<5>l965l4=6d1>=e<5>l865o4=6d0>=d<5>l865m4=6d7>=g<5>l?65l4=6d7>=e<5>l>65o4=6d6>=d<5>l>65m4=6d5>=g<5>l=65l4=6d5>=e<5>l<65o4=6d4>=d<5>l<65m4=6d;>=g<5>l365l4=6d;>=e<5>l265o4=6d:>=d<5>l265m4=6db>=g<5>lj65l4=6db>=e<5>li65o4=6da>=d<5>li65m4=6d`>=g<5>lh65l4=6d`>=e<5>lo65o4=6dg>=d<5>lo65m4=6df>=g<5>ln65l4=6df>=e<5>lm65o4=6de>=d<5>lm65m4=923>=g<51:;65l4=923>=e<51::65o4=922>=d<51::65m4=921>=g<51:965l4=921>=e<51:865o4=920>=d<51:865m4=927>=g<51:?65l4=927>=e<51:>65o4=926>=d<51:>65m4=925>=g<51:=65l4=925>=e3a1=90=01:h?:535?xu5io81<74?034=m=7:>6:p6d`42909w09k9;3:3>;0mo0?=;5rs3ce0?6=:r7969>3c5=<8<0q~7}:?mh1=494=6d7>1713ty9mk850;0x92bd283<709i2;622>{t:hl<6=4={<5g`?7>?27v38dd82=2=:?o=18<84}r0bb<<72;q6;ih5185892`22=;=7p}=agc94?4|5>o;6<78;<5e=?26>2wx>lhm:18181b93;2;638f`8753=z{;kmo7>52z?4a7<61>16;k654048yv4fnm0;6?u27d195<1<5>lh69?9;|q1ecc=838p1:k;:0;4?81al3>::6s|2`de>5<5s4=n97?67:?4bg<39?1v?l?0;296~;0m?0:5:527gd90403`1=90=015>?:535?xu5j981<74?034=mi7:>6:p6g642909w09j9;3:3>;?8;0?=;5rs3`30?6=:r7969><55=<8<0q~7}:?lh1=494=922>1713ty9n=850;0x92cd283<706?5;622>{t:k:<6=4={<5f`?7>?273<;4;179~w7d703:1>v38ed82=2=:09>18<84}r0a4<<7283p1:ki:0:2?81a83;3=638f082<4=:?o81=5?4=6d0>4>634=m87?71:?4b0<60816;k85193892`0282:709i8;3;5>;0n00:4<527gc95=7<5>li6<6>;<5eg?7?927809>3cc=91;01:hi:0:2?8>783;3=6370082<4=:0981=5?4=920>4>6342;87?71:?;40<608164=8519389=602:3g8041=:808?7sfee83>!26;3oh7c:>2;28?l7d<3:1(9?<:0a0?k26:3:07d?l2;29 17428i87c:>2;38?l7d93:1(9?<:0a0?k26:3807d?l0;29 17428i87c:>2;18?l7em3:1(9?<:0a0?k26:3>07d?md;29 17428i87c:>2;78?l7ek3:1(9?<:0a0?k26:3<07d?mb;29 17428i87c:>2;58?l7ei3:1(9?<:0a0?k26:3207d?m9;29 17428i87c:>2;;8?l7e03:1(9?<:0a0?k26:3k07d?m7;29 17428i87c:>2;`8?l7e>3:1(9?<:0a0?k26:3i07d?m5;29 17428i87c:>2;f8?l7dk3:1(9?<:0a0?k26:3o07d?lb;29 17428i87c:>2;d8?l7di3:1(9?<:0a0?k26:3;;76g>c883>!26;3;h?6`;13825>=n9j21<7*;1282g6=i<881=?54i0a4>5<#<891=n=4n531>45<3`;h:7>5$530>4e43g>:>7?;;:k2g0<72->:?7?l3:l757<6=21b=oh50;&756<6k:1e8<<51798m4d3290/8<=51b18j17528=07d:<9;29?l7?93:17d:=5;29?l7>:3:17b?kd;29 17428nh7c:>2;28?j7cj3:1(9?<:0f`?k26:3;07b?ka;29 17428nh7c:>2;08?j7c13:1(9?<:0f`?k26:3907b?k7;29 17428nh7c:>2;68?j7c>3:1(9?<:0f`?k26:3?07b?k5;29 17428nh7c:>2;48?j7c<3:1(9?<:0f`?k26:3=07b?k3;29 17428nh7c:>2;:8?j7c:3:1(9?<:0f`?k26:3307b?k1;29 17428nh7c:>2;c8?j7c83:1(9?<:0f`?k26:3h07b?lf;29 17428nh7c:>2;a8?j7dm3:1(9?<:0f`?k26:3n07b?j5;29 17428nh7c:>2;g8?j7b<3:1(9?<:0f`?k26:3l07b?j3;29 17428nh7c:>2;33?>i6m;0;6):>3;3gg>h39;0:=65`1d394?"39:0:hn5a400957=5:9l5a>=83.?=>4>db9m044=9?10c713:1=7>50z&76`<51h1C8>j4H512?j4>13:17pl70`83>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd?8k0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl70b83>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd?8m0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl70d83>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd?8o0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl71183>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd?980;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl71383>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd?9:0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl71583>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd?9<0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl71783>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd?9>0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl71983>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd?900;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl71`83>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd?9k0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl71b83>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd?9m0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl71d83>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd?9o0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl72183>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd?:80;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl72383><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th3>>4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd?:=0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`;60<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl72783><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th3>:4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd?:10;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`;6<<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl72`83><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th3>o4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd?:j0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`;6a<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl72d83><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th3>k4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd?;90;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`;74<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl73383><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th3?>4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd?;=0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`;70<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl73783><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th3?:4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd?;10;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`;7<<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl73`83>6<729q/8?k52`d8L15c3A>8=6*=a08;2>o51j0;66g=9e83>>i4>k0;66s|2c2b>5<61rTnh637238;=>;?::035637258;=>;?:<035637278;=>;?:>035637298;=>;?:00356372`8;=>;?:k0356372b8;=>;?:m0356372d8;=>;?:o035637318;=>;?;8035637338;=>;?;:035637358;=>;?;<035637378;=>;?;>035637398;=>;?;00356s|2c2a>5<5sW;h86373682=2=z{;h;o7>52z\2g7=:0:31=494}r0a4a<72;qU=n?4=91;>4?03ty9n=k50;0xZ4e7342887?67:p6g6a2909wS?me:?;73<61>1v?l>0;296~X6jm164>;51858yv4e980;6?uQ1ca89=56283<7p}=b0094?4|V8hi706<3;3:3>{t:k;86=4={_3ae>;?;;0:5:5rs3`20?6=:rT:n45283g95<1<519;6<78;|q1f40=838pR?2wx>o?8:181[7e>273>o4>969~w7d603:1>vP>b49><7b=90=0q~7}Y9ji015503;2;6s|2c3a>5<5sW;hm6372`82=2=z{;h:o7>52z\2g<=:0;31=494}r0a5a<72;qU=n64=906>4?03ty9n1v?l=0;296~X6k<164?<51858yv4e:80;6?uQ1cd89=43283<7p}=b3094?4|V8h?706=3;3:3>{t:k886=4={_60=>;?;h095i5rs3`10?6=9hqU=5?4=92b>4>6342;n7?71:?;4f<608164=j519389=6b282:706?f;3;5>;?990:4<5280395=7<51;96<6>;<:27?7?9273=94>809><43=91;015?9:0:2?8>6?3;3=6371982<4=:0831=5?4=93b>4>6342:n7?71:?;5f<608164f;3;5>;?:90:4<5283395=7<519j6?7l;|q1f73=839:wS?62:?;4d<6<8164=l515389=6d28>:706?d;375>;?8l0:8<5281d9517<51;;6<:>;<:25?739273=?4>409><45=9=;015?;:062?8>6=3;?=637178204=:08=1=9?4=93;>426342:57?;1:?;5d<6<8164:706>d;375>;?9l0:8<5280d9517<518;6<:>;<:15?739273>?4>939><75=908015<;:0;1?8>5=3;2>6372782=7=:0;=1=4<4=90;>4?5342957?62:?;6d<61;164?l518089=4d2839706=d;3:6>;?:l0:5?5283d95<4<519;6<7=;<:05?7>:273??4>939><65=908015=;:0;1?8>4=3;2>6373782=7=:0:=1=4<4=91;>4?5342857?62:p6g412909wS?kd:?;64<39?1v?l=7;296~X6lk164?>54048yv4e:10;6?uQ1ec89=7a2=;=7p}=b3;94?4|V8n2706>e;622>{t:k8j6=4={_3g3>;?9m0?=;5rs3`1f?6=:rT:h;5280a9040n4?:3y]5a3<51;i69?9;|q1f7b=838pR2wx>ovP>d39><4>=<8<0q~7}Y9m;015?8:535?xu5j:;1<76>3>::6s|2c11>5<5sW;hj637148753=z{;h8?7>52z\2g`=:08>18<84}r0a71<72;qU=h;4=930>1713ty9n>;50;0xZ4c3342:>7:>6:p6g512909wS?j3:?;54<39?1v?l<7;296~X6m;164<>54048yv4e;10;6?uQ1d389=6a2=;=7p}=b2;94?4|V8o;706?e;622>{t:k9j6=4={_3gb>;?8m0?=;5rs3`0f?6=:rT:hh5281a9040<51:i69?9;|q1f6b=838pR2wx>o=j:187=~;?80095452830914o52836914o528269969><75=<8<0q~7}:09h1=494=907>1713ty9n9?50;0x9=6d283<706=2;622>{t:k>96=4={<:3`?7>?273>;4;179~w7d3;3:1>v370d82=2=:0;=18<84}r0a01<72;q64=h518589=422=;=7p}=b5794?4|51;;6<78;<:1=?26>2wx>o:9:1818>693;2;6372`8753=z{;h?;7>52z?;57<61>164?654048yv4e<10;6?u280195<1<518h69?9;|q1f1?=838p15?;:0;4?8>5l3>::6s|2c6b>5<5s42:97?67:?;6g<39?1v?l;b;296~;?9?0:5:5283d9040<41=90=015=?:535?xu5j=n1<74?03429i7:>6:p6g2b2909w06>9;3:3>;?;;0?=;5rs3`7b?6=:r73=l4>969><65=<8<0q~7}:08h1=494=912>1713ty9n8?50;0x9=7d283<706<5;622>{t:k?96=4={<:2`?7>?273?;4;179~w7d2;3:1>v371d82=2=:0:>18<84}r0a11<72;q642wx>o;9:1818>593;2;637368753=z{;h>;7>518y><74=91;015<<:0:2?8>5<3;3=6372482<4=:0;<1=5?4=904>4>6342947?71:?;6<<608164?o519389=4e282:706=c;3;5>;?:m0:4<5283g95=7<518m6<6>;<:04?7?9273?<4>809><64=91;015=<:0:2?8>4<3;3=6373482<4=:0:<1=5?4=914>4>6342847?71:?;7<<608164>o537`8yxd?;k0;6>;53387a~N3;81/8?k531ae?_74n39p=:4=1;10>xobl3:1(9?<:da8j1752910e:18'045=9j90b9?=:398m4e7290/8<=51b18j1752:10e10e290/8<=51b18j1752010ec983>!26;3;h?6`;13826>=n9j=1<7*;1282g6=i<881=>54i0a5>5<#<891=n=4n531>42<3`;h97>5$530>4e43g>:>7?:;:k2fc<72->:?7?l3:l757<6>21b=o:50;&756<6k:1e8<<51698m15>2900e<6>:188m1422900e<7=:188k4bc290/8<=51ea8j1752910c2;32?>i6m80;6):>3;3gg>h39;0:>65`1d294?"39:0:hn5a400956=6:9l5fb=83.?=>4>db9m044=9>10n5=l:182>5<7s->9i7<6a:J77a=O<:;0c?76:188yg>4l3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo6h4=939K06b<@=9:7):188m4?02900c9?9:188yg>4n3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo6;0;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg>393:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo6;2;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg>3;3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo6;4;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg>3=3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo6;6;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg>3?3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo6;8;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg>313:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo6;a;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg>3j3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo6;c;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg>3l3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo6;e;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg>3n3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo6:0;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg>293:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo6:2;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg>2;3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo6:4;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg>2=3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo6:7;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<47>59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg>213:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo6:b;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<o7>59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg>2l3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo6:f;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg>193:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo693;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg>1=3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo697;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg>113:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo69b;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg>1l3:1?7>50z&76`<5io1C8>j4H512?!4f932=7d<6c;29?l4>l3:17b=9b;29?xu5j<21<7?6{_gg?8>2=322706:6;::?8>2?322706:8;::?8>21322706:a;::?8>2j322706:c;::?8>2l322706:e;::?8>2n32270690;::?8>1932270692;::?8>1;32270694;::?8>1=32270696;::?8>1?32270698;::?8>113227069a;::?8>1j3227069c;::?xu5j<31<71i3;2;6s|2c7b>5<5sW;h>6376b82=2=z{;h>n7>52z\2g4=:0?h1=494}r0a1f<72;qU=n>4=944>4?03ty9n8j50;0xZ4db342=57?67:p6g3b2909wS?md:?;2=<61>1v?l:f;296~X6jj164;:51858yv4e>90;6?uQ1c`89=01283<7p}=b7394?4|V8hj70695;3:3>{t:k<96=4={_3a=>;?>80:5:5rs3`57?6=:rT:n55287195<1?2wx>o89:181[7e=273:=4>969~w7d1?3:1>vP>cb9><0`=90=0q~7}Y9jh015;m:0;4?xu5j?31<72l3;2;6s|2c4b>5<5sW;h56375b82=2=z{;h=n7>52z\2g==:0<21=494}r0a2f<72;qU=n94=97b>4?03ty9n;j50;0xZ4e1342>57?67:p6g0b2909wS?l5:?;10<61>1v?l9f;296~X6jo1648951858yv4e?90;6?uQ1c689=31283<7p}=b6394?4|V=927069d;0:`>{t:k=96=4>az\2<4=:0:n1=5?4=91f>4>63428j7?71:?;05<6081649?519389=25282:706;3;3;5>;?<=0:4<5285795=7<51>=6<6>;<:73?7?9273854>809><1?=91;015:n:0:2?8>3j3;3=6374b82<4=:0=n1=5?4=96f>4>6342?j7?71:?;15<6081648?519389=35282:706:3;3;5>;?==0:4<5287f964?:23xZ4?53428h7?;1:?;7`<6<8164>h515389=2728>:706;1;375>;?<;0:8<528519517<51>?6<:>;<:71?7392738;4>409><11=9=;015:7:062?8>313;?=6374`8204=:0=h1=9?4=96`>426342?h7?;1:?;0`<6<81649h515389=3728>:706:1;375>;?=;0:8<528419517<51??6<:>;<:61?7>:2739;4>939><01=908015;7:0;1?8>213;2>6375`82=7=:04?5342>h7?62:?;1`<61;1648h518089=07283970691;3:6>;?>;0:5?5287195<4<51:273:;4>939><31=90801587:0;1?8>113;2>6376`82=7=:0?h1=4<4=94`>4?53ty9n::50;0xZ4bc342>87:>6:p6g122909wS?kb:?;16<39?1v?l86;296~X6lh1648<54048yv4e?>0;6?uQ1e;89=362=;=7p}=b6:94?4|V8n<706:0;622>{t:k=26=4={_3g2>;?o69?9;|q1f2e=838pR2wx>o9k:181[7c:2738o4;179~w7d0m3:1>vP>d09><1g=<8<0q~7}Y9m:015:6:535?xu5j1:1<7303>::6s|2c:2>5<5sW;hi637468753=z{;h3>7>52z\2a0=:0=<18<84}r0a<6<72;qU=h:4=966>1713ty9n5:50;0xZ4c4342?87:>6:p6g>22909wS?j2:?;06<39?1v?l76;296~X6m81649<54048yv4e0>0;6?uQ1d289=262=;=7p}=b9:94?4|V8nm706;0;622>{t:k226=4={_3ga>;?;o0?=;5rs3`;e?6=:rT:h55282g90402w06;?=<03m637548;f>;?=<03o637578;e>;?=?03n637578;g>;?=>03m637568;f>;?=>03o637598;e>;?=103n637598;g>;?=003m637588;f>;?=003o6375`8;e>;?=h03n6375`8;g>;?=k03m6375c8;f>;?=k03o6375b8;e>;?=j03n6375b8;g>;?=m03m6375e8;f>;?=m03o6375d8;e>;?=l03n6375d8;g>;?=o03m6375g8;f>;?=o03o637618;e>;?>903n637618;g>;?>803m637608;f>;?>803o637638;e>;?>;03n637638;g>;?>:03m637628;f>;?>:03o637658;e>;?>=03n637658;g>;?><03m637648;f>;?><03o637678;e>;?>?03n637678;g>;?>>03m637668;f>;?>>03o637698;e>;?>103n637698;g>;?>003m637688;f>;?>003o6376`8;e>;?>h03n6376`8;g>;?>k03m6376c8;f>;?>k03o6376b8;e>;?>j03n6376b8;g>{t:k2o6=4={<:0`?7>?2739;4;179~w7d?m3:1>v373d82=2=:0<=18<84}r0ah518589=322=;=7p}=b8294?4|51>;6<78;<:6=?26>2wx>o7>:1818>393;2;6375`8753=z{;h2>7>52z?;07<61>1648654048yv4e1:0;6?u285195<1<51?h69?9;|q1f<2=838p15:;:0;4?8>2l3>::6s|2c;6>5<5s42?97?67:?;1g<39?1v?l66;296~;?<11=90=0158?:535?xu5j021<74?0342>i7:>6:p6g?>2909w06;9;3:3>;?>;0?=;5rs3`:e?6=:r738l4>969><35=<8<0q~7}:0=h1=494=942>1713ty9n4m50;0x9=2d283<70695;622>{t:k3o6=4={<:7`?7>?273:;4;179~w7d>m3:1>v374d82=2=:0?>18<84}r0a=c<72;q649h518589=0?2=;=7p}=b`294?4|51?;6<78;<:5=?26>2wx>oo>:1818>293;2;637668753=z{;hj>7>52z?;17<61>164;l54048yv4ei:0;6?u284195<1<511i3>::6s|2cc6>5<61r73984>809><00=91;015;8:0:2?8>203;3=6375882<4=:04>6342>o7?71:?;1a<6081648k519389=3a282:70690;3;5>;?>80:4<5287095=7<51<86<6>;<:50?7?9273:84>809><30=91;01588:0:2?8>103;3=6376882<4=:0?k1=5?4=94a>4>6342=o7?71:?;2a<4>k1vqo69e;2970<4:3>nwE:<1:&76`<48jl0V<=i:2y23?462:91qdkk:18'045=mj1e8<<50:9j5f2=83.?=>4>c29m044=821b=n<50;&756<6k:1e8<<51:9j5f7=83.?=>4>c29m044=:21b=n>50;&756<6k:1e8<<53:9j5gc=83.?=>4>c29m044=<21b=oj50;&756<6k:1e8<<55:9j5ge=83.?=>4>c29m044=>21b=ol50;&756<6k:1e8<<57:9j5gg=83.?=>4>c29m044=021b=o750;&756<6k:1e8<<59:9j5g>=83.?=>4>c29m044=i21b=o950;&756<6k:1e8<<5b:9j5g0=83.?=>4>c29m044=k21b=o;50;&756<6k:1e8<<5d:9j5fe=83.?=>4>c29m044=m21b=nl50;&756<6k:1e8<<5f:9j5fg=83.?=>4>c29m044=9910ec683>!26;3;h?6`;13827>=n9j<1<7*;1282g6=i<881=954i0a6>5<#<891=n=4n531>43<3`;ij7>5$530>4e43g>:>7?9;:k2f1<72->:?7?l3:l757<6?21b8>750;9j5=7=831b8?;50;9j5<4=831d=ij50;&756<6lj1e8<<50:9l5ad=83.?=>4>db9m044=921d=io50;&756<6lj1e8<<52:9l5a?=83.?=>4>db9m044=;21d=i950;&756<6lj1e8<<54:9l5a0=83.?=>4>db9m044==21d=i;50;&756<6lj1e8<<56:9l5a2=83.?=>4>db9m044=?21d=i=50;&756<6lj1e8<<58:9l5a4=83.?=>4>db9m044=121d=i?50;&756<6lj1e8<<5a:9l5a6=83.?=>4>db9m044=j21d=nh50;&756<6lj1e8<<5c:9l5fc=83.?=>4>db9m044=l21d=h;50;&756<6lj1e8<<5e:9l5`2=83.?=>4>db9m044=n21d=h=50;&756<6lj1e8<<51198k4c5290/8<=51ea8j17528;07b?j1;29 17428nh7c:>2;31?>i6m90;6):>3;3gg>h39;0:?65`1ed94?"39:0:hn5a400951=7:9a<3`=83;1<7>t$50f>7?f3A>8h6F;309l65<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f=16290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn59=:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f=14290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn59;:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f=12290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn599:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f=10290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn597:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f=1>290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn59n:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f=1e290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn59l:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f=1c290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn59j:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f=1a290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn56?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f=>6290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn56=:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f=>4290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn56;:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f=>2290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn569:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f=>0290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn567:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f=>f29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb9:a>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn56l:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f=>b29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb9:e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn57?:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f=?529026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb9;0>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn57;:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f=?129026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb9;4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn577:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f=?f29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb9;a>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn57l:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f=?b29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb9;e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn5o?:180>5<7s->9i7:948m7?d2900e?7k:188k60e2900q~4?|Vln01567:9;89=>>2130156n:9;89=>e2130156l:9;89=>c2130156j:9;89=>a2130157?:9;89=?62130157=:9;89=?42130157;:9;89=?221301579:9;89=?021301577:9;89=?>2130157n:9;89=?e2130157l:9;89=?c2130157j:9;89=?a2130q~7}Y9j>0157k:0;4?xu5jh21<7>n3;2;6s|2cc:>5<5sW;h=6379d82=2=z{;hjm7>52z\2g5=:00k1=494}r0aeg<72;qU=ok4=9;`>4?03ty9nlm50;0xZ4dc3422n7?67:p6ggc2909wS?mc:?;=2<61>1v?lne;296~X6jk1644751858yv4eio0;6?uQ1cc89=??283<7p}=bc294?4|V8h270664;3:3>{t:kh:6=4={_3a<>;?1?0:5:5rs3`a6?6=:rT:n:5288795<14?:3y]5g0<513:6<78;|q1fg2=838pR?2wx>ol::181[7dk2735?4>969~w7de>3:1>vP>cc9><=c=90=0q~7}Y9jk0157?:0;4?xu5jk21<7?n3;2;6s|2c`:>5<5sW;h46378c82=2=z{;him7>52z\2g2=:01n1=494}r0afg<72;qU=n84=9:`>4?03ty9nom50;0xZ4e2342347?67:p6gdc2909wS?mf:?;1v?lme;296~X6j=1645751858yv4ejo0;6?uQ42;89=g72;3o7p}=bb294?7fsW;3=6377182<4=:0>;1=5?4=951>4>6342;??10:4<5286;95=7<51=j6<6>;<:4f?7?9273;n4>809><2b=91;0159j:0:2?8>0n3;3=6378182<4=:01;1=5?4=9:1>4>63423?7?71:?;<1<6081645;519389=>1282:70677;3;5>;?i9095n5rs3``5?6=;8qU=4<4=953>426342<=7?;1:?;37<6<8164:=515389=1328>:70685;375>;???0:8<528659517<51=36<:>;<:4=?739273;l4>409><2d=9=;0159l:062?8>0l3;?=6377d8204=:0>l1=9?4=9:3>4263423=7?;1:?;<7<6<81645=515389=>328>:70675;375>;?0?0:8<528959517<51236<7=;<:;=?7>:2734l4>939><=d=9080156l:0;1?8>?l3;2>6378d82=7=:01l1=4<4=9;3>4?53422=7?62:?;=7<61;1644=518089=?3283970665;3:6>;?1?0:5?5288595<4<51336<7=;<::=?7>:2735l4>939><>l3;2>6379d82=7=:00l1=4<4}r0ag7<72;qU=ij4=9:4>1713ty9nn=50;0xZ4be3423:7:>6:p6ge32909wS?ka:?;<0<39?1v?ll5;296~X6l01645:54048yv4ek?0;6?uQ1e589=>42=;=7p}=bb594?4|V8n=70672;622>{t:ki36=4={_3g1>;?080?=;5rs3``=?6=:rT:h95289290402wx>oml:181[7c9273;i4;179~w7ddl3:1>vP>d19><2e=<8<0q~7}Y9jl0159m:535?xu5jjl1<70i3>::6s|2cf3>5<5sW;n9637788753=z{;ho=7>52z\2a1=:0>218<84}r0a`7<72;qU=h=4=954>1713ty9ni=50;0xZ4c5342<:7:>6:p6gb32909wS?j1:?;30<39?1v?lk5;296~X6m9164::54048yv4el?0;6?uQ1ed89=142=;=7p}=be594?4|V8nn70682;622>{t:kn36=4={_3g<>;??80?=;5rs3`g=?6=:rT:oi528629040?032i70678;:`?8>?132j70679;:a?8>?132h7067a;:b?8>?i32i7067a;:`?8>?j32j7067b;:a?8>?j32h7067c;:b?8>?k32i7067c;:`?8>?l32j7067d;:a?8>?l32h7067e;:b?8>?m32i7067e;:`?8>?n32j7067f;:a?8>?n32h70660;:b?8>>832i70660;:`?8>>932j70661;:a?8>>932h70662;:b?8>>:32i70662;:`?8>>;32j70663;:a?8>>;32h70664;:b?8>><32i70664;:`?8>>=32j70665;:a?8>>=32h70666;:b?8>>>32i70666;:`?8>>?32j70667;:a?8>>?32h70668;:b?8>>032i70668;:`?8>>132j70669;:a?8>>132h7066a;:b?8>>i32i7066a;:`?8>>j32j7066b;:a?8>>j32h7066c;:b?8>>k32i7066c;:`?8>>l32j7066d;:a?8>>l32h7066e;:b?8>>m32i7066e;:`?8>>n32j7066f;:a?8>>n32h7p}=be`94?4|51=;6<78;<:;=?26>2wx>ojl:1818>093;2;6378`8753=z{;hoh7>52z?;37<61>1645654048yv4ell0;6?u286195<1<512h69?9;|q1fa`=838p159;:0;4?8>?l3>::6s|2cg3>5<5s42<97?67:?;<21=90=0157?:535?xu5jl91<74?03423i7:>6:p6gc32909w0689;3:3>;?1;0?=;5rs3`f1?6=:r73;l4>969><<5=<8<0q~7}:0>h1=494=9;2>1713ty9nh950;0x9=1d283<70665;622>{t:ko36=4={<:4`?7>?2735;4;179~w7db13:1>v377d82=2=:00>18<84}r0aad<72;q64:h518589=??2=;=7p}=bd`94?4|512;6<78;<::=?26>2wx>okl:1818>?93;2;637968753=z{;hnh7>52z?;<7<61>1644l54048yv4eml0;6?u289195<1<513h69?9;|q1f``=838p156;:0;4?8>>i3>::6s|2cd3>5<5s42397?67:?;=`<39?1v?li1;296~;?0?0:5:5288d9040<=1=90=0157k:535?xu5jo91<7?6{<:;809><=g=91;0156m:0:2?8>?k3;3=6378e82<4=:01o1=5?4=9:e>4>63422<7?71:?;=4<6081644<519389=?4282:70664;3;5>;?1<0:4<5288495=7<513<6<6>;<::809><>k3;3=6379e82<4=:00o1=5?4=9;e>4>6342j<7=9b:~f=g6290897==:5gxL1563->9i7=?cg9Y56`=;r;<6??5328~m`b=83.?=>4jc:l757<732c:o94?:%627?7d;2d?=?4?;:k2g7<72->:?7?l3:l757<632c:o<4?:%627?7d;2d?=?4=;:k2g5<72->:?7?l3:l757<432c:nh4?:%627?7d;2d?=?4;;:k2fa<72->:?7?l3:l757<232c:nn4?:%627?7d;2d?=?49;:k2fg<72->:?7?l3:l757<032c:nl4?:%627?7d;2d?=?47;:k2f<<72->:?7?l3:l757<>32c:n54?:%627?7d;2d?=?4n;:k2f2<72->:?7?l3:l757:?7?l3:l757:?7?l3:l7570:9j5f?=83.?=>4>c29m044=9810ec783>!26;3;h?6`;13820>=n9j?1<7*;1282g6=i<881=854i0`e>5<#<891=n=4n531>40<3`;i87>5$530>4e43g>:>7?8;:k77<<722c:4<4?::k760<722c:5?4?::m2`a<72->:?7?kc:l757<732e:ho4?:%627?7ck2d?=?4>;:m2`d<72->:?7?kc:l757<532e:h44?:%627?7ck2d?=?4<;:m2`2<72->:?7?kc:l757<332e:h;4?:%627?7ck2d?=?4:;:m2`0<72->:?7?kc:l757<132e:h94?:%627?7ck2d?=?48;:m2`6<72->:?7?kc:l757:?7?kc:l757:?7?kc:l757:?7?kc:l757:?7?kc:l757<6821d=h<50;&756<6lj1e8<<51098k4c6290/8<=51ea8j17528807b?j0;29 17428nh7c:>2;30?>i6lo0;6):>3;3gg>h39;0:865`1eg94?"39:0:hn5a400950=5}#<;o1>4o4H51g?M2492e9544?::a1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi4l:50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi4l850;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi4l650;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi4lo50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi4lm50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi4lk50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi4o>50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi4o<50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi4o:50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi4o850;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi4o650;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi4oo50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::at$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm8ca94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi4oj50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e0ko1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm8b294??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi4n?50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e0j81<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm8b694??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi4n;50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e0j<1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm8b:94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi4n750;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e0jk1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm8ba94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi4nj50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e0jo1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm8e294??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi4i?50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e0m81<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>7ga3A>8h6F;309'6d7=0?1b>4m50;9j65889>5889>vP>c59>7}Y9j8015j=:0;4?xu5jo=1<7c93;2;6s|2cd;>5<5sW;h<637ce82=2=z{;hm57>52z\2f`=:0jl1=494}r0abd<72;qU=oj4=9af>4?03ty9nkl50;0xZ4dd342hm7?67:p6g`d2909wS?mb:?;gf<61>1v?lid;296~X6jh164nl51858yv4enl0;6?uQ1c;89=e0283<7p}=bgd94?4|V8h3706l9;3:3>{t:j:;6=4={_3a3>;?k10:5:5rs3a35?6=:rT:n;528b695<1?2wx>n>;:181[7dj273o<4>969~w7e7=3:1>vP>c`9>7}Y9j3015m=:0;4?xu5k9=1<7em3;2;6s|2b2;>5<5sW;h;637c182=2=z{;i;57>52z\2g3=:0kl1=494}r0`4d<72;qU=n;4=9`a>4?03ty9o=l50;0xZ4da342ih7?67:p6f6d2909wS?m4:?;ff<61>1v?m?d;296~X3;0164i=528f8yv4d8l0;6f;3;3=637a582<4=:0h?1=5?4=9c5>4>6342j;7?71:?;e=<608164l7519389=gf282:706nb;3;5>;?ij0:4<528`f95=7<51kn6<6>;<:bb?7?9273n=4>809>e;3;3=637b582<4=:0k?1=5?4=9`5>4>6342i;7?71:?;f=<608164o7519389=df282:706k3;0:g>{t:j:m6=4<1z\2=7=:0h91=9?4=9c7>426342j97?;1:?;e3<6<8164l9515389=g?28>:706n9;375>;?ih0:8<528``9517<51kh6<:>;<:b`?739273mh4>409>e93;?=637b38204=:0k91=9?4=9`7>426342i97?;1:?;f3<6<8164o9515389=d?28>:706m9;375>;?jh0:8<528c`95<4<51hh6<7=;<:a`?7>:273nh4>939>d93;2>637c382=7=:0j91=4<4=9a7>4?5342h97?62:?;g3<61;164n9518089=e?2839706l9;3:6>;?kh0:5?528b`95<4<51ih6<7=;<:``?7>:273oh4>939>c93;2>637d382=7=z{;i:<7>52z\2`a=:0kk18<84}r0`54<72;qU=il4=9`:>1713ty9o<<50;0xZ4bf342i47:>6:p6f742909wS?k9:?;f2<39?1v?m>4;296~X6l>164o854048yv4d9<0;6?uQ1e489=d22=;=7p}=c0494?4|V8n>706m4;622>{t:j;<6=4={_3g0>;?j:0?=;5rs3a2528c09040;<:a4?26>2wx>n?m:181[7c8273mk4;179~w7e6k3:1>vP>cg9>7}Y9jo015ok:535?xu5k8o1<7fk3>::6s|2b3e>5<5sW;n8637ac8753=z{;i9<7>52z\2a6=:0hk18<84}r0`64<72;qU=h<4=9c:>1713ty9o?<50;0xZ4c6342j47:>6:p6f442909wS?j0:?;e2<39?1v?m=4;296~X6lo164l854048yv4d:<0;6?uQ1eg89=g22=;=7p}=c3494?4|V8n3706n4;622>{t:j8<6=4={_3``>;?i:0?=;5rs3a1:9`89=e621i015m=:9c89=e521h015m=:9a89=e421k015m<:9`89=e421i015m;:9c89=e321h015m;:9a89=e221k015m::9`89=e221i015m9:9c89=e121h015m9:9a89=e021k015m8:9`89=e021i015m7:9c89=e?21h015m7:9a89=e>21k015m6:9`89=e>21i015mn:9c89=ef21h015mn:9a89=ee21k015mm:9`89=ee21i015ml:9c89=ed21h015ml:9a89=ec21k015mk:9`89=ec21i015mj:9c89=eb21h015mj:9a89=ea21k015mi:9`89=ea21i015j?:9c89=b721h015j?:9a89=b621k015j>:9`89=b621i015j=:9c89=b521h015j=:9a8yv4d:00;6?u28`195<1<51hh69?9;|q1g7g=838p15o;:0;4?8>el3>::6s|2b0a>5<5s42j97?67:?;fg<39?1v?m=c;296~;?i?0:5:528cd9040i4?:3y>4?0342ii7:>6:p6f4a2909w06n9;3:3>;?k;0?=;5rs3a04?6=:r73ml4>969>7}:0hh1=494=9a2>1713ty9o><50;0x9=gd283<706l5;622>{t:j986=4={<:b`?7>?273o;4;179~w7e4<3:1>v37ad82=2=:0j>18<84}r0`70<72;q64lh518589=e?2=;=7p}=c2494?4|51h;6<78;<:`=?26>2wx>n=8:1818>e93;2;637c68753=z{;i847>52z?;f7<61>164nl54048yv4d;00;6?u28c195<1<51ih69?9;|q1g6g=838p15l;:0;4?8>di3>::6s|2b1a>5<5s42i97?67:?;g`<39?1v?m4?0342o=7:>6:p6f5a2909w06m9;3:3>;?l;0?=;5rs3a74?6=:r73nl4>969>4?|51hi6<6>;<:ag?7?9273ni4>809>d83;3=637c082<4=:0j81=5?4=9a0>4>6342h87?71:?;g0<608164n8519389=e0282:706l8;3;5>;?k00:4<528bc95=7<51ii6<6>;<:`g?7?9273oi4>809>c83;3=637d082<4=:0m81=5?4=9f0>60e3twi4i:50;16>64=?4$50f>66dn2P:?k4<{05964<4;3wbii4?:%627?cd3g>:>7>4;h3`0?6=,=;867>5$530>4e43g>:>7?4;h3`5?6=,=;865$530>4e43g>:>7=4;h3aa?6=,=;865$530>4e43g>:>7;4;h3ag?6=,=;865$530>4e43g>:>794;h3ae?6=,=;86<3`;i57>5$530>4e43g>:>774;h3a5$530>4e43g>:>7l4;h3a2?6=,=;865$530>4e43g>:>7j4;h3`g?6=,=;865$530>4e43g>:>7h4;h3`e?6=,=;861:9j5f>=83.?=>4>c29m044=9;10e3:1(9?<:0a0?k26:3;?76g>c483>!26;3;h?6`;13821>=n9kl1<7*;1282g6=i<881=;54i0`7>5<#<891=n=4n531>41<3`>857>5;h3;5?6=3`>997>5;h3:6?6=3f;oh7>5$530>4bd3g>:>7>4;n3gf?6=,=;865$530>4bd3g>:>7<4;n3g=?6=,=;865$530>4bd3g>:>7:4;n3g2?6=,=;865$530>4bd3g>:>784;n3g0?6=,=;865$530>4bd3g>:>764;n3g6?6=,=;865$530>4bd3g>:>7o4;n3g4?6=,=;865$530>4bd3g>:>7m4;n3`a?6=,=;865$530>4bd3g>:>7k4;n3f0?6=,=;865$530>4bd3g>:>7??;:m2a7<72->:?7?kc:l757<6921d=h?50;&756<6lj1e8<<51398k4c7290/8<=51ea8j17528907b?kf;29 17428nh7c:>2;37?>i6ll0;6):>3;3gg>h39;0:965`1e:94?"39:0:hn5a400953=;n0:=?6=3th3h;4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`;`2<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th3h54?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`;`<<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th3hl4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`;`g<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th3hn4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`;`a<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th3hh4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`;`c<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th3i=4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`;a4<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th3i?4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`;a6<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th3i94?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`;a0<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th3i;4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`;a2<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th3i54?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`;a<<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th3il4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`;ag<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th3in4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`;aa<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th3ih4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd?mo0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`;b5<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl7f083><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th3j?4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd?n:0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`;b1<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl7f483><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th3j;4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd?n>0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`;b=<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl7f883><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th3jl4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd?nk0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`;bf<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl7fe83><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th3jh4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd?no0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`:45<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl60083><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th25}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd>8:0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`:41<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl60483><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th2<;4?:283>5}#<;o1>lh4H51g?M2492.9m<476:k1=f<722c95i4?::m02g<722wx>n:=:182=~Xbl273ih479:?;acn:<:181[7d<272<>4>969~w7e3<3:1>vP>c39>=53=90=0q~7}Y9j;014>;:0;4?xu5k=<1<75<5sW;ii6360382=2=z{;i?47>52z\2fa=:19;1=494}r0`0<<72;qU=om4=9dg>4?03ty9o9o50;0xZ4de342mj7?67:p6f2e2909wS?ma:?;b`<61>1v?m;c;296~X6j0164ko51858yv4d{t:j>m6=4={_3a2>;?n>0:5:5rs3a64?6=:rT:n8528g;95<1?2wx>n;<:181[7di273j;4>969~w7e2<3:1>vP>c89>7}Y9j2015h>:0;4?xu5k<<1<7a;3;2;6s|2b74>5<5sW;h:637f382=2=z{;i>47>52z\2g0=:0lo1=494}r0`1<<72;qU=oh4=9d3>4?03ty9o8o50;0xZ4d3342nj7?67:p6f3e2909wS:<9:?:43<51m1v?m:c;295d}Y91;015j9:0:2?8>c?3;3=637d982<4=:0m31=5?4=9fb>4>6342on7?71:?;`f<608164ij519389=bb282:706kf;3;5>;?m90:4<528d395=7<51o96<6>;<:f7?7?9273i94>809><`3=91;015k9:0:2?8>b?3;3=637e982<4=:0l31=5?4=9gb>4>6342nn7?71:?;af<608164hj519389<612;3h7p}=c4f94?56sW;2>637d78204=:0m=1=9?4=9f;>426342o57?;1:?;`d<6<8164il515389=bd28>:706kd;375>;?ll0:8<528ed9517<51o;6<:>;<:f5?739273i?4>409><`5=9=;015k;:062?8>b=3;?=637e78204=:0l=1=9?4=9g;>426342n57?;1:?;ad<6<8164hl515389=cd28>:706jd;375>;?ml0:5?528dd95<4<51l;6<7=;<:e5?7>:273j?4>939>a=3;2>637f782=7=:0o=1=4<4=9d;>4?5342m57?62:?;bd<61;164kl518089=`d2839706id;3:6>;?nl0:5?528gd95<4<50:;6<7=;<;35?7>:272939>=55=908014>;:0;1?8?7=3;2>6s|2b7f>5<5sW;oh637ee8753=z{;i>j7>52z\2`g=:0li18<84}r0`25<72;qU=io4=9ga>1713ty9o;?50;0xZ4b>342nm7:>6:p6f052909wS?k7:?;a<<39?1v?m93;296~X6l?164h654048yv4d>=0;6?uQ1e789=c02=;=7p}=c7794?4|V8n?706j6;622>{t:j<=6=4={_3g7>;?m<0?=;5rs3a53?6=:rT:h?528d690402wx>n8n:181[7dn273i<4;179~w7e1j3:1>vP>cd9><`6=<8<0q~7}Y9l?015ji:535?xu5k?n1<7cm3>::6s|2b4f>5<5sW;n?637de8753=z{;i=j7>52z\2a7=:0mi18<84}r0`35<72;qU=h?4=9fa>1713ty9o:?50;0xZ4c7342om7:>6:p6f152909wS?kf:?;`<<39?1v?m83;296~X6ll164i654048yv4d?=0;6?uQ1e:89=b02=;=7p}=c6794?4|V8io706k6;622>{t:j==6=4;9z?;`0<510164hk58`9><`c=0k164hk58b9><``=0h164hh58c9><``=0j164k>58`9>58b9>=0k164k658b9>58`9>=56=0k165=>58b9>=57=0h165=?58c9>=57=0j165=<58`9>=54=0k165=<58b9>=55=0h165==58c9>=55=0j165=:58`9>=52=0k165=:58b9>=53=0h165=;58c9>=53=0j1v?m87;296~;?l?0:5:528dd904031<74?0342ni7:>6:p6f1f2909w06k9;3:3>;?n;0?=;5rs3a4f?6=:r73hl4>969>7}:0mh1=494=9d2>1713ty9o:j50;0x9=bd283<706i5;622>{t:j=n6=4={<:g`?7>?273j;4;179~w7e0n3:1>v37dd82=2=:0o>18<84}r0`<5<72;q64ih518589=`?2=;=7p}=c9394?4|51o;6<78;<:e=?26>2wx>n6=:1818>b93;2;637f68753=z{;i3?7>52z?;a7<61>164kl54048yv4d0=0;6?u28d195<1<51lh69?9;|q1g=3=838p15k;:0;4?8>ai3>::6s|2b:5>5<5s42n97?67:?;b`<39?1v?m77;296~;?m?0:5:528gd9040<`1=90=015hk:535?xu5k131<74?0343;=7:>6:p6f>f2909w06j9;3:3>;>8;0?=;5rs3a;f?6=:r73il4>969>=56=<8<0q~7}:0lh1=494=827>1713ty9o5j50;0x9=cd283<707?5;622>{t:j2n6=4={<:f`?7>?272<>4;179~w7e?n3:1=4u28dg95=7<51om6<6>;<:e4?7?9273j<4>809>a<3;3=637f482<4=:0o<1=5?4=9d4>4>6342m47?71:?;b<<608164ko519389=`e282:706ic;3;5>;?nm0:4<528gg95=7<51lm6<6>;<;34?7?9272<<4>809>=54=91;014><:0:2?8?7<3;3=6360482<4=:19<1?;l4}|`:42<72:?1??4;ezJ774=#<;o1?=mi;[30b?5|9>09=7=<:|kf`?6=,=;86hm4n531>5=5<#<891=n=4n531>4=5<#<891=n=4n531>6=5<#<891=n=4n531>0=5<#<891=n=4n531>2=5<#<891=n=4n531><=5<#<891=n=4n531>g=5<#<891=n=4n531>a=5<#<891=n=4n531>c=4;h3`=?6=,=;862:9j5f1=83.?=>4>c29m044=9:10e76g>bg83>!26;3;h?6`;13822>=n9k>1<7*;1282g6=i<881=:54i51:>5<5<5<#<891=im4n531>5=5<#<891=im4n531>7=54o0f4>5<#<891=im4n531>1=5<#<891=im4n531>3=5<#<891=im4n531>==5<#<891=im4n531>d=5<#<891=im4n531>f=5<#<891=im4n531>`=5<#<891=im4n531>46<3f;n>7>5$530>4bd3g>:>7?>;:m2a4<72->:?7?kc:l757<6:21d=h>50;&756<6lj1e8<<51298k4ba290/8<=51ea8j17528>07b?ke;29 17428nh7c:>2;36?>i6l10;6):>3;3gg>h39;0::65`1bf94?"39:0:hn5a400952=:183!25m382m6F;3e9K067;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo7=2;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg?5<3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo7=6;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg?503:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo7=a;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg?5k3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo7=e;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg?483:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo7<2;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg?4<3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo7<6;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg?403:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?>13`82o7>5;h0:`?6=3f9=n7>5;|q1g<6=83;2wSkk;<;15?>>3439>766;<;17?>>34398766;<;11?>>3439:766;<;13?>>34394766;<;1=?>>3439m766;<;1f?>>3439o766;<;1`?>>3439i766;<;1b?>>3438<766;<;05?>>3438>766;<;07?>>34388766;<;01?>>3438:766;<;03?>>34384766;|q1g<7=838pR?2wx>n7=:181[7d:272?54>969~w7e>;3:1>vP>c09>=61=90=0q~7}Y9j:014=<:0;4?xu5k0?1<75<5sW;ih6363582=2=z{;i2;7>52z\2ff=:1::1=494}r0`==<72;qU=ol4=811>4?03ty9o4750;0xZ4df3438=7?67:p6f?f2909wS?m9:?:6a<61>1v?m6b;296~X6j1165?h51858yv4d1j0;6?uQ1c589<4b283<7p}=c8f94?4|V8h=707=a;3:3>{t:j3n6=4={_3a1>;>:j0:5:5rs3a:b?6=:rT:on5293`95<1?2wx>no=:181[7d1272>54>969~w7ef;3:1>vP>c99>=72=90=0q~7}Y9j=014<9:0;4?xu5kh?1<75<5sW;h96362082=2=z{;ij;7>52z\2fc=:1;91=494}r0`e=<72;qU=o:4=801>4?03ty9ol750;0xZ15>343857<6d:p6fgf290:mvP>809>=5?=91;014>n:0:2?8?7j3;3=6360b82<4=:19n1=5?4=82f>4>6343;j7?71:?:55<6081653;3;5>;>9=0:4<5290795=7<50;=6<6>;<;23?7?9272=54>809>=4?=91;014?n:0:2?8?6j3;3=6361b82<4=:18n1=5?4=83f>4>6343:j7?71:?:65<608165>7528a8yv4dik0;6>?t^0;1?8?713;?=6360`8204=:19h1=9?4=82`>426343;h7?;1:?:4`<6<8165=h515389<7728>:707>1;375>;>9;0:8<529019517<50;?6<:>;<;21?739272=;4>409>=41=9=;014?7:062?8?613;?=6361`8204=:18h1=9?4=83`>426343:h7?;1:?:5`<6<8165:707=1;3:6>;>:;0:5?5293195<4<508?6<7=;<;11?7>:272>;4>939>=71=908014<7:0;1?8?513;2>6362`82=7=:1;h1=4<4=80`>4?53439h7?62:?:6`<61;165?h518089<572839707<1;3:6>;>;;0:5?5292195<4<509?6<7=;<;01?7>:272?;4>939>=61=908014=7:0;1?xu5khi1<7::6s|2bcg>5<5sW;on6361g8753=z{;iji7>52z\2`d=:18o18<84}r0`ec<72;qU=i74=83g>1713ty9oo>50;0xZ4b0343:o7:>6:p6fd62909wS?k6:?:5g<39?1v?mm2;296~X6l<1652=;=7p}=cc694?4|V8n8707>8;622>{t:jh>6=4={_3g6>;>9>0?=;5rs3aa2?6=:rT:h<52904904069?9;|q1gg>=838pR2wx>nl6:181[7dm272=>4;179~w7eei3:1>vP>e49>=44=<8<0q~7}Y9l>014?>:535?xu5kki1<7::6s|2b`g>5<5sW;n>6360g8753=z{;iii7>52z\2a4=:19o18<84}r0`fc<72;qU=h>4=82g>1713ty9on>50;0xZ4ba343;o7:>6:p6fe62909wS?ke:?:4g<39?1v?ml2;296~X6l1165=o54048yv4dk:0;6?uQ1bf89<6>2=;=7p}=cb694?2>s43;47<69:?:64<47b:?:64?47a:?:67?47c:?:66>47b:?:66947a:?:61947c:?:60847b:?:60;47a:?:63;47c:?:62:47b:?:62547a:?:6=547c:?:6<447b:?:6<l47a:?:6dl47c:?:6go47b:?:6gn47a:?:6fn47c:?:6ai47b:?:6ah47a:?:6`h47c:?:6ck47b:?:6c47b:?:76;>:;0?=;5rs3a`2?6=:r72969>=75=<8<0q~7}:19h1=494=802>1713ty9on650;0x9<6d283<707=5;622>{t:ji26=4={<;3`?7>?272>;4;179~w7edi3:1>v360d82=2=:1;>18<84}r0`gg<72;q65=h518589<4?2=;=7p}=cba94?4|50;;6<78;<;1=?26>2wx>nmk:1818?693;2;636268753=z{;ihi7>52z?:57<61>165?l54048yv4dko0;6?u290195<1<508h69?9;|q1ga6=838p14?;:0;4?8?5i3>::6s|2bf2>5<5s43:97?67:?:6`<39?1v?mk2;296~;>9?0:5:5293d90404?:3y>=41=90=0141<74?03438=7:>6:p6fb22909w07>9;3:3>;>;;0?=;5rs3ag2?6=:r72=l4>969>=66=<8<0q~7}:18h1=494=817>1713ty9oi650;0x9<7d283<707<5;622>{t:jn26=4={<;2`?7>?272?>4;179~w7eci3:1>v361d82=2=:1:=18<84}r0``g<72;q652wx>njk:182=~;>:80:4<5293095=7<50886<6>;<;10?7?9272>84>809>=70=91;014<8:0:2?8?503;3=6362882<4=:1;k1=5?4=80a>4>63439o7?71:?:6a<608165?k519389<4a282:707<0;3;5>;>;80:4<5292095=7<50986<6>;<;00?7?9272?84>809>=60=91;014=8:0:2?8?403;3=63638802g=zuk38m7>534806?2bsA>8=6*;2d804f`u>7;02>65=u`oo6=4+4019af=i<881<65f1b694?"39:0:o>5a40094>=n9j81<7*;1282g6=i<881=65f1b394?"39:0:o>5a40096>=n9j:1<7*;1282g6=i<881?65f1cg94?"39:0:o>5a40090>=n9kn1<7*;1282g6=i<881965f1ca94?"39:0:o>5a40092>=n9kh1<7*;1282g6=i<881;65f1cc94?"39:0:o>5a4009<>=n9k31<7*;1282g6=i<881565f1c:94?"39:0:o>5a4009e>=n9k=1<7*;1282g6=i<881n65f1c494?"39:0:o>5a4009g>=n9k?1<7*;1282g6=i<881h65f1ba94?"39:0:o>5a4009a>=n9jh1<7*;1282g6=i<881j65f1bc94?"39:0:o>5a400955=3:9j5f0=83.?=>4>c29m044=9=10eb583>!26;3;h?6`;13823>=n<:31<75f19394?=n<;?1<75f18094?=h9mn1<7*;1282`f=i<881<65`1e`94?"39:0:hn5a40095>=h9mk1<7*;1282`f=i<881>65`1e;94?"39:0:hn5a40097>=h9m=1<7*;1282`f=i<881865`1e494?"39:0:hn5a40091>=h9m?1<7*;1282`f=i<881:65`1e694?"39:0:hn5a40093>=h9m91<7*;1282`f=i<881465`1e094?"39:0:hn5a4009=>=h9m;1<7*;1282`f=i<881m65`1e294?"39:0:hn5a4009f>=h9jl1<7*;1282`f=i<881o65`1bg94?"39:0:hn5a4009`>=h9l?1<7*;1282`f=i<881i65`1d694?"39:0:hn5a4009b>=h9l91<7*;1282`f=i<881==54o0g1>5<#<891=im4n531>47<3f;n=7>5$530>4bd3g>:>7?=;:m2a5<72->:?7?kc:l757<6;21d=ih50;&756<6lj1e8<<51598k4bb290/8<=51ea8j17528?07b?k8;29 17428nh7c:>2;35?>i6km0;6):>3;3gg>h39;0:;65m92`94?7=83:p(96F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb81g>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj09n6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb81e>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj0>;6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb862>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj0>96=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb860>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj0>?6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb866>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj0>=6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb864>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj0>36=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb86:>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj0>j6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb86a>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj0>h6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb86g>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj0>n6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb86e>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj0?;6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb872>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj0?96=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb870>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj0??6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f<3229026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb875>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn4;8:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f<3>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb87b>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn4;m:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f<3c29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb87f>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn4;i:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f<0629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb841>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn48<:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f<0229026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb845>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn488:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f<0>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb84b>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn48m:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<5<5<=?<50?=6574=874>=?<50?36574=87:>=?<50?j6574=87a>=?<50?h6574=87g>=?<50?n6574=87e>=?<50<;6574=842>=?<50<96574=840>=?<50=?<50<=6574=844>=?<50<36574=84:>=?<50=??2wx>nk>:181[7d9272:l4>969~w7eb:3:1>vP>c19>=30=90=0q~7}Y9ko01487:0;4?xu5kl>1<75<5sW;io6366282=2=z{;in:7>52z\2fg=:1??1=494}r0`a2<72;qU=oo4=847>4?03ty9oh650;0xZ4d>343=<7?67:p6fc>2909wS?m8:?:27<61>1v?mja;296~X6j>165;?51858yv4dmk0;6?uQ1c489<3c283<7p}=cda94?4|V8h>707:f;3:3>{t:joo6=4={_3`g>;>=l0:5:5rs3afa?6=:rT:oo5294c95<1?2wx>nh>:181[7d02729:4>969~w7ea:3:1>vP>c69>=0?=90=0q~7}Y9j<014;7:0;4?xu5ko>1<75<5sW;ij6365782=2=z{;im:7>52z\2f1=:174=84`>7?c3ty9ok650;3b[7?9272?n4>809>=6b=91;014=j:0:2?8?4n3;3=6364182<4=:1=;1=5?4=861>4>6343??7?71:?:01<6081659;519389<21282:707;7;3;5>;><10:4<5295;95=7<50>j6<6>;<;7f?7?92728n4>809>=1b=91;014:j:0:2?8?3n3;3=6365182<4=:1<;1=5?4=871>4>6343>?7?71:?:2f<51j1v?mi9;2974}Y908014=l:062?8?4l3;?=6363d8204=:1:l1=9?4=863>426343?=7?;1:?:07<6<81659=515389<2328>:707;5;375>;>36<:>;<;7=?7392728l4>409>=1d=9=;014:l:062?8?3l3;?=6364d8204=:1=l1=9?4=873>426343>=7?;1:?:17<6<81658=515389<332839707:5;3:6>;>=?0:5?5294595<4<50?36<7=;<;6=?7>:2729l4>939>=0d=908014;l:0;1?8?2l3;2>6365d82=7=:14?5343==7?62:?:27<61;165;=518089<03283970795;3:6>;>>?0:5?5297595<4<50<36<7=;<;5=?7>:272:l4>939>=3d=9080q~7}Y9mn014;<:535?xu5koh1<7::6s|2bd`>5<5sW;om636508753=z{;imh7>52z\2`<=:1<:18<84}r0`b`<72;qU=i94=86e>1713ty9okh50;0xZ4b1343?i7:>6:p6a672909wS?k5:?:0a<39?1v?j?1;296~X6l=1659m54048yv4c8;0;6?uQ1e189<2e2=;=7p}=d1194?4|V8n9707;a;622>{t:m:?6=4={_3g5>;><00?=;5rs3f31?6=:rT:h=5295:9040<69?9;|q1`51=838pR2wx>i>7:181[7b=272884;179~w7b713:1>vP>e59>=12=<8<0q~7}Y9l9014:<:535?xu5l9h1<7::6s|2e2`>5<5sW;n=636408753=z{;n;h7>52z\2a5=:1=:18<84}r0g4`<72;qU=ih4=81e>1713ty9h=h50;0xZ4bb3438i7:>6:p6a772909wS?k8:?:7a<39?1v?j>1;296~X6km165>m54048yv4c9;0;697t=81a>7?>343>876n;<;60?>e343>876l;<;61?>f343>976m;<;61?>d343>:76n;<;62?>e343>:76l;<;63?>f343>;76m;<;63?>d343>476n;<;6e343>476l;<;6=?>f343>576m;<;6=?>d343>m76n;<;6e?>e343>m76l;<;6f?>f343>n76m;<;6f?>d343>o76n;<;6g?>e343>o76l;<;6`?>f343>h76m;<;6`?>d343>i76n;<;6a?>e343>i76l;<;6b?>f343>j76m;<;6b?>d343=<76n;<;54?>e343=<76l;<;55?>f343==76m;<;55?>d343=>76n;<;56?>e343=>76l;<;57?>f343=?76m;<;57?>d343=876n;<;50?>e343=876l;<;51?>f343=976m;<;51?>d343=:76n;<;52?>e343=:76l;<;53?>f343=;76m;<;53?>d343=476n;<;5e343=476l;<;5=?>f343=576m;<;5=?>d343=m76n;<;5e?>e343=m76l;<;5f?>f343=n76m;<;5f?>d3ty9h<=50;0x9<5d283<707:5;622>{t:m;?6=4={<;0`?7>?2729;4;179~w7b6=3:1>v363d82=2=:1<>18<84}r0g53<72;q65>h518589<3?2=;=7p}=d0594?4|50>;6<78;<;6=?26>2wx>i?7:1818?393;2;636568753=z{;n:57>52z?:07<61>1658l54048yv4c9h0;6?u295195<1<50?h69?9;|q1`4d=838p14:;:0;4?8?2i3>::6s|2e3`>5<5s43?97?67:?:1`<39?1v?j>d;296~;>=11=90=014;k:535?xu5l8l1<74?0343==7:>6:p6a472909w07;9;3:3>;>>;0?=;5rs3f15?6=:r728l4>969>=36=<8<0q~7}:1=h1=494=847>1713ty9h?=50;0x9<2d283<70795;622>{t:m8?6=4={<;7`?7>?272:>4;179~w7b5=3:1>v364d82=2=:1?=18<84}r0g63<72;q659h518589<0?2=;=7p}=d3594?4|50?;6<78;<;52?26>2wx>i<7:1818?293;2;6366`8753=z{;n957>52z?:17<61>165;l54048yv4c:h0;6?u294195<1<50<269?9;|q1`7d=83;2w07:4;3;5>;>=<0:4<5294495=7<50?<6<6>;<;6809>=0g=91;014;m:0:2?8?2k3;3=6365e82<4=:14>6343=<7?71:?:24<608165;<519389<04282:70794;3;5>;>><0:4<5297495=7<50<<6<6>;<;5809>=3g=91;0148m:0:2?8?1k39=n6srb84g>5<4=39969ktH512?!25m39;ok5U12d97~702;;1?>4ridf94?"39:0no6`;1383?>o6k=0;6):>3;3`7>h39;0;76g>c383>!26;3;h?6`;1382?>o6k80;6):>3;3`7>h39;0976g>c183>!26;3;h?6`;1380?>o6jl0;6):>3;3`7>h39;0?76g>be83>!26;3;h?6`;1386?>o6jj0;6):>3;3`7>h39;0=76g>bc83>!26;3;h?6`;1384?>o6jh0;6):>3;3`7>h39;0376g>b883>!26;3;h?6`;138:?>o6j10;6):>3;3`7>h39;0j76g>b683>!26;3;h?6`;138a?>o6j?0;6):>3;3`7>h39;0h76g>b483>!26;3;h?6`;138g?>o6kj0;6):>3;3`7>h39;0n76g>cc83>!26;3;h?6`;138e?>o6kh0;6):>3;3`7>h39;0:<65f1b;94?"39:0:o>5a400954=4:9j5f3=83.?=>4>c29m044=9<10e>o6080;66g;2483>>o61;0;66a>de83>!26;3;oo6`;1383?>i6lk0;6):>3;3gg>h39;0:76a>d`83>!26;3;oo6`;1381?>i6l00;6):>3;3gg>h39;0876a>d683>!26;3;oo6`;1387?>i6l?0;6):>3;3gg>h39;0>76a>d483>!26;3;oo6`;1385?>i6l=0;6):>3;3gg>h39;0<76a>d283>!26;3;oo6`;138;?>i6l;0;6):>3;3gg>h39;0276a>d083>!26;3;oo6`;138b?>i6l90;6):>3;3gg>h39;0i76a>cg83>!26;3;oo6`;138`?>i6kl0;6):>3;3gg>h39;0o76a>e483>!26;3;oo6`;138f?>i6m=0;6):>3;3gg>h39;0m76a>e283>!26;3;oo6`;13824>=h9l81<7*;1282`f=i<881=<54o0g2>5<#<891=im4n531>44<3f;n<7>5$530>4bd3g>:>7?<;:m2`c<72->:?7?kc:l757<6<21d=ik50;&756<6lj1e8<<51498k4b?290/8<=51ea8j17528<07b?ld;29 17428nh7c:>2;34?>d>>l0;6<4?:1y'07c=:0k0D9=k;I605>i5100;66sm97d94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e1>:1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm96394?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e1>81<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm96194?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e1>>1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm96794?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e1><1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm96594?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e1>21<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm96;94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e1>k1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm96`94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e1>i1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm96f94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e1>o1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm96d94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e11:1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm99394?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e1181<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm99194?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e11>1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm99794?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e11<1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm99594??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi55650;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e1131<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm99`94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi55m50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e11n1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm99d94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi54>50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e10;1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm98194??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi54:50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e10?1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm98594??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi54650;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e1031<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm98`94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi54m50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e10n1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm98d94?5=83:p(9144529879<<=:10<144529859<<=:1021445298;9<<=:10k1445298`9<<=:10i1445298f9<<=:10o1445rs3f1`?6=:rT:o95298a95<1h4?:3y]5f4<503n6<78;|q1`7`=838pR;<;:`?7>?2wx>i=?:181[7d8272544>969~w7b493:1>vP>bd9>=7}Y9kn0147n:0;4?xu5l:91<7>3;2;6s|2e17>5<5sW;in6369982=2=z{;n897>52z\2fd=:10=1=494}r0g73<72;qU=o74=8;0>4?03ty9h>950;0xZ4d?343297?67:p6a5?2909wS?m7:?:=1<61>1v?j<9;296~X6j?1654>51858yv4c;h0;6?uQ1c789{t:m9h6=4={_3`f>;>0m0:5:5rs3f0`?6=:rT:ol5299d95<1?2wx>i:?:181[7d?2724n4>969~w7b393:1>vP>c79>==d=90=0q~7}Y9j?01468:0;4?xu5l=91<75<5sW;i86368982=2=z{;n?97>52z\77<=:10l1>4j4}r0g03<728kpR<6>;<;5b?7?9272;=4>809>=27=91;0149=:0:2?8?0;3;3=6367582<4=:1>?1=5?4=855>4>6343<;7?71:?:3=<608165:7519389<1f282:7078b;3;5>;>?j0:4<5296f95=7<50=n6<6>;<;4b?7?92724=4>809>==7=91;0146=:0:2?8??;3;3=6368582<4=:11?1=5?4=8:5>4>63432j7<6c:p6a202908=vP>939>=3`=9=;0149?:062?8?093;?=636738204=:1>91=9?4=857>426343<97?;1:?:33<6<8165:9515389<1?28>:70789;375>;>?h0:8<5296`9517<50=h6<:>;<;4`?739272;h4>409>=2`=9=;0146?:062?8??93;?=636838204=:1191=9?4=8:7>426343397?;1:?:<3<6<816559518089<>?283970779;3:6>;>0h0:5?5299`95<4<502h6<7=;<;;`?7>:2724h4>939>==`=9080147?:0;1?8?>93;2>6369382=7=:1091=4<4=8;7>4?5343297?62:?:=3<61;16549518089;>1h0:5?5298`95<4<503h6<7=;<;:`?7>:2725h4>939~w7b303:1>vP>de9>==0=<8<0q~7}Y9mh0146::535?xu5l=k1<7::6s|2e6a>5<5sW;o5636828753=z{;n?o7>52z\2`2=:11818<84}r0g0a<72;qU=i84=8:2>1713ty9h9k50;0xZ4b23433<7:>6:p6a2a2909wS?k4:?:3c<39?1v?j:0;296~X6l:165:k54048yv4c=80;6?uQ1e089<1c2=;=7p}=d4094?4|V8n:7078c;622>{t:m?86=4={_3g4>;>?k0?=;5rs3f60?6=:rT:ok5296c90402wx>i;8:181[7b<272;:4;179~w7b203:1>vP>e29>=20=<8<0q~7}Y9l80149::535?xu5l::6s|2e7a>5<5sW;n<636728753=z{;n>o7>52z\2`c=:1>818<84}r0g1a<72;qU=ik4=852>1713ty9h8k50;0xZ4b?343<<7:>6:p6a3a2909wS?ld:?:2c<39?1v?j90;290<}:1?o1>474=8:4>=g<502<65l4=8:4>=e<502365o4=8:;>=d<502365m4=8::>=g<502265l4=8::>=e<502j65o4=8:b>=d<502j65m4=8:a>=g<502i65l4=8:a>=e<502h65o4=8:`>=d<502h65m4=8:g>=g<502o65l4=8:g>=e<502n65o4=8:f>=d<502n65m4=8:e>=g<502m65l4=8:e>=e<503;65o4=8;3>=d<503;65m4=8;2>=g<503:65l4=8;2>=e<503965o4=8;1>=d<503965m4=8;0>=g<503865l4=8;0>=e<503?65o4=8;7>=d<503?65m4=8;6>=g<503>65l4=8;6>=e<503=65o4=8;5>=d<503=65m4=8;4>=g<503<65l4=8;4>=e<503365o4=8;;>=d<503365m4=8;:>=g<503265l4=8;:>=e<503j65o4=8;b>=d<503j65m4=8;a>=g<503i65l4=8;a>=e<503h65o4=8;`>=d<503h65m4=8;g>=g<503o65l4=8;g>=e<503n65o4=8;f>=d<503n65m4}r0g24<72;q65;h518589<>?2=;=7p}=d7094?4|50=;6<78;<;;=?26>2wx>i8<:1818?093;2;636868753=z{;n=87>52z?:37<61>1655l54048yv4c><0;6?u296195<1<502h69?9;|q1`30=838p149;:0;4?8??i3>::6s|2e44>5<5s43<97?67:?:<`<39?1v?j98;296~;>??0:5:5299d9040=21=90=0146k:535?xu5l?k1<74?03432=7:>6:p6a0e2909w0789;3:3>;>1;0?=;5rs3f5g?6=:r72;l4>969>=<6=<8<0q~7}:1>h1=494=8;7>1713ty9h;k50;0x9<1d283<70765;622>{t:m?2725>4;179~w7b083:1>v367d82=2=:10=18<84}r0g34<72;q65:h5185892wx>i9<:1818??93;2;6369`8753=z{;n<87>52z?:<7<61>1654l54048yv4c?<0;6?u299195<1<503269?9;|q1`20=838p146;:0;4?8?>l3>::6s|2e54>5<5s43397?67:?:=`<39?1v?j88;296~;>0?0:5:5298a90400282:70778;3;5>;>000:4<5299c95=7<502i6<6>;<;;g?7?92724i4>809>==c=91;0146i:0:2?8?>83;3=6369082<4=:1081=5?4=8;0>4>6343287?71:?:=0<60816548519389;>100:4<5298c95=7<503i6<6>;<;:g?7?92725i4>809>=\6;o08w<9520807?{nmm0;6):>3;g`?k26:3:07d?l4;29 17428i87c:>2;28?l7d:3:1(9?<:0a0?k26:3;07d?l1;29 17428i87c:>2;08?l7d83:1(9?<:0a0?k26:3907d?me;29 17428i87c:>2;68?l7el3:1(9?<:0a0?k26:3?07d?mc;29 17428i87c:>2;48?l7ej3:1(9?<:0a0?k26:3=07d?ma;29 17428i87c:>2;:8?l7e13:1(9?<:0a0?k26:3307d?m8;29 17428i87c:>2;c8?l7e?3:1(9?<:0a0?k26:3h07d?m6;29 17428i87c:>2;a8?l7e=3:1(9?<:0a0?k26:3n07d?lc;29 17428i87c:>2;g8?l7dj3:1(9?<:0a0?k26:3l07d?la;29 17428i87c:>2;33?>o6k00;6):>3;3`7>h39;0:=65f1b:94?"39:0:o>5a400957=5:9j5g`=83.?=>4>c29m044=9?10e2;38?j7ci3:1(9?<:0f`?k26:3807b?k9;29 17428nh7c:>2;18?j7c?3:1(9?<:0f`?k26:3>07b?k6;29 17428nh7c:>2;78?j7c=3:1(9?<:0f`?k26:3<07b?k4;29 17428nh7c:>2;58?j7c;3:1(9?<:0f`?k26:3207b?k2;29 17428nh7c:>2;;8?j7c93:1(9?<:0f`?k26:3k07b?k0;29 17428nh7c:>2;`8?j7dn3:1(9?<:0f`?k26:3i07b?le;29 17428nh7c:>2;f8?j7b=3:1(9?<:0f`?k26:3o07b?j4;29 17428nh7c:>2;d8?j7b;3:1(9?<:0f`?k26:3;;76a>e383>!26;3;oo6`;13825>=h9l;1<7*;1282`f=i<881=?54o0g3>5<#<891=im4n531>45<3f;oj7>5$530>4bd3g>:>7?;;:m2``<72->:?7?kc:l757<6=21d=i650;&756<6lj1e8<<51798k4ec290/8<=51ea8j17528=07o7n1;295?6=8r.?>h4=9`9K06b<@=9:7b<69;29?xd>i;0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl6a283>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd>i=0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl6a483>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd>i?0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl6a683>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd>i10;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl6a883>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd>ih0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl6ac83>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd>ij0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl6ae83>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd>il0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl6ag83>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd>j90;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl6b083>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd>j;0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl6b283>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd>j=0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl6b483>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd>j?0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl6b683>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd>j10;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl6b883>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd>jh0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`:fg<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl6bb83><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th2ni4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd>jl0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`:fc<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl6c183><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th2o<4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd>k;0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`:g6<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl6c583><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th2o84?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd>k?0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`:g2<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl6c983><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th2o44?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd>kh0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`:gg<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl6cb83><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th2oi4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd>kl0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`:gc<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl6d183><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th2h<4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd>l;0;6>4?:1y'07c=:hl0D9=k;I605>"5i803:6g=9b83>>o51m0;66a<6c83>>{t:m=j6=4>9z\f`>;>jh035636bc8;=>;>jj035636be8;=>;>jl035636bg8;=>;>k9035636c08;=>;>k;035636c28;=>;>k=035636c48;=>;>k?035636c68;=>;>k1035636c88;=>;>kh035636cc8;=>;>kj035636ce8;=>;>kl035636cg8;=>;>l9035636d08;=>{t:m=i6=4={_3`0>;>ko0:5:5rs3f4g?6=:rT:o?529e395<1?2wx>i9i:181[7em272oh4>969~w7b?83:1>vP>be9>=fb=90=0q~7}Y9ki014m6:0;4?xu5l181<75<5sW;im636c`82=2=z{;n387>52z\2f<=:1j<1=494}r0g<0<72;qU=o64=8a;>4?03ty9h5850;0xZ4d0343h;7?67:p6a>02909wS?m6:?:g6<61>1v?j78;296~X6j<165n;51858yv4c000;6?uQ1ba89{t:m2i6=4={_3`e>;>k;0:5:5rs3f;g?6=:rT:o4529b395<1<50ho6<78;|q1`=c=838pR?2wx>i6i:181[7d>272nh4>969~w7b>83:1>vP>c49>=gg=90=0q~7}Y9kl014ll:0;4?xu5l081<75<5sW>85636d381=a=z{;n287>51`y]5=7<50k96<6>;<;b7?7?9272m94>809>=d3=91;014o9:0:2?8?f?3;3=636a982<4=:1h31=5?4=8cb>4>6343jn7?71:?:ef<608165lj519389;>j90:4<529c395=7<50h96<6>;<;a7?7?9272n94>809>=g3=91;014l9:0:2?8?e?3;3=636b982<4=:1k31=5?4=8f1>7?d3ty9h4;50;12[7>:272m?4>409>=d5=9=;014o;:062?8?f=3;?=636a78204=:1h=1=9?4=8c;>426343j57?;1:?:ed<6<8165ll515389:707nd;375>;>il0:8<529`d9517<50h;6<:>;<;a5?739272n?4>409>=g5=9=;014l;:062?8?e=3;?=636b78204=:1k=1=9?4=8`;>426343i57?;1:?:fd<61;165ol518089;>jl0:5?529cd95<4<50i;6<7=;<;`5?7>:272o?4>939>=f5=908014m;:0;1?8?d=3;2>636c782=7=:1j=1=4<4=8a;>4?5343h57?62:?:gd<61;165nl518089;>kl0:5?529bd95<4<50n;6<7=;<;g5?7>:2wx>i79:181[7cl272n44;179~w7b>?3:1>vP>dc9>=g>=<8<0q~7}Y9mk014l8:535?xu5l031<73>::6s|2e;b>5<5sW;o;636b48753=z{;n2n7>52z\2`3=:1k>18<84}r0g=f<72;qU=i;4=8`0>1713ty9h4j50;0xZ4b3343i>7:>6:p6a?b2909wS?k3:?:f4<39?1v?j6f;296~X6l;165o>54048yv4ci90;6?uQ1e389{t:mk96=4={_3`b>;>im0?=;5rs3fb7?6=:rT:oh529`a90402wx>io9:181[7b;272m44;179~w7bf?3:1>vP>e39>=d>=<8<0q~7}Y9l;014o8:535?xu5lh31<73>::6s|2ecb>5<5sW;oj636a48753=z{;njn7>52z\2``=:1h>18<84}r0gef<72;qU=i64=8c0>1713ty9hlj50;0xZ4ec343j>7:>6:p6agb290?5v36a081=<=:1kk14l529cc914l529b6914n529b7952z?:e7<61>165ol54048yv4cj90;6?u29`195<1<50hh69?9;|q1`g7=838p14o;:0;4?8?ei3>::6s|2e`1>5<5s43j97?67:?:f`<39?1v?jm3;296~;>i?0:5:529cd9040=d1=90=014lk:535?xu5lk?1<74?0343h=7:>6:p6ad12909w07n9;3:3>;>k;0?=;5rs3fa3?6=:r72ml4>969>=f6=<8<0q~7}:1hh1=494=8a7>1713ty9ho750;0x9{t:mhj6=4={<;b`?7>?272o>4;179~w7bej3:1>v36ad82=2=:1j=18<84}r0gff<72;q65lh5185892wx>ilj:1818?e93;2;636c`8753=z{;nij7>52z?:f7<61>165nl54048yv4ck90;6?u29c195<1<50i269?9;|q1`f7=838p14l;:0;4?8?dl3>::6s|2ea1>5<5s43i97?67:?:g`<39?1v?jl3;296~;>j?0:5:529ba9040=g1=90=014j?:535?xu5lj?1<74?0343o=7:>6:p6ae12909w07m9;3:3>;>ko0?=;5rs3f`3?6=90q65oo519389;>jm0:4<529cg95=7<50hm6<6>;<;`4?7?9272o<4>809>=f4=91;014m<:0:2?8?d<3;3=636c482<4=:1j<1=5?4=8a4>4>6343h47?71:?:g<<608165no519389;>km0:4<529bg95=7<50im6<6>;<;g4?7?9272h<4>809>=a4=;?h0qpl6d283>63=;;0?ivF;309'07c=;9im7W?2;32?>o6k10;6):>3;3`7>h39;0:>65f1b594?"39:0:o>5a400956=6:9j5g2=83.?=>4>c29m044=9>10e9=6:188m4>62900e9<::188m4?52900c290/8<=51ea8j1752:10c10c:18'045=9mi0b9?=:`98k4b7290/8<=51ea8j1752k10ce083>!26;3;oo6`;13826>=h9l:1<7*;1282`f=i<881=>54o0fe>5<#<891=im4n531>42<3f;oi7>5$530>4bd3g>:>7?:;:m2`=<72->:?7?kc:l757<6>21d=nj50;&756<6lj1e8<<51698fi2B??i5G4238k7?>2900qo7k5;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg?c>3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo7k7;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg?c03:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo7k9;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg?ci3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo7kb;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg?ck3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo7kd;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg?cm3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo7kf;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg?b83:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo7j1;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg?b:3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo7j3;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg?b<3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo7j5;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg?b>3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo7j7;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg?b03:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo7j9;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg?bi3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo7jb;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg?bk3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo7jd;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg?bn3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo7i1;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<7>59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg?a;3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo7i5;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg?a?3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo7i9;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg?aj3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo7id;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg?an3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qoo?1;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<7>59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188ygg7;3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qoo?5;297?6=8r.?>h4=ag9K06b<@=9:7)k3:17d<6d;29?j51j3:17p}=db:94?7>sWoo707jd;::?8?bm322707jf;::?8?a8322707i1;::?8?a:322707i3;::?8?a<322707i5;::?8?a>322707i7;::?8?a0322707i9;::?8?ai322707ib;::?8?ak322707id;::?8?am322707if;::?8g7832270o?1;::?8g7:32270o?3;::?8g7<3227p}=db;94?4|V8i?70o?2;3:3>{t:mij6=4={_3`6>;f8=0:5:5rs3f`f?6=:rT:o<52a1195<1?2wx>imj:181[7el27j<=4>969~w7bdn3:1>vP>bb9>=ce=90=0q~7}Y9kh014hj:0;4?xu5lm;1<75<5sW;i5636f882=2=z{;no?7>52z\2f==:1oh1=494}r0g`1<72;qU=o94=8db>4?03ty9hi;50;0xZ4d1343m:7?67:p6ab12909wS?m5:?:b=<61>1v?jk7;296~X6kj165k951858yv4cl10;6?uQ1b`89<`4283<7p}=de;94?4|V8ij707i5;3:3>{t:mnj6=4={_3`=>;>n=0:5:5rs3fgf?6=:rT:o5529g295<1?2wx>ijj:181[7d=272ii4>969~w7bcn3:1>vP>bg9>=``=90=0q~7}Y9k>014kj:0;4?xu5ll;1<75<6irT:4<529e795=7<50n=6<6>;<;g3?7?9272h54>809>=a?=91;014jn:0:2?8?cj3;3=636db82<4=:1mn1=5?4=8ff>4>6343oj7?71:?:a5<608165h?519389;>m=0:4<529d795=7<50o=6<6>;<;f3?7?9272i54>809>=`?=91;014kn:0:2?8?bj3;3=636eb82<4=:i9?1>4m4}r0ga6<72:;pR<7=;<;g1?739272h;4>409>=a1=9=;014j7:062?8?c13;?=636d`8204=:1mh1=9?4=8f`>426343oh7?;1:?:``<6<8165ih515389:707j1;375>;>m;0:8<529d19517<50o?6<:>;<;f1?739272i;4>409>=`1=9=;014k7:062?8?b13;?=636e`8204=:1lh1=9?4=8g`>426343nh7?62:?:a`<61;165hh518089<`72839707i1;3:6>;>n;0:5?529g195<4<50l?6<7=;<;e1?7>:272j;4>939>=c1=908014h7:0;1?8?a13;2>636f`82=7=:1oh1=4<4=8d`>4?5343mh7?62:?:b`<61;165kh518089d67283970o?1;3:6>;f8;0:5?52a1195<4<5h:?6<7=;|q1``2=838pR2wx>ik::181[7cj272io4;179~w7bb>3:1>vP>d`9>=`g=<8<0q~7}Y9m3014k6:535?xu5ll21<7::6s|2eg:>5<5sW;o:636e68753=z{;nnm7>52z\2`0=:1l<18<84}r0gag<72;qU=i:4=8g6>1713ty9hhm50;0xZ4b4343n87:>6:p6acc2909wS?k2:?:a6<39?1v?jje;296~X6l8165h<54048yv4cmo0;6?uQ1e289{t:ml:6=4={_3`a>;>lo0?=;5rs3fe6?6=:rT:i8529eg90404?:3y]5`2<50no69?9;|q1`c2=838pR2wx>ih::181[7b:272ho4;179~w7ba>3:1>vP>e09>=ag=<8<0q~7}Y9l:014j6:535?xu5lo21<7::6s|2ed:>5<5sW;oi636d68753=z{;nmm7>52z\2`==:1m<18<84}r0gbg<72;qU=nj4=8f6>1713ty9hkm50;6:8?c<3825636ee8;e>;>mm03n636ee8;g>;>ml03m636ed8;f>;>ml03o636eg8;e>;>mo03n636eg8;g>;>n903m636f18;f>;>n903o636f08;e>;>n803n636f08;g>;>n;03m636f38;f>;>n;03o636f28;e>;>n:03n636f28;g>;>n=03m636f58;f>;>n=03o636f48;e>;>n<03n636f48;g>;>n?03m636f78;f>;>n?03o636f68;e>;>n>03n636f68;g>;>n103m636f98;f>;>n103o636f88;e>;>n003n636f88;g>;>nh03m636f`8;f>;>nh03o636fc8;e>;>nk03n636fc8;g>;>nj03m636fb8;f>;>nj03o636fe8;e>;>nm03n636fe8;g>;>nl03m636fd8;f>;>nl03o636fg8;e>;>no03n636fg8;g>;f8903m63n018;f>;f8903o63n008;e>;f8803n63n008;g>;f8;03m63n038;f>;f8;03o63n028;e>;f8:03n63n028;g>;f8=03m63n058;f>;f8=03o6s|2edg>5<5s43o97?67:?:a`<39?1v?jie;296~;>l?0:5:529dd9040=a1=90=014kk:535?xu5m9:1<74?0343m=7:>6:p6`662909w07k9;3:3>;>n;0?=;5rs3g36?6=:r72hl4>969>=c6=<8<0q~7}:1mh1=494=8d7>1713ty9i=:50;0x9{t:l:>6=4={<;g`?7>?272j>4;179~w7c7>3:1>v36dd82=2=:1o=18<84}r0f42<72;q65ih518589<`?2=;=7p}=e1:94?4|50o;6<78;<;e2?26>2wx>h>6:1818?b93;2;636f`8753=z{;o;m7>52z?:a7<61>165kl54048yv4b8k0;6?u29d195<1<50l269?9;|q1a5e=838p14k;:0;4?8?al3>::6s|2d2g>5<5s43n97?67:?:b`<39?1v?k?e;296~;>m?0:5:529ga9040=`1=90=01l>?:535?xu5m8:1<74?034k;=7:>6:p6`762909w07j9;3:3>;>no0?=;5rs3g26?6=:r72il4>969>e55=<8<0q~7}:1lh1=494=`27>1713ty9i<:50;0x9{t:l;>6=4>9z?:aa<608165hk519389;>n80:4<529g095=7<50l86<6>;<;e0?7?9272j84>809>=c0=91;014h8:0:2?8?a03;3=636f882<4=:1ok1=5?4=8da>4>6343mo7?71:?:ba<608165kk519389<`a282:70o?0;3;5>;f880:4<52a1095=7<5h:86<6>;3:1?84<2;6fM2492.?>h4<0bd8^45a2:q:;7<>:219ylcc290/8<=5eb9m044=821b=n:50;&756<6k:1e8<<50:9j5f4=83.?=>4>c29m044=921b=n?50;&756<6k:1e8<<52:9j5f6=83.?=>4>c29m044=;21b=ok50;&756<6k:1e8<<54:9j5gb=83.?=>4>c29m044==21b=om50;&756<6k:1e8<<56:9j5gd=83.?=>4>c29m044=?21b=oo50;&756<6k:1e8<<58:9j5g?=83.?=>4>c29m044=121b=o650;&756<6k:1e8<<5a:9j5g1=83.?=>4>c29m044=j21b=o850;&756<6k:1e8<<5c:9j5g3=83.?=>4>c29m044=l21b=nm50;&756<6k:1e8<<5e:9j5fd=83.?=>4>c29m044=n21b=no50;&756<6k:1e8<<51198m4e>290/8<=51b18j17528;07d?l8;29 17428i87c:>2;31?>o6k>0;6):>3;3`7>h39;0:?65f1b494?"39:0:o>5a400951=6=4+40195f57:9j06?=831b=5?50;9j073=831b=4<50;9l5ab=83.?=>4>db9m044=821d=il50;&756<6lj1e8<<51:9l5ag=83.?=>4>db9m044=:21d=i750;&756<6lj1e8<<53:9l5a1=83.?=>4>db9m044=<21d=i850;&756<6lj1e8<<55:9l5a3=83.?=>4>db9m044=>21d=i:50;&756<6lj1e8<<57:9l5a5=83.?=>4>db9m044=021d=i<50;&756<6lj1e8<<59:9l5a7=83.?=>4>db9m044=i21d=i>50;&756<6lj1e8<<5b:9l5f`=83.?=>4>db9m044=k21d=nk50;&756<6lj1e8<<5d:9l5`3=83.?=>4>db9m044=m21d=h:50;&756<6lj1e8<<5f:9l5`5=83.?=>4>db9m044=9910ce183>!26;3;oo6`;13827>=h9ml1<7*;1282`f=i<881=954o0ff>5<#<891=im4n531>43<3f;o47>5$530>4bd3g>:>7?9;:m2ga<72->:?7?kc:l757<6?21im=950;394?6|,=8n6?7n;I60`>N3;81d>4750;9~fd6?290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl>6:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd6f290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl>m:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd6d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl>k:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd6b290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl>i:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd77290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl?>:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd75290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl?<:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd73290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl?::187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd71290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl?8:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd7?290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl?6:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd7f290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl?m:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd7d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl?k:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd7b290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl?i:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd4729026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb`02>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnl<=:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fd4329026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb`06>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnl<9:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fd4?29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb`0:>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnl5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fd4d29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb`0g>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnl5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fd5729026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb`12>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnl==:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fd5329026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb`16>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnl=9:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fd5?29086=4?{%61a?4fn2B??i5G4238 7g621<0e?7l:188m7?c2900c>8m:188yv4b9?0;6<7t^df89d4721301l<>:9;89d4521301l<<:9;89d4321301l<::9;89d4121301l<8:9;89d4?21301l<6:9;89d4f21301l:9;89d5521301l=<:9;89d5321301l=::9;89d5121301l=8:9;8yv4b9>0;6?uQ1b689d52283<7p}=e0:94?4|V8i970o<7;3:3>{t:l;26=4={_3`5>;f;?0:5:5rs3g2e?6=:rT:o=52a2095<1?2wx>h?k:181[7ek27j>k4>969~w7c6m3:1>vP>bc9>e67=90=0q~7}Y9kk01l=?:0;4?xu5m;:1<75<5sW;i463n2d82=2=z{;o9>7>52z\2f2=:i;n1=494}r0f66<72;qU=o84=`0:>4?03ty9i?:50;0xZ4d234k9n7?67:p6`422909wS?lc:?b6d<61>1v?k=6;296~X6kk16m?851858yv4b:>0;6?uQ1bc89d4?283<7p}=e3:94?4|V8i270o=7;3:3>{t:l826=4={_3`<>;f::0:5:5rs3g1e?6=:rT:o:52a3795<1o4?:3y]5f0<5h8?6<78;|q1a7e=838pR?2wx>h?4>969~w7c5m3:1>vP>b59>e77=90=0q~7}Y<:301l=7:3;g?xu5m::1<7?n{_3;5>;f810:4<52a1;95=7<5h:j6<6>;809>e5b=91;01l>j:0:2?8g7n3;3=63n1182<4=:i8;1=5?4=`31>4>634k:?7?71:?b51<60816m<;519389d71282:70o>7;3;5>;f910:4<52a0;95=7<5h;j6<6>;809>e4b=91;01l?j:0:2?8g6n3;3=63n3981=f=z{;o8=7>530y]5<4<5h:36<:>;409>e5d=9=;01l>l:062?8g7l3;?=63n0d8204=:i9l1=9?4=`33>42634k:=7?;1:?b57<6<816m<=515389d7328>:70o>5;375>;f9?0:8<52a059517<5h;36<:>;409>e4d=9=;01l?l:062?8g6l3;?=63n1d8204=:i8l1=9?4=`03>4?534k9=7?62:?b67<61;16m?=518089d43283970o=5;3:6>;f:?0:5?52a3595<4<5h836<7=;:27j>l4>939>e7d=90801l63n2d82=7=:i;l1=4<4=`13>4?534k8=7?62:?b77<61;16m>=518089d53283970o<5;3:6>;f;?0:5?52a2595<42wx>h=;:181[7ci27j=i4;179~w7c4=3:1>vP>d89>e4e=<8<0q~7}Y9m=01l?m:535?xu5m:=1<7::6s|2d1;>5<5sW;o963n188753=z{;o857>52z\2`1=:i8218<84}r0f7d<72;qU=i=4=`34>1713ty9i>l50;0xZ4b534k::7:>6:p6`5d2909wS?k1:?b50<39?1v?k2;622>{t:l>;6=4={_3f1>;f980?=;5rs3g75?6=:rT:i952a0290402wx>h:;:181[7b927jvP>e19>e5e=<8<0q~7}Y9ml01l>m:535?xu5m==1<7::6s|2d6;>5<5sW;o463n088753=z{;o?57>52z\2ga=:i9218<84}r0f0d<72=3p1l>8:3;:?8g5832j70o=0;:a?8g5832h70o=1;:b?8g5932i70o=1;:`?8g5:32j70o=2;:a?8g5:32h70o=3;:b?8g5;32i70o=3;:`?8g5<32j70o=4;:a?8g5<32h70o=5;:b?8g5=32i70o=5;:`?8g5>32j70o=6;:a?8g5>32h70o=7;:b?8g5?32i70o=7;:`?8g5032j70o=8;:a?8g5032h70o=9;:b?8g5132i70o=9;:`?8g5i32j70o=a;:a?8g5i32h70o=b;:b?8g5j32i70o=b;:`?8g5k32j70o=c;:a?8g5k32h70o=d;:b?8g5l32i70o=d;:`?8g5m32j70o=e;:a?8g5m32h70o=f;:b?8g5n32i70o=f;:`?8g4832j70o<0;:a?8g4832h70o<1;:b?8g4932i70o<1;:`?8g4:32j70o<2;:a?8g4:32h70o<3;:b?8g4;32i70o<3;:`?8g4<32j70o<4;:a?8g4<32h70o<5;:b?8g4=32i70o<5;:`?8g4>32j70o<6;:a?8g4>32h70o<7;:b?8g4?32i70o<7;:`?xu5m=h1<74?034k9=7:>6:p6`2d2909w0o?9;3:3>;f:;0?=;5rs3g7`?6=:r7j969>e76=<8<0q~7}:i9h1=494=`07>1713ty9i9h50;0x9d6d283<70o=5;622>{t:l?;6=4={?27j>>4;179~w7c293:1>v3n0d82=2=:i;=18<84}r0f17<72;q6m=h518589d4?2=;=7p}=e4194?4|5h;;6<78;2wx>h;;:1818g693;2;63n2`8753=z{;o>97>52z?b57<61>16m?l54048yv4b=?0;6?u2a0195<1<5h8269?9;|q1a01=838p1l?;:0;4?8g5l3>::6s|2d7;>5<5s4k:97?67:?b6`<39?1v?k:9;296~;f9?0:5:52a3a9040e41=90=01l=?:535?xu5m4?034k8=7:>6:p6`3d2909w0o>9;3:3>;f:o0?=;5rs3g6`?6=:r7j=l4>969>e65=<8<0q~7}:i8h1=494=`17>1713ty9i8h50;0x9d7d283<70o<2;622>{t:l<;6=4={?27j?;4;179~w7c193:1>v3n1d82=2=:i:=18<84}r0f27<72;q6ms4k9<7?71:?b64<60816m?<519389d44282:70o=4;3;5>;f:<0:4<52a3495=7<5h8<6<6>;44>809>e7g=91;01l4>634k8<7?71:?b74<60816m><519389d54282:70o<4;3;5>;f;<0:4<52a2495=7<5h9<6<6>;;%61a?7fl?1Q=>h55z65>44=<>0:97?9:|ke5?6=,=;86k>4n531>5=h39;0:76g>eg83>!26;3;ni6`;1383?>o6mm0;6):>3;3fa>h39;0:76g>eb83>!26;3;ni6`;1381?>o6mk0;6):>3;3fa>h39;0876g>e`83>!26;3;ni6`;1387?>o6m00;6):>3;3fa>h39;0>76g>e983>!26;3;ni6`;1385?>o6m>0;6):>3;3fa>h39;0<76g=1683>!26;3;ni6`;138;?>o59?0;6):>3;3fa>h39;0276g=1483>!26;3;ni6`;138b?>o59=0;6):>3;3fa>h39;0i76g=1283>!26;3;ni6`;138`?>o59;0;6):>3;3fa>h39;0o76g=0983>!26;3;ni6`;138f?>o6nm0;6):>3;3fa>h39;0m76g>f383>!26;3;ni6`;13824>=n9l<1<7*;1282a`=i<881=<54ig594?"39:0m:6`;1383?>oa=3:1(9?<:g48j1752810e9=n:188m4>62900e9<::188m4?52900c?<>:18'045=:;:0b9?=:198k77a290/8<=52328j1752810c??j:18'045=:;:0b9?=:398k77c290/8<=52328j1752:10c??l:18'045=:;:0b9?=:598k77e290/8<=52328j1752<10c??n:18'045=:;:0b9?=:798k77>290/8<=52328j1752>10c?:6:18'045=:;:0b9?=:998k72?290/8<=52328j1752010c?:8:18'045=:;:0b9?=:`98k721290/8<=52328j1752k10c?:::18'045=:;:0b9?=:b98k723290/8<=52328j1752m10c?=n:18'045=:;:0b9?=:d98k74a290/8<=52328j1752o10c?<;:18'045=:;:0b9?=:028?j4603:1(9?<:303?k26:3;:76a=5283>!26;38>>6`;1383?>i5=80;6):>3;066>h39;0:76a=5183>!26;38>>6`;1381?>i53;066>h39;0876a=4d83>!26;38>>6`;1387?>i53;066>h39;0>76a=4b83>!26;38>>6`;1385?>i53;066>h39;0<76a=7c83>!26;38>>6`;138;?>i5?h0;6):>3;066>h39;0276a=7883>!26;38>>6`;138b?>i5?10;6):>3;066>h39;0i76a=7683>!26;38>>6`;138`?>i5??0;6):>3;066>h39;0o76a=6b83>!26;38>>6`;138f?>i5>80;6):>3;066>h39;0m76a=5783>!26;38>>6`;13824>=h:=k1<7*;128117=i<881=<54b`1b>5<6290;w):=e;0:e>N3;m1C8>?4o3;:>5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<=7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<?7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<97>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<;7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<57>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<n7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<h7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<j7>5f;294~"3:l0?=45G42f8L1563-8j=7:4i9;94?=n0h0;66g7b;29?l>d2900e5j50;9j5<1=831b>5?50;9j6=4=831b>5=50;9j6=2=831b>5;50;9j06>=831b8>750;9l07d=831d>5>50;9~fd07290m6=4?{%61a?2612B??i5G4238 7g62=1b444?::k;e?6=3`2i6=44i9a94?=n0m0;66g>9683>>o5080;66g=8383>>o50:0;66g=8583>>o50<0;66g;3983>>o3;00;66a;2c83>>i5090;66sma7394?`=83:p(9o?13:17d6n:188m=d=831b4n4?::k;`?6=3`;2;7>5;h0;5?6=3`83>7>5;h0;7?6=3`8387>5;h0;1?6=3`>847>5;h60=?6=3f>9n7>5;n0;4?6=3thj:?4?:g83>5}#<;o18<74H51g?M2492.9m<4;;h::>5<>o?k3:17d6k:188m4?02900e?6>:188m7>52900e?6<:188m7>32900e?6::188m15?2900e9=6:188k14e2900c?6?:188ygg1;3:1j7>50z&76`<3901C8>j4H512?!4f93>0e5750;9j5;h:`>5<5N3;m1C8>?4$3c2>1=n000;66g7a;29?l>e2900e5m50;9jo4?::m1<5<722wim;;50;d94?6|,=8n69?6;I60`>N3;81/>l?58:k;=?6=3`2j6=44i9`94?=n0j0;66g7d;29?l7>?3:17d<71;29?l4?:3:17d<73;29?l4?<3:17d<75;29?l2403:17d:<9;29?j25j3:17b<70;29?xdf>?0;6k4?:1y'07c=<830D9=k;I605>"5i8037d66:188m=g=831b4o4?::k;g?6=3`2o6=44i0;4>5<5<5<6=44i51;>5<5<;%0b5?0>o?j3:17d6l:188m=b=831b=4950;9j6=7=831b>5<50;9j6=5=831b>5:50;9j6=3=831b8>650;9j06?=831d8?l50;9l6=6=831vnl87:18e>5<7s->9i7:>9:J77a=O<:;0(?o>:79j<<<722c3m7>5;h:a>5<>o61>0;66g=8083>>o50;0;66g=8283>>o50=0;66g=8483>>o3;10;66g;3883>>i3:k0;66a=8183>>{ei?31<7h50;2x 14b2=;27E:f2900e5l50;9j5;h3:3?6=3`83=7>5;h0;6?6=3`83?7>5;h0;0?6=3`8397>5;h60857>5;n61f?6=3f83<7>5;|`b2d<72o0;6=u+43g904?<@=9o7E:<1:&1e4<13`226=44i9c94?=n0k0;66g7c;29?l>c2900e<78:188m7>62900e?6=:188m7>42900e?6;:188m7>22900e9=7:188m15>2900c972900qoo9b;29b?6=8r.?>h4;189K06b<@=9:7)1<75f29794?=n<:21<75f42;94?=h<;h1<75`29294?=zjh:56F;3e9K067<,;k:6;5f8883>>o?i3:17d6m:188m=e=831b4i4?::k2=2<722c94<4?::k1<7<722c94>4?::k1<1<722c9484?::k77=<722c??44?::m76g<722e94=4?::ae3b=83l1<7>t$50f>17>3A>8h6F;309'6d7=>2c357>5;h:b>5<>o?l3:17d?67;29?l4?93:17d<72;29?l4?;3:17d<74;29?l4?=3:17d:<8;29?l2413:17b:=b;29?j4?83:17pln6d83>c<729q/8?k540;8L15c3A>8=6*=a085?l>>2900e5o50;9j5;h:g>5<5<5<5<5<5<5f;294~"3:l0?=45G42f8L1563-8j=784i9;94?=n0h0;66g7b;29?l>d2900e5j50;9j5<1=831b>5?50;9j6=4=831b>5=50;9j6=2=831b>5;50;9j06>=831b8>750;9l07d=831d>5>50;9~fd17290m6=4?{%61a?2612B??i5G4238 7g62?1b444?::k;e?6=3`2i6=44i9a94?=n0m0;66g>9683>>o5080;66g=8383>>o50:0;66g=8583>>o50<0;66g;3983>>o3;00;66a;2c83>>i5090;66sma6394?5=83:p(914l52a779:14l5rs3g51?6=9:qUik52a4d9<<=:i?:14452a739<<=:i?814452a719<<=:i?>14452a779<<=:i?<14452a759<<=:i?214452a7;9<<=:i?k14452a7`9<<=:i?i14452a7f9<<=:i?o14452a7d9<<=:i>:1445rs3g52?6=:rT:ik52a6295<1=838pR?2wx>h86:181[7bj27j:i4>969~w7c1i3:1>vP>e`9>e3e=90=0q~7}Y9l301l8m:0;4?xu5m?i1<75<5sW;n;63n6`82=2=z{;o=i7>52z\152=:i?31=494}r0f2c<72;qU><84=`44>4?03ty9i:>50;0xZ77234k=:7?67:p6`162909wS<>4:?b20<61>1v?k82;296~X59:16m;:51858yv4b?:0;6?uQ20089d04283<7p}=e6694?4|V;:370o92;3:3>{t:l=>6=4={_3e`>;f=o0:5:5rs3g42?6=:rT:j?52a7395<1=83;8wSh8;e37=:1801l8=:3:1?8g1;383>63n6581<7=:i??1>5<4=`45>7>534k=;7<72:?b2=<50;16m;7529089d0f2;2970o9b;0;6>;f>j094?52a7f96=4<5huQf49>e0`=:1;01l8?:3:2?8g19383=63n6381<4=:i?91>5?4=`47>7>634k=97<71:?b23<50816m;9529389d0?2;2:70o99;0;5>;f>h094<52a7`96=7<5h;e3`=:1;01l9?:3:2?xu5m>k1<75<5>rT:4<52a2`95=7<5h9h6<6>;809>e6`=91;01l:?:0:2?8g393;3=63n4382<4=:i=91=5?4=`67>4>634k?97?71:?b03<60816m99519389d2?282:70o;9;3;5>;fh6<6>;809>e1`=91;01l;?:0:2?8g293;3=63n5382<4=:i<91=5?4=`77>4>634k>97?71:?b13<60816m89519389d3?282:70o:9;3;5>;f=h0:4<52a4`95=7<5h?h6<6>;809>e27=:0i0q~61|V83970o;f;j0:8<52a2f9517<5h9n6<:>;409>e17=9=;01l:=:062?8g3;3;?=63n458204=:i=?1=9?4=`65>42634k?;7?;1:?b0=<6<816m97515389d2f28>:70o;b;375>;fn6<:>;409>e07=9=;01l;=:062?8g2;3;?=63n558204=:i42634k>;7?;1:?b1=<6<816m87515389d3f28>:70o:b;375>;f=j0:8<52a4f9517<5h?n6<:>;e37=<:201l8=:51;?8g1;3>8463n65877==:i??18>64=`45>15?34k=;7:<8:?b2=<3;116m;7542:89d0f2=9370o9b;60<>;f>j0??552a7f906><5hvP=209>e1e=<8<0q~7}Y:8l01l:m:535?xu5m>l1<7::6s|2d:3>5<5sW8:h63n488753=z{;o3=7>52z\15f=:i=218<84}r0f<7<72;qU>1713ty9i5=50;0xZ77f34k?:7:>6:p6`>32909wS<>9:?b00<39?1v?k75;296~X5<016m9:54048yv4b0?0;6?uQ25:89d242=;=7p}=e9594?4|V;><70o;2;622>{t:l236=4={_072>;f<80?=;5rs3g;=?6=:rT98852a5290402wx>h6l:181[45n27j?i4;179~w7c?l3:1>vP=259>e6e=<8<0q~7}Y:8201l=m:535?xu5m1l1<7::6s|2d;3>5<5sW8>=63n5e8753=z{;o2=7>52z\115=:i9h4=`7a>1713ty9i4=50;0xZ72b34k>m7:>6:p6`?32909wS<;d:?b1<<39?1v?k65;296~X5{t:l336=4={_04e>;f=<0?=;5rs3g:=?6=:rT9;452a469040<5h?869?9;|q1a2wx>h7l:181[40>27j9<4;179~w7c>l3:1>vP=6b9>e06=<8<0q~7}Y:?;01l:i:535?xu5m0l1<7::6s|2dc3>5<5sW8?m63n4e8753=z{;oj=7>56ey>e6g=:0301l;i:9`89d3a21i01l;i:9f89d3a2;2870o:f;0;0>;f=o094852a7295=4=`43>7>334k=<7<75:?b24e37=:1>01l8>:3:6?8g1:32i70o92;:`?8g1:32o70o92;0;7>;f>;094952a7096=3<5h<865l4=`40>=e<5h<865j4=`40>7>434k=?7<74:?b26<50<16m;:58c9>e32=0j16m;:58e9>e32=:1901l8;:3:7?8g1<383963n648;f>;f><03o63n648;`>;f><094>52a7796=2<5h<>6?6:;e34k=:76l;c34k=:7<73:?b23<50=16m;8529789d0021h01l88:9a89d0021n01l88:3:0?8g1?383863n6681<0=:i?214o52a7:92;2>70o9a;:a?8g1i32h70o9a;:g?8g1i383?63n6`81<1=:i?k1>5;4=`4a>=d<5h=b<5he3e=0k16m;m58b9>e3e=0m16m;m529189d0d2;2?70o9c;0;1>;f>m03n63n6e8;g>;f>m03h63n6e81<6=:i?n1>5:4=`4g>7>234k=i76m;d34k=i76k;e3c=:1?01l8i:9`89d0a21i01l8i:9f89d0a2;2870o9f;0;0>;f>o094852a629:14n52a629:1>5=4=`53>7>334k<<7<75:p6`g52909w0o;f>90?>o5rs3gb7?6=:r7j?n4>969>e37=<;h0q~7}:i:n1=494=`7e>14e3ty9il;50;0x9d5b283<70o92;61f>{t:lk=6=4={?27j:>4;2c9~w7cf?3:1>v3n4182=2=:i?>18?l4}r0fe=<72;q6m9?518589d022=8i7p}=e`;94?4|5h>96<78;hon:1818g3;3;2;63n66876g=z{;ojn7>52z?b01<61>16m;7543`8yv4bij0;6?u2a5795<1<5h9n6s|2dcf>5<5s4k?;7?67:?b2g<3:k1v?knf;296~;f<10:5:52a7a907de1?=90=01l8k:50a?xu5mk;1<74?034k=i7:=b:p6`d52909w0o;b;3:3>;f>o0?>o5rs3ga7?6=:r7j8n4>969>e26=<;h0q~7}:i=n1=494=`43>7>73ty9io;50;0x9d2b283<70o91;0;4>{t:lh=6=4={?27j9k4=819~w7ce?3:1>v3n5182=2=:i?81>5>4}r0ff=<72;q6m8?518589d042;2;7p}=ec;94?4|5h?96<78;hln:1818g2;3;2;63n6481<5=z{;oin7>52z?b11<61>16m;852928yv4bjj0;6?u2a4795<1<5h<<6?6?;|q1agb=838p1l;9:0;4?8g11383<6s|2d`f>5<5s4k>;7?67:?b2d<5091v?kmf;296~;f=10:5:52a7:96=6e0?=90=01l8m:3:3?xu5mj;1<74?034k=o7<70:p6`e52909w0o:b;3:3>;f>m094=5rs3g`7?6=:r7j9n4>969>e3c=:1:0q~7}:i7>73ty9in;50;0x9d3b283<70o80;0;4>{t:li=6=4>3z?b1c<3;016m;>542;89d062=9270o92;60=>;f>:0??452a76906?<5h<>69=6;e3>=<:301l86:51:?8g1i3>8563n6c877<=:i?i18>74=`4g>15>34k=i7:<9:?b2c<3;016m:>542;89d162:uG4238 14b28ko;6T>3g8610=9;0?;7?::049yl`6290/8<=5f19m044=821bik4?:%627?`73g>:>7?4;h3fb?6=,=;865$530>4cb3g>:>7?4;h3fg?6=,=;865$530>4cb3g>:>7=4;h3fe?6=,=;865$530>4cb3g>:>7;4;h3f5$530>4cb3g>:>794;h023?6=,=;86<3`8::7>5$530>4cb3g>:>774;h021?6=,=;865$530>4cb3g>:>7l4;h027?6=,=;867>5$530>4cb3g>:>7j4;h035$530>4cb3g>:>7h4;h3e6?6=,=;861:9jb2<72->:?7h9;o626?6<3`l>6=4+4019b3=i<881=65f42c94?=n91;1<75f43794?=n9081<75`23394?"39:09>=5a40094>=h:8l1<7*;128165=i<881=65`20g94?"39:09>=5a40096>=h:8n1<7*;128165=i<881?65`20a94?"39:09>=5a40090>=h:8h1<7*;128165=i<881965`20c94?"39:09>=5a40092>=h:831<7*;128165=i<881;65`25;94?"39:09>=5a4009<>=h:=21<7*;128165=i<881565`25594?"39:09>=5a4009e>=h:=<1<7*;128165=i<881n65`25794?"39:09>=5a4009g>=h:=>1<7*;128165=i<881h65`22c94?"39:09>=5a4009a>=h:;l1<7*;128165=i<881j65`23694?"39:09>=5a400955==7>5$530>7353g>:>7?4;n064?6=,=;86?;=;o626?4<3f8?j7>5$530>7353g>:>7=4;n07a?6=,=;86?;=;o626?2<3f8?h7>5$530>7353g>:>7;4;n07g?6=,=;86?;=;o626?0<3f8?n7>5$530>7353g>:>794;n04f?6=,=;86?;=;o626?><3f85$530>7353g>:>774;n04=?6=,=;86?;=;o626?g<3f8<47>5$530>7353g>:>7l4;n043?6=,=;86?;=;o626?e<3f8<:7>5$530>7353g>:>7j4;n05g?6=,=;86?;=;o626?c<3f8==7>5$530>7353g>:>7h4;n062?6=,=;86?;=;o626?7732e98l4?:%627?42:2d?=?4>1:9ae25=83;1<7>t$50f>7?f3A>8h6F;309l65<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd12290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl99:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd10290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl97:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd1>290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl9n:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd1e290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl9l:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd1c290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl9j:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd1a290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl6?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd>6290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl6=:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd>4290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl6;:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd>2290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl69:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd>0290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl67:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd>>290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl6n:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd>e290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl6l:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd>c290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl6j:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd>a290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl7?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd?6290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl7=:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd?4290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl7;:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd?2290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl79:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd?0290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl77:18e>5<7s->9i7:>9:J77a=O<:;0(?o>:69j<<<722c3m7>5;h:a>5<>o61>0;66g=8083>>o50;0;66g=8283>>o50=0;66g=8483>>o3;10;66g;3883>>i3:k0;66a=8183>>{ei031<7h50;2x 14b2=;27E:f2900e5l50;9j5;h3:3?6=3`83=7>5;h0;6?6=3`83?7>5;h0;0?6=3`8397>5;h60857>5;n61f?6=3f83<7>5;|`b=d<72o0;6=u+43g904?<@=9o7E:<1:&1e4<03`226=44i9c94?=n0k0;66g7c;29?l>c2900e<78:188m7>62900e?6=:188m7>42900e?6;:188m7>22900e9=7:188m15>2900c972900qoo6b;29b?6=8r.?>h4;189K06b<@=9:7)1<75f29794?=n<:21<75f42;94?=h<;h1<75`29294?=zjh3h6=4i:183!25m3>:56F;3e9K067<,;k:6:5f8883>>o?i3:17d6m:188m=e=831b4i4?::k2=2<722c94<4?::k1<7<722c94>4?::k1<1<722c9484?::k77=<722c??44?::m76g<722e94=4?::aet$50f>17>3A>8h6F;309'6d7=<2c357>5;h:b>5<>o?l3:17d?67;29?l4?93:17d<72;29?l4?;3:17d<74;29?l4?=3:17d:<8;29?l2413:17b:=b;29?j4?83:17pln9d83>c<729q/8?k540;8L15c3A>8=6*=a08;?l>>2900e5o50;9j5;h:g>5<5<5<5<5<5<5f;294~"3:l0?=45G42f8L1563-8j=7:4i9;94?=n0h0;66g7b;29?l>d2900e5j50;9j5<1=831b>5?50;9j6=4=831b>5=50;9j6=2=831b>5;50;9j06>=831b8>750;9l07d=831d>5>50;9~fdg7290m6=4?{%61a?2612B??i5G4238 7g62>1b444?::k;e?6=3`2i6=44i9a94?=n0m0;66g>9683>>o5080;66g=8383>>o50:0;66g=8583>>o50<0;66g;3983>>o3;00;66a;2c83>>i5090;66sma`394?`=83:p(9o?13:17d6n:188m=d=831b4n4?::k;`?6=3`;2;7>5;h0;5?6=3`83>7>5;h0;7?6=3`8387>5;h0;1?6=3`>847>5;h60=?6=3f>9n7>5;n0;4?6=3thjm?4?:g83>5}#<;o18<74H51g?M2492.9m<47;h::>5<>o?k3:17d6k:188m4?02900e?6>:188m7>52900e?6<:188m7>32900e?6::188m15?2900e9=6:188k14e2900c?6?:188yggf;3:1j7>50z&76`<3901C8>j4H512?!4f93<0e5750;9j5;h:`>5<5N3;m1C8>?4$3c2>3=n000;66g7a;29?l>e2900e5m50;9jo4?::m1<5<722wiml;50;d94?6|,=8n69?6;I60`>N3;81/>l?56:k;=?6=3`2j6=44i9`94?=n0j0;66g7d;29?l7>?3:17d<71;29?l4?:3:17d<73;29?l4?<3:17d<75;29?l2403:17d:<9;29?j25j3:17b<70;29?xdfi?0;6k4?:1y'07c=<830D9=k;I605>"5i80=7d66:188m=g=831b4o4?::k;g?6=3`2o6=44i0;4>5<5<5<6=44i51;>5<5<;%0b5?0>o?j3:17d6l:188m=b=831b=4950;9j6=7=831b>5<50;9j6=5=831b>5:50;9j6=3=831b8>650;9j06?=831d8?l50;9l6=6=831vnlo7:18e>5<7s->9i7:>9:J77a=O<:;0(?o>:79j<<<722c3m7>5;h:a>5<>o61>0;66g=8083>>o50;0;66g=8283>>o50=0;66g=8483>>o3;10;66g;3883>>i3:k0;66a=8183>>{eih31<7h50;2x 14b2=;27E:f2900e5l50;9j5;h3:3?6=3`83=7>5;h0;6?6=3`83?7>5;h0;0?6=3`8397>5;h60857>5;n61f?6=3f83<7>5;|`bed<72:0;6=u+43g96d`<@=9o7E:<1:&1e42c95n4?::k1=a<722e8:o4?::p6`e0290:?vPi1:?b==47a:?be1479:?be12909wS?jf:?be<<61>1v?kla;296~X6mm16ml651858yv4bkk0;6?uQ1da89dg0283<7p}=eba94?4|V8oi70on6;3:3>{t:lio6=4={_3fe>;fi<0:5:5rs3g`a?6=:rT:i452a`695<1<5hk:6<78;|q1aa6=838pR?2wx>hj>:181[46?27jm?4>969~w7cc:3:1>vP=179>ed6=90=0q~7}Y:8?01l7i:0;4?xu5mm>1<7m3;2;6s|2df6>5<5sW8:?63n9e82=2=z{;oo:7>52z\157=:i0i1=494}r0f`2<72;qU>=64=`;a>4?03ty9ii650;0xZ4`c34k247?67:p6`b>2909wS?i2:?b=d<61>1v?kka;296~X6m?16m4751858yv4blk0;6<=t^g589d??2;2970o69;0;6>;f1h094?52a8`96=4<5h3h6?6=;e<`=:1801lo?:3:1?8gf9383>63na381<7=:ih91>5<4=`c7>7>534kj97<72:?be3<50;16ml9529089dg?2;2970on9;0;6>{t:lnh6=4>3z\e1>;f11094<52a8;96=7<5h3j6?6>;en383=63na181<4=:ih;1>5?4=`c1>7>634kj?7<71:?be1<50816ml;529389dg12;2:70on7;0;5>;fi1094<52a`;96=7;f?10:4<52a6;95=7<5h=j6<6>;809>e2b=91;01l9j:0:2?8g0n3;3=63n8182<4=:i1;1=5?4=`:1>4>634k3?7?71:?b<1<60816m5;519389d>1282:70o77;3;5>;f010:4<52a9;95=7<5h2j6<6>;809>e=b=91;01l6j:0:2?8g?n3;3=63n9182<4=:i0;1=5?4=`;1>4>634k2?7?71:?b=1<60816m4;519389d?1282:70o67;3;5>;fih095n5rs3ggb?6=;>qU=4<4=`57>42634k<97?;1:?b33<6<816m:9515389d1?28>:70o89;375>;f?h0:8<52a6`9517<5h=h6<:>;409>e2`=9=;01l6?:062?8g?93;?=63n838204=:i191=9?4=`:7>42634k397?;1:?b<3<6<816m59515389d>?28>:70o79;375>;f0h0:8<52a9`9517<5h2h6<:>;409>e=`=9=;01l7?:062?8g>93;?=63n938204=:i091=9?4=`;7>42634k297?;1:?b=3<6<816m49515389d??2=9370o69;60<>;f1h0??552a8`906><5h3h69=7;e<`=<:201lo?:51;?8gf93>8463na3877==:ih918>64=`c7>15?34kj97:<8:?be3<3;116ml9542:89dg?2=9370on9;60<>{t:lo;6=4={_015>;f0<0?=;5rs3gf5?6=:rT9=k52a9690402wx>hk;:181[46k27j4<4;179~w7cb=3:1>vP=1c9>e=6=<8<0q~7}Y:8k01l9i:535?xu5ml=1<7::6s|2dg;>5<5sW8?563n7e8753=z{;on57>52z\10==:i>i18<84}r0fad<72;qU>994=`5a>1713ty9ihl50;0xZ72134k6:p6`cd2909wS<;5:?b3<<39?1v?kjd;296~X5<=16m:654048yv4bml0;6?uQ22c89d102=;=7p}=edd94?4|V;8m70o86;622>{t:ll;6=4={_010>;f?<0?=;5rs3ge5?6=:rT9=552a669040;2wx>hh;:181[42827j584;179~w7ca=3:1>vP=4g9>e<2=<8<0q~7}Y:=o01l7<:535?xu5mo=1<7:3>::6s|2dd;>5<5sW8?o63n908753=z{;om57>52z\10g=:i0:18<84}r0fbd<72;qU>:l4=`:e>1713ty9ikl50;0xZ71f34k3i7:>6:p6``d2909wS<89:?be2=;=7p}=egd94?4|V;==70o7a;622>{t:o:;6=4={_05g>;f000?=;5rs3d35?6=:rT9:<52a9:90402wx>k>;:185`~;f?:095452a8:95=4=`;;>7>334k247<75:?b=<e01l76:3:6?8g>i32i70o6a;:`?8g>i32o70o6a;0;7>;f1h094952a8c96=3<5h3i65l4=`;a>=e<5h3i65j4=`;a>7>434k2n7<74:?b=g<50<16m4m58c9>eek383963n9e8;f>;f1m03o63n9e8;`>;f1m094>52a8f96=2<5h3o6?6:;e34k2i76l;c34k2i7<73:?b=`<50=16m4k529789d?a21h01l7i:9a89d?a21n01l7i:3:0?8g>n383863n9g81<0=:ih:14o52a`2970on2;:a?8gf:32h70on2;:g?8gf:383?63na381<1=:ih81>5;4=`c0>=d<5hk865m4=`c0>=b<5hk86?6<;4=849>ed2=0k16ml:58b9>ed2=0m16ml:529189dg32;2?70on4;0;1>;fi<03n63na48;g>;fi<03h63na481<6=:ih?1>5:4=`c6>7>234kj:76m;d34kj:76k;ed0=:1?01lo8:9`89dg021i01lo8:9f89dg02;2870on7;0;0>;fi>094852a`:95=4=`c;>7>334kj47<75:?be<ed?=:1>01lo6:3:6?xu5n9?1<74?034k257:=b:p6c612909w0o85;3:3>;f1h0?>o5rs3d33?6=:r7j;;4>969>e<>=<;h0q~7}:i>=1=494=`;a>14e3ty9j=750;0x9d1?283<70o6c;61f>{t:o:j6=4={?27j5i4;2c9~w7`7j3:1>v3n7`82=2=:i0o18?l4}r0e4f<72;q6m:l518589d?a2=8i7p}=f1f94?4|5h=h6<78;k>j:1818g0l3;2;63na3876g=z{;l;j7>52z?b3`<61>16ml=543`8yv4a990;6?u2a6d95<1<5hk:699n6s|2g31>5<5s4k3=7?67:?be0<3:k1v?h>3;296~;f0;0:5:52a`4907de=5=90=01lo8:50a?xu5n8?1<74?034kj47:=b:p6c712909w0o75;3:3>;fi00?>o5rs3d23?6=:r7j4;4>969>e7}:i1=1=494=`;b>7>73ty9j<750;0x9d>?283<70o68;0;4>{t:o;j6=4={?27j5o4=819~w7`6j3:1>v3n8`82=2=:i0i1>5>4}r0e5f<72;q6m5l518589d?c2;2;7p}=f0f94?4|5h2h6<78;k?j:1818g?l3;2;63n9g81<5=z{;l:j7>52z?b<`<61>16ml>52928yv4a:90;6?u2a9d95<1<5hk96?6?;|q1b77=838p1l7?:0;4?8gf;383<6s|2g01>5<5s4k2=7?67:?be4<5091v?h=3;296~;f1;0:5:52a`696=694?:3y>e<5=90=01lo::3:3?xu5n;?1<74?034kj:7<70:p6c412909w0o65;3:3>;fi>094=5rs3d13?6=:r7j5;4>969>ed>=:1:0q~7}:i0=1=494=`c:>7>73ty9j?750;308g>03>8563n98877<=:i0k18>74=`;a>15>34k2o7:<9:?b=a<3;016m4k542;89d?a2=9270on0;60=>;fi80??452a`0906?<5hk869=6;ed0=<:301lo8:51:?8gf03>8563na8877<=:ihk1?;l4}|`beg<72:o1?5493zJ774=#<;o1=lj7;[30b?3|7:8:07953h39;0;76gjf;29 1742o:0b9?=:098m4ca290/8<=51dg8j1752910e2;32?>oa?3:1(9?<:g48j1752910ek;50;&7562d?=?4>;:k77d<722c:4<4?::k760<722c:5?4?::m164<72->:?7<=0:l757<732e9=k4?:%627?4582d?=?4>;:m15`<72->:?7<=0:l757<532e9=i4?:%627?4582d?=?4<;:m15f<72->:?7<=0:l757<332e9=o4?:%627?4582d?=?4:;:m15d<72->:?7<=0:l757<132e9=44?:%627?4582d?=?48;:m10<<72->:?7<=0:l757:?7<=0:l757:?7<=0:l757:?7<=0:l757k4?:%627?4582d?=?4i;:m161<72->:?7<=0:l757<6821d><650;&756<5:91e8<<51098k734290/8<=52408j1752910c?;>:18'045=:<80b9?=:098k737290/8<=52408j1752;10c?:i:18'045=:<80b9?=:298k72b290/8<=52408j1752=10c?:k:18'045=:<80b9?=:498k72d290/8<=52408j1752?10c?:m:18'045=:<80b9?=:698k71e290/8<=52408j1752110c?9n:18'045=:<80b9?=:898k71>290/8<=52408j1752h10c?97:18'045=:<80b9?=:c98k710290/8<=52408j1752j10c?99:18'045=:<80b9?=:e98k70d290/8<=52408j1752l10c?8>:18'045=:<80b9?=:g98k731290/8<=52408j17528:07b<;a;29 1742;?97c:>2;32?>dfij0;6<4?:1y'07c=:0k0D9=k;I605>i5100;66sma`f94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eiho1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sma`d94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eik:1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smac394?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eik81<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smac194?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eik>1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smac794?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eik<1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smac594?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eik21<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smac;94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eikk1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smac`94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eiki1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smacf94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eiko1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smacd94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eij:1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smab394?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eij81<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smab194?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eij>1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smab794?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eij<1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smab594?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eij21<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smab;94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eijk1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smab`94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eiji1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smabf94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eijo1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smabd94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eim:1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smae394?`=83:p(9o?13:17d6n:188m=d=831b4n4?::k;`?6=3`;2;7>5;h0;5?6=3`83>7>5;h0;7?6=3`8387>5;h0;1?6=3`>847>5;h60=?6=3f>9n7>5;n0;4?6=3thjh?4?:g83>5}#<;o18<74H51g?M2492.9m<49;h::>5<>o?k3:17d6k:188m4?02900e?6>:188m7>52900e?6<:188m7>32900e?6::188m15?2900e9=6:188k14e2900c?6?:188yggc;3:1j7>50z&76`<3901C8>j4H512?!4f9320e5750;9j5;h:`>5<5N3;m1C8>?4$3c2>==n000;66g7a;29?l>e2900e5m50;9jo4?::m1<5<722wimi;50;d94?6|,=8n69?6;I60`>N3;81/>l?56:k;=?6=3`2j6=44i9`94?=n0j0;66g7d;29?l7>?3:17d<71;29?l4?:3:17d<73;29?l4?<3:17d<75;29?l2403:17d:<9;29?j25j3:17b<70;29?xdfl?0;6k4?:1y'07c=<830D9=k;I605>"5i80<7d66:188m=g=831b4o4?::k;g?6=3`2o6=44i0;4>5<5<5<6=44i51;>5<5<;%0b5?2>o?j3:17d6l:188m=b=831b=4950;9j6=7=831b>5<50;9j6=5=831b>5:50;9j6=3=831b8>650;9j06?=831d8?l50;9l6=6=831vnlj7:18e>5<7s->9i7:>9:J77a=O<:;0(?o>:69j<<<722c3m7>5;h:a>5<>o61>0;66g=8083>>o50;0;66g=8283>>o50=0;66g=8483>>o3;10;66g;3883>>i3:k0;66a=8183>>{eim31<7h50;2x 14b2=;27E:f2900e5l50;9j5;h3:3?6=3`83=7>5;h0;6?6=3`83?7>5;h0;0?6=3`8397>5;h60857>5;n61f?6=3f83<7>5;|`b`d<72o0;6=u+43g904?<@=9o7E:<1:&1e4c2900e<78:188m7>62900e?6=:188m7>42900e?6;:188m7>22900e9=7:188m15>2900c972900qookb;29b?6=8r.?>h4;189K06b<@=9:7)1<75f29794?=n<:21<75f42;94?=h<;h1<75`29294?=zjhnh6=4i:183!25m3>:56F;3e9K067<,;k:695f8883>>o?i3:17d6m:188m=e=831b4i4?::k2=2<722c94<4?::k1<7<722c94>4?::k1<1<722c9484?::k77=<722c??44?::m76g<722e94=4?::aeab=83l1<7>t$50f>17>3A>8h6F;309'6d7=>2c357>5;h:b>5<>o?l3:17d?67;29?l4?93:17d<72;29?l4?;3:17d<74;29?l4?=3:17d:<8;29?l2413:17b:=b;29?j4?83:17plndd83>c<729q/8?k540;8L15c3A>8=6*=a085?l>>2900e5o50;9j5;h:g>5<5<5<5<5<5<5f;294~"3:l0?=45G42f8L1563-8j=784i9;94?=n0h0;66g7b;29?l>d2900e5j50;9j5<1=831b>5?50;9j6=4=831b>5=50;9j6=2=831b>5;50;9j06>=831b8>750;9l07d=831d>5>50;9~fdc7290m6=4?{%61a?2612B??i5G4238 7g62?1b444?::k;e?6=3`2i6=44i9a94?=n0m0;66g>9683>>o5080;66g=8383>>o50:0;66g=8583>>o50<0;66g;3983>>o3;00;66a;2c83>>i5090;66smad394?`=83:p(9o?13:17d6n:188m=d=831b4n4?::k;`?6=3`;2;7>5;h0;5?6=3`83>7>5;h0;7?6=3`8387>5;h0;1?6=3`>847>5;h60=?6=3f>9n7>5;n0;4?6=3thji?4?:g83>5}#<;o18<74H51g?M2492.9m<49;h::>5<>o?k3:17d6k:188m4?02900e?6>:188m7>52900e?6<:188m7>32900e?6::188m15?2900e9=6:188k14e2900c?6?:188yggb;3:1?7>50z&76`<5io1C8>j4H512?!4f932=7d<6c;29?l4>l3:17b=9b;29?xu5n;k1<7?<{_d2?8gc932j70ok2;:b?8gc;32j70ok4;:b?8gc=32j70ok6;:b?8gc?32j70ok8;:b?8gc132j70oka;:b?8gcj32j70okc;:b?8gcl32j70oke;:b?8gcn32j70oj0;:b?8gb932j70oj2;:b?xu5n;h1<7?<{_ge?8gc932270ok2;::?8gc;32270ok4;::?8gc=32270ok6;::?8gc?32270ok8;::?8gc132270oka;::?8gcj32270okc;::?8gcl32270oke;::?8gcn32270oj0;::?8gb932270oj2;::?xu5n;i1<75<5sW;nh63ne082=2=z{;l9i7>52z\2af=:il:1=494}r0e6c<72;qU=hl4=`fe>4?03ty9j>>50;0xZ4cf34koi7?67:p6c562909wS?j9:?b`a<61>1v?h<2;296~X6m116mio51858yv4a;:0;6?uQ1d589dbd283<7p}=f2694?4|V;;<70okb;3:3>{t:o9>6=4={_022>;fl00:5:5rs3d02?6=:rT9=852ae:95<1=838pR??<;?2wx>k=6:181[46:27jh84>969~w7`4i3:1>vP=099>ea2=90=0q~7}Y9on01lj>:0;4?xu5n:i1<75<5sW;n:63nd382=2=z{;l8i7>512y]b2=:im;1>5<4=`f1>7>534ko?7<72:?b`1<50;16mi;529089db12;2970ok7;0;6>;fl1094?52ae;96=4<5hnj6?6=;eab=:1801ljj:3:1?8gcn383>63ne181<7=:il;1>5<4=`g1>7>53ty9j>h50;30[`234ko=7<71:?b`7<50816mi=529389db32;2:70ok5;0;5>;fl?094<52ae596=7<5hn36?6>;ead=:1;01ljl:3:2?8gcl383=63ndd81<4=:iml1>5?4=`g3>7>634kn=7<71:?ba7<5081v?h;0;296~X3;h16mh=528f8yv4a<80;6?8t^0:2?8gfl3;3=63nad82<4=:ihl1=5?4=``3>4>634ki=7?71:?bf7<60816mo=519389dd3282:70om5;3;5>;fj?0:4<52ac595=7<5hh36<6>;809>egd=91;01lll:0:2?8gel3;3=63nbd82<4=:ikl1=5?4=`a3>4>634kh=7?71:?bg7<60816mn=519389de3282:70ol5;3;5>;fk?0:4<52ab595=7<5hi36<6>;809>efd=91;01lml:0:2?8gdl3;3=63ncd82<4=:ijl1=5?4=`f3>4>634kn?7<6c:p6c252908;vP>939>edb=9=;01loj:062?8gfn3;?=63nb18204=:ik;1=9?4=``1>42634ki?7?;1:?bf1<6<816mo;515389dd128>:70om7;375>;fj10:8<52ac;9517<5hhj6<:>;409>egb=9=;01llj:062?8gen3;?=63nc18204=:ij;1=9?4=`a1>42634kh?7?;1:?bg1<6<816mn;515389de128>:70ol7;375>;fk10:8<52ab;9517<5hij6<:>;409>efb=9=;01lmj:062?8gdn3;?=63nd18204=:im;18>64=`f1>15?34ko?7:<8:?b`1<3;116mi;542:89db12=9370ok7;60<>;fl10??552ae;906><5hnj69=7;eab=<:201ljj:51;?8gcn3>8463ne1877==:il;18>64=`g1>15?3ty9j9=50;0xZ74634kii7:>6:p6c232909wS<>f:?bfa<39?1v?h;5;296~X59l16mom54048yv4a{t:o>36=4={_02f>;fj00?=;5rs3d7=?6=:rT9=l52ac:90402wx>k:l:181[43027jn84;179~w7`3l3:1>vP=469>eg2=<8<0q~7}Y:=<01ll<:535?xu5n=l1<7::6s|2g73>5<5sW8?863nb08753=z{;l>=7>52z\17d=:ik:18<84}r0e17<72;qU>?h4=`ce>1713ty9j8=50;0xZ74334kji7:>6:p6c332909wS<>8:?bea<39?1v?h:5;296~X5=:16mi>54048yv4a=?0;6?uQ24389dea2=;=7p}=f4594?4|V;?;70ole;622>{t:o?36=4={_07b>;fkm0?=;5rs3d6=?6=:rT98h52aba90402wx>k;l:181[43j27jo44;179~w7`2l3:1>vP=7c9>ef>=<8<0q~7}Y:>k01lm8:535?xu5n3>::6s|2g43>5<5sW8<463nc48753=z{;l==7>52z\132=:ij>18<84}r0e27<72;qU>:84=`a0>1713ty9j;=50;0xZ70d34kh>7:>6:p6c032909wS<91:?bg4<39?1v?h95;296~X5=?16mn>54048yv4a>?0;6?uQ25c89dda2=;=7p}=f7594?0cs4kjo7<69:?b`4ea7=:1>01lj>:3:6?8gc:32i70ok2;:`?8gc:32o70ok2;0;7>;fl;094952ae096=3<5hn865l4=`f0>=e<5hn865j4=`f0>7>434ko?7<74:?b`6<50<16mi:58c9>ea2=0j16mi:58e9>ea2=:1901lj;:3:7?8gc<383963nd48;f>;fl<03o63nd48;`>;fl<094>52ae796=2<5hn>6?6:;e34ko:76l;c34ko:7<73:?b`3<50=16mi8529789db021h01lj8:9a89db021n01lj8:3:0?8gc?383863nd681<0=:im214o52ae:92;2>70oka;:a?8gci32h70oka;:g?8gci383?63nd`81<1=:imk1>5;4=`fa>=d<5hni65m4=`fa>=b<5hni6?6<;eae=0k16mim58b9>eae=0m16mim529189dbd2;2?70okc;0;1>;flm03n63nde8;g>;flm03h63nde81<6=:imn1>5:4=`fg>7>234koi76m;d34koi76k;eac=:1?01lji:9`89dba21i01lji:9f89dba2;2870okf;0;0>;flo094852ad295=4=`g3>7>334kn<7<75:?ba4e`7=:1>01lk>:3:6?8gb:32i70oj2;:`?8gb:32o70oj2;0;7>;fm;094952ad096=3edb=90=01lj=:50a?xu5n?31<74?034ko?7:=b:p6c0f2909w0onf;3:3>;fl80?>o5rs3d5f?6=:r7jn=4>969>ea2=<;h0q~7}:ik;1=494=`f6>14e3ty9j;j50;0x9dd5283<70ok6;61f>{t:o?27jh:4;2c9~w7`1n3:1>v3nb582=2=:im218?l4}r0e35<72;q6mo;518589db>2=8i7p}=f6394?4|5hh=6<78;k9=:1818ge?3;2;63ndb876g=z{;l52z?bf=<61>16mio543`8yv4a?=0;6?u2ac;95<1<5hno699n6s|2g55>5<5s4kin7?67:?b`c<3:k1v?h87;296~;fjj0:5:52ad2907degb=90=01lk>:50a?xu5n>31<74?034kn>7:=b:p6c1f2909w0omf;3:3>;fl;094=5rs3d4f?6=:r7jo=4>969>ea5=:1:0q~7}:ij;1=494=`f2>7>73ty9j:j50;0x9de5283<70ok4;0;4>{t:o=n6=4={?27jh84=819~w7`0n3:1>v3nc582=2=:im<1>5>4}r0e<5<72;q6mn;518589db02;2;7p}=f9394?4|5hi=6<78;k6=:1818gd?3;2;63nd881<5=z{;l3?7>52z?bg=<61>16mil52928yv4a0=0;6?u2ab;95<1<5hnh6?6?;|q1b=3=838p1lmn:0;4?8gci383<6s|2g:5>5<5s4khn7?67:?b`a<5091v?h77;296~;fkj0:5:52aeg96=6efb=90=01lji:3:3?xu5n131<74?034kn<7<70:p6c>f2909w0olf;3:3>;fm8094=5rs3d;f?6=:r7jh=4>969>e`4=:1:0q~45|5hn:69=6;4;389>ea2=<:301lj::51:?8gc>3>8563nd6877<=:im218>74=`f:>15>34kom7:<9:?b`g<3;016mim542;89dbc2=9270oke;60=>;flo0??452ad2906?<5ho:69=6;4<6c9~yggb<3:1?h4<8;40M2492.?>h4>ae;8^45a23wbj<4?:%627?`73g>:>7>4;hge>5<#<891j=5a40095>=n9ll1<7*;1282a`=i<881<65f1df94?"39:0:ih5a40095>=n9li1<7*;1282a`=i<881>65f1d`94?"39:0:ih5a40097>=n9lk1<7*;1282a`=i<881865f1d;94?"39:0:ih5a40091>=n9l21<7*;1282a`=i<881:65f1d594?"39:0:ih5a40093>=n:8=1<7*;1282a`=i<881465f20494?"39:0:ih5a4009=>=n:8?1<7*;1282a`=i<881m65f20694?"39:0:ih5a4009f>=n:891<7*;1282a`=i<881o65f20094?"39:0:ih5a4009`>=n:921<7*;1282a`=i<881i65f1gf94?"39:0:ih5a4009b>=n9o81<7*;1282a`=i<881==54i0g5>5<#<891=hk4n531>47<3`l<6=4+4019b3=i<881<65ff483>!26;3l=7c:>2;38?l24i3:17d?71;29?l25=3:17d?62;29?j4593:1(9?<:303?k26:3:07b<>f;29 1742;8;7c:>2;38?j46m3:1(9?<:303?k26:3807b<>d;29 1742;8;7c:>2;18?j46k3:1(9?<:303?k26:3>07b<>b;29 1742;8;7c:>2;78?j46i3:1(9?<:303?k26:3<07b<>9;29 1742;8;7c:>2;58?j4313:1(9?<:303?k26:3207b<;8;29 1742;8;7c:>2;;8?j43?3:1(9?<:303?k26:3k07b<;6;29 1742;8;7c:>2;`8?j43=3:1(9?<:303?k26:3i07b<;4;29 1742;8;7c:>2;f8?j44i3:1(9?<:303?k26:3o07b<=f;29 1742;8;7c:>2;d8?j45<3:1(9?<:303?k26:3;;76a=1983>!26;389<6`;13825>=h:<91<7*;128117=i<881<65`24394?"39:099?5a40095>=h:<:1<7*;128117=i<881>65`25d94?"39:099?5a40097>=h:=o1<7*;128117=i<881865`25f94?"39:099?5a40091>=h:=i1<7*;128117=i<881:65`25`94?"39:099?5a40093>=h:>h1<7*;128117=i<881465`26c94?"39:099?5a4009=>=h:>31<7*;128117=i<881m65`26:94?"39:099?5a4009f>=h:>=1<7*;128117=i<881o65`26494?"39:099?5a4009`>=h:?i1<7*;128117=i<881i65`27394?"39:099?5a4009b>=h:<<1<7*;128117=i<881==54o36b>5<#<891>8<4n531>47<3kkn97>51;294~"3:l095l5G42f8L1563f8257>5;|`ba3<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thji:4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`ba=<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thji44?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`bad<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thjio4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`baf<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thjii4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`ba`<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thjik4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`bb5<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thjj<4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`bb7<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thjj>4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`bb1<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thjj84?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`bb3<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thjj:4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`bb=<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thjj44?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`bbd<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thjjo4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`bbf<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thjji4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`bb`<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thjjk4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`a45<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thi<<4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`a47<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thi<>4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`a41<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thi<84?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`a43<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thi<:4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`a4=<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thi<44?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`a4d<72o0;6=u+43g904?<@=9o7E:<1:&1e4c2900e<78:188m7>62900e?6=:188m7>42900e?6;:188m7>22900e9=7:188m15>2900c972900qol?b;29b?6=8r.?>h4;189K06b<@=9:7)1<75f29794?=n<:21<75f42;94?=h<;h1<75`29294?=zjk:h6=4i:183!25m3>:56F;3e9K067<,;k:6:5f8883>>o?i3:17d6m:188m=e=831b4i4?::k2=2<722c94<4?::k1<7<722c94>4?::k1<1<722c9484?::k77=<722c??44?::m76g<722e94=4?::af5b=83l1<7>t$50f>17>3A>8h6F;309'6d7=<2c357>5;h:b>5<>o?l3:17d?67;29?l4?93:17d<72;29?l4?;3:17d<74;29?l4?=3:17d:<8;29?l2413:17b:=b;29?j4?83:17plm0d83>c<729q/8?k540;8L15c3A>8=6*=a087?l>>2900e5o50;9j5;h:g>5<5<5<5<5<5<5f;294~"3:l0?=45G42f8L1563-8j=794i9;94?=n0h0;66g7b;29?l>d2900e5j50;9j5<1=831b>5?50;9j6=4=831b>5=50;9j6=2=831b>5;50;9j06>=831b8>750;9l07d=831d>5>50;9~fg77290m6=4?{%61a?2612B??i5G4238 7g6211b444?::k;e?6=3`2i6=44i9a94?=n0m0;66g>9683>>o5080;66g=8383>>o50:0;66g=8583>>o50<0;66g;3983>>o3;00;66a;2c83>>i5090;66smb0394?`=83:p(9o?13:17d6n:188m=d=831b4n4?::k;`?6=3`;2;7>5;h0;5?6=3`83>7>5;h0;7?6=3`8387>5;h0;1?6=3`>847>5;h60=?6=3f>9n7>5;n0;4?6=3thi=?4?:g83>5}#<;o18<74H51g?M2492.9m<48;h::>5<>o?k3:17d6k:188m4?02900e?6>:188m7>52900e?6<:188m7>32900e?6::188m15?2900e9=6:188k14e2900c?6?:188ygd6;3:1j7>50z&76`<3901C8>j4H512?!4f93>0e5750;9j5;h:`>5<5N3;m1C8>?4$3c2>2=n000;66g7a;29?l>e2900e5m50;9jo4?::m1<5<722win<;50;d94?6|,=8n69?6;I60`>N3;81/>l?57:k;=?6=3`2j6=44i9`94?=n0j0;66g7d;29?l7>?3:17d<71;29?l4?:3:17d<73;29?l4?<3:17d<75;29?l2403:17d:<9;29?j25j3:17b<70;29?xde9?0;6k4?:1y'07c=<830D9=k;I605>"5i8037d66:188m=g=831b4o4?::k;g?6=3`2o6=44i0;4>5<5<5<6=44i51;>5<5<;%0b5?0>o?j3:17d6l:188m=b=831b=4950;9j6=7=831b>5<50;9j6=5=831b>5:50;9j6=3=831b8>650;9j06?=831d8?l50;9l6=6=831vno?7:18e>5<7s->9i7:>9:J77a=O<:;0(?o>:79j<<<722c3m7>5;h:a>5<>o61>0;66g=8083>>o50;0;66g=8283>>o50=0;66g=8483>>o3;10;66g;3883>>i3:k0;66a=8183>>{ej831<7h50;2x 14b2=;27E:f2900e5l50;9j5;h3:3?6=3`83=7>5;h0;6?6=3`83?7>5;h0;0?6=3`8397>5;h60857>5;n61f?6=3f83<7>5;|`a5d<72o0;6=u+43g904?<@=9o7E:<1:&1e4<13`226=44i9c94?=n0k0;66g7c;29?l>c2900e<78:188m7>62900e?6=:188m7>42900e?6;:188m7>22900e9=7:188m15>2900c972900qol>b;29b?6=8r.?>h4;189K06b<@=9:7)1<75f29794?=n<:21<75f42;94?=h<;h1<75`29294?=zjk;h6=4<:183!25m38jj6F;3e9K067<,;k:6584i3;`>5<5<=g<5k:h65o4=c2g>=g<5k:n65o4=c2e>=g<5k;;65o4=c32>=g<5k;965o4=c30>=g<5k;?65o4=c36>=g<5k;=65o4=c34>=g<5k;365o4=c3:>=g<5k;j65o4=c3a>=g=?<5k:h6574=c2g>=?<5k:n6574=c2e>=?<5k;;6574=c32>=?<5k;96574=c30>=?<5k;?6574=c36>=?<5k;=6574=c34>=?<5k;36574=c3:>=?<5k;j6574=c3a>=??2wx>k7>:181[7bk27i=44>969~w7`>:3:1>vP>ec9>f4>=90=0q~7}Y9lk01o?8:0;4?xu5n0>1<73;2;6s|2g;6>5<5sW;n463m1282=2=z{;l2:7>52z\2a2=:j8?1=494}r0e=2<72;qU><94=c37>4?03ty9j4650;0xZ77134h:>7?67:p6c?>2909wS<>5:?a54<61>1v?h6a;296~X59=16n<>51858yv4a1k0;6?uQ20189g6a283<7p}=f8a94?4|V;;970l?e;3:3>{t:o3o6=4={_03<>;e8m0:5:5rs3d:a?6=:rT:ji52b1c95<1?2wx>ko>:1827~Xa?27if5d=:1801o>l:3:1?8d7l383>63m0d81<7=:j9l1>5<4=c33>7>534h:=7<72:?a57<50;16n<=529089g732;2970l>5;0;6>;e9?094?52b0596=4<5k;36?6=;<`2=?4?:27i=l4=839>f4d=:180q~45|Vo?01o>n:3:2?8d7j383=63m0b81<4=:j9n1>5?4=c2f>7>634h;j7<71:?a55<50816n3;0;5>;e9=094<52b0796=7<5k;=6?6>;<`23?4?927i=54=809>f4?=:1;01o?n:3:2?8d6j383=6s|2gc0>5<5sW>8m63m1b81=a=z{;lj87>527y]5=7<5ho=6<6>;809>e`?=91;01lkn:0:2?8gbj3;3=63neb82<4=:iln1=5?4=`gf>4>634knj7?71:?bb5<60816mk?519389d`5282:70oi3;3;5>;fn=0:4<52ag795=7<5hl=6<6>;809>ec?=91;01lhn:0:2?8gaj3;3=63nfb82<4=:ion1=5?4=`df>4>634kmj7?71:?a45<60816n=?519389g65282:70l?3;3;5>;e8=0:4<52b1795=7<5k:=6<6>;<`33?7?927i<54>809>f5?=91;01o?l:3;`?xu5nh?1<7=8{_3:6>;fm?0:8<52ad59517<5ho36<:>;409>e`d=9=;01lkl:062?8gbl3;?=63ned8204=:ill1=9?4=`d3>42634km=7?;1:?bb7<6<816mk=515389d`328>:70oi5;375>;fn?0:8<52ag59517<5hl36<:>;409>ecd=9=;01lhl:062?8gal3;?=63nfd8204=:iol1=9?4=c23>42634h;=7?;1:?a47<6<816n==515389g6328>:70l?5;375>;e8?0:8<52b159517<5k:36<:>;<`3=?73927if5d=<:201o>l:51;?8d7l3>8463m0d877==:j9l18>64=c33>15?34h:=7:<8:?a57<3;116n<=542:89g732=9370l>5;60<>;e9?0??552b05906><5k;369=7;<`2=?24027i=l4;399>f4d=<:20q~7}Y:;;01lh8:535?xu5nh=1<73>::6s|2gc;>5<5sW8:i63nf48753=z{;lj57>52z\15a=:io>18<84}r0eed<72;qU>1713ty9jll50;0xZ77e34km>7:>6:p6cgd2909wS<>a:?bb4<39?1v?hnd;296~X59016mk>54048yv4ail0;6?uQ25;89dca2=;=7p}=f`d94?4|V;>370oje;622>{t:oh;6=4={_073>;fmm0?=;5rs3da5?6=:rT98;52ada90402wx>kl;:181[44i27ji44;179~w7`e=3:1>vP=2g9>e`>=<8<0q~7}Y:;>01lk8:535?xu5nk=1<73>::6s|2g`;>5<5sW8>?63m088753=z{;li57>52z\114=:j9218<84}r0efd<72;qU>8>4=c24>1713ty9jol50;0xZ72a34h;:7:>6:p6cdd2909wS<;e:?a40<39?1v?hmd;296~X5i70l?2;622>{t:oi;6=4={_04f>;e880?=;5rs3d`5?6=:rT9;l52b1290402wx>km;:181[40?27jji4;179~w7`d=3:1>vP=779>ece=<8<0q~7}Y:?i01lhm:535?xu5nj=1<7::6s|2ga;>5<5sW8>:63nf88753=z{;lh57>52z\10d=:io218<84}r0egd<72?np1lk::3;:?8d7i32i70l?a;:`?8d7i32o70l?a;0;7>;e8h094952b1c96=3<5k:i65l4=c2a>=e<5k:i65j4=c2a>7>434h;n7<74:?a4g<50<16n=m58c9>f5e=0j16n=m58e9>f5e=:1901o>l:3:7?8d7k383963m0e8;f>;e8m03o63m0e8;`>;e8m094>52b1f96=2<5k:o6?6:;<`3a?>e34h;i76l;<`3a?>c34h;i7<73:?a4`<50=16n=k529789g6a21h01o>i:9a89g6a21n01o>i:3:0?8d7n383863m0g81<0=:j8:14o52b02970l>2;:a?8d6:32h70l>2;:g?8d6:383?63m1381<1=:j881>5;4=c30>=d<5k;865m4=c30>=b<5k;86?6<;<`27?4?<27i=>4=849>f42=0k16n<:58b9>f42=0m16n<:529189g732;2?70l>4;0;1>;e9<03n63m148;g>;e9<03h63m1481<6=:j8?1>5:4=c36>7>234h::76m;<`22?>d34h::76k;<`22?4?;27i=;4=859>f40=:1?01o?8:9`89g7021i01o?8:9f89g702;2870l>7;0;0>;e9>094852b0:95=4=c3;>7>334h:47<75:?a5<f4?=:1>01o?6:3:6?8d6i32i70l>a;:`?8d6i32o70l>a;0;7>;e9h094952b0c96=3<5k;i65l4=c3a>=e<5k;i65j4=c3a>7>434h:n7<74:?a5g<50<1v?hlb;296~;fm?0:5:52b1`907de`1=90=01o>l:50a?xu5njn1<74?034h;m7:=b:p6ceb2909w0oj9;3:3>;e8m0?>o5rs3d`b?6=:r7jil4>969>f5c=<;h0q~7}:ilh1=494=c2e>14e3ty9ji?50;0x9dcd283<70l>0;61f>{t:on96=4={?27i=<4;2c9~w7`c;3:1>v3ned82=2=:j8818?l4}r0e`1<72;q6mhh518589g732=8i7p}=fe794?4|5hl;6<78;<`21?25j2wx>kj9:1818ga93;2;63m12876g=z{;lo;7>52z?bb7<61>16n<8543`8yv4al10;6?u2ag195<1<5k;<699n6s|2gfb>5<5s4km97?67:?a5<<3:k1v?hkb;296~;fn?0:5:52b0c907dec1=90=01o?m:50a?xu5nmn1<74?034h;n7<70:p6cbb2909w0oi9;3:3>;e8j094=5rs3dgb?6=:r7jjl4>969>f5g=:1:0q~7}:ioh1=494=c2g>7>73ty9jh?50;0x9d`d283<70l?e;0;4>{t:oo96=4={?27iv3nfd82=2=:j8:1>5>4}r0ea1<72;q6mkh518589g762;2;7p}=fd794?4|5k:;6<78;<`26?4?82wx>kk9:1818d793;2;63m1581<5=z{;ln;7>52z?a47<61>16n<;52928yv4am10;6?u2b1195<1<5k;86?6?;|q1b`?=838p1o>;:0;4?8d6>383<6s|2ggb>5<5s4h;97?67:?a52<5091v?hjb;296~;e8?0:5:52b0:96=6f51=90=01o?6:3:3?xu5nln1<74?034h:m7<70:p6ccb2909w0l?9;3:3>;e9k094=5rs3dfb?6=9:q6n=o542;89g6e2=9270l?c;60=>;e8m0??452b1g906?<5k:m69=6;<`24?24127i=<4;389>f44=<:301o?<:51:?8d6<3>8563m14877<=:j8<18>74=c34>15>34h:47:<9:?a5<<3;016nc;15f>{zjk;o6=435|@=9:7):=e;3b`d=]9:l19v:9:00902<6=3;=6pgi1;29 1742o:0b9?=:198m``=83.?=>4i0:l757<632c:ik4?:%627?7bm2d?=?4?;:k2aa<72->:?7?je:l757<632c:in4?:%627?7bm2d?=?4=;:k2ag<72->:?7?je:l757<432c:il4?:%627?7bm2d?=?4;;:k2a<<72->:?7?je:l757<232c:i54?:%627?7bm2d?=?49;:k2a2<72->:?7?je:l757<032c9=:4?:%627?7bm2d?=?47;:k153<72->:?7?je:l757<>32c9=84?:%627?7bm2d?=?4n;:k151<72->:?7?je:l7574?:%627?7bm2d?=?4l;:k157<72->:?7?je:l757:?7?je:l7570:9j5`0=83.?=>4>ed9m044=9810ek950;&7562d?=?4?;:ke1?6=,=;86k84n531>4=5<6=44i0;1>5<5<#<891>?>4n531>4=5<#<891>?>4n531>6=5<#<891>?>4n531>0=5<#<891>?>4n531>2=26=4+40196765<#<891>?>4n531><=<6=4+40196765<#<891>?>4n531>g=>6=4+40196765<#<891>?>4n531>a=5<#<891>?>4n531>c=4;n024?:%627?42:2d?=?4?;:m114<72->:?7<:2:l757<632e99=4?:%627?42:2d?=?4=;:m10c<72->:?7<:2:l757<432e98h4?:%627?42:2d?=?4;;:m10a<72->:?7<:2:l757<232e98n4?:%627?42:2d?=?49;:m10g<72->:?7<:2:l757<032e9;o4?:%627?42:2d?=?47;:m13d<72->:?7<:2:l757<>32e9;44?:%627?42:2d?=?4n;:m13=<72->:?7<:2:l757:?7<:2:l757:?7<:2:l7570:9l61g=83.?=>4=539m044=9810no?j:182>5<7s->9i7<6a:J77a=O<:;0c?76:188ygd6n3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol=0;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd593:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol=2;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd5;3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol=4;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd5=3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol=6;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd5?3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol=8;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd513:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol=a;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd5j3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol=c;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd5l3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol=e;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd5n3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol<0;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd493:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol<2;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd4;3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol<4;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd4=3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol<6;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd4?3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol<8;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd413:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qolh4=939K06b<@=9:7):188m4?02900c9?9:188ygd4j3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qolh4=939K06b<@=9:7):188m4?02900c9?9:188ygd4l3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qolh4=939K06b<@=9:7):188m4?02900c9?9:188ygd4n3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol;0;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd393:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol;2;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd3;3:1j7>50z&76`<3901C8>j4H512?!4f93<0e5750;9j5;h:`>5<5N3;m1C8>?4$3c2>3=n000;66g7a;29?l>e2900e5m50;9jo4?::m1<5<722win9;50;d94?6|,=8n69?6;I60`>N3;81/>l?54:k;=?6=3`2j6=44i9`94?=n0j0;66g7d;29?l7>?3:17d<71;29?l4?:3:17d<73;29?l4?<3:17d<75;29?l2403:17d:<9;29?j25j3:17b<70;29?xde"5i8037d66:188m=g=831b4o4?::k;g?6=3`2o6=44i0;4>5<5<5<6=44i51;>5<5<;%0b5?0>o?j3:17d6l:188m=b=831b=4950;9j6=7=831b>5<50;9j6=5=831b>5:50;9j6=3=831b8>650;9j06?=831d8?l50;9l6=6=831vno:7:18e>5<7s->9i7:>9:J77a=O<:;0(?o>:69j<<<722c3m7>5;h:a>5<>o61>0;66g=8083>>o50;0;66g=8283>>o50=0;66g=8483>>o3;10;66g;3883>>i3:k0;66a=8183>>{ej=31<7h50;2x 14b2=;27E:f2900e5l50;9j5;h3:3?6=3`83=7>5;h0;6?6=3`83?7>5;h0;0?6=3`8397>5;h60857>5;n61f?6=3f83<7>5;|`a0d<72o0;6=u+43g904?<@=9o7E:<1:&1e4<33`226=44i9c94?=n0k0;66g7c;29?l>c2900e<78:188m7>62900e?6=:188m7>42900e?6;:188m7>22900e9=7:188m15>2900c972900qol;b;29b?6=8r.?>h4;189K06b<@=9:7)1<75f29794?=n<:21<75f42;94?=h<;h1<75`29294?=zjk>h6=4i:183!25m3>:56F;3e9K067<,;k:655f8883>>o?i3:17d6m:188m=e=831b4i4?::k2=2<722c94<4?::k1<7<722c94>4?::k1<1<722c9484?::k77=<722c??44?::m76g<722e94=4?::af1b=83l1<7>t$50f>17>3A>8h6F;309'6d7=<2c357>5;h:b>5<>o?l3:17d?67;29?l4?93:17d<72;29?l4?;3:17d<74;29?l4?=3:17d:<8;29?l2413:17b:=b;29?j4?83:17plm4d83>c<729q/8?k540;8L15c3A>8=6*=a085?l>>2900e5o50;9j5;h:g>5<5<5<5<5<5<5f;294~"3:l0?=45G42f8L1563-8j=764i9;94?=n0h0;66g7b;29?l>d2900e5j50;9j5<1=831b>5?50;9j6=4=831b>5=50;9j6=2=831b>5;50;9j06>=831b8>750;9l07d=831d>5>50;9~fg37290m6=4?{%61a?2612B??i5G4238 7g62>1b444?::k;e?6=3`2i6=44i9a94?=n0m0;66g>9683>>o5080;66g=8383>>o50:0;66g=8583>>o50<0;66g;3983>>o3;00;66a;2c83>>i5090;66smb4394?`=83:p(9o?13:17d6n:188m=d=831b4n4?::k;`?6=3`;2;7>5;h0;5?6=3`83>7>5;h0;7?6=3`8387>5;h0;1?6=3`>847>5;h60=?6=3f>9n7>5;n0;4?6=3thi9?4?:g83>5}#<;o18<74H51g?M2492.9m<49;h::>5<>o?k3:17d6k:188m4?02900e?6>:188m7>52900e?6<:188m7>32900e?6::188m15?2900e9=6:188k14e2900c?6?:188ygd2;3:1j7>50z&76`<3901C8>j4H512?!4f93<0e5750;9j5;h:`>5<5N3;m1C8>?4$3c2>3=n000;66g7a;29?l>e2900e5m50;9jo4?::m1<5<722win8;50;194?6|,=8n6?oi;I60`>N3;81/>l?5879j64j50;9l73d=831v?hi0;2956}Yn816n9=58`9>f12=0h16n9;58`9>f10=0h16n9958`9>f1>=0h16n9758`9>f1g=0h16n9l58`9>f1e=0h16n9j58`9>f1c=0h16n9h58`9>f06=0h16n8?58`9>f04=0h16n8=58`9>f02=0h1v?hi1;2956}Ymo16n9=5889>f12=0016n9;5889>f10=0016n995889>f1>=0016n975889>f1g=0016n9l5889>f1e=0016n9j5889>f1c=0016n9h5889>f06=0016n8?5889>f04=0016n8=5889>f02=001v?hi2;296~X6mo16n8:51858yv4an:0;6?uQ1df89g34283<7p}=fg694?4|V8oh70l:2;3:3>{t:ol>6=4={_3ff>;e=80:5:5rs3de2?6=:rT:il52b4295<1m6<78;|q1bc>=838pR?2wx>kh6:181[7b?27i8h4>969~w7`ai3:1>vP=169>f1b=90=0q~7}Y:8<01o:m:0;4?xu5noi1<75<5sW8:863m4882=2=z{;lmi7>52z\156=:j=21=494}r0ebc<72;qU><<4=c64>4?03ty8<=>50;0xZ76?34h?:7?67:p75662909wS?id:?a06<61>1v>>?2;296~X6n;16n9;51858yv578:0;6?uQ1d489g23283<7p}<01694?74sWl<70l;3;0;6>;e<=094?52b5796=4<5k>=6?6=;<`73?4?:27i854=839>f1?=:1801o:n:3:1?8d3j383>63m4b81<7=:j=n1>5<4=c6f>7>534h?j7<72:?a15<50;16n8?529089g352;2970l:3;0;6>;e==094?5rs2231?6=9:qUj852b5196=7<5k>?6?6>;<`71?4?927i8;4=809>f11=:1;01o:7:3:2?8d31383=63m4`81<4=:j=h1>5?4=c6`>7>634h?h7<71:?a0`<50816n9h529389g372;2:70l:1;0;5>;e=;094<52b4196=7<5k??6?6>;|q0450=838pR9=n;<`61?4>l2wx?=>8:1812~X60816n;e:;0:4<52b3195=7<5k8?6<6>;<`11?7?927i>;4>809>f71=91;01o<7:0:2?8d513;3=63m2`82<4=:j;h1=5?4=c0`>4>634h9h7?71:?a6`<60816n?h519389g57282:70l<1;3;5>;e;;0:4<52b2195=7<5k9?6<6>;<`01?7?927i?;4>809>f61=91;01o=7:0:2?8d413;3=63m3`82<4=:j:h1=5?4=c1`>4>634h8h7?71:?a7`<60816n>h519389g27282:70l;1;3;5>;e<;0:4<52b4796:70l=3;375>;e:=0:8<52b379517<5k8=6<:>;<`13?73927i>54>409>f7?=9=;01o42634h9j7?;1:?a75<6<816n>?515389g5528>:70l<3;375>;e;=0:8<52b279517<5k9=6<:>;<`03?73927i?54>409>f6?=9=;01o=n:062?8d4j3;?=63m3b8204=:j:n1=9?4=c1f>42634h8j7?;1:?a05<6<816n9?515389g2528>:70l;3;60<>;e<=0??552b57906><5k>=69=7;<`73?24027i854;399>f1?=<:201o:n:51;?8d3j3>8463m4b877==:j=n18>64=c6f>15?34h?j7:<8:?a15<3;116n8?542:89g352=9370l:3;60<>;e==0??55rs223=?6=:rT9><52b2290402wx?=>l:181[46l27i>i4;179~w667l3:1>vP=1b9>f7e=<8<0q~=?0d83>7}Y:8h01o::6s|3133>5<5sW8:563m288753=z{:::=7>52z\10<=:j;218<84}r1357<72;qU>964=c04>1713ty8<<=50;0xZ72034h9:7:>6:p75732909wS<;6:?a60<39?1v>>>5;296~X5<<16n?:54048yv579?0;6?uQ25689g442=;=7p}<00594?4|V;9j70l=2;622>{t;9;36=4={_01b>;e:80?=;5rs222=?6=:rT9>952b329040<5k;m69?9;|q044d=838pR?;<;<`76?26>2wx?=?l:181[42927i8<4;179~w666l3:1>vP=519>f16=<8<0q~=?1d83>7}Y:=l01o=i:535?xu488l1<7::6s|3103>5<5sW8?h63m3e8753=z{::9=7>52z\10f=:j:i18<84}r1367<72;qU>9l4=c1a>1713ty86:p75432909wS<8a:?a7<<39?1v>>=5;296~X5?016n>654048yv57:?0;6?uQ26:89g502=;=7p}<03594?4|V;=<70l<6;622>{t;9836=4={_042>;e;<0?=;5rs221=?6=:rT9:n52b269040l4?:3y]637<5k9869?9;|q047d=838pR?;9;<`06?26>2wx?=865l4=c60>=e<5k>865j4=c60>7>434h??7<74:?a06<50<16n9:58c9>f12=0j16n9:58e9>f12=:1901o:;:3:7?8d3<383963m448;f>;e<<03o63m448;`>;e<<094>52b5796=2<5k>>6?6:;<`72?>e34h?:76l;<`72?>c34h?:7<73:?a03<50=16n98529789g2021h01o:8:9a89g2021n01o:8:3:0?8d3?383863m4681<0=:j=214o52b5:936?6;;<`72;2>70l;a;:a?8d3i32h70l;a;:g?8d3i383?63m4`81<1=:j=k1>5;4=c6a>=d<5k>i65m4=c6a>=b<5k>i6?6<;<`7f?4?<27i8o4=849>f1e=0k16n9m58b9>f1e=0m16n9m529189g2d2;2?70l;c;0;1>;e;e5:4=c6g>7>234h?i76m;<`7a?>d34h?i76k;<`7a?4?;27i8h4=859>f1c=:1?01o:i:9`89g2a21i01o:i:9f89g2a2;2870l;f;0;0>;e5=4=c73>7>334h><7<75:?a14f07=:1>01o;>:3:6?8d2:32i70l:2;:`?8d2:32o70l:2;0;7>;e=;094952b4096=3<5k?865l4=c70>=e<5k?865j4=c70>7>434h>?7<74:?a16<50<16n8:58c9>f02=0j16n8:58e9>f02=:1901o;;:3:7?8d2<38396s|310f>5<5s4h:j7?67:?a01<3:k1v>>=f;296~;e:90:5:52b57907df77=90=01o:<:50a?xu48:;1<74?034h?:7:=b:p75552909w0l=3;3:3>;e<>0?>o5rs2207?6=:r7i>94>969>f1>=<;h0q~=?3583>7}:j;?1=494=c6:>14e3ty8<>;50;0x9g41283<70l;a;61f>{t;99=6=4={<`13?7>?27i8o4;2c9~w664?3:1>v3m2982=2=:j=n18?l4}r137=<72;q6n?7518589g2b2=8i7p}<02;94?4|5k8j6<78;<`7g?25j2wx?==n:1818d5j3;2;63m4g876g=z{::8n7>52z?a6f<61>16n8>543`8yv57;j0;6?u2b3f95<1<5k?:699n6s|311f>5<5s4h9j7?67:?a16<3:k1v>>f67=90=01o:;:3:3?xu48=;1<74?034h?97<70:p75252909w0l<3;3:3>;e<:094=5rs2277?6=:r7i?94>969>f10=:1:0q~=?4583>7}:j:?1=494=c64>7>73ty8<9;50;0x9g51283<70l;8;0;4>{t;9>=6=4={<`03?7>?27i844=819~w663?3:1>v3m3982=2=:j=k1>5>4}r130=<72;q6n>7518589g2e2;2;7p}<05;94?4|5k9j6<78;<`7`?4?82wx?=:n:1818d4j3;2;63m4d81<5=z{::?n7>52z?a7f<61>16n9m52928yv57m6?6?;|q041b=838p1o=j:0;4?8d28383<6s|316f>5<5s4h8j7?67:?a14<5091v>>;f;296~;e<90:5:52b4096=6f17=90=01o;<:3:3?xu48<;1<74?034h>87<70:p7535290:?v3m42877<=:j=>18>74=c66>15>34h?:7:<9:?a02<3;016n96542;89g2>2=9270l;a;60=>;eo69=6;<`7a?24127i8k4;389>f06=<:301o;>:51:?8d2:3>8563m52877<=:j<>18>74=c76>60e3twin8850;1f>70=;oqC8>?4$50f>4gcj2P:?k4:{54957<3?3;>6<85}hd2>5<#<891j=5a40094>=nmo0;6):>3;d3?k26:3;07d?jf;29 17428on7c:>2;28?l7bl3:1(9?<:0gf?k26:3;07d?jc;29 17428on7c:>2;08?l7bj3:1(9?<:0gf?k26:3907d?ja;29 17428on7c:>2;68?l7b13:1(9?<:0gf?k26:3?07d?j8;29 17428on7c:>2;48?l7b?3:1(9?<:0gf?k26:3=07d<>7;29 17428on7c:>2;:8?l46>3:1(9?<:0gf?k26:3307d<>5;29 17428on7c:>2;c8?l46<3:1(9?<:0gf?k26:3h07d<>3;29 17428on7c:>2;a8?l46:3:1(9?<:0gf?k26:3n07d2;g8?l7al3:1(9?<:0gf?k26:3l07d?i2;29 17428on7c:>2;33?>o6m?0;6):>3;3fa>h39;0:=65ff683>!26;3l=7c:>2;28?l`2290/8<=5f79m044=921b8>o50;9j5=7=831b8?;50;9j5<4=831d>??50;&756<5:91e8<<50:9l64`=83.?=>4=219m044=921d>4=219m044=;21d>4=219m044==21d>4=219m044=?21d>9750;&756<5:91e8<<58:9l61>=83.?=>4=219m044=121d>9950;&756<5:91e8<<5a:9l610=83.?=>4=219m044=j21d>9;50;&756<5:91e8<<5c:9l612=83.?=>4=219m044=l21d>>o50;&756<5:91e8<<5e:9l67`=83.?=>4=219m044=n21d>?:50;&756<5:91e8<<51198k77?290/8<=52328j17528;07b<:3;29 1742;?97c:>2;28?j4293:1(9?<:371?k26:3;07b<:0;29 1742;?97c:>2;08?j43n3:1(9?<:371?k26:3907b<;e;29 1742;?97c:>2;68?j43l3:1(9?<:371?k26:3?07b<;c;29 1742;?97c:>2;48?j43j3:1(9?<:371?k26:3=07b<8b;29 1742;?97c:>2;:8?j40i3:1(9?<:371?k26:3307b<89;29 1742;?97c:>2;c8?j4003:1(9?<:371?k26:3h07b<87;29 1742;?97c:>2;a8?j40>3:1(9?<:371?k26:3n07b<9c;29 1742;?97c:>2;g8?j4193:1(9?<:371?k26:3l07b<:6;29 1742;?97c:>2;33?>i53;066>h39;0:=65mb4594?7=83:p(96F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbc7:>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjk?j6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbc7a>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjk?h6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbc7g>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjk?n6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbc7e>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjk<;6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbc42>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjk<96=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbc40>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjk6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbc46>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjk<=6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbc44>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjk<36=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbc4:>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjk:56F;3e9K067<,;k:695f8883>>o?i3:17d6m:188m=e=831b4i4?::k2=2<722c94<4?::k1<7<722c94>4?::k1<1<722c9484?::k77=<722c??44?::m76g<722e94=4?::af3d=83l1<7>t$50f>17>3A>8h6F;309'6d7=?2c357>5;h:b>5<>o?l3:17d?67;29?l4?93:17d<72;29?l4?;3:17d<74;29?l4?=3:17d:<8;29?l2413:17b:=b;29?j4?83:17plm6b83>c<729q/8?k540;8L15c3A>8=6*=a087?l>>2900e5o50;9j5;h:g>5<5<5<5<5<5<5f;294~"3:l0?=45G42f8L1563-8j=784i9;94?=n0h0;66g7b;29?l>d2900e5j50;9j5<1=831b>5?50;9j6=4=831b>5=50;9j6=2=831b>5;50;9j06>=831b8>750;9l07d=831d>5>50;9~fg0b290m6=4?{%61a?2612B??i5G4238 7g62?1b444?::k;e?6=3`2i6=44i9a94?=n0m0;66g>9683>>o5080;66g=8383>>o50:0;66g=8583>>o50<0;66g;3983>>o3;00;66a;2c83>>i5090;66smb7d94?`=83:p(9o?13:17d6n:188m=d=831b4n4?::k;`?6=3`;2;7>5;h0;5?6=3`83>7>5;h0;7?6=3`8387>5;h0;1?6=3`>847>5;h60=?6=3f>9n7>5;n0;4?6=3thi;=4?:g83>5}#<;o18<74H51g?M2492.9m<47;h::>5<>o?k3:17d6k:188m4?02900e?6>:188m7>52900e?6<:188m7>32900e?6::188m15?2900e9=6:188k14e2900c?6?:188ygd093:1j7>50z&76`<3901C8>j4H512?!4f93>0e5750;9j5;h:`>5<5N3;m1C8>?4$3c2>1=n000;66g7a;29?l>e2900e5m50;9jo4?::m1<5<722win:=50;d94?6|,=8n69?6;I60`>N3;81/>l?56:k;=?6=3`2j6=44i9`94?=n0j0;66g7d;29?l7>?3:17d<71;29?l4?:3:17d<73;29?l4?<3:17d<75;29?l2403:17d:<9;29?j25j3:17b<70;29?xde?=0;6k4?:1y'07c=<830D9=k;I605>"5i80?7d66:188m=g=831b4o4?::k;g?6=3`2o6=44i0;4>5<5<5<6=44i51;>5<5<;%0b5?1>o?j3:17d6l:188m=b=831b=4950;9j6=7=831b>5<50;9j6=5=831b>5:50;9j6=3=831b8>650;9j06?=831d8?l50;9l6=6=831vno99:18e>5<7s->9i7:>9:J77a=O<:;0(?o>:79j<<<722c3m7>5;h:a>5<>o61>0;66g=8083>>o50;0;66g=8283>>o50=0;66g=8483>>o3;10;66g;3883>>i3:k0;66a=8183>>{ej>=1<7h50;2x 14b2=;27E:f2900e5l50;9j5;h3:3?6=3`83=7>5;h0;6?6=3`83?7>5;h0;0?6=3`8397>5;h60857>5;n61f?6=3f83<7>5;|`a3=<72o0;6=u+43g904?<@=9o7E:<1:&1e4<03`226=44i9c94?=n0k0;66g7c;29?l>c2900e<78:188m7>62900e?6=:188m7>42900e?6;:188m7>22900e9=7:188m15>2900c972900qol89;29b?6=8r.?>h4;189K06b<@=9:7)1<75f29794?=n<:21<75f42;94?=h<;h1<75`29294?=zjk=j6=4i:183!25m3>:56F;3e9K067<,;k:655f8883>>o?i3:17d6m:188m=e=831b4i4?::k2=2<722c94<4?::k1<7<722c94>4?::k1<1<722c9484?::k77=<722c??44?::m76g<722e94=4?::af2d=83l1<7>t$50f>17>3A>8h6F;309'6d7=>2c357>5;h:b>5<>o?l3:17d?67;29?l4?93:17d<72;29?l4?;3:17d<74;29?l4?=3:17d:<8;29?l2413:17b:=b;29?j4?83:17plm7b83>6<729q/8?k52`d8L15c3A>8=6*=a08;2>o51j0;66g=9e83>>i4>k0;66s|3170>5<6;rTm=63m6`8;e>;e>k03m63m6b8;e>;e>m03m63m6d8;e>;e>o03m63m718;e>;e?803m63m738;e>;e?:03m63m758;e>;e?<03m63m778;e>;e?>03m63m798;e>;e?003m63m7`8;e>;e?k03m6s|3177>5<6;rTnj63m6`8;=>;e>k03563m6b8;=>;e>m03563m6d8;=>;e>o03563m718;=>;e?803563m738;=>;e?:03563m758;=>;e?<03563m778;=>;e?>03563m798;=>;e?003563m7`8;=>;e?k0356s|3176>5<5sW;nj63m7c82=2=z{::>:7>52z\2aa=:j>k1=494}r1312<72;qU=hm4=c5:>4?03ty8<8650;0xZ4ce34h<47?67:p753>2909wS?ja:?a32<61>1v>>:a;296~X6m016n:851858yv57=k0;6?uQ1d:89g14283<7p}<04a94?4|V8o<70l85;3:3>{t;9?o6=4={_023>;e?=0:5:5rs226a?6=:rT9=;52b6095<1?2wx?=8>:181[46;27i:k4>969~w661:3:1>vP=139>f3c=90=0q~=?6283>7}Y:9201o8k:0;4?xu48?>1<75<5sW;m>63m6b82=2=z{::=:7>52z\2a3=:j?h1=494}r1322<7289pRk94=c4b>7>534h=n7<72:?a2f<50;16n;j529089g0b2;2970l9f;0;6>;e?9094?52b6396=4<5k=96?6=;<`47?4?:27i;94=839>f23=:1801o99:3:1?8d0?383>63m7981<7=:j>31>5<4=c5b>7>534h;e>l094<52b7d96=7<5k=;6?6>;<`45?4?927i;?4=809>f25=:1;01o9;:3:2?8d0=383=63m7781<4=:j>=1>5?4=c5;>7>634h<57<71:?a3d<50816n:l52938yv57>00;6?uQ42c89g1d2;3o7p}<07c94?73sW;3=63m5982<4=:j<31=5?4=c7b>4>634h>n7?71:?a1f<60816n8j519389g3b282:70l:f;3;5>;e>90:4<52b7395=7<5k<96<6>;<`57?7?927i:94>809>f33=91;01o89:0:2?8d1?3;3=63m6982<4=:j?31=5?4=c5`>7?d3ty8<;l50;06[7>:27i954>409>f0?=9=;01o;n:062?8d2j3;?=63m5b8204=:j42634h>j7?;1:?a25<6<816n;?515389g0528>:70l93;375>;e>=0:8<52b779517<5k<=6<:>;<`53?73927i:54>409>f3?=9=;01o8n:51;?8d1j3>8463m6b877==:j?n18>64=c4f>15?34h=j7:<8:?a35<3;116n:?542:89g152=9370l83;60<>;e?=0??552b67906><5k==69=7;<`43?24027i;54;399>f2?=<:201o9n:51;?8d0j3>846s|314`>5<5sW8>?63m688753=z{::=h7>52z\114=:j?218<84}r132`<72;qU>8>4=c44>1713ty8<;h50;0xZ72a34h=:7:>6:p75172909wS<;e:?a20<39?1v>>81;296~X5i70l92;622>{t;9=?6=4={_04f>;e>80?=;5rs2241?6=:rT9;l52b7290402wx?=97:181[40?27i9i4;179~w66013:1>vP=779>f0e=<8<0q~=?7`83>7}Y:?i01o;m:535?xu48>h1<7::6s|315`>5<5sW8>:63m588753=z{::52z\10d=:j<218<84}r133`<72?np1o;8:3;:?8d1i32i70l9a;:`?8d1i32o70l9a;0;7>;e>h094952b7c96=3<5k=e<5k7>434h=n7<74:?a2g<50<16n;m58c9>f3e=0j16n;m58e9>f3e=:1901o8l:3:7?8d1k383963m6e8;f>;e>m03o63m6e8;`>;e>m094>52b7f96=2<5ke34h=i76l;<`5a?>c34h=i7<73:?a2`<50=16n;k529789g0a21h01o8i:9a89g0a21n01o8i:3:0?8d1n383863m6g81<0=:j>:14o52b629:14i52b6296=5<5k=;6?6;;<`44?4?=27i;<47b:?a3470l82;:a?8d0:32h70l82;:g?8d0:383?63m7381<1=:j>81>5;4=c50>=d<5k=865m4=c50>=b<5k=86?6<;<`47?4?<27i;>4=849>f22=0k16n::58b9>f22=0m16n::529189g132;2?70l84;0;1>;e?<03n63m748;g>;e?<03h63m7481<6=:j>?1>5:4=c56>7>234h<:76m;<`42?>d34h<:76k;<`42?4?;27i;;4=859>f20=:1?01o98:9`89g1021i01o98:9f89g102;2870l87;0;0>;e?>094852b6:9214n52b6:921>5=4=c5;>7>334h<47<75:?a3<f2?=:1>01o96:3:6?8d0i32i70l8a;:`?8d0i32o70l8a;0;7>;e?h094952b6c96=3<5k=i65l4=c5a>=e<5k=i65j4=c5a>7>434h>8f;296~;e=10:5:52b7`96=6f0?=90=01o8l:3:3?xu481;1<74?034h=m7<70:p75>52909w0l:b;3:3>;e>m094=5rs22;7?6=:r7i9n4>969>f3c=:1:0q~=?8583>7}:j7>73ty8<5;50;0x9g3b283<70l80;0;4>{t;92=6=4={<`6b?7>?27i;<4=819~w66??3:1>v3m6182=2=:j>81>5>4}r13<=<72;q6n;?518589g132;2;7p}<09;94?4|5k<96<78;<`41?4?82wx?=6n:1818d1;3;2;63m7281<5=z{::3n7>52z?a21<61>16n:852928yv570j0;6?u2b7795<1<5k=<6?6?;|q04=b=838p1o89:0;4?8d00383<6s|31:f>5<5s4h=;7?67:?a3<<5091v>>7f;296~;e>10:5:52b6c96=6f3?=90=01o9m:3:3?xu480;1<7?<{<`5e?24127i:o4;389>f3e=<:301o8k:51:?8d1m3>8563m6g877<=:j>:18>74=c52>15>34h<>7:<9:?a36<3;016n::542;89g122=9270l86;60=>;e?>0??452b6:906?<5k=269=6;<`4e?24127i;o4;389>f2e=;?h0qplm7e83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm7d83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm7g83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8183>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8083>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8383>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8283>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8583>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8483>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8783>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8683>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8983>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8883>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8`83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8c83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8b83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8e83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8d83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8g83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9183>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9083>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9383>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9283>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9583>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9483>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9783>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9683>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9983>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9883>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9`83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9c83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9b83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9e83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9d83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9g83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plma183>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plma083>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plma383>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plma283>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plma583>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plma483>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plma783>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plma683>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plma983>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plma883>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plma`83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plmac83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plmab83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plmae83>6e=:?08hvF;309'07c=9hnh7W?4je:l757<732c:ik4?:%627?7bm2d?=?4?;:k2aa<72->:?7?je:l757<632c:in4?:%627?7bm2d?=?4=;:k2ag<72->:?7?je:l757<432c:il4?:%627?7bm2d?=?4;;:k2a<<72->:?7?je:l757<232c:i54?:%627?7bm2d?=?49;:k2a2<72->:?7?je:l757<032c9=:4?:%627?7bm2d?=?47;:k153<72->:?7?je:l757<>32c9=84?:%627?7bm2d?=?4n;:k151<72->:?7?je:l7574?:%627?7bm2d?=?4l;:k157<72->:?7?je:l757:?7?je:l7570:9j5`0=83.?=>4>ed9m044=9810ek;50;&756:?7<=0:l757<732e9=k4?:%627?4582d?=?4>;:m15`<72->:?7<=0:l757<532e9=i4?:%627?4582d?=?4<;:m15f<72->:?7<=0:l757<332e9=o4?:%627?4582d?=?4:;:m15d<72->:?7<=0:l757<132e9=44?:%627?4582d?=?48;:m10<<72->:?7<=0:l757:?7<=0:l757:?7<=0:l757:?7<=0:l757k4?:%627?4582d?=?4i;:m161<72->:?7<=0:l757<6821d><650;&756<5:91e8<<51098k734290/8<=52408j1752910c?;>:18'045=:<80b9?=:098k737290/8<=52408j1752;10c?:i:18'045=:<80b9?=:298k72b290/8<=52408j1752=10c?:k:18'045=:<80b9?=:498k72d290/8<=52408j1752?10c?:m:18'045=:<80b9?=:698k71e290/8<=52408j1752110c?9n:18'045=:<80b9?=:898k71>290/8<=52408j1752h10c?97:18'045=:<80b9?=:c98k710290/8<=52408j1752j10c?99:18'045=:<80b9?=:e98k70d290/8<=52408j1752l10c?8>:18'045=:<80b9?=:g98k731290/8<=52408j17528:07b<;a;29 1742;?97c:>2;32?>deil0;6<4?:1y'07c=:0k0D9=k;I605>i5100;66smb`d94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{ejk:1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smbc394?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{ejk81<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smbc194?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{ejk>1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smbc794?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{ejk<1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smbc594?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{ejk21<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smbc;94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{ejkk1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smbc`94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{ejki1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smbcf94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{ejko1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smbcd94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{ejj:1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smbb394?`=83:p(9o?13:17d6n:188m=d=831b4n4?::k;`?6=3`;2;7>5;h0;5?6=3`83>7>5;h0;7?6=3`8387>5;h0;1?6=3`>847>5;h60=?6=3f>9n7>5;n0;4?6=3thio?4?:g83>5}#<;o18<74H51g?M2492.9m<49;h::>5<>o?k3:17d6k:188m4?02900e?6>:188m7>52900e?6<:188m7>32900e?6::188m15?2900e9=6:188k14e2900c?6?:188ygdd;3:1j7>50z&76`<3901C8>j4H512?!4f93<0e5750;9j5;h:`>5<5N3;m1C8>?4$3c2>3=n000;66g7a;29?l>e2900e5m50;9jo4?::m1<5<722winn;50;d94?6|,=8n69?6;I60`>N3;81/>l?56:k;=?6=3`2j6=44i9`94?=n0j0;66g7d;29?l7>?3:17d<71;29?l4?:3:17d<73;29?l4?<3:17d<75;29?l2403:17d:<9;29?j25j3:17b<70;29?xdek?0;6k4?:1y'07c=<830D9=k;I605>"5i80=7d66:188m=g=831b4o4?::k;g?6=3`2o6=44i0;4>5<5<5<6=44i51;>5<5<;%0b5?0>o?j3:17d6l:188m=b=831b=4950;9j6=7=831b>5<50;9j6=5=831b>5:50;9j6=3=831b8>650;9j06?=831d8?l50;9l6=6=831vnom7:18e>5<7s->9i7:>9:J77a=O<:;0(?o>:79j<<<722c3m7>5;h:a>5<>o61>0;66g=8083>>o50;0;66g=8283>>o50=0;66g=8483>>o3;10;66g;3883>>i3:k0;66a=8183>>{ejj31<7h50;2x 14b2=;27E:f2900e5l50;9j5;h3:3?6=3`83=7>5;h0;6?6=3`83?7>5;h0;0?6=3`8397>5;h60857>5;n61f?6=3f83<7>5;|`agd<72o0;6=u+43g904?<@=9o7E:<1:&1e4<13`226=44i9c94?=n0k0;66g7c;29?l>c2900e<78:188m7>62900e?6=:188m7>42900e?6;:188m7>22900e9=7:188m15>2900c972900qollb;29b?6=8r.?>h4;189K06b<@=9:7)1<75f29794?=n<:21<75f42;94?=h<;h1<75`29294?=zjkih6=4i:183!25m3>:56F;3e9K067<,;k:6;5f8883>>o?i3:17d6m:188m=e=831b4i4?::k2=2<722c94<4?::k1<7<722c94>4?::k1<1<722c9484?::k77=<722c??44?::m76g<722e94=4?::affb=83l1<7>t$50f>17>3A>8h6F;309'6d7=>2c357>5;h:b>5<>o?l3:17d?67;29?l4?93:17d<72;29?l4?;3:17d<74;29?l4?=3:17d:<8;29?l2413:17b:=b;29?j4?83:17plmcd83>c<729q/8?k540;8L15c3A>8=6*=a085?l>>2900e5o50;9j5;h:g>5<5<5<5<5<5<5f;294~"3:l0?=45G42f8L1563-8j=784i9;94?=n0h0;66g7b;29?l>d2900e5j50;9j5<1=831b>5?50;9j6=4=831b>5=50;9j6=2=831b>5;50;9j06>=831b8>750;9l07d=831d>5>50;9~fgb7290m6=4?{%61a?2612B??i5G4238 7g62?1b444?::k;e?6=3`2i6=44i9a94?=n0m0;66g>9683>>o5080;66g=8383>>o50:0;66g=8583>>o50<0;66g;3983>>o3;00;66a;2c83>>i5090;66smbe394?`=83:p(9o?13:17d6n:188m=d=831b4n4?::k;`?6=3`;2;7>5;h0;5?6=3`83>7>5;h0;7?6=3`8387>5;h0;1?6=3`>847>5;h60=?6=3f>9n7>5;n0;4?6=3thih?4?:g83>5}#<;o18<74H51g?M2492.9m<4;;h::>5<>o?k3:17d6k:188m4?02900e?6>:188m7>52900e?6<:188m7>32900e?6::188m15?2900e9=6:188k14e2900c?6?:188ygdc;3:1?7>50z&76`<5io1C8>j4H512?!4f932=7d<6c;29?l4>l3:17b=9b;29?xu48081<7?<{_ge?8dd932270ll2;::?8dd;32270ll4;::?8dd=32270ll6;::?8dd?32270ll8;::?8dd132270lla;::?8ddj32270llc;::?8ddl32270lle;::?8ddn32270lk0;::?8dc932270lk2;::?xu48091<75<5sW;nh63md082=2=z{::297>52z\2af=:jm:1=494}r13=3<72;qU=hl4=cae>4?03ty8<4950;0xZ4cf34hhi7?67:p75??2909wS?j9:?aga<61>1v>>69;296~X6m116nno51858yv571h0;6?uQ1d589ged283<7p}<08`94?4|V;;<70llb;3:3>{t;93h6=4={_022>;ek00:5:5rs22:`?6=:rT9=852bb:95<1?2wx?=o?:181[46:27io84>969~w66f93:1>vP=099>ff2=90=0q~=?a383>7}Y9on01om>:0;4?xu48h91<75<5sW;n:63mc382=2=z{::j97>512y]b0=:jj;1>5?4=ca1>7>634hh?7<71:?ag1<50816nn;529389ge12;2:70ll7;0;5>;ek1094<52bb;96=7<5kij6?6>;<``f?4?927ion4=809>ffb=:1;01omj:3:2?8ddn383=63md181<4=:jm;1>5?4=cf1>7>63ty8809>fd`=91;01ol?:0:2?8de93;3=63mb382<4=:jk91=5?4=c`7>4>634hi97?71:?af3<60816no9519389gd?282:70lm9;3;5>;ejh0:4<52bc`95=7<5khh6<6>;<`a`?7?927inh4>809>fg`=91;01om?:0:2?8dc;382o6s|31c;>5<5=rT:5?52b`d9517<5kh;6<:>;<`a5?73927in?4>409>fg5=9=;01ol;:062?8de=3;?=63mb78204=:jk=1=9?4=c`;>42634hi57?;1:?afd<6<816nol515389gdd28>:70lmd;375>;ejl0:8<52bcd9517<5ki;6<:>;<``5?24027io?4;399>ff5=<:201om;:51;?8dd=3>8463mc7877==:jj=18>64=ca;>15?34hh57:<8:?agd<3;116nnl542:89ged2=9370lld;60<>;ekl0??552bbd906><5kn;69=7;<`g5?24027ih?4;399~w66f13:1>vP=529>ff6=<8<0q~=?a`83>7}Y:<;01oli:535?xu48hh1<7::6s|31c`>5<5sW8?j63mbe8753=z{::jh7>52z\10`=:jki18<84}r13e`<72;qU>9j4=c`a>1713ty86:p75d72909wS<;b:?af<<39?1v>>m1;296~X5?k16no654048yv57j;0;6?uQ26c89gd02=;=7p}<0c194?4|V;=270lm6;622>{t;9h?6=4={_04<>;ej<0?=;5rs22a1?6=:rT9;:52bc690402wx?=l7:181[41927in<4;179~w66e13:1>vP=579>fg6=<8<0q~=?b`83>7}Y:=k01ooi:535?xu48kh1<77>{<`ba?4>127io<47a:?ag4ff7=:1901om>:3:7?8dd9383963mc38;e>;ek;03n63mc38;g>;ek;03h63mc381<7=:jj81>5=4=ca1>7>334hh>7<75:?ag647b:?ag647d:?ag6<50;16nn=529189ge42;2?70ll3;0;1>;ek=03m63mc58;f>;ek=03o63mc58;`>;ek=094?52bb696=5<5ki?6?6;;<``0?4?=27io847a:?ag0ff3=:1901om::3:7?8dd=383963mc78;e>;ek?03n63mc78;g>;ek?03h63mc781<7=:jj<1>5=4=ca5>7>334hh:7<75:?ag2;ek103m63mc98;f>;ek103o63mc98;`>;ek1094?52bb:96=5<5ki36?6;;<``ff?=:1901om6:3:7?8dd1383963mc`8;e>;ekh03n63mc`8;g>;ekh03h63mc`81<7=:jjk1>5=4=cab>7>334hhm7<75:?agg;ekj03m63mcb8;f>;ekj03o63mcb8;`>;ekj094?52bba96=5<5kih6?6;;<``g?4?=27ioi47a:?agaffb=:1901omk:3:7?8ddl383963mcd8;e>;ekl03n63mcd8;g>;ekl03h63mcd81<7=:jjo1>5=4=caf>7>334hhi7<75:?agc;el903m63md18;f>;el903o63md18;`>;el9094?52be296=5<5kn;6?6;;<`g4?4?=27ih<47a:?a`4fa7=:1901oj>:3:7?8dc9383963md38;e>;el;03n63md38;g>;el;03h63md381<7=:jm81>5=4=cf1>7>334ho>7<75:p75dd2909w0lnf;3:3>;ek;094=5rs22a`?6=:r7in=4>969>ff5=:1:0q~=?bd83>7}:jk;1=494=ca2>7>73ty8{t;9i;6=4={<`a7?7>?27io84=819~w66d93:1>v3mb582=2=:jj<1>5>4}r13g7<72;q6no;518589ge02;2;7p}<0b194?4|5kh=6<78;<``52z?af=<61>16nnl52928yv57k?0;6?u2bc;95<1<5kih6?6?;|q04f1=838p1oln:0;4?8ddi383<6s|31a;>5<5s4hin7?67:?aga<5091v>>l9;296~;ejj0:5:52bbg96=6fgb=90=01omi:3:3?xu48jh1<74?034ho<7<70:p75ed2909w0lmf;3:3>;el8094=5rs22``?6=:r7io=4>969>fa4=:1:0q~=?cd83>45|5ki:69=6;<``6?24127io>4;389>ff2=<:301om::51:?8dd>3>8563mc6877<=:jj218>74=ca:>15>34hhm7:<9:?agg<3;016nnm542;89gec2=9270lle;60=>;eko0??452be2906?<5kn:69=6;<`g6?24127ih>4<6c9~ygdc<3:1?7>50z&76`<3;j1C8>j4H512?!50?3;ji:5f18394?=n:hk1<75`37`94?=zjkn>6=4<:183!25m3>8o6F;3e9K067<,:=<6N3;81/?:951`g4?l7>93:17d6<729q/8?k542a8L15c3A>8=6*<7682e`15<;%143?7fm>1b=4?50;9j6dg=831d?;l50;9~fgb>29086=4?{%61a?24k2B??i5G4238 61028kn;6g>9083>>o5ih0;66a<6c83>>{ejmk1<7;50;2x 14b2;h27E:=1=lk8;h3:5?6=3`85;h610?6=3f9=n7>5;n0bf?6=3thiho4?:583>5}#<;o1>oo4H51g?M2492.8;:4>ad58m4?62900e?9j:188m1432900c?om:188ygdck3:187>50z&76`<5jh1C8>j4H512?!50?3;ji:5f18394?=n:>o1<75f43694?=h:hh1<75rbcfg>5<3290;w):=e;0ae>N3;m1C8>?4$254>4gb?2c:5<4?::k13`<722c?>94?::m1eg<722winik50;694?6|,=8n6?ln;I60`>N3;81/?:951`g4?l7>93:17d<8e;29?l25<3:17b"4?>0:mh94i0;2>5<5<;%0b5?4:6=44i0:2>5<5<55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::af`4=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qolj3;291?6=8r.?>h4=959K06b<@=9:7)=87;3ba2=#:h;1>6g>4083>>o6080;66g>9683>>o3910;66a;1783>>{ejl>1<7;50;2x 14b2;3?7E:=1=lk8;%0b5?4:6=44i0:2>5<5<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722winh850;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188ygdb?3:197>50z&76`<51=1C8>j4H512?!50?3;ji:5+2`396>o6<80;66g>8083>>o61>0;66g;1983>>i39?0;66smbd:94?3=83:p(95<5<5<55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::af`g=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qoljb;291?6=8r.?>h4=959K06b<@=9:7)=87;3ba2=#:h;1>6g>4083>>o6080;66g>9683>>o3910;66a;1783>>{ejli1<7;50;2x 14b2;3?7E:=1=lk8;%0b5?4:6=44i0:2>5<5<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722winhk50;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188ygdbn3:197>50z&76`<51=1C8>j4H512?!50?3;ji:5+2`396>o6<80;66g>8083>>o61>0;66g;1983>>i39?0;66smbg294?3=83:p(95<5<5<55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::afc4=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qoli3;291?6=8r.?>h4=959K06b<@=9:7)=87;3ba2=#:h;1>6g>4083>>o6080;66g>9683>>o3910;66a;1783>>{ejo>1<7;50;2x 14b2;3?7E:=1=lk8;%0b5?4:6=44i0:2>5<5<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722wink850;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188ygda?3:197>50z&76`<51=1C8>j4H512?!50?3;ji:5+2`396>o6<80;66g>8083>>o61>0;66g;1983>>i39?0;66smbg:94?3=83:p(95<5<5<55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::afcg=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qolib;291?6=8r.?>h4=959K06b<@=9:7)=87;3ba2=#:h;1>6g>4083>>o6080;66g>9683>>o3910;66a;1783>>{ejoi1<7;50;2x 14b2;3?7E:=1=lk8;%0b5?4:6=44i0:2>5<5<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722winkk50;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188ygdan3:197>50z&76`<51=1C8>j4H512?!50?3;ji:5+2`396>o6<80;66g>8083>>o61>0;66g;1983>>i39?0;66smc1294?3=83:p(95<5<5<55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::ag54=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qom?3;291?6=8r.?>h4=959K06b<@=9:7)=87;3ba2=#:h;1>6g>4083>>o6080;66g>9683>>o3910;66a;1783>>{ek9>1<7;50;2x 14b2;3?7E:=1=lk8;%0b5?4:6=44i0:2>5<5<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722wio=850;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188yge7?3:197>50z&76`<51=1C8>j4H512?!50?3;ji:5+2`396>o6<80;66g>8083>>o61>0;66g;1983>>i39?0;66smc1:94?3=83:p(95<5<5<55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::ag5g=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qom?b;291?6=8r.?>h4=959K06b<@=9:7)=87;3ba2=#:h;1>6g>4083>>o6080;66g>9683>>o3910;66a;1783>>{ek9i1<7;50;2x 14b2;3?7E:=1=lk8;%0b5?4:6=44i0:2>5<5<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722wio=k50;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188yge7n3:197>50z&76`<51=1C8>j4H512?!50?3;ji:5+2`396>o6<80;66g>8083>>o61>0;66g;1983>>i39?0;66smc0294?3=83:p(95<5<5<55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::ag44=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qom>3;291?6=8r.?>h4=959K06b<@=9:7)=87;3ba2=#:h;1>6g>4083>>o6080;66g>9683>>o3910;66a;1783>>{ek8>1<7;50;2x 14b2;3?7E:=1=lk8;%0b5?4:6=44i0:2>5<5<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722wio<850;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188yge6?3:197>50z&76`<51=1C8>j4H512?!50?3;ji:5+2`396>o6<80;66g>8083>>o61>0;66g;1983>>i39?0;66smc0:94?3=83:p(95<5<5<55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::ag4g=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qom>b;291?6=8r.?>h4=959K06b<@=9:7)=87;3ba2=#:h;1>6g>4083>>o6080;66g>9683>>o3910;66a;1783>>{ek8i1<7;50;2x 14b2;3?7E:=1=lk8;%0b5?4:6=44i0:2>5<5<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722wioN3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188yge6n3:197>50z&76`<51=1C8>j4H512?!50?3;ji:5+2`396>o6<80;66g>8083>>o61>0;66g;1983>>i39?0;66smc3294?3=83:p(95<5<5<55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::ag74=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qom=3;291?6=8r.?>h4=959K06b<@=9:7)=87;3ba2=#:h;1>6g>4083>>o6080;66g>9683>>o3910;66a;1783>>{ek;>1<7;50;2x 14b2;3?7E:=1=lk8;%0b5?4:6=44i0:2>5<5<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722wio?850;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188yge5?3:197>50z&76`<51=1C8>j4H512?!50?3;ji:5+2`396>o6<80;66g>8083>>o61>0;66g;1983>>i39?0;66smc3:94?3=83:p(95<5<5<55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::ag7g=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qom=b;291?6=8r.?>h4=959K06b<@=9:7)=87;3ba2=#:h;1>6g>4083>>o6080;66g>9683>>o3910;66a;1783>>{ek;i1<7;50;2x 14b2;3?7E:=1=lk8;%0b5?4:6=44i0:2>5<5<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722wio?k50;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188yge5n3:197>50z&76`<51=1C8>j4H512?!50?3;ji:5+2`396>o6<80;66g>8083>>o61>0;66g;1983>>i39?0;66smc2294?3=83:p(95<5<5<55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::ag64=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qom<3;291?6=8r.?>h4=959K06b<@=9:7)=87;3ba2=#:h;1>6g>4083>>o6080;66g>9683>>o3910;66a;1783>>{ek:>1<7;50;2x 14b2;3?7E:=1=lk8;%0b5?4:6=44i0:2>5<5<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722wio>850;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188yge4?3:197>50z&76`<51=1C8>j4H512?!50?3;ji:5+2`396>o6<80;66g>8083>>o61>0;66g;1983>>i39?0;66smc2:94?3=83:p(95<5<5<55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::ag6g=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qomh4=959K06b<@=9:7)=87;3ba2=#:h;1>6g>4083>>o6080;66g>9683>>o3910;66a;1783>>{ek:i1<7;50;2x 14b2;3?7E:=1=lk8;%0b5?4:6=44i0:2>5<5<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722wio>k50;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188yge4n3:197>50z&76`<51=1C8>j4H512?!50?3;ji:5+2`396>o6<80;66g>8083>>o61>0;66g;1983>>i39?0;66smc5294?5=83:p(95;h0be?6=3f9=n7>5;|``04<72:0;6=u+43g906e<@=9o7E:<1:&032<6il=0e<7>:188m7gf2900c>8m:188yge3:3:1?7>50z&76`<3;j1C8>j4H512?!50?3;ji:5f18394?=n:hk1<75`37`94?=zjj>86=4<:183!25m3>8o6F;3e9K067<,:=<6N3;81/?:951`g4?l7>93:17d0<729q/8?k52c;8L15c3A>8=6*<7682e`15<5<;%143?7fm>1b=4?50;9j62c=831b8?:50;9l6dd=831vnn:8:187>5<7s->9i798:0cf3>o6180;66g=7d83>>o3:=0;66a=ac83>>{ek=21<7:50;2x 14b2;hj7E:=1=lk8;h3:5?6=3`85;h610?6=3f8jn7>5;|``0<<72=0;6=u+43g96gg<@=9o7E:<1:&032<6il=0e<7>:188m71b2900e9<;:188k7ge2900qom;a;290?6=8r.?>h4=b99K06b<@=9:7)=87;3ba2=n90;1<75f26g94?=n<;>1<75`37`94?=zjj>i6=4::183!25m38i=6F;3e9K067<,;k:6?7>;%620?23n2c95n4?::k1=a<722c95h4?::k1=c<722e8:o4?::ag1e=83>1<7>t$50f>7d73A>8h6F;309'6d7=011b>4m50;9j64k50;9l73d=831vnn:k:186>5<7s->9i7:6`8 1732=>27d<6c;29?l4>l3:17d<6e;29?l4>n3:17b=9b;29?xdd"5i80i7d<6c;29?l4>l3:17d<6e;29?j51j3:17pll4g83>0<729q/8?k52c38L15c3A>8=6*=a08;3>"39=0?8i5f28a94?=n:0n1<75f28g94?=n:0l1<75`37`94?=zjj?;6=49:183!25m38i>6F;3e9K067<,;k:6<6l;%620?2312c95n4?::k1=a<722c95h4?::k1=c<722c9m=4?::m02g<722wio8?50;194?6|,=8n6?oi;I60`>N3;81/>l?57e9j64j50;9l73d=831vnn;=:187>5<7s->9i7:908 1732=>37d<6c;29?l4>l3:17d<6e;29?j51j3:17pll5283>1<729q/8?k52c28L15c3A>8=6*=a082===#<8>189h4i3;`>5<5<;%0b5?1c3`82o7>5;h0:`?6=3f9=n7>5;|``10<72=0;6=u+43g96g6<@=9o7E:<1:&1e4<50l1/8<:545f8m7?d2900e?7k:188m7?b2900c>8m:188yge2>3:1:7>50z&76`<5j;1C8>j4H512?!4f9330e?7l:188m7?c2900e?7j:188m7?a2900e?o?:188k60e2900qom:7;290?6=8r.?>h4=b19K06b<@=9:7)"39=0?8h5f28a94?=n:0n1<75f28g94?=h;?h1<75rbb7;>5<3290;w):=e;0a4>N3;m1C8>?4$3c2>`=n:0i1<75f28f94?=n:0o1<75`37`94?=zjj?26=4<:183!25m38jj6F;3e9K067<,;k:6584i3;`>5<5<m7>53;294~"3:l09mk5G42f8L1563-8j=769;h0:g?6=3`82h7>5;n15f?6=3thh9o4?:483>5}#<;o1>o?4H51g?M2492.9m<4=979j64j50;9j64h50;9l73d=831vnn;l:185>5<7s->9i7:3;4?l4>k3:17d<6d;29?l4>m3:17d<6f;29?l4f83:17b=9b;29?xdd=m0;6>4?:1y'07c=:hl0D9=k;I605>"5i80>o51m0;66a<6c83>>{ek:j4i3;`>5<5<;%0b5?40l2c95n4?::k1=a<722c95h4?::m02g<722wio;>50;694?6|,=8n6?l?;I60`>N3;81/>l?5a:k1=f<722c95i4?::k1=`<722e8:o4?::ag37=83>1<7>t$50f>7d73A>8h6F;309'6d7=9o1b>4m50;9j64k50;9l73d=831vnn8=:187>5<7s->9i7:0d8m7?d2900e?7k:188m7?b2900c>8m:188yge1;3:1?7>50z&76`<5io1C8>j4H512?!4f932=7d<6c;29?l4>l3:17b=9b;29?xdd>=0;6>4?:1y'07c=:hl0D9=k;I605>"5i80:i6*;158715=n:0i1<75f28f94?=h;?h1<75rbb46>5<2290;w):=e;0a5>N3;m1C8>?4$3c2>7>>3`82o7>5;h0:`?6=3`82i7>5;h0:b?6=3f9=n7>5;|``23<72=0;6=u+43g96g6<@=9o7E:<1:&1e4N3;81/>l?529:8 1732=?;7d<6c;29?l4>l3:17b=9b;29?xdd>10;694?:1y'07c=:k:0D9=k;I605>"5i80386g=9b83>>o51m0;66g=9d83>>i4>k0;66smc7;94?5=83:p(95<3290;w):=e;0a4>N3;m1C8>?4$3c2>71c3`82o7>5;h0:`?6=3`82i7>5;n15f?6=3thh:o4?:283>5}#<;o1>lh4H51g?M2492.9m<471:k1=f<722c95i4?::m02g<722wio;m50;194?6|,=8n6?oi;I60`>N3;81/>l?5809j64j50;9l73d=831vnn8k:187>5<7s->9i7:968m7?d2900e?7k:188m7?b2900c>8m:188yge1m3:187>50z&76`<5j91C8>j4H512?!4f932?7d<6c;29?l4>l3:17d<6e;29?j51j3:17pll6g83>6<729q/8?k52`d8L15c3A>8=6*=a08;5>o51j0;66g=9e83>>i4>k0;66smc6294?5=83:p(95<4290;w):=e;0bb>N3;m1C8>?4$3c2>=75<;%0b5?7e;2c95n4?::k1=a<722c95h4?::m02g<722wio:=50;694?6|,=8n6?l?;I60`>N3;81/>l?529c8m7?d2900e?7k:188m7?b2900c>8m:188yge0<3:1?7>50z&76`<5io1C8>j4H512?!4f932:7d<6c;29?l4>l3:17b=9b;29?xdd?<0;6>4?:1y'07c=:hl0D9=k;I605>"5i80>o51m0;66a<6c83>>{ek><1<7:50;2x 14b2;h;7E:5<5<;%0b5?1c3`82o7>5;h0:`?6=3f9=n7>5;|``3=<72:0;6=u+43g96d`<@=9o7E:<1:&1e4t$50f>7ga3A>8h6F;309'6d7=:120e?7l:188m7?c2900c>8m:188yge0i3:1?7>50z&76`<5io1C8>j4H512?!4f932=7d<6c;29?l4>l3:17b=9b;29?xdd?k0;6>4?:1y'07c=:hl0D9=k;I605>"5i803:6*;15870==n:0i1<75f28f94?=h;?h1<75rbb5`>5<4290;w):=e;0bb>N3;m1C8>?4$3c2>=0<,=;?69:8;h0:g?6=3`82h7>5;n15f?6=3thh;i4?:283>5}#<;o1>lh4H51g?M2492.9m<476:&751<34m50;9j6k3:17d<6d;29?j51j3:17pll7g83>6<729q/8?k52`d8L15c3A>8=6*=a08;2>"39=0?9<5f28a94?=n:0n1<75`37`94?=zjj2;6=4<:183!25m38jj6F;3e9K067<,;k:6:j4i3;`>5<5<55;294~"3:l09n<5G42f8L1563-8j=79n;h0:g?6=3`82h7>5;h0:a?6=3`82j7>5;n15f?6=3thh4?4?:483>5}#<;o1>o?4H51g?M2492.9m<48a:k1=f<722c95i4?::k1=`<722c95k4?::m02g<722wio5=50;794?6|,=8n6?l>;I60`>N3;81/>l?57`9j64j50;9j64h50;9l73d=831vnn6;:186>5<7s->9i7:6c8m7?d2900e?7k:188m7?b2900e?7i:188k60e2900qom75;291?6=8r.?>h4=b09K06b<@=9:7)k3:17d<6d;29?l4>m3:17d<6f;29?j51j3:17pll8783>0<729q/8?k52c38L15c3A>8=6*=a084e>o51j0;66g=9e83>>o51l0;66g=9g83>>i4>k0;66smc9594?3=83:p(95<2290;w):=e;0a5>N3;m1C8>?4$3c2>2g5<5<;%0b5?1f3`82o7>5;h0:`?6=3`82i7>5;h0:b?6=3f9=n7>5;|``t$50f>7d63A>8h6F;309'6d7=?h1b>4m50;9j64k50;9j6<`=831d?;l50;9~ff>d290>6=4?{%61a?4e92B??i5G4238 7g62>k0e?7l:188m7?c2900e?7j:188m7?a2900c>8m:188yge?l3:197>50z&76`<5j81C8>j4H512?!4f93=j7d<6c;29?l4>l3:17d<6e;29?l4>n3:17b=9b;29?xdd0l0;684?:1y'07c=:k;0D9=k;I605>"5i80>o51m0;66g=9d83>>o51o0;66a<6c83>>{ek1l1<7;50;2x 14b2;h:7E:5<5<5<55;294~"3:l09n<5G42f8L1563-8j=79n;h0:g?6=3`82h7>5;h0:a?6=3`82j7>5;n15f?6=3thh5?4?:483>5}#<;o1>o?4H51g?M2492.9m<48a:k1=f<722c95i4?::k1=`<722c95k4?::m02g<722wio4=50;794?6|,=8n6?l>;I60`>N3;81/>l?57`9j64j50;9j64h50;9l73d=831vnn7;:186>5<7s->9i7:6c8m7?d2900e?7k:188m7?b2900e?7i:188k60e2900qom65;291?6=8r.?>h4=b09K06b<@=9:7)k3:17d<6d;29?l4>m3:17d<6f;29?j51j3:17pll9783>0<729q/8?k52c38L15c3A>8=6*=a084e>o51j0;66g=9e83>>o51l0;66g=9g83>>i4>k0;66smc8594?3=83:p(95<2290;w):=e;0a5>N3;m1C8>?4$3c2>2g5<5<;%0b5?1f3`82o7>5;h0:`?6=3`82i7>5;h0:b?6=3f9=n7>5;|``=d<72<0;6=u+43g96g7<@=9o7E:<1:&1e4<0i2c95n4?::k1=a<722c95h4?::k1=c<722e8:o4?::agt$50f>7d63A>8h6F;309'6d7=?h1b>4m50;9j64k50;9j6<`=831d?;l50;9~ff?d290>6=4?{%61a?4e92B??i5G4238 7g62>k0e?7l:188m7?c2900e?7j:188m7?a2900c>8m:188yge>l3:197>50z&76`<5j81C8>j4H512?!4f93=j7d<6c;29?l4>l3:17d<6e;29?l4>n3:17b=9b;29?xdd1l0;684?:1y'07c=:k;0D9=k;I605>"5i80>o51m0;66g=9d83>>o51o0;66a<6c83>>{ek0l1<7;50;2x 14b2;h:7E:5<5<5<55;294~"3:l09n<5G42f8L1563-8j=79n;h0:g?6=3`82h7>5;h0:a?6=3`82j7>5;n15f?6=3thhm?4?:483>5}#<;o1>o?4H51g?M2492.9m<48a:k1=f<722c95i4?::k1=`<722c95k4?::m02g<722wiol=50;794?6|,=8n6?l>;I60`>N3;81/>l?57`9j64j50;9j64h50;9l73d=831vnno;:186>5<7s->9i7:6c8m7?d2900e?7k:188m7?b2900e?7i:188k60e2900qomn5;291?6=8r.?>h4=b09K06b<@=9:7)k3:17d<6d;29?l4>m3:17d<6f;29?j51j3:17plla783>0<729q/8?k52c38L15c3A>8=6*=a084e>o51j0;66g=9e83>>o51l0;66g=9g83>>i4>k0;66smc`594?3=83:p(95<2290;w):=e;0a5>N3;m1C8>?4$3c2>2g5<5<;%0b5?1f3`82o7>5;h0:`?6=3`82i7>5;h0:b?6=3f9=n7>5;|``ed<72<0;6=u+43g96g7<@=9o7E:<1:&1e4<0i2c95n4?::k1=a<722c95h4?::k1=c<722e8:o4?::agdd=83?1<7>t$50f>7d63A>8h6F;309'6d7=?h1b>4m50;9j64k50;9j6<`=831d?;l50;9~ffgd290>6=4?{%61a?4e92B??i5G4238 7g62>k0e?7l:188m7?c2900e?7j:188m7?a2900c>8m:188ygefl3:197>50z&76`<5j81C8>j4H512?!4f93=j7d<6c;29?l4>l3:17d<6e;29?l4>n3:17b=9b;29?xddil0;684?:1y'07c=:k;0D9=k;I605>"5i80>o51m0;66g=9d83>>o51o0;66a<6c83>>{ekhl1<7;50;2x 14b2;h:7E:5<5<5<55;294~"3:l09n<5G42f8L1563-8j=79n;h0:g?6=3`82h7>5;h0:a?6=3`82j7>5;n15f?6=3thhn?4?:483>5}#<;o1>o?4H51g?M2492.9m<48a:k1=f<722c95i4?::k1=`<722c95k4?::m02g<722wioo=50;794?6|,=8n6?l>;I60`>N3;81/>l?57`9j64j50;9j64h50;9l73d=831vnnl;:186>5<7s->9i7:6c8m7?d2900e?7k:188m7?b2900e?7i:188k60e2900qomm5;291?6=8r.?>h4=b09K06b<@=9:7)k3:17d<6d;29?l4>m3:17d<6f;29?j51j3:17pllb783>0<729q/8?k52c38L15c3A>8=6*=a084e>o51j0;66g=9e83>>o51l0;66g=9g83>>i4>k0;66smcc594?3=83:p(95<2290;w):=e;0a5>N3;m1C8>?4$3c2>2g5<5<;%0b5?1f3`82o7>5;h0:`?6=3`82i7>5;h0:b?6=3f9=n7>5;|``fd<72<0;6=u+43g96g7<@=9o7E:<1:&1e4<0i2c95n4?::k1=a<722c95h4?::k1=c<722e8:o4?::aggd=83?1<7>t$50f>7d63A>8h6F;309'6d7=?h1b>4m50;9j64k50;9j6<`=831d?;l50;9~ffdd290>6=4?{%61a?4e92B??i5G4238 7g62>k0e?7l:188m7?c2900e?7j:188m7?a2900c>8m:188ygeel3:197>50z&76`<5j81C8>j4H512?!4f93=j7d<6c;29?l4>l3:17d<6e;29?l4>n3:17b=9b;29?xddjl0;684?:1y'07c=:k;0D9=k;I605>"5i80>o51m0;66g=9d83>>o51o0;66a<6c83>>{ekkl1<7;50;2x 14b2;h:7E:5<5<5<55;294~"3:l09n<5G42f8L1563-8j=79n;h0:g?6=3`82h7>5;h0:a?6=3`82j7>5;n15f?6=3thho?4?:483>5}#<;o1>o?4H51g?M2492.9m<48a:k1=f<722c95i4?::k1=`<722c95k4?::m02g<722wion=50;794?6|,=8n6?l>;I60`>N3;81/>l?57`9j64j50;9j64h50;9l73d=831vnnm;:186>5<7s->9i7:6c8m7?d2900e?7k:188m7?b2900e?7i:188k60e2900qoml5;291?6=8r.?>h4=b09K06b<@=9:7)k3:17d<6d;29?l4>m3:17d<6f;29?j51j3:17pllc783>0<729q/8?k52c38L15c3A>8=6*=a084e>o51j0;66g=9e83>>o51l0;66g=9g83>>i4>k0;66smcb594?3=83:p(95<2290;w):=e;0a5>N3;m1C8>?4$3c2>2g5<5<;%0b5?1f3`82o7>5;h0:`?6=3`82i7>5;h0:b?6=3f9=n7>5;|``gd<72<0;6=u+43g96g7<@=9o7E:<1:&1e4<0i2c95n4?::k1=a<722c95h4?::k1=c<722e8:o4?::agfd=83?1<7>t$50f>7d63A>8h6F;309'6d7=?h1b>4m50;9j64k50;9j6<`=831d?;l50;9~ffed290>6=4?{%61a?4e92B??i5G4238 7g62>k0e?7l:188m7?c2900e?7j:188m7?a2900c>8m:188ygedl3:197>50z&76`<5j81C8>j4H512?!4f93=j7d<6c;29?l4>l3:17d<6e;29?l4>n3:17b=9b;29?xddkl0;684?:1y'07c=:k;0D9=k;I605>"5i80>o51m0;66g=9d83>>o51o0;66a<6c83>>{ekjl1<7;50;2x 14b2;h:7E:5<5<5<55;294~"3:l09n<5G42f8L1563-8j=79n;h0:g?6=3`82h7>5;h0:a?6=3`82j7>5;n15f?6=3thhh?4?:483>5}#<;o1>o?4H51g?M2492.9m<48a:k1=f<722c95i4?::k1=`<722c95k4?::m02g<722wioi=50;794?6|,=8n6?l>;I60`>N3;81/>l?57`9j64j50;9j64h50;9l73d=831vnnj;:186>5<7s->9i7:6c8m7?d2900e?7k:188m7?b2900e?7i:188k60e2900qomk5;291?6=8r.?>h4=b09K06b<@=9:7)k3:17d<6d;29?l4>m3:17d<6f;29?j51j3:17plld783>0<729q/8?k52c38L15c3A>8=6*=a084e>o51j0;66g=9e83>>o51l0;66g=9g83>>i4>k0;66smce594?3=83:p(95<2290;w):=e;0a5>N3;m1C8>?4$3c2>2g5<5<;%0b5?1f3`82o7>5;h0:`?6=3`82i7>5;h0:b?6=3f9=n7>5;|```d<72<0;6=u+43g96g7<@=9o7E:<1:&1e4<0i2c95n4?::k1=a<722c95h4?::k1=c<722e8:o4?::agad=83?1<7>t$50f>7d63A>8h6F;309'6d7=?h1b>4m50;9j64k50;9j6<`=831d?;l50;9~ffbd290>6=4?{%61a?4e92B??i5G4238 7g62>k0e?7l:188m7?c2900e?7j:188m7?a2900c>8m:188ygecl3:197>50z&76`<5j81C8>j4H512?!4f93=j7d<6c;29?l4>l3:17d<6e;29?l4>n3:17b=9b;29?xddll0;684?:1y'07c=:k;0D9=k;I605>"5i80>o51m0;66g=9d83>>o51o0;66a<6c83>>{ekml1<7:50;2x 14b2;h;7E:>o51m0;66g=9d83>>i4>k0;66smcd294?2=83:p(95<5<53;294~"3:l09mk5G42f8L1563-8j=7<78:k1=f<722c95i4?::m02g<722wioh<50;194?6|,=8n6?oi;I60`>N3;81/>l?529:8m7?d2900e?7k:188k60e2900qomj3;297?6=8r.?>h4=ag9K06b<@=9:7)o51j0;66g=9e83>>i4>k0;66smcd694?5=83:p(95<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722wioh850;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188ygeb?3:197>50z&76`<51=1C8>j4H512?!50?3;ji:5+2`396>o6<80;66g>8083>>o61>0;66g;1983>>i39?0;66smcd:94?3=83:p(95<5<5<55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::ag`g=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qomjb;290?6=8r.?>h4=929K06b<@=9:7d?;1;29?l7>?3:17d:>8;29?j26>3:17plleb83>0<729q/8?k52868L15c3A>8=6*<7682e`1<,;k:6?5f15394?=n91;1<75f18594?=n<821<75`40494?=zjjoo6=4::183!25m38286F;3e9K067<,:=<65;h3;5?6=3`;2;7>5;h62::7>5;|``a`<72<0;6=u+43g96<2<@=9o7E:<1:&032<6il=0(?o>:39j517=831b=5?50;9j5<1=831b8<650;9l040=831vnnki:186>5<7s->9i7<65:J77a=O<:;0(>98:0cf3>"5i80h7d?;1;29?l7?93:17d?67;29?l25<3:17b:>6;29?xddn90;684?:1y'07c=:0?0D9=k;I605>"4?>0:mh94$3c2>f=n9=;1<75f19394?=n90=1<75f43694?=h<8<1<75rbbd2>5<2290;w):=e;0:0>N3;m1C8>?4$254>4gb?2.9m<4=;h375?6=3`;3=7>5;h3:3?6=3`>:47>5;n622?6=3thhj?4?:583>5}#<;o1>4=4H51g?M2492c:8<4?::k2=2<722c?=54?::m753<722wiok=50;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188ygea<3:197>50z&76`<51<1C8>j4H512?!50?3;ji:5+2`39g>o6<80;66g>8083>>o61>0;66g;2583>>i39?0;66smcg794?3=83:p(95<5<5<55;294~"3:l09585G42f8L1563-9<;7?ne69'6d7=k2c:8<4?::k2<4<722c:5:4?::k761<722e?=;4?::agc1=83?1<7>t$50f>7?23A>8h6F;309'721=9ho<7):188m4?02900e9<;:188k1712900qomi8;291?6=8r.?>h4=949K06b<@=9:7)=87;3ba2=#:h;1o6g>4083>>o6080;66g>9683>>o3:=0;66a;1783>>{eko31<7;50;2x 14b2;3>7E:=1=lk8;%0b5?e:6=44i0:2>5<5<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722wiokl50;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188ygeak3:197>50z&76`<51=1C8>j4H512?!50?3;ji:5+2`396>o6<80;66g>8083>>o61>0;66g;1983>>i39?0;66smcgf94?3=83:p(95<5<5<55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::agc`=8381<7>t$50f>7gb3A>8h6F;309'6d7=9l1b>4m50;9l73d=831vni>?:181>5<7s->9i7:0g8m7?d2900c>8m:188ygb793:1>7>50z&76`<5il1C8>j4H512?!4f93;n7d<6c;29?j51j3:17plk0383>7<729q/8?k52`g8L15c3A>8=6*=a082a>o51j0;66a<6c83>>{el991<7<50;2x 14b2;kn7E:5<5290;w):=e;0ba>N3;m1C8>?4$3c2>4c5<52;294~"3:l09mh5G42f8L1563-8j=7?j;h0:g?6=3f9=n7>5;|`g43<72;0;6=u+43g96dc<@=9o7E:<1:&1e4<6m2c95n4?::m02g<722wih=950;094?6|,=8n6?oj;I60`>N3;81/>l?51d9j6h4=929K06b<@=9:7)50z&76`<51:1C8>j4H512?!4f9380e<:>:188m4?02900e9?7:188k1712900qoj?b;290?6=8r.?>h4=929K06b<@=9:7)50z&76`<51:1C8>j4H512?!4f9380e<:>:188m4?02900e9?7:188k1712900qoj?d;290?6=8r.?>h4=929K06b<@=9:7)50z&76`<5191C8>j4H512?!4f93i0e<:>:188m4?02900c9?9:188ygb7n3:1?7>50z&76`<5191C8>j4H512?!4f93i0e<:>:188m4?02900c9?9:188ygb683:197>50z&76`<5j81C8>j4H512?!4f9383h6g=9b83>>o51m0;66g=9d83>>o51o0;66a<6c83>>{el8;1<7;50;2x 14b2;h:7E:5<5<;%0b5?>73->:87:;c:k1=f<722c95i4?::k1=`<722e8:o4?::a`45=83?1<7>t$50f>7d63A>8h6F;309'6d7=?l1/8<:545a8m7?d2900e?7k:188m7?b2900e?7i:188k60e2900qoj>4;292?6=8r.?>h4=b39K06b<@=9:7)?m6g=9b83>>o51m0;66g=9d83>>o51o0;66g=a183>>i4>k0;66smd0794?2=83:p(95;h0:a?6=3f9=n7>5;|`g53<72<0;6=u+43g96g7<@=9o7E:<1:&1e4<50k1/8<:54558m7?d2900e?7k:188m7?b2900e?7i:188k60e2900qoj>7;291?6=8r.?>h4=b09K06b<@=9:7)"39=0?885f28a94?=n:0n1<75f28g94?=n:0l1<75`37`94?=zjm;36=4::183!25m38i=6F;3e9K067<,;k:6?6m;%620?2292c95n4?::k1=a<722c95h4?::k1=c<722e8:o4?::a`4?=83>1<7>t$50f>7d73A>8h6F;309'6d7=:1o0(9?;:56f?l4>k3:17d<6d;29?l4>m3:17b=9b;29?xdc9h0;6;4?:1y'07c=:k80D9=k;I605>"5i809<6*;158701=n:0i1<75f28f94?=n:0o1<75f28d94?=n:h:1<75`37`94?=zjm;i6=4::183!25m38i=6F;3e9K067<,;k:6?6l;h0:g?6=3`82h7>5;h0:a?6=3`82j7>5;n15f?6=3tho=n4?:483>5}#<;o1>o?4H51g?M2492.9m<4i;%620?23<2c95n4?::k1=a<722c95h4?::k1=c<722e8:o4?::a`4b=83<1<7>t$50f>7d53A>8h6F;309'6d7=?01/8<:545`8m7?d2900e?7k:188m7?b2900e?7i:188m7g72900c>8m:188ygb6m3:187>50z&76`<5j91C8>j4H512?!4f93;m7):>4;67f>o51j0;66g=9e83>>o51l0;66a<6c83>>{el8l1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smd3294?4=83:p(95<5290;w):=e;0b=>N3;m1C8>?4i3;a>5<;h0:f?6=3f9=n7>5;|`g66<72;0;6=u+43g96d?<@=9o7E:<1:k1=g<722e8:o4?::a`72=8381<7>t$50f>7g>3A>8h6F;309j68m:188ygb5>3:1>7>50z&76`<5i01C8>j4H512?l4>j3:17b=9b;29?xdc:>0;6?4?:1y'07c=:h30D9=k;I605>o51k0;66a<6c83>>{el;21<7<50;2x 14b2;k27E:5<52;294~"3:l09m45G42f8L1563`82n7>5;n15f?6=3tho>o4?:383>5}#<;o1>l74H51g?M2492c95o4?::m02g<722wih?m50;094?6|,=8n6?o6;I60`>N3;81b>4l50;9l73d=831vni5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe0e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vni=?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa5629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe11>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjm986=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa53290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vni=::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe14>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vni=7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa5>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe1b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjm9i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa5d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vni=k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe1e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vni:?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa2629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe61>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjm>86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa23290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vni:::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<=6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe64>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vni:7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa2>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe6b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjm>i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa2d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vni:k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<n6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe6e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vni;?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa3629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe71>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjm?86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa33290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vni;::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe74>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vni;7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa3>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe7b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjm?i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa3d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vni;k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe7e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vni8?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa0629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe41>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjm<86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa03290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vni8::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe44>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vni87:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa0>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe4b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjm9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa0d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vni8k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe4e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vni9?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa1629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe51>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjm=86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa13290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vni9::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe54>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vni97:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa1>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe5b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjm=i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa1d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vni9k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe5e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vni6?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa>629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe:1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjm286=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa>3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vni6::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe:4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vni67:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa>>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe:b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjm2i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa>d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vni6k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe:e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vni7?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa?629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe;1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjm386=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa?3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vni7::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe;4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vni77:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa?>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe;b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjm3i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa?d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vni7k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe;e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnio?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fag629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbec1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjmk86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fag3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnio::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbec4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnio7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fag>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbecb>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjmki6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fagd290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vniok:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbece>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnil?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fad629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe`1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjmh86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fad3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnil::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe`4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnil7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fad>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe`b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjmhi6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fadd290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnilk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe`e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnim?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fae629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbea1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjmi86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fae3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnim::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbea4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnim7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fae>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbeab>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjmii6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~faed290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnimk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbeae>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnij?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fab629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbef1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjmn86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fab3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnij::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbef4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnij7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fab>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbefb>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjmni6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fabd290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnijk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbefe>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnik?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fac629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbeg1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjmo86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fac3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnik::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbeg4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnik7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fac>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbegb>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjmoi6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~facd290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnikk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbege>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnih?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa`629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbed1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjml86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa`3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnih::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbed4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnih7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa`>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbedb>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjmli6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa`d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnihk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbede>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh>?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`6629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd21>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl:86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`63290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh>::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd24>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh>7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`6>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd2b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl:i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`6d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh>k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd2e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh??:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`7629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd31>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl;86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`73290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh?::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd34>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh?7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`7>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd3b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl;i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`7d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh?k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd3e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`4629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd01>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl886=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`43290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh<::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd04>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh<7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`4>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd0b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl8i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`4d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd0e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh=?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`5629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd11>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl986=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`53290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh=::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd14>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh=7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`5>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd1b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl9i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`5d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh=k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd1e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh:?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`2629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd61>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl>86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`23290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh:::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<=6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd64>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh:7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`2>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd6b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl>i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`2d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh:k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<n6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd6e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh;?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`3629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd71>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl?86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`33290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh;::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd74>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh;7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`3>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd7b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl?i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`3d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh;k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd7e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh8?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`0629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd41>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl<86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`03290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh8::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd44>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh87:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`0>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd4b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`0d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh8k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd4e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh9?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`1629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd51>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl=86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`13290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh9::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd54>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh97:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`1>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd5b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl=i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`1d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh9k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd5e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh6?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`>629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd:1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl286=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`>3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh6::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd:4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh67:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`>>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd:b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl2i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`>d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh6k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd:e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh7?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`?629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd;1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl386=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`?3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh7::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd;4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh77:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`?>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd;b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl3i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`?d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh7k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd;e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnho?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`g629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbdc1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjlk86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`g3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnho::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbdc4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnho7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`g>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbdcb>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjlki6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`gd290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnhok:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbdce>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnhl?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`d629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd`1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjlh86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`d3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnhl::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd`4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnhl7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`d>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd`b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjlhi6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`dd290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnhlk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd`e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnhm?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`e629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbda1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjli86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`e3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnhm::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbda4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnhm7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`e>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbdab>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjlii6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`ed290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnhmk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbdae>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnhj?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`b629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbdf1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjln86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`b3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnhj::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbdf4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnhj7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`b>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbdfb>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjlni6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`bd290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnhjk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbdfe>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnhk?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`c629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbdg1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjlo86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`c3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnhk::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbdg4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnhk7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`c>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbdgb>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjloi6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`cd290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnhkk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbdge>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnhh?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f``629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbdd1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjll86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f``3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnhh::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbdd4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnhh7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f``>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbddb>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjlli6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f``d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnhhk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbdde>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk>?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc6629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg21>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo:86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc63290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk>::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg24>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk>7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc6>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg2b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo:i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc6d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk>k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg2e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk??:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc7629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg31>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo;86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc73290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk?::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg34>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk?7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc7>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg3b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo;i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc7d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk?k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg3e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc4629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg01>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo886=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc43290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk<::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg04>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk<7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc4>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg0b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo8i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc4d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg0e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk=?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc5629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg11>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo986=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc53290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk=::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg14>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk=7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc5>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg1b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo9i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc5d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk=k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg1e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk:?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc2629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg61>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo>86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc23290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk:::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<=6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg64>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk:7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc2>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg6b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo>i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc2d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk:k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<n6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg6e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk;?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc3629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg71>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo?86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc33290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk;::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg74>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk;7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc3>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg7b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo?i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc3d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk;k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg7e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk8?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc0629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg41>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo<86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc03290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk8::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg44>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk87:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc0>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg4b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc0d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk8k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg4e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk9?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc1629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg51>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo=86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc13290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk9::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg54>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk97:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc1>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg5b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo=i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc1d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk9k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg5e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk6?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc>629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg:1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo286=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc>3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk6::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg:4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk67:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc>>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg:b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo2i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc>d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk6k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg:e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk7?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc?629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg;1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo386=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc?3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk7::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg;4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk77:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc?>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg;b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo3i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc?d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk7k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg;e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnko?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fcg629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbgc1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjok86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fcg3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnko::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbgc4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnko7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fcg>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbgcb>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjoki6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fcgd290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnkok:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbgce>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnkl?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fcd629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg`1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjoh86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fcd3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnkl::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg`4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnkl7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fcd>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg`b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjohi6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fcdd290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnklk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg`e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnkm?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fce629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbga1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjoi86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fce3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnkm::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbga4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnkm7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fce>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbgab>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjoii6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fced290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnkmk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbgae>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnkj?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fcb629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbgf1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjon86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fcb3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnkj::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbgf4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnkj7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fcb>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbgfb>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjoni6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fcbd290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnkjk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbgfe>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnkk?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fcc629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbgg1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjoo86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fcc3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnkk::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbgg4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnkk7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fcc>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbggb>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjooi6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fccd290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnkkk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbgge>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnkh?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc`629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbgd1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjol86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc`3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnkh::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbgd4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnkh7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc`>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbgdb>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjoli6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc`d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnkhk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbgde>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn<>?0;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg77880;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`2454=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi==><:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<?3:17b:>6;29?j26?3:17pl>01494?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e99:<6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f46703:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo??0883><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th:<=o50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a556e29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb023g?6=<3:1;%0b5?4:6=44i0:2>5<5<5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd689o1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm112e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn<>>0;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg77980;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`2444=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi==?<:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<?3:17b:>6;29?j26?3:17pl>00494?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e99;<6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f46603:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo??1883><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th:<N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a557e29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb022g?6=<3:1;%0b5?4:6=44i0:2>5<5<5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd688o1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm113e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn<>=0;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg77:80;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`2474=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi==<<:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<?3:17b:>6;29?j26?3:17pl>03494?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e998<6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f46503:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo??2883><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th:N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a554e29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb021g?6=<3:1;%0b5?4:6=44i0:2>5<5<i4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd68;o1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm110e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn<><0;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg77;80;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`2464=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi===<:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<?3:17b:>6;29?j26?3:17pl>02494?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e999<6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f46403:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo??3883><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th:<>o50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a555e29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb020g?6=<3:1;%0b5?4:6=44i0:2>5<5<5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd68:o1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm111e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn<>;0;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg77<80;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`2414=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi==:<:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<?3:17b:>6;29?j26?3:17pl>05494?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e99><6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f46303:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo??4883><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th:<9o50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a552e29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb027g?6=<3:1;%0b5?4:6=44i0:2>5<5<5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd68=o1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm116e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn<>:0;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg77=80;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`2404=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi==;<:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<87>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<?3:17b:>6;29?j26?3:17pl>04494?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e99?<6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f46203:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo??5883><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th:<8o50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a553e29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb026g?6=<3:1;%0b5?4:6=44i0:2>5<5<5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd686g>4083>>o6080;66g>9683>>i39?0;66sm117e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn<>90;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg77>80;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`2434=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi==8<:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<?2wx=lkl:181[77?:16h>951858yv7fmm0;6?uQ1151?8b4;3;2;6s|1`gf>5<5sW;;;<52d2`95<1`6?=90=0q~?nf083>7}Y99{t9hl96=4={_332a=:l=91=494}r3bb6<72;qU==9l;?2wx=lh;:181[77?k16h9;51858yv7fn<0;6?uQ115b?8b3?3;2;6s|1`d5>5<5sW;;;452d5;95<1`1`=90=0q~?nf883>7}Y99==70j:1;3:3>{t9hlj6=4={_3330=:l=n1=494}r3bbg<72;qU==8l;?2wx=lhl:181[77>k16h8951858yv7fnm0;6?uQ11`f?820l3;m:6s|1`df>5<5sW;;ni5246f95c302b=9o90q~?m0083>7}Y99h270:8d;3e5>{t9k:96=4={_33f==:<>n1=k>4}r3a46<72;qU==l8;<64`?7bn2wx=o>;:181[77j?168:j51df8yv7e8<0;6?uQ11`6?820l3;no6s|1c25>5<5sW;;n95246f95`d02b=9l30q~?m0883>7}Y99h:70:8d;3f<>{t9k:j6=4={_33f5=:<>n1=h94}r3a4g<72;qU==m:;<64`?46?2wx=o>l:181[77k=168:j52048yv7e8m0;6?uQ11a0?820l38:96s|1c2f>5<5sW;;o?5246f96423:p5g772909wS??c19>02b=:880q~?m1083>7}Y99hm70:8d;03<>{t9k;96=4={_33fd=:<>n1=kj4}r3a56<72;qU==oi;<64`?7a:2wx=o?;:181[77il168:j51d48yv7e9<0;6?uQ10;f?820l38:=6s|1c35>5<5sW;:5i5246f965`9c9>02b=:9n0q~?m1883>7}Y983270:8d;03g>{t9k;j6=4={_32===:<>n1>=l4}r3a5g<72;qU=<78;<64`?47i2wx=o?l:181[761?168:j521;8yv7e9m0;6?uQ10;6?820l38;;6s|1c3f>5<5sW;:595246f9650939>02b=:9>0q~?m2083>7}Y983:70:8d;037>{t9k896=4={_32=5=:<>n1>=<4}r3a66<72;qU=5<5sW;:m?5246f95cc:4?:3y]54g634>a19>02b=9oh0q~?m2883>7}Y983m70:8d;3ee>{t9k8j6=4={_32=d=:<>n1=k74}r3a6g<72;qU=<6i;<64`?7a02wx=o;3=>0?=55244c904><5=<269<;;<65e?26027?:o4;199>03b=<820198j:53;?821n3>:463;71875==:<>;18<64=551>17?34>;3?h0?=55246`904><5==h69?7;<64`?25=27>9>4;199>102=<82018;::53;?832>3>:463:56875==:=<218<64=47:>17?34?>m7:>8:?61g<3911698m540:8903c2=;370;:e;62<>;2=o0?=552572904><5<<:69?7;<756?26027>:>4;199>132=<820188::53;?831>3>:463:66875==:=?218<64=44:>17?34?=m7:>8:?62g<391169;m540:8900c2=;370;9e;62<>;2>o0?=552562904><5<=:69<:;<7b0?25=27>h:4;249>25g=<;?01;=k:506?80083>99639a28760=:>m<18?;4=62:>14234=8o7:=5:?42c<3:<16;l<5437892b22=8>706?8;611>;?;k0?>85287g9073<51k:69<:;<:g0?25=272<:4;249>=6g=<;?0148k:506?8?f83>99636d28760=:i9<18?;4=`1:>14234k<>7:=5:?beg<3:<16mh:543789g7c2=8>70l:6;611>;e?m0?=552b6g904><5k=m69?7;<`;4?26027i4<4;199>f=4=<8201o6<:53;?8d?<3>:463m84875==:j1<18<64=c:4>17?34h347:>8:?a<<<39116n5o540:89g>e2=;370l7c;62<>;e0m0?=552b9g904><5k2m69?7;<`:4?26027i5<4;199>f<4=<8201o7<:53;?8d><3>:463m94875==:j0<18<64=c;4>17?34h247:>8:?a=<<39116n4o540:89g?e2=;370l6c;62<>;e1m0?=552b8g904><5k3m69?7;<`b4?26027im<4;199>fd4=<8201oo<:53;?8df<3>:463ma4875==:jh<18<64=cc4>17?34hj47:>8:?ae<<39116nlo540:89gge2=;370lnc;62<>;eim0?>852bd3904><5ko969?7;<`f7?26027ii94;199>f`3=<8201ok9:53;?8db?3>:463me9875==:jl318<64=cgb>17?34hnn7:>8:?aaf<39116nhj540:89gcb2=;370ljf;62<>;en90?=552bg3904><5kl969?7;<`e7?26027ij94;199>fc3=<8201oh9:53;?8da?3>:463mf9875==:jo318<64=cdb>17?34hmn7:>8:?abf<39116nkj540:89g`b2=;370lif;62<>;d890?=552c13904><5j:969?7;g53=<8201n>9:53;?8e7?3>:463l09875==:k9318<64=b2b>17?34i;n7:>8:?`4f<39116o=j540:89f6b2=;370m?f;62<>;d990?=552c03904><5j;969?7;g43=<8201n?9:53;?8e6?3>:463l19875==:k8318<64=b3b>17?34i:n7:>8:?`5f<39116of;62<>;d:90?=552c33904><5j8969?7;94;199>g73=<8201n<9:53;?8e5?3>:463l29875==:k;318<64=b0b>17?34i9n7:>8:?`6f<39116o?j540:89f4b2=;370m=f;62<>;d;90?=552c23904><5j9969?7;g63=<8201n=9:53;?8e4?3>:463l39875==:k:318<64=b1b>17?34i8n7:>8:?`7f<39116o>j540:89f5b2=;370m;d=>095n52c6;9669?7;g`c=<8201nki:507?8ea<3>9863lf4875==:ko<18?:4=bd4>14334im47:=4:?`b<<3:=16oko540:89f`e2=;370mic;62<>;dnm0?=552cgg904><5m:269?7;`5e=<8201i>k:53;?8b61382h6s|1c0f>5<30=qU==8n;<663?73927?9l4>409>00c=9=;019;i:062?82183;?=63;6782=7=:42634>=m7?;1:?72g<6<8168;m51538910c28>:70:9e;375>;3>o0:8<524629517<5==:6<:>;<646?73927?;>4>409>022=9=;0199::062?820>3;?=63;768204=:<>21=9?4=55:>42634>;3m80:8<524df9517<5=on6<:>;<6fb?73927?j=4>409>0c7=9=;019h=:062?82a;3;?=63;f58204=:42634>m;7?;1:?7b=<6<8168k75153891`f28>:70:ib;375>;3nj0:8<524gf9517<5=ln6<:>;<6eb?73927><=4>409>157=9=;018>=:062?837;3;?=63:058204=:=9o1=9?4=42e>42634?:<7?;1:?65<<6<8169:70;=3;375>;2;;0:8<525279517<5<9=6<:>;<703?73927>?54>939>16?=908018=n:0;1?834j3;2>63:3b82=7=:=:n1=4<4=41f>4?534?8j7?62:?605<61;1699?518089025283970;;3;3:6>;2<=0:8<525579517<5<>=6<:>;<773?73927>854>409>11?=9=;018:n:062?833j3;?=63:4b8204=:==n1=9?4=46f>42634??j7?;1:?615<6<81698?51538903528>:70;:3;375>;2==0:8<525479517<5;<763?73927>954>409>10?=9=;018;n:062?832j3;?=63:5b8204=:=42634?>j7?;1:?625<6<8169;?51538900528>:70;93;375>;2>=0:8<525779517<5<<=6<:>;<753?73927>:54>409>13?=9=;0188n:062?831j3;?=63:6b8204=:=?n1=9?4=44f>42634?=j7?;1:?635<6<8169:?5180890g3283970;k7;3:6>;18h0:5?5262f95<4<5?=;6<7=;<4b7?7>:27=h;4>939>35?=90801:=l:0;1?811n3;2>638a382=7=:?m?1=4<4=92;>4?53428n7?62:?;2`<61;164l?518089=b32839707?7;3:6>;>;h0:5?5297f95<4<50k;6<7=;<;g7?7>:27j<;4>939>e6?=90801l9=:0;1?8gfj3;2>63ne582=7=:j8n1=4<4=c75>4?534h728>:70l71;375>;e0;0:8<52b919517<5k2?6<:>;<`;1?73927i4;4>409>f=1=9=;01o67:062?8d?13;?=63m8`8204=:j1h1=9?4=c:`>42634h3h7?;1:?a<`<6<816n5h515389g?728>:70l61;375>;e1;0:8<52b819517<5k3?6<:>;<`:1?73927i5;4>409>f<1=9=;01o77:062?8d>13;?=63m9`8204=:j0h1=9?4=c;`>42634h2h7?;1:?a=`<6<816n4h515389gg728>:70ln1;375>;ei;0:8<52b`19517<5kk?6<:>;<`b1?73927im;4>409>fd1=9=;01oo7:062?8df13;?=63ma`8204=:jhh1=9?4=cc`>42634hjh7?62:?aa5<6<816nh?515389gc528>:70lj3;375>;em=0:8<52bd79517<5ko=6<:>;<`f3?73927ii54>409>f`?=9=;01okn:062?8dbj3;?=63meb8204=:jln1=9?4=cgf>42634hnj7?;1:?ab5<6<816nk?515389g`528>:70li3;375>;en=0:8<52bg79517<5kl=6<:>;<`e3?73927ij54>409>fc?=9=;01ohn:062?8daj3;?=63mfb8204=:jon1=9?4=cdf>42634hmj7?;1:?`45<6<816o=?515389f6528>:70m?3;375>;d8=0:8<52c179517<5j:=6<:>;409>g5?=9=;01n>n:062?8e7j3;?=63l0b8204=:k9n1=9?4=b2f>42634i;j7?;1:?`55<6<816o:70m>3;375>;d9=0:8<52c079517<5j;=6<:>;409>g4?=9=;01n?n:062?8e6j3;?=63l1b8204=:k8n1=9?4=b3f>42634i:j7?;1:?`65<6<816o??515389f4528>:70m=3;375>;d:=0:8<52c379517<5j8=6<:>;54>409>g7?=9=;01n42634i9j7?;1:?`75<6<816o>?515389f5528>:70m<3;375>;d;=0:8<52c279517<5j9=6<:>;409>g6?=9=;01n=n:062?8e4j3;?=63l3b8204=:k:n1=9?4=b1f>42634i8j7?;1:?`a0<6<816oh8515389fc028>:70mj8;375>;dm00:8<52cdc9517<5joi6<:>;409>g`c=9=;01nki:062?8ea83;?=63lf08204=:ko81=9?4=bd0>42634im87?;1:?`b0<6<816ok8515389f`028>:70mi8;375>;dn00:8<52cgc9517<5jli6<:>;409>gcc=9=;01i>6:062?8b7i3;?=63k0c8204=:l9i1=9?4=e2g>42634n;i7?;1:?g4c<6<816h;c:o0:5?52d229517<5m9:6<7=;4>939>`62=9=;01i=::0;1?8b4>3;?=63k3682=7=:l:21=9?4=e1:>4?534n8m7?;1:?g7g<61;16h>m515389a5c283970j;c;o0:5?52d529517<5m>:6<7=;4>939>`12=9=;01i:::0;1?8b3>3;?=63k4682=7=:l=21=9?4=e6:>4?534n?m7?;1:?g0g<61;16h9m515389a2c283970j;e;375>;c4>939>`02=9=;01i;::0;1?8b2>3;?=63k5682=7=:l<21=9?4=e7:>4?534n>m7?;1:?g1g<61;16h8m515389a3c283970j:e;375>;c=o0:5?52d729517<5m<:6<7=;4>939>`32=9=;01i8::0;1?8b1>3;?=63k6682=7=:l?21=9?4=e4:>4?534n=m7?;1:?g2g<61;16h;m515389a0c283970j9e;375>;c>o0:5?52d629517<5m=:6<7=;4>939>`22=9=;01i9::0;1?8b0>3;?=63k7682=7=:l>21=9?4=e5:>4?534n;c?o0:5?52d929517<5m2:6<7=;4>939>`=2=9=;01i6::0;1?8b?>3;?=63k8682=7=:l121=9?4=e::>4?534n3m7?;1:?gc283970j7e;375>;c0o0:5?52d829517<5m3:6<7=;4>939>`<2=9=;01i7::0;1?8b>>3;?=63k9682=7=:l021=9?4=e;:>4?534n2m7?;1:?g=g<61;16h4m515389a?c283970j6e;375>;c1o0:5?52d`29517<5mk:6<7=;4>939>`d2=9=;01io::0;1?8bf>3;?=63ka682=7=:lh21=9?4=ec:>4?534njm7?;1:?geg<61;16hlm515389agc283970jne;375>;cio0:5?52dc29517<5mh:6<7=;4>939>`g2=9=;01il::0;1?8be>3;?=63kb682=7=:lk21=9?4=e`:>4?534nim7?;1:?gfg<61;16hom515389adc283970jme;375>;cjo0:5?52db29517<5mi:6<7=;4>939>`f2=9=;01im::0;1?8bd>3;?=63kc682=7=:lj21=9?4=ea:>4?534nhm7?;1:?ggg<61;16hnm515389aec283970jle;375>;cko0:5?52de29517<5mn:6<7=;4>939>`a2=9=;01ij::0;1?8bc>3;?=63kd682=7=:lm21=9?4=ef:>4?534nom7?;1:?g`g<61;16him515389abc283970jke;375>;clo0:5?52dd29517<5mo:6<7=;4>939>``2=9=;01ik::0;1?8bb>3;?=63ke682=7=:ll21=9?4=eg:>4?534nnm7?;1:?gag<61;16hhm515389acc283970jje;375>;cmo0:5?52dg29517<5ml:6<7=;4>939>`c2=9=;01ih::0;1?8ba>3;?=63kf682=7=:lo21=9?4=ed:>4?534nmm7?;1:?gbg<61;16hkm515389a`c283970jie;375>;cno0:5?52e129517<5l::6<7=;4>939>a52=9=;01h>::0;1?8c7>3;?=63j0682=7=:m921=9?4=d2:>4?534o;m7?;1:?f4g<61;16i=m515389`6c283970k?e;375>;b8o0:5?52e029517<5l;:6<7=;4>939>a42=9=;01h?::0;1?8c6>3;?=63j1682=7=:m821=9?4=d3:>4?534o:m7?;1:?f5g<61;16ie;375>;b9o0:5?52e329517<5l8:6<7=;>4>939>a72=9=;01h<::0;1?8c5>3;?=63j2682=7=:m;21=9?4=d0:>4?534o9m7?;1:?f6g<61;16i?m515389`4c283970k=e;375>;b:o0:5?52e229517<5l9:6<7=;4>939>a62=9=;01h=::0;1?8c4>3;?=63j3682=7=:m:21=9?4=d1:>4?534o8m7?;1:?f7g<61;16i>m515389`5c283970k;b;o0:5?52e529517<5l>:6<7=;4>939>a12=9=;01h:::0;1?8c3>3;?=63j4682=7=:m=21=9?4=d6:>4?534o?m7?;1:?f0g<61;16i9m515389`2c283970k;e;375>;b4>939>a02=9=;01h;::0;1?8c2>3;?=63j5682=7=:m<21=9?4=d7:>4?534o>m7?;1:?f1g<61;16i8m515389`3c283970k:e;375>;b=o0:5?52e729517<5l<:6<7=;4>939>a32=9=;01h8::0;1?8c1>3;?=63j6682=7=:m?21=9?4=d4:>4?534o=m7?;1:?f2g<61;16i;m515389`0c283970k9e;375>;b>o0:5?52e629517<5l=:6<7=;4>939>a22=9=;01h9::0;1?8c0>3;?=63j7682=7=:m>21=9?4=d5:>4?534o;b?o0:5?52e929517<5l2:6<7=;4>939>a=2=9=;01h6::0;1?8c?>3;?=63j8682=7=:m121=9?4=d::>4?534o3m7?;1:?fc283970k7e;375>;b0o0:5?52e829517<5l3:6<7=;4>939>a<2=9=;01h7::0;1?8c>>3;?=63j9682=7=:m021=9?4=d;:>4?534o2m7?;1:?f=g<61;16i4m515389`?c283970k6e;375>;b1o0:5?52e`29517<5lk:6<7=;4>939>ad2=9=;01ho::0;1?8cf>3;?=63ja682=7=:mh21=9?4=dc:>4?534ojm7?;1:?feg<61;16ilm515389`gc283970kne;375>;bio0:5?52ec29517<5lh:6<7=;4>939>ag2=9=;01hl::0;1?8ce>3;?=63jb682=7=:mk21=9?4=d`:>4?534oim7?;1:?ffg<61;16iom515389`dc283970kme;375>;bjo0:5?52eb29517<5li:6<7=;4>939>af2=9=;01hm::0;1?8cd>3;?=63jc682=7=:mj21=9?4=da:>4?534ohm7?;1:?fgg<61;16inm515389`ec283970kle;375>;bko0:5?52ee29517<5ln:6<7=;4>939>aa2=9=;01hj::0;1?8cc>3;?=63jd682=7=:mm21=9?4=df:>4?534oom7?;1:?f`g<61;16iim515389`bc283970kke;375>;blo0:5?52ed29517<5lo:6<7=;4>939>a`2=9=;01hk::0;1?8cb>3;?=63je682=7=:ml21=9?4=dg:>4?534onm7?;1:?fag<61;16ihm515389`cc283970kje;375>;bmo0:5?52eg29517<5ll:6<7=;4>939>ac2=9=;01hh::0;1?8ca>3;?=63jf682=7=:mo21=9?4=dd:>4?534omm7?;1:?fbg<61;16ikm515389``c283970kie;375>;bno0:5?52f129517<5o::6<7=;4>939>b52=9=;01k>::0;1?8`7>3;?=63i0682=7=:n921=9?4=g2:>4?534l;m7?;1:?e4g<61;16j=m515389c6c283970h?e;375>;a8o0:5?52f029517<5o;:6<7=;4>939>b42=9=;01k?::0;1?8`6>3;?=63i1682=7=:n821=9?4=g3:>4?534l:m7?;1:?e5g<61;16je;375>;a9o0:5?52f329517<5o8:6<7=;>4>939>b72=9=;01k<::0;1?8`5>3;?=63i2682=7=:n;21=9?4=g0:>4?534l9m7?;1:?e6g<61;16j?m515389c4c283970h=e;375>;a:o0:5?52f229517<5o9:6<7=;4>939>b62=9=;01k=::0;1?8`4>3;?=63i3682=7=:n:21=9?4=g1:>4?534l8m7?;1:?e7g<61;16j>m515389c5c283970h;a;o0:5?52f529517<5o>:6<7=;4>939>b12=9=;01k:::0;1?8`3>3;?=63i4682=7=:n=21=9?4=g6:>4?534l?m7?;1:?e0g<61;16j9m515389c2c283970h;e;375>;a4>939>b02=9=;01k;::0;1?8`2>3;?=63i5682=7=:n<21=9?4=g7:>4?534l>m7?;1:?e1g<61;16j8m515389c3c283970h:e;375>;a=o0:5?52f729517<5o<:6<7=;4>939>b32=9=;01k8::0;1?8`1>3;?=63i6682=7=:n?21=9?4=g4:>4?534l=m7?;1:?e2g<61;16j;m515389c0c283970h9e;375>;a>o0:5?52f629517<5o=:6<7=;4>939>b22=9=;01k9::0;1?8`0>3;?=63i7682=7=:n>21=9?4=g5:>4?534l;a?o0:5?52f929517<5o2:6<7=;4>939>b=2=9=;01k6::0;1?8`?>3;?=63i8682=7=:n121=9?4=g::>4?534l3m7?;1:?ec283970h7e;375>;a0o0:5?52f829517<5o3:6<7=;4>939>b<2=9=;01k7::0;1?8`>>3;?=63i9682=7=:n021=9?4=g;:>4?534l2m7?;1:?e=g<61;16j4m515389c?c283970h6e;375>;a1o0:5?52f`29517<5ok:6<7=;4>939>bd2=9=;01ko::0;1?8`f>3;?=63ia682=7=:nh21=9?4=gc:>4?534ljm7?;1:?eeg<61;16jlm515389cgc283970hne;375>;aio0:5?52fc29517<5oh:6<7=;4>939>bg2=9=;01kl::0;1?8`e>3;?=63ib682=7=:nk21=9?4=g`:>4?534lim7?;1:?efg<61;16jom515389cdc283970hme;375>;ajo0:5?52fb29517<5oi:6<7=;4>939>bf2=9=;01km::0;1?8`d>3;?=63ic682=7=:nj21=9?4=ga:>4?534lhm7?;1:?egg<61;16jnm515389cec283970hle;375>;ako0:5?52fe29517<5on:6<7=;4>939>ba2=9=;01kj::0;1?8`c>3;?=63id682=7=:nm21=9?4=gf:>4?534lom7?;1:?e`g<61;16jim515389cbc283970hke;375>;alo0:5?52fd29517<5oo:6<7=;4>939>b`2=9=;01kk::0;1?8`b>3;?=63ie682=7=:nl21=9?4=gg:>4?534lnm7?;1:?eag<61;16jhm515389ccc283970hje;375>;amo0:5?52fg29517<5ol:6<7=;4>939>bc2=9=;01kh::0;1?8`a>3;?=63if682=7=:no21=9?4=gd:>4?534lmm7?;1:?ebg<61;16jkm515389c`c283970hie;375>;ano0:5?521123>42634;;<<4>939>556528>:70??0282=7=:99:?6<:>;<3340<61;16==>9:062?8778>0:5?52112;>42634;;<44>939>556f28>:70??0c82=7=:99:h6<:>;<334a<61;16==>j:062?8778o0:5?521133>42634;;=<4>939>557528>:70??1282=7=:99;?6<:>;<3350<61;16==?9:062?8779>0:5?52113;>42634;;=44>939>557f28>:70??1c82=7=:99;h6<:>;<335a<61;16==?j:062?8779o0:5?521103>42634;;><4>939>554528>:70??2282=7=:998?6<:>;<3360<61;16==<9:062?877:>0:5?52110;>42634;;>44>939>554f28>:70??2c82=7=:998h6<:>;<336a<61;16==42634;;?<4>939>555528>:70??3282=7=:999?6<:>;<3370<61;16===9:062?877;>0:5?52111;>42634;;?44>939>555f28>:70??3c82=7=:999h6<:>;<337a<61;16===j:062?877;o0:5?521163>42634;;8<4>939>552528>:70??4282=7=:99>?6<:>;<3300<61;16==:9:062?877<>0:5?52116;>42634;;844>939>552f28>:70??4c82=7=:99>h6<:>;<330a<61;16==:j:062?87742634;;9<4>939>553528>:70??5282=7=:99??6<:>;<3310<61;16==;9:062?877=>0:5?52117;>42634;;944>939>553f28>:70??5c82=7=:99?h6<:>;<331a<61;16==;j:062?877=o0:5?521143>42634;;:<4>939>550528>:70??6282=7=:99;|q2f7`=838j4vP>07789130282:70::a;3;5>;3>?0:4;5247495=><5=<=6<68;<652?7?n27?:;4>889>030=91?01989:0:0?821>3;3>63;67824>c34>=;7?76:?722<601168;9519589100282m70:97;3;=>;3>>0:485247595=5<5=<<6<6=;<653?7?i27?::4>8d9>031=91n01986:0:2?821i3;3=63;6c82<4=:4>634>=i7?71:?72c<608168:>519389116282:70:82;3;5>;3?:0:4<5246695=7<5==>6<6>;<642?7?927?;:4>809>02>=91;01996:0:2?820i3;3=63;7c82<4=:<>n1=5?4=5g3>4>634>n=7?71:?7aa<608168hk5193891ca282:70:i0;3;5>;3n80:4<524g095=7<5=l86<6>;<6e0?7?927?j84>809>0c0=91;019h8:0:2?82a03;3=63;f882<4=:4>634>mo7?71:?7ba<608168kk5193891`a282:70;?0;3;5>;2880:4<5251095=7<5<:86<6>;<730?7?927>

809>15`=91;018??:0:2?83613;3=63:1`82<4=:=;81=5?4=400>4>634?8>7?71:?670<608169>8519389050282:70;<8;3;0>;2;10:455252:95=1<5<936<6i;<70?54>8c9>16>=91?018=7:0:0?83403;3m63:3982<`=:=:21=5j4=41:>4>334?857?78:?67<<60>169>7519d8905>282270;<9;3;f>;2;00:485252;95=5<5<926<6n;<70=?7?m27>?44>8e9>16g=91>018=n:0:;?834i3;3;63:3`824>e34?8m7?75:?67d<60:169>o519c8905f282n70;;2;k0:495252`95=><5<9i6<68;<70f?7?n27>?o4>889>16d=91h018=m:0:6?834j3;3?63:3c824>c34?8o7?74:?67f<601169>m51958905d282m70;;2;j0:4o5252a95=3<5<9h6<6<;<70g?7?i27>?n4>8d9>16e=91n018=k:0:7?834l3;3463:3e82<2=:=:n1=5h4=41g>4>>34?8h7?7b:?67a<60<169>j51918905c282j70;;2;m0:4i5252g95=2<5<9n6<67;<70a?7??27>?h4>8g9>16c=913018=j:0:a?834m3;3963:3d82<6=:=:o1=5o4=41f>4>b34?8i7?7d:?67c<60=169>h519:8905a282<70;;2;o0:445252d95=d<5<9m6<6:;<70b?7?;27>?k4>8`9>16`=91o018=i:0:g?83383;3863:4182<==:==:1=594=463>4>a34??<7?79:?605<60k1699>519789027282870;;0;3;e>;2<90:4h5255295=b<5<>:6<6;;<775?7?027>8<4>869>117=91l018:>:0::?83393;3n63:4082<0=:==;1=5=4=462>4>f34??=7?7e:?604<60m1699<519689025282370;;2;3;3>;2<;0:4k5255095=?<5<>96<6m;<776?7?=27>8?4>829>114=91k018:=:0:f?833:3;3h63:4282<1=:==91=564=460>4>034???7?7f:?606<6001699=519`89024282>70;;3;3;7>;2<:0:4l5255195=c<5<>86<6k;<770?7?927>884>809>110=91;018:8:0:2?83303;3=63:4882<4=:==k1=5?4=46a>4>634??o7?71:?60a<6081699k51938902a282:70;:0;3;5>;2=80:4<5254095=7<5;<760?7?927>984>809>100=91;018;8:0:2?83203;3=63:5882<4=:=4>634?>o7?71:?61a<6081698k51938903a282:70;90;3;5>;2>80:4<5257095=7<5<<86<6>;<750?7?927>:84>809>130=91;01888:0:2?83103;3=63:6882<4=:=?k1=5?4=44a>4>634?=o7?71:?62a<608169;k51938900a282:70;80;3;5>;2?80:4<525`695=7<5;<43e?7?927=?i4>809>226=91;01;o<:0:2?80c>3;3=6380882<4=:?:i1=5?4=64e>4>634=j>7?71:?4`0<608164=6519389=5e282:7069e;3;5>;?i80:4<528e695=7<50:<6<6>;<;0e?7?9272:i4>809>=d6=91;014j<:0:2?8g7>3;3=63n3882<4=:i>81=5?4=`ca>4>634kn87?71:?a5a<60816n88519389ggc282:70lj0;3;5>;em80:4<52bd095=7<5ko86<6>;<`f0?7?927ii84>809>f`0=91;01ok8:0:2?8db03;3=63me882<4=:jlk1=5?4=cga>4>634hno7?71:?aaa<60816nhk519389gca282:70li0;3;5>;en80:4<52bg095=7<5kl86<6>;<`e0?7?927ij84>809>fc0=91;01oh8:0:2?8da03;3=63mf882<4=:jok1=5?4=cda>4>634hmo7?71:?aba<60816nkk519389g`a282:70m?0;3;5>;d880:4<52c1095=7<5j:86<6>;809>g50=91;01n>8:0:2?8e703;3=63l0882<4=:k9k1=5?4=b2a>4>634i;o7?71:?`4a<60816o=k519389f6a282:70m>0;3;5>;d980:4<52c0095=7<5j;86<6>;809>g40=91;01n?8:0:2?8e603;3=63l1882<4=:k8k1=5?4=b3a>4>634i:o7?71:?`5a<60816o;d:80:4<52c3095=7<5j886<6>;84>809>g70=91;01n<8:0:2?8e503;3=63l2882<4=:k;k1=5?4=b0a>4>634i9o7?71:?`6a<60816o?k519389f4a282:70m<0;3;5>;d;80:4<52c2095=7<5j986<6>;809>g60=91;01n=8:0:2?8e403;3=63l3882<4=:k:k1=5?4=b1a>4>634i8o7?71:?`7a<60816o>k519389f5a282:70m;b;0:g>;d=;095n52c4196k27h;o4=9b9>g2e=:0i01n9k:3;`?8e0m382o63l7g81=f=:kl?1=5?4=bg5>4>634in;7?71:?`a=<60816oh7519389fcf282:70mjb;62<>;dmj0:4<52cdf95=7<5jon6<6>;809>gc7=91;01nh=:53;?8ea;3;3=63lf582<4=:ko?1=5?4=bd5>4>634im;7?71:?`b=<60816ok7519389f`f282:70mib;3;5>;dnj0:4<52cgf95=7<5jln6<6>;m27o=?4=9d9>`45=:0l01i?;:3;e?8b6=382o63k1781=a=:l8=1>4j4=e3;>7?c34n:57<6c:?g5c<60816h?j519389a4b282:70j=f;3;5>;c;90:4<52d2395=7<5m996<6>;809>`63=91;01i=9:0:2?8b4?3;3=63k3982<4=:l:31=5?4=e1b>4>634n8n7?71:?g7f<60816h>j519389a5b282:70j;c<90:4<52d5395=7<5m>96<6>;809>`13=91;01i:9:0:2?8b3?3;3=63k4982<4=:l=31=5?4=e6b>4>634n?n7?71:?g0f<60816h9j519389a2b282:70j;f;3;5>;c=90:4<52d4395=7<5m?96<6>;809>`03=91;01i;9:0:2?8b2?3;3=63k5982<4=:l<31=5?4=e7b>4>634n>n7?71:?g1f<60816h8j519389a3b282:70j:f;3;5>;c>90:4<52d7395=7<5m<96<6>;809>`33=91;01i89:0:2?8b1?3;3=63k6982<4=:l?31=5?4=e4b>4>634n=n7?71:?g2f<60816h;j519389a0b282:70j9f;3;5>;c?90:4<52d6395=7<5m=96<6>;809>`23=91;01i99:0:2?8b0?3;3=63k7982<4=:l>31=5?4=e5b>4>634n;c090:4<52d9395=7<5m296<6>;809>`=3=91;01i69:0:2?8b??3;3=63k8982<4=:l131=5?4=e:b>4>634n3n7?71:?gb282:70j7f;3;5>;c190:4<52d8395=7<5m396<6>;809>`<3=91;01i79:0:2?8b>?3;3=63k9982<4=:l031=5?4=e;b>4>634n2n7?71:?g=f<60816h4j519389a?b282:70j6f;3;5>;ci90:4<52d`395=7<5mk96<6>;809>`d3=91;01io9:0:2?8bf?3;3=63ka982<4=:lh31=5?4=ecb>4>634njn7?71:?gef<60816hlj519389agb282:70jnf;3;5>;cj90:4<52dc395=7<5mh96<6>;809>`g3=91;01il9:0:2?8be?3;3=63kb982<4=:lk31=5?4=e`b>4>634nih7?71:?gf`<60816i:j519389`1b282:70k8f;3;5>;b090:4<52ed395=7<5lo96<6>;809>a`3=91;01hk9:0:2?8cb?3;3=63je982<4=:ml31=5?4=dgb>4>634onn7?71:?faf<60816ihj519389`cb282:70kjf;3;5>;bn90:4<52eg395=7<5ll96<6>;809>ac3=91;01hh9:0:2?8ca?3;3=63jf982<4=:mo31=5?4=ddb>4>634omn7?71:?fbf<60816ikj519389``b282:70kif;3;5>;a890:4<52f1395=7<5o:96<6>;809>b53=91;01k>9:0:2?8`7?3;3=63i0982<4=:n931=5?4=g2b>4>634l;n7?71:?e4f<60816j=j519389c6b282:70h?f;3;5>;a990:4<52f0395=7<5o;96<6>;809>b43=91;01k?9:0:2?8`6?3;3=63i1982<4=:n831=5?4=g3b>4>634l:n7?71:?e5f<60816jf;3;5>;a:90:4<52f3395=7<5o896<6>;94>809>b73=91;01k<9:0:2?8`5?3;3=63i2982<4=:n;31=5?4=g0b>4>634l9n7?71:?e6f<60816j?j519389c4b282:70h=f;3;5>;a;90:4<52f2395=7<5o996<6>;809>b63=91;01k=9:0:2?8`4?3;3=63i3982<4=:n:31=5?4=g1b>4>634l8n7?71:?e7f<60816j>j519389c5b282:70h;a<90:4<52f5395=7<5o>96<6>;809~w4d483:15vP>ad78913?2=8?70:8d;60e>;d=h095i52c6096k27o=<4=9g9>`42=:h:0q~?m3083>45|V8:2>63;f3875==:17?34>m97:>8:?641<61>16o8l528d89f3d2;k;70m:f;0:g>;d>;095i52c7696g`?=<8201i?n:3c3?8b6k382o63k1e81=c=:l8o1>4k4}r3a77<728:pR<>63:?7ad<3:=168hm518389064283<70m:b;0:a>;d=j095i52c4f96k27h:>4=9b9>g33=:0i01n89:3;`?8b68382o63k1`81=`=:l8h1>4k4=e3`>7?b3ty:n>=50;f41~X68?<0R<8=5:\22726308Z40582T::X6>8n0R<8>c:\224d60;8Z40602T::<94^041g>X6>;h0R<8=a:\227?6358Z405>2T::??4^0422>X6>8?0R<9ie:\23cb7g`8Z41ai2T:;k74^05e<>X6?o<0R<9i5:\23c27g08Z41a92T:;k>4^05fb>X6?lo0R<9jd:\23`d7d;8Z41b02T:;h94^05f2>X6?l?0R<9j4:\23`56P>7d28Z41cn2T:;ik4^05g`>X6?mi0R<9kb:\23ag7e:8Z41c?2T:4==4^0:36>X609;0R<6?0:\23c`7da8Z41b92T:;i84^05g1>X6>ln0R<8jc:\22`d6d:8Z40b?2T::h84^04f1>X6>l>0R<8j3:\22`46d28Z40cn2T::k:4^04e7>X6>o80R<8i1:\22c66dg8Z40b12T::ik4^04g`>X61>o0R<78d:\2=2e96c8Z4?012T:5:64^0;42>X61>?0R<784:\2=256P>9638Z4?082T:5;h4^0;5a>X61?n0R<79b:\2=3g97:8Z4?1?2T:5;84^0;51>X61?>0R<793:\2=3494d8Z4?2m2T:58j4^0;6g>X6146P>9458Z4??;2T:55<4^0;;5>X611:0R<78f:\2=219738Z4?2>2T:58;4=576>7?>34>>57<8e:?71g<5?l1688m51838913c283:70:95;04a>;3>?0?=h5247495=2<5=<=69<=;<652?4e>27?:;4;1g9>030=<8k01989:53`?821>3>:n63;67824=545>17c34>=:7?85:?723<6?:168;85433891012=8870:96;141>;3>?08;>524749727<5=<=6kh4=545>cb<5=<=6km4=545>cd<5=<=6<8l;<652?71i27?:;4>689>030=9?201989:044?821>3;=:63;678220=:40534>=:7?91:?723<6>9168;8514d8910128?n70:96;36`>;3>?0:9n52474950d<5=<=6<;n;<652?72127?:;4>569>030=9<<01989:076?821>3;>863;678216=:43634>=:7?:0:?723<6h70:96;37f>;3>?0:8l52474951?<5=<=6<:7;<652?73?27?:;4>479>030=9=?01989:067?821>3;??63;678237=:41734>=:7?9f:?723<6>l168;8517f8910128<870:96;36<>;3>?0:8i524749514<5=<=6?o8;<652?4f=27?:;4=a29>030=:h801989:002?821>3;:j63;67825`=:47d34>=:7?>b:?723<69h168;8510;89101288j70:96;31=>;3>?0:>5524749571<5=<=6<<9;<652?75=27?:;4>259>030=9;901989:001?821>3;:463;6782ed=:4g034>=:7?n6:?723<6i<168;851`18910128k970:96;3b5>;3>?0:m=5247495<`<5=<=6<7j;<652?7>l27?:;4>9b9>030=90h01989:0;b?821>3;i>63;6782f4=:4=545>4ga34>=:7?ne:?723<6im168;851`a8910128ki70:96;3b0>;3>?0:54524749g1=:524749f7=:031=91>01988:501?821?38i:63;66875c=:17d34>=;7:>b:?722<60k168;95432891002=;o70:97;341>;3>>0:;>524759077<5=<<69<<;<653?50=27?::4<729>031=;>;01988:gd891002on01988:ga891002oh01988:04`?821?3;=m63;66822<=:40034>=;7?96:?722<6><168;951768910028<970:97;355>;3>>0::=52475950`<5=<<6<;j;<653?72l27?::4>5b9>031=9563;668212=:43234>=;7?:4:?722<6=:168;951408910028?:70:97;364>;3>>0:8k52475951c<5=<<6<:l;<653?73j27?::4>4`9>031=9=301988:06;?821?3;?;63;668203=:42334>=;7?;3:?722<6?;168;951638910028=;70:97;35b>;3>>0::h52475953b<5=<<6<8<;<653?72027?::4>4e9>031=9=801988:3c4?821?38j963;6681e6=:l<4=544>44634>=;7?>f:?722<69l168;9510f8910028;h70:97;32f>;3>>0:=l52475954?<5=<<6<299>031=9;=01988:005?821?3;9963;668261=:44534>=;7?>8:?722<6ih168;951`:8910028k<70:97;3b2>;3>>0:m85247595d5<5=<<6a19>031=90l01988:0;f?821?3;2h63;6682=f=:4?f34>=;7?m2:?722<6j8168;951c28910028km70:97;3ba>;3>>0:mi5247595de<5=<<6989>031=k=168;95c39>031=k8168;95c19>031=jo168;95bd9>031=jm168;95bb9>031=jk168;95b`9>031=j1168;95b69>031=j?168;95b49>031=j=168;95b29>031=j;168;95b09>031=j9168;95ag9>031=kj168;95cc9>031=kh168;95c89>031=k1168;95c69>031=k?168;95c49>031=j0168;95ad9>031=9>k01988:05;?821?3;<;63;69813`=:17?34>n97?61:?7a3<618168h6526g891c>2;=n70:jb;04a>;3mj09;h524dd904><5=l;69?7;<6e5?26027><54>909>15c=<82018>i:53;?83613>:463:1`8761=:=;818<64=400>14334?9:7?61:?66<<618169?m51838904c283:70;=e;3:5>;2:o0:5<52525904><5<9369?j;<7027>?54;239>16>=:k<018=7:53e?83403>:m63:39875f=:=:21814734?847?72:?67=<39m169>651678905?28=870;<8;615>;2;10?>>5252:9723<5<936>9>;<70?5416>=;m2018=7:2f4?834039o:63:3980`0=:=:21?i:4=41;>6b434?847=k2:?67=<4l9169>653bd8905?2:in70;<8;1``>;2;108on5252:97fd<5<936>mn;<70?5416>=;j=018=7:2a6?834039h863:3980g6=:=:21?n<4=41;>6e634?847=l0:?67=<4jo169>653cg8905?2:ho70;<8;1ag>;2;108nl5252:97g?<5<936>l7;<70?5416>=;k?018=7:2`7?834039i?63:3980f7=:=:21?o?4=41;>6c734?847=kf:?67=<4ll169>653ef8905?2:nh70;<8;1gf>;2;108h<5252:97f0<5<936>lm;<70?54if:?67=?54ic:?67=?54>6b9>16>=9?k018=7:04:?83403;=463:398222=:=:21=;84=41;>40234?847?94:?67=<6>;169>651738905?28<;70;<8;36b>;2;10:9h5252:950b<5<936<;l;<70?54>5`9>16>=9<3018=7:074?83403;>:63:398210=:=:21=8:4=41;>43434?847?:2:?67=<6=8169>651428905?28>m70;<8;37a>;2;10:8n5252:951d<5<936<:n;<70?54>499>16>=9==018=7:065?83403;?963:398201=:=:21=9=4=41;>41534?847?81:?67=<6?9169>6517d8905?28;2;10::>5252:950><5<936<:k;<70?54=a69>16>=:h?018=7:3c0?834038j>63:398264=:=:21=47b34?847?>d:?67=<69j169>6510`8905?28;j70;<8;32=>;2;10:>l5252:957?<5<936<<7;<70?54>279>16>=9;?018=7:007?83403;9?63:398267=:=:21=<64=41;>f2<5<936n<4=41;>f7<5<936n>4=41;>g`<5<936ok4=41;>gb<5<936om4=41;>gd<5<936oo4=41;>g><5<936o94=41;>g0<5<936o;4=41;>g2<5<936o=4=41;>g4<5<936o?4=41;>g6<5<936lh4=41;>fe<5<936nl4=41;>fg<5<936n74=41;>f><5<936n94=41;>f0<5<936n;4=41;>g?<5<936lk4=41;>41f34?847?88:?67=<6?>169>7540g8905>282=70;<9;616>;2;009n;5252;904`<5<9269?n;<70=?26k27>?44;1c9>16?=<;:018=6:0:1?83413>:h63:388230=:=:31=:=4=41:>14634?857:=3:?67<<4?<169>753638905>2:;2;008h55252;97a1<5<926>j9;<70=?5c=27>?4416?=;m9018=6:2f1?834139o<63:3880gc=:=:31?nk4=41:>6ec34?857=lc:?67<<4kk169>753bc8905>2:i270;<9;1`<>;2;008o:5252;97f3<5<926>m;;<70=?5d;27>?4416?=;j;018=6:2a3?834139ij63:3880f`=:=:31?oj4=41:>6dd34?857=ma:?67<<4j0169>753c:8905>2:h<70;<9;1a2>;2;008n85252;97g2<5<926>l<;<70=?5e:27>?4416?=;l:018=6:2fe?834139oi63:3880`a=:=:31?im4=41:>6be34?857=k1:?67<<4k?169>753c`8905>2:h;70;<9;de?83413lo70;<9;d`?83413li70;<9;35g>;2;00::l5252;953?<5<926<87;<70=?71?27>?44>679>16?=9??018=6:047?83413;=>63:388224=:=:31=;>4=41:>43a34?857?:e:?67<<6=m169>7514a8905>28?i70;<9;36e>;2;00:945252;9501<5<926<;9;<70=?72=27>?44>559>16?=9<9018=6:071?83413;>=63:388215=:=:31=9h4=41:>42b34?857?;c:?67<<67515c8905>28>270;<9;37<>;2;00:8:5252;9510<5<926<::;<70=?73<27>?44>429>16?=9>8018=6:052?83413;<<63:38822c=:=:31=;k4=41:>40c34?857?93:?67<<6=1169>7515f8905>28>970;<9;0b3>;2;009m85252;96d5<5<926?o=;<70=?75927>?44>1g9>16?=98o018=6:03g?83413;:o63:38825g=:=:31=47>34?857?=a:?67<<6:0169>7513:8905>288<70;<9;312>;2;00:>85252;9572<5<926<<<;<70=?75:27>?44>199>16?=k=169>75c39>16?=k8169>75c19>16?=jo169>75bd9>16?=jm169>75bb9>16?=jk169>75b`9>16?=j1169>75b69>16?=j?169>75b49>16?=j=169>75b29>16?=j;169>75b09>16?=j9169>75ag9>16?=kj169>75cc9>16?=kh169>75c89>16?=k1169>75c69>16?=k?169>75c49>16?=j0169>75ad9>16?=9>k018=6:05;?83413;<;63:3`875`=:=:k1=584=41b>14534?8m7o540c8905f2=;h70;;2;h0?>=5252c95=4<5<9j69?k;<70e?70=27>?l4>729>16g=<;;018=n:500?834i39<963:3`8036=:=:k1?:?4=41b>60a34?8m7hi;<70e?`c34?8m7hl;<70e?`e34?8m7?9c:?67d<6>h169>o517;8905f28<370;;2;h0::;5252c9533<5<9j6<8;;<70e?71:27>?l4>609>16g=9?:018=n:07e?834i3;>i63:3`821a=:=:k1=8m4=41b>43e34?8m7?:a:?67d<6=0169>o51458905f28?=70;;2;h0:995252c9505<5<9j6<;=;<70e?72927>?l4>519>16g=9=l018=n:06f?834i3;?o63:3`820g=:=:k1=9o4=41b>42>34?8m7?;8:?67d<6<>169>o51548905f28>>70;;2;h0:8>5252c9524<5<9j6<9>;<70e?70827>?l4>6g9>16g=9?o018=n:04g?834i3;=?63:3`821==:=:k1=9j4=41b>42534?8m7o52`18905f2;k970;;2;h0:=k5252c954c<5<9j6?l4>1c9>16g=98k018=n:03:?834i3;9m63:3`826<=:=:k1=?64=41b>44034?8m7?=6:?67d<6:<169>o51368905f288870;;2;h0:=55252c9g1=:=:k1o?5252c9g4=:=:k1o=5252c9fc=:=:k1nh5252c9fa=:=:k1nn5252c9fg=:=:k1nl5252c9f==:=:k1n:5252c9f3=:=:k1n85252c9f1=:=:k1n>5252c9f7=:=:k1n<5252c9f5=:=:k1mk5252c9gf=:=:k1oo5252c9gd=:=:k1o45252c9g==:=:k1o:5252c9g3=:=:k1o85252c9f<=:=:k1mh5252c952g<5<9j6<97;<70e?70?27>?o4;1d9>16d=91<018=m:501?834j38i:63:3c875c=:=:h1817d34?8n7:>b:?67g<3:9169>l51908905e2=;o70;;2;k0:;>5252`9077<5<9i69<<;<70f?50=27>?o4<729>16d=;>;018=m:24e?834j3lm70;40?34?8n7?97:?67g<6>?169>l51778905e28;2;k0::<5252`9536<5<9i6<;i;<70f?72m27>?o4>5e9>16d=9m63:3c821<=:=:h1=894=41a>43134?8n7?:5:?67g<6==169>l51418905e28?970;;2;k0:9=5252`951`<5<9i6<:j;<70f?73k27>?o4>4c9>16d=9=k018=m:06:?834j3;?463:3c8202=:=:h1=984=41a>42234?8n7?;4:?67g<6<:169>l51608905e28=:70;;2;k0::k5252`953c<5<9i6<8k;<70f?71;27>?o4>599>16d=9=n018=m:061?834j38j;63:3c81e0=:=:h1>l=4=41a>7g534?8n7?=1:?67g<69o169>l510g8905e28;o70;;2;k0:=o5252`954g<5<9i6?o4>289>16d=9;2018=m:004?834j3;9:63:3c8260=:=:h1=?:4=41a>44434?8n7?=2:?67g<691169>l5c59>16d=k;169>l5c09>16d=k9169>l5bg9>16d=jl169>l5be9>16d=jj169>l5bc9>16d=jh169>l5b99>16d=j>169>l5b79>16d=j<169>l5b59>16d=j:169>l5b39>16d=j8169>l5b19>16d=io169>l5cb9>16d=kk169>l5c`9>16d=k0169>l5c99>16d=k>169>l5c79>16d=k<169>l5b89>16d=il169>l516c8905e28=370;;2;j0?=h5252a95=0<5<9h69<=;<70g?4e>27>?n4;1g9>16e=<8k018=l:53`?834k3>:n63:3b8765=:=:i1=5<4=41`>17c34?8o7?85:?67f<6?:169>m54338905d2=8870;;2;j08;>5252a9727<5<9h6>8i;<70g?`a34?8o7hk;<70g?`d34?8o7hm;<70g?71k27>?n4>6`9>16e=9?3018=l:04;?834k3;=;63:3b8223=:=:i1=;;4=41`>40334?8o7?92:?67f<6>8169>m51728905d28?m70;;2;j0:9i5252a950e<5<9h6<;m;<70g?72i27>?n4>589>16e=9<=018=l:075?834k3;>963:3b8211=:=:i1=8=4=41`>43534?8o7?:1:?67f<6=9169>m515d8905d28>n70;;2;j0:8o5252a951g<5<9h6<:6;<70g?73027>?n4>469>16e=9=<018=l:066?834k3;?863:3b8206=:=:i1=:<4=41`>41634?8o7?80:?67f<6>o169>m517g8905d28;2;j0:955252a951b<5<9h6<:=;<70g?4f?27>?n4=a49>16e=:h9018=l:3c1?834k3;9=63:3b825c=:=:i1=47c34?8o7?>c:?67f<69k169>m510c8905d28;270;;2;j0:>45252a957><5<9h6<<8;<70g?75>27>?n4>249>16e=9;>018=l:000?834k3;9>63:3b825==:=:i1o95252a9g7=:=:i1o<5252a9g5=:=:i1nk5252a9f`=:=:i1ni5252a9ff=:=:i1no5252a9fd=:=:i1n55252a9f2=:=:i1n;5252a9f0=:=:i1n95252a9f6=:=:i1n?5252a9f4=:=:i1n=5252a9ec=:=:i1on5252a9gg=:=:i1ol5252a9g<=:=:i1o55252a9g2=:=:i1o;5252a9g0=:=:i1n45252a9e`=:=:i1=:o4=41`>41?34?8o7?87:?67a<39l169>j51948905c2=8970;;2;m0?=k5252f904g<5<9o69?l;<70`?26j27>?i4;219>16b=918018=k:53g?834l3;<963:3e8236=:=:n18??4=41g>14434?8h7=85:?67a<4?:169>j53638905c2:;2;m0::l5252f953?<5<9o6<87;<70`?71?27>?i4>679>16b=9??018=k:047?834l3;=>63:3e8224=:=:n1=;>4=41g>43a34?8h7?:e:?67a<6=m169>j514a8905c28?i70;;2;m0:945252f9501<5<9o6<;9;<70`?72=27>?i4>559>16b=9<9018=k:071?834l3;>=63:3e8215=:=:n1=9h4=41g>42b34?8h7?;c:?67a<6j515c8905c28>270;;2;m0:8:5252f9510<5<9o6<::;<70`?73<27>?i4>429>16b=9>8018=k:052?834l3;<<63:3e822c=:=:n1=;k4=41g>40c34?8h7?93:?67a<6=1169>j515f8905c28>970;;2;m09m85252f96d5<5<9o6?o=;<70`?75927>?i4>1g9>16b=98o018=k:03g?834l3;:o63:3e825g=:=:n1=47>34?8h7?=a:?67a<6:0169>j513:8905c288<70;;2;m0:>85252f9572<5<9o6<<<;<70`?75:27>?i4>199>16b=k=169>j5c39>16b=k8169>j5c19>16b=jo169>j5bd9>16b=jm169>j5bb9>16b=jk169>j5b`9>16b=j1169>j5b69>16b=j?169>j5b49>16b=j=169>j5b29>16b=j;169>j5b09>16b=j9169>j5ag9>16b=kj169>j5cc9>16b=kh169>j5c89>16b=k1169>j5c69>16b=k?169>j5c49>16b=j0169>j5ad9>16b=9>k018=k:05;?834l3;<;63:3d875`=:=:o1=584=41f>14534?8i7k540c8905b2=;h70;;2;l0?>=5252g95=4<5<9n69?k;<70a?70=27>?h4>729>16c=<;;018=j:500?834m39<963:3d8036=:=:o1?:?4=41f>60a34?8i7hi;<70a?`c34?8i7hl;<70a?`e34?8i7?9c:?67`<6>h169>k517;8905b28<370;;2;l0::;5252g9533<5<9n6<8;;<70a?71:27>?h4>609>16c=9?:018=j:07e?834m3;>i63:3d821a=:=:o1=8m4=41f>43e34?8i7?:a:?67`<6=0169>k51458905b28?=70;;2;l0:995252g9505<5<9n6<;=;<70a?72927>?h4>519>16c=9=l018=j:06f?834m3;?o63:3d820g=:=:o1=9o4=41f>42>34?8i7?;8:?67`<6<>169>k51548905b28>>70;;2;l0:8>5252g9524<5<9n6<9>;<70a?70827>?h4>6g9>16c=9?o018=j:04g?834m3;=?63:3d821==:=:o1=9j4=41f>42534?8i7k52`18905b2;k970;;2;l0:=k5252g954c<5<9n6?h4>1c9>16c=98k018=j:03:?834m3;9m63:3d826<=:=:o1=?64=41f>44034?8i7?=6:?67`<6:<169>k51368905b288870;;2;l0:=55252g9g1=:=:o1o?5252g9g4=:=:o1o=5252g9fc=:=:o1nh5252g9fa=:=:o1nn5252g9fg=:=:o1nl5252g9f==:=:o1n:5252g9f3=:=:o1n85252g9f1=:=:o1n>5252g9f7=:=:o1n<5252g9f5=:=:o1mk5252g9gf=:=:o1oo5252g9gd=:=:o1o45252g9g==:=:o1o:5252g9g3=:=:o1o85252g9f<=:=:o1mh5252g952g<5<9n6<97;<70a?70?27>?k4;1d9>16`=91<018=i:501?834n38i:63:3g875c=:=:l1817d34?8j7:>b:?67c<3:9169>h51908905a2=;o70;;2;o0:;>5252d9077<5<9m69<<;<70b?50=27>?k4<729>16`=;>;018=i:24e?834n3lm70;40?34?8j7?97:?67c<6>?169>h51778905a28;2;o0::<5252d9536<5<9m6<;i;<70b?72m27>?k4>5e9>16`=9m63:3g821<=:=:l1=894=41e>43134?8j7?:5:?67c<6==169>h51418905a28?970;;2;o0:9=5252d951`<5<9m6<:j;<70b?73k27>?k4>4c9>16`=9=k018=i:06:?834n3;?463:3g8202=:=:l1=984=41e>42234?8j7?;4:?67c<6<:169>h51608905a28=:70;;2;o0::k5252d953c<5<9m6<8k;<70b?71;27>?k4>599>16`=9=n018=i:061?834n38j;63:3g81e0=:=:l1>l=4=41e>7g534?8j7?=1:?67c<69o169>h510g8905a28;o70;;2;o0:=o5252d954g<5<9m6?k4>289>16`=9;2018=i:004?834n3;9:63:3g8260=:=:l1=?:4=41e>44434?8j7?=2:?67c<691169>h5c59>16`=k;169>h5c09>16`=k9169>h5bg9>16`=jl169>h5be9>16`=jj169>h5bc9>16`=jh169>h5b99>16`=j>169>h5b79>16`=j<169>h5b59>16`=j:169>h5b39>16`=j8169>h5b19>16`=io169>h5cb9>16`=kk169>h5c`9>16`=k0169>h5c99>16`=k>169>h5c79>16`=k<169>h5b89>16`=il169>h516c8905a28=370;;2<90?=h5255295=0<5<>;69<=;<774?4e>27>8=4;1g9>116=<8k018:?:53`?83383>:n63:418765=:==:1=5<4=463>17c34??<7?85:?605<6?:1699>5433890272=8870;;0;141>;2<908;>525529727<5<>;6>8i;<774?`a34??<7hk;<774?`d34??<7hm;<774?71k27>8=4>6`9>116=9?3018:?:04;?83383;=;63:418223=:==:1=;;4=463>40334??<7?92:?605<6>81699>51728902728?m70;;0;36a>;2<90:9i52552950e<5<>;6<;m;<774?72i27>8=4>589>116=9<=018:?:075?83383;>963:418211=:==:1=8=4=463>43534??<7?:1:?605<6=91699>515d8902728>n70;;0;37g>;2<90:8o52552951g<5<>;6<:6;<774?73027>8=4>469>116=9=<018:?:066?83383;?863:418206=:==:1=:<4=463>41634??<7?80:?605<6>o1699>517g8902728;2<90:9552552951b<5<>;6<:=;<774?4f?27>8=4=a49>116=:h9018:?:3c1?83383;9=63:41825c=:==:1=47c34??<7?>c:?605<69k1699>510c8902728;270;;0;31e>;2<90:>452552957><5<>;6<<8;<774?75>27>8=4>249>116=9;>018:?:000?83383;9>63:41825==:==:1o9525529g7=:==:1o<525529g5=:==:1nk525529f`=:==:1ni525529ff=:==:1no525529fd=:==:1n5525529f2=:==:1n;525529f0=:==:1n9525529f6=:==:1n?525529f4=:==:1n=525529ec=:==:1on525529gg=:==:1ol525529g<=:==:1o5525529g2=:==:1o;525529g0=:==:1n4525529e`=:==:1=:o4=463>41?34??<7?87:?604<39l1699?5194890262=8970;;1;0a2>;2<80?=k52553904g<5<>:69?l;<775?26j27>8<4;219>117=918018:>:53g?83393;<963:408236=:==;18??4=462>14434??=7=85:?604<4?:1699?5363890262:;2<80::l52553953?<5<>:6<87;<775?71?27>8<4>679>117=9??018:>:047?83393;=>63:408224=:==;1=;>4=462>43a34??=7?:e:?604<6=m1699?514a8902628?i70;;1;36e>;2<80:94525539501<5<>:6<;9;<775?72=27>8<4>559>117=9<9018:>:071?83393;>=63:408215=:==;1=9h4=462>42b34??=7?;c:?604<6270;;1;37<>;2<80:8:525539510<5<>:6<::;<775?73<27>8<4>429>117=9>8018:>:052?83393;<<63:40822c=:==;1=;k4=462>40c34??=7?93:?604<6=11699?515f8902628>970;;1;0b3>;2<809m85255396d5<5<>:6?o=;<775?75927>8<4>1g9>117=98o018:>:03g?83393;:o63:40825g=:==;1=47>34??=7?=a:?604<6:01699?513:89026288<70;;1;312>;2<80:>8525539572<5<>:6<<<;<775?75:27>8<4>199>117=k=1699?5c39>117=k81699?5c19>117=jo1699?5bd9>117=jm1699?5bb9>117=jk1699?5b`9>117=j11699?5b69>117=j?1699?5b49>117=j=1699?5b29>117=j;1699?5b09>117=j91699?5ag9>117=kj1699?5cc9>117=kh1699?5c89>117=k11699?5c69>117=k?1699?5c49>117=j01699?5ad9>117=9>k018:>:05;?83393;<;63:43875`=:==81=584=461>14534??>7;2<;0?>=5255095=4<5<>969?k;<776?70=27>8?4>729>114=<;;018:=:500?833:39<963:438036=:==81?:?4=461>60a34??>7hi;<776?`c34??>7hl;<776?`e34??>7?9c:?607<6>h1699<517;8902528<370;;2;353>;2<;0::;525509533<5<>96<8;;<776?71:27>8?4>609>114=9?:018:=:07e?833:3;>i63:43821a=:==81=8m4=461>43e34??>7?:a:?607<6=01699<51458902528?=70;;2;361>;2<;0:99525509505<5<>96<;=;<776?72927>8?4>519>114=9=l018:=:06f?833:3;?o63:43820g=:==81=9o4=461>42>34??>7?;8:?607<6<>1699<51548902528>>70;;2;370>;2<;0:8>525509524<5<>96<9>;<776?70827>8?4>6g9>114=9?o018:=:04g?833:3;=?63:43821==:==81=9j4=461>42534??>7;2<;0:=k52550954c<5<>968?4>1c9>114=98k018:=:03:?833:3;9m63:43826<=:==81=?64=461>44034??>7?=6:?607<6:<1699<513689025288870;;2;316>;2<;0:=5525509g1=:==81o?525509g4=:==81o=525509fc=:==81nh525509fa=:==81nn525509fg=:==81nl525509f==:==81n:525509f3=:==81n8525509f1=:==81n>525509f7=:==81n<525509f5=:==81mk525509gf=:==81oo525509gd=:==81o4525509g==:==81o:525509g3=:==81o8525509f<=:==81mh52550952g<5<>96<97;<776?70?27>8>4;1d9>115=91<018:<:501?833;38i:63:42875c=:==91817d34???7:>b:?606<3:91699=5190890242=;o70;;3;341>;2<:0:;>525519077<5<>869<<;<777?50=27>8>4<729>115=;>;018:<:24e?833;3lm70;;3;dg?833;3lh70;;3;da?833;3;=o63:42822d=:==91=;74=460>40?34???7?97:?606<6>?1699=51778902428;2<:0::<525519536<5<>86<;i;<777?72m27>8>4>5e9>115=9m63:42821<=:==91=894=460>43134???7?:5:?606<6==1699=51418902428?970;;3;365>;2<:0:9=52551951`<5<>86<:j;<777?73k27>8>4>4c9>115=9=k018:<:06:?833;3;?463:428202=:==91=984=460>42234???7?;4:?606<6<:1699=51608902428=:70;;3;344>;2<:0::k52551953c<5<>86<8k;<777?71;27>8>4>599>115=9=n018:<:061?833;38j;63:4281e0=:==91>l=4=460>7g534???7?=1:?606<69o1699=510g8902428;o70;;3;32g>;2<:0:=o52551954g<5<>868>4>289>115=9;2018:<:004?833;3;9:63:428260=:==91=?:4=460>44434???7?=2:?606<6911699=5c59>115=k;1699=5c09>115=k91699=5bg9>115=jl1699=5be9>115=jj1699=5bc9>115=jh1699=5b99>115=j>1699=5b79>115=j<1699=5b59>115=j:1699=5b39>115=j81699=5b19>115=io1699=5cb9>115=kk1699=5c`9>115=k01699=5c99>115=k>1699=5c79>115=k<1699=5b89>115=il1699=516c8902428=370;;3;343>;2<=0?=552557904><5<>=69?7;<773?26027>854;199>11?=<82018:n:53;?833j3>:463:4b875==:==n18<64=46f>17?34??j7:>8:?615<3911698?540:890352=;370lk4;3:5>;elo0:5<52c5c95<7<5m8o65l4=e0g>=e<5m8m6574=e0e>=g<5m8m65m4=e12>=g<5m9:65l4=e12>=e<5m986574=e10>=g<5m9865l4=e10>=e<5m9>6574=e16>=g<5m9>65l4=e16>=e<5m9<6574=e14>=g<5m9<65l4=e14>=e<5m926574=e1:>=g<5m9265l4=e1:>=e<5m9i6574=e1a>=g<5m9i65l4=e1a>=e<5m9o6574=e1g>=g<5m9o65l4=e1g>=e<5m9m6574=e1e>=g<5m9m65l4=e1e>=e<5m>:6574=e62>=g<5m>:65l4=e62>=e<5m>86574=e60>=g<5m>865l4=e60>=e<5m>>6574=e66>=g<5m>>65l4=e66>=e<5m><6574=e64>=g<5m><65l4=e64>=e<5m>26574=e6:>=g<5m>265l4=e6:>=e<5m>i6574=e6a>=g<5m>i65l4=e6a>=e<5m>o6574=e6g>=g<5m>o65l4=e6g>=e<5m>m6574=e6e>=g<5m>m65l4=e6e>=e<5m?:6574=e72>=g<5m?:65l4=e72>=e<5m?86574=e70>=d<5m?865m4=e76>=?<5m?>65o4=e76>=d<5m?>65m4=e74>=?<5m?<65o4=e74>=d<5m?<65m4=e7:>=g<5m?265l4=e7:>=e<5m?i6574=e7a>=d<5m?i65m4=e7g>=d<5m?o65m4=e7e>=g<5m?m65l4=e7e>=e<5m<:65o4=e42>=d<5m<:65m4=e40>=g<5m<865l4=e40>=e<5m<>65o4=e46>=d<5m<>65m4=e44>=g<5m<<65l4=e44>=e<5m<265o4=e4:>=d<5m<265m4=e4a>=g<5m=e<5m=d<5m=g<5m=e<5m=:65o4=e52>=d<5m=:65m4=e50>=g<5m=865l4=e50>=e<5m=>65o4=e56>=d<5m=>65m4=e54>=g<5m=<65l4=e54>=e<5m=265o4=e5:>=d<5m=265m4=e5a>=g<5m=i65l4=e5a>=e<5m=o65o4=e5g>=d<5m=o65m4=e5e>=g<5m=m65l4=e5e>=e<5m2:65o4=e:2>=d<5m2:65m4=e:0>=g<5m2865l4=e:0>=e<5m2>65o4=e:6>=d<5m2>65m4=e:4>=g<5m2<65l4=e:4>=e<5m2265o4=e::>=d<5m2265m4=e:a>=g<5m2i65l4=e:a>=e<5m2o65o4=e:g>=d<5m2o65m4=e:e>=g<5m2m65l4=e:e>=e<5m3:65o4=e;2>=d<5m3:65m4=e;0>=g<5m3865l4=e;0>=e<5m3>65o4=e;6>=d<5m3>65m4=e;4>=g<5m3<65l4=e;4>=e<5m3265o4=e;:>=d<5m3265m4=e;a>=g<5m3i65l4=e;a>=e<5m3o65o4=e;g>=d<5m3o65m4=e;e>=g<5m3m65l4=e;e>=e<5mk:65o4=ec2>=d<5mk:65m4=ec0>=g<5mk865l4=ec0>=e<5mk>65o4=ec6>=d<5mk>65m4=ec4>=g<5mk<65l4=ec4>=e<5mk265o4=ec:>=d<5mk265m4=eca>=g<5mki65l4=eca>=e<5mko65o4=ecg>=d<5mko65m4=ece>=g<5mkm65l4=ece>=e<5mh:65o4=e`2>=d<5mh:65m4=e`0>=g<5mh865l4=e`0>=e<5mh>65o4=e`6>=d<5mh>65m4=e`4>=g<5mh<65l4=e`4>=e<5mh265o4=e`:>=d<5mh265m4=e`a>=?<5mhi65o4=e`a>=d<5mhi65m4=e`g>=g<5mho65l4=e`g>=e<5mhm6574=e`e>=g<5mhm65l4=e`e>=e<5mi:6574=ea2>=g<5mi:65l4=ea2>=e<5mi86574=ea0>=g<5mi865l4=ea0>=e<5mi>6574=ea6>=g<5mi>65l4=ea6>=e<5mi<6574=ea4>=g<5mi<65l4=ea4>=e<5mi26574=ea:>=g<5mi265l4=ea:>=e<5mii6574=eaa>=g<5mii65l4=eaa>=e<5mio6574=eag>=g<5mio65l4=eag>=e<5mim6574=eae>=g<5mim65l4=eae>=e<5mn:6574=ef2>=g<5mn:65l4=ef2>=e<5mn86574=ef0>=g<5mn865l4=ef0>=e<5mn>6574=ef6>=g<5mn>65l4=ef6>=e<5mn<6574=ef4>=g<5mn<65l4=ef4>=e<5mn26574=ef:>=g<5mn265l4=ef:>=e<5mni6574=efa>=g<5mni65l4=efa>=e<5mno6574=efg>=g<5mno65l4=efg>=e<5mnm6574=efe>=g<5mnm65l4=efe>=e<5mo:6574=eg2>=g<5mo:65l4=eg2>=e<5mo86574=eg0>=g<5mo865l4=eg0>=e<5mo>6574=eg6>=g<5mo>65l4=eg6>=e<5mo<6574=eg4>=g<5mo<65l4=eg4>=e<5mo26574=eg:>=g<5mo265l4=eg:>=e<5moi6574=ega>=g<5moi65l4=ega>=e<5moo6574=egg>=g<5moo65l4=egg>=e<5mom6574=ege>=g<5mom65l4=ege>=e<5ml:6574=ed2>=g<5ml:65l4=ed2>=e<5ml86574=ed0>=g<5ml865l4=ed0>=e<5ml>6574=ed6>=g<5ml>65l4=ed6>=e<5ml<6574=ed4>=g<5ml<65l4=ed4>=e<5ml26574=ed:>=g<5ml265l4=ed:>=e<5mli6574=eda>=g<5mli65l4=eda>=e<5mlo6574=edg>=g<5mlo65l4=edg>=e<5mlm6574=ede>=g<5mlm65l4=ede>=e<5l::6574=d22>=g<5l::65l4=d22>=e<5l:86574=d20>=g<5l:865l4=d20>=e<5l:>6574=d26>=g<5l:>65l4=d26>=e<5l:<6574=d24>=g<5l:<65l4=d24>=e<5l:26574=d2:>=g<5l:265l4=d2:>=e<5l:i6574=d2a>=g<5l:i65l4=d2a>=e<5l:o6574=d2g>=g<5l:o65l4=d2g>=e<5l:m6574=d2e>=g<5l:m65l4=d2e>=e<5l;:6574=d32>=g<5l;:65l4=d32>=e<5l;86574=d30>=g<5l;865l4=d30>=e<5l;>6574=d36>=g<5l;>65l4=d36>=e<5l;<6574=d34>=g<5l;<65l4=d34>=e<5l;26574=d3:>=g<5l;265l4=d3:>=e<5l;i6574=d3a>=g<5l;i65l4=d3a>=e<5l;o6574=d3g>=d<5l;m6574=d3e>=d<5l8:6574=d02>=d<5l886574=d00>=d<5l8>6574=d06>=d<5l8<6574=d04>=d<5l826574=d0:>=d<5l8i6574=d0a>=d<5l8o6574=d0g>=d<5l8m6574=d0e>=d<5l9:6574=d12>=d<5l986574=d10>=d<5l9>6574=d16>=d<5l9<6574=d14>=d<5l926574=d1:>=d<5l9i6574=d1a>=d<5l9o6574=d1g>=d<5l9m6574=d1e>=d<5l>:6574=d62>=d<5l>86574=d60>=d<5l>>6574=d66>=d<5l><6574=d64>=d<5l>26574=d6:>=d<5l>i6574=d6a>=d<5l>o6574=d6g>=d<5l>m6574=d6e>=d<5l?:6574=d72>=d<5l?86574=d70>=d<5l?>6574=d76>=d<5l?<6574=d74>=d<5l?26574=d7:>=d<5l?i6574=d7a>=d<5l?o6574=d7g>=d<5l?m6574=d7e>=d<5l<:6574=d42>=d<5l<86574=d40>=d<5l<>6574=d46>=d<5l<<6574=d44>=d<5l<26574=d4:>=d<5l=d<5l=d<5l=d<5l=:6574=d52>=d<5l=86574=d50>=d<5l=>6574=d56>=d<5l=<6574=d54>=d<5l=26574=d5:>=d<5l=i6574=d5a>=d<5l=o6574=d5g>=g<5l=m6574=d5e>=g<5l=m65l4=d5e>=e<5l2:6574=d:2>=g<5l2:65l4=d:2>=e<5l286574=d:0>=g<5l2865l4=d:0>=e<5l2>6574=d:6>=g<5l2>65l4=d:6>=e<5l2<6574=d:4>=g<5l2<65l4=d:4>=e<5l226574=d::>=g<5l2265l4=d::>=e<5l2i6574=d:a>=g<5l2i65l4=d:a>=e<5l2o6574=d:g>=g<5l2o65l4=d:g>=e<5l2m6574=d:e>=g<5l2m65l4=d:e>=e<5l3:6574=d;2>=g<5l3:65l4=d;2>=e<5l386574=d;0>=g<5l3865l4=d;0>=e<5l3>6574=d;6>=g<5l3>65l4=d;6>=e<5l3<6574=d;4>=g<5l3<65l4=d;4>=e<5l326574=d;:>=g<5l3265l4=d;:>=e<5l3i6574=d;a>=g<5l3i65l4=d;a>=e<5l3o6574=d;g>=g<5l3o65l4=d;g>=e<5l3m6574=d;e>=g<5l3m65l4=d;e>=e<5lk:6574=dc2>=g<5lk:65l4=dc2>=e<5lk86574=dc0>=g<5lk865l4=dc0>=e<5lk>6574=dc6>=g<5lk>65l4=dc6>=e<5lk<6574=dc4>=g<5lk<65l4=dc4>=e<5lk26574=dc:>=g<5lk265l4=dc:>=e<5lki6574=dca>=g<5lki65l4=dca>=e<5lko6574=dcg>=g<5lko65l4=dcg>=e<5lkm6574=dce>=g<5lkm65l4=dce>=e<5lh:6574=d`2>=g<5lh:65l4=d`2>=e<5lh86574=d`0>=g<5lh865l4=d`0>=e<5lh>6574=d`6>=g<5lh>65l4=d`6>=e<5lh<6574=d`4>=g<5lh<65l4=d`4>=e<5lh26574=d`:>=g<5lh265l4=d`:>=e<5lhi6574=d`a>=g<5lhi65l4=d`a>=e<5lho6574=d`g>=g<5lho65l4=d`g>=e<5lhm6574=d`e>=g<5lhm65l4=d`e>=e<5li:6574=da2>=g<5li:65l4=da2>=e<5li86574=da0>=g<5li865l4=da0>=e<5li>6574=da6>=g<5li>65l4=da6>=e<5li<6574=da4>=g<5li<65l4=da4>=e<5li26574=da:>=g<5li265l4=da:>=e<5lii6574=daa>=g<5lii65l4=daa>=e<5lio6574=dag>=g<5lio65l4=dag>=e<5lim6574=dae>=g<5lim65l4=dae>=e<5ln:6574=df2>=g<5ln:65l4=df2>=e<5ln86574=df0>=g<5ln865l4=df0>=e<5ln>6574=df6>=g<5ln>65l4=df6>=e<5ln<6574=df4>=g<5ln<65l4=df4>=e<5ln26574=df:>=g<5ln265l4=df:>=e<5lni6574=dfa>=g<5lni65l4=dfa>=e<5lno6574=dfg>=g<5lno65l4=dfg>=e<5lnm6574=dfe>=g<5lnm65l4=dfe>=e<5lo:65m4=dg0>=e<5lo>65m4=dg4>=e<5lo265m4=dga>=e<5loo65m4=dge>=e<5ll:65m4=dd0>=e<5ll>65m4=dd4>=e<5ll265m4=dda>=e<5llo65m4=dde>=e<5o::65m4=g20>=e<5o:>65m4=g24>=e<5o:265m4=g2a>=e<5o:o65m4=g2e>=e<5o;:65m4=g30>=e<5o;>65m4=g34>=e<5o;265m4=g3a>=e<5o;o65m4=g3e>=e<5o8:65m4=g00>=e<5o8>65m4=g04>=e<5o8265m4=g0a>=e<5o8o65m4=g0e>=e<5o9:65m4=g10>=e<5o9>65m4=g14>=e<5o9265m4=g1a>=e<5o9o65m4=g1e>=e<5o>:6574=g62>=g<5o>:65l4=g60>=?<5o>865o4=g60>=d<5o>865m4=g66>=?<5o>>65o4=g66>=d<5o>>65m4=g64>=?<5o><65o4=g64>=d<5o><65m4=g6:>=?<5o>265o4=g6:>=d<5o>265m4=g6a>=?<5o>i65o4=g6a>=d<5o>i65m4=g6g>=?<5o>o65o4=g6g>=d<5o>o65m4=g6e>=?<5o>m65o4=g6e>=d<5o>m65m4=g72>=?<5o?:65o4=g72>=d<5o?:65m4=g70>=?<5o?865o4=g70>=d<5o?865m4=g76>=?<5o?>65o4=g76>=d<5o?>65m4=g74>=?<5o?<65o4=g74>=d<5o?<65m4=g7:>=?<5o?265o4=g7:>=d<5o?265m4=g7a>=?<5o?i65o4=g7a>=d<5o?i65m4=g7g>=?<5o?o65o4=g7g>=d<5o?o65m4=g7e>=?<5o?m65o4=g7e>=d<5o?m65m4=g42>=?<5o<:65o4=g42>=d<5o<:65m4=g40>=?<5o<865o4=g40>=d<5o<865m4=g46>=?<5o<>65o4=g46>=d<5o<>65m4=g44>=?<5o<<65o4=g44>=d<5o<<65m4=g4:>=?<5o<265o4=g4:>=d<5o<265m4=g4a>=?<5o=d<5o=?<5o=d<5o=?<5o=d<5o=?<5o=:65o4=g52>=d<5o=:65m4=g50>=?<5o=865o4=g50>=d<5o=865m4=g56>=?<5o=>65o4=g56>=d<5o=>65m4=g54>=?<5o=<65o4=g54>=d<5o=<65m4=g5:>=?<5o=265o4=g5:>=d<5o=265m4=g5a>=?<5o=i65o4=g5a>=d<5o=i65m4=g5g>=?<5o=o65o4=g5g>=d<5o=o65m4=g5e>=?<5o=m65o4=g5e>=d<5o=m65m4=g:2>=?<5o2:65o4=g:2>=d<5o2:65m4=g:0>=?<5o2865o4=g:0>=d<5o2865m4=g:6>=?<5o2>65o4=g:6>=d<5o2>65m4=g:4>=?<5o2<65o4=g:4>=d<5o2<65m4=g::>=?<5o2265o4=g::>=d<5o2265m4=g:a>=?<5o2i65o4=g:a>=d<5o2i65m4=g:g>=?<5o2o65o4=g:g>=d<5o2o65m4=g:e>=?<5o2m65o4=g:e>=d<5o2m65m4=g;2>=?<5o3:65o4=g;2>=d<5o3:65m4=g;0>=?<5o3865o4=g;0>=d<5o3865m4=g;6>=?<5o3>65o4=g;6>=d<5o3>65m4=g;4>=?<5o3<65o4=g;4>=d<5o3<65m4=g;:>=?<5o3265o4=g;:>=d<5o3265m4=g;a>=?<5o3i65o4=g;a>=d<5o3i65m4=g;g>=?<5o3o65o4=g;g>=d<5o3o65m4=g;e>=?<5o3m65o4=g;e>=d<5o3m65m4=gc2>=?<5ok:65o4=gc2>=d<5ok:65m4=gc0>=e<5ok>6574=gc6>=g<5ok>65l4=gc6>=e<5ok<6574=gc4>=g<5ok<65l4=gc4>=e<5ok26574=gc:>=g<5ok265l4=gc:>=e<5oki6574=gca>=g<5oki65l4=gca>=e<5oko6574=gcg>=g<5oko65l4=gcg>=e<5okm6574=gce>=g<5okm65l4=gce>=e<5oh:6574=g`2>=g<5oh:65l4=g`2>=e<5oh86574=g`0>=g<5oh865l4=g`0>=e<5oh>6574=g`6>=g<5oh>65l4=g`6>=e<5oh<6574=g`4>=g<5oh<65l4=g`4>=e<5oh26574=g`:>=g<5oh265l4=g`:>=e<5ohi6574=g`a>=g<5ohi65l4=g`a>=e<5oho6574=g`g>=g<5oho65l4=g`g>=e<5ohm6574=g`e>=g<5ohm65l4=g`e>=e<5oi:6574=ga2>=g<5oi:65l4=ga2>=e<5oi86574=ga0>=g<5oi865l4=ga0>=e<5oi>6574=ga6>=g<5oi>65l4=ga6>=e<5oi<6574=ga4>=g<5oi<65l4=ga4>=e<5oi26574=ga:>=g<5oi265l4=ga:>=e<5oii6574=gaa>=g<5oii65l4=gaa>=e<5oio6574=gag>=g<5oio65l4=gag>=e<5oim6574=gae>=g<5oim65l4=gae>=e<5on:6574=gf2>=g<5on:65l4=gf2>=e<5on86574=gf0>=g<5on865l4=gf0>=e<5on>6574=gf6>=g<5on>65l4=gf6>=e<5on<6574=gf4>=g<5on<65l4=gf4>=e<5on26574=gf:>=g<5on265l4=gf:>=e<5oni6574=gfa>=g<5oni65l4=gfa>=e<5ono6574=gfg>=g<5ono65l4=gfg>=e<5onm6574=gfe>=g<5onm65l4=gfe>=e<5oo:6574=gg2>=g<5oo:65l4=gg2>=e<5oo86574=gg0>=g<5oo865l4=gg0>=e<5oo>6574=gg6>=g<5oo>65l4=gg6>=e<5oo<6574=gg4>=g<5oo<65l4=gg4>=e<5oo26574=gg:>=g<5oo265l4=gg:>=e<5ooi6574=gga>=g<5ooi65l4=gga>=e<5ooo6574=ggg>=g<5ooo65l4=ggg>=e<5oom6574=gge>=g<5oom65l4=gge>=e<5ol:6574=gd2>=g<5ol:65l4=gd2>=e<5ol86574=gd0>=g<5ol865l4=gd0>=e<5ol>6574=gd6>=g<5ol>65l4=gd6>=e<5ol<6574=gd4>=g<5ol<65l4=gd4>=e<5ol26574=gd:>=g<5ol265l4=gd:>=e<5oli6574=gda>=g<5oli65l4=gda>=e<5olo6574=gdg>=g<5olo65l4=gdg>=e<5olm6574=gde>=g<5olm65l4=gde>=e<58:;=766;<3344556621i01<>?3;::?8778:03m63>0119>34;;<847a:?2453=0k16==>::9a89467?32270??068;e>;689=14o521124>=e<58:;5766;<334<556>21i01<>?b;::?8778k03m63>01`9>34;;k:9a89467n32270??0g8;e>;689l14o52112e>=e<58::=766;<3354557621i01<>>3;::?8779:03m63>0019>34;;=847a:?2443=0k16==?::9a89466?32270??168;e>;688=14o521134>=e<58::5766;<335<557>21i01<>>b;::?8779k03m63>00`9>34;;=i47a:?244b=0k16==?k:9a89466n32270??1g8;e>;688l14o52113e>=e<58:9=766;<3364554621i01<>=3;::?877::03m63>0319>34;;>847a:?2473=0k16==<::9a89465?32270??268;e>;68;=14o521104>=e<58:95766;<336<554>21i01<>=b;::?877:k03m63>03`9>34;;>i47a:?247b=0k16==;68;l14o52110e>=e<58:8=766;<3374?58c9>555621i01<><3;::?877;:03m63>0219>34;;?847a:?2463=0k16===::9a89464?32270??368;e>;68:=14o521114>=e<58:85766;<337<758c9>555>21i01<>02`9>34;;?i47a:?246b=0k16===k:9a89464n32270??3g8;e>;68:l14o52111e>=e<58:?=766;<3304552621i01<>;3;::?877<:03m63>0519865m4=0271?>>34;;8847a:?2413=0k16==:::9a89463?32270??468;e>;68==14o521164>=e<58:?5766;<330<552>21i01<>;b;::?87705`9i65m4=027`?>>34;;8i47a:?241b=0k16==:k:9a89463n32270??4g8;e>;68=l14o52116e>=e<58:>=766;<3314553621i01<>:3;::?877=:03m63>0419>34;;9847a:?2403=0k16==;::9a89462?32270??568;e>;68<=14o521174>=e<58:>5766;<331<553>21i01<>:b;::?877=k03m63>04`9>34;;9i47a:?240b=0k16==;k:9a89462n32270??5g8;e>;68=e<58:==766;<3324550621i01<>93;::?877>:03m63>071927hhk4=9e9~w4d4=3:1?vP>2b589f6a2=;=70mm0;0:b>{t9k9=6=4<{_31g3=:k9o18<84=bcf>7?a3ty:n>950;1xZ44d=27hgde=:0l0q~?m3983>6}Y9;i?70m?c;622>;dim095k5rs0`0=?6=;rT:>n=4=b2a>17134ijn7<6f:p5g5f2908wS?=c39>g5g=<8<01no6:3;e?xu6j:h1<7=t^00`5>;d800?=;52c`c96<`6:?`e=<51o1v8:535?8ef>382j6s|1c1f>5<4sW;9ni52c149040<5jk<6?7i;|q2f6`=839pR<uQ13`a?8e7<3>::63la281=c=z{8h?=7>53z\26gg<5j:869?9;n2wx=o:=:180[75j016o=<540489fg52;3m7p}>b5194?5|V88i463l008753=:kh:1>4h4}r3a01<72:qU=?l8;27hm<4=9g9~w4d3=3:1?vP>2c489g`a2=;=70m6f;0:b>{t9k>=6=4<{_31f1=:joo18<84=b;g>7?a3ty:n9950;1xZ44e;27iji4;179>g6}Y9;h970lic;622>;d1j095k5rs0`7=?6=;rT:>o?4=cda>17134i2m7<6f:p5g2f2908wS?=b19>fcg=<8<01n7m:3;e?xu6j=h1<7=t^00bb>;en00?=;52c8;96<`6:?`=2<51o1v0382j6s|1c6f>5<4sW;9mn52bg49040<5j3=6?7i;|q2f1`=839pR<uQ13c:?8da<3>::63l9481=c=z{8h>=7>53z\26d><5kl869?9;n2wx=o;=:180[75i>16nk<540489f?62;3m7p}>b4194?5|V88j:63mf08753=:k081>4h4}r3a11<72:qU=?o:;<`e4?26>27h5=4=9g9~w4d2=3:1?vP>2`689gca2=;=70m7e;0:b>{t9k?=6=4<{_31e6=:jlo18<84=b:e>7?a3ty:n8950;1xZ44f:27iii4;179>g=b=:0l0q~?m5983>6}Y9;k:70ljc;622>;d0k095k5rs0`6=?6=;rT:>l>4=cga>17134i3o7<6f:p5g3f2908wS?=cb9>f`g=<8<01n6n:3;e?xu6j;em00?=;52c9:96<`6:?`<<<51o1v5<4sW;9o552bd49040<5j2>6?7i;|q2f0`=839pR<90;6>uQ13`6?8db<3>::63l8581=c=z{8h==7>53z\26dg<5ko869?9;n2wx=o8=:180[751o16nh<540489f>42;3m7p}>b7194?5|V882i63me08753=:k1;1>4h4}r3a21<72:qU=999;27hhi4=9g9~w4d1=3:1?vP>46789f5c2=;=70mke;0:b>{t9k<=6=4<{_3731=:k:i18<84=bf`>7?a3ty:n;950;1xZ420;27h?o4;179>gag=:0l0q~?m6983>6}Y9==970m;dlk095k5rs0`5=?6=;rT:8:?4=b1:>17134io57<6f:p5g0f2908wS?;719>g6>=<8<01nj8:3;e?xu6j?h1<7=t^065a>;d;>0?=;52ce:96<`6:?``3<51o1v5<4sW;?:o52c269040<5jn>6?7i;|q2f3`=839pR<:9a:?`76<39?16oi=528d8yv7e?90;6>uQ154:?8e4:3>::63ld081=c=z{8h<=7>53z\203><5j9:69?9;n2wx=o9=:180[73>>16o>>540489fb72;3m7p}>b6194?5|V8>=:63l2g8753=:kjo1>4h4}r3a31<72:qU=98:;27hok4=9g9~w4d0=3:1?vP>47189f4c2=;=70mld;0:b>{t9k==6=4<{_3727=:k;i18<84=baa>7?a3ty:n:950;1xZ421927h>o4;179>gfe=:0l0q~?m7983>6}Y9=<;70m=a;622>;dkh095k5rs0`4=?6=;rT:88h4=b0:>17134ih47<6f:p5g1f2908wS?;5d9>g7>=<8<01nm6:3;e?xu6j>h1<7=t^066`>;d:>0?=;52cb596<`6:?`g0<51o1v382j6s|1c5f>5<4sW;?9l52c369040<5ji?6?7i;|q2f2`=839pR<::8:?`66<39?16on<528d8yv7e090;6>uQ1574?8e5:3>::63lc281=c=z{8h3=7>53z\2000<5j8:69?9;n2wx=o6=:180[73=<16o?>540489fda2;3m7p}>b9194?5|V8>>863l1g8753=:kj:1>4h4}r3a<1<72:qU=9;<;27hnh4=9g9~w4d?=3:1?vP>44089f7c2=;=70mmc;0:b>{t9k2=6=4<{_3714=:k8i18<84=b`g>7?a3ty:n5950;1xZ422827h=o4;179>ggd=:0l0q~?m8983>6}Y9=>m70m>a;622>;dj0095k5rs0`;=?6=;rT:8:l4=b3:>17134iim7<6f:p5g>f2908wS?;7`9>g4>=<8<01nl7:3;e?xu6j1h1<7=t^064=>;d9>0?=;52cc496<`6:?`f2<51o1v=01n?::535?8ee=382j6s|1c:f>5<4sW;?:k52c069040<5jh86?7i;|q2f=`=839pR<:94:?`56<39?16oo:528d8yv7e190;6>uQ157:?8e6:3>::63lb381=c=z{8h2=7>53z\201c<5j;:69?9;n2wx=o7=:180[73540489fga2;3m7p}>b8194?708rT:mh74=575>61f34>>57?61:?726<5ih168;;5183891012;k?70:97;0b0>;3m=09ml524d:95<7<5=oi6<7>;<73=<4=a`9>14d=:hk018<9:35f?835n3861734?847=9e:?67=<5i=169>753618905>2:=970;<9;144>;2;008:h5252;96d2<5<9j6>9=;<70e?50827>?l4<6d9>16g=:h>018=m:251?834j39<<63:3c802`=:=:h1>l:4=41`>61534?8o7=80:?67f<4>l169>m52`68905c2:=970;;2;m08:h5252f96d2<5<9n6>9=;<70a?50827>?h4<6d9>16c=:h>018=i:251?834n39<<63:3g802`=:=:l1>l:4=463>61534??<7=80:?605<4>l1699>52`6890262:=970;;1;144>;2<808:h5255396d2<5<>96>9=;<776?50827>8?4<6d9>114=:h>018:<:251?833;39<<63:42802`=:==91>l:4=b6b>71b34n9h766;f34n9j76m;>34n>?76n;>34n>n76n;>34n>h76n;>34n==766;>34n=9766;>34n=5766;>34n=h766;>34n<=766;>34n<9766;>34n<5766;>34n>34n3=766;>34n39766;>34n35766;>34n3h766;>34n2=766;>34n29766;>34n25766;>34n2h766;>34nj=766;>34nj9766;>34nj5766;>34njh766;>34ni=766;>34ni9766;>34ni5766;>34o:h76n;d34o:j76n;d34o9=76n;d34o9?76n;d34o9976n;d34o9;76n;d34o9576n;d34o9n76n;d34o9h76n;d34o9j76n;d34o8=76n;d34o8?76n;d34o8976n;d34o8;76n;d34o8576n;d34o8n76n;d34o8h76n;d34o8j76n;d34o?=76n;d34o??76n;d34o?976n;d34o?;76n;d34o?576n;d34o?n76n;d34o?h76n;d34o?j76n;d34o>=76n;d34o>?76n;d34o>976n;d34o>;76n;d34o>576n;d34o>n76n;d34o>h76n;d34o>j76n;d34o==76n;d34o=?76n;d34o=976n;d34o=;76n;d34o=576n;d34o=n76n;d34o=h76n;d34o=j76n;d34o<=76n;d34od34o<976n;d34o<;76n;d34o<576n;d34od34od34on=766;f34on=76m;>34on?76n;e34on9766;f34on976m;>34on;76n;e34on5766;f34on576m;>34onn76n;e34onh766;f34onh76m;>34onj76n;e34om=766;f34om=76m;>34om?76n;e34om9766;f34om976m;>34om;76n;e34om5766;f34om576m;>34omn76n;e34omh766;f34omh76m;>34omj76n;e34l;=766;f34l;=76m;>34l;?76n;e34l;9766;f34l;976m;>34l;;76n;e34l;5766;f34l;576m;>34l;n76n;e34l;h766;f34l;h76m;>34l;j76n;e34l:=766;f34l:=76m;>34l:?76n;e34l:9766;f34l:976m;>34l:;76n;e34l:5766;f34l:576m;>34l:n76n;e34l:h766;f34l:h76m;>34l:j76n;e34l9=766;f34l9=76m;>34l9?76n;e34l99766;f34l9976m;>34l9;76n;e34l95766;f34l9576m;>34l9n76n;e34l9h766;f34l9h76m;>34l9j76n;e34l8=766;f34l8=76m;>34l8?76n;e34l89766;f34l8976m;>34l8;76n;e34l85766;f34l8576m;>34l8n76n;e34l8h766;f34l8h76m;>34l8j76n;e34l?=76l;>34lj?76n;e3ty:n4:50;0xZ4gb027?;84;179~w4d>=3:1>vP>0868911>2=;=7p}>b8494?4|5=?<6<78;<66::63;59813`=:k4m4=e32>7?c34n:87<6e:p5g??2909w0::8;3:5>;3=008:o5rs0`:=?6=:r7?954<6c9>00d=90;0q~?m9`83>7}:<<318?:4=b6f>60e3ty:n4l50;0x913f283<70::b;0bf>{t9k3h6=4;{<66e?26>27h;?4=9e9>g25=:0o01n9;:3;g?xu6j0n1<714334imj7=9b:p5g?b290?w0::c;04a>;d=8095n52cd79040<5m8:6?7m;|q2f<`=839p19;l:507?822l38jm63l50802g=z{8hj<7>52z?71a<4>k16oh;51858yv7ei80;6?u244g95<1<5j>i6>8m;|q2fd4=83>p19;j:535?821>39<>63;668037=:k=h1>4h4}r3ae6<72;q688h518589f352:b`694?2|5=?m69?9;<652?51n27?::4<6g9>g04=:0o0q~?ma483>7}:60e3ty:nl850;4x91072=;=70:96;144>;3>?08:h524759726<5=<<6>8j;m2wx=oo8:18182193;2=63;65802g=z{8hj47>54z?724<5?l168:m51858911d2=;=70j=0;0:f>{t9kk26=4={<655?25<27o>=4<6c9~w4dfi3:1>v3;60802g=:b`a94?4|5=<969<;;283<7p}>b`d94?4|5=;<651?51j2wx=ol?:187821<384m4=b7:>7?d3ty:no?50;0x91032=8?70m:8;15f>{t9kh96=4={<650?4fj27?:o4>969~w4de;3:1>v3;648761=:k<<1?;l4}r3af1<72;q68;853ec8905e2=:i7p}>bc794?4|5=<=6>j7;<70f?2712wx=ol9:181821>39o;63:3c874==z{8hi;7>52z?723<4l?169>l54158yv7ej10;6?u247497a3<5<9i69>9;|q2fg?=838p1989:2f7?834j3>;96s|1c`b>5<5s4>=:7=k3:?67g<38=1v?08h?5252`9055030=;m:018=m:522?xu6jkn1<76ea34?8n7:?0:p5gdb2909w0:96;1`a>;2;k08jk5rs0`ab?6=:r7?:;416d=;oo0q~?mc183>7}:6`c3ty:nn?50;0x91012:ii70;{t9ki96=4={<652?5di27>?o4v3;6780g<=:=:h1?ko4}r3ag1<72;q68;853b:8905e2:l27p}>bb794?4|5=<=6>m8;<70f?5a02wx=om9:181821>39h963:3c80b3=z{8hh;7>52z?723<4k=169>l53g78yv7ek10;6?u247497f5<5<9i6>h;;|q2ff?=838p1989:2a1?834j39m?6s|1cab>5<5s4>=:7=l1:?67g<4n;1v?08o=5252`97c7030=;kl018=m:2d3?xu6jjn1<76db34?8n7=jf:p5geb2909w0:96;1a`>;2;k08ih5rs0``b?6=:r7?:;416d=;ln0q~?md183>7}:6ce3ty:ni?50;0x91012:h270;{t9kn96=4={<652?5e027>?o4v3;6780f2=:=:h1?h64}r3a`1<72;q68;853c48905e2:o<7p}>be794?4|5=<=6>l:;<70f?5b>2wx=oj9:181821>39i863:3c80a0=z{8ho;7>52z?723<4j:169>l53d68yv7el10;6?u247497g4<5<9i6>k<;|q2fa?=838p1989:2`2?834j39n>6s|1cfb>5<5s4>=:7=j0:?67g<3981v?08hk5252`9046030=;mo018=m:52e?xu6jmn1<76bc34?8n7:?e:p5gbb2909w0:96;1gg>;2;k0?16d=<9i0q~?me183>7}:1653ty:nh?50;0x91012:i=70;{t9ko96=4={<652?5ej27>?o4v3;6780f5=:=:h1?h?4}r3aa1<72:q68;8511f8910028:o70lnd;067>{t9ko>6=4<{<652?77j27?::4>0c9>fdb=:<;0q~?me783>6}:46f34hjh7<:0:p5gc02908w0:96;33=>;3>>0:<452b`f961`030=99201988:02;?8dfl38?i6s|1cg:>5<4s4>=:7??7:?722<68>16nlj525f8yv7emh0;6>u24749550<5=<<6<>9;<`b`?43k2wx=okm:180821>3;;963;668240=:jhn1>9l4}r3aaf<72:q68;851048910028;=70lnd;04f>{t9koo6=4<{<652?76=27?::4>149>fdb=:>k0q~?med83>6}:47334hjh7<89:p5gca2908w0:96;327>;3>>0:=>52b`f962>030=98801988:031?8dfl38<;6s|1cd2>5<4s4>=:7?>1:?722<69816nlj52648yv7en;0;6>u24749546<5=<<63;;j63;66824c=:jhn1>;?4}r3ab1<72:q68;8511g8910028:n70lnd;062>{t9kl>6=4<{<652?77<27?::4>059>fdb=:=k0q~?mf783>7}:7?b3ty:nk950;0x91012:k970mke;0:a>{t9kl36=4={<652?5f927hhn4=9d9~w4da13:1>v3;6780e5=:kmk1>4k4}r3abd<72;q68;8538d89fbe2;3n7p}>bg`94?4|5=<=6>7j;m2wx=ohl:181821>392h63ld681=`=z{8hmh7>52z?723<41k16oi6528g8yv7enl0;6?u2474975<5s4>=:7=68:?``0<51l1v?085:52ce196030=;0<01nj>:3;f?xu6k991<76?234io>7<6e:p5f632909w0:96;1:0>;dl9095h5rs0a31?6=:r7?:;4<929>gfc=:0o0q~?l0783>7}:7?b3ty:o=950;0x91012:3;70mld;0:a>{t9j:36=4={<652?5?n27hoo4=9d9~w4e713:1>v3;6780<`=:kji1>4k4}r3`4d<72;q68;8539f89fef2;3n7p}>c1`94?4|5=<=6>6l;m2wx=n>l:181821>393n63lc881=`=z{8i;h7>52z?723<40h16on9528g8yv7d8l0;6?u247497=?<5ji>6?7j;|q2g5`=838p1989:2:;?8ed>382i6s|1b33>5<5s4>=:7=77:?`g1<51l1v1;296~;3>?084852cb096030=;1>01nm<:3;f?xu6k891<76>434ih=7<6e:p5f732909w0:96;1;6>;djo095h5rs0a21?6=:r7?:;4<809>gf6=:0o0q~?l1783>7}:4=b`f>7?b3ty:o<950;0x91012:=m70mmc;0:a>{t9j;36=4={<652?50m27hni4=9d9~w4e613:1>v3;67803a=:kkh1>4k4}r3`5d<72;q68;8536a89fd>2;3n7p}>c0`94?4|5=<=6>om;m2wx=n?l:181821>39jm63lb981=`=z{8i:h7>52z?723<4i016oo8528g8yv7d9l0;6?u247497d><5jh<6?7j;|q2g4`=838p1989:2c4?8ee=382i6s|1b03>5<5s4>=:7=n6:?`f6<51l1v?085n52cc696?4?:3y>030=;0;01nl=:3;f?xu6k;91<76>134ii=7<6e:p5f432909w0:96;14f>;dio095h5rs0a11?6=0r7?:;4n4:?723m27?:;46d:?fa7<39?1v?02o63je58753=z{8i9;7>52z?723<>j27ni;4;179~w4e503:1>v3;678:e>;bm10?=;5rs0a1=?6=:r7?:;468:?faf<39?1v?02;63jed8753=z{8i9n7>52z?723<>>27nil4;179~w4e5k3:1>v3;678:1>;bn;0?=;5rs0a1`?6=:r7?:;464:?fb1<39?1v?02?63jf18753=z{8i9j7>52z?723<>:27nj54;179~w4e483:1>v3;678:5>;bnh0?=;5rs0a05?6=:r7?:;460:?fb3<39?1v?03j63jfb8753=z{8i8?7>52z?723v3;678bf>;a890?=;5rs0a01?6=:r7?:;4na:?e47<39?1v?0j563i078753=z{8i8;7>52z?723v3;678b3>;a8=0?=;5rs0a0=?6=:r7?:;4n6:?e4f<39?1v?0j963i0d8753=z{8i8n7>52z?723<>127mv3;678;a>;a9;0?=;5rs0a0`?6=:r7?::416g=<9h0q~?l3d83>7}:16>3ty:o>h50;0x91002:n<70;{t9j>;6=4={<653?5c>27>?l4;069~w4e393:1>v3;6680`0=:=:k18=84}r3`07<72;q68;953e68905f2=:>7p}>c5194?4|5=<<6>j<;<70e?27<2wx=n:;:181821?39o>63:3`8746=z{8i?97>52z?722<4l9169>o54138yv7d?;|q2g11=838p1988:2af?834i39mj6s|1b6;>5<5s4>=;7=ld:?67d<4nl1v>08on5252c97cb031=;jh018=n:2d`?xu6k=h1<76ef34?8m7=ib:p5f2d2909w0:97;1`=>;2;h08jl5rs0a7`?6=:r7?::416g=;o30q~?l4d83>7}:6`?3ty:o9h50;0x91002:i>70;{t9j?;6=4={<653?5d<27>?l4v3;6680g6=:=:k1?k:4}r3`17<72;q68;953b08905f2:l87p}>c4194?4|5=<<6>m>;<70e?5a:2wx=n;;:181821?39h<63:3`80b4=z{8i>97>52z?722<4jo169>o53g28yv7d=?0;6?u247597gc<5<9j6>ki;|q2g01=838p1988:2`g?834i39ni6s|1b7;>5<5s4>=;7=mc:?67d<4mm1v>08nl5252c97`d031=;k3018=n:2gb?xu6k6d?34?8m7=j9:p5f3d2909w0:97;1a3>;2;h08i55rs0a6`?6=:r7?::416g=;l=0q~?l5d83>7}:6c13ty:o8h50;0x91002:h?70;{t9j<;6=4={<653?5e;27>?l4v3;6680f7=:=:k1?h=4}r3`27<72;q68;953c38905f2:o97p}>c7194?4|5=<<6>k?;<70e?2692wx=n8;:181821?39oj63:3`8755=z{8i=97>52z?722<4ll169>o541d8yv7d>?0;6?u247597ab<5<9j69>j;|q2g31=838p1988:2f`?834i3>;h6s|1b4;>5<5s4>=;7=kb:?67d<38j1v>08h<5252c9054031=;j<018=n:2d4?xu6k?h1<76de34?8m7=jc:p5f0d2909w0:97;1a4>;2;h08i<5rs0a5`?6=:r7?::4gg6=:0o0q~?l6d83>7}:7?b3ty:o;h50;0x91002:k:70mnc;0:a>{t9j=;6=4={<653?5f827hmi4=9d9~w4e093:1>v3;6680=c=:khh1>4k4}r3`37<72;q68;9538g89fg>2;3n7p}>c6194?4|5=<<6>7k;m2wx=n9;:181821?392n63la981=`=z{8i<97>52z?722<41h16ol8528g8yv7d??0;6?u2475975<5s4>=;7=67:?`e6<51l1v>085;52c`696031=;0?01no=:3;f?xu6k>h1<76?334ij<7<6e:p5f1d2909w0:97;1:7>;di8095h5rs0a4`?6=:r7?::4<939>g<`=:0o0q~?l7d83>7}:4=b;g>7?b3ty:o:h50;0x91002:2m70m6e;0:a>{t9j2;6=4={<653?5?m27h5n4=9d9~w4e?93:1>v3;66804k4}r3`<7<72;q68;9539a89f?e2;3n7p}>c9194?4|5=<<6>6m;m2wx=n6;:181821?393m63l9681=`=z{8i397>52z?722<40016o46528g8yv7d0?0;6?u247597=><5j3=6?7j;|q2g=1=838p1988:2:4?8e><382i6s|1b:;>5<5s4>=;7=75:?`=0<51l1v>084952c8196031=;1901n7>:3;f?xu6k1h1<76>534i2>7<6e:p5f>d2909w0:97;1;5>;d19095h5rs0a;`?6=:r7?::4<819>g=c=:0o0q~?l8d83>7}:7?b3ty:o5h50;0x91002:=n70m7d;0:a>{t9j3;6=4={<653?50l27h4o4=9d9~w4e>93:1>v3;66803f=:k1i1>4k4}r3`=7<72;q68;953``89f>f2;3n7p}>c8194?4|5=<<6>on;m2wx=n7;:181821?39j563l8881=`=z{8i297>52z?722<4i116o59528g8yv7d1?0;6?u247597d1<5j2>6?7j;|q2g<1=838p1988:2c5?8e?>382i6s|1b;;>5<5s4>=;7=6c:?`<1<51l1v>085<52c9096031=;1<01n6<:3;f?xu6k0h1<761e34i3=7<6e:p5f?d2903w0:97;c7?821?3k970:97;c2?821?3k;70:97;;e?821?33n70:97;;g?8`6<3>::6s|1b;g>5<5s4>=;77l;2wx=n7j:181821?33i70h>6;622>{t9j3m6=4={<653??f34l:47:>6:p5fg72909w0:97;;;?8`6i3>::6s|1bc2>5<5s4>=;778;2wx=no=:181821?33=70h=0;622>{t9jk86=4={<653??234l9>7:>6:p5fg32909w0:97;;7?8`6m3>::6s|1bc6>5<5s4>=;77<;2wx=no9:181821?33970h=8;622>{t9jk<6=4={<653??634l987:>6:p5fg?2909w0:97;;3?8`5k3>::6s|1bc:>5<5s4>=;76i;2wx=non:181821?3kh70h=a;622>{t9jki6=4={<653?ge34l8>7:>6:p5fgd2909w0:97;cb?8`4<3>::6s|1bcg>5<5s4>=;7o6;2wx=noj:181821?3k370h<8;622>{t9jkm6=4={<653?g034l8m7:>6:p5fd72909w0:97;c5?8`4>3>::6s|1b`2>5<5s4>=;7o:;2wx=nl=:181821?33270h;0;622>{t9jh86=4={<653?>b34l8o7:>6:p5fd3290=w0:98;3:5>;3?80?=;52c4296l27n;i4>969~w4ee=3:1>v3;698761=:l;;1?;l4}r3`f3<72;q68;652``89115283<7p}>cc594?06s4>=57:>6:?`<4<51j16o5<528a89f>42;3h70m74;0:g>;d0<095n52c9496k27h444=9b9>g=g=:0i01n6m:3;`?8e?k382o63l8e81=f=:k1o1>4m4=b:e>7?d34i2<7<6c:?`=4<51j16o4<528a89f?42;3h70m64;0:g>;d1<095n52c8496k27h544=9b9>gk382o63l9e81=f=:k0o1>4m4=b;e>7?d34ij<7<6c:?`e4<51j16ol<528a89fg42;3h70mn4;0:g>;di<095n52c`496k27hm44=9b9>gdg=:0i01nom:3;`?8efk382o63lae81=f=:kho1>4m4=bce>7?d34ii<7<6c:?`f4<51j16oo<528a89fd42;3h70mm4;0:g>;dj<095n52cc496k27hn44=9b9>ggg=:0i01nlm:3;`?8eek382o63lbe81=f=:kko1>4m4=b`e>7?d34ih<7<6c:?`g4<51j16on<528a89fe42;3h70ml4;0:g>;dk<095n52cb496k27ho44=9b9>gfg=:0i01nmm:3;`?8edk382o63lce81=f=:kjo1>4m4=bae>7?d34io<7<6c:?``4<51j16oi<528a89fb42;3h70mk4;0:g>;dl<095n52ce496k27hh44=9b9>gag=:0i01njm:3;`?8eck382o63lde81=f=:kmo1>4m4=e23>7?d34n9>7<6b:p5fd?290>w0:9c;3:3>;29?09;h5250:95<7<5<;269?9;<703?7>?2wx=nl6:187821k3>::63:16813`=:l;31>4l4=e0g>4?03ty:ooo50;1x910c283<70:9e;3:3>;d`05=90=0q~?lbb83>7}:60e3ty:ooj50;1x910a2=;=70m;d;0:b>;d=909m=5rs0aaa?6=:r7?;=4>969>g06=;?h0q~?lbg83>3}:<>:18<84=b6g>7?c34i?j7<6c:?`15<51l16h<8528g89a3c283<7p}>cb294?4|5==:6<78;:18b820:3>::63l4e81=`=:k=l1>4k4=b73>7?a34i>97<6c:?`30<51m16h<<528a89a742;3o70j>6;0:b>;c:o0:5:5rs0a`6?6=:r7?;>4>969>g02=;?h0q~?lc283>7}:<>918<84=55g>c3022=90=01n:l:24a?xu6kj?1<717134>;em90?=;52c4;96l27h4>4=9e9>g=2=:0n01n6::3;g?8e?>382h63l8681=a=:k121>4j4=b::>7?c34i3m7<6d:?`c2;3o70m7e;0:`>;d0o095i52c8296l27h5>4=9e9>g<2=:0n01n7::3;g?8e>>382h63l9681=a=:k021>4j4=b;:>7?c34i2m7<6d:?`=g<51m16o4m528f89f?c2;3o70m6e;0:`>;d1o095i52c`296l27hm>4=9e9>gd2=:0n01no::3;g?8ef>382h63la681=a=:kh21>4j4=bc:>7?c34ijm7<6d:?`eg<51m16olm528f89fgc2;3o70mne;0:`>;dio095i52cc296l27hn>4=9e9>gg2=:0n01nl::3;g?8ee>382h63lb681=a=:kk21>4j4=b`:>7?c34iim7<6d:?`fg<51m16oom528f89fdc2;3o70mme;0:`>;djo095i52cb296l27ho>4=9e9>gf2=:0n01nm::3;g?8ed>382h63lc681=a=:kj21>4j4=ba:>7?c34ihm7<6d:?`gg<51m16onm528f89fec2;3o70mle;0:`>;dko095i52ce296l27hh>4=9e9>ga2=:0n01nj::3;g?8ec>382h63ld681=a=:km21>4j4=bf:>7?c34iom7<6d:?``g<51m16oim528f89fbc2;3o70mke;0:`>;dlo095n52cd296023=<8201996:53;?8e2?39=n6s|1ba;>5<3s4><:7?67:?`3c<51m16h><540489`1a283<7p}>cb;94?4|5===69?9;<736?7>?2wx=nmn:180820?3;2;63;7`8753=:l:;1=494}r3`gg<72:q68:9540489f2d2;3n70m:4;0:`>{t9jih6=4<{<64?27o`45=:0i0q~?lce83>7}:<>218<84=55g>c?02?=90=01n;6:24a?xu6kjl1<78t=55b>4?034>6:?`0a<51j16o9h528d89f372;3o70m:5;0:a>{t9jn;6=4={<64f?7>?27h9l4<6c9~w4ec93:1?v3;7e8e7>;c8m0?=;52d069602b=n8169?9526g89f2b2;3o70m83;0:g>;dmj0?=;5rs0ag7?6==r7?;i4jf:?66=<5?l16o9k528g89f152;3n70mjd;622>{t9jn?6=4<{<64`?40=27o944>969>a4`=90=0q~?ld483>6}:<>n1>:=4=e7e>4?034o9=7?67:p5fb12908w0:8d;046>;c>80:5:52e0f95<102b=:>;01i8<:0;4?8c5=3;2;6s|1bf;>5<4s4>16i?951858yv7dl00;6>u246f963`<5m<26<78;?2wx=njn:180820l38=i63k6c82=2=:m;h1=494}r3``g<72:q68:j527f89a00283<70k=d;3:3>{t9jnh6=4<{<64`?41j27o:k4>969>a7?=90=0q~?lde83>6}:<>n1>;o4=e52>4?034o9j7?67:p5fbb2908w0:8d;05=>;c>m0:5:52e2395<102b=:?201i9::0;4?8c4;3;2;6s|1bg3>5<4s4>16i>;51858yv7dm80;6>u246f9630<5m=86<78;?2wx=nk=:180820l38=963k7882=2=:m:h1=494}r3`a6<72:q68:j527689a1e283<70k<7;3:3>{t9jo?6=4<{<64`?41;27o;i4>969>a6`=90=0q~?le483>6}:<>n1>;<4=e5e>4?034o?=7?67:p5fc12908w0:8d;054>;c0:0:5:52e2f95<102b=:5<4s4>16i9951858yv7dm00;6>u246f960b<5m226<78;?2wx=nkn:180820l38>o63k8c82=2=:m=31=494}r3`ag<72:q68:j524`89a>0283<70k;b;3:3>{t9joh6=4<{<64`?42i27o4k4>969>a1b=90=0q~?lee83>6}:<>n1>874=e;2>4?034o?j7?67:p5fcb2908w0:8d;06<>;c0m0:5:52e4195<102b=:<=01i7<:0;4?8c2=3;2;6s|1bd3>5<4s4>16i8?51858yv7dn80;6>u246f9602<5m3<6<78;?2wx=nh=:180820l38>?63k9882=2=:m{t9jl?6=4<{<64`?42827o5k4>969>a0`=90=0q~?lf483>6}:<>n1>9h4=e;a>4?034o==7?67:p5f`12908w0:8d;07a>;ci:0:5:52e4f95<102b=:=n01io::0;4?8c1;3;2;6s|1bd;>5<4s4>16i;;51858yv7dn00;6>u246f961d<5mk26<78;?2wx=nhn:180820l38{t9jlh6=4<{<64`?40127omi4>969>a3`=90=0q~?lfe83>6}:<>n1>:64=ece>4?034o=n7?67:p5f`b2908w0:8d;043>;cj80:5:52e6195<102b=:><01il<:0;4?8c0=3;2;6s|1e23>5<4s4>16i:?51858yv7c880;6>u246f9637<5mh26<78;?2wx=i>=:180820l38>:63kb482=2=:m>h1=494}r3g46<72:q68:j525c89adc283<70k87;3:3>{t9m:?6=4={<6f4?7>?27?i94<6c9~w4b7=3:1?v3;e18753=:=8i1>:k4=e3;>7?a3ty:h=850;1x91c6283<70:j3;0bf>;3m=0:5<5rs0f33?6=0`4=90;019h8:0;4?8b5<382n6s|1e2;>5<2s4>n>7<8e:?7b4<61>169=h518589046283:70;=2;622>{t9m:26=4={<6f6?25<27h9h4<6c9~w4b7i3:1>v3;e3802g=:4;04a>;2::0?=;5rs0f3g?6=:r7?i>4;259>g0`=;?h0q~?k0e83>0}::k4=b7g>7?d34in:7:>6:?g66<51k16h?l528`8yv7c8l0;6>u24d79072<5=o=6?on;i:18182b>39=n63le782=2=z{8n:<7>52z?7a2<618168h6537`8yv7c980;6:u24d5962c<5=l969?9;n27h:84=9d9>g30=:0n01i??:3;f?8b6j382h6s|1e31>5<5s4>n;7:=4:?`26<4>k1v3;296~;3m>09mo524g095<10`>=<;>01n8?:24a?xu6l8?1<74?634>no7=9b:p5a712909w0:j9;610>;d>808:o5rs0f23?6=:r7?i44=ac9>0c5=90=0q~?k1983>7}:60e3ty:h<750;:x91cf2;=n70:i4;622>;d=j095h52c71966?7i;m27o==4=9g9>`4d=:0l0q~?k1`83>7}:ll4=5d7>4?03ty:h{t9m;h6=4={<6fg?25<27o>o4<6c9~w4b6l3:1>v3;eb81eg=:d0d94?4|5=oo69?9;<`b`?24i2wx=i53z?7a`<39?1699k518589d5>2=9j7p}>d3094?4|5=om6<78;::63;f782=2=:k

4m4=b7f>7?b34i>j7<6e:?g5d<51j16he;0:g>{t9m8?6=4={<6e4?7>?27h9n4<6c9~w4b5=3:1;v3;f18753=:k

4j4=b7`>7?d34i>i7<6d:?`27<51l16hd3494?4|5=l:69?9;<`b`?ca3ty:h?950;0x91`12=;=70m9a;0:g>{t9m836=4={<6e3?26>27>=?4=7d9~w4b513:19v3;f982=2=:7?d34i<<7<6d:?g52<51l1v::63l6981=f=:l821>4k4=e3g>7?c3ty:h?m50;0x91`>2=;=70m99;0:g>{t9m8o6=4m{<6ee?7>?27>g3>=:0n01n86:3;g?8e1i382i63l6c81=a=:k?i1>4j4=b4g>7?c34i=j7<6d:?g60<51k16h?m528`8yv7c:l0;6?u24g`95<1<5m;o6>8m;|q2`7`=839p19hm:535?8e1l382o63l6d81=f=z{8n8<7>52z?7bf<61>16o;:537`8yv7c;80;65u24ga9040<5jm27h:h4=9d9>g3`=:0i01n9?:3;`?8e09382h63k2781=g=z{8n8>7>52z?7ba<61>16h?:537`8yv7c;:0;6?u24gf9040<5<;o6?9j;|q2`62=838p19hj:0;4?8b6i39=n6s|1e16>5<5s4>mj7?67:?g5f<4>k1v156=<8<01n87:3;f?8e1m382h63k1e81=f=z{8n847>52z?644<61>16o;<537`8yv7c;00;6?u25119040<5<:n6<78;|q2`6g=832p18>;:535?8e2n382h63l6581=a=:k?=1>4m4=e36>7?c34n:m7<6f:?g5a<51l16hu251795<7<5<:36>8m;<73f?7>92wx=i=l:180837=38jm63:0c8761=:k??1?;l4}r3g7a<72;q69=;537`89fc>283<7p}>d2g94?5|5<:=6<7>;<73=?7>927>60e3ty:h9>50;0x90612:{t9m>:6=4<{<733?7>927>909>15d=:hh0q~?k4383>6}:=9=1>lo4=42b>14334n:<7=9b:p5a242909w0;?7;15f>;dm10:5:5rs0f70?6=:r7><54;259>g30=;?h0q~?k4483>0}:=931>:k4=b43>7?c34i==7<6c:?`a2<39?16hl27hi54;179>`46=:0n0q~?k4683>0}:=9h1>:k4=b43>7?b34i==7<6e:?`20<51m16oh754048yv7c<10;6>u251a95<7<5<:o6<7>;<73a?26>2wx=i:6:186837k38l:507?837l38jm63k00802g=z{8n?n7>52z?64a<4>k16oho51858yv7cu251d9040<5<>>6<78;{t9m>n6=4={<724?26>27hil4;199~w4b3n3:1?v3:1082=4=:=891>ll4=43:>4?03ty:h8>50;0x90762:a;3:3>{t9m?:6=4={<726?7>927>=94<6c9~w4b2:3:1>v3:138761=:k?31?;l4}r3g16<72;q69<<537`89074283:7p}>d4694?4|5<;869<;;:7>52z?651<3:=16o;o537`8yv7c=>0;6?u250795<7<5<;=6>8m;|q2`0>=838p18?::35f?8b5i39=n6s|1e7:>5<5s4?:97:=4:?g60<4>k1v140=<;>01n8m:24a?xu6l4?634?:47=9b:p5a3c2909w0;>7;610>;d>j08:o5rs0f6a?6=:r7>=54=7d9>`7>=;?h0q~?k5g83>7}:=8218?:4=e0`>60e3ty:h;>50;1x907f2=;=70;>f;04a>;c9>095k5rs0f55?6=;r7>=o4>909>14e=:hh018<=:0;4?xu6l?81<760e34?9?7?67:p5a042909w0;>c;3:5>;29m08:o5rs0f50?6=:r7>=n4;259>g3c=;?h0q~?k6483>7}:=8n1=4?4=43f>60e3ty:h;850;0x907c2=8?70m9d;15f>{t9m<<6=4={<72a?7>927>=k4<6c9~w4b103:18v3:1d813`=:l9l18<84=e3;>7?d34n9m7<6b:p5a0>2909w0;>e;610>;d>o08:o5rs0f5e?6=:r7>=k4>909>176=;?h0q~?k6c83>7}:=8l18?:4=b53>60e3ty:h;m50;0x9047283:70;=1;15f>{t9m44<6c9~w4b1m3:1>v3:218761=:k>;1?;l4}r3g2c<72=q69??526g89a6b2=;=70j>7;0:g>;c:1095o5rs0f44?6=:r7>><4;259>`70=;?h0q~?k7083>6}:=;>1=4?4=405>60e34?947?61:p5a152908w0;=4;0be>;2:10?>952c60973d4?:3y>172=;?h01nkk:0;4?xu6l>>1<7=t=406>4?634?9;7?61:?66=<5ik1v8m;|q2`20=838p18<::24a?8ebk3;2;6s|1e54>5<5s4?9:7:=4:?`31<4>k1v8m;|q2`2?=838p18<6:24a?8ebn3;2;6s|1e5b>5<4s4?9m7?61:?66g<618169?m52``8yv7c?k0;6>u253c96dg<5<8i69<;;54z?66g<5?l16o9m528a89f122;3h70mje;622>{t9m=n6=4:{<71g?40m27h8n4=9e9>g02=:0i01nki:535?8b7:382o6s|1e5e>5<1s4?9h7<8e:?635<61>165l>5ee9>e50=mm16o:9528a89f`72=;=7p}>d9294?5|5<8o69<;;<71a?4fi27h;:4<6c9~w4b?93:1>v3:2d802g=:ko:1=494}r3g<7<72;q69?h543689f1?2:d9194?5|5<8m6>8m;<704?7>927>?<4>909~w4b?<3:1:v3:31813`=:=?h1=494=84g>`b<50n86hj4=b55>7?b34im=7:>6:p5a>22908w0;<0;610>;2;809ml52c64973d167=;?h01nh>:0;4?xu6l1=1<74?034i<57=9b:p5a>?2909w0;<2;622>;c=k0:5:5rs0f;=?6=;r7>?>4>909>162=90;018=::535?xu6l1k1<7;t=410>71b34?=j7?67:?b7<4;179>`55=:0i0q~?k8c83>6}:=:918?:4=417>7gf34n;?7=9b:p5a>d2909w0;<4;15f>;dn:0:5:5rs0f;`?6==r7>?84>969>g20=:0i01n98:3;g?8e00382o63k5d8753=z{8n3i7>54z?673<61>16ok>543689f`62=;370j:c;622>{t9m2m6=4={<702?26>27hj>4;199~w4b>83:1?v3:368753=:=?o1=494=`1:>c116>=99n018=6:02g?8g4138>?6s|1e;1>5<4s4?847??b:?67<<68k16m>752438yv7c1:0;6>u252:955g<5<926<>n;9h4}r3g=0<72:q69>6511:8905>28:370o<9;07a>{t9m3=6=4<{<70?44>069>e6?=:=n0q~?k9683>6}:=:21==84=41:>46134k857<;c:p5a??2908w0;<8;331>;2;00:<852a2;961d16>=98<018=6:035?8g41385<4s4?847?>5:?67<<69<16m>7526c8yv7c1k0;6>u252:9542<5<926:64}r3g=a<72:q69>651008905>28;970o<9;043>{t9m3n6=4<{<70?44>109>e6?=:><0q~?k9g83>6}:=:21=<>4=41:>47734k857<9c:p5ag72908w0;<8;33b>;2;00:16>=99o018=6:02f?8g4138>:6s|1ec1>5<4s4?847??4:?67<<68=16m>7525c8yv7ci:0;69u252:95dg<5<936de9~w4bf<3:1?v3:3982e2=:0h;1=n<4=84g>4be3ty:hl;50;1x905?28k=706n1;3`5>;>>m0:hl5rs0fb2?6=;r7>?54>a49>4g4342j=7?me:?:2a<6l>1vf93;io6366e82`0=z{8njm7>53z?67=<6i9164l?51c`89<0c28n?7p}>d``94?5|5<936<7i;<:b5?7ei272:i4>d29~w4bfk3:1?v3:3982=`=:0h;1=o74=84g>4b53ty:hlj50;1x905?283o706n1;3a<>;>>m0:h<5rs0fba?6=;r7>?54>9b9>4?e342j=7?m6:?:2a<6ko1vf93;ho6366e82a0=z{8ni>7>53z?67=<6j8164l?51b`89<0c28o?7p}>dc194?5|5<936e29~w4be<3:1?v3:3982ec=:0h;1=n74=84g>4c53ty:ho;50;1x905?28kn706n1;3`<>;>>m0:i<5rs0fa2?6=;r7>?54>ae9>4gd342j=7?l6:?:2a<6lo1vf93;ij6366e82`==z{8nim7>53z?67=<610164l?51c689<0c28io7p}>dc`94?>|5<936l:4=41;>d4<5<936l?4=41;>d6<5<9364h4=41;>4bc3ty:hom50;0x905?20i014o?:0fa?xu6lkn1<7dcd94?4|5<936464=8c3>4b03ty:hn>50;0x905?20=014o?:0f5?xu6lj;1<7<0<50k;6db194?4|5<9364:4=8c3>4b43ty:hn:50;0x905?209014o?:0f1?xu6lj?1<7<4<50k;6;|q2`f0=838p18=7:8389db594?4|5<9364>4=8c3>4ea3ty:hn650;0x905?21l014o?:0af?xu6lj31<7de<50k;6db`94?4|5<936lo4=8c3>4c43ty:hnm50;0x905?2h3014o?:0g1?xu6ljn1<7d><50k;6;|q2`fc=838p18=7:`589dbd94?4|5<936l84=8c3>4ba3ty:hi>50;0x905?2h?014o?:0ff?xu6lm;1<7de194?4|5<9369>m;<70g?5ci2wx=ij;:18183403>;563:3b80`==z{8no97>52z?67=<381169>m53e58yv7cl?0;6?u252:9051<5<9h6>j9;|q2`a1=838p18=7:525?834k39o96s|1ef;>5<5s4?847:?5:?67f<4l=1v16>=<99018=l:2f1?xu6lmh1<716634?8o7=k0:p5abd2909w0;<8;634>;2;j08ok5rs0fg`?6=:r7>?5416e=;jo0q~?kdd83>7}:=:21?kk4=41`>6ec3ty:hih50;0x905?2:lo70;{t9mo;6=4={<70?n4v3:3980bg=:=:i1?no4}r3ga7<72;q69>653gc8905d2:i27p}>dd194?4|5<936>h6;<70g?5d02wx=ik;:181834039m463:3b80g2=z{8nn97>52z?67=<4n?169>m53b78yv7cm?0;6?u252:97c3<5<9h6>m;;|q2``1=838p18=7:2d7?834k39h?6s|1eg;>5<5s4?847=i3:?67f<4k;1v16>=;o;018=l:2a3?xu6llh1<76`734?8o7=mf:p5acd2909w0;<8;1fb>;2;j08nh5rs0ff`?6=:r7>?5416e=;kn0q~?ked83>7}:=:21?hj4=41`>6dd3ty:hhh50;0x905?2:oi70;{t9ml;6=4={<70?n4v3:3980a<=:=:i1?o64}r3gb7<72;q69>653d:8905d2:h<7p}>dg194?4|5<936>k8;<70g?5e>2wx=ih;:181834039n:63:3b80f0=z{8nm97>52z?67=<4m<169>m53c68yv7cn?0;6?u252:97`2<5<9h6>l<;|q2`c1=838p18=7:2g0?834k39i>6s|1ed;>5<5s4?847=j2:?67f<4j81v16>=<8:018=l:2fe?xu6loh1<716a34?8o7=ke:p5a`d2909w0;<8;63a>;2;j08hi5rs0fe`?6=:r7>?54;0e9>16e=;mi0q~?kfd83>7}:=:218=m4=41`>6be3ty:hkh50;0x905?2=:970;{t9l:;6=4={<70?n4v3:3980af=:=:i1?ol4}r3f47<72;q69>653d38905d2:h;7p}>e1194?2|5<926c59>=a5=9mn0q~?j0583>6}:=:31=l94=824>4e5343o?7?kb:p5`622908w0;<9;3b2>;>8>0:o<529e195ag16?=9h?014>8:0a3?8?c;3;o56s|1d24>5<4s4?857?n3:?:42<6jl165i=51e58yv7b810;6>u252;95d4<50:<62wx=h>6:18083413;j=6360682ff=:1m91=i;4}r3f4d<72:q69>751`289<6028hi707k3;3g0>{t9l:i6=4<{<70=?7>n272<:4>b`9>=a5=9m90q~?j0b83>6}:=:31=4k4=824>4d>343o?7?k2:p5`6c2908w0;<9;3:`>;>8>0:n5529e195a716?=90i014>8:0`4?8?c;3;o<6s|1d2e>5<4s4?857?6b:?:42<6j?165i=51bd8yv7b990;6>u252;95:18083413;i>6360682gf=:1m91=h;4}r3f57<72:q69>751c389<6028ii707k3;3f0>{t9l;86=4<{<70=?7e8272<:4>c`9>=a5=9l90q~?j1583>6}:=:31=lh4=824>4e>343o?7?j2:p5`722908w0;<9;3ba>;>8>0:o5529e195`716?=9hn014>8:0a4?8?c;3;n<6s|1d34>5<4s4?857?nc:?:42<6k?165i=51ed8yv7b910;6>u252;95dd<50:<67518;89<6028h?707k3;3``>{t9l;i6=47{<70=?g334?857o=;<70=?g634?857o?;<70=??a34?8577j;<70=??c34k;:7?kd:p5`7d2909w0;<9;;`?8g7>3;on6s|1d3g>5<5s4?8577m;{t9l;m6=4={<70=???34k;:7?k7:p5`472909w0;<9;;4?8g7>3;o:6s|1d02>5<5s4?85779;70o?6;3g0>{t9l886=4={<70=??334k;:7?k3:p5`432909w0;<9;;0?8g7>3;o>6s|1d06>5<5s4?8577=;{t9l8<6=4={<70=??734k;:7?lf:p5`4?2909w0;<9;:e?8g7>3;hi6s|1d0:>5<5s4?857ol;{t9l8i6=4={<70=?gf34k;:7?j3:p5`4d2909w0;<9;c:?8g7>3;n>6s|1d0g>5<5s4?857o7;{t9l8m6=4={<70=?g134k;:7?kf:p5`572909w0;<9;c6?8g7>3;oi6s|1d12>5<5s4?85776;{t9l986=4={<70=?27j27>8=4v3:38874<=:==:1?i64}r3f70<72;q69>7541:890272:n<7p}>e2494?4|5<9269>8;<774?5c>2wx=h=8:18183413>;:63:4180`0=z{8o847>52z?67<<38<1699>53e68yv7b;00;6?u252;9052<5<>;6>j<;|q2a6g=838p18=6:520?833839o>6s|1d1a>5<5s4?857:?1:?605<4l91v16?=;ol018:?:2af?xu6m:o1<76`b34??<7=ld:p5`5a2909w0;<9;1e`>;2<908on5rs0g74?6=:r7>?44116=;jh0q~?j4083>7}:=:31?kl4=463>6ef3ty:i9<50;0x905>2:lj70;;0;1`=>{t9l>86=4={<70=?5a127>8=4v3:3880b==:==:1?n94}r3f00<72;q69>753g4890272:i>7p}>e5494?4|5<926>h:;<774?5d<2wx=h:8:181834139m863:4180g6=z{8o?47>52z?67<<4n:1699>53b08yv7b<00;6?u252;97c4<5<>;6>m>;|q2a1g=838p18=6:2d2?833839h<6s|1d6a>5<5s4?857=i0:?605<4jo1v16?=;lo018:?:2`g?xu6m=o1<76cc34??<7=mc:p5`2a2909w0;<9;1ff>;2<908nl5rs0g64?6=:r7>?44116=;k30q~?j5083>7}:=:31?h74=463>6d?3ty:i8<50;0x905>2:o370;;0;1a3>{t9l?86=4={<70=?5b?27>8=4v3:3880a3=:==:1?o;4}r3f10<72;q69>753d7890272:h?7p}>e4494?4|5<926>k;;<774?5e;2wx=h;8:181834139n?63:4180f7=z{8o>47>52z?67<<4m;1699>53c38yv7b=00;6?u252;9047<5<>;6>k?;|q2a0g=838p18=6:533?833839oj6s|1d7a>5<5s4?857:?f:?605<4ll1v16?=<9n018:?:2f`?xu6m16d34??<7=kb:p5`3a2909w0;<9;636>;2<908h<5rs0g54?6=:r7>?44116=;j<0q~?j6083>7}:=:31?hm4=463>6de3ty:i;<50;0x905>2:o:70;;0;1a4>{t9l<86=4={<70e?5ci27>?k4;0c9~w4c1<3:1>v3:3`80`==:=:l18=74}r3f20<72;q69>o53e58905a2=:37p}>e7494?4|5<9j6>j9;<70b?27?2wx=h88:181834i39o963:3g8743=z{8o=47>52z?67d<4l=169>h54178yv7b>00;6?u252c97a5<5<9m69>;;|q2a3g=838p18=n:2f1?834n3>;?6s|1d4a>5<5s4?8m7=k0:?67c<3881v16g=;jo018=i:2de?xu6m?o1<76ec34?8j7=ie:p5`0a2909w0;;2;o08ji5rs0g44?6=:r7>?l416`=;oi0q~?j7083>7}:=:k1?no4=41e>6`e3ty:i:<50;0x905f2:i270;{t9l=86=4={<70e?5d027>?k4v3:3`80g2=:=:l1?k64}r3f30<72;q69>o53b78905a2:l=7p}>e6494?4|5<9j6>m;;<70b?5a=2wx=h98:181834i39h?63:3g80b1=z{8o<47>52z?67d<4k;169>h53g18yv7b?00;6?u252c97f7<5<9m6>h=;|q2a2g=838p18=n:2a3?834n39m=6s|1d5a>5<5s4?8m7=mf:?67c<4n91v16g=;kn018=i:2gf?xu6m>o1<76dd34?8j7=jd:p5`1a2909w0;;2;o08io5rs0g;4?6=:r7>?l416`=;lk0q~?j8083>7}:=:k1?o64=41e>6c>3ty:i5<50;0x905f2:h<70;{t9l286=4={<70e?5e>27>?k4v3:3`80f0=:=:l1?h84}r3f<0<72;q69>o53c68905a2:o>7p}>e9494?4|5<9j6>l<;<70b?5b<2wx=h68:181834i39i>63:3g80a6=z{8o347>52z?67d<4j8169>h53d08yv7b000;6?u252c97`6<5<9m69?>;|q2a=g=838p18=n:2fe?834n3>:<6s|1d:a>5<5s4?8m7=ke:?67c<38o1v16g=;mi018=i:52g?xu6m1o1<76be34?8j7:?c:p5`>a2909w0;;2;o0??l416`=;o=0q~?j9083>7}:=:k1?ol4=41e>6cd3ty:i4<50;0x905f2:h;70;{t9l386=4<{<70e?77l27>?o4>0e9>f00=:<90q~?j9583>6}:=:k1==l4=41a>46e34h>:7<:1:p5`?22908w0;;2;k0:16g=993018=m:02:?8d2>38?j6s|1d;4>5<4s4?8m7??8:?67g<68116n88525g8yv7b110;6>u252c9551<5<9i6<>8;<`62?43l2wx=h76:180834i3;;:63:3c8243=:j<<1>9m4}r3f=d<72:q69>o51178905e28:>70l:6;07f>{t9l3i6=4<{<70e?76>27>?o4>179>f00=:>h0q~?j9b83>6}:=:k1=<;4=41a>47234h>:7<8a:p5`?c2908w0;;2;k0:=952b44962?16g=989018=m:030?8d2>38<46s|1d;e>5<4s4?8m7?>2:?67g<69;16n8852658yv7bi90;6>u252c9547<5<9i6;<`62?40>2wx=ho>:180834i3;:<63:3c8255=:j<<1>;m4}r3fe7<72:q69>o511d8905e28:m70l:6;055>{t9lk86=4<{<70e?77m27>?o4>0d9>f00=:<<0q~?ja583>6}:=:k1==:4=41a>46334h>:7<;a:p5`g2290?w0;;2;h0:m55256395ab<5k3>6<78;|q2ad0=839p18=n:0c4?83093;on63m9782=2=z{8oj;7>53z?67d<6i?169:?51ec89g?0283<7p}>e`:94?5|5<9j6969~w4cf13:1?v3:3`82e6=:=>;1=i94=c;:>4?03ty:ilo50;1x905f28k970;81;3g2>;e1h0:5:5rs0gbf?6=;r7>?l4>a09>127=9m?01o7m:0;4?xu6mhi1<7=t=41b>4g734?<=7?k4:?a=f<61>1v63m9d82=2=z{8ojj7>53z?67d<61m169:?51e389g?a283<7p}>ec294?5|5<9j6<7l;<745?7c827im=4>969~w4ce93:1?v3:3`82=g=:=>;1=nh4=cc2>4?03ty:io<50;1x905f283j70;81;3`a>;ei;0:5:5rs0ga7?6=;r7>?l4>b39>127=9l?01oo<:0;4?xu6mk>1<7=t=41b>4d634?<=7?j4:?ae1<61>1v6<78;|q2ag0=839p18=n:0ce?83093;n>63ma782=2=z{8oi;7>53z?67d<6il169:?51d389gg0283<7p}>ec:94?5|5<9j6969~w4ce13:1?v3:3`82ef=:=>;1=ih4=cc:>4?03ty:ioo50;1x905f28ki70;81;3ga>;eih0:5:5rs0gaf?6=;r7>?l4>a59>127=9m201oom:0;4?xu6mki1<7=t=41b>4?>34?<=7?ld:?aef<61>1v;2;h0j=63:3`8b4>;2;h02j63:3`8:a>;2;h02h63:a582`a=z{8oii7>52z?67d<>k27>m94>dc9~w4cen3:1>v3:3`8:f>;2i=0:hl5rs0g`4?6=:r7>?l46a:?6e1<6l01v7>52z?67d<>?27>m94>d79~w4cd;3:1>v3:3`8:2>;2i=0:h85rs0g`0?6=:r7>?l465:?6e1<6l=1v52z?67d<>;27>m94>d39~w4cd?3:1>v3:3`8:6>;2i=0:h<5rs0g`?l461:?6e1<6l91v52z?67dm94>cd9~w4cdj3:1>v3:3`8bg>;2i=0:i85rs0g`g?6=:r7>?l4nb:?6e1<6m=1v52z?67dm94>e39~w4cdn3:1>v3:3`8b<>;2i=0:i<5rs0gg4?6=:r7>?l4n7:?6e1<6m91v7>52z?67dm94>dd9~w4cc;3:1>v3:3`8:=>;2i=0:h55rs0gg0?6=:r7>?l47e:?6e1<6km1v16d=;m2018:<:52:?xu6mm=1<76b034???7:?8:p5`b?2909w0;;2<:0?<:5rs0gg=?6=:r7>?o4115=<9<0q~?jd`83>7}:=:h1?i:4=460>1623ty:iil50;0x905e2:n870;;3;630>{t9lnh6=4={<70f?5c:27>8>4;029~w4ccl3:1>v3:3c80`5=:==918=?4}r3f``<72;q69>l53bd890242=:;7p}>eed94?4|5<9i6>mj;<777?5an2wx=hk?:181834j39hh63:4280b`=z{8on=7>52z?67g<4kj1699=53gf8yv7bm;0;6?u252`97fd<5<>86>hl;|q2a`5=838p18=m:2ab?833;39mn6s|1dg7>5<5s4?8n7=l9:?606<4nh1v16d=;j=018:<:2d;?xu6ml=1<76e234???7=i6:p5`c?2909w0;;2<:08j85rs0gf=?6=:r7>?o4115=;o>0q~?je`83>7}:=:h1?n<4=460>6`43ty:ihl50;0x905e2:i:70;;3;1e6>{t9loh6=4={<70f?5d827>8>4v3:3c80fc=:==91?k>4}r3fa`<72;q69>l53cg890242:om7p}>edd94?4|5<9i6>lk;<777?5bm2wx=hh?:181834j39io63:4280aa=z{8om=7>52z?67g<4jh1699=53d`8yv7bn;0;6?u252`97g?<5<>86>kn;|q2ac5=838p18=m:2`;?833;39n56s|1dd7>5<5s4?8n7=m7:?606<4m11v16d=;k?018:<:2g5?xu6mo=1<76d334???7=j5:p5``?2909w0;;2<:08i95rs0ge=?6=:r7>?o4115=;l90q~?jf`83>7}:=:h1?o?4=460>6c53ty:ikl50;0x905e2:o;70;;3;625>{t9llh6=4={<70f?5cn27>8>4;119~w4cal3:1>v3:3c80``=:==918=h4}r3fb`<72;q69>l53ef890242=:n7p}>egd94?4|5<9i6>jl;<777?27l2wx=k>?:181834j39on63:42874f=z{8l;=7>52z?67g<4l81699=54108yv7a8;0;6?u252`97f0<5<>86>h8;|q2b55=838p18=m:2`a?833;39no6s|1g27>5<5s4?8n7=m0:?606<4m81v<5?2wx=k>9:180834j3;j;63:d682`g=:j>o1=494}r3e42<72:q69>l51`4890b028nj70l8f;3:3>{t9o:36=4<{<70f?7f=27>h:4>d89>f=6=90=0q~?i0883>6}:=:h1=l=4=4f4>4b034h3=7?67:p5c6f2908w0;;2l>0:h;52b9095<116d=9h;018j8:0f6?8d?;3;2;6s|1g2`>5<4s4?8n7?n0:?6`2<6l=16n5:51858yv7a8m0;6>u252`95<`<5?2wx=k>j:180834j3;2i63:d682`7=:j1<1=494}r3e4c<72:q69>l518f890b028n:70l77;3:3>{t9o;;6=4<{<70f?7>k27>h:4>d19>f=>=90=0q~?i1083>6}:=:h1=4l4=4f4>4ea34h357?67:p5c752908w0;;2l>0:oh52b9c95<14?:2y>16d=9k8018j8:0g6?8d?j3;2;6s|1g37>5<4s4?8n7?m1:?6`2<6m=16n5m51858yv7a9<0;6>u252`95g6<5?2wx=k?9:180834j3;jj63:d682a7=:j1o1=494}r3e52<72:q69>l51`g890b028o:70l7f;3:3>{t9o;36=4<{<70f?7fl27>h:4>e19>f<6=90=0q~?i1883>6}:=:h1=lm4=4f4>4ba34h2=7?67:p5c7f2908w0;;2l>0:hh52b8095<116d=9h>018j8:0f;?8d>;3;2;6s|1g3`>5<4s4?8n7?69:?6`2<6km16n4:51858yv7a9m0;65u252`9e1=:=:h1m?5252`9e4=:=:h1m=5252`9=c=:=:h15h5252`9=a=:>9k1=ij4}r3e5`<72;q69>l59b9>25g=9mh0q~?i1g83>7}:=:h15o5261c95ag=4?:3y>16d=1h16:=o51e;8yv7a:80;6?u252`9===:>9k1=i94}r3e67<72;q69>l5969>25g=9m<0q~?i2283>7}:=:h15;5261c95a394?:3y>16d=1<16:=o51e68yv7a:<0;6?u252`9=1=:>9k1=i=4}r3e63<72;q69>l5929>25g=9m80q~?i2683>7}:=:h15?5261c95a754?:3y>16d=1816:=o51e28yv7a:00;6?u252`9=5=:>9k1=nh4}r3e6d<72;q69>l58g9>25g=9jo0q~?i2c83>7}:=:h1mn5261c95`3n4?:3y>16d=ik16:=o51d68yv7a:m0;6?u252`9ed=:>9k1=h=4}r3e6`<72;q69>l5a89>25g=9l80q~?i2g83>7}:=:h1m55261c95`716d=i>16:=o51d28yv7a;80;6?u252`9e3=:>9k1=ih4}r3e77<72;q69>l5a49>25g=9mo0q~?i3283>7}:=:h1545261c95a>16d=0l16:=o51bf8yv7a;<0;6>u252a955b<5<>;6<>k;81>8?4}r3e72<72:q69>m511c8902728:j70o82;064>{t9o936=4<{<70g?77127>8=4>089>e24=:=l0q~?i3883>6}:=:i1==64=463>46?34k<>7<;e:p5c5f2908w0;;2<90:<:52a60961b16e=99<018:?:025?8g0:38?o6s|1g1`>5<4s4?8o7??5:?605<68<16m:<525`8yv7a;m0;6>u252a9540<5<>;681>:o4}r3e7c<72:q69>m51068902728;?70o82;04=>{t9o>;6=4<{<70g?76;27>8=4>129>e24=:>20q~?i4083>6}:=:i1=<<4=463>47534k<>7<87:p5c252908w0;;2<90:=<52a6096204?:2y>16e=98:018:?:033?8g0:38=o6s|1g67>5<4s4?8o7??f:?605<68o16m:<52738yv7a<<0;6>u252a955c<5<>;6<>j;2wx=k:9:180834k3;;863:418241=:i>81>9o4}r3e02<72=q69>m51`c8905d28k3709k5;3`0>;?i80:hi5rs0d7?n4>a69>3a3=9j8015o>:0fa?xu6n=31<7=t=41`>4g134=o97?l1:?;e4<6lh1v53z?67f<6i;16;i;51cf89=g628n=7p}>f5f94?5|5<9h6;<5g1?7ek273m<4>d49~w4`3m3:1?v3:3b82e5=:?m?1=ol4=9c2>4b33ty:j9h50;1x905d283m709k5;3ae>;?i80:h>5rs0d64?6=;r7>?n4>9d9>3a3=9k3015o>:0f1?xu6n<;1<7=t=41`>4?c34=o97?m8:?;e4<6l81v87>53z?67f<61h16;i;51c789=g628in7p}>f4794?5|5<9h6e49~w4`2>3:1?v3:3b82f4=:?m?1=nl4=9c2>4c33ty:j8950;1x905d28h;709k5;3`e>;?i80:i>5rs0d6?n4>ag9>3a3=9j3015o>:0g1?xu6n<31<7=t=41`>4gb34=o97?l8:?;e4<6m81vo7>53z?67f<6ik16;i;51b789=g628nn7p}>f4f94?5|5<9h6d99~w4`2m3:1?v3:3b82=<=:?m?1=o:4=9c2>4ec3ty:j8h50;:x905d2h>018=l:`08905d2h;018=l:`28905d20l018=l:8g8905d20n015j;:0fg?xu6n?:1<7f7094?4|5<9h64o4=9f7>4b>3ty:j;=50;0x905d202015j;:0f4?xu6n?>1<7<1<51n?67p}>f7494?4|5<9h64;4=9f7>4b33ty:j;950;0x905d20>015j;:0f0?xu6n?21<7<5<51n?6f7c94?4|5<9h64?4=9f7>4b73ty:j;l50;0x905d20:015j;:0ae?xu6n?i1<7=`<51n?67p}>f7g94?4|5<9h6ll4=9f7>4c33ty:j;h50;0x905d2hk015j;:0g0?xu6n>:1<7d?<51n?6f6094?4|5<9h6l94=9f7>4c73ty:j:=50;0x905d2h<015j;:0fe?xu6n>>1<7d3<51n?6f6494?4|5<9h65k4=9f7>4ec3ty:j:950;0x905d2=:i70;{t9o=36=4={<70g?27127>?i4v3:3b874==:=:n1?i94}r3e3d<72;q69>m54158905c2:n=7p}>f6`94?4|5<9h69>9;<70`?5c=2wx=k9l:181834k3>;963:3e80`1=z{8l52z?67f<38=169>j53e18yv7a?l0;6?u252a9055<5<9o6>j=;|q2b2`=838p18=l:522?834l39o<6s|1g:3>5<5s4?8o7:?0:?67a<4ko1v16e=;oo018=k:2ag?xu6n191<76`c34?8h7=lc:p5c>32909w0;;2;m08oo5rs0d;1?6=:r7>?n416b=;jk0q~?i8783>7}:=:i1?ko4=41g>6e>3ty:j5950;0x905d2:l270;{t9o236=4={<70g?5a027>?i4v3:3b80b3=:=:n1?n;4}r3em53g78905c2:i?7p}>f9`94?4|5<9h6>h;;<70`?5d;2wx=k6l:181834k39m?63:3e80g7=z{8l3h7>52z?67f<4n;169>j53b38yv7a0l0;6?u252a97c7<5<9o6>m?;|q2b=`=838p18=l:2d3?834l39ij6s|1g;3>5<5s4?8o7=jf:?67a<4jl1v16e=;ln018=k:2``?xu6n091<76ce34?8h7=ma:p5c?32909w0;;2;m08n45rs0d:1?6=:r7>?n416b=;k20q~?i9783>7}:=:i1?h64=41g>6d03ty:j4950;0x905d2:o<70;{t9o336=4={<70g?5b>27>?i413:1>v3:3b80a0=:=:n1?o:4}r3e=d<72;q69>m53d68905c2:h87p}>f8`94?4|5<9h6>k<;<70`?5e:2wx=k7l:181834k39n>63:3e80f4=z{8l2h7>52z?67f<398169>j53d28yv7a1l0;6?u252a9046<5<9o6>ji;|q2b<`=838p18=l:52e?834l39oi6s|1gc3>5<5s4?8o7:?e:?67a<4lm1v16e=<9i018=k:2fa?xu6nh91<716534?8h7=k1:p5cg32909w0;;2;m08o;5rs0db1?6=:r7>?n416b=;kh0q~?ia783>7}:=:i1?h?4=41g>6d73ty:jl950;1x905c28:o70;;1;33`>;fik099>5rs0db?i4>0c9>117=99h01lom:372?xu6nh31<7=t=41g>46f34??=7??a:?beg<5=91v53z?67a<68>1699?511589dge2;>o7p}>f`f94?5|5<9o6<>9;<775?77>27jmo4=4b9~w4`fm3:1?v3:3e8240=:==;1==;4=`ca>72e3ty:jlh50;1x905c28;=70;;1;322>;fik09;o5rs0da4?6=;r7>?i4>149>117=98?01lom:35b?xu6nk;1<7=t=41g>47334??=7?>4:?beg<5?01v525539545<5hki6?97;|q2bg5=839p18=k:031?83393;:>63nac8132=z{8li87>53z?67a<6981699?510389dge2;==7p}>fc794?5|5<9o63:1?v3:3e824c=:==;1==h4=`ca>7063ty:jo950;1x905c28:n70;;1;33a>;fik099;5rs0da?i4>059>117=99>01lom:36b?xu6nk31<7:t=41g>4gf34?8h7?n8:?44<<6k=16;i;51ef8yv7ajh0;6>u252f95d1<5>:26j51`78926>28i;709k5;3g=>{t9oho6=4<{<70`?7f;27<<44>bd9>3a3=9m=0q~?ibd83>6}:=:n1=l<4=62:>4dc34=o97?k6:p5cda2908w0;;0800:nn527e795a316b=9h:01:>6:0`a?81c=3;o86s|1ga2>5<4s4?8h7?6f:?44<<6jh16;i;51e18yv7ak;0;6>u252f95:26j518a8926>28h<709k5;3g4>{t9oi>6=4<{<70`?7>j27<<44>b79>3a3=9jl0q~?ic783>6}:=:n1=4o4=62:>4d234=o97?le:p5ce02908w0;;0800:on527e795`316b=9k;01:>6:0aa?81c=3;n86s|1ga:>5<4s4?8h7?m0:?44<<6kh16;i;51d18yv7akh0;6>u252f95d`<5>:26j51`f8926>28i<709k5;3f4>{t9oio6=4<{<70`?7fk27<<44>c79>3a3=9ml0q~?icd83>6}:=:n1=ll4=62:>4e234=o97?ke:p5cea2908w0;;0800:nk527e795a>16b=90301:>6:0`7?81c=3;hh6s|1gf2>5;<70`?g734?8h77i;<70`??b34?8h77k;<:3{t9on86=4={<70`??e342;47?ka:p5cb32909w0;703;o56s|1gf6>5<5s4?8h777;<:3{t9on<6=4={<70`??1342;47?k5:p5cb?2909w0;703;o86s|1gf:>5<5s4?8h77;;<:3{t9oni6=4={<70`??5342;47?k1:p5cbd2909w0;703;o<6s|1gfg>5<5s4?8h77?;<:3{t9onm6=4={<70`?gd342;47?j5:p5cc72909w0;703;n86s|1gg2>5<5s4?8h7on;<:3{t9oo86=4={<70`?g?342;47?j1:p5cc32909w0;703;n<6s|1gg6>5<5s4?8h7o9;<:3706?8;3ga>{t9oo<6=4={<70`??>342;47?k8:p5cc?2909w0;703;hh6s|1gg:>5<5s4?8h7:?b:?67`<4lh1v16b=<92018=j:2f4?xu6nli1<716034?8i7=k6:p5ccc2909w0;;2;l08h85rs0dfa?6=:r7>?i4;049>16c=;m>0q~?ieg83>7}:=:n18=:4=41f>6b43ty:jk>50;0x905c2=:870;{t9ol:6=4={<70`?27927>?h4v3:3e8745=:=:o1?nh4}r3eb6<72;q69>j53gd8905b2:in7p}>fg694?4|5<9o6>hj;<70a?5dl2wx=kh::181834l39mh63:3d80gf=z{8lm:7>52z?67a<4nj169>k53b`8yv7an>0;6?u252f97cd<5<9n6>mn;|q2bc>=838p18=k:2db?834m39h56s|1gd:>5<5s4?8h7=i9:?67`<4k11v16b=;o<018=j:2a6?xu6noi1<76`234?8i7=l4:p5c`c2909w0;;2;l08o>5rs0dea?6=:r7>?i416c=;j80q~?ifg83>7}:=:n1?k<4=41f>6e63ty9<=>50;0x905c2:l:70;{t:9::6=4={<70`?5a827>?h4v3:3e80ac=:=:o1?ok4}r0346<72;q69>j53dg8905b2:ho7p}=01694?4|5<9o6>kk;<70a?5ek2wx>=>::181834l39nn63:3d80fd=z{;:;:7>52z?67a<4mh169>k53c;8yv478>0;6?u252f97`?<5<9n6>l7;|q145>=838p18=k:2g;?834m39i;6s|212:>5<5s4?8h7=j7:?67`<4j?1v?>?a;296~;2;m08i;5252g97g316b=;l?018=j:2`7?xu589i1<76c334?8i7=m3:p656c2909w0;;2;l08n?5rs323a?6=:r7>?i416c=;k;0q~7}:=:n186c73ty9<<>50;0x905c2=;;70;{t:9;:6=4={<70`?27n27>?h4v3:3e874`=:=:o1?ij4}r0356<72;q69>j541f8905b2:nh7p}=00694?4|5<9o69>l;<70a?5cj2wx>=?::181834l3>;>63:3d80`4=z{;:::7>52z?67a<4n>169>k53b48yv479>0;6?u252f97`e<5<9n6>lm;|q144>=838p18=k:2g2?834m39i<6s|213:>5<4s4?8i7??d:?607<68m16mh:52418yv479h0;6>u252g955d<5<>96<>m;=?m:180834m3;;m63:43824d=:il>1>8>4}r035f<72:q69>k511;8902528:270oj4;07b>{t:9;o6=4<{<70a?77027>8?4>099>e`2=:=o0q~6}:=:o1==94=461>46034kn87<;d:p657a2908w0;;2<;0:<;52ad6961e=4?:2y>16c=99?018:=:026?8gb<38?n6s|2102>5<4s4?8i7?>6:?607<69?16mh:526`8yv47:;0;6>u252g9543<5<>96=<<:180834m3;:863:438251=:il>1>:74}r0361<72:q69>k51018902528;870oj4;04<>{t:98>6=4<{<70a?76:27>8?4>139>e`2=:>=0q~6}:=:o1=47634kn87<86:p65402908w0;;2<;0:==52ad6963e54?:2y>16c=99l018:=:02e?8gb<38==6s|210:>5<4s4?8i7??e:?607<68l16mh:52448yv47:h0;6>u252g9552<5<>96<>;;=:n1=n:4=62:>4bc3ty9;0800:ho5rs321`?6=;r7>?h4>a79>26b=9j;01:>6:0fb?xu58;o1<7=t=41f>4g234<8h7?l0:?44<<6l01v?>=f;297~;2;l0:m>5262f95gc<5>:2653z?67`<6i816:>j51ca8926>28n>7p}=02094?5|5<9n6d59~w764;3:1?v3:3d82=c=:>:n1=oo4=62:>4b43ty9<>:50;1x905b283n708;0800:h?5rs3201?6=;r7>?h4>9e9>26b=9k201:>6:0f2?xu58:<1<7=t=41f>4?d34<8h7?m7:?44<<6l91v?><7;297~;2;l0:5o5262f95g0<5>:26=839p18=j:0;b?804l3;i96380882g`=z{;:857>53z?67`<6j;16:>j51ba8926>28o>7p}=02c94?5|5<9n6;<40`?7dj27<<44>e59~w764j3:1?v3:3d82f5=:>:n1=no4=62:>4c43ty9<>m50;1x905b28km708;0800:i?5rs320`?6=;r7>?h4>ad9>26b=9j201:>6:0g2?xu58:o1<7=t=41f>4gc34<8h7?l7:?44<<6m91v?>:2653z?67`<6i=16:>j51cd8926>28n37p}=05094?5|5<9n6<76;<40`?7e<27<<44>ce9~w763;3:14v3:3d8b0>;2;l0j>63:3d8b5>;2;l0j<63:3d8:b>;2;l02i63:3d8:`>;0;j0:hi5rs3270?6=:r7>?h46c:?47f<6lk1v?>;5;296~;2;l02n6383b82`d=z{;:?:7>52z?67`<>i27d89~w763?3:1>v3:3d8:<>;0;j0:h:5rs327?h467:?47f<6l?1v?>;9;296~;2;l02:6383b82`0=z{;:?m7>52z?67`<>=27d59~w763j3:1>v3:3d8:0>;0;j0:h>5rs327g?6=:r7>?h463:?47f<6l;1v?>;d;296~;2;l02>6383b82`4=z{;:?i7>52z?67`<>927d19~w763n3:1>v3:3d8:4>;0;j0:ok5rs3264?6=:r7>?h47f:?47f<6kl1v?>:1;296~;2;l0jo6383b82a0=z{;:>>7>52z?67`e59~w762;3:1>v3:3d8be>;0;j0:i>5rs3260?6=:r7>?h4n9:?47f<6m;1v?>:5;296~;2;l0j46383b82a4=z{;:>:7>52z?67`e19~w762?3:1>v3:3d8b2>;0;j0:hk5rs326?h4n5:?47f<6ll1v?>:9;296~;2;l0256383b82`==z{;:>m7>52z?67`ce9~w762j3:1>v3:3d874g=:=:l1?io4}r031f<72;q69>k541;8905a2:n37p}=04f94?4|5<9n69>7;<70b?5c?2wx>=;j:181834m3>;;63:3g80`3=z{;:>j7>52z?67`<38?169>h53e78yv47>90;6?u252g9053<5<9m6>j;;|q1437=838p18=j:527?834n39o?6s|2141>5<5s4?8i7:?3:?67c<4l;1v?>93;296~;2;l0?<<5252d97a616c=<9:018=i:2ae?xu58??1<76`a34?8j7=le:p65012909w0;;2;o08oi5rs3253?6=:r7>?h416`=;ji0q~7}:=:o1?km4=41e>6ee3ty9<;750;0x905b2:li70;{t:9?k4v3:3d80b<=:=:l1?n64}r032f<72;q69>k53g:8905a2:i<7p}=07f94?4|5<9n6>h9;<70b?5d=2wx>=8j:181834m39m963:3g80g1=z{;:=j7>52z?67`<4n=169>h53b18yv47?90;6?u252g97c5<5<9m6>m=;|q1427=838p18=j:2d1?834n39h=6s|2151>5<5s4?8i7=i1:?67c<4k91v?>83;296~;2;l08j=5252d97g`16c=;ll018=i:2`f?xu58>?1<76cb34?8j7=md:p65112909w0;;2;o08nn5rs3243?6=:r7>?h416`=;kk0q~7}:=:o1?ho4=41e>6d>3ty9<:750;0x905b2:o270;{t:9=j6=4={<70a?5b027>?k4v3:3d80a2=:=:l1?o84}r033f<72;q69>k53d48905a2:h>7p}=06f94?4|5<9n6>k:;<70b?5e<2wx>=9j:181834m39n863:3g80f6=z{;:52z?67`<4m:169>h53c08yv47090;6?u252g97`4<5<9m6>l>;|q14=7=838p18=j:532?834n39n<6s|21:1>5<5s4?8i7:>0:?67c<4lo1v?>73;296~;2;l0?16c=<9o018=i:2fg?xu581?1<716c34?8j7=kc:p65>12909w0;;2;o08ho5rs32;3?6=:r7>?h4;039>16`=;m;0q~7}:=:o1?k94=41e>6e13ty9<5750;0x905b2:oh70;{t:92j6=4={<70a?5b927>?k47343ty9<5m50;1x905a28:i70;;3;33f>;e9m099<5rs32;`?6=;r7>?k4>0`9>115=99k01o?k:373?xu581o1<7=t=41e>46>34???7??9:?a5a<57f;297~;2;o0:<552551955><5k;o6?:j;|q14<6=839p18=i:024?833;3;;;63m1e810a=z{;:2=7>53z?67c<68?1699=511489g7c2;>h7p}=08094?5|5<9m6<>:;<777?77=27i=i4=4c9~w76>;3:1?v3:3g8253=:==91=<84=c3g>71e3ty9<4:50;1x905a28;>70;;3;321>;e9m09;l5rs32:1?6=;r7>?k4>159>115=98>01o?k:35:?xu580<1<7=t=41e>47434???7?>3:?a5a<5?11v?>67;297~;2;o0:=?525519544<5k;o6?98;|q14<>=839p18=i:032?833;3;:=63m1e8133=z{;:257>53z?67c<6991699=510289g7c2;i;<777?77n27i=i4=609~w76>j3:1?v3:3g824`=:==91==k4=c3g>7313ty9<4m50;1x905a28:?70;;3;330>;e9m098l5rs32:`?6=?k4>a`9>16`=9h20189>:0a7?804l3;oh6s|21;f>5<4s4?8j7?n7:?634<6k;16:>j51e`8yv471o0;6>u252d95d0<5<=:6;<40`?7ci2wx>=o?:180834n3;j963:7082g5=:>:n1=i74}r03e4<72:q69>h51`18901628hn708{t:9k96=4<{<70b?7f:27>;<4>be9>26b=9m<0q~6}:=:l1=l?4=452>4dd34<8h7?k5:p65g32908w0;;2?80:no5262f95a216`=90l0189>:0`b?804l3;o?6s|21c5>5<4s4?8j7?6e:?634<6j016:>j51e08yv47i>0;6>u252d95=o7:180834n3;2o63:7082f2=:>:n1=i>4}r03e<<72:q69>h518`8901628h=708{t:9kj6=4<{<70b?7>i27>;<4>b49>26b=9jo0q~6}:=:l1=o<4=452>4ed34<8h7?j5:p65gd2908w0;;2?80:oo5262f95`216`=9k:0189>:0ab?804l3;n?6s|21cf>5<4s4?8j7?nf:?634<6k016:>j51d08yv47io0;6>u252d95dc<5<=:6=l?:180834n3;jh63:7082g2=:>:n1=h>4}r03f4<72:q69>h51`a8901628i=708{t:9h96=4<{<70b?7fj27>;<4>c49>26b=9mo0q~6}:=:l1=l:4=452>4da34<8h7?k8:p65d32908w0;;2?80:n95262f95fb16`=i=169>h5a39>16`=i8169>h5a19>16`=1o169>h59d9>16`=1m16::>51ef8yv47j?0;6?u252d9=f=:>>:1=il4}r03f2<72;q69>h59c9>226=9mk0q~7}:=:l15l5266295a?16`=1116::>51e58yv47jh0;6?u252d9=2=:>>:1=i84}r03fg<72;q69>h5979>226=9m?0q~7}:=:l1585266295a216`=1=16::>51e18yv47jl0;6?u252d9=6=:>>:1=i<4}r03fc<72;q69>h5939>226=9m;0q~7}:=:l15<5266295a616`=1916::>51bd8yv47k;0;6?u252d9>:1=nk4}r03g6<72;q69>h5ab9>226=9l?0q~7}:=:l1mo5266295`216`=ih16::>51d18yv47k?0;6?u252d9e<=:>>:1=h<4}r03g2<72;q69>h5a99>226=9l;0q~7}:=:l1m:5266295`616`=i?16::>51ed8yv47kh0;6?u252d9e0=:>>:1=ik4}r03gg<72;q69>h5989>226=9m20q~7}:=:l14h5266295fb116=9hk018:?:0c;?8>4j3;h86360682`a=z{;:hi7>53z?605<6i>164>l51b089<6028ni7p}=0bd94?5|5<>;6d`9~w76c83:1?v3:4182e0=:0:h1=n>4=824>4b>3ty9;>8>0:h:5rs32g6?6=;r7>8=4>a39><6d=9kn014>8:0f5?xu58m91<7=t=463>4g63428n7?mc:?:42<6l<1v?>k4;297~;2<90:m=5282`95gd<50:<64j3;im6360682`6=z{;:o:7>53z?605<61l164>l51c;89<6028n97p}=0e594?5|5<>;6<7k;<:0f?7e0272<:4>d09~w76c03:1?v3:4182=f=:0:h1=o94=824>4b73ty9;>8>0:ok5rs32ge?6=;r7>8=4>9`9><6d=9k?014>8:0af?xu58mh1<7=t=463>4d53428n7?lc:?:42<6m<1v?>kc;297~;2<90:n<5282`95fd<50:<64j3;hm6360682a6=z{;:oi7>53z?605<6io164>l51b;89<6028o97p}=0ed94?5|5<>;6e09~w76b83:1?v3:4182ea=:0:h1=n94=824>4c73ty9;>8>0:hk5rs32f6?6=;r7>8=4>ac9><6d=9j?014>8:0ff?xu58l91<7=t=463>4g33428n7?mf:?:42<6l11v?>j4;297~;2<90:545282`95g2<50:<6;64m4=81b>4be3ty9;6494=81b>4b13ty9<3<509j6;64=4=81b>4b53ty9<7<509j6;65h4=81b>4eb3ty91<7dd<509j6;6l74=81b>4c53ty9d1<509j6;6l;4=81b>4bb3ty9=c<509j65<5s4??<7:?9:?604<4l11v?>if;296~;2<90?<55255397a1116=<9=018:>:2f5?xu599;1<716134??=7=k5:p64652909w0;;0;631>;2<808h95rs3337?6=:r7>8=4;059>117=;m90q~<>0583>7}:==:18==4=462>6b53ty9==;50;0x90272=::70;;1;1g4>{t:8:=6=4={<774?27827>8<4v3:4180bc=:==;1?nk4}r024=<72;q699>53gg890262:io7p}=11;94?4|5<>;6>hk;<775?5dk2wx><>n:181833839mo63:4080gg=z{;;;n7>52z?605<4nk1699?53bc8yv468j0;6?u255297cg<5<>:6>m6;|q155b=838p18:?:2d:?833939h46s|202f>5<5s4??<7=i8:?604<4k>1v???f;296~;2<908j;5255397f3116=;o?018:>:2a7?xu598;1<76`334??=7=l3:p64752909w0;;0;1e7>;2<808o?5rs3327?6=:r7>8=4117=;j;0q~<>1583>7}:==:1?k?4=462>6e73ty9=<;50;0x90272:l;70;;1;1ab>{t:8;=6=4={<774?5bn27>8<4v3:4180a`=:==;1?oj4}r025=<72;q699>53df890262:hh7p}=10;94?4|5<>;6>km;<775?5ei2wx>52z?605<4m01699?53c:8yv469j0;6?u255297`><5<>:6>l8;|q154b=838p18:?:2g4?833939i:6s|203f>5<5s4??<7=j6:?604<4j<1v??>f;296~;2<908i85255397g2=4?:3y>116=;l>018:>:2`0?xu59;;1<76c434??=7=m2:p64452909w0;;0;1f6>;2<808n<5rs3317?6=:r7>8=4;109>117=;l:0q~<>2583>7}:==:18<>4=462>6ba3ty9=?;50;0x90272=:m70;;1;1ga>{t:88=6=4={<774?27m27>8<4v3:41874a=:==;1?im4}r026=<72;q699>541a890262:ni7p}=13;94?4|5<>;69>=;<775?5c92wx><52z?605<4mj1699?53c`8yv46:j0;6?u255297`7<5<>:6>l?;|q157b=83>p18:>:0cb?83393;j46386g82g1=:0:h1=ij4}r026`<72:q699?51`58920a28i9706{t:88m6=4<{<775?7f>27<:k4>c09><6d=9mk0q~<>3183>6}:==;1=l;4=64e>4e73428n7?k9:p64562908w0;;1;3b7>;0>o0:nh5282`95a1117=9h801:8i:0`g?8>4j3;o:6s|2010>5<4s4??=7?n1:?42c<6jj164>l51e78yv46;=0;6>u255395d6<5><=::18083393;2j6386g82fd=:0:h1=i=4}r0273<72:q699?518g8920a28h2706{t:89<6=4<{<775?7>l27<:k4>b99><6d=9m;0q~<>3983>6}:==;1=4m4=64e>4d03428n7?k0:p645>2908w0;;1;3:f>;0>o0:n;5282`95f`117=90k01:8i:0`6?8>4j3;hi6s|201a>5<4s4??=7?m2:?42c<6kj164>l51d78yv46;j0;6>u255395g7<5><=k:18083393;i<6386g82gd=:0:h1=h=4}r027`<72:q699?51`d8920a28i2706{t:89m6=4<{<775?7fm27<:k4>c99><6d=9l;0q~<>4183>6}:==;1=lj4=64e>4e03428n7?j0:p64262908w0;;1;3bg>;0>o0:o;5282`95a`117=9hh01:8i:0a6?8>4j3;oi6s|2060>5<4s4??=7?n4:?42c<6jo164>l51e:8yv46<=0;6>u255395<:::18;83393k?70;;1;c1?83393k:70;;1;c3?833933m70;;1;;f?833933o7069e;3g`>{t:8>=6=4={<775??d342=i7?kb:p64202909w0;;1;;a?8>1m3;om6s|206;>5<5s4??=77n;<:5a?7c12wx><:6:18183393337069e;3g3>{t:8>j6=4={<775??0342=i7?k6:p642e2909w0;;1;;5?8>1m3;o96s|206`>5<5s4??=77:;<:5a?7c<2wx><:k:181833933?7069e;3g7>{t:8>n6=4={<775??4342=i7?k2:p642a2909w0;;1;;1?8>1m3;o=6s|2073>5<5s4??=77>;<:5a?7c82wx><;>:181833933;7069e;3`b>{t:8?96=4={<775?>a342=i7?le:p64342909w0;;1;c`?8>1m3;n96s|2077>5<5s4??=7om;<:5a?7b<2wx><;::18183393kj7069e;3f7>{t:8?=6=4={<775?g>342=i7?j2:p64302909w0;;1;c;?8>1m3;n=6s|207;>5<5s4??=7o8;<:5a?7b82wx><;6:18183393k=7069e;3gb>{t:8?j6=4={<775?g2342=i7?ke:p643e2909w0;;1;;:?8>1m3;o46s|207`>5<5s4??=76j;<:5a?7dl2wx><;k:18183393>;n63:4380`d=z{;;>i7>52z?604<3801699<53e:8yv46=o0;6?u2553905><5<>96>j8;|q1536=838p18:>:524?833:39o:6s|2042>5<5s4??=7:?6:?607<4l<1v??92;296~;2<80?<85255097a24?:3y>117=<9>018:=:2f0?xu59?>1<716434??>7=k2:p64022909w0;;1;635>;2<;08h=5rs3352?6=:r7>8<4;019>114=;jl0q~<>6683>7}:==;1?kh4=461>6eb3ty9=;650;0x90262:ln70;;2;1``>{t:8<26=4={<775?5al27>8?4v3:4080bf=:==81?nl4}r022g<72;q699?53g`890252:ij7p}=17a94?4|5<>:6>hn;<776?5d12wx><8k:181833939m563:4380g==z{;;=i7>52z?604<4n11699<53b58yv46>o0;6?u255397c0<5<>96>m:;|q1526=838p18:>:2d6?833:39h86s|2052>5<5s4??=7=i4:?607<4k:1v??82;296~;2<808j>5255097f44?:3y>117=;o8018:=:2a2?xu59>>1<76`634??>7=l0:p64122909w0;;1;1e4>;2<;08nk5rs3342?6=:r7>8<4114=;ko0q~<>7683>7}:==;1?hk4=461>6dc3ty9=:650;0x90262:oo70;;2;1ag>{t:8=26=4={<775?5bj27>8?4v3:4080ad=:==81?o74}r023g<72;q699?53d;890252:h37p}=16a94?4|5<>:6>k7;<776?5e?2wx><9k:181833939n;63:4380f3=z{;;52z?604<4m?1699<53c78yv46?o0;6?u255397`3<5<>96>l;;|q15=6=838p18:>:2g7?833:39i?6s|20:2>5<5s4??=7=j3:?607<4j;1v??72;296~;2<808i?5255097g74?:3y>117=<8;018:=:2g3?xu591>1<717734??>7=kf:p64>22909w0;;1;63b>;2<;08hh5rs33;2?6=:r7>8<4;0d9>114=;mn0q~<>8683>7}:==;18=j4=461>6bd3ty9=5650;0x90262=:h70;;2;1gf>{t:8226=4={<775?27:27>8?4v3:4080b2=:==81?n84}r02:6>k>;<776?5e82wx><6k:187833:3;jm63:4382e==:>h91=n:4=64e>4bc3ty9=5k50;1x902528k<708n3;3`6>;0>o0:ho5rs33;b?6=;r7>8?4>a79>2d5=9j;01:8i:0fb?xu590:1<7=t=461>4g234526`195gc<5>53z?607<6i816:l=51ca8920a28n>7p}=18694?5|5<>96d59~w77>=3:1?v3:4382=c=:>h91=oo4=64e>4b43ty9=4850;1x9025283n708n3;3a=>;0>o0:h?5rs33:3?6=;r7>8?4>9e9>2d5=9k201:8i:0f2?xu59021<7=t=461>4?d3453z?607<6j;16:l=51ba8920a28o>7p}=18a94?5|5<>96;<4b7?7dj27<:k4>e59~w77>l3:1?v3:4382f5=:>h91=no4=64e>4c43ty9=4k50;1x902528km708n3;3`=>;0>o0:i?5rs33:b?6=;r7>8?4>ad9>2d5=9j201:8i:0g2?xu59h:1<7=t=461>4gc3453z?607<6i=16:l=51cd8920a28n37p}=1`694?5|5<>96<76;<4b7?7e<27<:k4>ce9~w77f=3:14v3:438b0>;2<;0j>63:438b5>;2<;0j<63:438:b>;2<;02i63:438:`>;0i;0:hi5rs33b2?6=:r7>8?46c:?4e7<6lk1v??n7;296~;2<;02n638a382`d=z{;;j47>52z?607<>i27d89~w77f13:1>v3:438:<>;0i;0:h:5rs33be?6=:r7>8?467:?4e7<6l?1v??nb;296~;2<;02:638a382`0=z{;;jo7>52z?607<>=27d59~w77fl3:1>v3:438:0>;0i;0:h>5rs33ba?6=:r7>8?463:?4e7<6l;1v??nf;296~;2<;02>638a382`4=z{;;i<7>52z?607<>927d19~w77e93:1>v3:438:4>;0i;0:ok5rs33a6?6=:r7>8?47f:?4e7<6kl1v??m3;296~;2<;0jo638a382a0=z{;;i87>52z?607e59~w77e=3:1>v3:438be>;0i;0:i>5rs33a2?6=:r7>8?4n9:?4e7<6m;1v??m7;296~;2<;0j4638a382a4=z{;;i47>52z?607e19~w77e13:1>v3:438b2>;0i;0:hk5rs33ae?6=:r7>8?4n5:?4e7<6ll1v??mb;296~;2<;025638a382`==z{;;io7>52z?607ce9~w77el3:1>v3:43874g=:==91?io4}r02f`<72;q699<541;890242:n37p}=1cd94?4|5<>969>7;<777?5c?2wx>;;63:4280`3=z{;;h=7>52z?607<38?1699=53e78yv46k;0;6?u25509053<5<>86>j;;|q15f5=838p18:=:527?833;39o?6s|20a7>5<5s4??>7:?3:?606<4l;1v??l5;296~;2<;0?<<5255197a6114=<9:018:<:2ae?xu59j=1<76`a34???7=le:p64e?2909w0;;2;1ea>;2<:08oi5rs33`=?6=:r7>8?4115=;ji0q~<>c`83>7}:==81?km4=460>6ee3ty9=nl50;0x90252:li70;;3;1`e>{t:8ih6=4={<776?5ai27>8>4v3:4380b<=:==91?n64}r02g`<72;q699<53g:890242:i<7p}=1bd94?4|5<>96>h9;<777?5d=2wx>52z?607<4n=1699=53b18yv46l;0;6?u255097c5<5<>86>m=;|q15a5=838p18:=:2d1?833;39h=6s|20f7>5<5s4??>7=i1:?606<4k91v??k5;296~;2<;08j=5255197g`114=;ll018:<:2`f?xu59m=1<76cb34???7=md:p64b?2909w0;;2;1f`>;2<:08nn5rs33g=?6=:r7>8?4115=;kk0q~<>d`83>7}:==81?ho4=460>6d>3ty9=il50;0x90252:o270;;3;1a<>{t:8nh6=4={<776?5b027>8>4v3:4380a2=:==91?o84}r02``<72;q699<53d4890242:h>7p}=1ed94?4|5<>96>k:;<777?5e<2wx>52z?607<4m:1699=53c08yv46m;0;6?u255097`4<5<>86>l>;|q15`5=838p18:=:532?833;39n<6s|20g7>5<5s4??>7:>0:?606<4lo1v??j5;296~;2<;0?114=<9o018:<:2fg?xu59l=1<716c34???7=kc:p64c?2909w0;;2;63g>;2<:08ho5rs33f=?6=:r7>8?4;039>115=;m;0q~<>e`83>7}:==81?k94=460>6e13ty9=hl50;0x90252:oh70;;3;1af>{t:8oh6=4={<776?5b927>8>44e334;2l>0:o?526`195ad115=9h<018j8:0a2?80f;3;om6s|20d3>5<4s4???7?n5:?6`2<6k916:l=51e;8yv46n80;6>u255195d5<563:d682fa=:>h91=i84}r02b6<72:q699=51`3890b028hh708n3;3g1>{t:8l?6=4<{<777?7f827>h:4>bc9>2d5=9m>0q~<>f483>6}:==91=4h4=4f4>4df34;2l>0:n4526`195a4115=90n018j8:0`;?80f;3;o=6s|20d;>5<4s4???7?6c:?6`2<6j>16:l=51e28yv46n00;6>u255195h91=nk4}r02bg<72:q699=51c0890b028ih708n3;3f1>{t:8lh6=4<{<777?7e927>h:4>cc9>2d5=9l>0q~<>fe83>6}:==91=o>4=4f4>4ef34;2l>0:o4526`195`4115=9ho018j8:0a;?80f;3;n=6s|2323>5<4s4???7?nd:?6`2<6k>16:l=51d28yv45880;6>u255195de<5?>=:180833;3;jn63:d682g0=:>h91=ik4}r0146<72:q699=51`6890b028hm708n3;3g<>{t:;:?6=4<{<777?7>127>h:4>b59>2d5=9jn0q~<=0483>=}:==91m9525519e7=:==91m<525519e5=:==915k525519=`=:==915i526e495ab115=1j16:i851e`8yv458>0;6?u25519=g=:>m<1=io4}r014=<72;q699=59`9>2a0=9m30q~<=0883>7}:==9155526e495a1115=1>16:i851e48yv458k0;6?u25519=3=:>m<1=i;4}r014f<72;q699=5949>2a0=9m>0q~<=0e83>7}:==9159526e495a5115=1:16:i851e08yv458o0;6?u25519=7=:>m<1=i?4}r0155<72;q699=5909>2a0=9m:0q~<=1083>7}:==915=526e495f`115=0o16:i851bg8yv459:0;6?u25519ef=:>m<1=h;4}r0151<72;q699=5ac9>2a0=9l>0q~<=1483>7}:==91ml526e495`5115=i016:i851d08yv459>0;6?u25519e==:>m<1=h?4}r015=<72;q699=5a69>2a0=9l:0q~<=1883>7}:==91m;526e495a`115=i<16:i851eg8yv459k0;6?u25519=<=:>m<1=i64}r015f<72;q699=58d9>2a0=9jn0q~<=1e83>6}:==>18<84=465>4?034k<>7ki;|q164c=839p18:::535?833?3;2;63n738e5>{t:;;m6=4<{<772?26>27>854>969>edd=mo1v?<=0;297~;2<>0?=;5255;95<1<5hki6k?4}r0164<72:q699654048902f283<70oj4;ge?xu5:;81<7=t=46:>17134??n7?67:?ba1?<<:180833i3>::63:4b82=2=:j8n1ik5rs3010?6=;r7>8o4;179>11b=90=01o?k:g38yv45:<0;6?u255a9040<5k?=6hh4}r0163<72;q699j540489g312o;0q~<=2683>6}:==o18<84=46e>4?034k<>7:;2=90:5:52a``906g44?:2y>106=<8<018;>:0;4?8gb<3>8m6s|230b>5<4s4?>=7:>6:?617<61>16np18;<:0;4?83213>::6393e877<=:>h918>74}r016a<72:q698=5404890162=9270;k7;60=>{t:;8n6=4:{<760?7>?27>9l4;179>226=<:301;j9:51:?8e0j382h6s|230e>5<3s4?>87:>6:?6e1<3;016:=o542;89f1f2;3o7p}=22294?2|56<78;<76f?26>27=;=4jd:?5`3?=>:180832=3>::63:a58f`>;18h0nh6s|2311>5<3s4?>:7?67:?61f<39?16:>j5ee9>2d5=mm1v?<<3;297~;2=?0?=;525639aa=:=m=1ii5rs3000?6=;r7>9:4>969>10b=<8<01o?k:g58yv45;<0;6?u25459040<5k?=6k94}r0173<72:q698651858903b2=;=70l>d;d6?xu5::=1<717134h>:7h:;|q166>=83>p18;6:0;4?83183>::63808877<=:??l18>74}r017<<72;0i;0??452c6a9610d=90=0188;:535?814k3oo709n2;gg?xu5::h1<7:t=47`>4?034?=?7:>6:?44<;2>80?=;52ad69b2=z{;88h7>53z?61`<61>169;<540489dc32o?0q~<=3d83>0}:=171342;47:<9:?;2`<3;016o:j528f8yv45;o0;69u257295<1<5<<369?9;<5g1?241273?o4;389~w74383:1?v3:6082=2=:=?<18<84=`ca>c1134=90=01888:535?8gfj3l>7p}=25094?2|5<<86<78;<75=?26>27?:<:187831<3;2;63:6`8753=:0921ii5287g9aa=z{;8?87>55z?620<61>169;m540489=b32=92707;d?l095i5rs3071?6=;r7>:;4>969>13c=<8<01l9=:g58yv45u257595<1<5<9950;6x900?283<70;9d;622>;?i80??452915906?13?=90=0188m:535?8>f93oo707?7;gg?xu5:=31<7:t=44b>4?034?<<7:>6:?;`1w0;9c;3:3>;>i90??452a14906?<5m9;69?9;?2wx>?:m:186831l3;2;6366e877<=:1m918>74=d:3>17134lj?7?67:p672d2908w0;n4;3`0>;e1<0?=;52ddf95<11d2=9j801o79:535?8ba93;2;6s|236f>5<4s4?j87?l1:?a=2<39?16hk=51858yv45u25`695f6<5k3369?9;?2wx>?;?:18083f<3;ii63m988753=:lo=1=494}r0114<72:q69l:51cf89g?f2=;=70ji9;3:3>{t:;?96=4<{<7b0?7ek27i5o4;179>`c3=90=0q~<=5283>6}:=h>1=ol4=c;`>17134nmh7?67:p67332908w0;n4;3ae>;e1m0?=;52dgd95<11d2=9k301o7j:535?8baj3;2;6s|2375>5<4s4?j87?m8:?a=c<39?16i==51858yv45=>0;6>u25`695g1<5kk;69?9;?2wx>?;7:18083f<3;i:63ma08753=:m9;1=494}r011<<72:q69l:51c789gg52=;=70k?7;3:3>{t:;?j6=4<{<7b0?7dk27im>4;179>a5?=90=0q~<=5c83>6}:=h>1=nl4=cc7>17134o;n7?67:p673d2908w0;n4;3`e>;ei<0?=;52e1f95<11d2=9j301oo9:535?8c693;2;6s|237f>5<4s4?j87?l8:?ae2<39?16i<=51858yv45=o0;6>u25`695f1<5kk369?9;?2wx>?8?:18083f<3;h:63ma88753=:m8=1=494}r0124<72:q69l:51b789ggf2=;=70k>9;3:3>{t:;<96=4<{<7b0?7en27imo4;179>a43=90=0q~<=6283>6}:=h>1=o:4=cc`>17134o:n7?67:p67032908w08?a;3`0>;e?m0?=;52dcd95<125g=9j801o9j:535?8bej3;2;6s|2345>5<4s4<;m7?l1:?a3c<39?16hn=51858yv45>>0;6>u261c95f6<5k2;69?9;?2wx>?87:180807i3;ii63m808753=:lj;1=494}r012<<72:q6:=o51cf89g>52=;=70jl7;3:3>{t:;4;179>`f?=90=0q~<=6c83>6}:>9k1=ol4=c:7>17134nhn7?67:p670d2908w08?a;3ae>;e0<0?=;52dbf95<125g=9k301o69:535?8bc93;2;6s|234f>5<4s4<;m7?m8:?a<2<39?16hi=51858yv45>o0;6>u261c95g1<5k2369?9;?2wx>?9?:180807i3;i:63m888753=:lm=1=494}r0134<72:q6:=o51c789g>f2=;=70jk9;3:3>{t:;=96=4<{<43e?7dk27i4o4;179>`a3=90=0q~<=7283>6}:>9k1=nl4=c:`>17134noh7?67:p67132908w08?a;3`e>;e0m0?=;52ded95<125g=9j301o6j:535?8bcj3;2;6s|2355>5<4s4<;m7?l8:?a0;6>u261c95f1<5k3;69?9;?2wx>?97:180807i3;h:63m908753=:ll;1=494}r013<<72:q6:=o51b789g?52=;=70jj9;3:3>{t:;=j6=4<{<43e?7en27i5>4;179>``d=90=0q~<=7c83>6}:>9k1=o:4=c;7>17134nn;7?67:p671d2908w0880;3`0>;cml0?=;52ec195<1226=9j801ih=:535?8ce93;2;6s|235f>5<4s4<<<7?l1:?gb1<39?16io;51858yv45?o0;6>u266295f6<5ml;69?9;?2wx>?6?:18080083;ii63kf98753=:mk31=494}r01<4<72:q6::>51cf89a`f2=;=70kmb;3:3>{t:;296=4<{<444?7ek27oj;4;179>ag`=90=0q~<=8283>6}:>>:1=ol4=edf>17134oh=7?67:p67>32908w0880;3ae>;b890?=;52ecf95<1226=9k301ihl:535?8cd=3;2;6s|23:5>5<4s4<<<7?m8:?f41<39?16in951858yv450>0;6>u266295g1<5l:=69?9;?2wx>?67:18080083;i:63j038753=:mjh1=494}r01<<<72:q6::>51c789`6?2=;=70kld;3:3>{t:;2j6=4<{<444?7dk27naf?=90=0q~<=8c83>6}:>>:1=nl4=d2`>17134ohj7?67:p67>d2908w0880;3`e>;b8l0?=;52ee395<1226=9j301h?=:535?8cc;3;2;6s|23:f>5<4s4<<<7?l8:?f51<39?16ii;51858yv450o0;6>u266295f1<5l;;69?9;?2wx>?7?:18080083;h:63j198753=:mmh1=494}r01=4<72:q6::>51b789`7f2=;=70kk7;3:3>{t:;396=4<{<444?7en27n=;4;179>aab=90=0q~<=9283>6}:>>:1=o:4=d3`>17134ooj7?67:p67?32908w08k6;3`0>;ck90?=;52e9195<12a0=9j801ill:535?8c?=3;2;6s|23;5>5<4s40;6>u26e495f6<5mi=69?9;?2wx>?77:18080c>3;ii63kc38753=:m1h1=494}r01=<<72:q6:i851cf89ae?2=;=70k77;3:3>{t:;3j6=4<{<4g2?7ek27ool4;179>a=b=90=0q~<=9c83>6}:>m<1=ol4=ea`>17134o3j7?67:p67?d2908w08k6;3ae>;ckl0?=;52e8395<12a0=9k301ij=:535?8c>;3;2;6s|23;f>5<4s4u26e495g1<5mn;69?9;?2wx>?o?:18080c>3;i:63kd98753=:m0?1=494}r01e4<72:q6:i851c789abf2=;=70k6d;3:3>{t:;k96=4<{<4g2?7dk27oh;4;179>a<`=90=0q~<=a283>6}:>m<1=nl4=eff>17134o2n7?67:p67g32908w08k6;3`e>;cm90?=;52e`195<12a0=9j301ijl:535?8cf=3;2;6s|23c5>5<4s40;6>u26e495f1<5mo=69?9;?2wx>?o7:18080c>3;h:63ke38753=:mh31=494}r01e<<72:q6:i851b789acf2=;=70knb;3:3>{t:;kj6=4<{<4g2?7en27oin4;179>adb=90=0q~<=ac83>6}:>m<1=o:4=eg;>17134ojj7?67:p67gd2908w09;bj=0?=;52f6195<136e=9j801hl=:535?8`0?3;2;6s|23cf>5<4s4=8o7?l1:?ff3<39?16j:751858yv45io0;6>u272a95f6<5lh369?9;?2wx>?l?:180814k3;ii63jb`8753=:n>h1=494}r01f4<72:q6;>m51cf89`dd2=;=70h8d;3:3>{t:;h96=4<{<50g?7ek27no=4;179>b2`=90=0q~<=b283>6}:?:i1=ol4=da1>17134l3=7?67:p67d32908w09;bjl0?=;52f9795<136e=9k301hm9:535?8`??3;2;6s|23`5>5<4s4=8o7?m8:?fg=<39?16j5=51858yv45j>0;6>u272a95g1<5li?69?9;?2wx>?l7:180814k3;i:63jcb8753=:n1n1=494}r01f<<72:q6;>m51c789`eb2=;=70h79;3:3>{t:;hj6=4<{<50g?7dk27nol4;179>b<7=90=0q~<=bc83>6}:?:i1=nl4=df3>17134l2?7?67:p67dd2908w09;bl;0?=;52f9d95<136e=9j301hj;:535?8`>=3;2;6s|23`f>5<4s4=8o7?l8:?f`3<39?16j4951858yv45jo0;6>u272a95f1<5lnj69?9;?2wx>?m?:180814k3;h:63jdb8753=:n0h1=494}r01g4<72:q6;>m51b789`b?2=;=70h6f;3:3>{t:;i96=4<{<50g?7en27nhh4;179>bd7=90=0q~<=c283>6}:?:i1=o:4=dg3>17134l2h7?67:p67e32908w09n2;3`0>;b0=0?=;52f5795<13d4=9j801h69:535?8`3;3;2;6s|23a5>5<4s4=j>7?l1:?f<7<39?16j9751858yv45k>0;6>u27`095f6<5l2j69?9;?2wx>?m7:18081f:3;ii63j8b8753=:n==1=494}r01g<<72:q6;l<51cf89`>?2=;=70h;f;3:3>{t:;ij6=4<{<5b6?7ek27n4h4;179>b07=90=0q~<=cc83>6}:?h81=ol4=d;3>17134l?h7?67:p67ed2908w09n2;3ae>;b1;0?=;52f4195<13d4=9k301h7;:535?8`2=3;2;6s|23af>5<4s4=j>7?m8:?f==<39?16j8951858yv45ko0;6>u27`095g1<5l3j69?9;?2wx>?j?:18081f:3;i:63j978753=:n{t:;n96=4<{<5b6?7dk27nm=4;179>b0d=90=0q~<=d283>6}:?h81=nl4=d;`>17134l=?7?67:p67b32908w09n2;3`e>;bi=0?=;52f7795<13d4=9j301ho9:535?8`193;2;6s|23f5>5<4s4=j>7?l8:?fe7<39?16j;751858yv45l>0;6>u27`095f1<5lk369?9;?2wx>?j7:18081f:3;h:63ja`8753=:n?=1=494}r01`<<72:q6;l<51b789`gd2=;=70h9d;3:3>{t:;nj6=4<{<5b6?7en27nmh4;179>b3`=90=0q~<=dc83>6}:?h81=o:4=d`3>17134l<=7?67:p67bd2908w06?8;3`0>;a?=0?=;52fe595<1<5>=9j801k97:535?8`c13;2;6s|23ff>5<4s42;47?l1:?e3d<39?16ji;51858yv45lo0;6>u281:95f6<5o==69?9;?2wx>?k?:1808>703;ii63i7b8753=:nml1=494}r01a4<72:q64=651cf89c1b2=;=70hkb;3:3>{t:;o96=4<{<:3b`7=90=0q~<=e283>6}:0921=ol4=g:1>17134ln?7?67:p67c32908w06?8;3ae>;a0?0?=;52fd795<1<5>=9k301k67:535?8`b?3;2;6s|23g5>5<4s42;47?m8:?e<1<39?16jhl51858yv45m>0;6>u281:95g1<5o2h69?9;?2wx>?k7:1808>703;i:63i8d8753=:nl31=494}r01a<<72:q64=651c789c>f2=;=70hi1;3:3>{t:;oj6=4<{<:3bc5=90=0q~<=ec83>6}:0921=nl4=g;7>17134lnj7?67:p67cd2908w06?8;3`e>;a190?=;52fg595<1<5>=9j301k79:535?8`a13;2;6s|23gf>5<4s42;47?l8:?e==<39?16jk;51858yv45mo0;6>u281:95f1<5o3j69?9;?2wx>?h?:1808>703;h:63i9b8753=:non1=494}r01b4<72:q64=651b789cg72=;=70hif;3:3>{t:;l96=4<{<:35566283<7p}=2g194?5|51:3627:<==51858yv45n=0;6>u287g95f2<5o>=69?9;?2wx>?h::1808>1m3;h>63i458753=:nh31=494}r01b3<72:q64;k51b389c2f2=;=70hnb;3:3>{t:;l<6=4<{<:5a?7d827m8n4;179>bd1=90=0q~<=f983>6}:0?o1=ok4=g6;>17134ljj7?67:p67`>2908w069e;3a`>;a=90?=;52fc395<1<3c=9ki01k;=:535?8`fl3;2;6s|23da>5<4s42=i7?mb:?e0`<39?16jo;51858yv45nj0;6>u287g95gg<5o??69?9;?2wx>?hk:1808>1m3;i563i578753=:nk91=494}r01b`<72:q64;k51c:89c3?2=;=70hm9;3:3>{t:;lm6=4<{<:5a?7e?27m9l4;179>bgd=90=0q~<<0183>6}:0?o1=o84=g7f>17134lih7?67:p66662908w069e;3a1>;a>90?=;52fcd95<1<3c=9ji01k;l:535?8`d;3;2;6s|2220>5<4s42=i7?lb:?e21<39?16jn;51858yv448=0;6>u287g95fg<5o<=69?9;?2wx>>>::1808>1m3;h563i638753=:nj31=494}r0043<72:q64;k51b:89c0f2=;=70hlb;3:3>{t:::<6=4<{<:5a?7d?27m:n4;179>bf1=90=0q~<<0983>6}:0?o1=n84=g4;>17134lhj7?67:p666>2908w069e;3`1>;a>l0?=;52fe395<1<3c=9kl01k9?:535?8`dl3;2;6s|222a>5<4s42=i7?m4:?e37<39?16ji=51858yv448j0;6>u28e695f2<5on369?9;<3372<61>1v?=?d;297~;?l=0:o?52fec9040<58:897?67:p666b2908w06k4;3`5>;al?0?=;52111a>4?03ty9?=h50;1x9=b328i;70hke;622>;68:n1=494}r0055<72:q64i:51cg89cc72=;=70??3882=2=z{;9:=7>53z?;`1<6jm16jim54048946393;2;6s|2231>5<4s42o87?mc:?ea7<39?16==:<:0;4?xu5;891<7=t=9f7>4de34ln87:>6:?246`=90=0q~<<1583>6}:0m>1=oo4=gg5>17134;;8:4>969~w756=3:1?v37d582f<=:nl218<84=027=?7>?2wx>>?9:1808>c<3;i463ieb8753=:99>>6<78;|q1741=839p15j;:0`4?8`bm3>::63>05f95<1b49>bc4=<8<01<>;b;3:3>{t::;j6=4<{<:g0?7dk27mj94;179>5534283<7p}=30`94?5|51n?627:<8;51858yv449j0;6>u28e695fg<5ol369?9;<3314<61>1v?=>d;297~;?l=0:o452fgc9040<58:>57?67:p667b2908w06k4;3`<>;an?0?=;52117a>4?03ty9?;68<=1=494}r0065<72:q64i:51b489c`b2=;=70??5e82=2=z{;99=7>53z?;`1<6k<16==>?:535?877=o0:5:5rs3116?6=;r73h94>bg9>55652=;=70??6082=2=z{;99?7>53z?;`1<6j=16==>;:535?877>:0:5:5rs3110?6=;r72?l4>c59>bd0=<8<01<>?5;3:3>{t::8>6=4<{<;0e?7d:27mml4;179>5560283<7p}=33494?5|509j6;27:<=751858yv44:>0;6>u292c95f6<5ok369?9;<334a<61>1v?==8;297~;>;h0:nh52fc29040<58:;j7?67:p664>2908w07;aj;0?=;52112a>4?03ty9??o50;1x9<5f28hh70hne;622>;68891=494}r006g<72:q65>o51c`89cd12=;=70??1482=2=z{;99o7>53z?:7d<6jh16jo654048946693;2;6s|220g>5<4s438m7?m9:?ef1<39?16==?6:0;4?xu5;;o1<7=t=81b>4d?34lim7:>6:?244d=90=0q~<<2g83>6}:1:k1=o94=g``>17134;;=:4>969~w75483:1?v363`82f3=:nko18<84=022`?7>?2wx>>=>:1808?4i3;i963ic18753=:99;m6<78;|q1764=839p14=n:0a`?8`d<3>::63>03395<14?:2y>=6g=9jh01km9:535?877::0:5:5rs3100?6=;r72?l4>c`9>bf4=<8<01<>=7;3:3>{t::9>6=4<{<;0e?7d127mol4;179>554>283<7p}=32494?5|509j627:0;6>u292c95f1<5oi369?9;<336a<61>1v?=<8;297~;>;h0:o;52fe29040<58:9j7?67:p665>2908w07;al;0?=;52110a>4?03ty9?>o50;1x9<5f28hm70hle;622>;68:;1=494}r007g<72:q65>o51c689cb32=;=70??3282=2=z{;98o7>52z?:2a<6k=16h4>54048yv44;m0;6?u297f95f4<5m3969?9;|q176c=838p148k:0a2?8b?m3>::6s|221e>5<5s43=h7?l0:?g=1<39?1v?=;0;296~;>>m0:nh52d849040=3b=9kn01i77:535?xu5;=81<74dd34n2m7:>6:p66242909w079d;3af>;c1l0?=;5rs3170?6=:r72:i4>b`9>`d6=<8<0q~<<4483>7}:1?n1=o74=e;`>1713ty9?9850;0x9<0c28h370jn4;622>{t::><6=4={<;5`?7e?27om;4;179~w75303:1>v366e82f3=:lh818<84}r000<<72;q65;j51c789agf2=;=7p}=35c94?4|502wx>>:m:1818?1l3;hn63ka98753=z{;9?o7>52z?:2a<6kh16hlk54048yv44::6s|226e>5<5s43=h7?l7:?gf1<39?1v?=:0;296~;>>m0:o;52dc:9040=3b=9j?01iln:535?xu5;<81<74da34ni:7:>6:p66342909w079d;3a0>;cjl0?=;5rs3160?6=:r72m=4>c59>555?2=;=7p}=34794?4|50k;6i90:o<52111`>1713ty9?8950;0x947>52z?:e5<6jl16===n:535?xu5;<31<74dc34;;8?4;179~w752i3:1>v36a182ff=:99>?69?9;|q170d=838p14o?:0`a?877<90?=;5rs316g?6=:r72m=4>b`9>552?2=;=7p}=34f94?4|50k;6i90:n5521165>1713ty9?8h50;0x952z?:e5<6j?16==;?:535?xu5;?;1<74d234;;8n4;179~w751:3:1>v36a182gf=:99??69?9;|q1735=838p14o?:0aa?877=?0?=;5rs3150?6=:r72m=4>c`9>55352=;=7p}=37794?4|50k;6i90:o552117`>1713ty9?;950;0x952z?:e5<6k?16==;j:535?xu5;?31<74e234;;:=4;179~w751i3:1>v36a182fc=:99<969?9;|q173d=838p14o?:0`7?877>=0?=;5rs315g?6=:r72h>4>c59>`0g=<8<0q~<<6e83>7}:1m91=n<4=e43>1713ty9?;k50;0x9{t::v36d282f`=:l?<18<84}r0034<72;q65i=51cf89a0f2=;=7p}=36094?4|50n862wx>>9<:1818?c;3;in63k698753=z{;9<87>52z?:`6<6jh16h:>54048yv44?<0;6?u29e195g?<5m=969?9;|q1720=838p14j<:0`;?8b1m3>::6s|2254>5<5s43o?7?m7:?g33<39?1v?=88;296~;>l:0:n;52d6:9040=a5=9k?01i9;:535?xu5;>k1<74ed34n6:p661e2909w07k3;3`f>;c?j0?=;5rs314g?6=:r72h>4>c`9>`2c=<8<0q~<<7e83>7}:1m91=n74=e:3>1713ty9?:k50;0x9{t::=m6=4={<;g7?7d?27o4;4;179~w75?83:1>v36d282g3=:l1818<84}r00<4<72;q65i=51b789a>f2=;=7p}=39094?4|50n862wx>>6<:1818?c;3;i863k898753=z{;9387>52z?b43<6k=16==>9:535?xu5;1?1<74e534;;<54;179~w75?>3:1>v3n0782g4=:99:j69?9;|q17=1=838p1l>9:0a3?8778l0?=;5rs31;bd9>55772=;=7p}=39;94?4|5h:=61713ty9?5l50;0x9d6128hi70??178753=z{;93o7>52z?b43<6jh16==?=:535?xu5;1n1<74d>34;;=l4;179~w75?m3:1>v3n0782f==:99;h69?9;|q17=`=838p1l>9:0`4?877910?=;5rs31:4?6=:r7j<;4>b79>557b2=;=7p}=38394?4|5h:=61713ty9?4=50;0x9d6128ii70??258753=z{;9287>52z?b43<6kh16==<7:535?xu5;0?1<74e>34;;>l4;179~w75>>3:1>v3n0782g==:998=69?9;|q17<1=838p1l>9:0a4?877:l0?=;5rs31:c79>55572=;=7p}=38;94?4|5h:=61713ty9?4l50;0x9d6128h?70??358753=z{;92o7>53z?b7<<6mo16nlj51dd89a512=;=7p}=38f94?5|5h926m3:1?v3n3882af=:jhn1=hm4=e17>1713ty9?4h50;1x9d5>28oi70lnd;3ff>;c;j0?=;5rs31b4?6=;r7j?44>e`9>fdb=9lk01i=j:535?xu5;h;1<7=t=`1:>4c>34hjh7?j9:?g7d<39?1v?=n2;297~;f;00:i552b`f95`><5m>969?9;|q17d5=839p1l=6:0g4?8dfl3;n;63k458753=z{;9j87>53z?b7<<59>16nlj520589a272=;=7p}=3`794?5|5h926??9;<`b`?46>27o8;4;179~w75f>3:1?v3n388150=:jhn1><;4=e6;>1713ty9?l950;1x9d5>2;;?70lnd;020>;cfdb=:8901i:l:535?xu5;h31<7=t=`1:>77534hjh7<>2:?g15<39?1v?=na;297~;f;009<552b`f965><5m?969?9;|q17dd=839p1l=6:0dg?8dfl3;mh63k4d8753=z{;9jo7>53z?b7<<6n;16nlj51g089a312=;=7p}=3`f94?5|5h92627o954;179~w75fm3:1>v3n388164=:i>81=hh4}r00ec<72;q6m>7520d89d1528oo7p}=3c294?4|5h926??j;>l>:1818g4138:h63n7382ag=z{;9i>7>52z?b7<<59j16m:<51dc8yv44j:0;6?u2a2;964d<5h=965<5s4k857<>9:?b37<6m>1v?=m6;296~;f;0098452a609641e6?=:=201l9=:335?xu5;k21<772034k<>7<>5:p66d>2909w0o<9;072>;f?;09=95rs31ae?6=:r7j?44=449>e24=:890q~<7}:i:31>9:4=`51>7753ty9?om50;0x9d5>2;9j70o82;03<>{t::ho6=4={fe9~w75em3:1>v3n388161=:i>81=k<4}r00fc<72;q6m>7520:89d1528o=7p}=3b294?4|5h=96?<>;>m>:1818g0:38:j63nac82aa=z{;9h>7>52z?b37<59l16mll51da8yv44k:0;6?u2a60964b<5hki65<5s4k<>7<>b:?beg<6m01v?=l6;296~;f?;09=l52a``95`>e24=:8301lom:0g4?xu5;j21<772>34kjn7<>7:p66e>2909w0o82;07<>;fik09=;5rs31`e?6=:r7j;?4=469>edd=:8?0q~<7}:i>81>984=`ca>7733ty9?nm50;0x9d152;>>70onb;027>{t::io6=4={v3n73817d=:ihh1>=64}r00gc<72;q6m:<523d89dge28lo7p}=3e294?4|5h=96?<;;>j>:1818g0:38:463nac82a3=z{;9o>7>52z?beg<5:816mh:51dd8yv44l:0;6?u2a``964`<5ho?65<5s4kjn7<>d:?ba1<6mk1v?=k6;296~;fik09=n52ad695`gedd=:8h01lk;:0g:?xu5;m21<777f34kn87?j8:p66b>2909w0onb;02=>;fm=0:i:5rs31ge?6=:r7jmo4=489>e`2=:8=0q~<7}:ihh1>964=`g7>7713ty9?im50;0x9dge2;><70oj4;021>{t::no6=4={27ji94=159~w75cm3:1>v3nac8100=:il>1><=4}r00`c<72;q6mll525689dc32;;97p}=3d294?4|5hki6?=n;>k>:1818gfj389j63ne582ba=z{;9n>7>52z?beg<5:=16mh:51g08yv44m:0;6?u2a``964><5ho?65<5s4kn87<>f:?a5a<6mm1v?=j6;296~;fm=09=h52b0f95`ee`2=:8n01o?k:0ga?xu5;l21<777d34h:h7?ja:p66c>2909w0oj4;02f>;e9m0:i45rs31fe?6=:r7ji94=1`9>f4b=9l20q~<7}:il>1><74=c3g>4c03ty9?hm50;0x9dc32;>270l>d;023>{t::oo6=4={v3ne58102=:j8n1><;4}r00ac<72;q6mh:525489g7c2;;?7p}=3g294?4|5ho?6?::;<`2`?46;2wx>>h>:1818gb<38?863m1e8157=z{;9m>7>52z?ba1<5;h16n6s|22d6>5<5s4kn87<>8:?a5a<6m?1v?=i6;296~;e9m09><52b4495``f4b=:8l01o;9:0gg?xu5;o21<777b34h>:7?jc:p66`>2909w0l>d;02`>;e=?0:io5rs31ee?6=:r7i=i4=1b9>f00=9lk0q~<7}:j8n1>4c>3ty9?km50;0x9g7c2;;j70l:6;3f<>{t::lo6=4={<`2`?46127i9;4>e69~w75am3:1>v3m1e810<=:j<<1><94}r00bc<72;q6n9>>:1818d6l38?:63m578151=z{;>;>7>52z?a5a<5<<16n8852018yv438:0;6?u2b0f9612<5k?=6??=;|q1052=838p1o?k:31b?8d2>38;46s|2526>5<5s4h:h7<=f:?a13<6nm1v?:?6;296~;e9m09>952b4495c4f4b=:8201o;9:0g5?xu5<921<77>{<`4`?7?927i;h4>809>f2`=91;01o6?:0:2?8d?93;3=63m8382<4=:j191=5?4=c:7>4>634h397?71:?a<3<60816n59519389g>?282:70l79;3;5>;e0h0:4<52b9`95=7<5k2h6<6>;<`;`?7?927i4h4>809>f=`=91;01o7?:0:2?8d>93;3=63m9382<4=:j091=5?4=c;7>4>634h297?71:?a=3<60816n49519389g??282:70l69;3;5>;e1h0:4<52b8`95=7<5k3h6<6>;<`:`?7?927i5h4>809>f<`=91;01oo?:0:2?8df93;3=63ma382<4=:jh91=5?4=cc7>4>634hj97?71:?ae3<60816nl9519389gg?282:70ln9;3;5>;eih0:4<52b``95=7<5kkh6<6>;809>`ge=91;01ili:0:2?8bd83;3=63kc082<4=:lj81=5?4=ea0>4>634nh87?71:?gg0<60816hn8519389ae0282:70jl8;3;5>;ck00:4<52dbc95=7<5mii6<6>;809>`fc=91;01imi:0:2?8bc83;3=63kd082<4=:lm81=5?4=ef0>4>634no87?71:?g`0<60816hi8519389ab0282:70jk8;3;5>;cl00:4<52dec95=7<5mni6<6>;809>`ac=91;01iji:0:2?8bb83;3=63ke082<4=:ll81=5?4=eg0>4>634nn87?71:?ga0<60816hh8519389ac0282:70jj8;3;5>;cm00:4<52ddc95=7<5moi6<6>;809>``c=91;01iki:0:2?8ba83;3=63kf082<4=:lo81=5?4=ed0>4>634nm87?71:?gb0<60816hk8519389a`0282:70ji8;3;5>;cn00:4<52dgc95=7<5mli6<6>;809>`cc=91;01ihi:0:2?8c783;3=63j0082<4=:m981=5?4=d20>4>634o;87?71:?f40<60816i=8519389`60282:70k?8;3;5>;b800:4<52e1c95=7<5l:i6<6>;809>a5c=91;01h>i:0:2?8c683;3=63j1082<4=:m881=5?4=d30>4>634o:87?71:?f50<60816i<8519389`70282:70k>8;3;5>;b900:4<52e0c95=7<5l;i6<6>;9>6:1818dfl3l>70j=e;622>{t:=:j6=4<{<`g0?4fi27ihk4;259>g=6=;?h0q~<;0c83>7}:jm>1?;l4=bd:>4?03ty98=m50;1x9gb2283:70lka;3:5>;elk09mo5rs363`?6=;r7ih84=a`9>fag=<;>01i>;:24a?xu5<9o1<760e34im87?67:p616a2908w0lk6;3:5>;elk0:5<52bea96ddfa0=:hk01ojm:507?8b7=39=n6s|2532>5<5s4ho:7=9b:?`b0<61>1v?:>2;297~;el>0:5<52bea95<7<5kno6?om;|q1045=839p1oj8:3cb?8dck3>9863k07802g=z{;>:87>52z?a`2<4>k16ok851858yv439<0;6>u2be:95<7<5kno6<7>;<`ga?4fj2wx>9?9:1808dc038jm63mde8761=:l9=1?;l4}r0752<72;q6ni6537`89f`0283<7p}=40:94?5|5kn26<7>;<`ga?7>927ihk4=ac9~w72613:1?v3md881ed=:jmo18?:4=e2;>60e3ty982:{t:=;i6=4k{<`ge?40m27h9:4=9d9>g0>=:0n01n6?:3;g?8ecn382i63le181=a=:kl;1>4j4=bg1>7?c34in?7<6d:?`a1<51m16ok:540489a632;3h70j=7;0:f>{t:=;h6=4<{<`gf?40m27hj84;179>`53=:0i0q~<;1e83>6}:jmi1>:k4=bd5>17134n;:7<6c:p617b2908w0lkd;04a>;dn>0?=;52d1596fac=:>o01nh7:535?8b70382o6s|2503>5<4s4hoj7<8e:?`<5<51j16ok754048yv43:80;6>u2bd295<1<5m;m6<78;2wx>9<=:1818db93;2;63l80802g=z{;>9?7>52z?aa7<61>16o5=537`8yv43:=0;6?u2bd195<1<5j296>8m;|q1073=838p1ok;:0;4?8e?<39=n6s|2505>5<5s4hn97?67:?`<3<4>k1v?:=7;296~;em?0:5:52c97973d54?:3y>f`1=90=01n68:24a?xu5<;31<74?034i357=9b:p614f2909w0lj9;3:3>;d0108:o5rs361f?6=:r7iil4>969>g=g=;?h0q~<;2b83>7}:jlh1=494=b:`>60e3ty98?j50;0x9gcd283<70m7b;15f>{t:=8n6=4={<`f`?7>?27h4i4<6c9~w725n3:1>v3med82=2=:k1l1?;l4}r0775<72;q6nhh518589f>b2:9==:1818da93;2;63l93802g=z{;>8?7>52z?ab7<61>16o4?537`8yv43;=0;6?u2bg195<1<5j386>8m;|q1063=838p1oh;:0;4?8e>=39=n6s|2515>5<5s4hm97?67:?`=1<4>k1v?:<7;296~;en?0:5:52c84973dfc1=90=01n77:24a?xu5<:31<74?034i2;7=9b:p615f2909w0li9;3:3>;d1008:o5rs360f?6=:r7ijl4>969>g7}:joh1=494=b;b>60e3ty98>j50;0x9g`d283<70m6c;15f>{t:=9n6=4={<`e`?7>?27h5h4<6c9~w724n3:1>v3mfd82=2=:k0n1?;l4}r0705<72;q6nkh518589f?a2:9:=:1818e793;2;63la1802g=z{;>??7>52z?`47<61>16ol<537`8yv43<=0;6?u2c1195<1<5jk?6>8m;|q1013=838p1n>;:0;4?8ef;39=n6s|2565>5<5s4i;97?67:?`e0<4>k1v?:;7;296~;d8?0:5:52c`5973dg51=90=01no9:24a?xu5<=31<74?034ij47=9b:p612f2909w0m?9;3:3>;dih08:o5rs367f?6=:r7h969>gd?=;?h0q~<;4b83>7}:k9h1=494=bca>60e3ty989j50;0x9f6d283<70mnd;15f>{t:=>n6=4={?27hmn4<6c9~w723n3:1>v3l0d82=2=:kho1?;l4}r0715<72;q6o=h518589fd72:9;=:1818e693;2;63lb0802g=z{;>>?7>52z?`57<61>16oo<537`8yv43==0;6?u2c0195<1<5jh?6>8m;|q1003=838p1n?;:0;4?8ee;39=n6s|2575>5<5s4i:97?67:?`f0<4>k1v?::7;296~;d9?0:5:52cc5973dg41=90=01nl9:24a?xu5<<31<74?034ii47=9b:p613f2909w0m>9;3:3>;djh08:o5rs366f?6=:r7h=l4>969>gg?=;?h0q~<;5b83>7}:k8h1=494=b`a>60e3ty988j50;0x9f7d283<70mmd;15f>{t:=?n6=4={?27hnn4<6c9~w722n3:1>v3l1d82=2=:kko1?;l4}r0725<72;q6o98=:1818e593;2;63lc0802g=z{;>=?7>52z?`67<61>16on=537`8yv43>=0;6?u2c3195<1<5ji96>8m;|q1033=838p1n<;:0;4?8ed<39=n6s|2545>5<5s4i997?67:?`g3<4>k1v?:97;296~;d:?0:5:52cb7973dg71=90=01nm8:24a?xu54?034ih57=9b:p610f2909w0m=9;3:3>;dk108:o5rs365f?6=:r7h>l4>969>gfg=;?h0q~<;6b83>7}:k;h1=494=ba`>60e3ty98;j50;0x9f4d283<70mlb;15f>{t:=?27hoi4<6c9~w721n3:1>v3l2d82=2=:kjl1?;l4}r0735<72;q6o?h518589feb2:99=:1818e493;2;63ld3802g=z{;>52z?`77<61>16oi?537`8yv43?=0;6?u2c2195<1<5jn86>8m;|q1023=838p1n=;:0;4?8ec=39=n6s|2555>5<5s4i897?67:?``1<4>k1v?:87;296~;d;?0:5:52ce4973dg61=90=01nj7:24a?xu5<>31<74?034io;7=9b:p611f2909w0m<9;3:3>;dl008:o5rs364f?6=:r7h?l4>969>gad=;?h0q~<;7b83>7}:k:h1=494=bfb>60e3ty98:j50;0x9f5d283<70mkc;15f>{t:==n6=4={?27hhh4<6c9~w720n3:1>v3l3d82=2=:kmn1?;l4}r07<5<72;q6o>h518589fba2:;6<7>;927h8;4=ac9~w72?:3:1?v3l4181ed=:k=?18?:4=bg7>60e3ty985=50;0x9f272:{t:=2?6=4<{927h8;4>909>g11=:hh0q~<;8483>6}:k=;1>lo4=b65>14334in?7=9b:p61>12909w0m;1;15f>;dnk0:5:5rs36;3?6=;r7h8?4>909>g11=90;01n:7:3ca?xu5<121<7=t=b61>7gf34i?;7:=4:?`a7<4>k1v?:79;296~;d<;08:o52cga95<1g15=90;01n:7:0;2?8e3138jn6s|25:a>5<4s4i??73i7>53z?`01<5ih16o97543689fc72:?6>8m;?2wx>97?:1878e3=381>4m4=bdb>1713ty984?50;6x9f212;=n70m:6;0:`>;dm:095n52cg`9040g11=:>o01n;9:3;f?8eb:382o63lfb8753=z{;>2?7>54z?`0=<5?l16o88528d89fc62;3h70mid;622>{t:=3?6=4;{g`6=:0i01nhj:535?xu5<0?1<714334n9;7=9b:p61?1290?w0m;b;0:`>;d=;095i52c4196::6s|25;;>5<2s4i?i7<6c:?`bc<51j16h=7540489a762;3h70j>4;0:`>{t:=326=4<{l27o`40=:0i0q~<;9`83>7}:k<21>4k4=e3e>1713ty984l50;1x9f002;3o70mjb;622>;c9<095h5rs36:g?6=;r7h;;4=9e9>g2>=:0n01i;;:535?xu5<0n1<7=t=b5:>7?c34im>7:>6:?g5<<51l1v?:6e;2924}:k>h1?;l4=d:2>4>634o3>7?71:?f<6<60816i5:519389`>2282:70k76;3;5>;b0>0:4<52e9:95=7<5l226<6>;809>a=e=91;01h6k:0:2?8c?m3;3=63j8g82<4=:m0:1=5?4=d;2>4>634o2>7?71:?f=6<60816i4:519389`?2282:70k66;3;5>;b1>0:4<52e8:95=7<5l326<6>;809>am3;3=63j9g82<4=:mh:1=5?4=dc2>4>634oj>7?71:?fe6<60816il:519389`g2282:70kn6;3;5>;bi>0:4<52e`:95=7<5lk26<6>;809>ade=91;01hok:0:2?8cfm3;3=63jag82<4=:mk:1=5?4=d`2>4>634oi>7?71:?ff6<60816io:519389`d2282:70km6;3;5>;bj>0:4<52ec:95=7<5lh26<6>;809>age=91;01hlk:0:2?8cem3;3=63jbg82<4=:mj:1=5?4=da2>4>634oh>7?71:?fg6<60816in:519389`e2282:70kl6;3;5>;bk>0:4<52eb:95=7<5li26<6>;809>afe=91;01hmk:0:2?8cdm3;3=63jcg82<4=:mm:1=5?4=df2>4>634oo>7?71:?f`6<60816ii:519389`b2282:70kk6;3;5>;bl>0:4<52ee:95=7<5ln26<6>;809>aae=91;01hjk:0:2?8ccm3;3=63jdg82<4=:ml:1=5?4}r07=c<72?;p1n9l:24a?8`3;3;3=63i4582<4=:n=?1=5?4=g65>4>634l?;7?71:?e0=<60816j97519389c2f282:70h;b;3;5>;an6<6>;809>b07=91;01k;=:0:2?8`2;3;3=63i5582<4=:n4>634l>;7?71:?e1=<60816j87519389c3f282:70h:b;3;5>;a=j0:4<52f4f95=7<5o?n6<6>;809>b37=91;01k8=:0:2?8`1;3;3=63i6582<4=:n??1=5?4=g45>4>634l=;7?71:?e2=<60816j;7519389c0f282:70h9b;3;5>;a>j0:4<52f7f95=7<5o;809>b27=91;01k9=:0:2?8`0;3;3=63i7582<4=:n>?1=5?4=g55>4>634l<;7?71:?e3=<60816j:7519389c1f282:70h8b;3;5>;a?j0:4<52f6f95=7<5o=n6<6>;809>b=7=91;01k6=:0:2?8`?;3;3=63i8582<4=:n1?1=5?4=g:5>4>634l3;7?71:?e<=<60816j57519389c>f282:70h7b;3;5>;a0j0:4<52f9f95=7<5o2n6<6>;809>b<7=91;01k7=:0:2?8`>;3;3=63i9582<4=:n0?1=5?4=g;5>4>634l2;7?71:?e==<60816j47519389c?f282:70h6b;3;5>;a1j0:4<52f8f95=7<5o3n6<6>;809>bd7=91;01ko=:0:2?xu5{809>bd0=91;01ko8:0:2?8`f03;3=63ia882<4=:nhk1=5?4=gca>4>634ljo7?71:?eea<60816jlk519389cga282:70hm0;3;5>;aj80:4<52fc095=7<5oh86<6>;809>bg0=91;01kl8:0:2?8`e03;3=63ib882<4=:nkk1=5?4=g`a>4>634lio7?71:?efa<60816jok519389cda282:70hl0;3;5>;ak80:4<52fb095=7<5oi86<6>;809>bf0=91;01km8:0:2?8`d03;3=63ic882<4=:njk1=5?4=gaa>4>634lho7?71:?ega<60816jnk519389cea282:70hk0;3;5>;al80:4<52fe095=7<5on86<6>;809>ba0=91;01kj8:0:2?8`c03;3=63id882<4=:nmk1=5?4=gfa>4>634loo7?71:?e`a<60816jik519389cba282:70hj0;3;5>;am80:4<52fd095=7<5oo86<6>;809>b`0=91;01kk8:0:2?8`b03;3=63ie882<4=:nlk1=5?4=gga>4>634lno7?71:?eaa<60816jhk519389cca282:70hi0;3;5>;an80:4<52fg095=7<5ol86<6>;809>bc0=91;01kh8:0:2?8`a03;3=63if882<4=:nok1=5?4=gda>4>634lmo7?71:?eba<60816jkk519389c`a282:70??0182<4=:99::6<6>;<3347<60816==><:0:2?8778=0:4<5rs36b5?6=>8q6o:k537`89467=3;3=63>01495=7<58:;;7?71:?245>=91;01<>?9;3;5>;689k1=5?4=023f?7?927:<=m519389467l3;3=63>01g95=7<58:;j7?71:?2446=91;01<>>1;3;5>;68881=5?4=0227?7?927:<<:519389466=3;3=63>00495=7<58::;7?71:?244>=91;01<>>9;3;5>;688k1=5?4=022f?7?927:<00g95=7<58::j7?71:?2476=91;01<>=1;3;5>;68;81=5?4=0217?7?927:03495=7<58:9;7?71:?247>=91;01<>=9;3;5>;68;k1=5?4=021f?7?927:03g95=7<58:9j7?71:?2466=91;01<><1;3;5>;68:81=5?4=0207?7?927:<>:519389464=3;3=63>02495=7<58:8;7?71:?246>=91;01<><9;3;5>;68:k1=5?4=020f?7?927:<>m519389464l3;3=63>02g95=7<58:8j7?71:?2416=91;01<>;1;3;5>;68=81=5?4=0277?7?927:<9:519389463=3;3=63>05495=7<58:?;7?71:?241>=91;01<>;9;3;5>;68=k1=5?4=027f?7?927:<9m519389463l3;3=63>05g95=7<58:?j7?71:?2406=91;01<>:1;3;5>;68<81=5?4=0267?7?927:<8:519389462=3;3=63>04495=7<58:>;7?71:?240>=91;01<>:9;3;5>;6804g95=7<58:>j7?71:?2436=91;01<>91;3;5>;68?81=5?4=0257?7?927:<;:51938yv43i;0;6;?t=b5e>60e34o:h7?71:?f5`<60816i;b:;0:4<52e3195=7<5l8?6<6>;;4>809>a71=91;01h<7:0:2?8c513;3=63j2`82<4=:m;h1=5?4=d0`>4>634o9h7?71:?f6`<60816i?h519389`57282:70k<1;3;5>;b;;0:4<52e2195=7<5l9?6<6>;809>a61=91;01h=7:0:2?8c413;3=63j3`82<4=:m:h1=5?4=d1`>4>634o8h7?71:?f7`<60816i>h519389`27282:70k;1;3;5>;b<;0:4<52e5195=7<5l>?6<6>;809>a11=91;01h:7:0:2?8c313;3=63j4`82<4=:m=h1=5?4=d6`>4>634o?h7?71:?f0`<60816i9h519389`37282:70k:1;3;5>;b=;0:4<52e4195=7<5l??6<6>;809>a01=91;01h;7:0:2?8c213;3=63j5`82<4=:m4>634o>h7?71:?f1`<60816i8h519389`07282:70k91;3;5>;b>;0:4<52e7195=7<5l;809>a31=91;01h87:0:2?8c113;3=63j6`82<4=:m?h1=5?4=d4`>4>634o=h7?71:?f2`<60816i;h519389`17282:70k81;3;5>;b?;0:4<52e6195=7<5l=?6<6>;809>a21=91;01h97:0:2?8c013;3=63j7`82<4=:m>h1=5?4=d5`>4>63ty98l=50;0x9fce283<70j>5;15f>{t:=k?6=4={?27o=44<6c9~w72f=3:1>v3k0882=2=:l8;1?;l4}r07e3<72;q6h=o518589a712:9o7:1808b7j3>::63k1381=a=:l891>4k4}r07e<<72;q6h=m518589a742:9om:1818b7m3;2;63k16802g=z{;>jo7>52z?g4c<61>16h<6537`8yv43im0;6?u2d3f9040<5m8n6<78;|q10dc=838p1i5<5s4n8=7:>6:?g77<61>1v?:m0;296~;c;:0?=;52d2695<1`63=<8<01i=9:0;4?xu517134n847?67:p61d42909w0j<9;622>;c;h0:5:5rs36a0?6=:r7o?o4;179>`6e=90=0q~<;b483>7}:l:n18<84=e1f>4?03ty98o850;0x9a5a2=;=70j;0;3:3>{t:=h<6=4={27o8?4>969~w72e03:1>v3k428753=:l=>1=494}r07f<<72;q6h9;540489a21283<7p}=4cc94?4|5m><69?9;?2wx>9lm:1818b313>::63k4`82=2=z{;>io7>52z?g0g<39?16h9m51858yv43jm0;6?u2d5f9040<5m>n6<78;|q10gc=838p1i:i:535?8b283;2;6s|25`e>5<5s4n>=7:>6:?g17<61>1v?:l0;296~;c=:0?=;52d4695<1`03=<8<01i;9:0;4?xu517134n>47?67:p61e42909w0j:9;622>;c=h0:5:5rs36`0?6=:r7o9o4;179>`0e=90=0q~<;c483>7}:l4?03ty98n850;0x9a3a2=;=70j90;3:3>{t:=i<6=4={27o:?4>969~w72d03:1>v3k628753=:l?>1=494}r07g<<72;q6h;;540489a01283<7p}=4bc94?4|5m<<69?9;?2wx>9mm:1818b113>::63k6`82=2=z{;>ho7>52z?g2g<39?16h;m51858yv43km0;6?u2d7f9040<5m5<5s4n<=7:>6:?g37<61>1v?:k0;296~;c?:0?=;52d6695<1`23=<8<01i99:0;4?xu517134n<47?67:p61b42909w0j89;622>;c?h0:5:5rs36g0?6=:r7o;o4;179>`2e=90=0q~<;d483>7}:l>n18<84=e5f>4?03ty98i850;0x9a1a2=;=70j70;3:3>{t:=n<6=4={27o4?4>969~w72c03:1>v3k828753=:l1>1=494}r07`<<72;q6h5;540489a>1283<7p}=4ec94?4|5m2<69?9;?2wx>9jm:1818b?13>::63k8`82=2=z{;>oo7>52z?g83;2;6s|25fe>5<5s4n2=7:>6:?g=7<61>1v?:j0;296~;c1:0?=;52d8695<1`<3=<8<01i79:0;4?xu517134n247?67:p61c42909w0j69;622>;c1h0:5:5rs36f0?6=:r7o5o4;179>`7}:l0n18<84=e;f>4?03ty98h850;0x9a?a2=;=70jn0;3:3>{t:=o<6=4={27om?4>969~w72b03:1>v3ka28753=:lh>1=494}r07a<<72;q6hl;540489ag1283<7p}=4dc94?4|5mk<69?9;?2wx>9km:1818bf13>::63ka`82=2=z{;>no7>52z?geg<39?16hlm51858yv43mm0;6?u2d`f9040<5mkn6<78;|q10`c=838p1ioi:535?8be83;2;6s|25ge>5<5s4ni=7:>6:?gf7<61>1v?:i0;296~;cj:0?=;52dc695<1`g3=<8<01il9:0;4?xu517134ni47?67:p61`42909w0jm9;622>;cjh0:5:5rs36e0?6=:r7ono4;179>`ge=90=0q~<;f483>7}:lkn18<84=e`f>4?03ty98k850;0x9ada2=;=70jl0;3:3>{t:=l<6=4={27oo?4>969~w72a03:1>v3kc28753=:lj>1=494}r07b<<72;q6hn;540489ae1283<7p}=4gc94?4|5mi<69?9;?2wx>9hm:1818bd13>::63kc`82=2=z{;>mo7>52z?ggg<39?16hnm51858yv43nm0;6?u2dbf9040<5min6<78;|q10cc=838p1imi:535?8bc83;2;6s|25de>5<5s4no=7:>6:?g`7<61>1v?;?0;296~;cl:0?=;52de695<1<<4?:3y>`a3=<8<01ij9:0;4?xu5=981<717134no47?67:p60642909w0jk9;622>;clh0:5:5rs3730?6=:r7oho4;179>`ae=90=0q~<:0483>7}:lmn18<84=eff>4?03ty99=850;0x9aba2=;=70jj0;3:3>{t:<:<6=4={27oi?4>969~w73703:1>v3ke28753=:ll>1=494}r064<<72;q6hh;540489ac1283<7p}=51c94?4|5mo<69?9;?2wx>8>m:1818bb13>::63ke`82=2=z{;?;o7>52z?gag<39?16hhm51858yv428m0;6?u2ddf9040<5mon6<78;|q115c=838p1iki:535?8ba83;2;6s|242e>5<5s4nm=7:>6:?gb7<61>1v?;>0;296~;cn:0?=;52dg695<1=<4?:3y>`c3=<8<01ih9:0;4?xu5=881<717134nm47?67:p60742909w0ji9;622>;cnh0:5:5rs3720?6=:r7ojo4;179>`ce=90=0q~<:1483>7}:lon18<84=edf>4?03ty99<850;0x9a`a2=;=70k?0;3:3>{t:<;<6=4={27n969~w73603:1>v3j028753=:m9>1=494}r065<<72;q6i=;540489`61283<7p}=50c94?4|5l:<69?9;?2wx>8?m:1818c713>::63j0`82=2=z{;?:o7>52z?f4g<39?16i=m51858yv429m0;6?u2e1f9040<5l:n6<78;|q114c=838p1h>i:535?8c683;2;6s|243e>5<5s4o:=7:>6:?f57<61>1v?;=0;296~;b9:0?=;52e0695<1><4?:3y>a43=<8<01h?9:0;4?xu5=;81<717134o:47?67:p60442909w0k>9;622>;b9h0:5:5rs3710?6=:r7n=o4;179>a4e=90=0q~<:2483>7}:m8n18<84=d3f>4?03ty99?850;0x9`7b2=;=70kj5;3:3>{t:<8<6=4={27n>=4>969~w73503:1>v3j218753=:ml;1=494}r066<<72;q6i??540489`45283<7p}=53c94?4|5l8969?9;?2wx>8::63j2582=2=z{;?9o7>52z?f61<39?16ihj51858yv42:m0;6?u2e379040<5l8=6<78;|q117c=838p1h<9:535?8cb?3;2;6s|240e>5<5s4o9;7:>6:?f6=<61>1v?;<0;296~;b:10?=;52ed`95<1?<4?:3y>a7?=<8<01h17134om?7?67:p60542909w0k=b;622>;b:j0:5:5rs3700?6=:r7n>n4;179>a`?=90=0q~<:3483>7}:m;n18<84=d0f>4?03ty99>850;0x9`4b2=;=70ki1;3:3>{t:<9<6=4={27n?=4>969~w73403:1>v3j318753=:mll1=494}r067<<72;q6i>?540489`55283<7p}=52c94?4|5l9969?9;?2wx>8=m:1818c4;3>::63j3582=2=z{;?8o7>52z?f71<39?16ik751858yv42;m0;6?u2e279040<5l9=6<78;|q116c=838p1h=9:535?8ca=3;2;6s|241e>5<5s4o8;7:>6:?f7=<61>1v?;;0;296~;b;10?=;52egd95<18<4?:3y>a6?=<8<01h=n:0;4?xu5==81<717134omn7?67:p60242909w0k;b;j0:5:5rs3770?6=:r7n?n4;179>acb=90=0q~<:4483>7}:m:n18<84=d1f>4?03ty999850;0x9`5b2=;=70h?7;3:3>{t:<><6=4={27n8=4>969~w73303:1>v3j418753=:n9;1=494}r060<<72;q6i9?540489`25283<7p}=55c94?4|5l>969?9;?2wx>8:m:1818c3;3>::63j4582=2=z{;??o7>52z?f01<39?16j=j51858yv42=6<78;|q111c=838p1h:9:535?8`7;3;2;6s|246e>5<5s4o?;7:>6:?f0=<61>1v?;:0;296~;b<10?=;52f1`95<19<4?:3y>a1?=<8<01h:n:0;4?xu5=<81<717134l;57?67:p60342909w0k;b;622>;bb47=90=0q~<:5483>7}:m=n18<84=d6f>4?03ty998850;0x9`2b2=;=70h>3;3:3>{t:27n9=4>969~w73203:1>v3j518753=:n9l1=494}r061<<72;q6i8?540489`35283<7p}=54c94?4|5l?969?9;?2wx>8;m:1818c2;3>::63j5582=2=z{;?>o7>52z?f11<39?16j<;51858yv42=m0;6?u2e479040<5l?=6<78;|q110c=838p1h;9:535?8`6?3;2;6s|247e>5<5s4o>;7:>6:?f1=<61>1v?;90;296~;b=10?=;52f3395<1:<4?:3y>a0?=<8<01h;n:0;4?xu5=?81<717134l:n7?67:p60042909w0k:b;622>;b=j0:5:5rs3750?6=:r7n9n4;179>b4`=90=0q~<:6483>7}:m4?03ty99;850;0x9`3b2=;=70h=7;3:3>{t:<<<6=4={27n:=4>969~w73103:1>v3j618753=:n8n1=494}r062<<72;q6i;?540489`05283<7p}=57c94?4|5l<969?9;?2wx>88m:1818c1;3>::63j6582=2=z{;?=o7>52z?f21<39?16j?=51858yv42>m0;6?u2e779040<5l<=6<78;|q113c=838p1h89:535?8`5j3;2;6s|244e>5<5s4o=;7:>6:?f2=<61>1v?;80;296~;b>10?=;52f3f95<1;<4?:3y>a3?=<8<01h8n:0;4?xu5=>81<717134l957?67:p60142909w0k9b;622>;b>j0:5:5rs3740?6=:r7n:n4;179>b7`=90=0q~<:7483>7}:m?n18<84=d4f>4?03ty99:850;0x9`0b2=;=70h<1;3:3>{t:<=<6=4={27n;=4>969~w73003:1>v3j718753=:n:91=494}r063<<72;q6i:?540489`15283<7p}=56c94?4|5l=969?9;?2wx>89m:1818c0;3>::63j7582=2=z{;?52z?f31<39?16j>951858yv42?m0;6?u2e679040<5l==6<78;|q112c=838p1h99:535?8`413;2;6s|245e>5<5s4o<;7:>6:?f3=<61>1v?;70;296~;b?10?=;52f2`95<14<4?:3y>a2?=<8<01h9n:0;4?xu5=181<717134l8h7?67:p60>42909w0k8b;622>;b?j0:5:5rs37;0?6=:r7n;n4;179>b6`=90=0q~<:8483>7}:m>n18<84=d5f>4?03ty995850;0x9`1a2=;=70k70;3:3>{t:<2<6=4={27n4?4>969~w73?03:1>v3j828753=:m1>1=494}r06<<<72;q6i5;540489`>1283<7p}=59c94?4|5l2<69?9;?2wx>86m:1818c?13>::63j8`82=2=z{;?3o7>52z?f83;2;6s|24:e>5<5s4o2=7:>6:?f=7<61>1v?;60;296~;b1:0?=;52e8695<15<4?:3y>a<3=<8<01h79:0;4?xu5=081<717134o247?67:p60?42909w0k69;622>;b1h0:5:5rs37:0?6=:r7n5o4;179>a7}:m0n18<84=d;f>4?03ty994850;0x9`?a2=;=70kn0;3:3>{t:<3<6=4={27nm?4>969~w73>03:1>v3ja28753=:mh>1=494}r06=<<72;q6il;540489`g1283<7p}=58c94?4|5lk<69?9;?2wx>87m:1818cf13>::63ja`82=2=z{;?2o7>52z?feg<39?16ilm51858yv421m0;6?u2e`f9040<5lkn6<78;|q115<5s4oi=7:>6:?ff7<61>1v?;n0;296~;bj:0?=;52ec695<1m<4?:3y>ag3=<8<01hl9:0;4?xu5=h81<717134oi47?67:p60g42909w0km9;622>;bjh0:5:5rs37b0?6=:r7nno4;179>age=90=0q~<:a483>7}:mkn18<84=d`f>4?03ty99l850;0x9`da2=;=70kl0;3:3>{t:27no?4>969~w73f03:1>v3jc28753=:mj>1=494}r06e<<72;q6in;540489`e1283<7p}=5`c94?4|5li<69?9;?2wx>8om:1818cd13>::63jc`82=2=z{;?jo7>52z?fgg<39?16inm51858yv42im0;6?u2ebf9040<5lin6<78;|q11dc=838p1hmi:535?8cc83;2;6s|24ce>5<5s4oo=7:>6:?f`7<61>1v?;m0;296~;bl:0?=;52ee695<1n<4?:3y>aa3=<8<01hj9:0;4?xu5=k81<717134oo47?67:p60d42909w0kk9;622>;blh0:5:5rs37a0?6=:r7nho4;179>aae=90=0q~<:b483>7}:mmn18<84=dff>4?03ty99o850;0x9`ba2=;=70kj0;3:3>{t:27ni?4>969~w73e03:1>v3je28753=:ml>1=494}r06f<<72;q6ih;540489`c1283<7p}=5cc94?4|5lo<69?9;?2wx>8lm:1818cb13>::63je`82=2=z{;?io7>52z?fag<39?16ihm51858yv42jm0;6?u2edf9040<5lon6<78;|q11gc=838p1hki:535?8ca83;2;6s|24`e>5<5s4om=7:>6:?fb7<61>1v?;l0;296~;bn:0?=;52eg695<1o<4?:3y>ac3=<8<01hh9:0;4?xu5=j81<717134om47?67:p60e42909w0ki9;622>;bnh0:5:5rs37`0?6=:r7njo4;179>ace=90=0q~<:c483>7}:mon18<84=ddf>4?03ty99n850;0x9``a2=;=70h?0;3:3>{t:27m969~w73d03:1>v3i028753=:n9>1=494}r06g<<72;q6j=;540489c61283<7p}=5bc94?4|5o:<69?9;?2wx>8mm:1818`713>::63i0`82=2=z{;?ho7>52z?e4g<39?16j=m51858yv42km0;6?u2f1f9040<5o:n6<78;|q11fc=838p1k>i:535?8`683;2;6s|24ae>5<5s4l:=7:>6:?e57<61>1v?;k0;296~;a9:0?=;52f0695<1h<4?:3y>b43=<8<01k?9:0;4?xu5=m81<717134l:47?67:p60b42909w0h>9;622>;a9h0:5:5rs37g0?6=:r7m=o4;179>b4e=90=0q~<:d483>7}:n8n18<84=g3f>4?03ty99i850;0x9c7a2=;=70h=0;3:3>{t:27m>?4>969~w73c03:1>v3i228753=:n;>1=494}r06`<<72;q6j?;540489c41283<7p}=5ec94?4|5o8<69?9;?2wx>8jm:1818`513>::63i2`82=2=z{;?oo7>52z?e6g<39?16j?m51858yv42lm0;6?u2f3f9040<5o8n6<78;|q11ac=838p1k5<5s4l8=7:>6:?e77<61>1v?;j0;296~;a;:0?=;52f2695<1i<4?:3y>b63=<8<01k=9:0;4?xu5=l81<717134l847?67:p60c42909w0h<9;622>;a;h0:5:5rs37f0?6=:r7m?o4;179>b6e=90=0q~<:e483>7}:n:n18<84=g1f>4?03ty99h850;0x9c5a2=;=70h;0;3:3>{t:27m8?4>969~w73b03:1>v3i428753=:n=>1=494}r06a<<72;q6j9;540489c21283<7p}=5dc94?4|5o><69?9;?2wx>8km:1818`313>::63i4`82=2=z{;?no7>52z?e0g<39?16j9m51858yv42mm0;6?u2f5f9040<5o>n6<78;|q11`c=838p1k:i:535?8`283;2;6s|24ge>5<5s4l>=7:>6:?e17<61>1v?;i0;296~;a=:0?=;52f4695<1j<4?:3y>b03=<8<01k;9:0;4?xu5=o81<717134l>47?67:p60`42909w0h:9;622>;a=h0:5:5rs37e0?6=:r7m9o4;179>b0e=90=0q~<:f483>7}:n4?03ty99k850;0x9c3a2=;=70h90;3:3>{t:27m:?4>969~w73a03:1>v3i628753=:n?>1=494}r06b<<72;q6j;;540489c01283<7p}=5gc94?4|5o<<69?9;?2wx>8hm:1818`113>::63i6`82=2=z{;?mo7>52z?e2g<39?16j;m51858yv42nm0;6?u2f7f9040<5o5<5s4l<=7:>6:?e37<61>1v?8?0;296~;a?:0?=;52f6695<1b23=<8<01k99:0;4?xu5>981<717134l<47?67:p63642909w0h89;622>;a?h0:5:5rs3430?6=:r7m;o4;179>b2e=90=0q~<90483>7}:n>n18<84=g5f>4?03ty9:=850;0x9c1a2=;=70h70;3:3>{t:?:<6=4={27m4?4>969~w70703:1>v3i828753=:n1>1=494}r054<<72;q6j5;540489c>1283<7p}=61c94?4|5o2<69?9;?2wx>;>m:1818`?13>::63i8`82=2=z{;<;o7>52z?e83;2;6s|272e>5<5s4l2=7:>6:?e=7<61>1v?8>0;296~;a1:0?=;52f8695<1b<3=<8<01k79:0;4?xu5>881<717134l247?67:p63742909w0h69;622>;a1h0:5:5rs3420?6=:r7m5o4;179>b7}:n0n18<84=g;f>4?03ty9:<850;0x9c?a2=;=70hn0;3:3>{t:?;<6=4={27mm?4>969~w70603:1>v3ia28753=:nh>1=494}r055<<72;q6jl;540489cg1283<7p}=60c94?4|5ok<69?9;?2wx>;?m:1818`f13>::63ia`82=2=z{;<:o7>52z?eeg<39?16jlm51858yv419m0;6?u2f`f9040<5okn6<78;|q124c=838p1koi:535?8`e83;2;6s|273e>5<5s4li=7:>6:?ef7<61>1v?8=0;296~;aj:0?=;52fc695<1<4?:3y>bg3=<8<01kl9:0;4?xu5>;81<717134li47?67:p63442909w0hm9;622>;ajh0:5:5rs3410?6=:r7mno4;179>bge=90=0q~<92483>7}:nkn18<84=g`f>4?03ty9:?850;0x9cda2=;=70hl0;3:3>{t:?8<6=4={27mo?4>969~w70503:1>v3ic28753=:nj>1=494}r056<<72;q6jn;540489ce1283<7p}=63c94?4|5oi<69?9;?2wx>;::63ic`82=2=z{;<9o7>52z?egg<39?16jnm51858yv41:m0;6?u2fbf9040<5oin6<78;|q127c=838p1kmi:535?8`c83;2;6s|270e>5<5s4lo=7:>6:?e`7<61>1v?8<0;296~;al:0?=;52fe695<1ba3=<8<01kj9:0;4?xu5>:81<717134lo47?67:p63542909w0hk9;622>;alh0:5:5rs3400?6=:r7mho4;179>bae=90=0q~<93483>7}:nmn18<84=gff>4?03ty9:>850;0x9cba2=;=70hj0;3:3>{t:?9<6=4={27mi?4>969~w70403:1>v3ie28753=:nl>1=494}r057<<72;q6jh;540489cc1283<7p}=62c94?4|5oo<69?9;?2wx>;=m:1818`b13>::63ie`82=2=z{;<8o7>52z?eag<39?16jhm51858yv41;m0;6?u2fdf9040<5oon6<78;|q126c=838p1kki:535?8`a83;2;6s|271e>5<5s4lm=7:>6:?eb7<61>1v?8;0;296~;an:0?=;52fg695<1bc3=<8<01kh9:0;4?xu5>=81<717134lm47?67:p63242909w0hi9;622>;anh0:5:5rs3470?6=:r7mjo4;179>bce=90=0q~<94483>7}:non18<84=gdf>4?03ty9:9850;0x9c`a2=;=70??0182=2=z{;52z?2457=<8<01<>?2;3:3>{t:?>36=4={<3346<39?16==>;:0;4?xu5>=31<727:<=851858yv4117134;;<54>969~w703j3:1>v3>01;9040<58:;m7?67:p632d2909w0??0c8753=:99:h6<78;|q121b=838p1<>?d;622>;689o1=494}r050`<72;q6==>i:535?877990:5:5rs347b?6=:r7:<5<5s4;;=>4;179>5573283<7p}=64394?4|58::97:>6:?2440=90=0q~<95383>7}:99;<69?9;<335=<61>1v?8:3;296~;688318<84=022e?7>?2wx>;;;:1818779k0?=;52113`>4?03ty9:8;50;0x9466l3>::63>00g95<1557a2=;=70??2182=2=z{;<>;7>52z?2477=<8<01<>=2;3:3>{t:??36=4={<3366<39?16==<;:0;4?xu5><31<727:17134;;>54>969~w702j3:1>v3>03;9040<58:9m7?67:p633d2909w0??2c8753=:998h6<78;|q120b=838p1<>=d;622>;68;o1=494}r051`<72;q6==?540489464:3;2;6s|2743>5<5s4;;?>4;179>5553283<7p}=67394?4|58:897:>6:?2460=90=0q~<96383>7}:999<69?9;<337=<61>1v?893;296~;68:318<84=020e?7>?2wx>;8;:181877;k0?=;52111`>4?03ty9:;;50;0x9464l3>::63>02g95<1555a2=;=70??4182=2=z{;<=;7>52z?2417=<8<01<>;2;3:3>{t:?<36=4={<3306<39?16==:;:0;4?xu5>?31<727:<9851858yv41>h0;6?u21164>17134;;854>969~w701j3:1>v3>05;9040<58:?m7?67:p630d2909w0??4c8753=:99>h6<78;|q123b=838p1<>;d;622>;68=o1=494}r052`<72;q6==:i:535?877=90:5:5rs345b?6=:r7:<8?540489462:3;2;6s|2753>5<5s4;;9>4;179>5533283<7p}=66394?4|58:>97:>6:?2400=90=0q~<97383>7}:99?<69?9;<331=<61>1v?883;296~;68<318<84=026e?7>?2wx>;9;:181877=k0?=;52117`>4?03ty9::;50;0x9462l3>::63>04g95<1553a2=;=70??6182=2=z{;<<;7>52z?2437=<8<01<>92;3:3>{t:?=36=4={<3326<39?16==8;:0;4?x{t9hoj6=4={_3bad=:<<>1=lkn;%604?4b82wx==8n:181[77>h1688:5114b?!24839;=6s|1146>5<5sW;;:852446955023->8<7=?3:p5dc22909wS?ne49>002=9ho>7):<0;130>{t99396=4={_33=7=:<<>1==7=;%604?53j2wx==7<:181[771:1688:511;0?!24839=86s|11:5>5<5sW;;;952446955133->8<7=95:p55>32909wS??729>002=99=87):<0;0a`>{t99286=4={_3337=:<<>1==9=;%604?4em2wx==6=:181[77?81688:51152?!24838ij6s|11:2>5<5sW;;;=52446955173->8<772909wS??6g9>002=99{t99=m6=4={_332`=:<<>1==8j;%604?4d:2wx==9j:181[77>m1688:5114g?!24838h?6s|11:e>5<5sW;;;n524469551d3->8<7b2909wS??7c9>002=99=i7):<0;0`e>{t992o6=4={_333d=:<<>1==9n;%604?4c=2wx==6l:181[77?01688:5115:?!24838n=6s|11:a>5<5sW;;;5524469551?3->8<7f2909wS??769>002=99=<7):<0;0e3>{t99226=4={_3333=:<<>1==99;%604?4aj2wx==67:181[77?<1688:51156?!24838mo6s|11:4>5<5sW;;:n524469550d3->8<7002=99{t9ho26=4={_3ba<=:<<>1=lk6;%604?4an2wx=lk7:181[7fm11688:51`g;?!24839;<6s|11;7>5<5sW;;5952446955?33->8<7=?2:p54>22909wS??bd9>002=99hn7):<0;0`1>{t98286=4={_33fa=:<<>1==lk;%604?4d>2wx=<6=:181[77jj1688:511``?!24838h;6s|10:2>5<5sW;;no52446955de3->8<7002=99h27):<0;0`=>{t98=n6=4={_33f==:<<>1==l7;%604?4dj2wx=<9k:181[77j>1688:511`4?!24838ho6s|105`>5<5sW;;n;52446955d13->8<7002=99h>7):<0;0`a>{t98=j6=4={_33f1=:<<>1==l;;%604?4dn2wx=<96:181[77j:1688:511`0?!24838o<6s|105;>5<5sW;;n?52446955d53->8<7002=99h:7):<0;0g6>{t98==6=4={_33f5=:<<>1==l?;%604?4c;2wx=<6k:181[77k<1688:511a6?!24838o86s|10:`>5<5sW;;o952446955e33->8<7e2909wS??c29>002=99i87):<0;0g3>{t982j6=4={_33g7=:<<>1==m=;%604?4c02wx=<66:181[77k81688:511a2?!24838o56s|10:;>5<5sW;;o=52446955e73->8<702909wS??bg9>002=99hm7):<0;0gf>{t982=6=4={_33fd=:<<>1==ln;%604?4ck2wx=<6?:181[77io1688:511ce?!24838oh6s|1056>5<5sW;;mh52446955gb3->8<79d9>002=983n7):<0;0gb>{t98h?6=4={_32=a=:<<>1=<7k;%604?4b:2wx=5<5sW;:5o52446954?e3->8<7989>002=98327):<0;0f1>{t98km6=4={_32===:<<>1=<77;%604?4b>2wx=1688:510;4?!24838n;6s|10cg>5<5sW;:5;52446954?13->8<7949>002=983>7):<0;0f=>{t98ki6=4={_32=1=:<<>1=<7;;%604?4bi2wx=5<5sW;:5?52446954?53->8<7909>002=983:7):<0;0fa>{t98k<6=4={_32=5=:<<>1=<7?;%604?4bn2wx=5<5sW;:m952446954g33->8<7a29>002=98k87):<0;0e6>{t98hi6=4={_32e7=:<<>1=5<5sW;:m=52446954g73->8<79g9>002=983m7):<0;0e2>{t98h<6=4={_32=d=:<<>1=<7n;%604?4a02wx=:181[760o1688:510:e?!24838m56s|10c5>5<5sW;:4h52446954>b3->8<7002=9;i<7):<0;131>{t9=>?6=4={_31g3=:<<>1=?m9;%604?57>2wx=9:<:181[75k<1688:513a6?!24839;;6s|1561>5<5sW;9o952446957e33->8<7=?8:p51262909wS?=c29>002=9;i87):<0;13=>{t9=>;6=4={_31g7=:<<>1=?m=;%604?57i2wx=9=i:181[75k81688:513a2?!24839;n6s|151g>5<5sW;9nk52446957da3->8<7=?c:p515d2909wS?=bd9>002=9;hn7):<0;13`>{t9=9i6=4={_31fa=:<<>1=?lk;%604?57m2wx=9=n:181[75jj1688:513``?!24839;j6s|151:>5<5sW;9no52446957de3->8<7=>0:p515?2909wS?=b`9>002=9;hj7):<0;125>{t9=9<6=4={_31f<=:<<>1=?l6;%604?56:2wx=9=9:181[75j11688:513`;?!24839:?6s|1516>5<5sW;9n:52446957d03->8<7=>4:p51532909wS?=b79>002=9;h=7):<0;121>{t9=996=4={_31f1=:<<>1=?l;;%604?56>2wx=9=>:181[75j:1688:513`0?!24839:;6s|1513>5<5sW;9n?52446957d53->8<7=>8:p514a2909wS?=b09>002=9;h:7):<0;12=>{t9=8n6=4={_31f5=:<<>1=?l?;%604?56i2wx=95<5sW;9mh52446957gb3->8<7=>c:p514e2909wS?=ae9>002=9;ko7):<0;12`>{t9=8j6=4={_31ef=:<<>1=?ol;%604?56m2wx=9<6:181[75ik1688:513ca?!24839:j6s|1504>5<5sW;9m452446957g>3->8<7==0:p51412909wS?=a99>002=9;k37):<0;115>{t9=8>6=4={_31e2=:<<>1=?o8;%604?55:2wx=9<;:181[75i?1688:513c5?!248399?6s|1500>5<5sW;9m852446957g23->8<7==4:p51452909wS?=a59>002=9;k?7):<0;111>{t9=8:6=4={_31e6=:<<>1=?o<;%604?55>2wx=95<5sW;9m<52446957g63->8<7==8:p517b2909wS?=a19>002=9;k;7):<0;11=>{t9=>h6=4={_31gf=:<<>1=?ml;%604?55i2wx=9:m:181[75kk1688:513aa?!248399n6s|156b>5<5sW;9ol52446957ef3->8<7==c:p512>2909wS?=c89>002=9;i27):<0;11`>{t9=>36=4={_31g==:<<>1=?m7;%604?55m2wx=9:8:181[75k91688:513a3?!248399j6s|151f>5<5sW;9n852446957d23->8<7=<0:p51542909wS?=a`9>002=9;kj7):<0;105>{t9=836=4={_31=c=:<<>1=?7i;%604?54:2wx=9?k:181[751l1688:513;f?!248398?6s|15c6>5<5sW;?;;52446951113->8<7=<4:p51g42909wS?;749>002=9==>7):<0;101>{t9=k96=4={_3731=:<<>1=99;;%604?54>2wx=9o>:181[73?:1688:51550?!248398;6s|15c3>5<5sW;?;?52446951153->8<7=<8:p51?a2909wS?;709>002=9==:7):<0;10=>{t9=3n6=4={_3735=:<<>1=99?;%604?54i2wx=97l:181[73>l1688:5154f?!248398n6s|15;a>5<5sW;?:i524469510c3->8<7=002=9={t9=326=4={_372g=:<<>1=98m;%604?54m2wx=977:181[73>h1688:5154b?!248398j6s|15;4>5<5sW;?:4524469510>3->8<7=;0:p51?12909wS?;699>002=9=<37):<0;175>{t9=3>6=4={_3722=:<<>1=988;%604?53:2wx=97;:181[73>?1688:51545?!24839??6s|15;0>5<5sW;?:852446951023->8<7=;4:p51?62909wS?;629>002=9=<87):<0;171>{t9=3;6=4={_3727=:<<>1=98=;%604?53>2wx=96i:181[73>81688:51542?!24839?;6s|15:f>5<5sW;?:=52446951073->8<7=;8:p51>c2909wS?;5g9>002=9=?m7):<0;17=>{t9=2h6=4={_371`=:<<>1=9;j;%604?53i2wx=96m:181[73=m1688:5157g?!24839?o6s|15:b>5<5sW;?9n524469513d3->8<7=;d:p51>>2909wS?;5c9>002=9=?i7):<0;17a>{t9=236=4={_371d=:<<>1=9;n;%604?53n2wx=969:181[73=11688:5157;?!24839><6s|15:6>5<5sW;?9:52446951303->8<7=:1:p51>32909wS?;579>002=9=?=7):<0;166>{t9=286=4={_3710=:<<>1=9;:;%604?52;2wx=96=:181[73==1688:51577?!24839>86s|15:2>5<5sW;?9>52446951343->8<7=:5:p51>72909wS?;539>002=9=?97):<0;162>{t9==m6=4={_3714=:<<>1=9;>;%604?52?2wx=99j:181[73=91688:51573?!24839>46s|155g>5<5sW;?8k524469512a3->8<7=:9:p51ge2909wS?;7c9>002=9==i7):<0;16e>{t9=kj6=4={_373d=:<<>1=99n;%604?52j2wx=9o6:181[73?01688:5155:?!24839>o6s|15c;>5<5sW;?;5524469511?3->8<7=:d:p51g02909wS?;769>002=9==<7):<0;16a>{t9=k=6=4={_372c=:<<>1=98i;%604?52n2wx=97k:181[73>=1688:51547?!24839=<6s|15;1>5<5sW;?94524469513>3->8<7=91:p51>02909wS?;4d9>002=9=>n7):<0;156>{t9==h6=4={_370a=:<<>1=9:k;%604?51;2wvb4;74|@=9:7p`655394?5|@=9:7p`655094?4|@=9:7p`655194?4|@=9:7p`655694?4|@=9:7p`655794?4|@=9:7p`655494?4|@=9:7p`655594?4|@=9:7p`655:94?4|@=9:7p`655;94?4|@=9:7p`655c94?4|@=9:7p`655`94?4|@=9:7p`655a94?4|@=9:7p`655f94?4|@=9:7p`655g94?4|@=9:7p`655d94?4|@=9:7p`654294?4|@=9:7p`654394?4|@=9:7p`654094?4|@=9:7p`654194?4|@=9:7p`654694?4|@=9:7p`654794?4|@=9:7p`654494?4|@=9:7p`654594?4|@=9:7p`654:94?4|@=9:7p`654;94?5|@=9:7p`654c94?5|@=9:7p`654`94?5|@=9:7p`654a94?5|@=9:7p`654f94?5|@=9:7p`654g94?5|@=9:7p`654d94?5|@=9:7p`657294?5|@=9:7p`657394?5|@=9:7p`657094?5|@=9:7p`657194?5|@=9:7p`657694?5|@=9:7p`657794?5|@=9:7p`657494?5|@=9:7p`657594?5|@=9:7p`657:94?5|@=9:7p`657;94?5|@=9:7p`657c94?5|@=9:7p`657`94?5|@=9:7p`657a94?5|@=9:7p`657f94?5|@=9:7p`657g94?5|@=9:7p`657d94?5|@=9:7p`656294?5|@=9:7p`656394?5|@=9:7p`656094?5|@=9:7p`656194?5|@=9:7p`656694?5|@=9:7p`656794?5|@=9:7p`656494?5|@=9:7p`656594?5|@=9:7p`656:94?5|@=9:7p`656;94?5|@=9:7p`656c94?5|@=9:7p`656`94?5|@=9:7p`656a94?5|@=9:7p`656f94?5|@=9:7p`656g94?5|@=9:7p`656d94?5|@=9:7p`659294?5|@=9:7p`659394?5|@=9:7p`659094?5|@=9:7p`659194?5|@=9:7p`659694?5|@=9:7p`659794?5|@=9:7p`659494?5|@=9:7p`659594?5|@=9:7p`659:94?5|@=9:7p`659;94?4|@=9:7p`659c94?4|@=9:7p`659`94?4|@=9:7p`659a94?4|@=9:7p`659f94?4|@=9:7p`659g94?4|@=9:7p`659d94?4|@=9:7p`658294?4|@=9:7p`658394?4|@=9:7p`658094?4|@=9:7p`658194?4|@=9:7p`658694?4|@=9:7p`658794?4|@=9:7p`658494?4|@=9:7p`658594?4|@=9:7p`658:94?4|@=9:7p`658;94?4|@=9:7p`658c94?4|@=9:7p`658`94?4|@=9:7p`658a94?4|@=9:7p`658f94?4|@=9:7p`658g94?4|@=9:7p`658d94?4|@=9:7p`65`294?4|@=9:7p`65`394?4|@=9:7p`65`094?4|@=9:7p`65`194?4|@=9:7p`65`694?4|@=9:7p`65`794?4|@=9:7p`65`494?4|@=9:7p`65`594?4|@=9:7p`65`:94?4|@=9:7p`65`;94?4|@=9:7p`65`c94?4|@=9:7p`65``94?4|@=9:7p`65`a94?4|@=9:7p`65`f94?4|@=9:7p`65`g94?4|@=9:7p`65`d94?4|@=9:7p`65c294?4|@=9:7p`65c394?4|@=9:7p`65c094?4|@=9:7p`65c194?4|@=9:7p`65c694?4|@=9:7p`65c794?4|@=9:7p`65c494?4|@=9:7p`65c594?4|@=9:7p`65c:94?4|@=9:7p`65c;94?4|@=9:7p`65cc94?4|@=9:7p`65c`94?4|@=9:7p`65ca94?4|@=9:7p`65cf94?4|@=9:7p`65cg94?4|@=9:7p`65cd94?4|@=9:7p`65b294?4|@=9:7p`65b394?4|@=9:7p`65b094?4|@=9:7p`65b194?4|@=9:7p`65b694?4|@=9:7p`65b794?4|@=9:7p`65b494?4|@=9:7p`65b594?4|@=9:7p`65b:94?4|@=9:7p`65b;94?4|@=9:7p`65bc94?4|@=9:7p`65b`94?4|@=9:7p`65ba94?4|@=9:7p`65bf94?4|@=9:7p`65bg94?4|@=9:7p`65bd94?4|@=9:7p`65e294?4|@=9:7p`65e394?4|@=9:7p`65e094?4|@=9:7p`65e194?4|@=9:7p`65e694?4|@=9:7p`65e794?4|@=9:7p`65e494?4|@=9:7p`65e594?4|@=9:7p`65e:94?4|@=9:7p`65e;94?4|@=9:7p`65ec94?4|@=9:7p`65e`94?4|@=9:7p`65ea94?4|@=9:7p`65ef94?4|@=9:7p`65eg94?4|@=9:7p`65ed94?4|@=9:7p`65d294?4|@=9:7p`65d394?4|@=9:7p`65d094?4|@=9:7p`65d194?4|@=9:7p`65d694?4|@=9:7p`65d794?4|@=9:7p`65d494?4|@=9:7p`79g:94?7|@=9:7p`79g;94?7|@=9:7p`7a4`94?7|@=9:7p`7a4a94?7|@=9:7p`7a4f94?7|@=9:7p`7a4g94?7|@=9:7p`7a4d94?7|@=9:7p`7a7294?7|@=9:7p`7a7394?7|@=9:7p`7a7094?7|@=9:7p`7a7194?7|@=9:7p`7a7694?7|@=9:7p`7a7794?7|@=9:7p`7a7494?7|@=9:7p`7a7594?7|@=9:7p`7a7:94?7|@=9:7p`7a7;94?7|@=9:7p`7a7c94?7|@=9:7p`7a7`94?7|@=9:7p`7a7a94?7|@=9:7p`7a7f94?7|@=9:7p`7a7g94?7|@=9:7p`7a7d94?7|@=9:7p`7a6294?7|@=9:7p`7a6394?7|@=9:7p`7a6094?7|@=9:7p`7a6194?7|@=9:7p`7a6694?7|@=9:7p`7a6794?7|@=9:7p`7a6494?7|@=9:7p`7a6594?7|@=9:7p`7a6:94?7|@=9:7p`7a6;94?7|@=9:7p`7a6c94?7|@=9:7p`7a6`94?7|@=9:7p`7a6a94?7|@=9:7p`7a6f94?7|@=9:7p`7a6g94?7|@=9:7p`7a6d94?7|@=9:7p`7a9294?7|@=9:7p`7a9394?7|@=9:7p`7a9094?7|@=9:7p`7a9194?7|@=9:7p`7a9694?7|@=9:7p`7a9794?7|@=9:7p`7a9494?7|@=9:7p`7a9594?7|@=9:7p`7a9:94?7|@=9:7p`7a9;94?7|@=9:7p`7a9c94?7|@=9:7p`7a9`94?7|@=9:7p`7a9a94?7|@=9:7p`7a9f94?7|@=9:7p`7a9g94?7|@=9:7p`7a9d94?7|@=9:7p`7a8294?7|@=9:7p`7a8394?7|@=9:7p`7a8094?7|@=9:7p`7a8194?7|@=9:7p`7a8694?7|@=9:7p`7a8794?7|@=9:7p`7a8494?7|@=9:7p`7a8594?7|@=9:7p`7a8:94?7|@=9:7p`7a8;94?7|@=9:7p`7a8c94?7|@=9:7p`7a8`94?7|@=9:7p`7a8a94?7|@=9:7p`7a8f94?7|@=9:7p`7a8g94?7|@=9:7p`7a8d94?7|@=9:7p`7a`294?7|@=9:7p`7a`394?7|@=9:7p`7a`094?7|@=9:7p`7a`194?7|@=9:7p`7a`694?7|@=9:7p`7a`794?7|@=9:7p`7a`494?7|@=9:7p`7a`594?7|@=9:7p`7a`:94?7|@=9:7p`7a`;94?4|@=9:7p`7a`c94?4|@=9:7p`7a``94?4|@=9:7p`7a`a94?4|@=9:7p`7a`f94?4|@=9:7p`7a`g94?4|@=9:7p`7a`d94?4|@=9:7p`7ac294?4|@=9:7p`7ac394?4|@=9:7p`7ac094?4|@=9:7p`7ac194?4|@=9:7p`7ac694?4|@=9:7p`7ac794?4|@=9:7p`7ac494?4|@=9:7p`7ac594?4|@=9:7p`7ac:94?4|@=9:7p`7ac;94?4|@=9:7p`7acc94?4|@=9:7p`7ac`94?7|@=9:7p`7aca94?7|@=9:7p`7acf94?7|@=9:7p`7acg94?7|@=9:7p`7acd94?7|@=9:7p`7ab294?7|@=9:7p`7ab394?7|@=9:7p`7ab094?7|@=9:7p`7ab194?7|@=9:7p`7ab694?7|@=9:7p`7ab794?7|@=9:7p`7ab494?7|@=9:7p`7ab594?7|@=9:7p`7ab:94?7|@=9:7p`7ab;94?7|@=9:7p`7abc94?7|@=9:7p`7ab`94?7|@=9:7p`7aba94?7|@=9:7p`7abf94?7|@=9:7p`7abg94?7|@=9:7p`7abd94?7|@=9:7p`7ae294?7|@=9:7p`7ae394?7|@=9:7p`7ae094?7|@=9:7p`7ae194?7|@=9:7p`7ae694?7|@=9:7p`7ae794?7|@=9:7p`7ae494?7|@=9:7p`7ae594?7|@=9:7p`7ae:94?7|@=9:7p`7ae;94?7|@=9:7p`7aec94?7|@=9:7p`7ae`94?7|@=9:7p`7aea94?7|@=9:7p`7aef94?7|@=9:7p`7aeg94?7|@=9:7p`7aed94?7|@=9:7p`7ad294?7|@=9:7p`7ad394?7|@=9:7p`7ad094?7|@=9:7p`7ad194?7|@=9:7p`7ad694?7|@=9:7p`7ad794?7|@=9:7p`7ad494?7|@=9:7p`7ad594?7|@=9:7p`7ad:94?7|@=9:7p`7ad;94?7|@=9:7p`7adc94?7|@=9:7p`7ad`94?7|@=9:7p`7ada94?7|@=9:7p`7adf94?7|@=9:7p`7adg94?7|@=9:7p`7add94?7|@=9:7p`7ag294?7|@=9:7p`7ag394?7|@=9:7p`7ag094?7|@=9:7p`7ag194?7|@=9:7p`7ag694?7|@=9:7p`7ag794?7|@=9:7p`7ag494?7|@=9:7p`7ag594?7|@=9:7p`7ag:94?7|@=9:7p`7ag;94?7|@=9:7p`7agc94?7|@=9:7p`7ag`94?7|@=9:7p`7aga94?7|@=9:7p`7agf94?7|@=9:7p`7agg94?7|@=9:7p`7agd94?7|@=9:7p`7b1294?7|@=9:7p`7b1394?7|@=9:7p`7b1094?7|@=9:7p`7b1194?7|@=9:7p`7b1694?7|@=9:7p`7b1794?7|@=9:7p`7b1494?7|@=9:7p`7b1594?7|@=9:7p`7b1:94?7|@=9:7p`7b1;94?7|@=9:7p`7b1c94?7|@=9:7p`7b1`94?7|@=9:7p`7b1a94?7|@=9:7p`7b1f94?7|@=9:7p`7b1g94?7|@=9:7p`7b1d94?7|@=9:7p`7b0294?7|@=9:7p`7b0394?7|@=9:7p`7b0094?7|@=9:7p`7b0194?7|@=9:7p`7b0694?7|@=9:7p`7b0794?7|@=9:7p`7b0494?7|@=9:7p`7b0594?7|@=9:7p`7b0:94?7|@=9:7p`7b0;94?7|@=9:7p`7b0c94?7|@=9:7p`7b0`94?7|@=9:7p`7b0a94?7|@=9:7p`7b0f94?7|@=9:7p`7b0g94?7|@=9:7p`7b0d94?7|@=9:7p`7b3294?7|@=9:7p`7b3394?7|@=9:7p`7b3094?7|@=9:7p`7b3194?7|@=9:7p`7b3694?7|@=9:7p`7b3794?7|@=9:7p`7b3494?7|@=9:7p`7b3594?7|@=9:7p`7b3:94?7|@=9:7p`7b3;94?7|@=9:7p`7b3c94?7|@=9:7p`7b3`94?7|@=9:7p`7b3a94?7|@=9:7p`7b3f94?7|@=9:7p`7b3g94?7|@=9:7p`7b3d94?7|@=9:7p`7b2294?7|@=9:7p`7b2394?7|@=9:7p`7b2094?7|@=9:7p`7b2194?7|@=9:7p`7b2694?7|@=9:7p`7b2794?7|@=9:7p`7b2494?7|@=9:7p`7b2594?7|@=9:7p`7b2:94?7|@=9:7p`7b2;94?7|@=9:7p`7b2c94?7|@=9:7p`7b2`94?7|@=9:7p`7b2a94?7|@=9:7p`7b2f94?7|@=9:7p`7b2g94?7|@=9:7p`7b2d94?7|@=9:7p`7b5294?7|@=9:7p`7b5394?7|@=9:7p`7b5094?7|@=9:7p`7b5194?7|@=9:7p`7b5694?7|@=9:7p`7b5794?7|@=9:7p`7b5494?7|@=9:7p`7b5594?7|@=9:7p`7b5:94?7|@=9:7p`7b5;94?7|@=9:7p`7b5c94?7|@=9:7p`7b5`94?7|@=9:7p`7b5a94?7|@=9:7p`7b5f94?7|@=9:7p`7b5g94?7|@=9:7p`7b5d94?7|@=9:7p`7b4294?7|@=9:7p`7b4394?7|@=9:7p`7b4094?7|@=9:7p`7b4194?7|@=9:7p`7b4694?7|@=9:7p`7b4794?7|@=9:7p`7b4494?7|@=9:7p`7b4594?7|@=9:7p`7b4:94?7|@=9:7p`7b4;94?7|@=9:7p`7b4c94?7|@=9:7p`7b4`94?7|@=9:7p`7b4a94?7|@=9:7p`7b4f94?7|@=9:7p`7b4g94?7|@=9:7p`7b4d94?7|@=9:7p`7b7294?7|@=9:7p`7b7394?7|@=9:7p`7b7094?7|@=9:7p`7b7194?7|@=9:7p`7b7694?7|@=9:7p`7b7794?7|@=9:7p`7b7494?7|@=9:7p`7b7594?7|@=9:7p`7b7:94?7|@=9:7p`7b7;94?7|@=9:7p`7b7c94?7|@=9:7p`7b7`94?7|@=9:7p`7b7a94?7|@=9:7p`7b7f94?7|@=9:7p`7b7g94?7|@=9:7p`7b7d94?7|@=9:7p`7b6294?7|@=9:7p`7b6394?7|@=9:7p`7b6094?7|@=9:7p`7b6194?7|@=9:7p`7b6694?7|@=9:7p`7b6794?7|@=9:7p`7b6494?7|@=9:7p`7b6594?7|@=9:7p`7b6:94?7|@=9:7p`7b6;94?7|@=9:7p`7b6c94?7|@=9:7p`7b6`94?7|@=9:7p`7b6a94?7|@=9:7p`7b6f94?7|@=9:7p`7b6g94?7|@=9:7p`7b6d94?7|@=9:7p`7b9294?7|@=9:7p`7b9394?7|@=9:7p`7b9094?7|@=9:7p`7b9194?7|@=9:7p`7b9694?7|@=9:7p`7b9794?7|@=9:7p`7b9494?7|@=9:7p`7b9594?7|@=9:7p`7b9:94?4|@=9:7p`7b9;94?7|@=9:7p`7b9c94?4|@=9:7p`7b9`94?7|@=9:7p`7b9a94?7|@=9:7p`7b9f94?7|@=9:7p`7b9g94?7|@=9:7p`7b9d94?7|@=9:7p`7b8294?7|@=9:7p`7b8394?7|@=9:7p`7b8094?4|@=9:7p`7b8194?7|@=9:7p`7b8694?7|@=9:7p`7b8794?7|@=9:7p`7b8494?4|@=9:7p`7b8594?4|@=9:7p`7b8:94?4|@=9:7p`7b8;94?4|@=9:7p`7b8c94?4|@=9:7p`7b8`94?4|@=9:7p`7b8a94?4|@=9:7p`7b8f94?4|@=9:7p`7b8g94?4|@=9:7p`7b8d94?4|@=9:7p`7b`294?4|@=9:7p`7b`394?4|@=9:7p`7b`094?4|@=9:7p`7b`194?4|@=9:7p`7b`694?4|@=9:7p`7b`794?4|@=9:7p`7b`494?4|@=9:7p`7b`594?4|@=9:7p`7b`:94?4|@=9:7p`7b`;94?4|@=9:7p`7b`c94?4|@=9:7p`7b``94?4|@=9:7p`7b`a94?4|@=9:7p`7b`f94?4|@=9:7p`7b`g94?4|@=9:7p`7b`d94?4|@=9:7p`7bc294?4|@=9:7p`7bc394?4|@=9:7p`7bc094?4|@=9:7p`7bc194?4|@=9:7p`7bc694?4|@=9:7p`7bc794?4|@=9:7p`7bc494?4|@=9:7p`7bc594?4|@=9:7p`7bc:94?4|@=9:7p`7bc;94?4|@=9:7p`7bcc94?4|@=9:7p`7bc`94?4|@=9:7p`7bca94?4|@=9:7p`7bcf94?4|@=9:7p`7bcg94?4|@=9:7p`7bcd94?4|@=9:7p`7bb294?4|@=9:7p`7bb394?4|@=9:7p`7bb094?4|@=9:7p`7bb194?4|@=9:7p`7bb694?4|@=9:7p`7bb794?4|@=9:7p`7bb494?4|@=9:7p`7bb594?4|@=9:7p`7bb:94?4|@=9:7p`7bb;94?7|@=9:7p`7bbc94?7|@=9:7p`7bb`94?7|@=9:7p`7bba94?7|@=9:7p`7bbf94?7|@=9:7p`7bbg94?7|@=9:7p`7bbd94?7|@=9:7p`7be294?7|@=9:7p`7be394?7|@=9:7p`7be094?7|@=9:7p`7be194?7|@=9:7p`7be694?7|@=9:7p`7be794?7|@=9:7p`7be494?7|@=9:7p`7be594?7|@=9:7p`7be:94?7|@=9:7p`7be;94?7|@=9:7p`7bec94?7|@=9:7p`7be`94?7|@=9:7p`7bea94?7|@=9:7p`7bef94?7|@=9:7p`7beg94?7|@=9:7p`7bed94?7|@=9:7p`7bd294?7|@=9:7p`7bd394?7|@=9:7p`7bd094?7|@=9:7p`7bd194?7|@:<37E:<1:m52zJ774=zf1hn;7>51zJ774=zf1hn47>51zJ774=zf1hn57>51zJ774=zf1hnm7>51zJ774=zf1hnn7>52zJ774=zf1hno7>51zJ774=zf1hnh7>51zJ774=zf1hni7>51zJ774=zf1hnj7>51zJ774=zf1hm<7>51zJ774=zf1hm=7>51zJ774=zf1hm>7>51zJ774=zf1hm?7>51zJ774=zf1hm87>51zJ774=zf1hm97>51zJ774=zf1hm:7>51zJ774=zf1hm;7>51zJ774=zf1hm47>51zJ774=zf1hm57>51zJ774=zf1hmm7>51zJ774=zf1hmn7>51zJ774=zf1hmo7>51zJ774=zf1hmh7>51zJ774=zf1hmi7>51zJ774=zf1hmj7>51zJ774=zf1i;<7>51zJ774=zf1i;=7>51zJ774=zf1i;>7>51zJ774=zf1i;?7>51zJ774=zf1i;87>51zJ774=zf1i;97>51zJ774=zf1i;:7>51zJ774=zf1i;;7>51zJ774=zf1i;47>51zJ774=zf1i;57>51zJ774=zf1i;m7>51zJ774=zf1i;n7>51zJ774=zf1i;o7>51zJ774=zf1i;h7>51zJ774=zf1i;i7>52zJ02==O<:;0qc6l0g83>4}O<:;0qc6l1183>4}O<:;0qc6l1083>7}O;?20D9=>;|l;g44=83;pD9=>;|l;g45=83;pD9=>;|l;g42=83;pD9=>;|l;g43=83;pD9=>;|l;g40=83;pD9=>;|l;g41=83;pD9=>;|l;g4>=83;pD9=>;|l;g4?=83;pD9=>;|l;g4g=83;pD9=>;|l;g4d=83;pD9=>;|l;g4e=83;pD9=>;|l;g4b=83;pD9=>;|l;g4c=83;pD9=>;|l;g4`=83;pD9=>;|l;g76=83;pD9=>;|l;g77=83;pD9=>;|l;g74=83;pD9=>;|l;g75=83;pD9=>;|l;g72=83;pD9=>;|l;g73=83;pD9=>;|l;g70=83;pD9=>;|l;g71=83;pD9=>;|l;g7>=83;pD9=>;|l;g7?=83;pD9=>;|l;g7g=83;pD9=>;|l;g7d=83;pD9=>;|l;g7e=83;pD9=>;|l;g7b=83;pD9=>;|l;g7c=83;pD9=>;|l;g7`=83;pD9=>;|l;g66=83;pD9=>;|l;g67=83;pD9=>;|l;g64=83;pD9=>;|l;g65=83;pD9=>;|l;g62=83;pD9=>;|l;g63=83;pD9=>;|l;g60=83;pD9=>;|l;g61=83;pD9=>;|l;g6>=83;pD9=>;|l;g6?=83;pD9=>;|l;g6g=83;pD9=>;|l;g6d=83;pD9=>;|l;g6e=83;pD9=>;|l;g6b=83;pD9=>;|l;g6c=83;pD9=>;|l;g6`=83;pD9=>;|l;g16=83;pD9=>;|l;g17=83;pD9=>;|l;g14=83;pD9=>;|l;g15=83;pD9=>;|l;g12=839pD9=>;|l;g13=83;pD9=>;|l;g10=83;pD9=>;|l;g11=83;pD9=>;|l;g1>=83;pD9=>;|l;g1?=839pD9=>;|l;g1g=83;pD9=>;|l;g1d=83;pD9=>;|l;g1e=83;pD9=>;|l;g1b=83;pD9=>;|l;g1c=83;pD9=>;|l;g1`=838pD>87;I605>{i0j?;6=4>{I605>{i0j?:6=4>{I605>{i0j?96=4>{I605>{i0j?86=4>{I605>{i0j??6=4>{I605>{i0j?>6=4={I15<>N3;81vb5m:6;296~N3;81vb5m:7;296~N3;81vb5m:8;296~N3;81vb5m:9;296~N3;81vb5m:a;296~N3;81vb5m:b;296~N3;81vb5m:c;296~N3;81vb5m:d;296~N3;81vb5m:e;296~N3;81vb5m:f;296~N3;81vb5m90;296~N3;81vb5m91;296~N3;81vb5m92;296~N3;81vb5m93;296~N3;81vb5m94;296~N3;81vb5m95;296~N3;81vb5m96;296~N3;81vb5m97;296~N3;81vb5m98;297~N3;81vb5m99;297~N3;81vb5m9a;297~N3;81vb5m9b;297~N3;81vb5m9c;297~N3;81vb5m9d;297~N3;81vb5m9e;297~N3;81vb5m9f;297~N3;81vb5m80;297~N3;81vb5m81;297~N3;81vb5m82;297~N3;81vb5m83;297~N3;81vb5m84;297~N3;81vb5m85;297~N3;81vb5m86;297~N3;81vb5m87;297~N3;81vb5m88;297~N3;81vb5m89;297~N3;81vb5m8a;297~N3;81vb5m8b;297~N3;81vb5m8c;297~N3;81vb5m8d;297~N3;81vb5m8e;297~N3;81vb5m8f;297~N3;81vb5m70;296~N3;81vb5m71;296~N3;81vb5m72;296~N3;81vb5m73;296~N3;81vb5m74;296~N3;81vb5m75;296~N3;81vb5m76;296~N3;81vb5m77;296~N3;81vb5m78;296~N3;81vb5m79;296~N3;81vb5m7a;296~N3;81vb5m7b;296~N3;81vb5m7c;296~N3;81vb5m7d;296~N3;81vb5m7e;296~N3;81vb5m7f;296~N3;81vb5m60;296~N3;81vb5m61;296~N3;81vb5m62;296~N3;81vb5m63;296~N3;81vb5m64;296~N3;81vb5m65;296~N3;81vb5m66;296~N3;81vb5m67;296~N3;81vb5m68;295~N3;81vb5m69;295~N3;81vb5m6a;295~N3;81vb5m6b;295~N3;81vb5m6c;295~N3;81vb5m6d;295~N3;81vb5m6e;295~N3;81vb5m6f;295~N3;81vb5mn0;295~N3;81vb5mn1;295~N3;81vb5mn2;295~N3;81vb5mn3;295~N3;81vb5mn4;295~N3;81vb5mn5;295~N3;81vb5mn6;295~N3;81vb5mn7;295~N3;81vb5mn8;295~N3;81vb5mn9;295~N3;81vb5mna;295~N3;81vb5mnb;295~N3;81vb5mnc;295~N3;81vb5mnd;295~N3;81vb5mne;295~N3;81vb5mnf;295~N3;81vb5mm0;295~N3;81vb5mm1;295~N3;81vb5mm2;295~N3;81vb5mm3;295~N3;81vb5mm4;295~N3;81vb5mm5;295~N3;81vb5mm6;295~N3;81vb5mm7;295~N3;81vb5mm8;295~N3;81vb5mm9;295~N3;81vb5mma;295~N3;81vb5mmb;295~N3;81vb5mmc;295~N3;81vb5mmd;295~N3;81vb5mme;295~N3;81vb5mmf;295~N3;81vb5ml0;295~N3;81vb5ml1;295~N3;81vb5ml2;295~N3;81vb5ml3;295~N3;81vb5ml4;295~N3;81vb5ml5;295~N3;81vb5ml6;295~N3;81vb5ml7;295~N3;81vb5ml8;297~N3;81vb5ml9;297~N3;81vb5mla;297~N3;81vb5mlb;297~N3;81vb5mlc;297~N3;81vb5mld;297~N3;81vb5mle;297~N3;81vb5mlf;297~N3;81vb5mk0;297~N3;81vb5mk1;297~N3;81vb5mk2;297~N3;81vb5mk3;297~N3;81vb5mk4;297~N3;81vb5mk5;297~N3;81vb5mk6;297~N3;81vb5mk7;297~N3;81vb5mk8;297~N3;81vb5mk9;297~N3;81vb5mka;297~N3;81vb5mkb;297~N3;81vb5mkc;297~N3;81vb5mkd;297~N3;81vb5mke;297~N3;81vb5mkf;297~N3;81vb5mj0;296~N3;81vb5mj1;296~N3;81vb5mj2;296~N3;81vb5mj3;296~N3;81vb5mj4;296~N3;81vb5mj5;296~N3;81vb5mj6;296~N3;81vb5mj7;296~N3;81vb5mj8;296~N3;81vb5mj9;296~N3;81vb5mja;296~N3;81vb5mjb;296~N3;81vb5mjc;296~N3;81vb5mjd;296~N3;81vb5mje;296~N3;81vb5mjf;296~N3;81vb5mi0;296~N3;81vb5mi1;296~N3;81vb5mi2;296~N3;81vb5mi3;296~N3;81vb5mi4;296~N3;81vb5mi5;296~N3;81vb5mi6;296~N3;81vb5mi7;296~N3;81vb5mi8;295~N3;81vb5mi9;295~N3;81vb5mia;295~N3;81vb5mib;295~N3;81vb5mic;295~N3;81vb5mid;295~N3;81vb5mie;295~N3;81vb5mif;295~N3;81vb5j?0;295~N3;81vb5j?1;295~N3;81vb5j?2;295~N3;81vb5j?3;295~N3;81vb5j?4;295~N3;81vb5j?5;295~N3;81vb5j?6;295~N3;81vb5j?7;295~N3;81vb5j?8;295~N3;81vb5j?9;295~N3;81vb5j?a;295~N3;81vb5j?b;295~N3;81vb5j?c;295~N3;81vb5j?d;295~N3;81vb5j?e;295~N3;81vb5j?f;295~N3;81vb5j>0;295~N3;81vb5j>1;295~N3;81vb5j>2;295~N3;81vb5j>3;295~N3;81vb5j>4;295~N3;81vb5j>5;295~N3;81vb5j>6;295~N3;81vb5j>7;295~N3;81vb5j>8;295~N3;81vb5j>9;295~N3;81vb5j>a;295~N3;81vb5j>b;295~N3;81vb5j>c;295~N3;81vb5j>d;295~N3;81vb5j>e;295~N3;81vb5j>f;295~N3;81vb5j=0;295~N3;81vb5j=1;295~N3;81vb5j=2;295~N3;81vb5j=3;295~N3;81vb5j=4;295~N3;81vb5j=5;295~N3;81vb5j=6;295~N3;81vb5j=7;295~N3;81vb5j=8;295~N3;81vb5j=9;295~N3;81vb5j=a;295~N3;81vb5j=b;295~N3;81vb5j=c;295~N3;81vb5j=d;295~N3;81vb5j=e;295~N3;81vb5j=f;295~N3;81vb5j<0;295~N3;81vb5j<1;295~N3;81vb5j<2;295~N3;81vb5j<3;295~N3;81vb5j<4;295~N3;81vb5j<5;295~N3;81vb5j<6;295~N3;81vb5j<7;295~N3;81vb5j<8;295~N3;81vb5j<9;295~N3;81vb5j0;297~N3;81vb5k>1;297~N3;81vb5k>2;297~N3;81vb5k>3;297~N3;81vb5k>4;297~N3;81vb5k>5;297~N3;81vb5k>6;297~N3;81vb5k>7;297~N3;81vb5k>8;297~N3;81vb5k>9;297~N3;81vb5k>a;297~N3;81vb5k>b;297~N3;81vb5k>c;297~N3;81vb5k>d;297~N3;81vb5k>e;297~N3;81vb5k>f;297~N3;81vb5k=0;297~N3;81vb5k=1;297~N3;81vb5k=2;297~N3;81vb5k=3;297~N3;81vb5k=4;296~N3;81vb5k=5;296~N3;81vb5k=6;296~N3;81vb5k=7;296~N3;81vb5k=8;296~N3;81vb5k=9;296~N3;81vb5k=a;296~N3;81vb5k=b;296~N3;81vb5k=c;296~N3;81vb5k=d;296~N3;81vb5k=e;296~N3;81vb5k=f;296~N3;81vb5k<0;296~N3;81vb5k<1;296~N3;81vb5k<2;296~N3;81vb5k<3;296~N3;81vb5k<4;296~N3;81vb5k<5;296~N3;81vb5k<6;296~N3;81vb5k<7;296~N3;81vb5k<8;296~N3;81vb5k<9;296~N3;81vb5k0;296~N3;81vb5h>1;296~N3;81vb5h>2;296~N3;81vb5h>3;296~N3;81vb5h>4;296~N3;81vb5h>5;296~N3;81vb5h>6;296~N3;81vb5h>7;296~N3;81vb5h>8;296~N3;81vb5h>9;296~N3;81vb5h>a;296~N3;81vb5h>b;296~N3;81vb5h>c;296~N3;81vb5h>d;296~N3;81vb5h>e;296~N3;81vb5h>f;296~N3;81vb5h=0;295~N3;81vb5h=1;295~N3;81vb5h=2;295~N3;81vb5h=3;295~N3;81vb5h=4;295~N3;81vb5h=5;295~N3;81vb5h=6;295~N3;81vb5h=7;295~N3;81vb5h=8;295~N3;81vb5h=9;295~N3;81vb5h=a;295~N3;81vb5h=b;295~N3;81vb5h=c;295~N3;81vb5h=d;295~N3;81vb5h=e;295~N3;81vb5h=f;295~N3;81vb5h<0;295~N3;81vb5h<1;295~N3;81vb5h<2;295~N3;81vb5h<3;295~N3;81vb5h<4;295~N3;81vb5h<5;295~N3;81vb5h<6;295~N3;81vb5h<7;295~N3;81vb5h<8;295~N3;81vb5h<9;295~N3;81vb5h?0;297~N3;81vb4>?1;297~N3;81vb4>?2;297~N3;81vb4>?3;297~N3;81vb4>?4;297~N3;81vb4>?5;297~N3;81vb4>?6;297~N3;81vb4>?7;297~N3;81vb4>?8;297~N3;81vb4>?9;297~N3;81vb4>?a;296~N3;81vb4>?b;296~N3;81vb4>?c;296~N3;81vb4>?d;296~N3;81vb4>?e;296~N3;81vb4>?f;296~N3;81vb4>>0;296~N3;81vb4>>1;296~N3;81vb4>>2;296~N3;81vb4>>3;296~N3;81vb4>>4;296~N3;81vb4>>5;296~N3;81vb4>>6;296~N3;81vb4>>7;296~N3;81vb4>>8;296~N3;81vb4>>9;296~N3;81vb4>>a;296~N3;81vb4>>b;296~N3;81vb4>>c;296~N3;81vb4>>d;296~N3;81vb4>>e;296~N3;81vb4>>f;296~N3;81vb4>=0;296~N3;81vb4>=1;296~N3;81vb4>=2;295~N3;81vb4>=3;295~N3;81vb4>=4;295~N3;81vb4>=5;295~N3;81vb4>=6;295~N3;81vb4>=7;295~N3;81vb4>=8;295~N3;81vb4>=9;295~N3;81vb4>=a;295~N3;81vb4>=b;295~N3;81vb4>=c;295~N3;81vb4>=d;295~N3;81vb4>=e;295~N3;81vb4>=f;295~N3;81vb4><0;295~N3;81vb4><1;295~N3;81vb4><2;295~N3;81vb4><3;295~N3;81vb4><4;295~N3;81vb4><5;295~N3;81vb4><6;295~N3;81vb4><7;295~N3;81vb4><8;295~N3;81vb4><9;295~N3;81vb4>;0;295~N3;81vb4>;1;295~N3;81vb4>;2;295~N3;81vb4>;3;295~N3;81vb4>;4;295~N3;81vb4>;5;295~N3;81vb4>;6;295~N3;81vb4>;7;295~N3;81vb4>;8;295~N3;81vb4>;9;295~N3;81vb4>;a;295~N3;81vb4>;b;295~N3;81vb4>;c;295~N3;81vb4>;d;295~N3;81vb4>;e;295~N3;81vb4>;f;295~N3;81vb4>:0;295~N3;81vb4>:1;295~N3;81vb4>:2;297~N3;81vb4>:3;297~N3;81vb4>:4;297~N3;81vb4>:5;297~N3;81vb4>:6;297~N3;81vb4>:7;297~N3;81vb4>:8;297~N3;81vb4>:9;297~N3;81vb4>:a;297~N3;81vb4>:b;297~N3;81vb4>:c;297~N3;81vb4>:d;297~N3;81vb4>:e;297~N3;81vb4>:f;297~N3;81vb4>90;297~N3;81vb4>91;297~N3;81vb4>92;297~N3;81vb4>93;297~N3;81vb4>94;297~N3;81vb4>95;297~N3;81vb4>96;297~N3;81vb4>97;297~N3;81vb4>98;297~N3;81vb4>99;297~N3;81vb4>9a;296~N3;81vb4>9b;296~N3;81vb4>9c;296~N3;81vb4>9d;296~N3;81vb4>9e;296~N3;81vb4>9f;296~N3;81vb4>80;296~N3;81vb4>81;296~N3;81vb4>82;296~N3;81vb4>83;296~N3;81vb4>84;296~N3;81vb4>85;296~N3;81vb4>86;296~N3;81vb4>87;296~N3;81vb4>88;296~N3;81vb4>89;296~N3;81vb4>8a;296~N3;81vb4>8b;296~N3;81vb4>8c;296~N3;81vb4>8d;296~N3;81vb4>8e;296~N3;81vb4>8f;296~N3;81vb4>70;296~N3;81vb4>71;296~N3;81vb4>72;295~N3;81vb4>73;295~N3;81vb4>74;295~N3;81vb4>75;295~N3;81vb4>76;295~N3;81vb4>77;295~N3;81vb4>78;295~N3;81vb4>79;295~N3;81vb4>7a;295~N3;81vb4>7b;295~N3;81vb4>7c;295~N3;81vb4>7d;295~N3;81vb4>7e;295~N3;81vb4>7f;295~N3;81vb4>60;295~N3;81vb4>61;295~N3;81vb4>62;295~N3;81vb4>63;295~N3;81vb4>64;295~N3;81vb4>65;295~N3;81vb4>66;295~N3;81vb4>67;295~N3;81vb4>68;295~N3;81vb4>69;295~N3;81vb4>6a;295~N3;81vb4>6b;295~N3;81vb4>6c;295~N3;81vb4>6d;295~N3;81vb4>6e;295~N3;81vb4>6f;295~N3;81vb4>n0;295~N3;81vb4>n1;295~N3;81vb4>n2;295~N3;81vb4>n3;295~N3;81vb4>n4;295~N3;81vb4>n5;295~N3;81vb4>n6;295~N3;81vb4>n7;295~N3;81vb4>n8;295~N3;81vb4>n9;295~N3;81vb4>na;295~N3;81vb4>nb;295~N3;81vb4>nc;295~N3;81vb4>nd;295~N3;81vb4>ne;295~N3;81vb4>nf;295~N3;81vb4>m0;295~N3;81vb4>m1;295~N3;81vb4>m2;297~N3;81vb4>m3;297~N3;81vb4>m4;297~N3;81vb4>m5;297~N3;81vb4>m6;297~N3;81vb4>m7;297~N3;81vb4>m8;297~N3;81vb4>m9;297~N3;81vb4>ma;297~N3;81vb4>mb;297~N3;81vb4>mc;297~N3;81vb4>md;297~N3;81vb4>me;297~N3;81vb4>mf;297~N3;81vb4>l0;297~N3;81vb4>l1;297~N3;81vb4>l2;297~N3;81vb4>l3;297~N3;81vb4>l4;297~N3;81vb4>l5;297~N3;81vb4>l6;297~N3;81vb4>l7;297~N3;81vb4>l8;297~N3;81vb4>l9;297~N3;81vb4>la;296~N3;81vb4>lb;296~N3;81vb4>lc;296~N3;81vb4>ld;296~N3;81vb4>le;296~N3;81vb4>lf;296~N3;81vb4>k0;296~N3;81vb4>k1;296~N3;81vb4>k2;296~N3;81vb4>k3;296~N3;81vb4>k4;296~N3;81vb4>k5;296~N3;81vb4>k6;296~N3;81vb4>k7;296~N3;81vb4>k8;296~N3;81vb4>k9;296~N3;81vb4>ka;296~N3;81vb4>kb;296~N3;81vb4>kc;296~N3;81vb4>kd;296~N3;81vb4>ke;296~N3;81vb4>kf;296~N3;81vb4>j0;296~N3;81vb4>j1;296~N3;81vb4>j2;296~N3;81vb4>j3;296~N3;81vb4>j4;296~N3;81vb4>j5;296~N3;81vb4>j6;296~N3;81vb4>j7;296~N3;81vb4>j8;296~N3;81vb4>j9;296~N3;81vb4>ja;296~N3;81vb4>jb;296~N3;81vb4>jc;296~N3;81vb4>jd;296~N3;81vb4>je;296~N3;81vb4>jf;296~N3;81vb4>i0;296~N3;81vb4>i1;297~N3;81vb4>i2;297~N3;81vb4>i3;297~N3;81vb4>i4;297~N3;81vb4>i5;297~N3;81vb4>i6;297~N3;81vb4>i7;297~N3;81vb4>i8;297~N3;81vb4>i9;296~N3;81vb4>ia;296~N3;81vb4>ib;296~N3;81vb4>ic;296~N3;81vb4>id;297~N3;81vb4>ie;297~N3;81vb4>if;297~N3;81vb4??0;297~N3;81vb4??1;296~N3;81vb4??2;296~N3;81vb4??3;297~N3;81vb4??4;297~N3;81vb4??5;296~N3;81vb4??6;296~N3;81vb4??7;297~N3;81vb4??8;297~N3;81vb4??9;297~N3;81vb4??a;296~N3;81vb4??b;296~N3;81vb4??c;297~N3;81vb4??d;297~N3;81vb4??e;297~N3;81vb4??f;297~N3;81vb4?>0;297~N3;81vb4?>1;296~N3;81vb4?>2;296~N3;81vb4?>3;296~N3;81vb4?>4;296~N3;81vb4?>5;296~N3;81vb4?>6;296~N3;81vb4?>7;296~N3;81vb4?>8;296~N3;81vb4?>9;296~N3;81vb4?>a;296~N3;81vb4?>b;296~N3;81vb4?>c;296~N3;81vb4?>d;296~N3;81vb4?>e;296~N3;81vb4?>f;296~N3;81vb4?=0;296~N3;81vb4?=1;296~N3;81vb4?=2;296~N3;81vb4?=3;296~N3;81vb4?=4;296~N3;81vb4?=5;296~N3;81vb4?=6;296~N3;81vb4?=7;296~N3;81vb4?=8;296~N3;81vb4?=9;296~N3;81vb4?=a;296~N3;81vb4?=b;296~N3;81vb4?=c;296~N3;81vb4?=d;296~N3;81vb4?=e;296~N3;81vb4?=f;296~N3;81vb4?<0;296~N3;81vb4?<1;296~N3;81vb4?<2;296~N3;81vb4?<3;296~N3;81vb4?<4;296~N3;81vb4?<5;296~N3;81vb4?<6;296~N3;81vb4?<7;296~N3;81vb4?<8;296~N3;81vb4?<9;296~N3;81vb4?0;296~N3;81vb4<>1;296~N3;81vb4<>2;296~N3;81vb4<>3;296~N3;81vb4<>4;296~N3;81vb4<>5;296~N3;81vb4<>6;296~N3;81vb4<>7;296~N3;81vb4<>8;296~N3;81vb4<>9;296~N3;81vb4<>a;296~N3;81vb4<>b;296~N3;81vb4<>c;296~N3;81vb4<>d;296~N3;81vb4<>e;296~N3;81vb4<>f;296~N3;81vb4<=0;296~N3;81vb4<=1;296~N3;81vb4<=2;296~N3;81vb4<=3;296~N3;81vb4<=4;296~N3;81vb4<=5;296~N3;81vb4<=6;296~N3;81vb4<=7;296~N3;81vb4<=8;296~N3;81vb4<=9;296~N3;81vb4<=a;296~N3;81vb4<=b;296~N3;81vb4<=c;296~N3;81vb4<=d;296~N3;81vb4<=e;296~N3;81vb4<=f;296~N3;81vb4<<0;296~N3;81vb4<<1;296~N3;81vb4<<2;296~N3;81vb4<<3;296~N3;81vb4<<4;296~N3;81vb4<<5;296~N3;81vb4<<6;296~N3;81vb4<<7;296~N3;81vb4<<8;296~N3;81vb4<<9;296~N3;81vb4<0;295~N3;81vb4=>1;295~N3;81vb4=>2;295~N3;81vb4=>3;295~N3;81vb4=>4;295~N3;81vb4=>5;295~N3;81vb4=>6;295~N3;81vb4=>7;295~N3;81vb4=>8;295~N3;81vb4=>9;295~N3;81vb4=>a;295~N3;81vb4=>b;295~N3;81vb4=>c;295~N3;81vb4=>d;295~N3;81vb4=>e;295~N3;81vb4=>f;295~N3;81vb4==0;295~N3;81vb4==1;295~N3;81vb4==2;295~N3;81vb4==3;295~N3;81vb4==4;295~N3;81vb4==5;295~N3;81vb4==6;295~N3;81vb4==7;295~N3;81vb4==8;295~N3;81vb4==9;295~N3;81vb4==a;295~N3;81vb4==b;295~N3;81vb4==c;295~N3;81vb4==d;295~N3;81vb4==e;295~N3;81vb4==f;295~N3;81vb4=<0;295~N3;81vb4=<1;295~N3;81vb4=<2;295~N3;81vb4=<3;295~N3;81vb4=<4;295~N3;81vb4=<5;295~N3;81vb4=<6;295~N3;81vb4=<7;295~N3;81vb4=<8;295~N3;81vb4=<9;295~N3;81vb4=0;295~N3;81vb4:>1;295~N3;81vb4:>2;295~N3;81vb4:>3;295~N3;81vb4:>4;295~N3;81vb4:>5;295~N3;81vb4:>6;295~N3;81vb4:>7;295~N3;81vb4:>8;295~N3;81vb4:>9;295~N3;81vb4:>a;295~N3;81vb4:>b;295~N3;81vb4:>c;295~N3;81vb4:>d;295~N3;81vb4:>e;295~N3;81vb4:>f;295~N3;81vb4:=0;295~N3;81vb4:=1;295~N3;81vb4:=2;295~N3;81vb4:=3;295~N3;81vb4:=4;295~N3;81vb4:=5;295~N3;81vb4:=6;295~N3;81vb4:=7;295~N3;81vb4:=8;295~N3;81vb4:=9;295~N3;81vb4:=a;295~N3;81vb4:=b;295~N3;81vb4:=c;295~N3;81vb4:=d;295~N3;81vb4:=e;295~N3;81vb4:=f;295~N3;81vb4:<0;295~N3;81vb4:<1;295~N3;81vb4:<2;295~N3;81vb4:<3;295~N3;81vb4:<4;295~N3;81vb4:<5;295~N3;81vb4:<6;295~N3;81vb4:<7;295~N3;81vb4:<8;295~N3;81vb4:<9;295~N3;81vb4:0;295~N3;81vb4;>1;295~N3;81vb4;>2;295~N3;81vb4;>3;295~N3;81vb4;>4;295~N3;81vb4;>5;295~N3;81vb4;>6;295~N3;81vb4;>7;295~N3;81vb4;>8;295~N3;81vb4;>9;295~N3;81vb4;>a;295~N3;81vb4;>b;295~N3;81vb4;>c;295~N3;81vb4;>d;295~N3;81vb4;>e;295~N3;81vb4;>f;295~N3;81vb4;=0;295~N3;81vb4;=1;295~N3;81vb4;=2;295~N3;81vb4;=3;295~N3;81vb4;=4;295~N3;81vb4;=5;295~N3;81vb4;=6;295~N3;81vb4;=7;295~N3;81vb4;=8;295~N3;81vb4;=9;295~N3;81vb4;=a;295~N3;81vb4;=b;295~N3;81vb4;=c;295~N3;81vb4;=d;295~N3;81vb4;=e;295~N3;81vb4;=f;295~N3;81vb4;<0;295~N3;81vb4;<1;295~N3;81vb4;<2;295~N3;81vb4;<3;295~N3;81vb4;<4;295~N3;81vb4;<5;295~N3;81vb4;<6;295~N3;81vb4;<7;295~N3;81vb4;<8;295~N3;81vb4;<9;295~N3;81vb4;?m2;295~N3;81vb>?m3;295~N3;81vb>?m4;295~N3;81vb>?m5;295~N3;81vb>?m6;295~N3;81vb>?m7;295~N3;81vb>?m8;295~N3;81vb>?m9;295~N3;81vb>?ma;295~N3;81vb>?mb;295~N3;81vb>?mc;295~N3;81vb>?md;295~N3;81vb>?me;295~N3;81vb>?mf;295~N3;81vb>?l0;295~N3;81vb>?l1;295~N3;81vb>?l2;295~N3;81vb>?l3;295~N3;81vb>?l4;295~N3;81vb>?l5;295~N3;81vb>?l6;295~N3;81vb>?l7;295~N3;81vb>?l8;295~N3;81vb>?l9;295~N3;81vb>?la;295~N3;81vb>?lb;295~N3;81vb>=n4;295~N3;81vb>=n5;295~N3;81vb>=n6;295~N3;81vb>=n7;295~N3;81vb>=n8;295~N3;81vb>=n9;295~N3;81vb>=na;295~N3;81vb>=nb;295~N3;81vb>=nc;295~N3;81vb>=nd;295~N3;81vb>=ne;295~N3;81vb>=nf;295~N3;81vb>=m0;295~N3;81vb>=m1;295~N3;81vb>=m2;295~N3;81vb>=m3;295~N3;81vb>=m4;295~N3;81vb>=m5;295~N3;81vb>=m6;295~N3;81vb>=m7;295~N3;81vb>=m8;295~N3;81vb>=m9;295~N3;81vb>=ma;295~N3;81vb>=mb;295~N3;81vb>=mc;295~N3;81vb>=md;295~N3;81vb>;66;295~N3;81vb>;67;295~N3;81vb>;68;295~N3;81vb>;69;295~N3;81vb>;6a;295~N3;81vb>;6b;295~N3;81vb>;6c;295~N3;81vb>;6d;295~N3;81vb>;6e;295~N3;81vb>;6f;295~N3;81vb>;n0;295~N3;81vb>;n1;295~N3;81vb>;n2;295~N3;81vb>;n3;295~N3;81vb>;n4;295~N3;81vb>;n5;295~N3;81vb>;n6;295~N3;81vb>;n7;295~N3;81vb>;n8;295~N3;81vb>;n9;295~N3;81vb>;na;295~N3;81vb>;nb;295~N3;81vb>;nc;295~N3;81vb>;nd;295~N3;81vb>;ne;295~N3;81vb>;nf;295~N3;81vb>978;295~N3;81vb>979;295~N3;81vb>97a;295~N3;81vb>97b;295~N3;81vb>97c;295~N3;81vb>97d;295~N3;81vb>97e;295~N3;81vb>97f;295~N3;81vb>960;295~N3;81vb>961;295~N3;81vb>962;295~N3;81vb>963;295~N3;81vb>964;295~N3;81vb>965;295~N3;81vb>966;295~N3;81vb>967;295~N3;81vb>968;295~N3;81vb>969;295~N3;81vb>96a;295~N3;81vb>96b;295~N3;81vb>96c;295~N3;81vb>96d;295~N3;81vb>96e;295~N3;81vb>96f;295~N3;81vb>9n0;295~N3;81vb>9n1;295~N3;81vb>78a;295~N3;81vb>78b;295~N3;81vb>78c;295~N3;81vb>78d;295~N3;81vb>78e;295~N3;81vb>78f;295~N3;81vb>770;295~N3;81vb>771;295~N3;81vb>772;295~N3;81vb>773;295~N3;81vb>774;295~N3;81vb>775;295~N3;81vb>776;295~N3;81vb>777;295~N3;81vb>778;295~N3;81vb>779;295~N3;81vb>77a;295~N3;81vb>77b;295~N3;81vb>77c;295~N3;81vb>77d;295~N3;81vb>77e;295~N3;81vb>77f;295~N3;81vb>760;295~N3;81vb>761;295~N3;81vb>762;295~N3;81vb>763;295~N3;81vb>l9c;295~N3;81vb>l9d;295~N3;81vb>l9e;295~N3;81vb>l9f;295~N3;81vb>l80;295~N3;81vb>l81;295~N3;81vb>l82;295~N3;81vb>l83;295~N3;81vb>l84;295~N3;81vb>l85;295~N3;81vb>l86;295~N3;81vb>l87;295~N3;81vb>l88;295~N3;81vb>l89;295~N3;81vb>l8a;295~N3;81vb>l8b;295~N3;81vb>l8c;295~N3;81vb>l8d;295~N3;81vb>l8e;295~N3;81vb>l8f;295~N3;81vb>l70;295~N3;81vb>l71;295~N3;81vb>l72;295~N3;81vb>l73;295~N3;81vb>l74;295~N3;81vb>l75;295~N3;81vb>j:e;295~N3;81vb>j:f;295~N3;81vb>j90;295~N3;81vb>j91;295~N3;81vb>j92;295~N3;81vb>j93;295~N3;81vb>j94;295~N3;81vb>j95;295~N3;81vb>j96;295~N3;81vb>j97;295~N3;81vb>j98;295~N3;81vb>j99;295~N3;81vb>j9a;295~N3;81vb>j9b;295~N3;81vb>j9c;295~N3;81vb>j9d;295~N3;81vb>j9e;295~N3;81vb>j9f;295~N3;81vb>j80;295~N3;81vb>j81;295~N3;81vb>j82;295~N3;81vb>j83;295~N3;81vb>j84;295~N3;81vb>j85;295~N3;81vb>j86;295~N3;81vb>j87;295~N3;81vb>h:0;295~N3;81vb>h:1;295~N3;81vb>h:2;295~N3;81vb>h:3;295~N3;81vb>h:4;295~N3;81vb>h:5;295~N3;81vb>h:6;295~N3;81vb>h:7;295~N3;81vb>h:8;295~N3;81vb>h:9;295~N3;81vb>h:a;295~N3;81vb>h:b;295~N3;81vb>h:c;295~N3;81vb>h:d;295~N3;81vb>h:e;295~N3;81vb>h:f;295~N3;81vb>h90;295~N3;81vb>h91;295~N3;81vb>h92;295~N3;81vb>h93;295~N3;81vb>h94;295~N3;81vb>h95;295~N3;81vb>h96;295~N3;81vb>h97;295~N3;81vb>h98;295~N3;81vb>h99;295~N3;81vb9?;2;295~N3;81vb9?;3;295~N3;81vb9?;4;295~N3;81vb9?;5;295~N3;81vb9?;6;295~N3;81vb9?;7;295~N3;81vb9?;8;295~N3;81vb9?;9;295~N3;81vb9?;a;295~N3;81vb9?;b;295~N3;81vb9?;c;295~N3;81vb9?;d;295~N3;81vb9?;e;295~N3;81vb9?;f;295~N3;81vb9?:0;295~N3;81vb9?:1;295~N3;81vb9?:2;295~N3;81vb9?:3;295~N3;81vb9?:4;295~N3;81vb9?:5;295~N3;81vb9?:6;295~N3;81vb9?:7;295~N3;81vb9?:8;295~N3;81vb9?:9;295~N3;81vb9?:a;295~N3;81vb9?:b;295~N3;81vb9=<4;295~N3;81vb9=<5;295~N3;81vb9=<6;295~N3;81vb9=<7;295~N3;81vb9=<8;295~N3;81vb9=<9;295~N3;81vb9=8;295~N3;81vb99>9;295~N3;81vb99>a;295~N3;81vb99>b;295~N3;81vb99>c;295~N3;81vb99>d;295~N3;81vb99>e;295~N3;81vb99>f;295~N3;81vb99=0;295~N3;81vb99=1;295~N3;81vb99=2;295~N3;81vb99=3;295~N3;81vb99=4;295~N3;81vb99=5;295~N3;81vb99=6;295~N3;81vb99=7;295~N3;81vb99=8;295~N3;81vb99=9;295~N3;81vb99=a;295~N3;81vb99=b;295~N3;81vb99=c;295~N3;81vb99=d;295~N3;81vb99=e;295~N3;81vb99=f;295~N3;81vb99<0;295~N3;81vb99<1;295~N3;81vb97?a;295~N3;81vb97?b;295~N3;81vb97?c;295~N3;81vb97?d;295~N3;81vb97?e;295~N3;81vb97?f;295~N3;81vb97>0;295~N3;81vb97>1;295~N3;81vb97>2;295~N3;81vb97>3;295~N3;81vb97>4;295~N3;81vb97>5;295~N3;81vb97>6;295~N3;81vb97>7;295~N3;81vb97>8;295~N3;81vb97>9;295~N3;81vb97>a;295~N3;81vb97>b;295~N3;81vb97>c;295~N3;81vb97>d;295~N3;81vb97>e;295~N3;81vb97>f;295~N3;81vb97=0;295~N3;81vb97=1;295~N3;81vb97=2;295~N3;81vb97=3;295~N3;81vb9oic;295~N3;81vb9oid;295~N3;81vb9oie;295~N3;81vb9oif;295~N3;81vb9l?0;295~N3;81vb9l?1;295~N3;81vb9l?2;295~N3;81vb9l?3;295~N3;81vb9l?4;295~N3;81vb9l?5;295~N3;81vb9l?6;295~N3;81vb9l?7;295~N3;81vb9l?8;295~N3;81vb9l?9;295~N3;81vb9l?a;295~N3;81vb9l?b;295~N3;81vb9l?c;295~N3;81vb9l?d;295~N3;81vb9l?e;295~N3;81vb9l?f;295~N3;81vb9l>0;295~N3;81vb9l>1;295~N3;81vb9l>2;295~N3;81vb9l>3;295~N3;81vb9l>4;295~N3;81vb9l>5;295~N3;81vb9mje;295~N3;81vb9mjf;295~N3;81vb9mi0;295~N3;81vb9mi1;295~N3;81vb9mi2;295~N3;81vb9mi3;295~N3;81vb9mi4;295~N3;81vb9mi5;295~N3;81vb9mi6;295~N3;81vb9mi7;295~N3;81vb9mi8;295~N3;81vb9mi9;295~N3;81vb9mia;295~N3;81vb9mib;295~N3;81vb9mic;295~N3;81vb9mid;295~N3;81vb9mie;295~N3;81vb9mif;295~N3;81vb9j?0;295~N3;81vb9j?1;295~N3;81vb9j?2;295~N3;81vb9j?3;295~N3;81vb9j?4;295~N3;81vb9j?5;295~N3;81vb9j?6;295~N3;81vb9j?7;295~N3;81vb9kj0;295~N3;81vb9kj1;295~N3;81vb9kj2;295~N3;81vb9kj3;295~N3;81vb9kj4;295~N3;81vb9kj5;295~N3;81vb9kj6;295~N3;81vb9kj7;295~N3;81vb9kj8;295~N3;81vb9kj9;295~N3;81vb9kja;295~N3;81vb9kjb;295~N3;81vb9kjc;295~N3;81vb9kjd;295~N3;81vb9kje;295~N3;81vb9kjf;295~N3;81vb9ki0;295~N3;81vb9ki1;295~N3;81vb9ki2;295~N3;81vb9ki3;295~N3;81vb9ki4;295~N3;81vb9ki5;295~N3;81vb9ki6;295~N3;81vb9ki7;295~N3;81vb9ki8;295~N3;81vb9ki9;295~N3;81vb8>k2;295~N3;81vb8>k3;295~N3;81vb8>k4;295~N3;81vb8>k5;295~N3;81vb8>k6;295~N3;81vb8>k7;295~N3;81vb8>k8;295~N3;81vb8>k9;295~N3;81vb8>ka;295~N3;81vb8>kb;295~N3;81vb8>kc;295~N3;81vb8>kd;295~N3;81vb8>ke;295~N3;81vb8>kf;295~N3;81vb8>j0;295~N3;81vb8>j1;295~N3;81vb8>j2;295~N3;81vb8>j3;295~N3;81vb8>j4;295~N3;81vb8>j5;295~N3;81vb8>j6;295~N3;81vb8>j7;295~N3;81vb8>j8;295~N3;81vb8>j9;295~N3;81vb8>ja;295~N3;81vb8>jb;295~N3;81vb80;295~N3;81vb8l>1;295~N3;81vb8l>2;295~N3;81vb8l>3;295~N3;81vb8l>4;295~N3;81vb8l>5;295~N3;81vb8l>6;295~N3;81vb8l>7;295~N3;81vb8l>8;295~N3;81vb8l>9;295~N3;81vb8l>a;295~N3;81vb8l>b;295~N3;81vb8l>c;295~N3;81vb8l>d;295~N3;81vb8l>e;295~N3;81vb8l>f;295~N3;81vb8l=0;295~N3;81vb8l=1;295~N3;81vb8l=2;295~N3;81vb8l=3;295~N3;81vb8l=4;295~N3;81vb8l=5;295~N3;81vb8l=6;295~N3;81vb8l=7;295~N3;81vb8l=8;295~N3;81vb8l=9;295~N3;81vb8l=a;295~N3;81vb8l=b;295~N3;81vb8l=c;295~N3;81vb8l=d;295~N3;81vb8l=e;295~N3;81vb8l=f;295~N3;81vb8j76;295~N3;81vb8j77;295~N3;81vb8j78;295~N3;81vb8j79;295~N3;81vb8j7a;295~N3;81vb8j7b;295~N3;81vb8j7c;295~N3;81vb8j7d;295~N3;81vb8j7e;295~N3;81vb8j7f;295~N3;81vb8j60;295~N3;81vb8j61;295~N3;81vb8j62;295~N3;81vb8j63;295~N3;81vb8j64;295~N3;81vb8j65;295~N3;81vb8j66;295~N3;81vb8j67;295~N3;81vb8j68;295~N3;81vb8j69;295~N3;81vb8j6a;295~N3;81vb8j6b;295~N3;81vb8j6c;295~N3;81vb8j6d;295~N3;81vb8j6e;295~N3;81vb8j6f;295~N3;81vb8jn0;295~N3;81vb8jn1;295~N3;81vb8jn2;295~N3;81vb8jn3;295~N3;81vb8jn4;295~N3;81vb8jn5;295~N3;81vb8jn6;295~N3;81vb8jn7;295~N3;81vb8jn8;295~N3;81vb8jn9;295~N3;81vb8jna;295~N3;81vb8jnb;295~N3;81vb;>?2;295~N3;81vb;>?3;295~N3;81vb;>?4;295~N3;81vb;>?5;295~N3;81vb;>?6;295~N3;81vb;>?7;295~N3;81vb;>?8;295~N3;81vb;>?9;295~N3;81vb;>?a;295~N3;81vb;>?b;295~N3;81vb;>?c;295~N3;81vb;>?d;295~N3;81vb;>?e;295~N3;81vb;>?f;295~N3;81vb;>>0;295~N3;81vb;>>1;295~N3;81vb;>>2;295~N3;81vb;>>3;295~N3;81vb;>>4;295~N3;81vb;>>5;295~N3;81vb;>>6;295~N3;81vb;>>7;295~N3;81vb;>>8;295~N3;81vb;>>9;295~N3;81vb;>>a;295~N3;81vb;>>b;295~N3;81vb;>>c;295~N3;81vb;>>d;295~N3;81vb;>>e;295~N3;81vb;>>f;295~N3;81vb;>=0;295~N3;81vb;>=1;295~N3;81vb;>=2;295~N3;81vb;>=3;295~N3;81vb;>=4;295~N3;81vb;>=5;295~N3;81vb;>=6;295~N3;81vb;>=7;295~N3;81vb;<8e;295~N3;81vb;<8f;295~N3;81vb;<70;295~N3;81vb;<71;295~N3;81vb;<72;295~N3;81vb;<73;295~N3;81vb;<74;295~N3;81vb;<75;295~N3;81vb;<76;295~N3;81vb;<77;295~N3;81vb;<78;295~N3;81vb;<79;295~N3;81vb;<7a;295~N3;81vb;<7b;295~N3;81vb;<7c;295~N3;81vb;<7d;295~N3;81vb;<7e;295~N3;81vb;<7f;295~N3;81vb;<60;295~N3;81vb;<61;295~N3;81vb;<62;295~N3;81vb;<63;295~N3;81vb;<64;295~N3;81vb;<65;295~N3;81vb;<66;295~N3;81vb;<67;295~N3;81vb;<68;295~N3;81vb;<69;295~N3;81vb;<6a;295~N3;81vb;<6b;295~N3;81vb;<6c;295~N3;81vb;<6d;295~N3;81vb;<6e;295~N3;81vb;<6f;295~N3;81vb;0;295~N3;81vb;;>1;295~N3;81vb;;>2;295~N3;81vb;;>3;295~N3;81vb;;>4;295~N3;81vb;;>5;295~N3;81vb;;>6;295~N3;81vb;;>7;295~N3;81vb;;>8;295~N3;81vb;;>9;295~N3;81vb;;>a;295~N3;81vb;;>b;295~N3;81vb;;>c;295~N3;81vb;;>d;295~N3;81vb;;>e;295~N3;81vb;;>f;295~N3;81vb;9?a;295~N3;81vb;9?b;295~N3;81vb;9?c;295~N3;81vb;9?d;295~N3;81vb;9?e;295~N3;81vb;9?f;295~N3;81vb;9>0;295~N3;81vb;9>1;295~N3;81vb;9>2;295~N3;81vb;9>3;295~N3;81vb;9>4;295~N3;81vb;9>5;295~N3;81vb;9>6;295~N3;81vb;9>7;295~N3;81vb;9>8;295~N3;81vb;9>9;295~N3;81vb;9>a;295~N3;81vb;9>b;295~N3;81vb;9>c;295~N3;81vb;9>d;295~N3;81vb;o=4;295~N3;81vb;o=5;295~N3;81vb;o=6;295~N3;81vb;o=7;295~N3;81vb;o=8;295~N3;81vb;o=9;295~N3;81vb;o=a;295~N3;81vb;o=b;295~N3;81vb;o=c;295~N3;81vb;o=d;295~N3;81vb;o=e;295~N3;81vb;o=f;295~N3;81vb;o<0;295~N3;81vb;o<1;295~N3;81vb;o<2;295~N3;81vb;o<3;295~N3;81vb;o<4;295~N3;81vb;o<5;295~N3;81vb;o<6;295~N3;81vb;o<7;295~N3;81vqpsO@Byb0cb===_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e2_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e2_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000df_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000df_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000dc_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000dc_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000da_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000da_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d6_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d6_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d0_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cf_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ce_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cd_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cc_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cb_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c7_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c6_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c5_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c4_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c3_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c2_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c1_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000bb_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000bb_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b8_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b8_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a4_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a2_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009a_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000099_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000098_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000097_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000096_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000094_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000094_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000092_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000091_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk00000019_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000b_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000b_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000a_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000008_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000008d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000008c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000008b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000008a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000089_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000088_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000087_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000086_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000085_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000084_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000083_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000082_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000081_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000080_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000007f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000007e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000007d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000007c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000007b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000007a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000079_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000078_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000077_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000076_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000075_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000074_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000073_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000072_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000071_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000070_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000006f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000006e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000006d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000006c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000006b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000006a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000069_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000068_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000067_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000066_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000065_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000064_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000063_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000062_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000061_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000060_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000005f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000005e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk00000151_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk00000150_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk0000014f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk0000014e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk0000014d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk0000014c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk0000014b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk0000014a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk00000149_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk00000148_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk00000147_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk00000146_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk00000145_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk00000144_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk00000143_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk00000142_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk00000141_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk00000140_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk0000013f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk0000013e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk0000013d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk0000013c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk0000013b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk0000013a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000184_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000183_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000182_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000181_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000180_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000017f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000017e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000017d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000017c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000017b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000017a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000179_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000178_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000177_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000176_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000175_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000174_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000173_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000172_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000171_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000170_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000016f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000016e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000016d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001b7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001b6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001b5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001b4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001b3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001b2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001b1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001b0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001a0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001ea_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001e9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001e8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001e7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001e6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001e5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001e4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001e3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001e2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000021d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000021c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000021b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000021a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000219_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000218_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000217_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000216_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000215_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000214_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000213_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000212_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000211_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000210_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000020f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000020e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000020d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000020c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000020b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000020a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000209_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000208_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000207_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000206_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk00000250_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk0000024f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk0000024e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk0000024d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk0000024c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk0000024b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk0000024a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk00000249_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk00000248_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk00000247_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk00000246_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk00000245_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk00000244_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk00000243_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk00000242_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk00000241_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk00000240_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk0000023f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk0000023e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk0000023d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk0000023c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk0000023b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk0000023a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk00000239_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk00000283_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk00000282_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk00000281_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk00000280_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk0000027f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk0000027e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk0000027d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk0000027c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk0000027b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk0000027a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk00000279_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk00000278_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk00000277_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk00000276_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk00000275_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk00000274_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk00000273_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk00000272_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk00000271_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk00000270_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk0000026f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk0000026e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk0000026d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk0000026c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002b6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002b5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002b4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002b3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002b2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002b1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002b0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002a0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk0000029f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002e9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002e8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002e7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002e6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002e5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002e4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002e3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002e2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk0000031c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk0000031b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk0000031a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000319_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000318_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000317_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000316_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000315_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000314_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000313_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000312_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000311_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000310_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk0000030f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk0000030e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk0000030d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk0000030c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk0000030b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk0000030a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000309_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000308_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000307_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000306_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000305_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk0000034f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk0000034e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk0000034d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk0000034c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk0000034b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk0000034a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk00000349_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk00000348_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk00000347_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk00000346_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk00000345_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk00000344_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk00000343_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk00000342_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk00000341_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk00000340_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk0000033f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk0000033e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk0000033d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk0000033c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk0000033b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk0000033a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk00000339_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk00000338_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk00000382_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk00000381_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk00000380_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk0000037f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk0000037e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk0000037d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk0000037c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk0000037b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk0000037a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk00000379_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk00000378_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk00000377_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk00000376_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk00000375_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk00000374_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk00000373_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk00000372_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk00000371_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk00000370_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk0000036f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk0000036e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk0000036d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk0000036c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk0000036b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003b5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003b4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003b3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003b2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003b1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003b0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003a0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk0000039f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk0000039e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003e8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003e7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003e6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003e5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003e4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003e3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003e2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk0000041b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk0000041a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000419_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000418_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000417_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000416_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000415_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000414_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000413_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000412_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000411_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000410_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk0000040f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk0000040e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk0000040d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk0000040c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk0000040b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk0000040a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000409_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000408_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000407_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000406_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000405_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000404_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk0000044e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk0000044d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk0000044c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk0000044b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk0000044a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk00000449_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk00000448_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk00000447_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk00000446_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk00000445_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk00000444_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk00000443_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk00000442_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk00000441_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk00000440_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk0000043f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk0000043e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk0000043d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk0000043c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk0000043b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk0000043a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk00000439_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk00000438_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk00000437_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk00000481_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk00000480_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk0000047f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk0000047e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk0000047d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk0000047c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk0000047b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk0000047a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk00000479_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk00000478_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk00000477_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk00000476_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk00000475_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk00000474_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk00000473_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk00000472_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk00000471_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk00000470_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk0000046f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk0000046e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk0000046d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk0000046c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk0000046b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk0000046a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004b4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004b3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004b2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004b1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004b0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004a0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk0000049f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk0000049e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk0000049d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004e7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004e6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004e5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004e4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004e3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004e2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk0000051a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000519_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000518_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000517_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000516_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000515_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000514_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000513_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000512_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000511_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000510_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk0000050f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk0000050e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk0000050d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk0000050c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk0000050b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk0000050a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000509_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000508_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000507_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000506_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000505_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000504_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000503_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk0000054d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk0000054c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk0000054b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk0000054a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk00000549_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk00000548_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk00000547_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk00000546_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk00000545_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk00000544_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk00000543_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk00000542_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk00000541_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk00000540_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk0000053f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk0000053e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk0000053d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk0000053c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk0000053b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk0000053a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk00000539_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk00000538_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk00000537_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk00000536_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk00000580_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk0000057f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk0000057e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk0000057d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk0000057c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk0000057b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk0000057a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk00000579_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk00000578_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk00000577_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk00000576_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk00000575_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk00000574_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk00000573_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk00000572_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk00000571_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk00000570_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk0000056f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk0000056e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk0000056d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk0000056c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk0000056b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk0000056a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk00000569_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005b3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005b2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005b1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005b0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005a0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk0000059f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk0000059e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk0000059d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk0000059c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005e6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005e5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005e4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005e3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005e2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk0000072a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk00000729_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk00000728_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk00000727_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk00000726_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk00000725_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk00000724_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk00000723_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk00000722_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk00000721_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk00000720_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk0000071f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk0000071e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk0000071d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk0000071c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk0000071b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk0000071a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk00000719_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk00000781_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk00000780_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk0000077f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk0000077e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk0000077d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk0000077c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk0000077b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk0000077a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk00000779_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk00000778_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk00000777_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk00000776_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk00000775_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk00000774_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk00000773_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk00000772_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk00000771_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk00000770_SPO_UNCONNECTED ; + wire [17 : 0] coef_din_0; + wire [23 : 0] din_1_1; + wire [23 : 0] din_2_2; + wire [46 : 0] NlwRenamedSig_OI_dout_1; + wire [46 : 0] NlwRenamedSig_OI_dout_2; + assign + rfd = NlwRenamedSig_OI_rfd, + dout_1[46] = NlwRenamedSig_OI_dout_1[46], + dout_1[45] = NlwRenamedSig_OI_dout_1[45], + dout_1[44] = NlwRenamedSig_OI_dout_1[44], + dout_1[43] = NlwRenamedSig_OI_dout_1[43], + dout_1[42] = NlwRenamedSig_OI_dout_1[42], + dout_1[41] = NlwRenamedSig_OI_dout_1[41], + dout_1[40] = NlwRenamedSig_OI_dout_1[40], + dout_1[39] = NlwRenamedSig_OI_dout_1[39], + dout_1[38] = NlwRenamedSig_OI_dout_1[38], + dout_1[37] = NlwRenamedSig_OI_dout_1[37], + dout_1[36] = NlwRenamedSig_OI_dout_1[36], + dout_1[35] = NlwRenamedSig_OI_dout_1[35], + dout_1[34] = NlwRenamedSig_OI_dout_1[34], + dout_1[33] = NlwRenamedSig_OI_dout_1[33], + dout_1[32] = NlwRenamedSig_OI_dout_1[32], + dout_1[31] = NlwRenamedSig_OI_dout_1[31], + dout_1[30] = NlwRenamedSig_OI_dout_1[30], + dout_1[29] = NlwRenamedSig_OI_dout_1[29], + dout_1[28] = NlwRenamedSig_OI_dout_1[28], + dout_1[27] = NlwRenamedSig_OI_dout_1[27], + dout_1[26] = NlwRenamedSig_OI_dout_1[26], + dout_1[25] = NlwRenamedSig_OI_dout_1[25], + dout_1[24] = NlwRenamedSig_OI_dout_1[24], + dout_1[23] = NlwRenamedSig_OI_dout_1[23], + dout_1[22] = NlwRenamedSig_OI_dout_1[22], + dout_1[21] = NlwRenamedSig_OI_dout_1[21], + dout_1[20] = NlwRenamedSig_OI_dout_1[20], + dout_1[19] = NlwRenamedSig_OI_dout_1[19], + dout_1[18] = NlwRenamedSig_OI_dout_1[18], + dout_1[17] = NlwRenamedSig_OI_dout_1[17], + dout_1[16] = NlwRenamedSig_OI_dout_1[16], + dout_1[15] = NlwRenamedSig_OI_dout_1[15], + dout_1[14] = NlwRenamedSig_OI_dout_1[14], + dout_1[13] = NlwRenamedSig_OI_dout_1[13], + dout_1[12] = NlwRenamedSig_OI_dout_1[12], + dout_1[11] = NlwRenamedSig_OI_dout_1[11], + dout_1[10] = NlwRenamedSig_OI_dout_1[10], + dout_1[9] = NlwRenamedSig_OI_dout_1[9], + dout_1[8] = NlwRenamedSig_OI_dout_1[8], + dout_1[7] = NlwRenamedSig_OI_dout_1[7], + dout_1[6] = NlwRenamedSig_OI_dout_1[6], + dout_1[5] = NlwRenamedSig_OI_dout_1[5], + dout_1[4] = NlwRenamedSig_OI_dout_1[4], + dout_1[3] = NlwRenamedSig_OI_dout_1[3], + dout_1[2] = NlwRenamedSig_OI_dout_1[2], + dout_1[1] = NlwRenamedSig_OI_dout_1[1], + dout_1[0] = NlwRenamedSig_OI_dout_1[0], + dout_2[46] = NlwRenamedSig_OI_dout_2[46], + dout_2[45] = NlwRenamedSig_OI_dout_2[45], + dout_2[44] = NlwRenamedSig_OI_dout_2[44], + dout_2[43] = NlwRenamedSig_OI_dout_2[43], + dout_2[42] = NlwRenamedSig_OI_dout_2[42], + dout_2[41] = NlwRenamedSig_OI_dout_2[41], + dout_2[40] = NlwRenamedSig_OI_dout_2[40], + dout_2[39] = NlwRenamedSig_OI_dout_2[39], + dout_2[38] = NlwRenamedSig_OI_dout_2[38], + dout_2[37] = NlwRenamedSig_OI_dout_2[37], + dout_2[36] = NlwRenamedSig_OI_dout_2[36], + dout_2[35] = NlwRenamedSig_OI_dout_2[35], + dout_2[34] = NlwRenamedSig_OI_dout_2[34], + dout_2[33] = NlwRenamedSig_OI_dout_2[33], + dout_2[32] = NlwRenamedSig_OI_dout_2[32], + dout_2[31] = NlwRenamedSig_OI_dout_2[31], + dout_2[30] = NlwRenamedSig_OI_dout_2[30], + dout_2[29] = NlwRenamedSig_OI_dout_2[29], + dout_2[28] = NlwRenamedSig_OI_dout_2[28], + dout_2[27] = NlwRenamedSig_OI_dout_2[27], + dout_2[26] = NlwRenamedSig_OI_dout_2[26], + dout_2[25] = NlwRenamedSig_OI_dout_2[25], + dout_2[24] = NlwRenamedSig_OI_dout_2[24], + dout_2[23] = NlwRenamedSig_OI_dout_2[23], + dout_2[22] = NlwRenamedSig_OI_dout_2[22], + dout_2[21] = NlwRenamedSig_OI_dout_2[21], + dout_2[20] = NlwRenamedSig_OI_dout_2[20], + dout_2[19] = NlwRenamedSig_OI_dout_2[19], + dout_2[18] = NlwRenamedSig_OI_dout_2[18], + dout_2[17] = NlwRenamedSig_OI_dout_2[17], + dout_2[16] = NlwRenamedSig_OI_dout_2[16], + dout_2[15] = NlwRenamedSig_OI_dout_2[15], + dout_2[14] = NlwRenamedSig_OI_dout_2[14], + dout_2[13] = NlwRenamedSig_OI_dout_2[13], + dout_2[12] = NlwRenamedSig_OI_dout_2[12], + dout_2[11] = NlwRenamedSig_OI_dout_2[11], + dout_2[10] = NlwRenamedSig_OI_dout_2[10], + dout_2[9] = NlwRenamedSig_OI_dout_2[9], + dout_2[8] = NlwRenamedSig_OI_dout_2[8], + dout_2[7] = NlwRenamedSig_OI_dout_2[7], + dout_2[6] = NlwRenamedSig_OI_dout_2[6], + dout_2[5] = NlwRenamedSig_OI_dout_2[5], + dout_2[4] = NlwRenamedSig_OI_dout_2[4], + dout_2[3] = NlwRenamedSig_OI_dout_2[3], + dout_2[2] = NlwRenamedSig_OI_dout_2[2], + dout_2[1] = NlwRenamedSig_OI_dout_2[1], + dout_2[0] = NlwRenamedSig_OI_dout_2[0], + din_1_1[23] = din_1[23], + din_1_1[22] = din_1[22], + din_1_1[21] = din_1[21], + din_1_1[20] = din_1[20], + din_1_1[19] = din_1[19], + din_1_1[18] = din_1[18], + din_1_1[17] = din_1[17], + din_1_1[16] = din_1[16], + din_1_1[15] = din_1[15], + din_1_1[14] = din_1[14], + din_1_1[13] = din_1[13], + din_1_1[12] = din_1[12], + din_1_1[11] = din_1[11], + din_1_1[10] = din_1[10], + din_1_1[9] = din_1[9], + din_1_1[8] = din_1[8], + din_1_1[7] = din_1[7], + din_1_1[6] = din_1[6], + din_1_1[5] = din_1[5], + din_1_1[4] = din_1[4], + din_1_1[3] = din_1[3], + din_1_1[2] = din_1[2], + din_1_1[1] = din_1[1], + din_1_1[0] = din_1[0], + din_2_2[23] = din_2[23], + din_2_2[22] = din_2[22], + din_2_2[21] = din_2[21], + din_2_2[20] = din_2[20], + din_2_2[19] = din_2[19], + din_2_2[18] = din_2[18], + din_2_2[17] = din_2[17], + din_2_2[16] = din_2[16], + din_2_2[15] = din_2[15], + din_2_2[14] = din_2[14], + din_2_2[13] = din_2[13], + din_2_2[12] = din_2[12], + din_2_2[11] = din_2[11], + din_2_2[10] = din_2[10], + din_2_2[9] = din_2[9], + din_2_2[8] = din_2[8], + din_2_2[7] = din_2[7], + din_2_2[6] = din_2[6], + din_2_2[5] = din_2[5], + din_2_2[4] = din_2[4], + din_2_2[3] = din_2[3], + din_2_2[2] = din_2[2], + din_2_2[1] = din_2[1], + din_2_2[0] = din_2[0], + coef_din_0[17] = coef_din[17], + coef_din_0[16] = coef_din[16], + coef_din_0[15] = coef_din[15], + coef_din_0[14] = coef_din[14], + coef_din_0[13] = coef_din[13], + coef_din_0[12] = coef_din[12], + coef_din_0[11] = coef_din[11], + coef_din_0[10] = coef_din[10], + coef_din_0[9] = coef_din[9], + coef_din_0[8] = coef_din[8], + coef_din_0[7] = coef_din[7], + coef_din_0[6] = coef_din[6], + coef_din_0[5] = coef_din[5], + coef_din_0[4] = coef_din[4], + coef_din_0[3] = coef_din[3], + coef_din_0[2] = coef_din[2], + coef_din_0[1] = coef_din[1], + coef_din_0[0] = coef_din[0]; + VCC blk00000001 ( + .P(NLW_blk00000001_P_UNCONNECTED) + ); + GND blk00000002 ( + .G(NLW_blk00000002_G_UNCONNECTED) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c13 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b8e ), + .Q(\blk00000003/sig00000881 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c12 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000839 ), + .Q(\blk00000003/sig00000b8e ), + .Q15(\NLW_blk00000003/blk00000c12_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c11 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b8d ), + .Q(\blk00000003/sig00000880 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c10 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000838 ), + .Q(\blk00000003/sig00000b8d ), + .Q15(\NLW_blk00000003/blk00000c10_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c0f ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b8c ), + .Q(\blk00000003/sig0000087f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c0e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000837 ), + .Q(\blk00000003/sig00000b8c ), + .Q15(\NLW_blk00000003/blk00000c0e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c0d ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b8b ), + .Q(\blk00000003/sig0000087e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c0c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000836 ), + .Q(\blk00000003/sig00000b8b ), + .Q15(\NLW_blk00000003/blk00000c0c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c0b ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b8a ), + .Q(\blk00000003/sig0000087c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c0a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000834 ), + .Q(\blk00000003/sig00000b8a ), + .Q15(\NLW_blk00000003/blk00000c0a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c09 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b89 ), + .Q(\blk00000003/sig0000087b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c08 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000833 ), + .Q(\blk00000003/sig00000b89 ), + .Q15(\NLW_blk00000003/blk00000c08_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c07 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b88 ), + .Q(\blk00000003/sig0000087d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c06 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000835 ), + .Q(\blk00000003/sig00000b88 ), + .Q15(\NLW_blk00000003/blk00000c06_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c05 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b87 ), + .Q(\blk00000003/sig00000879 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c04 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000831 ), + .Q(\blk00000003/sig00000b87 ), + .Q15(\NLW_blk00000003/blk00000c04_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c03 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b86 ), + .Q(\blk00000003/sig00000878 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c02 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000830 ), + .Q(\blk00000003/sig00000b86 ), + .Q15(\NLW_blk00000003/blk00000c02_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c01 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b85 ), + .Q(\blk00000003/sig0000087a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c00 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000832 ), + .Q(\blk00000003/sig00000b85 ), + .Q15(\NLW_blk00000003/blk00000c00_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bff ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b84 ), + .Q(\blk00000003/sig00000876 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bfe ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000082e ), + .Q(\blk00000003/sig00000b84 ), + .Q15(\NLW_blk00000003/blk00000bfe_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bfd ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b83 ), + .Q(\blk00000003/sig00000875 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bfc ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000082d ), + .Q(\blk00000003/sig00000b83 ), + .Q15(\NLW_blk00000003/blk00000bfc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bfb ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b82 ), + .Q(\blk00000003/sig00000877 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bfa ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000082f ), + .Q(\blk00000003/sig00000b82 ), + .Q15(\NLW_blk00000003/blk00000bfa_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bf9 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b81 ), + .Q(\blk00000003/sig00000873 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bf8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000082b ), + .Q(\blk00000003/sig00000b81 ), + .Q15(\NLW_blk00000003/blk00000bf8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bf7 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b80 ), + .Q(\blk00000003/sig00000872 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bf6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000082a ), + .Q(\blk00000003/sig00000b80 ), + .Q15(\NLW_blk00000003/blk00000bf6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bf5 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b7f ), + .Q(\blk00000003/sig00000874 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bf4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000082c ), + .Q(\blk00000003/sig00000b7f ), + .Q15(\NLW_blk00000003/blk00000bf4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bf3 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b7e ), + .Q(\blk00000003/sig00000870 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bf2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000828 ), + .Q(\blk00000003/sig00000b7e ), + .Q15(\NLW_blk00000003/blk00000bf2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bf1 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b7d ), + .Q(\blk00000003/sig0000086f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bf0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000827 ), + .Q(\blk00000003/sig00000b7d ), + .Q15(\NLW_blk00000003/blk00000bf0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bef ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b7c ), + .Q(\blk00000003/sig00000871 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bee ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000829 ), + .Q(\blk00000003/sig00000b7c ), + .Q15(\NLW_blk00000003/blk00000bee_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bed ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b7b ), + .Q(\blk00000003/sig0000086d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bec ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000825 ), + .Q(\blk00000003/sig00000b7b ), + .Q15(\NLW_blk00000003/blk00000bec_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000beb ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b7a ), + .Q(\blk00000003/sig0000086c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bea ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000824 ), + .Q(\blk00000003/sig00000b7a ), + .Q15(\NLW_blk00000003/blk00000bea_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000be9 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b79 ), + .Q(\blk00000003/sig0000086e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000be8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000826 ), + .Q(\blk00000003/sig00000b79 ), + .Q15(\NLW_blk00000003/blk00000be8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000be7 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b78 ), + .Q(\blk00000003/sig0000086a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000be6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000822 ), + .Q(\blk00000003/sig00000b78 ), + .Q15(\NLW_blk00000003/blk00000be6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000be5 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b77 ), + .Q(\blk00000003/sig0000086b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000be4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000823 ), + .Q(\blk00000003/sig00000b77 ), + .Q15(\NLW_blk00000003/blk00000be4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000be3 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b76 ), + .Q(\blk00000003/sig000008b1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000be2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000851 ), + .Q(\blk00000003/sig00000b76 ), + .Q15(\NLW_blk00000003/blk00000be2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000be1 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b75 ), + .Q(\blk00000003/sig000008b0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000be0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000850 ), + .Q(\blk00000003/sig00000b75 ), + .Q15(\NLW_blk00000003/blk00000be0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bdf ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b74 ), + .Q(\blk00000003/sig000008ae ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bde ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000084e ), + .Q(\blk00000003/sig00000b74 ), + .Q15(\NLW_blk00000003/blk00000bde_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bdd ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b73 ), + .Q(\blk00000003/sig000008ad ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bdc ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000084d ), + .Q(\blk00000003/sig00000b73 ), + .Q15(\NLW_blk00000003/blk00000bdc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bdb ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b72 ), + .Q(\blk00000003/sig000008af ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bda ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000084f ), + .Q(\blk00000003/sig00000b72 ), + .Q15(\NLW_blk00000003/blk00000bda_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bd9 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b71 ), + .Q(\blk00000003/sig000008ab ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bd8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000084b ), + .Q(\blk00000003/sig00000b71 ), + .Q15(\NLW_blk00000003/blk00000bd8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bd7 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b70 ), + .Q(\blk00000003/sig000008aa ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bd6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000084a ), + .Q(\blk00000003/sig00000b70 ), + .Q15(\NLW_blk00000003/blk00000bd6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bd5 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b6f ), + .Q(\blk00000003/sig000008ac ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bd4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000084c ), + .Q(\blk00000003/sig00000b6f ), + .Q15(\NLW_blk00000003/blk00000bd4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bd3 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b6e ), + .Q(\blk00000003/sig000008a9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bd2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000849 ), + .Q(\blk00000003/sig00000b6e ), + .Q15(\NLW_blk00000003/blk00000bd2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bd1 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b6d ), + .Q(\blk00000003/sig000008a8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bd0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000848 ), + .Q(\blk00000003/sig00000b6d ), + .Q15(\NLW_blk00000003/blk00000bd0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bcf ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b6c ), + .Q(\blk00000003/sig000008a7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bce ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000847 ), + .Q(\blk00000003/sig00000b6c ), + .Q15(\NLW_blk00000003/blk00000bce_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bcd ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b6b ), + .Q(\blk00000003/sig000008a6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bcc ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000846 ), + .Q(\blk00000003/sig00000b6b ), + .Q15(\NLW_blk00000003/blk00000bcc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bcb ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b6a ), + .Q(\blk00000003/sig000008a4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bca ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000844 ), + .Q(\blk00000003/sig00000b6a ), + .Q15(\NLW_blk00000003/blk00000bca_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bc9 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b69 ), + .Q(\blk00000003/sig000008a3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bc8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000843 ), + .Q(\blk00000003/sig00000b69 ), + .Q15(\NLW_blk00000003/blk00000bc8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bc7 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b68 ), + .Q(\blk00000003/sig000008a5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bc6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000845 ), + .Q(\blk00000003/sig00000b68 ), + .Q15(\NLW_blk00000003/blk00000bc6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bc5 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b67 ), + .Q(\blk00000003/sig000008a1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bc4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000841 ), + .Q(\blk00000003/sig00000b67 ), + .Q15(\NLW_blk00000003/blk00000bc4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bc3 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b66 ), + .Q(\blk00000003/sig000008a0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bc2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000840 ), + .Q(\blk00000003/sig00000b66 ), + .Q15(\NLW_blk00000003/blk00000bc2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bc1 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b65 ), + .Q(\blk00000003/sig000008a2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bc0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000842 ), + .Q(\blk00000003/sig00000b65 ), + .Q15(\NLW_blk00000003/blk00000bc0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bbf ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b64 ), + .Q(\blk00000003/sig0000089e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bbe ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000083e ), + .Q(\blk00000003/sig00000b64 ), + .Q15(\NLW_blk00000003/blk00000bbe_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bbd ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b63 ), + .Q(\blk00000003/sig0000089d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bbc ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000083d ), + .Q(\blk00000003/sig00000b63 ), + .Q15(\NLW_blk00000003/blk00000bbc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bbb ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b62 ), + .Q(\blk00000003/sig0000089f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bba ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000083f ), + .Q(\blk00000003/sig00000b62 ), + .Q15(\NLW_blk00000003/blk00000bba_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bb9 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b61 ), + .Q(\blk00000003/sig0000089c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bb8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000083c ), + .Q(\blk00000003/sig00000b61 ), + .Q15(\NLW_blk00000003/blk00000bb8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bb7 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b60 ), + .Q(\blk00000003/sig0000089b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bb6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000083b ), + .Q(\blk00000003/sig00000b60 ), + .Q15(\NLW_blk00000003/blk00000bb6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bb5 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b5f ), + .Q(\blk00000003/sig0000089a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bb4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000083a ), + .Q(\blk00000003/sig00000b5f ), + .Q15(\NLW_blk00000003/blk00000bb4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bb3 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b5e ), + .Q(\blk00000003/sig00000839 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bb2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000809 ), + .Q(\blk00000003/sig00000b5e ), + .Q15(\NLW_blk00000003/blk00000bb2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bb1 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b5d ), + .Q(\blk00000003/sig00000838 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bb0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000808 ), + .Q(\blk00000003/sig00000b5d ), + .Q15(\NLW_blk00000003/blk00000bb0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000baf ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b5c ), + .Q(\blk00000003/sig00000837 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bae ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000807 ), + .Q(\blk00000003/sig00000b5c ), + .Q15(\NLW_blk00000003/blk00000bae_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bad ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b5b ), + .Q(\blk00000003/sig00000836 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bac ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000806 ), + .Q(\blk00000003/sig00000b5b ), + .Q15(\NLW_blk00000003/blk00000bac_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bab ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b5a ), + .Q(\blk00000003/sig00000835 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000baa ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000805 ), + .Q(\blk00000003/sig00000b5a ), + .Q15(\NLW_blk00000003/blk00000baa_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ba9 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b59 ), + .Q(\blk00000003/sig00000833 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ba8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000803 ), + .Q(\blk00000003/sig00000b59 ), + .Q15(\NLW_blk00000003/blk00000ba8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ba7 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b58 ), + .Q(\blk00000003/sig00000832 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ba6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000802 ), + .Q(\blk00000003/sig00000b58 ), + .Q15(\NLW_blk00000003/blk00000ba6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ba5 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b57 ), + .Q(\blk00000003/sig00000834 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ba4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000804 ), + .Q(\blk00000003/sig00000b57 ), + .Q15(\NLW_blk00000003/blk00000ba4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ba3 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b56 ), + .Q(\blk00000003/sig00000830 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ba2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000800 ), + .Q(\blk00000003/sig00000b56 ), + .Q15(\NLW_blk00000003/blk00000ba2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ba1 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b55 ), + .Q(\blk00000003/sig0000082f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ba0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig000007ff ), + .Q(\blk00000003/sig00000b55 ), + .Q15(\NLW_blk00000003/blk00000ba0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b9f ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b54 ), + .Q(\blk00000003/sig00000831 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b9e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000801 ), + .Q(\blk00000003/sig00000b54 ), + .Q15(\NLW_blk00000003/blk00000b9e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b9d ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b53 ), + .Q(\blk00000003/sig0000082d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b9c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig000007fd ), + .Q(\blk00000003/sig00000b53 ), + .Q15(\NLW_blk00000003/blk00000b9c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b9b ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b52 ), + .Q(\blk00000003/sig0000082c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b9a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig000007fc ), + .Q(\blk00000003/sig00000b52 ), + .Q15(\NLW_blk00000003/blk00000b9a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b99 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b51 ), + .Q(\blk00000003/sig0000082e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b98 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig000007fe ), + .Q(\blk00000003/sig00000b51 ), + .Q15(\NLW_blk00000003/blk00000b98_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b97 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b50 ), + .Q(\blk00000003/sig0000082b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b96 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig000007fb ), + .Q(\blk00000003/sig00000b50 ), + .Q15(\NLW_blk00000003/blk00000b96_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b95 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b4f ), + .Q(\blk00000003/sig0000082a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b94 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig000007fa ), + .Q(\blk00000003/sig00000b4f ), + .Q15(\NLW_blk00000003/blk00000b94_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b93 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b4e ), + .Q(\blk00000003/sig00000829 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b92 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig000007f9 ), + .Q(\blk00000003/sig00000b4e ), + .Q15(\NLW_blk00000003/blk00000b92_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b91 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b4d ), + .Q(\blk00000003/sig00000828 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b90 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig000007f8 ), + .Q(\blk00000003/sig00000b4d ), + .Q15(\NLW_blk00000003/blk00000b90_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b8f ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b4c ), + .Q(\blk00000003/sig00000826 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b8e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig000007f6 ), + .Q(\blk00000003/sig00000b4c ), + .Q15(\NLW_blk00000003/blk00000b8e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b8d ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b4b ), + .Q(\blk00000003/sig00000825 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b8c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig000007f5 ), + .Q(\blk00000003/sig00000b4b ), + .Q15(\NLW_blk00000003/blk00000b8c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b8b ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b4a ), + .Q(\blk00000003/sig00000827 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b8a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig000007f7 ), + .Q(\blk00000003/sig00000b4a ), + .Q15(\NLW_blk00000003/blk00000b8a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b89 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b49 ), + .Q(\blk00000003/sig00000823 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b88 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig000007f3 ), + .Q(\blk00000003/sig00000b49 ), + .Q15(\NLW_blk00000003/blk00000b88_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b87 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b48 ), + .Q(\blk00000003/sig00000822 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b86 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig000007f2 ), + .Q(\blk00000003/sig00000b48 ), + .Q15(\NLW_blk00000003/blk00000b86_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b85 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b47 ), + .Q(\blk00000003/sig00000824 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b84 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig000007f4 ), + .Q(\blk00000003/sig00000b47 ), + .Q15(\NLW_blk00000003/blk00000b84_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b83 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b46 ), + .Q(\blk00000003/sig00000851 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b82 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000821 ), + .Q(\blk00000003/sig00000b46 ), + .Q15(\NLW_blk00000003/blk00000b82_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b81 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b45 ), + .Q(\blk00000003/sig0000084f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b80 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig0000081f ), + .Q(\blk00000003/sig00000b45 ), + .Q15(\NLW_blk00000003/blk00000b80_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b7f ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b44 ), + .Q(\blk00000003/sig0000084e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b7e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig0000081e ), + .Q(\blk00000003/sig00000b44 ), + .Q15(\NLW_blk00000003/blk00000b7e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b7d ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b43 ), + .Q(\blk00000003/sig00000850 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b7c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000820 ), + .Q(\blk00000003/sig00000b43 ), + .Q15(\NLW_blk00000003/blk00000b7c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b7b ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b42 ), + .Q(\blk00000003/sig0000084c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b7a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig0000081c ), + .Q(\blk00000003/sig00000b42 ), + .Q15(\NLW_blk00000003/blk00000b7a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b79 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b41 ), + .Q(\blk00000003/sig0000084b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b78 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig0000081b ), + .Q(\blk00000003/sig00000b41 ), + .Q15(\NLW_blk00000003/blk00000b78_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b77 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b40 ), + .Q(\blk00000003/sig0000084d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b76 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig0000081d ), + .Q(\blk00000003/sig00000b40 ), + .Q15(\NLW_blk00000003/blk00000b76_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b75 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b3f ), + .Q(\blk00000003/sig00000849 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b74 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000819 ), + .Q(\blk00000003/sig00000b3f ), + .Q15(\NLW_blk00000003/blk00000b74_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b73 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b3e ), + .Q(\blk00000003/sig00000848 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b72 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000818 ), + .Q(\blk00000003/sig00000b3e ), + .Q15(\NLW_blk00000003/blk00000b72_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b71 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b3d ), + .Q(\blk00000003/sig0000084a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b70 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig0000081a ), + .Q(\blk00000003/sig00000b3d ), + .Q15(\NLW_blk00000003/blk00000b70_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b6f ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b3c ), + .Q(\blk00000003/sig00000847 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b6e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000817 ), + .Q(\blk00000003/sig00000b3c ), + .Q15(\NLW_blk00000003/blk00000b6e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b6d ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b3b ), + .Q(\blk00000003/sig00000846 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b6c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000816 ), + .Q(\blk00000003/sig00000b3b ), + .Q15(\NLW_blk00000003/blk00000b6c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b6b ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b3a ), + .Q(\blk00000003/sig00000845 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b6a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000815 ), + .Q(\blk00000003/sig00000b3a ), + .Q15(\NLW_blk00000003/blk00000b6a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b69 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b39 ), + .Q(\blk00000003/sig00000844 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b68 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000814 ), + .Q(\blk00000003/sig00000b39 ), + .Q15(\NLW_blk00000003/blk00000b68_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b67 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b38 ), + .Q(\blk00000003/sig00000842 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b66 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000812 ), + .Q(\blk00000003/sig00000b38 ), + .Q15(\NLW_blk00000003/blk00000b66_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b65 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b37 ), + .Q(\blk00000003/sig00000841 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b64 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000811 ), + .Q(\blk00000003/sig00000b37 ), + .Q15(\NLW_blk00000003/blk00000b64_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b63 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b36 ), + .Q(\blk00000003/sig00000843 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b62 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000813 ), + .Q(\blk00000003/sig00000b36 ), + .Q15(\NLW_blk00000003/blk00000b62_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b61 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b35 ), + .Q(\blk00000003/sig0000083f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b60 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig0000080f ), + .Q(\blk00000003/sig00000b35 ), + .Q15(\NLW_blk00000003/blk00000b60_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b5f ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b34 ), + .Q(\blk00000003/sig0000083e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b5e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig0000080e ), + .Q(\blk00000003/sig00000b34 ), + .Q15(\NLW_blk00000003/blk00000b5e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b5d ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b33 ), + .Q(\blk00000003/sig00000840 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b5c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000810 ), + .Q(\blk00000003/sig00000b33 ), + .Q15(\NLW_blk00000003/blk00000b5c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b5b ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b32 ), + .Q(\blk00000003/sig0000083c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b5a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig0000080c ), + .Q(\blk00000003/sig00000b32 ), + .Q15(\NLW_blk00000003/blk00000b5a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b59 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b31 ), + .Q(\blk00000003/sig0000083b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b58 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig0000080b ), + .Q(\blk00000003/sig00000b31 ), + .Q15(\NLW_blk00000003/blk00000b58_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b57 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b30 ), + .Q(\blk00000003/sig0000083d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b56 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig0000080d ), + .Q(\blk00000003/sig00000b30 ), + .Q15(\NLW_blk00000003/blk00000b56_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b55 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b2f ), + .Q(\blk00000003/sig0000083a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b54 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig0000080a ), + .Q(\blk00000003/sig00000b2f ), + .Q15(\NLW_blk00000003/blk00000b54_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b53 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000b2e ), + .Q(\blk00000003/sig000009ac ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b52 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000761 ), + .Q(\blk00000003/sig00000b2e ), + .Q15(\NLW_blk00000003/blk00000b52_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b51 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b2d ), + .Q(\blk00000003/sig00000808 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b50 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007d8 ), + .Q(\blk00000003/sig00000b2d ), + .Q15(\NLW_blk00000003/blk00000b50_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b4f ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b2c ), + .Q(\blk00000003/sig00000807 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b4e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007d7 ), + .Q(\blk00000003/sig00000b2c ), + .Q15(\NLW_blk00000003/blk00000b4e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b4d ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b2b ), + .Q(\blk00000003/sig00000809 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b4c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007d9 ), + .Q(\blk00000003/sig00000b2b ), + .Q15(\NLW_blk00000003/blk00000b4c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b4b ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b2a ), + .Q(\blk00000003/sig00000806 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b4a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007d6 ), + .Q(\blk00000003/sig00000b2a ), + .Q15(\NLW_blk00000003/blk00000b4a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b49 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b29 ), + .Q(\blk00000003/sig00000805 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b48 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007d5 ), + .Q(\blk00000003/sig00000b29 ), + .Q15(\NLW_blk00000003/blk00000b48_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b47 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b28 ), + .Q(\blk00000003/sig00000804 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b46 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007d4 ), + .Q(\blk00000003/sig00000b28 ), + .Q15(\NLW_blk00000003/blk00000b46_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b45 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b27 ), + .Q(\blk00000003/sig00000803 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b44 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007d3 ), + .Q(\blk00000003/sig00000b27 ), + .Q15(\NLW_blk00000003/blk00000b44_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b43 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b26 ), + .Q(\blk00000003/sig00000801 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b42 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007d1 ), + .Q(\blk00000003/sig00000b26 ), + .Q15(\NLW_blk00000003/blk00000b42_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b41 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b25 ), + .Q(\blk00000003/sig00000800 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b40 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007d0 ), + .Q(\blk00000003/sig00000b25 ), + .Q15(\NLW_blk00000003/blk00000b40_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b3f ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b24 ), + .Q(\blk00000003/sig00000802 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b3e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007d2 ), + .Q(\blk00000003/sig00000b24 ), + .Q15(\NLW_blk00000003/blk00000b3e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b3d ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b23 ), + .Q(\blk00000003/sig000007fe ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b3c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007ce ), + .Q(\blk00000003/sig00000b23 ), + .Q15(\NLW_blk00000003/blk00000b3c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b3b ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b22 ), + .Q(\blk00000003/sig000007fd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b3a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007cd ), + .Q(\blk00000003/sig00000b22 ), + .Q15(\NLW_blk00000003/blk00000b3a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b39 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b21 ), + .Q(\blk00000003/sig000007ff ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b38 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007cf ), + .Q(\blk00000003/sig00000b21 ), + .Q15(\NLW_blk00000003/blk00000b38_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b37 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b20 ), + .Q(\blk00000003/sig000007fb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b36 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007cb ), + .Q(\blk00000003/sig00000b20 ), + .Q15(\NLW_blk00000003/blk00000b36_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b35 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b1f ), + .Q(\blk00000003/sig000007fa ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b34 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007ca ), + .Q(\blk00000003/sig00000b1f ), + .Q15(\NLW_blk00000003/blk00000b34_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b33 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b1e ), + .Q(\blk00000003/sig000007fc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b32 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007cc ), + .Q(\blk00000003/sig00000b1e ), + .Q15(\NLW_blk00000003/blk00000b32_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b31 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b1d ), + .Q(\blk00000003/sig000007f9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b30 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007c9 ), + .Q(\blk00000003/sig00000b1d ), + .Q15(\NLW_blk00000003/blk00000b30_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b2f ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b1c ), + .Q(\blk00000003/sig000007f8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b2e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007c8 ), + .Q(\blk00000003/sig00000b1c ), + .Q15(\NLW_blk00000003/blk00000b2e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b2d ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b1b ), + .Q(\blk00000003/sig000007f7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b2c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007c7 ), + .Q(\blk00000003/sig00000b1b ), + .Q15(\NLW_blk00000003/blk00000b2c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b2b ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b1a ), + .Q(\blk00000003/sig000007f6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b2a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007c6 ), + .Q(\blk00000003/sig00000b1a ), + .Q15(\NLW_blk00000003/blk00000b2a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b29 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b19 ), + .Q(\blk00000003/sig000007f4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b28 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007c4 ), + .Q(\blk00000003/sig00000b19 ), + .Q15(\NLW_blk00000003/blk00000b28_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b27 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b18 ), + .Q(\blk00000003/sig000007f3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b26 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007c3 ), + .Q(\blk00000003/sig00000b18 ), + .Q15(\NLW_blk00000003/blk00000b26_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b25 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b17 ), + .Q(\blk00000003/sig000007f5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b24 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007c5 ), + .Q(\blk00000003/sig00000b17 ), + .Q15(\NLW_blk00000003/blk00000b24_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b23 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b16 ), + .Q(\blk00000003/sig000007f2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b22 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007c2 ), + .Q(\blk00000003/sig00000b16 ), + .Q15(\NLW_blk00000003/blk00000b22_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b21 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b15 ), + .Q(\blk00000003/sig00000821 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b20 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007f1 ), + .Q(\blk00000003/sig00000b15 ), + .Q15(\NLW_blk00000003/blk00000b20_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b1f ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b14 ), + .Q(\blk00000003/sig00000820 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b1e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007f0 ), + .Q(\blk00000003/sig00000b14 ), + .Q15(\NLW_blk00000003/blk00000b1e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b1d ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b13 ), + .Q(\blk00000003/sig0000081f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b1c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007ef ), + .Q(\blk00000003/sig00000b13 ), + .Q15(\NLW_blk00000003/blk00000b1c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b1b ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b12 ), + .Q(\blk00000003/sig0000081d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b1a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007ed ), + .Q(\blk00000003/sig00000b12 ), + .Q15(\NLW_blk00000003/blk00000b1a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b19 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b11 ), + .Q(\blk00000003/sig0000081c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b18 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007ec ), + .Q(\blk00000003/sig00000b11 ), + .Q15(\NLW_blk00000003/blk00000b18_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b17 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b10 ), + .Q(\blk00000003/sig0000081e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b16 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007ee ), + .Q(\blk00000003/sig00000b10 ), + .Q15(\NLW_blk00000003/blk00000b16_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b15 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b0f ), + .Q(\blk00000003/sig0000081a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b14 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007ea ), + .Q(\blk00000003/sig00000b0f ), + .Q15(\NLW_blk00000003/blk00000b14_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b13 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b0e ), + .Q(\blk00000003/sig00000819 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b12 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007e9 ), + .Q(\blk00000003/sig00000b0e ), + .Q15(\NLW_blk00000003/blk00000b12_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b11 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b0d ), + .Q(\blk00000003/sig0000081b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b10 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007eb ), + .Q(\blk00000003/sig00000b0d ), + .Q15(\NLW_blk00000003/blk00000b10_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b0f ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b0c ), + .Q(\blk00000003/sig00000817 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b0e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007e7 ), + .Q(\blk00000003/sig00000b0c ), + .Q15(\NLW_blk00000003/blk00000b0e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b0d ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b0b ), + .Q(\blk00000003/sig00000816 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b0c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007e6 ), + .Q(\blk00000003/sig00000b0b ), + .Q15(\NLW_blk00000003/blk00000b0c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b0b ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b0a ), + .Q(\blk00000003/sig00000818 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b0a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007e8 ), + .Q(\blk00000003/sig00000b0a ), + .Q15(\NLW_blk00000003/blk00000b0a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b09 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b09 ), + .Q(\blk00000003/sig00000815 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b08 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007e5 ), + .Q(\blk00000003/sig00000b09 ), + .Q15(\NLW_blk00000003/blk00000b08_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b07 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b08 ), + .Q(\blk00000003/sig00000814 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b06 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007e4 ), + .Q(\blk00000003/sig00000b08 ), + .Q15(\NLW_blk00000003/blk00000b06_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b05 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b07 ), + .Q(\blk00000003/sig00000813 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b04 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007e3 ), + .Q(\blk00000003/sig00000b07 ), + .Q15(\NLW_blk00000003/blk00000b04_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b03 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b06 ), + .Q(\blk00000003/sig00000812 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b02 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007e2 ), + .Q(\blk00000003/sig00000b06 ), + .Q15(\NLW_blk00000003/blk00000b02_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b01 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b05 ), + .Q(\blk00000003/sig00000810 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b00 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007e0 ), + .Q(\blk00000003/sig00000b05 ), + .Q15(\NLW_blk00000003/blk00000b00_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aff ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b04 ), + .Q(\blk00000003/sig0000080f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000afe ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007df ), + .Q(\blk00000003/sig00000b04 ), + .Q15(\NLW_blk00000003/blk00000afe_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000afd ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b03 ), + .Q(\blk00000003/sig00000811 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000afc ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007e1 ), + .Q(\blk00000003/sig00000b03 ), + .Q15(\NLW_blk00000003/blk00000afc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000afb ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b02 ), + .Q(\blk00000003/sig0000080d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000afa ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007dd ), + .Q(\blk00000003/sig00000b02 ), + .Q15(\NLW_blk00000003/blk00000afa_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000af9 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b01 ), + .Q(\blk00000003/sig0000080c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000af8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007dc ), + .Q(\blk00000003/sig00000b01 ), + .Q15(\NLW_blk00000003/blk00000af8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000af7 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b00 ), + .Q(\blk00000003/sig0000080e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000af6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007de ), + .Q(\blk00000003/sig00000b00 ), + .Q15(\NLW_blk00000003/blk00000af6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000af5 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000aff ), + .Q(\blk00000003/sig0000080a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000af4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007da ), + .Q(\blk00000003/sig00000aff ), + .Q15(\NLW_blk00000003/blk00000af4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000af3 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000afe ), + .Q(\blk00000003/sig0000080b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000af2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007db ), + .Q(\blk00000003/sig00000afe ), + .Q15(\NLW_blk00000003/blk00000af2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000af1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000afd ), + .Q(\blk00000003/sig00000937 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000af0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000760 ), + .Q(\blk00000003/sig00000afd ), + .Q15(\NLW_blk00000003/blk00000af0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aef ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000afc ), + .Q(\blk00000003/sig000001c8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aee ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a9a ), + .Q(\blk00000003/sig00000afc ), + .Q15(\NLW_blk00000003/blk00000aee_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aed ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000afb ), + .Q(\blk00000003/sig000001c7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aec ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a98 ), + .Q(\blk00000003/sig00000afb ), + .Q15(\NLW_blk00000003/blk00000aec_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aeb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000afa ), + .Q(\blk00000003/sig000001c9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aea ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a96 ), + .Q(\blk00000003/sig00000afa ), + .Q15(\NLW_blk00000003/blk00000aea_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ae9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000af9 ), + .Q(\blk00000003/sig000001c5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ae8 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a94 ), + .Q(\blk00000003/sig00000af9 ), + .Q15(\NLW_blk00000003/blk00000ae8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ae7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000af8 ), + .Q(\blk00000003/sig000001c4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ae6 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a92 ), + .Q(\blk00000003/sig00000af8 ), + .Q15(\NLW_blk00000003/blk00000ae6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ae5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000af7 ), + .Q(\blk00000003/sig000001c6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ae4 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a90 ), + .Q(\blk00000003/sig00000af7 ), + .Q15(\NLW_blk00000003/blk00000ae4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ae3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000af6 ), + .Q(\blk00000003/sig000001c2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ae2 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a8e ), + .Q(\blk00000003/sig00000af6 ), + .Q15(\NLW_blk00000003/blk00000ae2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ae1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000af5 ), + .Q(\blk00000003/sig000001c1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ae0 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a8c ), + .Q(\blk00000003/sig00000af5 ), + .Q15(\NLW_blk00000003/blk00000ae0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000adf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000af4 ), + .Q(\blk00000003/sig000001c3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ade ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a8a ), + .Q(\blk00000003/sig00000af4 ), + .Q15(\NLW_blk00000003/blk00000ade_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000add ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000af3 ), + .Q(\blk00000003/sig000001bf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000adc ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a86 ), + .Q(\blk00000003/sig00000af3 ), + .Q15(\NLW_blk00000003/blk00000adc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000adb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000af2 ), + .Q(\blk00000003/sig000001be ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ada ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a84 ), + .Q(\blk00000003/sig00000af2 ), + .Q15(\NLW_blk00000003/blk00000ada_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ad9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000af1 ), + .Q(\blk00000003/sig000001c0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ad8 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a88 ), + .Q(\blk00000003/sig00000af1 ), + .Q15(\NLW_blk00000003/blk00000ad8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ad7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000af0 ), + .Q(\blk00000003/sig000001bc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ad6 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a7c ), + .Q(\blk00000003/sig00000af0 ), + .Q15(\NLW_blk00000003/blk00000ad6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ad5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000aef ), + .Q(\blk00000003/sig000001bb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ad4 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a80 ), + .Q(\blk00000003/sig00000aef ), + .Q15(\NLW_blk00000003/blk00000ad4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ad3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000aee ), + .Q(\blk00000003/sig000001bd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ad2 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a82 ), + .Q(\blk00000003/sig00000aee ), + .Q15(\NLW_blk00000003/blk00000ad2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ad1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000aed ), + .Q(\blk00000003/sig000001b9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ad0 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a76 ), + .Q(\blk00000003/sig00000aed ), + .Q15(\NLW_blk00000003/blk00000ad0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000acf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000aec ), + .Q(\blk00000003/sig000001b8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ace ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a7a ), + .Q(\blk00000003/sig00000aec ), + .Q15(\NLW_blk00000003/blk00000ace_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000acd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000aeb ), + .Q(\blk00000003/sig000001ba ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000acc ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a7e ), + .Q(\blk00000003/sig00000aeb ), + .Q15(\NLW_blk00000003/blk00000acc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000acb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000aea ), + .Q(\blk00000003/sig000001b7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aca ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a78 ), + .Q(\blk00000003/sig00000aea ), + .Q15(\NLW_blk00000003/blk00000aca_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ac9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ae9 ), + .Q(\blk00000003/sig000001b6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ac8 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a70 ), + .Q(\blk00000003/sig00000ae9 ), + .Q15(\NLW_blk00000003/blk00000ac8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ac7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ae8 ), + .Q(\blk00000003/sig000001b5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ac6 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a74 ), + .Q(\blk00000003/sig00000ae8 ), + .Q15(\NLW_blk00000003/blk00000ac6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ac5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ae7 ), + .Q(\blk00000003/sig000001b4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ac4 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a72 ), + .Q(\blk00000003/sig00000ae7 ), + .Q15(\NLW_blk00000003/blk00000ac4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ac3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ae6 ), + .Q(\blk00000003/sig000001b2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ac2 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a6c ), + .Q(\blk00000003/sig00000ae6 ), + .Q15(\NLW_blk00000003/blk00000ac2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ac1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ae5 ), + .Q(\blk00000003/sig00000152 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ac0 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a6a ), + .Q(\blk00000003/sig00000ae5 ), + .Q15(\NLW_blk00000003/blk00000ac0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000abf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ae4 ), + .Q(\blk00000003/sig000001b3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000abe ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a6e ), + .Q(\blk00000003/sig00000ae4 ), + .Q15(\NLW_blk00000003/blk00000abe_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000abd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ae3 ), + .Q(\blk00000003/sig00000150 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000abc ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a62 ), + .Q(\blk00000003/sig00000ae3 ), + .Q15(\NLW_blk00000003/blk00000abc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000abb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ae2 ), + .Q(\blk00000003/sig0000014f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aba ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a66 ), + .Q(\blk00000003/sig00000ae2 ), + .Q15(\NLW_blk00000003/blk00000aba_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ab9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ae1 ), + .Q(\blk00000003/sig00000151 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ab8 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a68 ), + .Q(\blk00000003/sig00000ae1 ), + .Q15(\NLW_blk00000003/blk00000ab8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ab7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ae0 ), + .Q(\blk00000003/sig0000014d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ab6 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a5c ), + .Q(\blk00000003/sig00000ae0 ), + .Q15(\NLW_blk00000003/blk00000ab6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ab5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000adf ), + .Q(\blk00000003/sig0000014c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ab4 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a60 ), + .Q(\blk00000003/sig00000adf ), + .Q15(\NLW_blk00000003/blk00000ab4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ab3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ade ), + .Q(\blk00000003/sig0000014e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ab2 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a64 ), + .Q(\blk00000003/sig00000ade ), + .Q15(\NLW_blk00000003/blk00000ab2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ab1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000add ), + .Q(\blk00000003/sig0000014b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ab0 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a5e ), + .Q(\blk00000003/sig00000add ), + .Q15(\NLW_blk00000003/blk00000ab0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aaf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000adc ), + .Q(\blk00000003/sig0000014a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aae ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a56 ), + .Q(\blk00000003/sig00000adc ), + .Q15(\NLW_blk00000003/blk00000aae_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aad ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000adb ), + .Q(\blk00000003/sig00000149 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aac ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a5a ), + .Q(\blk00000003/sig00000adb ), + .Q15(\NLW_blk00000003/blk00000aac_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aab ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ada ), + .Q(\blk00000003/sig00000148 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aaa ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a58 ), + .Q(\blk00000003/sig00000ada ), + .Q15(\NLW_blk00000003/blk00000aaa_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aa9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ad9 ), + .Q(\blk00000003/sig00000146 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aa8 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a52 ), + .Q(\blk00000003/sig00000ad9 ), + .Q15(\NLW_blk00000003/blk00000aa8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aa7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ad8 ), + .Q(\blk00000003/sig00000145 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aa6 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a50 ), + .Q(\blk00000003/sig00000ad8 ), + .Q15(\NLW_blk00000003/blk00000aa6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aa5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ad7 ), + .Q(\blk00000003/sig00000147 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aa4 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a54 ), + .Q(\blk00000003/sig00000ad7 ), + .Q15(\NLW_blk00000003/blk00000aa4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aa3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ad6 ), + .Q(\blk00000003/sig00000143 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aa2 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a48 ), + .Q(\blk00000003/sig00000ad6 ), + .Q15(\NLW_blk00000003/blk00000aa2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aa1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ad5 ), + .Q(\blk00000003/sig00000142 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aa0 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a4c ), + .Q(\blk00000003/sig00000ad5 ), + .Q15(\NLW_blk00000003/blk00000aa0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a9f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ad4 ), + .Q(\blk00000003/sig00000144 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a9e ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a4e ), + .Q(\blk00000003/sig00000ad4 ), + .Q15(\NLW_blk00000003/blk00000a9e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a9d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ad3 ), + .Q(\blk00000003/sig00000140 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a9c ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a42 ), + .Q(\blk00000003/sig00000ad3 ), + .Q15(\NLW_blk00000003/blk00000a9c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a9b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ad2 ), + .Q(\blk00000003/sig0000013f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a9a ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a46 ), + .Q(\blk00000003/sig00000ad2 ), + .Q15(\NLW_blk00000003/blk00000a9a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a99 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ad1 ), + .Q(\blk00000003/sig00000141 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a98 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a4a ), + .Q(\blk00000003/sig00000ad1 ), + .Q15(\NLW_blk00000003/blk00000a98_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a97 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ad0 ), + .Q(\blk00000003/sig0000013e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a96 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a44 ), + .Q(\blk00000003/sig00000ad0 ), + .Q15(\NLW_blk00000003/blk00000a96_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a95 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000acf ), + .Q(\blk00000003/sig0000013d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a94 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a3c ), + .Q(\blk00000003/sig00000acf ), + .Q15(\NLW_blk00000003/blk00000a94_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a93 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ace ), + .Q(\blk00000003/sig0000013c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a92 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a40 ), + .Q(\blk00000003/sig00000ace ), + .Q15(\NLW_blk00000003/blk00000a92_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a91 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000acd ), + .Q(\blk00000003/sig0000013b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a90 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a3e ), + .Q(\blk00000003/sig00000acd ), + .Q15(\NLW_blk00000003/blk00000a90_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a8f ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000acc ), + .Q(\blk00000003/sig000007d9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a8e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007a9 ), + .Q(\blk00000003/sig00000acc ), + .Q15(\NLW_blk00000003/blk00000a8e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a8d ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000acb ), + .Q(\blk00000003/sig000007d8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a8c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007a8 ), + .Q(\blk00000003/sig00000acb ), + .Q15(\NLW_blk00000003/blk00000a8c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a8b ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aca ), + .Q(\blk00000003/sig000007d6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a8a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007a6 ), + .Q(\blk00000003/sig00000aca ), + .Q15(\NLW_blk00000003/blk00000a8a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a89 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ac9 ), + .Q(\blk00000003/sig000007d5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a88 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007a5 ), + .Q(\blk00000003/sig00000ac9 ), + .Q15(\NLW_blk00000003/blk00000a88_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a87 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ac8 ), + .Q(\blk00000003/sig000007d7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a86 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007a7 ), + .Q(\blk00000003/sig00000ac8 ), + .Q15(\NLW_blk00000003/blk00000a86_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a85 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ac7 ), + .Q(\blk00000003/sig000007d4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a84 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007a4 ), + .Q(\blk00000003/sig00000ac7 ), + .Q15(\NLW_blk00000003/blk00000a84_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a83 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ac6 ), + .Q(\blk00000003/sig000007d3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a82 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007a3 ), + .Q(\blk00000003/sig00000ac6 ), + .Q15(\NLW_blk00000003/blk00000a82_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a81 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ac5 ), + .Q(\blk00000003/sig000007d2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a80 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007a2 ), + .Q(\blk00000003/sig00000ac5 ), + .Q15(\NLW_blk00000003/blk00000a80_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a7f ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ac4 ), + .Q(\blk00000003/sig000007d1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a7e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007a1 ), + .Q(\blk00000003/sig00000ac4 ), + .Q15(\NLW_blk00000003/blk00000a7e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a7d ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ac3 ), + .Q(\blk00000003/sig000007cf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a7c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig0000079f ), + .Q(\blk00000003/sig00000ac3 ), + .Q15(\NLW_blk00000003/blk00000a7c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a7b ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ac2 ), + .Q(\blk00000003/sig000007ce ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a7a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig0000079e ), + .Q(\blk00000003/sig00000ac2 ), + .Q15(\NLW_blk00000003/blk00000a7a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a79 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ac1 ), + .Q(\blk00000003/sig000007d0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a78 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007a0 ), + .Q(\blk00000003/sig00000ac1 ), + .Q15(\NLW_blk00000003/blk00000a78_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a77 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ac0 ), + .Q(\blk00000003/sig000007cc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a76 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig0000079c ), + .Q(\blk00000003/sig00000ac0 ), + .Q15(\NLW_blk00000003/blk00000a76_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a75 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000abf ), + .Q(\blk00000003/sig000007cb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a74 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig0000079b ), + .Q(\blk00000003/sig00000abf ), + .Q15(\NLW_blk00000003/blk00000a74_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a73 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000abe ), + .Q(\blk00000003/sig000007cd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a72 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig0000079d ), + .Q(\blk00000003/sig00000abe ), + .Q15(\NLW_blk00000003/blk00000a72_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a71 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000abd ), + .Q(\blk00000003/sig000007c9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a70 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig00000799 ), + .Q(\blk00000003/sig00000abd ), + .Q15(\NLW_blk00000003/blk00000a70_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a6f ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000abc ), + .Q(\blk00000003/sig000007c8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a6e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig00000798 ), + .Q(\blk00000003/sig00000abc ), + .Q15(\NLW_blk00000003/blk00000a6e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a6d ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000abb ), + .Q(\blk00000003/sig000007ca ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a6c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig0000079a ), + .Q(\blk00000003/sig00000abb ), + .Q15(\NLW_blk00000003/blk00000a6c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a6b ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aba ), + .Q(\blk00000003/sig000007c7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a6a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig00000797 ), + .Q(\blk00000003/sig00000aba ), + .Q15(\NLW_blk00000003/blk00000a6a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a69 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ab9 ), + .Q(\blk00000003/sig000007c6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a68 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig00000796 ), + .Q(\blk00000003/sig00000ab9 ), + .Q15(\NLW_blk00000003/blk00000a68_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a67 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ab8 ), + .Q(\blk00000003/sig000007c5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a66 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig00000795 ), + .Q(\blk00000003/sig00000ab8 ), + .Q15(\NLW_blk00000003/blk00000a66_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a65 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ab7 ), + .Q(\blk00000003/sig000007c4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a64 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig00000794 ), + .Q(\blk00000003/sig00000ab7 ), + .Q15(\NLW_blk00000003/blk00000a64_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a63 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ab6 ), + .Q(\blk00000003/sig000007c2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a62 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig00000792 ), + .Q(\blk00000003/sig00000ab6 ), + .Q15(\NLW_blk00000003/blk00000a62_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a61 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ab5 ), + .Q(\blk00000003/sig000007c3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a60 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig00000793 ), + .Q(\blk00000003/sig00000ab5 ), + .Q15(\NLW_blk00000003/blk00000a60_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a5f ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ab4 ), + .Q(\blk00000003/sig000007f1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a5e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007c1 ), + .Q(\blk00000003/sig00000ab4 ), + .Q15(\NLW_blk00000003/blk00000a5e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a5d ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ab3 ), + .Q(\blk00000003/sig000007f0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a5c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007c0 ), + .Q(\blk00000003/sig00000ab3 ), + .Q15(\NLW_blk00000003/blk00000a5c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a5b ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ab2 ), + .Q(\blk00000003/sig000007ef ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a5a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007bf ), + .Q(\blk00000003/sig00000ab2 ), + .Q15(\NLW_blk00000003/blk00000a5a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a59 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ab1 ), + .Q(\blk00000003/sig000007ee ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a58 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007be ), + .Q(\blk00000003/sig00000ab1 ), + .Q15(\NLW_blk00000003/blk00000a58_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a57 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ab0 ), + .Q(\blk00000003/sig000007ed ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a56 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007bd ), + .Q(\blk00000003/sig00000ab0 ), + .Q15(\NLW_blk00000003/blk00000a56_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a55 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aaf ), + .Q(\blk00000003/sig000007eb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a54 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007bb ), + .Q(\blk00000003/sig00000aaf ), + .Q15(\NLW_blk00000003/blk00000a54_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a53 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aae ), + .Q(\blk00000003/sig000007ea ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a52 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007ba ), + .Q(\blk00000003/sig00000aae ), + .Q15(\NLW_blk00000003/blk00000a52_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a51 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aad ), + .Q(\blk00000003/sig000007ec ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a50 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007bc ), + .Q(\blk00000003/sig00000aad ), + .Q15(\NLW_blk00000003/blk00000a50_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a4f ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aac ), + .Q(\blk00000003/sig000007e8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a4e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007b8 ), + .Q(\blk00000003/sig00000aac ), + .Q15(\NLW_blk00000003/blk00000a4e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a4d ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aab ), + .Q(\blk00000003/sig000007e7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a4c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007b7 ), + .Q(\blk00000003/sig00000aab ), + .Q15(\NLW_blk00000003/blk00000a4c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a4b ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aaa ), + .Q(\blk00000003/sig000007e9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a4a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007b9 ), + .Q(\blk00000003/sig00000aaa ), + .Q15(\NLW_blk00000003/blk00000a4a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a49 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aa9 ), + .Q(\blk00000003/sig000007e5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a48 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007b5 ), + .Q(\blk00000003/sig00000aa9 ), + .Q15(\NLW_blk00000003/blk00000a48_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a47 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aa8 ), + .Q(\blk00000003/sig000007e4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a46 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007b4 ), + .Q(\blk00000003/sig00000aa8 ), + .Q15(\NLW_blk00000003/blk00000a46_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a45 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aa7 ), + .Q(\blk00000003/sig000007e6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a44 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007b6 ), + .Q(\blk00000003/sig00000aa7 ), + .Q15(\NLW_blk00000003/blk00000a44_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a43 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aa6 ), + .Q(\blk00000003/sig000007e3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a42 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007b3 ), + .Q(\blk00000003/sig00000aa6 ), + .Q15(\NLW_blk00000003/blk00000a42_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a41 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aa5 ), + .Q(\blk00000003/sig000007e2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a40 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007b2 ), + .Q(\blk00000003/sig00000aa5 ), + .Q15(\NLW_blk00000003/blk00000a40_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a3f ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aa4 ), + .Q(\blk00000003/sig000007e1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a3e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007b1 ), + .Q(\blk00000003/sig00000aa4 ), + .Q15(\NLW_blk00000003/blk00000a3e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a3d ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aa3 ), + .Q(\blk00000003/sig000007e0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a3c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007b0 ), + .Q(\blk00000003/sig00000aa3 ), + .Q15(\NLW_blk00000003/blk00000a3c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a3b ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aa2 ), + .Q(\blk00000003/sig000007de ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a3a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007ae ), + .Q(\blk00000003/sig00000aa2 ), + .Q15(\NLW_blk00000003/blk00000a3a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a39 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aa1 ), + .Q(\blk00000003/sig000007dd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a38 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007ad ), + .Q(\blk00000003/sig00000aa1 ), + .Q15(\NLW_blk00000003/blk00000a38_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a37 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aa0 ), + .Q(\blk00000003/sig000007df ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a36 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007af ), + .Q(\blk00000003/sig00000aa0 ), + .Q15(\NLW_blk00000003/blk00000a36_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a35 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000a9f ), + .Q(\blk00000003/sig000007db ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a34 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007ab ), + .Q(\blk00000003/sig00000a9f ), + .Q15(\NLW_blk00000003/blk00000a34_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a33 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000a9e ), + .Q(\blk00000003/sig000007da ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a32 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007aa ), + .Q(\blk00000003/sig00000a9e ), + .Q15(\NLW_blk00000003/blk00000a32_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a31 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000a9d ), + .Q(\blk00000003/sig000007dc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a30 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007ac ), + .Q(\blk00000003/sig00000a9d ), + .Q15(\NLW_blk00000003/blk00000a30_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a2f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a9c ), + .Q(\blk00000003/sig00000761 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a2e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001de ), + .Q(\blk00000003/sig00000a9c ), + .Q15(\NLW_blk00000003/blk00000a2e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a2d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a9b ), + .Q(\blk00000003/sig000009ad ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a2c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(NlwRenamedSig_OI_rfd), + .A3(NlwRenamedSig_OI_rfd), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ca ), + .Q(\blk00000003/sig00000a9b ), + .Q15(\NLW_blk00000003/blk00000a2c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a2b ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a99 ), + .Q(\blk00000003/sig00000a9a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a2a ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000218 ), + .Q(\blk00000003/sig00000a99 ), + .Q15(\NLW_blk00000003/blk00000a2a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a29 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a97 ), + .Q(\blk00000003/sig00000a98 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a28 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000217 ), + .Q(\blk00000003/sig00000a97 ), + .Q15(\NLW_blk00000003/blk00000a28_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a27 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a95 ), + .Q(\blk00000003/sig00000a96 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a26 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000219 ), + .Q(\blk00000003/sig00000a95 ), + .Q15(\NLW_blk00000003/blk00000a26_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a25 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a93 ), + .Q(\blk00000003/sig00000a94 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a24 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000215 ), + .Q(\blk00000003/sig00000a93 ), + .Q15(\NLW_blk00000003/blk00000a24_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a23 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a91 ), + .Q(\blk00000003/sig00000a92 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a22 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000214 ), + .Q(\blk00000003/sig00000a91 ), + .Q15(\NLW_blk00000003/blk00000a22_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a21 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a8f ), + .Q(\blk00000003/sig00000a90 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a20 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000216 ), + .Q(\blk00000003/sig00000a8f ), + .Q15(\NLW_blk00000003/blk00000a20_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a1f ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a8d ), + .Q(\blk00000003/sig00000a8e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a1e ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000212 ), + .Q(\blk00000003/sig00000a8d ), + .Q15(\NLW_blk00000003/blk00000a1e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a1d ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a8b ), + .Q(\blk00000003/sig00000a8c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a1c ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000211 ), + .Q(\blk00000003/sig00000a8b ), + .Q15(\NLW_blk00000003/blk00000a1c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a1b ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a89 ), + .Q(\blk00000003/sig00000a8a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a1a ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000213 ), + .Q(\blk00000003/sig00000a89 ), + .Q15(\NLW_blk00000003/blk00000a1a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a19 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a87 ), + .Q(\blk00000003/sig00000a88 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a18 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000210 ), + .Q(\blk00000003/sig00000a87 ), + .Q15(\NLW_blk00000003/blk00000a18_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a17 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a85 ), + .Q(\blk00000003/sig00000a86 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a16 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig0000020f ), + .Q(\blk00000003/sig00000a85 ), + .Q15(\NLW_blk00000003/blk00000a16_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a15 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a83 ), + .Q(\blk00000003/sig00000a84 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a14 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig0000020e ), + .Q(\blk00000003/sig00000a83 ), + .Q15(\NLW_blk00000003/blk00000a14_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a13 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a81 ), + .Q(\blk00000003/sig00000a82 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a12 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig0000020d ), + .Q(\blk00000003/sig00000a81 ), + .Q15(\NLW_blk00000003/blk00000a12_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a11 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a7f ), + .Q(\blk00000003/sig00000a80 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a10 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig0000020b ), + .Q(\blk00000003/sig00000a7f ), + .Q15(\NLW_blk00000003/blk00000a10_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a0f ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a7d ), + .Q(\blk00000003/sig00000a7e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a0e ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig0000020a ), + .Q(\blk00000003/sig00000a7d ), + .Q15(\NLW_blk00000003/blk00000a0e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a0d ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a7b ), + .Q(\blk00000003/sig00000a7c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a0c ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig0000020c ), + .Q(\blk00000003/sig00000a7b ), + .Q15(\NLW_blk00000003/blk00000a0c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a0b ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a79 ), + .Q(\blk00000003/sig00000a7a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a0a ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000208 ), + .Q(\blk00000003/sig00000a79 ), + .Q15(\NLW_blk00000003/blk00000a0a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a09 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a77 ), + .Q(\blk00000003/sig00000a78 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a08 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000207 ), + .Q(\blk00000003/sig00000a77 ), + .Q15(\NLW_blk00000003/blk00000a08_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a07 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a75 ), + .Q(\blk00000003/sig00000a76 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a06 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000209 ), + .Q(\blk00000003/sig00000a75 ), + .Q15(\NLW_blk00000003/blk00000a06_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a05 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a73 ), + .Q(\blk00000003/sig00000a74 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a04 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000205 ), + .Q(\blk00000003/sig00000a73 ), + .Q15(\NLW_blk00000003/blk00000a04_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a03 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a71 ), + .Q(\blk00000003/sig00000a72 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a02 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000204 ), + .Q(\blk00000003/sig00000a71 ), + .Q15(\NLW_blk00000003/blk00000a02_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a01 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a6f ), + .Q(\blk00000003/sig00000a70 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a00 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000206 ), + .Q(\blk00000003/sig00000a6f ), + .Q15(\NLW_blk00000003/blk00000a00_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ff ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a6d ), + .Q(\blk00000003/sig00000a6e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009fe ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000203 ), + .Q(\blk00000003/sig00000a6d ), + .Q15(\NLW_blk00000003/blk000009fe_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009fd ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a6b ), + .Q(\blk00000003/sig00000a6c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009fc ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000202 ), + .Q(\blk00000003/sig00000a6b ), + .Q15(\NLW_blk00000003/blk000009fc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009fb ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a69 ), + .Q(\blk00000003/sig00000a6a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009fa ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000201 ), + .Q(\blk00000003/sig00000a69 ), + .Q15(\NLW_blk00000003/blk000009fa_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009f9 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a67 ), + .Q(\blk00000003/sig00000a68 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009f8 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000200 ), + .Q(\blk00000003/sig00000a67 ), + .Q15(\NLW_blk00000003/blk000009f8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009f7 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a65 ), + .Q(\blk00000003/sig00000a66 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009f6 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001fe ), + .Q(\blk00000003/sig00000a65 ), + .Q15(\NLW_blk00000003/blk000009f6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009f5 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a63 ), + .Q(\blk00000003/sig00000a64 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009f4 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001fd ), + .Q(\blk00000003/sig00000a63 ), + .Q15(\NLW_blk00000003/blk000009f4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009f3 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a61 ), + .Q(\blk00000003/sig00000a62 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009f2 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001ff ), + .Q(\blk00000003/sig00000a61 ), + .Q15(\NLW_blk00000003/blk000009f2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009f1 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a5f ), + .Q(\blk00000003/sig00000a60 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009f0 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001fb ), + .Q(\blk00000003/sig00000a5f ), + .Q15(\NLW_blk00000003/blk000009f0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ef ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a5d ), + .Q(\blk00000003/sig00000a5e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ee ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001fa ), + .Q(\blk00000003/sig00000a5d ), + .Q15(\NLW_blk00000003/blk000009ee_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ed ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a5b ), + .Q(\blk00000003/sig00000a5c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ec ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001fc ), + .Q(\blk00000003/sig00000a5b ), + .Q15(\NLW_blk00000003/blk000009ec_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009eb ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a59 ), + .Q(\blk00000003/sig00000a5a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ea ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001f8 ), + .Q(\blk00000003/sig00000a59 ), + .Q15(\NLW_blk00000003/blk000009ea_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009e9 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a57 ), + .Q(\blk00000003/sig00000a58 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009e8 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001f7 ), + .Q(\blk00000003/sig00000a57 ), + .Q15(\NLW_blk00000003/blk000009e8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009e7 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a55 ), + .Q(\blk00000003/sig00000a56 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009e6 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001f9 ), + .Q(\blk00000003/sig00000a55 ), + .Q15(\NLW_blk00000003/blk000009e6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009e5 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a53 ), + .Q(\blk00000003/sig00000a54 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009e4 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001f6 ), + .Q(\blk00000003/sig00000a53 ), + .Q15(\NLW_blk00000003/blk000009e4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009e3 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a51 ), + .Q(\blk00000003/sig00000a52 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009e2 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001f5 ), + .Q(\blk00000003/sig00000a51 ), + .Q15(\NLW_blk00000003/blk000009e2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009e1 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a4f ), + .Q(\blk00000003/sig00000a50 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009e0 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001f4 ), + .Q(\blk00000003/sig00000a4f ), + .Q15(\NLW_blk00000003/blk000009e0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009df ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a4d ), + .Q(\blk00000003/sig00000a4e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009de ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001f3 ), + .Q(\blk00000003/sig00000a4d ), + .Q15(\NLW_blk00000003/blk000009de_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009dd ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a4b ), + .Q(\blk00000003/sig00000a4c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009dc ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001f1 ), + .Q(\blk00000003/sig00000a4b ), + .Q15(\NLW_blk00000003/blk000009dc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009db ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a49 ), + .Q(\blk00000003/sig00000a4a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009da ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001f0 ), + .Q(\blk00000003/sig00000a49 ), + .Q15(\NLW_blk00000003/blk000009da_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009d9 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a47 ), + .Q(\blk00000003/sig00000a48 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009d8 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001f2 ), + .Q(\blk00000003/sig00000a47 ), + .Q15(\NLW_blk00000003/blk000009d8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009d7 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a45 ), + .Q(\blk00000003/sig00000a46 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009d6 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001ee ), + .Q(\blk00000003/sig00000a45 ), + .Q15(\NLW_blk00000003/blk000009d6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009d5 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a43 ), + .Q(\blk00000003/sig00000a44 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009d4 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001ed ), + .Q(\blk00000003/sig00000a43 ), + .Q15(\NLW_blk00000003/blk000009d4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009d3 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a41 ), + .Q(\blk00000003/sig00000a42 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009d2 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001ef ), + .Q(\blk00000003/sig00000a41 ), + .Q15(\NLW_blk00000003/blk000009d2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009d1 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a3f ), + .Q(\blk00000003/sig00000a40 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009d0 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001eb ), + .Q(\blk00000003/sig00000a3f ), + .Q15(\NLW_blk00000003/blk000009d0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009cf ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a3d ), + .Q(\blk00000003/sig00000a3e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ce ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001ea ), + .Q(\blk00000003/sig00000a3d ), + .Q15(\NLW_blk00000003/blk000009ce_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009cd ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a3b ), + .Q(\blk00000003/sig00000a3c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009cc ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001ec ), + .Q(\blk00000003/sig00000a3b ), + .Q15(\NLW_blk00000003/blk000009cc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009cb ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a3a ), + .Q(\blk00000003/sig000007a9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ca ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000779 ), + .Q(\blk00000003/sig00000a3a ), + .Q15(\NLW_blk00000003/blk000009ca_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009c9 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a39 ), + .Q(\blk00000003/sig000007a7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009c8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000777 ), + .Q(\blk00000003/sig00000a39 ), + .Q15(\NLW_blk00000003/blk000009c8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009c7 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a38 ), + .Q(\blk00000003/sig000007a6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009c6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000776 ), + .Q(\blk00000003/sig00000a38 ), + .Q15(\NLW_blk00000003/blk000009c6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009c5 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a37 ), + .Q(\blk00000003/sig000007a8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009c4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000778 ), + .Q(\blk00000003/sig00000a37 ), + .Q15(\NLW_blk00000003/blk000009c4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009c3 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a36 ), + .Q(\blk00000003/sig000007a4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009c2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000774 ), + .Q(\blk00000003/sig00000a36 ), + .Q15(\NLW_blk00000003/blk000009c2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009c1 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a35 ), + .Q(\blk00000003/sig000007a3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009c0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000773 ), + .Q(\blk00000003/sig00000a35 ), + .Q15(\NLW_blk00000003/blk000009c0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009bf ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a34 ), + .Q(\blk00000003/sig000007a5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009be ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000775 ), + .Q(\blk00000003/sig00000a34 ), + .Q15(\NLW_blk00000003/blk000009be_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009bd ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a33 ), + .Q(\blk00000003/sig000007a2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009bc ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000772 ), + .Q(\blk00000003/sig00000a33 ), + .Q15(\NLW_blk00000003/blk000009bc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009bb ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a32 ), + .Q(\blk00000003/sig000007a1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ba ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000771 ), + .Q(\blk00000003/sig00000a32 ), + .Q15(\NLW_blk00000003/blk000009ba_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009b9 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a31 ), + .Q(\blk00000003/sig000007a0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009b8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000770 ), + .Q(\blk00000003/sig00000a31 ), + .Q15(\NLW_blk00000003/blk000009b8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009b7 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a30 ), + .Q(\blk00000003/sig0000079f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009b6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000076f ), + .Q(\blk00000003/sig00000a30 ), + .Q15(\NLW_blk00000003/blk000009b6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009b5 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a2f ), + .Q(\blk00000003/sig0000079d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009b4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000076d ), + .Q(\blk00000003/sig00000a2f ), + .Q15(\NLW_blk00000003/blk000009b4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009b3 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a2e ), + .Q(\blk00000003/sig0000079c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009b2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000076c ), + .Q(\blk00000003/sig00000a2e ), + .Q15(\NLW_blk00000003/blk000009b2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009b1 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a2d ), + .Q(\blk00000003/sig0000079e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009b0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000076e ), + .Q(\blk00000003/sig00000a2d ), + .Q15(\NLW_blk00000003/blk000009b0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009af ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a2c ), + .Q(\blk00000003/sig0000079a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ae ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000076a ), + .Q(\blk00000003/sig00000a2c ), + .Q15(\NLW_blk00000003/blk000009ae_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ad ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a2b ), + .Q(\blk00000003/sig00000799 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ac ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000769 ), + .Q(\blk00000003/sig00000a2b ), + .Q15(\NLW_blk00000003/blk000009ac_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ab ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a2a ), + .Q(\blk00000003/sig0000079b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009aa ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000076b ), + .Q(\blk00000003/sig00000a2a ), + .Q15(\NLW_blk00000003/blk000009aa_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009a9 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a29 ), + .Q(\blk00000003/sig00000797 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009a8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000767 ), + .Q(\blk00000003/sig00000a29 ), + .Q15(\NLW_blk00000003/blk000009a8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009a7 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a28 ), + .Q(\blk00000003/sig00000796 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009a6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000766 ), + .Q(\blk00000003/sig00000a28 ), + .Q15(\NLW_blk00000003/blk000009a6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009a5 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a27 ), + .Q(\blk00000003/sig00000798 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009a4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000768 ), + .Q(\blk00000003/sig00000a27 ), + .Q15(\NLW_blk00000003/blk000009a4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009a3 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a26 ), + .Q(\blk00000003/sig00000794 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009a2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000764 ), + .Q(\blk00000003/sig00000a26 ), + .Q15(\NLW_blk00000003/blk000009a2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009a1 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a25 ), + .Q(\blk00000003/sig00000793 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009a0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000763 ), + .Q(\blk00000003/sig00000a25 ), + .Q15(\NLW_blk00000003/blk000009a0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000099f ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a24 ), + .Q(\blk00000003/sig00000795 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000099e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000765 ), + .Q(\blk00000003/sig00000a24 ), + .Q15(\NLW_blk00000003/blk0000099e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000099d ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a23 ), + .Q(\blk00000003/sig00000792 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000099c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000762 ), + .Q(\blk00000003/sig00000a23 ), + .Q15(\NLW_blk00000003/blk0000099c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000099b ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a22 ), + .Q(\blk00000003/sig000007c0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000099a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000790 ), + .Q(\blk00000003/sig00000a22 ), + .Q15(\NLW_blk00000003/blk0000099a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000999 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a21 ), + .Q(\blk00000003/sig000007bf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000998 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000078f ), + .Q(\blk00000003/sig00000a21 ), + .Q15(\NLW_blk00000003/blk00000998_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000997 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a20 ), + .Q(\blk00000003/sig000007c1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000996 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000791 ), + .Q(\blk00000003/sig00000a20 ), + .Q15(\NLW_blk00000003/blk00000996_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000995 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a1f ), + .Q(\blk00000003/sig000007bd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000994 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000078d ), + .Q(\blk00000003/sig00000a1f ), + .Q15(\NLW_blk00000003/blk00000994_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000993 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a1e ), + .Q(\blk00000003/sig000007bc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000992 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000078c ), + .Q(\blk00000003/sig00000a1e ), + .Q15(\NLW_blk00000003/blk00000992_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000991 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a1d ), + .Q(\blk00000003/sig000007be ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000990 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000078e ), + .Q(\blk00000003/sig00000a1d ), + .Q15(\NLW_blk00000003/blk00000990_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000098f ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a1c ), + .Q(\blk00000003/sig000007ba ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000098e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000078a ), + .Q(\blk00000003/sig00000a1c ), + .Q15(\NLW_blk00000003/blk0000098e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000098d ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a1b ), + .Q(\blk00000003/sig000007b9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000098c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000789 ), + .Q(\blk00000003/sig00000a1b ), + .Q15(\NLW_blk00000003/blk0000098c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000098b ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a1a ), + .Q(\blk00000003/sig000007bb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000098a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000078b ), + .Q(\blk00000003/sig00000a1a ), + .Q15(\NLW_blk00000003/blk0000098a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000989 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a19 ), + .Q(\blk00000003/sig000007b7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000988 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000787 ), + .Q(\blk00000003/sig00000a19 ), + .Q15(\NLW_blk00000003/blk00000988_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000987 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a18 ), + .Q(\blk00000003/sig000007b6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000986 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000786 ), + .Q(\blk00000003/sig00000a18 ), + .Q15(\NLW_blk00000003/blk00000986_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000985 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a17 ), + .Q(\blk00000003/sig000007b8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000984 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000788 ), + .Q(\blk00000003/sig00000a17 ), + .Q15(\NLW_blk00000003/blk00000984_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000983 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a16 ), + .Q(\blk00000003/sig000007b4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000982 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000784 ), + .Q(\blk00000003/sig00000a16 ), + .Q15(\NLW_blk00000003/blk00000982_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000981 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a15 ), + .Q(\blk00000003/sig000007b3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000980 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000783 ), + .Q(\blk00000003/sig00000a15 ), + .Q15(\NLW_blk00000003/blk00000980_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000097f ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a14 ), + .Q(\blk00000003/sig000007b5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000097e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000785 ), + .Q(\blk00000003/sig00000a14 ), + .Q15(\NLW_blk00000003/blk0000097e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000097d ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a13 ), + .Q(\blk00000003/sig000007b2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000097c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000782 ), + .Q(\blk00000003/sig00000a13 ), + .Q15(\NLW_blk00000003/blk0000097c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000097b ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a12 ), + .Q(\blk00000003/sig000007b1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000097a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000781 ), + .Q(\blk00000003/sig00000a12 ), + .Q15(\NLW_blk00000003/blk0000097a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000979 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a11 ), + .Q(\blk00000003/sig000007b0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000978 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000780 ), + .Q(\blk00000003/sig00000a11 ), + .Q15(\NLW_blk00000003/blk00000978_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000977 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a10 ), + .Q(\blk00000003/sig000007af ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000976 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000077f ), + .Q(\blk00000003/sig00000a10 ), + .Q15(\NLW_blk00000003/blk00000976_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000975 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a0f ), + .Q(\blk00000003/sig000007ad ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000974 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000077d ), + .Q(\blk00000003/sig00000a0f ), + .Q15(\NLW_blk00000003/blk00000974_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000973 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a0e ), + .Q(\blk00000003/sig000007ac ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000972 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000077c ), + .Q(\blk00000003/sig00000a0e ), + .Q15(\NLW_blk00000003/blk00000972_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000971 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a0d ), + .Q(\blk00000003/sig000007ae ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000970 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000077e ), + .Q(\blk00000003/sig00000a0d ), + .Q15(\NLW_blk00000003/blk00000970_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000096f ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a0c ), + .Q(\blk00000003/sig000007aa ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000096e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000077a ), + .Q(\blk00000003/sig00000a0c ), + .Q15(\NLW_blk00000003/blk0000096e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000096d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a0b ), + .Q(\blk00000003/sig00000869 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000096c ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000219 ), + .Q(\blk00000003/sig00000a0b ), + .Q15(\NLW_blk00000003/blk0000096c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000096b ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a0a ), + .Q(\blk00000003/sig000007ab ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000096a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000077b ), + .Q(\blk00000003/sig00000a0a ), + .Q15(\NLW_blk00000003/blk0000096a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000969 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a09 ), + .Q(\blk00000003/sig00000867 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000968 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000217 ), + .Q(\blk00000003/sig00000a09 ), + .Q15(\NLW_blk00000003/blk00000968_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000967 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a08 ), + .Q(\blk00000003/sig00000866 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000966 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000216 ), + .Q(\blk00000003/sig00000a08 ), + .Q15(\NLW_blk00000003/blk00000966_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000965 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a07 ), + .Q(\blk00000003/sig00000868 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000964 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000218 ), + .Q(\blk00000003/sig00000a07 ), + .Q15(\NLW_blk00000003/blk00000964_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000963 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a06 ), + .Q(\blk00000003/sig00000865 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000962 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000215 ), + .Q(\blk00000003/sig00000a06 ), + .Q15(\NLW_blk00000003/blk00000962_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000961 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a05 ), + .Q(\blk00000003/sig00000864 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000960 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000214 ), + .Q(\blk00000003/sig00000a05 ), + .Q15(\NLW_blk00000003/blk00000960_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000095f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a04 ), + .Q(\blk00000003/sig00000863 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000095e ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000213 ), + .Q(\blk00000003/sig00000a04 ), + .Q15(\NLW_blk00000003/blk0000095e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000095d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a03 ), + .Q(\blk00000003/sig00000862 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000095c ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000212 ), + .Q(\blk00000003/sig00000a03 ), + .Q15(\NLW_blk00000003/blk0000095c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000095b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a02 ), + .Q(\blk00000003/sig00000860 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000095a ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000210 ), + .Q(\blk00000003/sig00000a02 ), + .Q15(\NLW_blk00000003/blk0000095a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000959 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a01 ), + .Q(\blk00000003/sig0000085f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000958 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020f ), + .Q(\blk00000003/sig00000a01 ), + .Q15(\NLW_blk00000003/blk00000958_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000957 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a00 ), + .Q(\blk00000003/sig00000861 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000956 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000211 ), + .Q(\blk00000003/sig00000a00 ), + .Q15(\NLW_blk00000003/blk00000956_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000955 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ff ), + .Q(\blk00000003/sig0000085d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000954 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020d ), + .Q(\blk00000003/sig000009ff ), + .Q15(\NLW_blk00000003/blk00000954_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000953 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009fe ), + .Q(\blk00000003/sig0000085c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000952 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020c ), + .Q(\blk00000003/sig000009fe ), + .Q15(\NLW_blk00000003/blk00000952_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000951 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009fd ), + .Q(\blk00000003/sig0000085e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000950 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020e ), + .Q(\blk00000003/sig000009fd ), + .Q15(\NLW_blk00000003/blk00000950_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000094f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009fc ), + .Q(\blk00000003/sig0000085a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000094e ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020a ), + .Q(\blk00000003/sig000009fc ), + .Q15(\NLW_blk00000003/blk0000094e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000094d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009fb ), + .Q(\blk00000003/sig00000859 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000094c ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000209 ), + .Q(\blk00000003/sig000009fb ), + .Q15(\NLW_blk00000003/blk0000094c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000094b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009fa ), + .Q(\blk00000003/sig0000085b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000094a ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020b ), + .Q(\blk00000003/sig000009fa ), + .Q15(\NLW_blk00000003/blk0000094a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000949 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f9 ), + .Q(\blk00000003/sig00000858 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000948 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000208 ), + .Q(\blk00000003/sig000009f9 ), + .Q15(\NLW_blk00000003/blk00000948_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000947 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f8 ), + .Q(\blk00000003/sig00000857 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000946 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000207 ), + .Q(\blk00000003/sig000009f8 ), + .Q15(\NLW_blk00000003/blk00000946_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000945 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f7 ), + .Q(\blk00000003/sig00000856 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000944 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000206 ), + .Q(\blk00000003/sig000009f7 ), + .Q15(\NLW_blk00000003/blk00000944_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000943 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f6 ), + .Q(\blk00000003/sig00000855 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000942 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000205 ), + .Q(\blk00000003/sig000009f6 ), + .Q15(\NLW_blk00000003/blk00000942_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000941 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f5 ), + .Q(\blk00000003/sig00000853 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000940 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000203 ), + .Q(\blk00000003/sig000009f5 ), + .Q15(\NLW_blk00000003/blk00000940_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000093f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f4 ), + .Q(\blk00000003/sig00000852 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000093e ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000202 ), + .Q(\blk00000003/sig000009f4 ), + .Q15(\NLW_blk00000003/blk0000093e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000093d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f3 ), + .Q(\blk00000003/sig00000854 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000093c ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000204 ), + .Q(\blk00000003/sig000009f3 ), + .Q15(\NLW_blk00000003/blk0000093c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000093b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f2 ), + .Q(\blk00000003/sig00000898 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000093a ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000200 ), + .Q(\blk00000003/sig000009f2 ), + .Q15(\NLW_blk00000003/blk0000093a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000939 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f1 ), + .Q(\blk00000003/sig00000897 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000938 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ff ), + .Q(\blk00000003/sig000009f1 ), + .Q15(\NLW_blk00000003/blk00000938_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000937 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f0 ), + .Q(\blk00000003/sig00000899 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000936 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000201 ), + .Q(\blk00000003/sig000009f0 ), + .Q15(\NLW_blk00000003/blk00000936_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000935 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ef ), + .Q(\blk00000003/sig00000895 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000934 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fd ), + .Q(\blk00000003/sig000009ef ), + .Q15(\NLW_blk00000003/blk00000934_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000933 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ee ), + .Q(\blk00000003/sig00000894 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000932 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fc ), + .Q(\blk00000003/sig000009ee ), + .Q15(\NLW_blk00000003/blk00000932_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000931 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ed ), + .Q(\blk00000003/sig00000896 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000930 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fe ), + .Q(\blk00000003/sig000009ed ), + .Q15(\NLW_blk00000003/blk00000930_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000092f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ec ), + .Q(\blk00000003/sig00000893 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000092e ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fb ), + .Q(\blk00000003/sig000009ec ), + .Q15(\NLW_blk00000003/blk0000092e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000092d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009eb ), + .Q(\blk00000003/sig00000892 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000092c ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fa ), + .Q(\blk00000003/sig000009eb ), + .Q15(\NLW_blk00000003/blk0000092c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000092b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ea ), + .Q(\blk00000003/sig00000891 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000092a ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f9 ), + .Q(\blk00000003/sig000009ea ), + .Q15(\NLW_blk00000003/blk0000092a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000929 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009e9 ), + .Q(\blk00000003/sig00000890 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000928 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f8 ), + .Q(\blk00000003/sig000009e9 ), + .Q15(\NLW_blk00000003/blk00000928_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000927 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009e8 ), + .Q(\blk00000003/sig0000088e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000926 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f6 ), + .Q(\blk00000003/sig000009e8 ), + .Q15(\NLW_blk00000003/blk00000926_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000925 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009e7 ), + .Q(\blk00000003/sig0000088d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000924 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f5 ), + .Q(\blk00000003/sig000009e7 ), + .Q15(\NLW_blk00000003/blk00000924_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000923 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009e6 ), + .Q(\blk00000003/sig0000088f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000922 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f7 ), + .Q(\blk00000003/sig000009e6 ), + .Q15(\NLW_blk00000003/blk00000922_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000921 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009e5 ), + .Q(\blk00000003/sig0000088b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000920 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f3 ), + .Q(\blk00000003/sig000009e5 ), + .Q15(\NLW_blk00000003/blk00000920_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000091f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009e4 ), + .Q(\blk00000003/sig0000088a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000091e ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f2 ), + .Q(\blk00000003/sig000009e4 ), + .Q15(\NLW_blk00000003/blk0000091e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000091d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009e3 ), + .Q(\blk00000003/sig0000088c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000091c ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f4 ), + .Q(\blk00000003/sig000009e3 ), + .Q15(\NLW_blk00000003/blk0000091c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000091b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009e2 ), + .Q(\blk00000003/sig00000888 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000091a ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f0 ), + .Q(\blk00000003/sig000009e2 ), + .Q15(\NLW_blk00000003/blk0000091a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000919 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009e1 ), + .Q(\blk00000003/sig00000887 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000918 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ef ), + .Q(\blk00000003/sig000009e1 ), + .Q15(\NLW_blk00000003/blk00000918_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000917 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009e0 ), + .Q(\blk00000003/sig00000889 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000916 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f1 ), + .Q(\blk00000003/sig000009e0 ), + .Q15(\NLW_blk00000003/blk00000916_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000915 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009df ), + .Q(\blk00000003/sig00000886 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000914 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ee ), + .Q(\blk00000003/sig000009df ), + .Q15(\NLW_blk00000003/blk00000914_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000913 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009de ), + .Q(\blk00000003/sig00000885 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000912 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ed ), + .Q(\blk00000003/sig000009de ), + .Q15(\NLW_blk00000003/blk00000912_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000911 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009dd ), + .Q(\blk00000003/sig00000884 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000910 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ec ), + .Q(\blk00000003/sig000009dd ), + .Q15(\NLW_blk00000003/blk00000910_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000090f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009dc ), + .Q(\blk00000003/sig00000883 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000090e ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001eb ), + .Q(\blk00000003/sig000009dc ), + .Q15(\NLW_blk00000003/blk0000090e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000090d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009db ), + .Q(\blk00000003/sig000002a3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000090c ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001d5 ), + .Q(\blk00000003/sig000009db ), + .Q15(\NLW_blk00000003/blk0000090c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000090b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009da ), + .Q(\blk00000003/sig000002a4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000090a ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000029e ), + .Q(\blk00000003/sig000009da ), + .Q15(\NLW_blk00000003/blk0000090a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000909 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009d9 ), + .Q(\blk00000003/sig00000882 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000908 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ea ), + .Q(\blk00000003/sig000009d9 ), + .Q15(\NLW_blk00000003/blk00000908_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000907 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009d8 ), + .Q(\blk00000003/sig000008c3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000906 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[0]), + .Q(\blk00000003/sig000009d8 ), + .Q15(\NLW_blk00000003/blk00000906_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000905 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009d7 ), + .Q(\blk00000003/sig000008c2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000904 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[1]), + .Q(\blk00000003/sig000009d7 ), + .Q15(\NLW_blk00000003/blk00000904_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000903 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009d6 ), + .Q(\blk00000003/sig000009b2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000902 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001d1 ), + .Q(\blk00000003/sig000009d6 ), + .Q15(\NLW_blk00000003/blk00000902_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000901 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009d5 ), + .Q(\blk00000003/sig000008c0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000900 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[3]), + .Q(\blk00000003/sig000009d5 ), + .Q15(\NLW_blk00000003/blk00000900_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009d4 ), + .Q(\blk00000003/sig000008bf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008fe ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[4]), + .Q(\blk00000003/sig000009d4 ), + .Q15(\NLW_blk00000003/blk000008fe_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009d3 ), + .Q(\blk00000003/sig000008c1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008fc ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[2]), + .Q(\blk00000003/sig000009d3 ), + .Q15(\NLW_blk00000003/blk000008fc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009d2 ), + .Q(\blk00000003/sig000008be ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008fa ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[5]), + .Q(\blk00000003/sig000009d2 ), + .Q15(\NLW_blk00000003/blk000008fa_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009d1 ), + .Q(\blk00000003/sig000008bd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008f8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[6]), + .Q(\blk00000003/sig000009d1 ), + .Q15(\NLW_blk00000003/blk000008f8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009d0 ), + .Q(\blk00000003/sig000008bc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008f6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[7]), + .Q(\blk00000003/sig000009d0 ), + .Q15(\NLW_blk00000003/blk000008f6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009cf ), + .Q(\blk00000003/sig000008bb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008f4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[8]), + .Q(\blk00000003/sig000009cf ), + .Q15(\NLW_blk00000003/blk000008f4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ce ), + .Q(\blk00000003/sig000008b9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008f2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[10]), + .Q(\blk00000003/sig000009ce ), + .Q15(\NLW_blk00000003/blk000008f2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009cd ), + .Q(\blk00000003/sig000008b8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008f0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[11]), + .Q(\blk00000003/sig000009cd ), + .Q15(\NLW_blk00000003/blk000008f0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009cc ), + .Q(\blk00000003/sig000008ba ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008ee ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[9]), + .Q(\blk00000003/sig000009cc ), + .Q15(\NLW_blk00000003/blk000008ee_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009cb ), + .Q(\blk00000003/sig000008b6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008ec ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[13]), + .Q(\blk00000003/sig000009cb ), + .Q15(\NLW_blk00000003/blk000008ec_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ca ), + .Q(\blk00000003/sig000008b5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008ea ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[14]), + .Q(\blk00000003/sig000009ca ), + .Q15(\NLW_blk00000003/blk000008ea_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009c9 ), + .Q(\blk00000003/sig000008b7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008e8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[12]), + .Q(\blk00000003/sig000009c9 ), + .Q15(\NLW_blk00000003/blk000008e8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009c8 ), + .Q(\blk00000003/sig000008b3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008e6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[16]), + .Q(\blk00000003/sig000009c8 ), + .Q15(\NLW_blk00000003/blk000008e6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009c7 ), + .Q(\blk00000003/sig000008b2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008e4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[17]), + .Q(\blk00000003/sig000009c7 ), + .Q15(\NLW_blk00000003/blk000008e4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009c6 ), + .Q(\blk00000003/sig000008b4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008e2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[15]), + .Q(\blk00000003/sig000009c6 ), + .Q15(\NLW_blk00000003/blk000008e2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009c5 ), + .Q(\blk00000003/sig000001de ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008e0 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001e0 ), + .Q(\blk00000003/sig000009c5 ), + .Q15(\NLW_blk00000003/blk000008e0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008df ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009c4 ), + .Q(\blk00000003/sig00000760 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008de ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001d7 ), + .Q(\blk00000003/sig000009c4 ), + .Q15(\NLW_blk00000003/blk000008de_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008dd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009c3 ), + .Q(\blk00000003/sig0000091f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008dc ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001cf ), + .Q(\blk00000003/sig000009c3 ), + .Q15(\NLW_blk00000003/blk000008dc_Q15_UNCONNECTED ) + ); + INV \blk00000003/blk000008db ( + .I(\blk00000003/sig00000243 ), + .O(\blk00000003/sig00000275 ) + ); + INV \blk00000003/blk000008da ( + .I(\blk00000003/sig00000223 ), + .O(\blk00000003/sig00000232 ) + ); + INV \blk00000003/blk000008d9 ( + .I(\blk00000003/sig0000027e ), + .O(\blk00000003/sig0000026e ) + ); + INV \blk00000003/blk000008d8 ( + .I(\blk00000003/sig000001cf ), + .O(\blk00000003/sig00000283 ) + ); + INV \blk00000003/blk000008d7 ( + .I(\blk00000003/sig00000285 ), + .O(\blk00000003/sig00000274 ) + ); + INV \blk00000003/blk000008d6 ( + .I(\blk00000003/sig00000931 ), + .O(\blk00000003/sig000009ab ) + ); + INV \blk00000003/blk000008d5 ( + .I(\blk00000003/sig00000247 ), + .O(\blk00000003/sig00000286 ) + ); + INV \blk00000003/blk000008d4 ( + .I(\blk00000003/sig00000243 ), + .O(\blk00000003/sig0000026f ) + ); + INV \blk00000003/blk000008d3 ( + .I(\blk00000003/sig0000021d ), + .O(\blk00000003/sig00000248 ) + ); + INV \blk00000003/blk000008d2 ( + .I(\blk00000003/sig00000223 ), + .O(\blk00000003/sig00000231 ) + ); + INV \blk00000003/blk000008d1 ( + .I(\blk00000003/sig000001cd ), + .O(\blk00000003/sig000000c1 ) + ); + INV \blk00000003/blk000008d0 ( + .I(\blk00000003/sig000000b4 ), + .O(\blk00000003/sig000001cb ) + ); + INV \blk00000003/blk000008cf ( + .I(\blk00000003/sig000000be ), + .O(\blk00000003/sig000000bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000937 ), + .Q(\blk00000003/sig000009b0 ) + ); + LUT3 #( + .INIT ( 8'h20 )) + \blk00000003/blk000008cd ( + .I0(\blk00000003/sig00000239 ), + .I1(\blk00000003/sig00000250 ), + .I2(coef_ld), + .O(\blk00000003/sig0000024c ) + ); + LUT5 #( + .INIT ( 32'h4F444444 )) + \blk00000003/blk000008cc ( + .I0(\blk00000003/sig0000024d ), + .I1(\blk00000003/sig00000241 ), + .I2(\blk00000003/sig00000250 ), + .I3(coef_ld), + .I4(\blk00000003/sig00000239 ), + .O(\blk00000003/sig00000244 ) + ); + LUT4 #( + .INIT ( 16'h1000 )) + \blk00000003/blk000008cb ( + .I0(coef_ld), + .I1(\blk00000003/sig0000023b ), + .I2(coef_we), + .I3(\blk00000003/sig00000239 ), + .O(\blk00000003/sig0000024b ) + ); + LUT4 #( + .INIT ( 16'hEAAA )) + \blk00000003/blk000008ca ( + .I0(\blk00000003/sig0000025b ), + .I1(\blk00000003/sig00000227 ), + .I2(coef_we), + .I3(\blk00000003/sig0000022f ), + .O(\blk00000003/sig00000255 ) + ); + LUT5 #( + .INIT ( 32'h20AA2020 )) + \blk00000003/blk000008c9 ( + .I0(\blk00000003/sig00000239 ), + .I1(\blk00000003/sig0000023b ), + .I2(coef_we), + .I3(\blk00000003/sig00000250 ), + .I4(coef_ld), + .O(\blk00000003/sig0000024a ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk000008c8 ( + .I0(ce), + .I1(sclr), + .I2(\blk00000003/sig000009b3 ), + .O(\blk00000003/sig000009ba ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk000008c7 ( + .I0(\blk00000003/sig0000027e ), + .I1(ce), + .I2(\blk00000003/sig00000241 ), + .I3(\blk00000003/sig0000021b ), + .O(\blk00000003/sig000009c2 ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk000008c6 ( + .I0(\blk00000003/sig00000285 ), + .I1(ce), + .I2(\blk00000003/sig0000023f ), + .I3(\blk00000003/sig00000276 ), + .O(\blk00000003/sig000009c1 ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk000008c5 ( + .I0(\blk00000003/sig000009af ), + .I1(ce), + .I2(\blk00000003/sig000001d5 ), + .I3(\blk00000003/sig000001d7 ), + .O(\blk00000003/sig000009bc ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk000008c4 ( + .I0(ce), + .I1(\blk00000003/sig00000250 ), + .I2(\blk00000003/sig000009b1 ), + .O(\blk00000003/sig000009b9 ) + ); + LUT5 #( + .INIT ( 32'h6AAAAAAA )) + \blk00000003/blk000008c3 ( + .I0(\blk00000003/sig000001e7 ), + .I1(\blk00000003/sig000009ae ), + .I2(\blk00000003/sig000000ad ), + .I3(ce), + .I4(nd), + .O(\blk00000003/sig000009c0 ) + ); + LUT4 #( + .INIT ( 16'h6AAA )) + \blk00000003/blk000008c2 ( + .I0(\blk00000003/sig000001e2 ), + .I1(\blk00000003/sig000001d7 ), + .I2(\blk00000003/sig000009be ), + .I3(ce), + .O(\blk00000003/sig000009bf ) + ); + LUT3 #( + .INIT ( 8'h6C )) + \blk00000003/blk000008c1 ( + .I0(\blk00000003/sig000001d7 ), + .I1(\blk00000003/sig000009be ), + .I2(ce), + .O(\blk00000003/sig000009bd ) + ); + LUT4 #( + .INIT ( 16'h6AAA )) + \blk00000003/blk000008c0 ( + .I0(\blk00000003/sig000009ae ), + .I1(\blk00000003/sig000000ad ), + .I2(ce), + .I3(nd), + .O(\blk00000003/sig000009bb ) + ); + LUT4 #( + .INIT ( 16'hECCC )) + \blk00000003/blk000008bf ( + .I0(coef_we), + .I1(\blk00000003/sig0000025c ), + .I2(\blk00000003/sig00000227 ), + .I3(\blk00000003/sig0000022f ), + .O(\blk00000003/sig00000258 ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk000008be ( + .C(clk), + .D(\blk00000003/sig000009c2 ), + .Q(\blk00000003/sig0000027e ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk000008bd ( + .C(clk), + .D(\blk00000003/sig000009c1 ), + .Q(\blk00000003/sig00000285 ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk000008bc ( + .C(clk), + .D(\blk00000003/sig000009c0 ), + .R(sclr), + .Q(\blk00000003/sig000001e7 ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk000008bb ( + .C(clk), + .D(\blk00000003/sig000009bf ), + .R(sclr), + .Q(\blk00000003/sig000001e2 ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk000008ba ( + .C(clk), + .D(\blk00000003/sig000009bd ), + .R(sclr), + .Q(\blk00000003/sig000009be ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk000008b9 ( + .C(clk), + .D(\blk00000003/sig000009bc ), + .R(sclr), + .Q(\blk00000003/sig000009af ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk000008b8 ( + .C(clk), + .D(\blk00000003/sig000009bb ), + .R(sclr), + .Q(\blk00000003/sig000009ae ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000008b7 ( + .I0(\blk00000003/sig00000935 ), + .O(\blk00000003/sig0000092f ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000008b6 ( + .I0(\blk00000003/sig00000934 ), + .O(\blk00000003/sig0000092c ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000008b5 ( + .I0(\blk00000003/sig00000933 ), + .O(\blk00000003/sig00000929 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000008b4 ( + .I0(\blk00000003/sig00000932 ), + .O(\blk00000003/sig00000926 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000008b3 ( + .I0(\blk00000003/sig00000931 ), + .O(\blk00000003/sig00000923 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000008b2 ( + .I0(\blk00000003/sig000002a0 ), + .O(\blk00000003/sig000002a1 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000008b1 ( + .I0(\blk00000003/sig00000294 ), + .O(\blk00000003/sig0000028e ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000008b0 ( + .I0(\blk00000003/sig0000025f ), + .O(\blk00000003/sig00000260 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000008af ( + .I0(\blk00000003/sig000001cd ), + .O(\blk00000003/sig000000c2 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000008ae ( + .I0(\blk00000003/sig000009ae ), + .O(\blk00000003/sig000000b3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009a5 ), + .R(sclr), + .Q(\blk00000003/sig000009aa ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009a2 ), + .R(sclr), + .Q(\blk00000003/sig000009a9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000099f ), + .R(sclr), + .Q(\blk00000003/sig000009a8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000099c ), + .R(sclr), + .Q(\blk00000003/sig000009a7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000999 ), + .R(sclr), + .Q(\blk00000003/sig000009a6 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000008a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000921 ), + .S(sclr), + .Q(\blk00000003/sig00000936 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000008a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000930 ), + .S(sclr), + .Q(\blk00000003/sig00000935 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000008a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000092d ), + .S(sclr), + .Q(\blk00000003/sig00000934 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000008a5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000092a ), + .S(sclr), + .Q(\blk00000003/sig00000933 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000927 ), + .R(sclr), + .Q(\blk00000003/sig00000932 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000008a3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000924 ), + .S(sclr), + .Q(\blk00000003/sig00000931 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a2 ), + .R(\blk00000003/sig000002a5 ), + .Q(\blk00000003/sig000002a0 ) + ); + FDR \blk00000003/blk000008a1 ( + .C(clk), + .D(\blk00000003/sig000009ba ), + .R(ce), + .Q(\blk00000003/sig000009b3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029c ), + .R(\blk00000003/sig000002a4 ), + .Q(\blk00000003/sig0000029a ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk0000089f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000297 ), + .S(\blk00000003/sig000002a4 ), + .Q(\blk00000003/sig00000295 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk0000089e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000028f ), + .S(sclr), + .Q(\blk00000003/sig00000294 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000089d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000292 ), + .R(sclr), + .Q(\blk00000003/sig00000293 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000089c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000289 ), + .R(sclr), + .Q(\blk00000003/sig000001e9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000089b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000028c ), + .R(sclr), + .Q(\blk00000003/sig000001e8 ) + ); + FDR \blk00000003/blk0000089a ( + .C(clk), + .D(\blk00000003/sig000009b9 ), + .R(ce), + .Q(\blk00000003/sig000009b1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000899 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000261 ), + .R(\blk00000003/sig00000264 ), + .Q(\blk00000003/sig0000025f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000898 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000253 ), + .R(coef_ld), + .Q(\blk00000003/sig0000025d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000897 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000259 ), + .R(coef_ld), + .Q(\blk00000003/sig0000025c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000896 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000256 ), + .R(coef_ld), + .Q(\blk00000003/sig0000025b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000895 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000225 ), + .R(coef_ld), + .Q(\blk00000003/sig00000223 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000894 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000000b6 ), + .R(sclr), + .Q(\blk00000003/sig000000b4 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk00000893 ( + .I0(\blk00000003/sig000009a6 ), + .I1(\blk00000003/sig00000931 ), + .O(\blk00000003/sig00000998 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk00000892 ( + .I0(\blk00000003/sig000009a7 ), + .I1(\blk00000003/sig00000931 ), + .O(\blk00000003/sig0000099b ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk00000891 ( + .I0(\blk00000003/sig000009a8 ), + .I1(\blk00000003/sig00000931 ), + .O(\blk00000003/sig0000099e ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk00000890 ( + .I0(\blk00000003/sig000009a9 ), + .I1(\blk00000003/sig00000931 ), + .O(\blk00000003/sig000009a1 ) + ); + LUT3 #( + .INIT ( 8'hDE )) + \blk00000003/blk0000088f ( + .I0(\blk00000003/sig000009aa ), + .I1(\blk00000003/sig00000931 ), + .I2(\blk00000003/sig000001dc ), + .O(\blk00000003/sig000009a4 ) + ); + LUT3 #( + .INIT ( 8'h04 )) + \blk00000003/blk0000088e ( + .I0(\blk00000003/sig000001dc ), + .I1(\blk00000003/sig0000004a ), + .I2(\blk00000003/sig00000931 ), + .O(\blk00000003/sig00000996 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000088d ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000010d ), + .I3(NlwRenamedSig_OI_dout_2[45]), + .O(\blk00000003/sig00000994 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000088c ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000010c ), + .I3(NlwRenamedSig_OI_dout_2[46]), + .O(\blk00000003/sig00000995 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000088b ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000010e ), + .I3(NlwRenamedSig_OI_dout_2[44]), + .O(\blk00000003/sig00000993 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000088a ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000110 ), + .I3(NlwRenamedSig_OI_dout_2[42]), + .O(\blk00000003/sig00000991 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000889 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000010f ), + .I3(NlwRenamedSig_OI_dout_2[43]), + .O(\blk00000003/sig00000992 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000888 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000111 ), + .I3(NlwRenamedSig_OI_dout_2[41]), + .O(\blk00000003/sig00000990 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000887 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000113 ), + .I3(NlwRenamedSig_OI_dout_2[39]), + .O(\blk00000003/sig0000098e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000886 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000112 ), + .I3(NlwRenamedSig_OI_dout_2[40]), + .O(\blk00000003/sig0000098f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000885 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000114 ), + .I3(NlwRenamedSig_OI_dout_2[38]), + .O(\blk00000003/sig0000098d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000884 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000116 ), + .I3(NlwRenamedSig_OI_dout_2[36]), + .O(\blk00000003/sig0000098b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000883 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000115 ), + .I3(NlwRenamedSig_OI_dout_2[37]), + .O(\blk00000003/sig0000098c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000882 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000117 ), + .I3(NlwRenamedSig_OI_dout_2[35]), + .O(\blk00000003/sig0000098a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000881 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000119 ), + .I3(NlwRenamedSig_OI_dout_2[33]), + .O(\blk00000003/sig00000988 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000880 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000118 ), + .I3(NlwRenamedSig_OI_dout_2[34]), + .O(\blk00000003/sig00000989 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000087f ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000011a ), + .I3(NlwRenamedSig_OI_dout_2[32]), + .O(\blk00000003/sig00000987 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000087e ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000011c ), + .I3(NlwRenamedSig_OI_dout_2[30]), + .O(\blk00000003/sig00000985 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000087d ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000011b ), + .I3(NlwRenamedSig_OI_dout_2[31]), + .O(\blk00000003/sig00000986 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000087c ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000011d ), + .I3(NlwRenamedSig_OI_dout_2[29]), + .O(\blk00000003/sig00000984 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000087b ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000011f ), + .I3(NlwRenamedSig_OI_dout_2[27]), + .O(\blk00000003/sig00000982 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000087a ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000011e ), + .I3(NlwRenamedSig_OI_dout_2[28]), + .O(\blk00000003/sig00000983 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000879 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000120 ), + .I3(NlwRenamedSig_OI_dout_2[26]), + .O(\blk00000003/sig00000981 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000878 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000122 ), + .I3(NlwRenamedSig_OI_dout_2[24]), + .O(\blk00000003/sig0000097f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000877 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000121 ), + .I3(NlwRenamedSig_OI_dout_2[25]), + .O(\blk00000003/sig00000980 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000876 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000123 ), + .I3(NlwRenamedSig_OI_dout_2[23]), + .O(\blk00000003/sig0000097e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000875 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000125 ), + .I3(NlwRenamedSig_OI_dout_2[21]), + .O(\blk00000003/sig0000097c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000874 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000124 ), + .I3(NlwRenamedSig_OI_dout_2[22]), + .O(\blk00000003/sig0000097d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000873 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000126 ), + .I3(NlwRenamedSig_OI_dout_2[20]), + .O(\blk00000003/sig0000097b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000872 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000128 ), + .I3(NlwRenamedSig_OI_dout_2[18]), + .O(\blk00000003/sig00000979 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000871 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000127 ), + .I3(NlwRenamedSig_OI_dout_2[19]), + .O(\blk00000003/sig0000097a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000870 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000129 ), + .I3(NlwRenamedSig_OI_dout_2[17]), + .O(\blk00000003/sig00000978 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000086f ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000012b ), + .I3(NlwRenamedSig_OI_dout_2[15]), + .O(\blk00000003/sig00000976 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000086e ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000012a ), + .I3(NlwRenamedSig_OI_dout_2[16]), + .O(\blk00000003/sig00000977 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000086d ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000012c ), + .I3(NlwRenamedSig_OI_dout_2[14]), + .O(\blk00000003/sig00000975 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000086c ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000012e ), + .I3(NlwRenamedSig_OI_dout_2[12]), + .O(\blk00000003/sig00000973 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000086b ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000012d ), + .I3(NlwRenamedSig_OI_dout_2[13]), + .O(\blk00000003/sig00000974 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000086a ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000012f ), + .I3(NlwRenamedSig_OI_dout_2[11]), + .O(\blk00000003/sig00000972 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000869 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000131 ), + .I3(NlwRenamedSig_OI_dout_2[9]), + .O(\blk00000003/sig00000970 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000868 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000130 ), + .I3(NlwRenamedSig_OI_dout_2[10]), + .O(\blk00000003/sig00000971 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000867 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000132 ), + .I3(NlwRenamedSig_OI_dout_2[8]), + .O(\blk00000003/sig0000096f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000866 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000134 ), + .I3(NlwRenamedSig_OI_dout_2[6]), + .O(\blk00000003/sig0000096d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000865 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000133 ), + .I3(NlwRenamedSig_OI_dout_2[7]), + .O(\blk00000003/sig0000096e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000864 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000135 ), + .I3(NlwRenamedSig_OI_dout_2[5]), + .O(\blk00000003/sig0000096c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000863 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000137 ), + .I3(NlwRenamedSig_OI_dout_2[3]), + .O(\blk00000003/sig0000096a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000862 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000136 ), + .I3(NlwRenamedSig_OI_dout_2[4]), + .O(\blk00000003/sig0000096b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000861 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000138 ), + .I3(NlwRenamedSig_OI_dout_2[2]), + .O(\blk00000003/sig00000969 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000860 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000139 ), + .I3(NlwRenamedSig_OI_dout_2[1]), + .O(\blk00000003/sig00000968 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000085f ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000183 ), + .I3(NlwRenamedSig_OI_dout_1[46]), + .O(\blk00000003/sig00000966 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000085e ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000013a ), + .I3(NlwRenamedSig_OI_dout_2[0]), + .O(\blk00000003/sig00000967 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000085d ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000184 ), + .I3(NlwRenamedSig_OI_dout_1[45]), + .O(\blk00000003/sig00000965 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000085c ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000186 ), + .I3(NlwRenamedSig_OI_dout_1[43]), + .O(\blk00000003/sig00000963 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000085b ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000185 ), + .I3(NlwRenamedSig_OI_dout_1[44]), + .O(\blk00000003/sig00000964 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000085a ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000187 ), + .I3(NlwRenamedSig_OI_dout_1[42]), + .O(\blk00000003/sig00000962 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000859 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000189 ), + .I3(NlwRenamedSig_OI_dout_1[40]), + .O(\blk00000003/sig00000960 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000858 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000188 ), + .I3(NlwRenamedSig_OI_dout_1[41]), + .O(\blk00000003/sig00000961 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000857 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000018a ), + .I3(NlwRenamedSig_OI_dout_1[39]), + .O(\blk00000003/sig0000095f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000856 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000018c ), + .I3(NlwRenamedSig_OI_dout_1[37]), + .O(\blk00000003/sig0000095d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000855 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000018b ), + .I3(NlwRenamedSig_OI_dout_1[38]), + .O(\blk00000003/sig0000095e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000854 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000018d ), + .I3(NlwRenamedSig_OI_dout_1[36]), + .O(\blk00000003/sig0000095c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000853 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000018f ), + .I3(NlwRenamedSig_OI_dout_1[34]), + .O(\blk00000003/sig0000095a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000852 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000018e ), + .I3(NlwRenamedSig_OI_dout_1[35]), + .O(\blk00000003/sig0000095b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000851 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000190 ), + .I3(NlwRenamedSig_OI_dout_1[33]), + .O(\blk00000003/sig00000959 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000850 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000192 ), + .I3(NlwRenamedSig_OI_dout_1[31]), + .O(\blk00000003/sig00000957 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000084f ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000191 ), + .I3(NlwRenamedSig_OI_dout_1[32]), + .O(\blk00000003/sig00000958 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000084e ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000193 ), + .I3(NlwRenamedSig_OI_dout_1[30]), + .O(\blk00000003/sig00000956 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000084d ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000195 ), + .I3(NlwRenamedSig_OI_dout_1[28]), + .O(\blk00000003/sig00000954 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000084c ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000194 ), + .I3(NlwRenamedSig_OI_dout_1[29]), + .O(\blk00000003/sig00000955 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000084b ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000196 ), + .I3(NlwRenamedSig_OI_dout_1[27]), + .O(\blk00000003/sig00000953 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000084a ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000198 ), + .I3(NlwRenamedSig_OI_dout_1[25]), + .O(\blk00000003/sig00000951 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000849 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000197 ), + .I3(NlwRenamedSig_OI_dout_1[26]), + .O(\blk00000003/sig00000952 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000848 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000199 ), + .I3(NlwRenamedSig_OI_dout_1[24]), + .O(\blk00000003/sig00000950 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000847 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000019b ), + .I3(NlwRenamedSig_OI_dout_1[22]), + .O(\blk00000003/sig0000094e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000846 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000019a ), + .I3(NlwRenamedSig_OI_dout_1[23]), + .O(\blk00000003/sig0000094f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000845 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000019c ), + .I3(NlwRenamedSig_OI_dout_1[21]), + .O(\blk00000003/sig0000094d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000844 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000019e ), + .I3(NlwRenamedSig_OI_dout_1[19]), + .O(\blk00000003/sig0000094b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000843 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000019d ), + .I3(NlwRenamedSig_OI_dout_1[20]), + .O(\blk00000003/sig0000094c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000842 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000019f ), + .I3(NlwRenamedSig_OI_dout_1[18]), + .O(\blk00000003/sig0000094a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000841 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001a1 ), + .I3(NlwRenamedSig_OI_dout_1[16]), + .O(\blk00000003/sig00000948 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000840 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001a0 ), + .I3(NlwRenamedSig_OI_dout_1[17]), + .O(\blk00000003/sig00000949 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000083f ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001a2 ), + .I3(NlwRenamedSig_OI_dout_1[15]), + .O(\blk00000003/sig00000947 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000083e ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001a4 ), + .I3(NlwRenamedSig_OI_dout_1[13]), + .O(\blk00000003/sig00000945 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000083d ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001a3 ), + .I3(NlwRenamedSig_OI_dout_1[14]), + .O(\blk00000003/sig00000946 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000083c ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001a5 ), + .I3(NlwRenamedSig_OI_dout_1[12]), + .O(\blk00000003/sig00000944 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000083b ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001a7 ), + .I3(NlwRenamedSig_OI_dout_1[10]), + .O(\blk00000003/sig00000942 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000083a ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001a6 ), + .I3(NlwRenamedSig_OI_dout_1[11]), + .O(\blk00000003/sig00000943 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000839 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001a8 ), + .I3(NlwRenamedSig_OI_dout_1[9]), + .O(\blk00000003/sig00000941 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000838 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001aa ), + .I3(NlwRenamedSig_OI_dout_1[7]), + .O(\blk00000003/sig0000093f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000837 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001a9 ), + .I3(NlwRenamedSig_OI_dout_1[8]), + .O(\blk00000003/sig00000940 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000836 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001ab ), + .I3(NlwRenamedSig_OI_dout_1[6]), + .O(\blk00000003/sig0000093e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000835 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001ad ), + .I3(NlwRenamedSig_OI_dout_1[4]), + .O(\blk00000003/sig0000093c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000834 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001ac ), + .I3(NlwRenamedSig_OI_dout_1[5]), + .O(\blk00000003/sig0000093d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000833 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001ae ), + .I3(NlwRenamedSig_OI_dout_1[3]), + .O(\blk00000003/sig0000093b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000832 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001b0 ), + .I3(NlwRenamedSig_OI_dout_1[1]), + .O(\blk00000003/sig00000939 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000831 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001af ), + .I3(NlwRenamedSig_OI_dout_1[2]), + .O(\blk00000003/sig0000093a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000830 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001b1 ), + .I3(NlwRenamedSig_OI_dout_1[0]), + .O(\blk00000003/sig00000938 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk0000082f ( + .I0(\blk00000003/sig00000936 ), + .I1(\blk00000003/sig00000931 ), + .O(\blk00000003/sig00000920 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000082e ( + .I0(ce), + .I1(\blk00000003/sig000001de ), + .O(\blk00000003/sig000009b8 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000082d ( + .I0(ce), + .I1(\blk00000003/sig0000075a ), + .O(\blk00000003/sig000009b7 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000082c ( + .I0(ce), + .I1(\blk00000003/sig00000754 ), + .O(\blk00000003/sig000009b6 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000082b ( + .I0(ce), + .I1(\blk00000003/sig0000074f ), + .O(\blk00000003/sig000009b5 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000082a ( + .I0(ce), + .I1(\blk00000003/sig00000744 ), + .O(\blk00000003/sig000009b4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000829 ( + .I0(ce), + .I1(\blk00000003/sig00000745 ), + .O(\blk00000003/sig0000091e ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk00000828 ( + .I0(sclr), + .I1(\blk00000003/sig000009b3 ), + .O(\blk00000003/sig0000029d ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000827 ( + .I0(\blk00000003/sig000002a3 ), + .I1(\blk00000003/sig000009b2 ), + .O(\blk00000003/sig00000298 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000826 ( + .I0(\blk00000003/sig00000295 ), + .I1(\blk00000003/sig000002a3 ), + .O(\blk00000003/sig00000296 ) + ); + LUT3 #( + .INIT ( 8'hDA )) + \blk00000003/blk00000825 ( + .I0(\blk00000003/sig000002a3 ), + .I1(\blk00000003/sig000009b2 ), + .I2(\blk00000003/sig0000029a ), + .O(\blk00000003/sig0000029b ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000824 ( + .I0(\blk00000003/sig00000293 ), + .I1(\blk00000003/sig000001d7 ), + .O(\blk00000003/sig00000291 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000823 ( + .I0(nd), + .I1(\blk00000003/sig000000b2 ), + .O(\blk00000003/sig0000028d ) + ); + LUT3 #( + .INIT ( 8'hEA )) + \blk00000003/blk00000822 ( + .I0(\blk00000003/sig000001e8 ), + .I1(nd), + .I2(\blk00000003/sig000000b2 ), + .O(\blk00000003/sig0000028b ) + ); + LUT3 #( + .INIT ( 8'hDA )) + \blk00000003/blk00000821 ( + .I0(nd), + .I1(\blk00000003/sig000000b2 ), + .I2(\blk00000003/sig000001e9 ), + .O(\blk00000003/sig00000288 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000820 ( + .I0(\blk00000003/sig00000240 ), + .I1(\blk00000003/sig00000247 ), + .O(\blk00000003/sig00000284 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000081f ( + .I0(\blk00000003/sig00000247 ), + .I1(\blk00000003/sig0000023f ), + .O(\blk00000003/sig00000281 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000081e ( + .I0(\blk00000003/sig00000247 ), + .I1(\blk00000003/sig00000243 ), + .O(\blk00000003/sig0000027f ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk0000081d ( + .I0(\blk00000003/sig00000245 ), + .I1(\blk00000003/sig0000024d ), + .I2(\blk00000003/sig00000247 ), + .O(\blk00000003/sig0000027a ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk0000081c ( + .I0(\blk00000003/sig00000245 ), + .I1(\blk00000003/sig00000243 ), + .I2(\blk00000003/sig00000247 ), + .O(\blk00000003/sig0000027c ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000081b ( + .I0(\blk00000003/sig00000240 ), + .I1(\blk00000003/sig00000243 ), + .O(\blk00000003/sig00000273 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000081a ( + .I0(\blk00000003/sig0000023f ), + .I1(\blk00000003/sig00000243 ), + .O(\blk00000003/sig00000271 ) + ); + LUT3 #( + .INIT ( 8'hDF )) + \blk00000003/blk00000819 ( + .I0(\blk00000003/sig0000023d ), + .I1(\blk00000003/sig00000247 ), + .I2(\blk00000003/sig00000243 ), + .O(\blk00000003/sig0000026c ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000818 ( + .I0(\blk00000003/sig00000242 ), + .I1(\blk00000003/sig00000243 ), + .O(\blk00000003/sig00000268 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk00000817 ( + .I0(\blk00000003/sig00000241 ), + .I1(\blk00000003/sig00000243 ), + .I2(\blk00000003/sig0000024d ), + .O(\blk00000003/sig0000026a ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk00000816 ( + .I0(\blk00000003/sig00000250 ), + .I1(\blk00000003/sig000009b1 ), + .O(\blk00000003/sig00000263 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk00000815 ( + .I0(coef_we), + .I1(\blk00000003/sig00000227 ), + .I2(\blk00000003/sig0000022f ), + .O(\blk00000003/sig0000025a ) + ); + LUT4 #( + .INIT ( 16'hE6CC )) + \blk00000003/blk00000814 ( + .I0(coef_we), + .I1(\blk00000003/sig0000025d ), + .I2(\blk00000003/sig00000227 ), + .I3(\blk00000003/sig0000022f ), + .O(\blk00000003/sig00000252 ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000813 ( + .I0(coef_ld), + .I1(\blk00000003/sig00000250 ), + .O(\blk00000003/sig00000246 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000812 ( + .I0(coef_we), + .I1(\blk00000003/sig0000022f ), + .O(\blk00000003/sig00000228 ) + ); + LUT3 #( + .INIT ( 8'h20 )) + \blk00000003/blk00000811 ( + .I0(coef_we), + .I1(coef_ld), + .I2(\blk00000003/sig0000023b ), + .O(\blk00000003/sig0000024e ) + ); + LUT3 #( + .INIT ( 8'h20 )) + \blk00000003/blk00000810 ( + .I0(\blk00000003/sig0000025b ), + .I1(\blk00000003/sig0000025c ), + .I2(\blk00000003/sig0000025d ), + .O(\blk00000003/sig0000022c ) + ); + LUT3 #( + .INIT ( 8'h04 )) + \blk00000003/blk0000080f ( + .I0(\blk00000003/sig0000025c ), + .I1(\blk00000003/sig0000025b ), + .I2(\blk00000003/sig0000025d ), + .O(\blk00000003/sig0000022a ) + ); + LUT3 #( + .INIT ( 8'hDF )) + \blk00000003/blk0000080e ( + .I0(coef_ld), + .I1(\blk00000003/sig00000250 ), + .I2(\blk00000003/sig00000239 ), + .O(\blk00000003/sig00000222 ) + ); + LUT3 #( + .INIT ( 8'hDF )) + \blk00000003/blk0000080d ( + .I0(coef_we), + .I1(\blk00000003/sig0000023b ), + .I2(\blk00000003/sig00000239 ), + .O(\blk00000003/sig0000021f ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk0000080c ( + .I0(\blk00000003/sig00000223 ), + .I1(coef_we), + .O(\blk00000003/sig00000224 ) + ); + LUT5 #( + .INIT ( 32'hFFFF2AAA )) + \blk00000003/blk0000080b ( + .I0(\blk00000003/sig0000023b ), + .I1(coef_we), + .I2(\blk00000003/sig0000022f ), + .I3(\blk00000003/sig00000227 ), + .I4(coef_ld), + .O(\blk00000003/sig0000023a ) + ); + LUT4 #( + .INIT ( 16'hFF8A )) + \blk00000003/blk0000080a ( + .I0(\blk00000003/sig00000239 ), + .I1(\blk00000003/sig0000023b ), + .I2(coef_we), + .I3(coef_ld), + .O(\blk00000003/sig00000238 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000809 ( + .I0(\blk00000003/sig000000ad ), + .I1(nd), + .O(\blk00000003/sig000001e6 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000808 ( + .I0(\blk00000003/sig000000c6 ), + .I1(\blk00000003/sig000001dc ), + .O(\blk00000003/sig000001e4 ) + ); + LUT3 #( + .INIT ( 8'h10 )) + \blk00000003/blk00000807 ( + .I0(\blk00000003/sig000000c6 ), + .I1(\blk00000003/sig00000931 ), + .I2(\blk00000003/sig000009b0 ), + .O(\blk00000003/sig000000c7 ) + ); + LUT3 #( + .INIT ( 8'hEA )) + \blk00000003/blk00000806 ( + .I0(sclr), + .I1(ce), + .I2(\blk00000003/sig00000931 ), + .O(\blk00000003/sig000001dd ) + ); + LUT5 #( + .INIT ( 32'h00002000 )) + \blk00000003/blk00000805 ( + .I0(\blk00000003/sig000009a6 ), + .I1(\blk00000003/sig000009a7 ), + .I2(\blk00000003/sig000009a8 ), + .I3(\blk00000003/sig000009a9 ), + .I4(\blk00000003/sig000009aa ), + .O(\blk00000003/sig000000c9 ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk00000804 ( + .I0(\blk00000003/sig000001d7 ), + .I1(\blk00000003/sig000001ca ), + .I2(\blk00000003/sig000001e5 ), + .O(\blk00000003/sig000001d6 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000803 ( + .I0(\blk00000003/sig00000294 ), + .I1(\blk00000003/sig000001e1 ), + .O(\blk00000003/sig000001d8 ) + ); + LUT3 #( + .INIT ( 8'hD8 )) + \blk00000003/blk00000802 ( + .I0(ce), + .I1(\blk00000003/sig000009ac ), + .I2(\blk00000003/sig000000bc ), + .O(\blk00000003/sig000000bb ) + ); + LUT3 #( + .INIT ( 8'h72 )) + \blk00000003/blk00000801 ( + .I0(ce), + .I1(\blk00000003/sig000009ac ), + .I2(\blk00000003/sig000000ba ), + .O(\blk00000003/sig000000b9 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000800 ( + .I0(\blk00000003/sig000000b4 ), + .I1(\blk00000003/sig000001ca ), + .O(\blk00000003/sig000000b5 ) + ); + LUT5 #( + .INIT ( 32'hCEEE8AAA )) + \blk00000003/blk000007ff ( + .I0(\blk00000003/sig000001ca ), + .I1(\blk00000003/sig000001e5 ), + .I2(\blk00000003/sig000001d5 ), + .I3(\blk00000003/sig000001d7 ), + .I4(\blk00000003/sig000001d3 ), + .O(\blk00000003/sig000001d4 ) + ); + LUT4 #( + .INIT ( 16'h8808 )) + \blk00000003/blk000007fe ( + .I0(\blk00000003/sig000001d5 ), + .I1(\blk00000003/sig000009af ), + .I2(\blk00000003/sig000001d7 ), + .I3(\blk00000003/sig000001e5 ), + .O(\blk00000003/sig000001d0 ) + ); + LUT3 #( + .INIT ( 8'h09 )) + \blk00000003/blk000007fd ( + .I0(\blk00000003/sig000009ae ), + .I1(\blk00000003/sig000001e8 ), + .I2(\blk00000003/sig000001e9 ), + .O(\blk00000003/sig000000b0 ) + ); + LUT4 #( + .INIT ( 16'h5540 )) + \blk00000003/blk000007fc ( + .I0(\blk00000003/sig000001e5 ), + .I1(\blk00000003/sig000001d5 ), + .I2(\blk00000003/sig000001d7 ), + .I3(\blk00000003/sig000001d3 ), + .O(\blk00000003/sig000001d2 ) + ); + LUT3 #( + .INIT ( 8'h9A )) + \blk00000003/blk000007fb ( + .I0(\blk00000003/sig00000293 ), + .I1(\blk00000003/sig00000294 ), + .I2(\blk00000003/sig000001e1 ), + .O(\blk00000003/sig000001da ) + ); + LUT4 #( + .INIT ( 16'hFDA8 )) + \blk00000003/blk000007fa ( + .I0(ce), + .I1(\blk00000003/sig000009ac ), + .I2(\blk00000003/sig000009ad ), + .I3(\blk00000003/sig000000b8 ), + .O(\blk00000003/sig000000b7 ) + ); + MUXCY \blk00000003/blk000007f9 ( + .CI(\blk00000003/sig00000049 ), + .DI(NlwRenamedSig_OI_rfd), + .S(\blk00000003/sig000009ab ), + .O(\blk00000003/sig000009a3 ) + ); + MUXCY_L \blk00000003/blk000007f8 ( + .CI(\blk00000003/sig000009a3 ), + .DI(\blk00000003/sig000009aa ), + .S(\blk00000003/sig000009a4 ), + .LO(\blk00000003/sig000009a0 ) + ); + MUXCY_L \blk00000003/blk000007f7 ( + .CI(\blk00000003/sig000009a0 ), + .DI(\blk00000003/sig000009a9 ), + .S(\blk00000003/sig000009a1 ), + .LO(\blk00000003/sig0000099d ) + ); + MUXCY_L \blk00000003/blk000007f6 ( + .CI(\blk00000003/sig0000099d ), + .DI(\blk00000003/sig000009a8 ), + .S(\blk00000003/sig0000099e ), + .LO(\blk00000003/sig0000099a ) + ); + MUXCY_L \blk00000003/blk000007f5 ( + .CI(\blk00000003/sig0000099a ), + .DI(\blk00000003/sig000009a7 ), + .S(\blk00000003/sig0000099b ), + .LO(\blk00000003/sig00000997 ) + ); + MUXCY_D \blk00000003/blk000007f4 ( + .CI(\blk00000003/sig00000997 ), + .DI(\blk00000003/sig000009a6 ), + .S(\blk00000003/sig00000998 ), + .O(\NLW_blk00000003/blk000007f4_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000007f4_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000007f3 ( + .CI(\blk00000003/sig000009a3 ), + .LI(\blk00000003/sig000009a4 ), + .O(\blk00000003/sig000009a5 ) + ); + XORCY \blk00000003/blk000007f2 ( + .CI(\blk00000003/sig000009a0 ), + .LI(\blk00000003/sig000009a1 ), + .O(\blk00000003/sig000009a2 ) + ); + XORCY \blk00000003/blk000007f1 ( + .CI(\blk00000003/sig0000099d ), + .LI(\blk00000003/sig0000099e ), + .O(\blk00000003/sig0000099f ) + ); + XORCY \blk00000003/blk000007f0 ( + .CI(\blk00000003/sig0000099a ), + .LI(\blk00000003/sig0000099b ), + .O(\blk00000003/sig0000099c ) + ); + XORCY \blk00000003/blk000007ef ( + .CI(\blk00000003/sig00000997 ), + .LI(\blk00000003/sig00000998 ), + .O(\blk00000003/sig00000999 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000996 ), + .R(sclr), + .Q(\blk00000003/sig0000004a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000995 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[46]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000994 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[45]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000993 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[44]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000992 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[43]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000991 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[42]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000990 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[41]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000098f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[40]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000098e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[39]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000098d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[38]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000098c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[37]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000098b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[36]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000098a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[35]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000989 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[34]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000988 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[33]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007df ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000987 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[32]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007de ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000986 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[31]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007dd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000985 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[30]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000984 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[29]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007db ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000983 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[28]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007da ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000982 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[27]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000981 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[26]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000980 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[25]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000097f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[24]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000097e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[23]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000097d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[22]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000097c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[21]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000097b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[20]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000097a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[19]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000979 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[18]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000978 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[17]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000977 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[16]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000976 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[15]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000975 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[14]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000974 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[13]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000973 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[12]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000972 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[11]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000971 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[10]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000970 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[9]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000096f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[8]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000096e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[7]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000096d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[6]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000096c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[5]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000096b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[4]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000096a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[3]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000969 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[2]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000968 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[1]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000967 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[0]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007be ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000966 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[46]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000965 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[45]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000964 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[44]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000963 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[43]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000962 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[42]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000961 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[41]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000960 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[40]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000095f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[39]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000095e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[38]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000095d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[37]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000095c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[36]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000095b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[35]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000095a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[34]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000959 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[33]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000958 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[32]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007af ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000957 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[31]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000956 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[30]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000955 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[29]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000954 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[28]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000953 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[27]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000952 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[26]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000951 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[25]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000950 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[24]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000094f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[23]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000094e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[22]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000094d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[21]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000094c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[20]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000094b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[19]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000094a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[18]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000949 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[17]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000948 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[16]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000947 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[15]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000946 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[14]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000945 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[13]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000944 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[12]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000943 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[11]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000942 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[10]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000799 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000941 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[9]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000798 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000940 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[8]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000797 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000093f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[7]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000796 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000093e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[6]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000795 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000093d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[5]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000794 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000093c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[4]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000793 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000093b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[3]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000792 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000093a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[2]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000791 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000939 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[1]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000790 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000938 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[0]) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000078f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000937 ), + .Q(\blk00000003/sig000001dc ) + ); + MUXCY_L \blk00000003/blk0000078e ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000936 ), + .S(\blk00000003/sig00000920 ), + .LO(\blk00000003/sig0000092e ) + ); + MUXCY_L \blk00000003/blk0000078d ( + .CI(\blk00000003/sig0000092e ), + .DI(\blk00000003/sig00000935 ), + .S(\blk00000003/sig0000092f ), + .LO(\blk00000003/sig0000092b ) + ); + MUXCY_L \blk00000003/blk0000078c ( + .CI(\blk00000003/sig0000092b ), + .DI(\blk00000003/sig00000934 ), + .S(\blk00000003/sig0000092c ), + .LO(\blk00000003/sig00000928 ) + ); + MUXCY_L \blk00000003/blk0000078b ( + .CI(\blk00000003/sig00000928 ), + .DI(\blk00000003/sig00000933 ), + .S(\blk00000003/sig00000929 ), + .LO(\blk00000003/sig00000925 ) + ); + MUXCY_L \blk00000003/blk0000078a ( + .CI(\blk00000003/sig00000925 ), + .DI(\blk00000003/sig00000932 ), + .S(\blk00000003/sig00000926 ), + .LO(\blk00000003/sig00000922 ) + ); + MUXCY_D \blk00000003/blk00000789 ( + .CI(\blk00000003/sig00000922 ), + .DI(\blk00000003/sig00000931 ), + .S(\blk00000003/sig00000923 ), + .O(\NLW_blk00000003/blk00000789_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk00000789_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000788 ( + .CI(\blk00000003/sig0000092e ), + .LI(\blk00000003/sig0000092f ), + .O(\blk00000003/sig00000930 ) + ); + XORCY \blk00000003/blk00000787 ( + .CI(\blk00000003/sig0000092b ), + .LI(\blk00000003/sig0000092c ), + .O(\blk00000003/sig0000092d ) + ); + XORCY \blk00000003/blk00000786 ( + .CI(\blk00000003/sig00000928 ), + .LI(\blk00000003/sig00000929 ), + .O(\blk00000003/sig0000092a ) + ); + XORCY \blk00000003/blk00000785 ( + .CI(\blk00000003/sig00000925 ), + .LI(\blk00000003/sig00000926 ), + .O(\blk00000003/sig00000927 ) + ); + XORCY \blk00000003/blk00000784 ( + .CI(\blk00000003/sig00000922 ), + .LI(\blk00000003/sig00000923 ), + .O(\blk00000003/sig00000924 ) + ); + XORCY \blk00000003/blk00000783 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000920 ), + .O(\blk00000003/sig00000921 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075b ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003d2 ), + .R(sclr), + .Q(\blk00000003/sig00000779 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075a ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003d1 ), + .R(sclr), + .Q(\blk00000003/sig00000778 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000759 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003d0 ), + .R(sclr), + .Q(\blk00000003/sig00000777 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003cf ), + .R(sclr), + .Q(\blk00000003/sig00000776 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000757 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003ce ), + .R(sclr), + .Q(\blk00000003/sig00000775 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000756 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003cd ), + .R(sclr), + .Q(\blk00000003/sig00000774 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000755 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003cc ), + .R(sclr), + .Q(\blk00000003/sig00000773 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000754 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003cb ), + .R(sclr), + .Q(\blk00000003/sig00000772 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000753 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003ca ), + .R(sclr), + .Q(\blk00000003/sig00000771 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000752 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003c9 ), + .R(sclr), + .Q(\blk00000003/sig00000770 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000751 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003c8 ), + .R(sclr), + .Q(\blk00000003/sig0000076f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000750 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003c7 ), + .R(sclr), + .Q(\blk00000003/sig0000076e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000074f ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003c6 ), + .R(sclr), + .Q(\blk00000003/sig0000076d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000074e ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003c5 ), + .R(sclr), + .Q(\blk00000003/sig0000076c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000074d ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003c4 ), + .R(sclr), + .Q(\blk00000003/sig0000076b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000074c ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003c3 ), + .R(sclr), + .Q(\blk00000003/sig0000076a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000074b ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003c2 ), + .R(sclr), + .Q(\blk00000003/sig00000769 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000074a ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003c1 ), + .R(sclr), + .Q(\blk00000003/sig00000768 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000749 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003c0 ), + .R(sclr), + .Q(\blk00000003/sig00000767 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000748 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003bf ), + .R(sclr), + .Q(\blk00000003/sig00000766 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000747 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003be ), + .R(sclr), + .Q(\blk00000003/sig00000765 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000746 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003bd ), + .R(sclr), + .Q(\blk00000003/sig00000764 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000745 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003bc ), + .R(sclr), + .Q(\blk00000003/sig00000763 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000744 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003bb ), + .R(sclr), + .Q(\blk00000003/sig00000762 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000743 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000432 ), + .R(sclr), + .Q(\blk00000003/sig00000791 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000742 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000431 ), + .R(sclr), + .Q(\blk00000003/sig00000790 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000741 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000430 ), + .R(sclr), + .Q(\blk00000003/sig0000078f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000740 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig0000042f ), + .R(sclr), + .Q(\blk00000003/sig0000078e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000073f ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig0000042e ), + .R(sclr), + .Q(\blk00000003/sig0000078d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000073e ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig0000042d ), + .R(sclr), + .Q(\blk00000003/sig0000078c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000073d ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig0000042c ), + .R(sclr), + .Q(\blk00000003/sig0000078b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000073c ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig0000042b ), + .R(sclr), + .Q(\blk00000003/sig0000078a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000073b ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig0000042a ), + .R(sclr), + .Q(\blk00000003/sig00000789 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000073a ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000429 ), + .R(sclr), + .Q(\blk00000003/sig00000788 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000739 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000428 ), + .R(sclr), + .Q(\blk00000003/sig00000787 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000738 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000427 ), + .R(sclr), + .Q(\blk00000003/sig00000786 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000737 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000426 ), + .R(sclr), + .Q(\blk00000003/sig00000785 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000736 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000425 ), + .R(sclr), + .Q(\blk00000003/sig00000784 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000735 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000424 ), + .R(sclr), + .Q(\blk00000003/sig00000783 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000734 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000423 ), + .R(sclr), + .Q(\blk00000003/sig00000782 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000733 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000422 ), + .R(sclr), + .Q(\blk00000003/sig00000781 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000421 ), + .R(sclr), + .Q(\blk00000003/sig00000780 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000731 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000420 ), + .R(sclr), + .Q(\blk00000003/sig0000077f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000730 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig0000041f ), + .R(sclr), + .Q(\blk00000003/sig0000077e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000072f ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig0000041e ), + .R(sclr), + .Q(\blk00000003/sig0000077d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000072e ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig0000041d ), + .R(sclr), + .Q(\blk00000003/sig0000077c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000072d ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig0000041c ), + .R(sclr), + .Q(\blk00000003/sig0000077b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000072c ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig0000041b ), + .R(sclr), + .Q(\blk00000003/sig0000077a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000295 ), + .R(sclr), + .Q(\blk00000003/sig0000075f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a0 ), + .R(sclr), + .Q(\blk00000003/sig0000075c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a6 ), + .R(sclr), + .Q(\blk00000003/sig0000075b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000761 ), + .R(sclr), + .Q(\blk00000003/sig0000075d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000760 ), + .R(sclr), + .Q(\blk00000003/sig0000075a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029a ), + .R(sclr), + .Q(\blk00000003/sig0000075e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000075f ), + .R(sclr), + .Q(\blk00000003/sig00000759 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000118 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000075e ), + .R(sclr), + .Q(\blk00000003/sig00000758 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000075d ), + .R(sclr), + .Q(\blk00000003/sig00000755 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000116 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000075c ), + .R(sclr), + .Q(\blk00000003/sig00000757 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000115 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000075b ), + .R(sclr), + .Q(\blk00000003/sig00000756 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000114 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000075a ), + .R(sclr), + .Q(\blk00000003/sig00000754 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000113 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000759 ), + .R(sclr), + .Q(\blk00000003/sig00000750 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000112 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000758 ), + .R(sclr), + .Q(\blk00000003/sig00000751 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000111 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000757 ), + .R(sclr), + .Q(\blk00000003/sig00000753 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000110 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000756 ), + .R(sclr), + .Q(\blk00000003/sig00000752 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000755 ), + .R(sclr), + .Q(\blk00000003/sig0000074e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000754 ), + .R(sclr), + .Q(\blk00000003/sig0000074f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000753 ), + .R(sclr), + .Q(\blk00000003/sig0000074c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000752 ), + .R(sclr), + .Q(\blk00000003/sig0000074a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000751 ), + .R(sclr), + .Q(\blk00000003/sig00000748 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000750 ), + .R(sclr), + .Q(\blk00000003/sig00000746 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000109 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000074f ), + .R(sclr), + .Q(\blk00000003/sig00000744 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000108 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000074e ), + .R(sclr), + .Q(\blk00000003/sig00000742 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000107 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000074c ), + .R(sclr), + .Q(\blk00000003/sig0000074d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000106 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000074a ), + .R(sclr), + .Q(\blk00000003/sig0000074b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000105 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000748 ), + .R(sclr), + .Q(\blk00000003/sig00000749 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000104 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000746 ), + .R(sclr), + .Q(\blk00000003/sig00000747 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000103 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000744 ), + .R(sclr), + .Q(\blk00000003/sig00000745 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000102 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000742 ), + .R(sclr), + .Q(\blk00000003/sig00000743 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000101 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000740 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000741 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000100 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000073f ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000740 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000073e ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000073f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000073d ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000073e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000237 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000073d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000073a ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000073c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000739 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000073b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000738 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000073a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000737 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000739 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000736 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000738 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000735 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000737 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000734 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000736 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000733 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000735 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000262 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000734 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000025f ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000733 ) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000f2 ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000f2_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000f2_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000f2_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000f2_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000f2_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000f2_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000f2_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .PCIN({\blk00000003/sig000006d3 , \blk00000003/sig000006d4 , \blk00000003/sig000006d5 , \blk00000003/sig000006d6 , \blk00000003/sig000006d7 , +\blk00000003/sig000006d8 , \blk00000003/sig000006d9 , \blk00000003/sig000006da , \blk00000003/sig000006db , \blk00000003/sig000006dc , +\blk00000003/sig000006dd , \blk00000003/sig000006de , \blk00000003/sig000006df , \blk00000003/sig000006e0 , \blk00000003/sig000006e1 , +\blk00000003/sig000006e2 , \blk00000003/sig000006e3 , \blk00000003/sig000006e4 , \blk00000003/sig000006e5 , \blk00000003/sig000006e6 , +\blk00000003/sig000006e7 , \blk00000003/sig000006e8 , \blk00000003/sig000006e9 , \blk00000003/sig000006ea , \blk00000003/sig000006eb , +\blk00000003/sig000006ec , \blk00000003/sig000006ed , \blk00000003/sig000006ee , \blk00000003/sig000006ef , \blk00000003/sig000006f0 , +\blk00000003/sig000006f1 , \blk00000003/sig000006f2 , \blk00000003/sig000006f3 , \blk00000003/sig000006f4 , \blk00000003/sig000006f5 , +\blk00000003/sig000006f6 , \blk00000003/sig000006f7 , \blk00000003/sig000006f8 , \blk00000003/sig000006f9 , \blk00000003/sig000006fa , +\blk00000003/sig000006fb , \blk00000003/sig000006fc , \blk00000003/sig000006fd , \blk00000003/sig000006fe , \blk00000003/sig000006ff , +\blk00000003/sig00000700 , \blk00000003/sig00000701 , \blk00000003/sig00000702 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000f2_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f2_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f2_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000005a1 , \blk00000003/sig000005a2 , \blk00000003/sig000005a3 , \blk00000003/sig000005a4 , \blk00000003/sig000005a5 , +\blk00000003/sig000005a6 , \blk00000003/sig000005a7 , \blk00000003/sig000005a8 , \blk00000003/sig000005a9 , \blk00000003/sig000005aa , +\blk00000003/sig000005ab , \blk00000003/sig000005ac , \blk00000003/sig000005ad , \blk00000003/sig000005ae , \blk00000003/sig000005af , +\blk00000003/sig000005b0 , \blk00000003/sig000005b1 , \blk00000003/sig000005b2 }), + .BCOUT({\NLW_blk00000003/blk000000f2_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f2_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f2_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f2_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f2_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f2_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f2_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f2_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f2_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f2_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig00000703 , \blk00000003/sig00000703 , \blk00000003/sig00000704 , \blk00000003/sig00000705 , \blk00000003/sig00000706 , +\blk00000003/sig00000707 , \blk00000003/sig00000708 , \blk00000003/sig00000709 , \blk00000003/sig0000070a , \blk00000003/sig0000070b , +\blk00000003/sig0000070c , \blk00000003/sig0000070d , \blk00000003/sig0000070e , \blk00000003/sig0000070f , \blk00000003/sig00000710 , +\blk00000003/sig00000711 , \blk00000003/sig00000712 , \blk00000003/sig00000713 , \blk00000003/sig00000714 , \blk00000003/sig00000715 , +\blk00000003/sig00000716 , \blk00000003/sig00000717 , \blk00000003/sig00000718 , \blk00000003/sig00000719 , \blk00000003/sig0000071a }), + .P({\NLW_blk00000003/blk000000f2_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<0>_UNCONNECTED }), + .A({\blk00000003/sig0000071b , \blk00000003/sig0000071b , \blk00000003/sig0000071b , \blk00000003/sig0000071b , \blk00000003/sig0000071b , +\blk00000003/sig0000071b , \blk00000003/sig0000071b , \blk00000003/sig0000071c , \blk00000003/sig0000071d , \blk00000003/sig0000071e , +\blk00000003/sig0000071f , \blk00000003/sig00000720 , \blk00000003/sig00000721 , \blk00000003/sig00000722 , \blk00000003/sig00000723 , +\blk00000003/sig00000724 , \blk00000003/sig00000725 , \blk00000003/sig00000726 , \blk00000003/sig00000727 , \blk00000003/sig00000728 , +\blk00000003/sig00000729 , \blk00000003/sig0000072a , \blk00000003/sig0000072b , \blk00000003/sig0000072c , \blk00000003/sig0000072d , +\blk00000003/sig0000072e , \blk00000003/sig0000072f , \blk00000003/sig00000730 , \blk00000003/sig00000731 , \blk00000003/sig00000732 }), + .PCOUT({\blk00000003/sig000003eb , \blk00000003/sig000003ec , \blk00000003/sig000003ed , \blk00000003/sig000003ee , \blk00000003/sig000003ef , +\blk00000003/sig000003f0 , \blk00000003/sig000003f1 , \blk00000003/sig000003f2 , \blk00000003/sig000003f3 , \blk00000003/sig000003f4 , +\blk00000003/sig000003f5 , \blk00000003/sig000003f6 , \blk00000003/sig000003f7 , \blk00000003/sig000003f8 , \blk00000003/sig000003f9 , +\blk00000003/sig000003fa , \blk00000003/sig000003fb , \blk00000003/sig000003fc , \blk00000003/sig000003fd , \blk00000003/sig000003fe , +\blk00000003/sig000003ff , \blk00000003/sig00000400 , \blk00000003/sig00000401 , \blk00000003/sig00000402 , \blk00000003/sig00000403 , +\blk00000003/sig00000404 , \blk00000003/sig00000405 , \blk00000003/sig00000406 , \blk00000003/sig00000407 , \blk00000003/sig00000408 , +\blk00000003/sig00000409 , \blk00000003/sig0000040a , \blk00000003/sig0000040b , \blk00000003/sig0000040c , \blk00000003/sig0000040d , +\blk00000003/sig0000040e , \blk00000003/sig0000040f , \blk00000003/sig00000410 , \blk00000003/sig00000411 , \blk00000003/sig00000412 , +\blk00000003/sig00000413 , \blk00000003/sig00000414 , \blk00000003/sig00000415 , \blk00000003/sig00000416 , \blk00000003/sig00000417 , +\blk00000003/sig00000418 , \blk00000003/sig00000419 , \blk00000003/sig0000041a }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000f1 ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000f1_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000f1_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000f1_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000f1_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000f1_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000f1_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000f1_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .PCIN({\blk00000003/sig00000673 , \blk00000003/sig00000674 , \blk00000003/sig00000675 , \blk00000003/sig00000676 , \blk00000003/sig00000677 , +\blk00000003/sig00000678 , \blk00000003/sig00000679 , \blk00000003/sig0000067a , \blk00000003/sig0000067b , \blk00000003/sig0000067c , +\blk00000003/sig0000067d , \blk00000003/sig0000067e , \blk00000003/sig0000067f , \blk00000003/sig00000680 , \blk00000003/sig00000681 , +\blk00000003/sig00000682 , \blk00000003/sig00000683 , \blk00000003/sig00000684 , \blk00000003/sig00000685 , \blk00000003/sig00000686 , +\blk00000003/sig00000687 , \blk00000003/sig00000688 , \blk00000003/sig00000689 , \blk00000003/sig0000068a , \blk00000003/sig0000068b , +\blk00000003/sig0000068c , \blk00000003/sig0000068d , \blk00000003/sig0000068e , \blk00000003/sig0000068f , \blk00000003/sig00000690 , +\blk00000003/sig00000691 , \blk00000003/sig00000692 , \blk00000003/sig00000693 , \blk00000003/sig00000694 , \blk00000003/sig00000695 , +\blk00000003/sig00000696 , \blk00000003/sig00000697 , \blk00000003/sig00000698 , \blk00000003/sig00000699 , \blk00000003/sig0000069a , +\blk00000003/sig0000069b , \blk00000003/sig0000069c , \blk00000003/sig0000069d , \blk00000003/sig0000069e , \blk00000003/sig0000069f , +\blk00000003/sig000006a0 , \blk00000003/sig000006a1 , \blk00000003/sig000006a2 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000f1_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f1_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f1_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig0000052f , \blk00000003/sig00000530 , \blk00000003/sig00000531 , \blk00000003/sig00000532 , \blk00000003/sig00000533 , +\blk00000003/sig00000534 , \blk00000003/sig00000535 , \blk00000003/sig00000536 , \blk00000003/sig00000537 , \blk00000003/sig00000538 , +\blk00000003/sig00000539 , \blk00000003/sig0000053a , \blk00000003/sig0000053b , \blk00000003/sig0000053c , \blk00000003/sig0000053d , +\blk00000003/sig0000053e , \blk00000003/sig0000053f , \blk00000003/sig00000540 }), + .BCOUT({\NLW_blk00000003/blk000000f1_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f1_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f1_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f1_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f1_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f1_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f1_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f1_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f1_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f1_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig000006a3 , \blk00000003/sig000006a3 , \blk00000003/sig000006a4 , \blk00000003/sig000006a5 , \blk00000003/sig000006a6 , +\blk00000003/sig000006a7 , \blk00000003/sig000006a8 , \blk00000003/sig000006a9 , \blk00000003/sig000006aa , \blk00000003/sig000006ab , +\blk00000003/sig000006ac , \blk00000003/sig000006ad , \blk00000003/sig000006ae , \blk00000003/sig000006af , \blk00000003/sig000006b0 , +\blk00000003/sig000006b1 , \blk00000003/sig000006b2 , \blk00000003/sig000006b3 , \blk00000003/sig000006b4 , \blk00000003/sig000006b5 , +\blk00000003/sig000006b6 , \blk00000003/sig000006b7 , \blk00000003/sig000006b8 , \blk00000003/sig000006b9 , \blk00000003/sig000006ba }), + .P({\NLW_blk00000003/blk000000f1_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<0>_UNCONNECTED }), + .A({\blk00000003/sig000006bb , \blk00000003/sig000006bb , \blk00000003/sig000006bb , \blk00000003/sig000006bb , \blk00000003/sig000006bb , +\blk00000003/sig000006bb , \blk00000003/sig000006bb , \blk00000003/sig000006bc , \blk00000003/sig000006bd , \blk00000003/sig000006be , +\blk00000003/sig000006bf , \blk00000003/sig000006c0 , \blk00000003/sig000006c1 , \blk00000003/sig000006c2 , \blk00000003/sig000006c3 , +\blk00000003/sig000006c4 , \blk00000003/sig000006c5 , \blk00000003/sig000006c6 , \blk00000003/sig000006c7 , \blk00000003/sig000006c8 , +\blk00000003/sig000006c9 , \blk00000003/sig000006ca , \blk00000003/sig000006cb , \blk00000003/sig000006cc , \blk00000003/sig000006cd , +\blk00000003/sig000006ce , \blk00000003/sig000006cf , \blk00000003/sig000006d0 , \blk00000003/sig000006d1 , \blk00000003/sig000006d2 }), + .PCOUT({\blk00000003/sig000006d3 , \blk00000003/sig000006d4 , \blk00000003/sig000006d5 , \blk00000003/sig000006d6 , \blk00000003/sig000006d7 , +\blk00000003/sig000006d8 , \blk00000003/sig000006d9 , \blk00000003/sig000006da , \blk00000003/sig000006db , \blk00000003/sig000006dc , +\blk00000003/sig000006dd , \blk00000003/sig000006de , \blk00000003/sig000006df , \blk00000003/sig000006e0 , \blk00000003/sig000006e1 , +\blk00000003/sig000006e2 , \blk00000003/sig000006e3 , \blk00000003/sig000006e4 , \blk00000003/sig000006e5 , \blk00000003/sig000006e6 , +\blk00000003/sig000006e7 , \blk00000003/sig000006e8 , \blk00000003/sig000006e9 , \blk00000003/sig000006ea , \blk00000003/sig000006eb , +\blk00000003/sig000006ec , \blk00000003/sig000006ed , \blk00000003/sig000006ee , \blk00000003/sig000006ef , \blk00000003/sig000006f0 , +\blk00000003/sig000006f1 , \blk00000003/sig000006f2 , \blk00000003/sig000006f3 , \blk00000003/sig000006f4 , \blk00000003/sig000006f5 , +\blk00000003/sig000006f6 , \blk00000003/sig000006f7 , \blk00000003/sig000006f8 , \blk00000003/sig000006f9 , \blk00000003/sig000006fa , +\blk00000003/sig000006fb , \blk00000003/sig000006fc , \blk00000003/sig000006fd , \blk00000003/sig000006fe , \blk00000003/sig000006ff , +\blk00000003/sig00000700 , \blk00000003/sig00000701 , \blk00000003/sig00000702 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000f0 ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000f0_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000f0_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000f0_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000f0_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000f0_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000f0_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000f0_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .PCIN({\blk00000003/sig00000613 , \blk00000003/sig00000614 , \blk00000003/sig00000615 , \blk00000003/sig00000616 , \blk00000003/sig00000617 , +\blk00000003/sig00000618 , \blk00000003/sig00000619 , \blk00000003/sig0000061a , \blk00000003/sig0000061b , \blk00000003/sig0000061c , +\blk00000003/sig0000061d , \blk00000003/sig0000061e , \blk00000003/sig0000061f , \blk00000003/sig00000620 , \blk00000003/sig00000621 , +\blk00000003/sig00000622 , \blk00000003/sig00000623 , \blk00000003/sig00000624 , \blk00000003/sig00000625 , \blk00000003/sig00000626 , +\blk00000003/sig00000627 , \blk00000003/sig00000628 , \blk00000003/sig00000629 , \blk00000003/sig0000062a , \blk00000003/sig0000062b , +\blk00000003/sig0000062c , \blk00000003/sig0000062d , \blk00000003/sig0000062e , \blk00000003/sig0000062f , \blk00000003/sig00000630 , +\blk00000003/sig00000631 , \blk00000003/sig00000632 , \blk00000003/sig00000633 , \blk00000003/sig00000634 , \blk00000003/sig00000635 , +\blk00000003/sig00000636 , \blk00000003/sig00000637 , \blk00000003/sig00000638 , \blk00000003/sig00000639 , \blk00000003/sig0000063a , +\blk00000003/sig0000063b , \blk00000003/sig0000063c , \blk00000003/sig0000063d , \blk00000003/sig0000063e , \blk00000003/sig0000063f , +\blk00000003/sig00000640 , \blk00000003/sig00000641 , \blk00000003/sig00000642 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000f0_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f0_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f0_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000004bd , \blk00000003/sig000004be , \blk00000003/sig000004bf , \blk00000003/sig000004c0 , \blk00000003/sig000004c1 , +\blk00000003/sig000004c2 , \blk00000003/sig000004c3 , \blk00000003/sig000004c4 , \blk00000003/sig000004c5 , \blk00000003/sig000004c6 , +\blk00000003/sig000004c7 , \blk00000003/sig000004c8 , \blk00000003/sig000004c9 , \blk00000003/sig000004ca , \blk00000003/sig000004cb , +\blk00000003/sig000004cc , \blk00000003/sig000004cd , \blk00000003/sig000004ce }), + .BCOUT({\NLW_blk00000003/blk000000f0_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f0_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f0_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f0_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f0_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f0_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f0_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f0_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f0_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f0_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig00000643 , \blk00000003/sig00000643 , \blk00000003/sig00000644 , \blk00000003/sig00000645 , \blk00000003/sig00000646 , +\blk00000003/sig00000647 , \blk00000003/sig00000648 , \blk00000003/sig00000649 , \blk00000003/sig0000064a , \blk00000003/sig0000064b , +\blk00000003/sig0000064c , \blk00000003/sig0000064d , \blk00000003/sig0000064e , \blk00000003/sig0000064f , \blk00000003/sig00000650 , +\blk00000003/sig00000651 , \blk00000003/sig00000652 , \blk00000003/sig00000653 , \blk00000003/sig00000654 , \blk00000003/sig00000655 , +\blk00000003/sig00000656 , \blk00000003/sig00000657 , \blk00000003/sig00000658 , \blk00000003/sig00000659 , \blk00000003/sig0000065a }), + .P({\NLW_blk00000003/blk000000f0_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<0>_UNCONNECTED }), + .A({\blk00000003/sig0000065b , \blk00000003/sig0000065b , \blk00000003/sig0000065b , \blk00000003/sig0000065b , \blk00000003/sig0000065b , +\blk00000003/sig0000065b , \blk00000003/sig0000065b , \blk00000003/sig0000065c , \blk00000003/sig0000065d , \blk00000003/sig0000065e , +\blk00000003/sig0000065f , \blk00000003/sig00000660 , \blk00000003/sig00000661 , \blk00000003/sig00000662 , \blk00000003/sig00000663 , +\blk00000003/sig00000664 , \blk00000003/sig00000665 , \blk00000003/sig00000666 , \blk00000003/sig00000667 , \blk00000003/sig00000668 , +\blk00000003/sig00000669 , \blk00000003/sig0000066a , \blk00000003/sig0000066b , \blk00000003/sig0000066c , \blk00000003/sig0000066d , +\blk00000003/sig0000066e , \blk00000003/sig0000066f , \blk00000003/sig00000670 , \blk00000003/sig00000671 , \blk00000003/sig00000672 }), + .PCOUT({\blk00000003/sig00000673 , \blk00000003/sig00000674 , \blk00000003/sig00000675 , \blk00000003/sig00000676 , \blk00000003/sig00000677 , +\blk00000003/sig00000678 , \blk00000003/sig00000679 , \blk00000003/sig0000067a , \blk00000003/sig0000067b , \blk00000003/sig0000067c , +\blk00000003/sig0000067d , \blk00000003/sig0000067e , \blk00000003/sig0000067f , \blk00000003/sig00000680 , \blk00000003/sig00000681 , +\blk00000003/sig00000682 , \blk00000003/sig00000683 , \blk00000003/sig00000684 , \blk00000003/sig00000685 , \blk00000003/sig00000686 , +\blk00000003/sig00000687 , \blk00000003/sig00000688 , \blk00000003/sig00000689 , \blk00000003/sig0000068a , \blk00000003/sig0000068b , +\blk00000003/sig0000068c , \blk00000003/sig0000068d , \blk00000003/sig0000068e , \blk00000003/sig0000068f , \blk00000003/sig00000690 , +\blk00000003/sig00000691 , \blk00000003/sig00000692 , \blk00000003/sig00000693 , \blk00000003/sig00000694 , \blk00000003/sig00000695 , +\blk00000003/sig00000696 , \blk00000003/sig00000697 , \blk00000003/sig00000698 , \blk00000003/sig00000699 , \blk00000003/sig0000069a , +\blk00000003/sig0000069b , \blk00000003/sig0000069c , \blk00000003/sig0000069d , \blk00000003/sig0000069e , \blk00000003/sig0000069f , +\blk00000003/sig000006a0 , \blk00000003/sig000006a1 , \blk00000003/sig000006a2 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000ef ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000ef_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000ef_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000ef_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000ef_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000ef_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000ef_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000ef_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .PCIN({\blk00000003/sig00000349 , \blk00000003/sig0000034a , \blk00000003/sig0000034b , \blk00000003/sig0000034c , \blk00000003/sig0000034d , +\blk00000003/sig0000034e , \blk00000003/sig0000034f , \blk00000003/sig00000350 , \blk00000003/sig00000351 , \blk00000003/sig00000352 , +\blk00000003/sig00000353 , \blk00000003/sig00000354 , \blk00000003/sig00000355 , \blk00000003/sig00000356 , \blk00000003/sig00000357 , +\blk00000003/sig00000358 , \blk00000003/sig00000359 , \blk00000003/sig0000035a , \blk00000003/sig0000035b , \blk00000003/sig0000035c , +\blk00000003/sig0000035d , \blk00000003/sig0000035e , \blk00000003/sig0000035f , \blk00000003/sig00000360 , \blk00000003/sig00000361 , +\blk00000003/sig00000362 , \blk00000003/sig00000363 , \blk00000003/sig00000364 , \blk00000003/sig00000365 , \blk00000003/sig00000366 , +\blk00000003/sig00000367 , \blk00000003/sig00000368 , \blk00000003/sig00000369 , \blk00000003/sig0000036a , \blk00000003/sig0000036b , +\blk00000003/sig0000036c , \blk00000003/sig0000036d , \blk00000003/sig0000036e , \blk00000003/sig0000036f , \blk00000003/sig00000370 , +\blk00000003/sig00000371 , \blk00000003/sig00000372 , \blk00000003/sig00000373 , \blk00000003/sig00000374 , \blk00000003/sig00000375 , +\blk00000003/sig00000376 , \blk00000003/sig00000377 , \blk00000003/sig00000378 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000ef_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ef_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ef_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig0000044b , \blk00000003/sig0000044c , \blk00000003/sig0000044d , \blk00000003/sig0000044e , \blk00000003/sig0000044f , +\blk00000003/sig00000450 , \blk00000003/sig00000451 , \blk00000003/sig00000452 , \blk00000003/sig00000453 , \blk00000003/sig00000454 , +\blk00000003/sig00000455 , \blk00000003/sig00000456 , \blk00000003/sig00000457 , \blk00000003/sig00000458 , \blk00000003/sig00000459 , +\blk00000003/sig0000045a , \blk00000003/sig0000045b , \blk00000003/sig0000045c }), + .BCOUT({\NLW_blk00000003/blk000000ef_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ef_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ef_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ef_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ef_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ef_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ef_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ef_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ef_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ef_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig000005e3 , \blk00000003/sig000005e3 , \blk00000003/sig000005e4 , \blk00000003/sig000005e5 , \blk00000003/sig000005e6 , +\blk00000003/sig000005e7 , \blk00000003/sig000005e8 , \blk00000003/sig000005e9 , \blk00000003/sig000005ea , \blk00000003/sig000005eb , +\blk00000003/sig000005ec , \blk00000003/sig000005ed , \blk00000003/sig000005ee , \blk00000003/sig000005ef , \blk00000003/sig000005f0 , +\blk00000003/sig000005f1 , \blk00000003/sig000005f2 , \blk00000003/sig000005f3 , \blk00000003/sig000005f4 , \blk00000003/sig000005f5 , +\blk00000003/sig000005f6 , \blk00000003/sig000005f7 , \blk00000003/sig000005f8 , \blk00000003/sig000005f9 , \blk00000003/sig000005fa }), + .P({\NLW_blk00000003/blk000000ef_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<0>_UNCONNECTED }), + .A({\blk00000003/sig000005fb , \blk00000003/sig000005fb , \blk00000003/sig000005fb , \blk00000003/sig000005fb , \blk00000003/sig000005fb , +\blk00000003/sig000005fb , \blk00000003/sig000005fb , \blk00000003/sig000005fc , \blk00000003/sig000005fd , \blk00000003/sig000005fe , +\blk00000003/sig000005ff , \blk00000003/sig00000600 , \blk00000003/sig00000601 , \blk00000003/sig00000602 , \blk00000003/sig00000603 , +\blk00000003/sig00000604 , \blk00000003/sig00000605 , \blk00000003/sig00000606 , \blk00000003/sig00000607 , \blk00000003/sig00000608 , +\blk00000003/sig00000609 , \blk00000003/sig0000060a , \blk00000003/sig0000060b , \blk00000003/sig0000060c , \blk00000003/sig0000060d , +\blk00000003/sig0000060e , \blk00000003/sig0000060f , \blk00000003/sig00000610 , \blk00000003/sig00000611 , \blk00000003/sig00000612 }), + .PCOUT({\blk00000003/sig00000613 , \blk00000003/sig00000614 , \blk00000003/sig00000615 , \blk00000003/sig00000616 , \blk00000003/sig00000617 , +\blk00000003/sig00000618 , \blk00000003/sig00000619 , \blk00000003/sig0000061a , \blk00000003/sig0000061b , \blk00000003/sig0000061c , +\blk00000003/sig0000061d , \blk00000003/sig0000061e , \blk00000003/sig0000061f , \blk00000003/sig00000620 , \blk00000003/sig00000621 , +\blk00000003/sig00000622 , \blk00000003/sig00000623 , \blk00000003/sig00000624 , \blk00000003/sig00000625 , \blk00000003/sig00000626 , +\blk00000003/sig00000627 , \blk00000003/sig00000628 , \blk00000003/sig00000629 , \blk00000003/sig0000062a , \blk00000003/sig0000062b , +\blk00000003/sig0000062c , \blk00000003/sig0000062d , \blk00000003/sig0000062e , \blk00000003/sig0000062f , \blk00000003/sig00000630 , +\blk00000003/sig00000631 , \blk00000003/sig00000632 , \blk00000003/sig00000633 , \blk00000003/sig00000634 , \blk00000003/sig00000635 , +\blk00000003/sig00000636 , \blk00000003/sig00000637 , \blk00000003/sig00000638 , \blk00000003/sig00000639 , \blk00000003/sig0000063a , +\blk00000003/sig0000063b , \blk00000003/sig0000063c , \blk00000003/sig0000063d , \blk00000003/sig0000063e , \blk00000003/sig0000063f , +\blk00000003/sig00000640 , \blk00000003/sig00000641 , \blk00000003/sig00000642 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000ee ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000ee_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000ee_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000ee_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000ee_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000ee_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000ee_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000ee_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .PCIN({\blk00000003/sig00000571 , \blk00000003/sig00000572 , \blk00000003/sig00000573 , \blk00000003/sig00000574 , \blk00000003/sig00000575 , +\blk00000003/sig00000576 , \blk00000003/sig00000577 , \blk00000003/sig00000578 , \blk00000003/sig00000579 , \blk00000003/sig0000057a , +\blk00000003/sig0000057b , \blk00000003/sig0000057c , \blk00000003/sig0000057d , \blk00000003/sig0000057e , \blk00000003/sig0000057f , +\blk00000003/sig00000580 , \blk00000003/sig00000581 , \blk00000003/sig00000582 , \blk00000003/sig00000583 , \blk00000003/sig00000584 , +\blk00000003/sig00000585 , \blk00000003/sig00000586 , \blk00000003/sig00000587 , \blk00000003/sig00000588 , \blk00000003/sig00000589 , +\blk00000003/sig0000058a , \blk00000003/sig0000058b , \blk00000003/sig0000058c , \blk00000003/sig0000058d , \blk00000003/sig0000058e , +\blk00000003/sig0000058f , \blk00000003/sig00000590 , \blk00000003/sig00000591 , \blk00000003/sig00000592 , \blk00000003/sig00000593 , +\blk00000003/sig00000594 , \blk00000003/sig00000595 , \blk00000003/sig00000596 , \blk00000003/sig00000597 , \blk00000003/sig00000598 , +\blk00000003/sig00000599 , \blk00000003/sig0000059a , \blk00000003/sig0000059b , \blk00000003/sig0000059c , \blk00000003/sig0000059d , +\blk00000003/sig0000059e , \blk00000003/sig0000059f , \blk00000003/sig000005a0 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000ee_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ee_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ee_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000005a1 , \blk00000003/sig000005a2 , \blk00000003/sig000005a3 , \blk00000003/sig000005a4 , \blk00000003/sig000005a5 , +\blk00000003/sig000005a6 , \blk00000003/sig000005a7 , \blk00000003/sig000005a8 , \blk00000003/sig000005a9 , \blk00000003/sig000005aa , +\blk00000003/sig000005ab , \blk00000003/sig000005ac , \blk00000003/sig000005ad , \blk00000003/sig000005ae , \blk00000003/sig000005af , +\blk00000003/sig000005b0 , \blk00000003/sig000005b1 , \blk00000003/sig000005b2 }), + .BCOUT({\NLW_blk00000003/blk000000ee_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ee_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ee_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ee_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ee_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ee_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ee_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ee_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ee_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ee_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig000005b3 , \blk00000003/sig000005b3 , \blk00000003/sig000005b4 , \blk00000003/sig000005b5 , \blk00000003/sig000005b6 , +\blk00000003/sig000005b7 , \blk00000003/sig000005b8 , \blk00000003/sig000005b9 , \blk00000003/sig000005ba , \blk00000003/sig000005bb , +\blk00000003/sig000005bc , \blk00000003/sig000005bd , \blk00000003/sig000005be , \blk00000003/sig000005bf , \blk00000003/sig000005c0 , +\blk00000003/sig000005c1 , \blk00000003/sig000005c2 , \blk00000003/sig000005c3 , \blk00000003/sig000005c4 , \blk00000003/sig000005c5 , +\blk00000003/sig000005c6 , \blk00000003/sig000005c7 , \blk00000003/sig000005c8 , \blk00000003/sig000005c9 , \blk00000003/sig000005ca }), + .P({\NLW_blk00000003/blk000000ee_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<0>_UNCONNECTED }), + .A({\blk00000003/sig000005cb , \blk00000003/sig000005cb , \blk00000003/sig000005cb , \blk00000003/sig000005cb , \blk00000003/sig000005cb , +\blk00000003/sig000005cb , \blk00000003/sig000005cb , \blk00000003/sig000005cc , \blk00000003/sig000005cd , \blk00000003/sig000005ce , +\blk00000003/sig000005cf , \blk00000003/sig000005d0 , \blk00000003/sig000005d1 , \blk00000003/sig000005d2 , \blk00000003/sig000005d3 , +\blk00000003/sig000005d4 , \blk00000003/sig000005d5 , \blk00000003/sig000005d6 , \blk00000003/sig000005d7 , \blk00000003/sig000005d8 , +\blk00000003/sig000005d9 , \blk00000003/sig000005da , \blk00000003/sig000005db , \blk00000003/sig000005dc , \blk00000003/sig000005dd , +\blk00000003/sig000005de , \blk00000003/sig000005df , \blk00000003/sig000005e0 , \blk00000003/sig000005e1 , \blk00000003/sig000005e2 }), + .PCOUT({\blk00000003/sig00000379 , \blk00000003/sig0000037a , \blk00000003/sig0000037b , \blk00000003/sig0000037c , \blk00000003/sig0000037d , +\blk00000003/sig0000037e , \blk00000003/sig0000037f , \blk00000003/sig00000380 , \blk00000003/sig00000381 , \blk00000003/sig00000382 , +\blk00000003/sig00000383 , \blk00000003/sig00000384 , \blk00000003/sig00000385 , \blk00000003/sig00000386 , \blk00000003/sig00000387 , +\blk00000003/sig00000388 , \blk00000003/sig00000389 , \blk00000003/sig0000038a , \blk00000003/sig0000038b , \blk00000003/sig0000038c , +\blk00000003/sig0000038d , \blk00000003/sig0000038e , \blk00000003/sig0000038f , \blk00000003/sig00000390 , \blk00000003/sig00000391 , +\blk00000003/sig00000392 , \blk00000003/sig00000393 , \blk00000003/sig00000394 , \blk00000003/sig00000395 , \blk00000003/sig00000396 , +\blk00000003/sig00000397 , \blk00000003/sig00000398 , \blk00000003/sig00000399 , \blk00000003/sig0000039a , \blk00000003/sig0000039b , +\blk00000003/sig0000039c , \blk00000003/sig0000039d , \blk00000003/sig0000039e , \blk00000003/sig0000039f , \blk00000003/sig000003a0 , +\blk00000003/sig000003a1 , \blk00000003/sig000003a2 , \blk00000003/sig000003a3 , \blk00000003/sig000003a4 , \blk00000003/sig000003a5 , +\blk00000003/sig000003a6 , \blk00000003/sig000003a7 , \blk00000003/sig000003a8 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000ed ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000ed_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000ed_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000ed_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000ed_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000ed_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000ed_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000ed_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .PCIN({\blk00000003/sig000004ff , \blk00000003/sig00000500 , \blk00000003/sig00000501 , \blk00000003/sig00000502 , \blk00000003/sig00000503 , +\blk00000003/sig00000504 , \blk00000003/sig00000505 , \blk00000003/sig00000506 , \blk00000003/sig00000507 , \blk00000003/sig00000508 , +\blk00000003/sig00000509 , \blk00000003/sig0000050a , \blk00000003/sig0000050b , \blk00000003/sig0000050c , \blk00000003/sig0000050d , +\blk00000003/sig0000050e , \blk00000003/sig0000050f , \blk00000003/sig00000510 , \blk00000003/sig00000511 , \blk00000003/sig00000512 , +\blk00000003/sig00000513 , \blk00000003/sig00000514 , \blk00000003/sig00000515 , \blk00000003/sig00000516 , \blk00000003/sig00000517 , +\blk00000003/sig00000518 , \blk00000003/sig00000519 , \blk00000003/sig0000051a , \blk00000003/sig0000051b , \blk00000003/sig0000051c , +\blk00000003/sig0000051d , \blk00000003/sig0000051e , \blk00000003/sig0000051f , \blk00000003/sig00000520 , \blk00000003/sig00000521 , +\blk00000003/sig00000522 , \blk00000003/sig00000523 , \blk00000003/sig00000524 , \blk00000003/sig00000525 , \blk00000003/sig00000526 , +\blk00000003/sig00000527 , \blk00000003/sig00000528 , \blk00000003/sig00000529 , \blk00000003/sig0000052a , \blk00000003/sig0000052b , +\blk00000003/sig0000052c , \blk00000003/sig0000052d , \blk00000003/sig0000052e }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000ed_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ed_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ed_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig0000052f , \blk00000003/sig00000530 , \blk00000003/sig00000531 , \blk00000003/sig00000532 , \blk00000003/sig00000533 , +\blk00000003/sig00000534 , \blk00000003/sig00000535 , \blk00000003/sig00000536 , \blk00000003/sig00000537 , \blk00000003/sig00000538 , +\blk00000003/sig00000539 , \blk00000003/sig0000053a , \blk00000003/sig0000053b , \blk00000003/sig0000053c , \blk00000003/sig0000053d , +\blk00000003/sig0000053e , \blk00000003/sig0000053f , \blk00000003/sig00000540 }), + .BCOUT({\NLW_blk00000003/blk000000ed_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ed_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ed_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ed_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ed_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ed_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ed_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ed_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ed_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ed_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig00000541 , \blk00000003/sig00000541 , \blk00000003/sig00000542 , \blk00000003/sig00000543 , \blk00000003/sig00000544 , +\blk00000003/sig00000545 , \blk00000003/sig00000546 , \blk00000003/sig00000547 , \blk00000003/sig00000548 , \blk00000003/sig00000549 , +\blk00000003/sig0000054a , \blk00000003/sig0000054b , \blk00000003/sig0000054c , \blk00000003/sig0000054d , \blk00000003/sig0000054e , +\blk00000003/sig0000054f , \blk00000003/sig00000550 , \blk00000003/sig00000551 , \blk00000003/sig00000552 , \blk00000003/sig00000553 , +\blk00000003/sig00000554 , \blk00000003/sig00000555 , \blk00000003/sig00000556 , \blk00000003/sig00000557 , \blk00000003/sig00000558 }), + .P({\NLW_blk00000003/blk000000ed_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<0>_UNCONNECTED }), + .A({\blk00000003/sig00000559 , \blk00000003/sig00000559 , \blk00000003/sig00000559 , \blk00000003/sig00000559 , \blk00000003/sig00000559 , +\blk00000003/sig00000559 , \blk00000003/sig00000559 , \blk00000003/sig0000055a , \blk00000003/sig0000055b , \blk00000003/sig0000055c , +\blk00000003/sig0000055d , \blk00000003/sig0000055e , \blk00000003/sig0000055f , \blk00000003/sig00000560 , \blk00000003/sig00000561 , +\blk00000003/sig00000562 , \blk00000003/sig00000563 , \blk00000003/sig00000564 , \blk00000003/sig00000565 , \blk00000003/sig00000566 , +\blk00000003/sig00000567 , \blk00000003/sig00000568 , \blk00000003/sig00000569 , \blk00000003/sig0000056a , \blk00000003/sig0000056b , +\blk00000003/sig0000056c , \blk00000003/sig0000056d , \blk00000003/sig0000056e , \blk00000003/sig0000056f , \blk00000003/sig00000570 }), + .PCOUT({\blk00000003/sig00000571 , \blk00000003/sig00000572 , \blk00000003/sig00000573 , \blk00000003/sig00000574 , \blk00000003/sig00000575 , +\blk00000003/sig00000576 , \blk00000003/sig00000577 , \blk00000003/sig00000578 , \blk00000003/sig00000579 , \blk00000003/sig0000057a , +\blk00000003/sig0000057b , \blk00000003/sig0000057c , \blk00000003/sig0000057d , \blk00000003/sig0000057e , \blk00000003/sig0000057f , +\blk00000003/sig00000580 , \blk00000003/sig00000581 , \blk00000003/sig00000582 , \blk00000003/sig00000583 , \blk00000003/sig00000584 , +\blk00000003/sig00000585 , \blk00000003/sig00000586 , \blk00000003/sig00000587 , \blk00000003/sig00000588 , \blk00000003/sig00000589 , +\blk00000003/sig0000058a , \blk00000003/sig0000058b , \blk00000003/sig0000058c , \blk00000003/sig0000058d , \blk00000003/sig0000058e , +\blk00000003/sig0000058f , \blk00000003/sig00000590 , \blk00000003/sig00000591 , \blk00000003/sig00000592 , \blk00000003/sig00000593 , +\blk00000003/sig00000594 , \blk00000003/sig00000595 , \blk00000003/sig00000596 , \blk00000003/sig00000597 , \blk00000003/sig00000598 , +\blk00000003/sig00000599 , \blk00000003/sig0000059a , \blk00000003/sig0000059b , \blk00000003/sig0000059c , \blk00000003/sig0000059d , +\blk00000003/sig0000059e , \blk00000003/sig0000059f , \blk00000003/sig000005a0 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000ec ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000ec_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000ec_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000ec_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000ec_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000ec_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000ec_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000ec_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .PCIN({\blk00000003/sig0000048d , \blk00000003/sig0000048e , \blk00000003/sig0000048f , \blk00000003/sig00000490 , \blk00000003/sig00000491 , +\blk00000003/sig00000492 , \blk00000003/sig00000493 , \blk00000003/sig00000494 , \blk00000003/sig00000495 , \blk00000003/sig00000496 , +\blk00000003/sig00000497 , \blk00000003/sig00000498 , \blk00000003/sig00000499 , \blk00000003/sig0000049a , \blk00000003/sig0000049b , +\blk00000003/sig0000049c , \blk00000003/sig0000049d , \blk00000003/sig0000049e , \blk00000003/sig0000049f , \blk00000003/sig000004a0 , +\blk00000003/sig000004a1 , \blk00000003/sig000004a2 , \blk00000003/sig000004a3 , \blk00000003/sig000004a4 , \blk00000003/sig000004a5 , +\blk00000003/sig000004a6 , \blk00000003/sig000004a7 , \blk00000003/sig000004a8 , \blk00000003/sig000004a9 , \blk00000003/sig000004aa , +\blk00000003/sig000004ab , \blk00000003/sig000004ac , \blk00000003/sig000004ad , \blk00000003/sig000004ae , \blk00000003/sig000004af , +\blk00000003/sig000004b0 , \blk00000003/sig000004b1 , \blk00000003/sig000004b2 , \blk00000003/sig000004b3 , \blk00000003/sig000004b4 , +\blk00000003/sig000004b5 , \blk00000003/sig000004b6 , \blk00000003/sig000004b7 , \blk00000003/sig000004b8 , \blk00000003/sig000004b9 , +\blk00000003/sig000004ba , \blk00000003/sig000004bb , \blk00000003/sig000004bc }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000ec_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ec_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ec_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000004bd , \blk00000003/sig000004be , \blk00000003/sig000004bf , \blk00000003/sig000004c0 , \blk00000003/sig000004c1 , +\blk00000003/sig000004c2 , \blk00000003/sig000004c3 , \blk00000003/sig000004c4 , \blk00000003/sig000004c5 , \blk00000003/sig000004c6 , +\blk00000003/sig000004c7 , \blk00000003/sig000004c8 , \blk00000003/sig000004c9 , \blk00000003/sig000004ca , \blk00000003/sig000004cb , +\blk00000003/sig000004cc , \blk00000003/sig000004cd , \blk00000003/sig000004ce }), + .BCOUT({\NLW_blk00000003/blk000000ec_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ec_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ec_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ec_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ec_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ec_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ec_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ec_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ec_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ec_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig000004cf , \blk00000003/sig000004cf , \blk00000003/sig000004d0 , \blk00000003/sig000004d1 , \blk00000003/sig000004d2 , +\blk00000003/sig000004d3 , \blk00000003/sig000004d4 , \blk00000003/sig000004d5 , \blk00000003/sig000004d6 , \blk00000003/sig000004d7 , +\blk00000003/sig000004d8 , \blk00000003/sig000004d9 , \blk00000003/sig000004da , \blk00000003/sig000004db , \blk00000003/sig000004dc , +\blk00000003/sig000004dd , \blk00000003/sig000004de , \blk00000003/sig000004df , \blk00000003/sig000004e0 , \blk00000003/sig000004e1 , +\blk00000003/sig000004e2 , \blk00000003/sig000004e3 , \blk00000003/sig000004e4 , \blk00000003/sig000004e5 , \blk00000003/sig000004e6 }), + .P({\NLW_blk00000003/blk000000ec_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<0>_UNCONNECTED }), + .A({\blk00000003/sig000004e7 , \blk00000003/sig000004e7 , \blk00000003/sig000004e7 , \blk00000003/sig000004e7 , \blk00000003/sig000004e7 , +\blk00000003/sig000004e7 , \blk00000003/sig000004e7 , \blk00000003/sig000004e8 , \blk00000003/sig000004e9 , \blk00000003/sig000004ea , +\blk00000003/sig000004eb , \blk00000003/sig000004ec , \blk00000003/sig000004ed , \blk00000003/sig000004ee , \blk00000003/sig000004ef , +\blk00000003/sig000004f0 , \blk00000003/sig000004f1 , \blk00000003/sig000004f2 , \blk00000003/sig000004f3 , \blk00000003/sig000004f4 , +\blk00000003/sig000004f5 , \blk00000003/sig000004f6 , \blk00000003/sig000004f7 , \blk00000003/sig000004f8 , \blk00000003/sig000004f9 , +\blk00000003/sig000004fa , \blk00000003/sig000004fb , \blk00000003/sig000004fc , \blk00000003/sig000004fd , \blk00000003/sig000004fe }), + .PCOUT({\blk00000003/sig000004ff , \blk00000003/sig00000500 , \blk00000003/sig00000501 , \blk00000003/sig00000502 , \blk00000003/sig00000503 , +\blk00000003/sig00000504 , \blk00000003/sig00000505 , \blk00000003/sig00000506 , \blk00000003/sig00000507 , \blk00000003/sig00000508 , +\blk00000003/sig00000509 , \blk00000003/sig0000050a , \blk00000003/sig0000050b , \blk00000003/sig0000050c , \blk00000003/sig0000050d , +\blk00000003/sig0000050e , \blk00000003/sig0000050f , \blk00000003/sig00000510 , \blk00000003/sig00000511 , \blk00000003/sig00000512 , +\blk00000003/sig00000513 , \blk00000003/sig00000514 , \blk00000003/sig00000515 , \blk00000003/sig00000516 , \blk00000003/sig00000517 , +\blk00000003/sig00000518 , \blk00000003/sig00000519 , \blk00000003/sig0000051a , \blk00000003/sig0000051b , \blk00000003/sig0000051c , +\blk00000003/sig0000051d , \blk00000003/sig0000051e , \blk00000003/sig0000051f , \blk00000003/sig00000520 , \blk00000003/sig00000521 , +\blk00000003/sig00000522 , \blk00000003/sig00000523 , \blk00000003/sig00000524 , \blk00000003/sig00000525 , \blk00000003/sig00000526 , +\blk00000003/sig00000527 , \blk00000003/sig00000528 , \blk00000003/sig00000529 , \blk00000003/sig0000052a , \blk00000003/sig0000052b , +\blk00000003/sig0000052c , \blk00000003/sig0000052d , \blk00000003/sig0000052e }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000eb ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000eb_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000eb_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000eb_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000eb_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000eb_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000eb_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000eb_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .PCIN({\blk00000003/sig000002e9 , \blk00000003/sig000002ea , \blk00000003/sig000002eb , \blk00000003/sig000002ec , \blk00000003/sig000002ed , +\blk00000003/sig000002ee , \blk00000003/sig000002ef , \blk00000003/sig000002f0 , \blk00000003/sig000002f1 , \blk00000003/sig000002f2 , +\blk00000003/sig000002f3 , \blk00000003/sig000002f4 , \blk00000003/sig000002f5 , \blk00000003/sig000002f6 , \blk00000003/sig000002f7 , +\blk00000003/sig000002f8 , \blk00000003/sig000002f9 , \blk00000003/sig000002fa , \blk00000003/sig000002fb , \blk00000003/sig000002fc , +\blk00000003/sig000002fd , \blk00000003/sig000002fe , \blk00000003/sig000002ff , \blk00000003/sig00000300 , \blk00000003/sig00000301 , +\blk00000003/sig00000302 , \blk00000003/sig00000303 , \blk00000003/sig00000304 , \blk00000003/sig00000305 , \blk00000003/sig00000306 , +\blk00000003/sig00000307 , \blk00000003/sig00000308 , \blk00000003/sig00000309 , \blk00000003/sig0000030a , \blk00000003/sig0000030b , +\blk00000003/sig0000030c , \blk00000003/sig0000030d , \blk00000003/sig0000030e , \blk00000003/sig0000030f , \blk00000003/sig00000310 , +\blk00000003/sig00000311 , \blk00000003/sig00000312 , \blk00000003/sig00000313 , \blk00000003/sig00000314 , \blk00000003/sig00000315 , +\blk00000003/sig00000316 , \blk00000003/sig00000317 , \blk00000003/sig00000318 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000eb_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000eb_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000eb_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig0000044b , \blk00000003/sig0000044c , \blk00000003/sig0000044d , \blk00000003/sig0000044e , \blk00000003/sig0000044f , +\blk00000003/sig00000450 , \blk00000003/sig00000451 , \blk00000003/sig00000452 , \blk00000003/sig00000453 , \blk00000003/sig00000454 , +\blk00000003/sig00000455 , \blk00000003/sig00000456 , \blk00000003/sig00000457 , \blk00000003/sig00000458 , \blk00000003/sig00000459 , +\blk00000003/sig0000045a , \blk00000003/sig0000045b , \blk00000003/sig0000045c }), + .BCOUT({\NLW_blk00000003/blk000000eb_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000eb_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000eb_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000eb_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000eb_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000eb_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000eb_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000eb_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000eb_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000eb_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig0000045d , \blk00000003/sig0000045d , \blk00000003/sig0000045e , \blk00000003/sig0000045f , \blk00000003/sig00000460 , +\blk00000003/sig00000461 , \blk00000003/sig00000462 , \blk00000003/sig00000463 , \blk00000003/sig00000464 , \blk00000003/sig00000465 , +\blk00000003/sig00000466 , \blk00000003/sig00000467 , \blk00000003/sig00000468 , \blk00000003/sig00000469 , \blk00000003/sig0000046a , +\blk00000003/sig0000046b , \blk00000003/sig0000046c , \blk00000003/sig0000046d , \blk00000003/sig0000046e , \blk00000003/sig0000046f , +\blk00000003/sig00000470 , \blk00000003/sig00000471 , \blk00000003/sig00000472 , \blk00000003/sig00000473 , \blk00000003/sig00000474 }), + .P({\NLW_blk00000003/blk000000eb_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<0>_UNCONNECTED }), + .A({\blk00000003/sig00000475 , \blk00000003/sig00000475 , \blk00000003/sig00000475 , \blk00000003/sig00000475 , \blk00000003/sig00000475 , +\blk00000003/sig00000475 , \blk00000003/sig00000475 , \blk00000003/sig00000476 , \blk00000003/sig00000477 , \blk00000003/sig00000478 , +\blk00000003/sig00000479 , \blk00000003/sig0000047a , \blk00000003/sig0000047b , \blk00000003/sig0000047c , \blk00000003/sig0000047d , +\blk00000003/sig0000047e , \blk00000003/sig0000047f , \blk00000003/sig00000480 , \blk00000003/sig00000481 , \blk00000003/sig00000482 , +\blk00000003/sig00000483 , \blk00000003/sig00000484 , \blk00000003/sig00000485 , \blk00000003/sig00000486 , \blk00000003/sig00000487 , +\blk00000003/sig00000488 , \blk00000003/sig00000489 , \blk00000003/sig0000048a , \blk00000003/sig0000048b , \blk00000003/sig0000048c }), + .PCOUT({\blk00000003/sig0000048d , \blk00000003/sig0000048e , \blk00000003/sig0000048f , \blk00000003/sig00000490 , \blk00000003/sig00000491 , +\blk00000003/sig00000492 , \blk00000003/sig00000493 , \blk00000003/sig00000494 , \blk00000003/sig00000495 , \blk00000003/sig00000496 , +\blk00000003/sig00000497 , \blk00000003/sig00000498 , \blk00000003/sig00000499 , \blk00000003/sig0000049a , \blk00000003/sig0000049b , +\blk00000003/sig0000049c , \blk00000003/sig0000049d , \blk00000003/sig0000049e , \blk00000003/sig0000049f , \blk00000003/sig000004a0 , +\blk00000003/sig000004a1 , \blk00000003/sig000004a2 , \blk00000003/sig000004a3 , \blk00000003/sig000004a4 , \blk00000003/sig000004a5 , +\blk00000003/sig000004a6 , \blk00000003/sig000004a7 , \blk00000003/sig000004a8 , \blk00000003/sig000004a9 , \blk00000003/sig000004aa , +\blk00000003/sig000004ab , \blk00000003/sig000004ac , \blk00000003/sig000004ad , \blk00000003/sig000004ae , \blk00000003/sig000004af , +\blk00000003/sig000004b0 , \blk00000003/sig000004b1 , \blk00000003/sig000004b2 , \blk00000003/sig000004b3 , \blk00000003/sig000004b4 , +\blk00000003/sig000004b5 , \blk00000003/sig000004b6 , \blk00000003/sig000004b7 , \blk00000003/sig000004b8 , \blk00000003/sig000004b9 , +\blk00000003/sig000004ba , \blk00000003/sig000004bb , \blk00000003/sig000004bc }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000ea ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000ea_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000ea_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000ea_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000ea_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000ea_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000ea_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000ea_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .PCIN({\blk00000003/sig000003eb , \blk00000003/sig000003ec , \blk00000003/sig000003ed , \blk00000003/sig000003ee , \blk00000003/sig000003ef , +\blk00000003/sig000003f0 , \blk00000003/sig000003f1 , \blk00000003/sig000003f2 , \blk00000003/sig000003f3 , \blk00000003/sig000003f4 , +\blk00000003/sig000003f5 , \blk00000003/sig000003f6 , \blk00000003/sig000003f7 , \blk00000003/sig000003f8 , \blk00000003/sig000003f9 , +\blk00000003/sig000003fa , \blk00000003/sig000003fb , \blk00000003/sig000003fc , \blk00000003/sig000003fd , \blk00000003/sig000003fe , +\blk00000003/sig000003ff , \blk00000003/sig00000400 , \blk00000003/sig00000401 , \blk00000003/sig00000402 , \blk00000003/sig00000403 , +\blk00000003/sig00000404 , \blk00000003/sig00000405 , \blk00000003/sig00000406 , \blk00000003/sig00000407 , \blk00000003/sig00000408 , +\blk00000003/sig00000409 , \blk00000003/sig0000040a , \blk00000003/sig0000040b , \blk00000003/sig0000040c , \blk00000003/sig0000040d , +\blk00000003/sig0000040e , \blk00000003/sig0000040f , \blk00000003/sig00000410 , \blk00000003/sig00000411 , \blk00000003/sig00000412 , +\blk00000003/sig00000413 , \blk00000003/sig00000414 , \blk00000003/sig00000415 , \blk00000003/sig00000416 , \blk00000003/sig00000417 , +\blk00000003/sig00000418 , \blk00000003/sig00000419 , \blk00000003/sig0000041a }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000ea_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ea_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ea_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000003a9 , \blk00000003/sig000003aa , \blk00000003/sig000003ab , \blk00000003/sig000003ac , \blk00000003/sig000003ad , +\blk00000003/sig000003ae , \blk00000003/sig000003af , \blk00000003/sig000003b0 , \blk00000003/sig000003b1 , \blk00000003/sig000003b2 , +\blk00000003/sig000003b3 , \blk00000003/sig000003b4 , \blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 , +\blk00000003/sig000003b8 , \blk00000003/sig000003b9 , \blk00000003/sig000003ba }), + .BCOUT({\NLW_blk00000003/blk000000ea_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ea_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ea_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ea_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ea_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ea_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ea_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ea_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ea_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ea_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig0000041b , \blk00000003/sig0000041b , \blk00000003/sig0000041c , \blk00000003/sig0000041d , \blk00000003/sig0000041e , +\blk00000003/sig0000041f , \blk00000003/sig00000420 , \blk00000003/sig00000421 , \blk00000003/sig00000422 , \blk00000003/sig00000423 , +\blk00000003/sig00000424 , \blk00000003/sig00000425 , \blk00000003/sig00000426 , \blk00000003/sig00000427 , \blk00000003/sig00000428 , +\blk00000003/sig00000429 , \blk00000003/sig0000042a , \blk00000003/sig0000042b , \blk00000003/sig0000042c , \blk00000003/sig0000042d , +\blk00000003/sig0000042e , \blk00000003/sig0000042f , \blk00000003/sig00000430 , \blk00000003/sig00000431 , \blk00000003/sig00000432 }), + .P({\NLW_blk00000003/blk000000ea_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<0>_UNCONNECTED }), + .A({\blk00000003/sig00000433 , \blk00000003/sig00000433 , \blk00000003/sig00000433 , \blk00000003/sig00000433 , \blk00000003/sig00000433 , +\blk00000003/sig00000433 , \blk00000003/sig00000433 , \blk00000003/sig00000434 , \blk00000003/sig00000435 , \blk00000003/sig00000436 , +\blk00000003/sig00000437 , \blk00000003/sig00000438 , \blk00000003/sig00000439 , \blk00000003/sig0000043a , \blk00000003/sig0000043b , +\blk00000003/sig0000043c , \blk00000003/sig0000043d , \blk00000003/sig0000043e , \blk00000003/sig0000043f , \blk00000003/sig00000440 , +\blk00000003/sig00000441 , \blk00000003/sig00000442 , \blk00000003/sig00000443 , \blk00000003/sig00000444 , \blk00000003/sig00000445 , +\blk00000003/sig00000446 , \blk00000003/sig00000447 , \blk00000003/sig00000448 , \blk00000003/sig00000449 , \blk00000003/sig0000044a }), + .PCOUT({\blk00000003/sig000000ca , \blk00000003/sig000000cb , \blk00000003/sig000000cc , \blk00000003/sig000000cd , \blk00000003/sig000000ce , +\blk00000003/sig000000cf , \blk00000003/sig000000d0 , \blk00000003/sig000000d1 , \blk00000003/sig000000d2 , \blk00000003/sig000000d3 , +\blk00000003/sig000000d4 , \blk00000003/sig000000d5 , \blk00000003/sig000000d6 , \blk00000003/sig000000d7 , \blk00000003/sig000000d8 , +\blk00000003/sig000000d9 , \blk00000003/sig000000da , \blk00000003/sig000000db , \blk00000003/sig000000dc , \blk00000003/sig000000dd , +\blk00000003/sig000000de , \blk00000003/sig000000df , \blk00000003/sig000000e0 , \blk00000003/sig000000e1 , \blk00000003/sig000000e2 , +\blk00000003/sig000000e3 , \blk00000003/sig000000e4 , \blk00000003/sig000000e5 , \blk00000003/sig000000e6 , \blk00000003/sig000000e7 , +\blk00000003/sig000000e8 , \blk00000003/sig000000e9 , \blk00000003/sig000000ea , \blk00000003/sig000000eb , \blk00000003/sig000000ec , +\blk00000003/sig000000ed , \blk00000003/sig000000ee , \blk00000003/sig000000ef , \blk00000003/sig000000f0 , \blk00000003/sig000000f1 , +\blk00000003/sig000000f2 , \blk00000003/sig000000f3 , \blk00000003/sig000000f4 , \blk00000003/sig000000f5 , \blk00000003/sig000000f6 , +\blk00000003/sig000000f7 , \blk00000003/sig000000f8 , \blk00000003/sig000000f9 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000e9 ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000e9_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000e9_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000e9_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000e9_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000e9_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000e9_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000e9_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .PCIN({\blk00000003/sig00000379 , \blk00000003/sig0000037a , \blk00000003/sig0000037b , \blk00000003/sig0000037c , \blk00000003/sig0000037d , +\blk00000003/sig0000037e , \blk00000003/sig0000037f , \blk00000003/sig00000380 , \blk00000003/sig00000381 , \blk00000003/sig00000382 , +\blk00000003/sig00000383 , \blk00000003/sig00000384 , \blk00000003/sig00000385 , \blk00000003/sig00000386 , \blk00000003/sig00000387 , +\blk00000003/sig00000388 , \blk00000003/sig00000389 , \blk00000003/sig0000038a , \blk00000003/sig0000038b , \blk00000003/sig0000038c , +\blk00000003/sig0000038d , \blk00000003/sig0000038e , \blk00000003/sig0000038f , \blk00000003/sig00000390 , \blk00000003/sig00000391 , +\blk00000003/sig00000392 , \blk00000003/sig00000393 , \blk00000003/sig00000394 , \blk00000003/sig00000395 , \blk00000003/sig00000396 , +\blk00000003/sig00000397 , \blk00000003/sig00000398 , \blk00000003/sig00000399 , \blk00000003/sig0000039a , \blk00000003/sig0000039b , +\blk00000003/sig0000039c , \blk00000003/sig0000039d , \blk00000003/sig0000039e , \blk00000003/sig0000039f , \blk00000003/sig000003a0 , +\blk00000003/sig000003a1 , \blk00000003/sig000003a2 , \blk00000003/sig000003a3 , \blk00000003/sig000003a4 , \blk00000003/sig000003a5 , +\blk00000003/sig000003a6 , \blk00000003/sig000003a7 , \blk00000003/sig000003a8 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000e9_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000e9_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000e9_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000003a9 , \blk00000003/sig000003aa , \blk00000003/sig000003ab , \blk00000003/sig000003ac , \blk00000003/sig000003ad , +\blk00000003/sig000003ae , \blk00000003/sig000003af , \blk00000003/sig000003b0 , \blk00000003/sig000003b1 , \blk00000003/sig000003b2 , +\blk00000003/sig000003b3 , \blk00000003/sig000003b4 , \blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 , +\blk00000003/sig000003b8 , \blk00000003/sig000003b9 , \blk00000003/sig000003ba }), + .BCOUT({\NLW_blk00000003/blk000000e9_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000e9_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000e9_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000e9_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000e9_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000e9_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000e9_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000e9_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000e9_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000e9_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig000003bb , \blk00000003/sig000003bb , \blk00000003/sig000003bc , \blk00000003/sig000003bd , \blk00000003/sig000003be , +\blk00000003/sig000003bf , \blk00000003/sig000003c0 , \blk00000003/sig000003c1 , \blk00000003/sig000003c2 , \blk00000003/sig000003c3 , +\blk00000003/sig000003c4 , \blk00000003/sig000003c5 , \blk00000003/sig000003c6 , \blk00000003/sig000003c7 , \blk00000003/sig000003c8 , +\blk00000003/sig000003c9 , \blk00000003/sig000003ca , \blk00000003/sig000003cb , \blk00000003/sig000003cc , \blk00000003/sig000003cd , +\blk00000003/sig000003ce , \blk00000003/sig000003cf , \blk00000003/sig000003d0 , \blk00000003/sig000003d1 , \blk00000003/sig000003d2 }), + .P({\NLW_blk00000003/blk000000e9_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<0>_UNCONNECTED }), + .A({\blk00000003/sig000003d3 , \blk00000003/sig000003d3 , \blk00000003/sig000003d3 , \blk00000003/sig000003d3 , \blk00000003/sig000003d3 , +\blk00000003/sig000003d3 , \blk00000003/sig000003d3 , \blk00000003/sig000003d4 , \blk00000003/sig000003d5 , \blk00000003/sig000003d6 , +\blk00000003/sig000003d7 , \blk00000003/sig000003d8 , \blk00000003/sig000003d9 , \blk00000003/sig000003da , \blk00000003/sig000003db , +\blk00000003/sig000003dc , \blk00000003/sig000003dd , \blk00000003/sig000003de , \blk00000003/sig000003df , \blk00000003/sig000003e0 , +\blk00000003/sig000003e1 , \blk00000003/sig000003e2 , \blk00000003/sig000003e3 , \blk00000003/sig000003e4 , \blk00000003/sig000003e5 , +\blk00000003/sig000003e6 , \blk00000003/sig000003e7 , \blk00000003/sig000003e8 , \blk00000003/sig000003e9 , \blk00000003/sig000003ea }), + .PCOUT({\blk00000003/sig00000153 , \blk00000003/sig00000154 , \blk00000003/sig00000155 , \blk00000003/sig00000156 , \blk00000003/sig00000157 , +\blk00000003/sig00000158 , \blk00000003/sig00000159 , \blk00000003/sig0000015a , \blk00000003/sig0000015b , \blk00000003/sig0000015c , +\blk00000003/sig0000015d , \blk00000003/sig0000015e , \blk00000003/sig0000015f , \blk00000003/sig00000160 , \blk00000003/sig00000161 , +\blk00000003/sig00000162 , \blk00000003/sig00000163 , \blk00000003/sig00000164 , \blk00000003/sig00000165 , \blk00000003/sig00000166 , +\blk00000003/sig00000167 , \blk00000003/sig00000168 , \blk00000003/sig00000169 , \blk00000003/sig0000016a , \blk00000003/sig0000016b , +\blk00000003/sig0000016c , \blk00000003/sig0000016d , \blk00000003/sig0000016e , \blk00000003/sig0000016f , \blk00000003/sig00000170 , +\blk00000003/sig00000171 , \blk00000003/sig00000172 , \blk00000003/sig00000173 , \blk00000003/sig00000174 , \blk00000003/sig00000175 , +\blk00000003/sig00000176 , \blk00000003/sig00000177 , \blk00000003/sig00000178 , \blk00000003/sig00000179 , \blk00000003/sig0000017a , +\blk00000003/sig0000017b , \blk00000003/sig0000017c , \blk00000003/sig0000017d , \blk00000003/sig0000017e , \blk00000003/sig0000017f , +\blk00000003/sig00000180 , \blk00000003/sig00000181 , \blk00000003/sig00000182 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000e8 ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000e8_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000e8_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000e8_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000e8_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000e8_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000e8_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000e8_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000e8_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000e8_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000e8_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000002a7 , \blk00000003/sig000002a8 , \blk00000003/sig000002a9 , \blk00000003/sig000002aa , \blk00000003/sig000002ab , +\blk00000003/sig000002ac , \blk00000003/sig000002ad , \blk00000003/sig000002ae , \blk00000003/sig000002af , \blk00000003/sig000002b0 , +\blk00000003/sig000002b1 , \blk00000003/sig000002b2 , \blk00000003/sig000002b3 , \blk00000003/sig000002b4 , \blk00000003/sig000002b5 , +\blk00000003/sig000002b6 , \blk00000003/sig000002b7 , \blk00000003/sig000002b8 }), + .BCOUT({\NLW_blk00000003/blk000000e8_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000e8_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000e8_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000e8_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000e8_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000e8_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000e8_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000e8_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000e8_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000e8_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig00000319 , \blk00000003/sig00000319 , \blk00000003/sig0000031a , \blk00000003/sig0000031b , \blk00000003/sig0000031c , +\blk00000003/sig0000031d , \blk00000003/sig0000031e , \blk00000003/sig0000031f , \blk00000003/sig00000320 , \blk00000003/sig00000321 , +\blk00000003/sig00000322 , \blk00000003/sig00000323 , \blk00000003/sig00000324 , \blk00000003/sig00000325 , \blk00000003/sig00000326 , +\blk00000003/sig00000327 , \blk00000003/sig00000328 , \blk00000003/sig00000329 , \blk00000003/sig0000032a , \blk00000003/sig0000032b , +\blk00000003/sig0000032c , \blk00000003/sig0000032d , \blk00000003/sig0000032e , \blk00000003/sig0000032f , \blk00000003/sig00000330 }), + .P({\NLW_blk00000003/blk000000e8_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<0>_UNCONNECTED }), + .A({\blk00000003/sig00000331 , \blk00000003/sig00000331 , \blk00000003/sig00000331 , \blk00000003/sig00000331 , \blk00000003/sig00000331 , +\blk00000003/sig00000331 , \blk00000003/sig00000331 , \blk00000003/sig00000332 , \blk00000003/sig00000333 , \blk00000003/sig00000334 , +\blk00000003/sig00000335 , \blk00000003/sig00000336 , \blk00000003/sig00000337 , \blk00000003/sig00000338 , \blk00000003/sig00000339 , +\blk00000003/sig0000033a , \blk00000003/sig0000033b , \blk00000003/sig0000033c , \blk00000003/sig0000033d , \blk00000003/sig0000033e , +\blk00000003/sig0000033f , \blk00000003/sig00000340 , \blk00000003/sig00000341 , \blk00000003/sig00000342 , \blk00000003/sig00000343 , +\blk00000003/sig00000344 , \blk00000003/sig00000345 , \blk00000003/sig00000346 , \blk00000003/sig00000347 , \blk00000003/sig00000348 }), + .PCOUT({\blk00000003/sig00000349 , \blk00000003/sig0000034a , \blk00000003/sig0000034b , \blk00000003/sig0000034c , \blk00000003/sig0000034d , +\blk00000003/sig0000034e , \blk00000003/sig0000034f , \blk00000003/sig00000350 , \blk00000003/sig00000351 , \blk00000003/sig00000352 , +\blk00000003/sig00000353 , \blk00000003/sig00000354 , \blk00000003/sig00000355 , \blk00000003/sig00000356 , \blk00000003/sig00000357 , +\blk00000003/sig00000358 , \blk00000003/sig00000359 , \blk00000003/sig0000035a , \blk00000003/sig0000035b , \blk00000003/sig0000035c , +\blk00000003/sig0000035d , \blk00000003/sig0000035e , \blk00000003/sig0000035f , \blk00000003/sig00000360 , \blk00000003/sig00000361 , +\blk00000003/sig00000362 , \blk00000003/sig00000363 , \blk00000003/sig00000364 , \blk00000003/sig00000365 , \blk00000003/sig00000366 , +\blk00000003/sig00000367 , \blk00000003/sig00000368 , \blk00000003/sig00000369 , \blk00000003/sig0000036a , \blk00000003/sig0000036b , +\blk00000003/sig0000036c , \blk00000003/sig0000036d , \blk00000003/sig0000036e , \blk00000003/sig0000036f , \blk00000003/sig00000370 , +\blk00000003/sig00000371 , \blk00000003/sig00000372 , \blk00000003/sig00000373 , \blk00000003/sig00000374 , \blk00000003/sig00000375 , +\blk00000003/sig00000376 , \blk00000003/sig00000377 , \blk00000003/sig00000378 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000e7 ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000e7_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000e7_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000e7_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000e7_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000e7_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000e7_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000e7_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000e7_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000e7_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000e7_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000002a7 , \blk00000003/sig000002a8 , \blk00000003/sig000002a9 , \blk00000003/sig000002aa , \blk00000003/sig000002ab , +\blk00000003/sig000002ac , \blk00000003/sig000002ad , \blk00000003/sig000002ae , \blk00000003/sig000002af , \blk00000003/sig000002b0 , +\blk00000003/sig000002b1 , \blk00000003/sig000002b2 , \blk00000003/sig000002b3 , \blk00000003/sig000002b4 , \blk00000003/sig000002b5 , +\blk00000003/sig000002b6 , \blk00000003/sig000002b7 , \blk00000003/sig000002b8 }), + .BCOUT({\NLW_blk00000003/blk000000e7_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000e7_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000e7_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000e7_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000e7_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000e7_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000e7_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000e7_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000e7_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000e7_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig000002b9 , \blk00000003/sig000002b9 , \blk00000003/sig000002ba , \blk00000003/sig000002bb , \blk00000003/sig000002bc , +\blk00000003/sig000002bd , \blk00000003/sig000002be , \blk00000003/sig000002bf , \blk00000003/sig000002c0 , \blk00000003/sig000002c1 , +\blk00000003/sig000002c2 , \blk00000003/sig000002c3 , \blk00000003/sig000002c4 , \blk00000003/sig000002c5 , \blk00000003/sig000002c6 , +\blk00000003/sig000002c7 , \blk00000003/sig000002c8 , \blk00000003/sig000002c9 , \blk00000003/sig000002ca , \blk00000003/sig000002cb , +\blk00000003/sig000002cc , \blk00000003/sig000002cd , \blk00000003/sig000002ce , \blk00000003/sig000002cf , \blk00000003/sig000002d0 }), + .P({\NLW_blk00000003/blk000000e7_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<0>_UNCONNECTED }), + .A({\blk00000003/sig000002d1 , \blk00000003/sig000002d1 , \blk00000003/sig000002d1 , \blk00000003/sig000002d1 , \blk00000003/sig000002d1 , +\blk00000003/sig000002d1 , \blk00000003/sig000002d1 , \blk00000003/sig000002d2 , \blk00000003/sig000002d3 , \blk00000003/sig000002d4 , +\blk00000003/sig000002d5 , \blk00000003/sig000002d6 , \blk00000003/sig000002d7 , \blk00000003/sig000002d8 , \blk00000003/sig000002d9 , +\blk00000003/sig000002da , \blk00000003/sig000002db , \blk00000003/sig000002dc , \blk00000003/sig000002dd , \blk00000003/sig000002de , +\blk00000003/sig000002df , \blk00000003/sig000002e0 , \blk00000003/sig000002e1 , \blk00000003/sig000002e2 , \blk00000003/sig000002e3 , +\blk00000003/sig000002e4 , \blk00000003/sig000002e5 , \blk00000003/sig000002e6 , \blk00000003/sig000002e7 , \blk00000003/sig000002e8 }), + .PCOUT({\blk00000003/sig000002e9 , \blk00000003/sig000002ea , \blk00000003/sig000002eb , \blk00000003/sig000002ec , \blk00000003/sig000002ed , +\blk00000003/sig000002ee , \blk00000003/sig000002ef , \blk00000003/sig000002f0 , \blk00000003/sig000002f1 , \blk00000003/sig000002f2 , +\blk00000003/sig000002f3 , \blk00000003/sig000002f4 , \blk00000003/sig000002f5 , \blk00000003/sig000002f6 , \blk00000003/sig000002f7 , +\blk00000003/sig000002f8 , \blk00000003/sig000002f9 , \blk00000003/sig000002fa , \blk00000003/sig000002fb , \blk00000003/sig000002fc , +\blk00000003/sig000002fd , \blk00000003/sig000002fe , \blk00000003/sig000002ff , \blk00000003/sig00000300 , \blk00000003/sig00000301 , +\blk00000003/sig00000302 , \blk00000003/sig00000303 , \blk00000003/sig00000304 , \blk00000003/sig00000305 , \blk00000003/sig00000306 , +\blk00000003/sig00000307 , \blk00000003/sig00000308 , \blk00000003/sig00000309 , \blk00000003/sig0000030a , \blk00000003/sig0000030b , +\blk00000003/sig0000030c , \blk00000003/sig0000030d , \blk00000003/sig0000030e , \blk00000003/sig0000030f , \blk00000003/sig00000310 , +\blk00000003/sig00000311 , \blk00000003/sig00000312 , \blk00000003/sig00000313 , \blk00000003/sig00000314 , \blk00000003/sig00000315 , +\blk00000003/sig00000316 , \blk00000003/sig00000317 , \blk00000003/sig00000318 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001ce ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002a6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a4 ), + .Q(\blk00000003/sig000002a5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a3 ), + .Q(\blk00000003/sig0000029f ) + ); + XORCY \blk00000003/blk000000e3 ( + .CI(\blk00000003/sig0000029f ), + .LI(\blk00000003/sig000002a1 ), + .O(\blk00000003/sig000002a2 ) + ); + MUXCY_D \blk00000003/blk000000e2 ( + .CI(\blk00000003/sig0000029f ), + .DI(\blk00000003/sig000002a0 ), + .S(\blk00000003/sig000002a1 ), + .O(\NLW_blk00000003/blk000000e2_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000e2_LO_UNCONNECTED ) + ); + FDE \blk00000003/blk000000e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029d ), + .Q(\blk00000003/sig0000029e ) + ); + XORCY \blk00000003/blk000000e0 ( + .CI(\blk00000003/sig00000299 ), + .LI(\blk00000003/sig0000029b ), + .O(\blk00000003/sig0000029c ) + ); + MUXCY_D \blk00000003/blk000000df ( + .CI(\blk00000003/sig00000299 ), + .DI(\blk00000003/sig0000029a ), + .S(\blk00000003/sig0000029b ), + .O(\NLW_blk00000003/blk000000df_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000df_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000de ( + .CI(\blk00000003/sig00000049 ), + .DI(NlwRenamedSig_OI_rfd), + .S(\blk00000003/sig00000298 ), + .O(\blk00000003/sig00000299 ) + ); + XORCY \blk00000003/blk000000dd ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000296 ), + .O(\blk00000003/sig00000297 ) + ); + MUXCY_D \blk00000003/blk000000dc ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000295 ), + .S(\blk00000003/sig00000296 ), + .O(\NLW_blk00000003/blk000000dc_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000dc_LO_UNCONNECTED ) + ); + MUXCY_L \blk00000003/blk000000db ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000294 ), + .S(\blk00000003/sig0000028e ), + .LO(\blk00000003/sig00000290 ) + ); + MUXCY_D \blk00000003/blk000000da ( + .CI(\blk00000003/sig00000290 ), + .DI(\blk00000003/sig00000293 ), + .S(\blk00000003/sig00000291 ), + .O(\NLW_blk00000003/blk000000da_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000da_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000d9 ( + .CI(\blk00000003/sig00000290 ), + .LI(\blk00000003/sig00000291 ), + .O(\blk00000003/sig00000292 ) + ); + XORCY \blk00000003/blk000000d8 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig0000028e ), + .O(\blk00000003/sig0000028f ) + ); + MUXCY_L \blk00000003/blk000000d7 ( + .CI(\blk00000003/sig00000287 ), + .DI(\blk00000003/sig000001e9 ), + .S(\blk00000003/sig00000288 ), + .LO(\blk00000003/sig0000028a ) + ); + MUXCY_D \blk00000003/blk000000d6 ( + .CI(\blk00000003/sig0000028a ), + .DI(\blk00000003/sig000001e8 ), + .S(\blk00000003/sig0000028b ), + .O(\NLW_blk00000003/blk000000d6_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000d6_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000d5 ( + .CI(\blk00000003/sig00000049 ), + .DI(NlwRenamedSig_OI_rfd), + .S(\blk00000003/sig0000028d ), + .O(\blk00000003/sig00000287 ) + ); + XORCY \blk00000003/blk000000d4 ( + .CI(\blk00000003/sig0000028a ), + .LI(\blk00000003/sig0000028b ), + .O(\blk00000003/sig0000028c ) + ); + XORCY \blk00000003/blk000000d3 ( + .CI(\blk00000003/sig00000287 ), + .LI(\blk00000003/sig00000288 ), + .O(\blk00000003/sig00000289 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000000d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000278 ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000221 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000277 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000021e ) + ); + MUXCY_D \blk00000003/blk000000d0 ( + .CI(\blk00000003/sig0000021e ), + .DI(\blk00000003/sig00000285 ), + .S(\blk00000003/sig00000286 ), + .O(\blk00000003/sig00000282 ), + .LO(\NLW_blk00000003/blk000000d0_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000cf ( + .CI(\blk00000003/sig00000282 ), + .DI(\blk00000003/sig00000283 ), + .S(\blk00000003/sig00000284 ), + .O(\blk00000003/sig00000280 ), + .LO(\NLW_blk00000003/blk000000cf_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000ce ( + .CI(\blk00000003/sig00000280 ), + .DI(\blk00000003/sig00000276 ), + .S(\blk00000003/sig00000281 ), + .O(\blk00000003/sig0000027d ), + .LO(\NLW_blk00000003/blk000000ce_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000cd ( + .CI(\blk00000003/sig0000027d ), + .DI(\blk00000003/sig0000027e ), + .S(\blk00000003/sig0000027f ), + .O(\blk00000003/sig0000027b ), + .LO(\NLW_blk00000003/blk000000cd_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000cc ( + .CI(\blk00000003/sig0000027b ), + .DI(\blk00000003/sig00000249 ), + .S(\blk00000003/sig0000027c ), + .O(\blk00000003/sig00000279 ), + .LO(\NLW_blk00000003/blk000000cc_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000cb ( + .CI(\blk00000003/sig00000279 ), + .DI(\blk00000003/sig0000021b ), + .S(\blk00000003/sig0000027a ), + .O(\NLW_blk00000003/blk000000cb_O_UNCONNECTED ), + .LO(\blk00000003/sig00000277 ) + ); + XORCY \blk00000003/blk000000ca ( + .CI(\blk00000003/sig00000277 ), + .LI(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig00000278 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000000c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000266 ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000276 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000265 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000001ce ) + ); + MUXCY_D \blk00000003/blk000000c7 ( + .CI(\blk00000003/sig000001ce ), + .DI(\blk00000003/sig00000274 ), + .S(\blk00000003/sig00000275 ), + .O(\blk00000003/sig00000272 ), + .LO(\NLW_blk00000003/blk000000c7_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000c6 ( + .CI(\blk00000003/sig00000272 ), + .DI(\blk00000003/sig000001cf ), + .S(\blk00000003/sig00000273 ), + .O(\blk00000003/sig00000270 ), + .LO(\NLW_blk00000003/blk000000c6_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000c5 ( + .CI(\blk00000003/sig00000270 ), + .DI(\blk00000003/sig000001ce ), + .S(\blk00000003/sig00000271 ), + .O(\blk00000003/sig0000026d ), + .LO(\NLW_blk00000003/blk000000c5_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000c4 ( + .CI(\blk00000003/sig0000026d ), + .DI(\blk00000003/sig0000026e ), + .S(\blk00000003/sig0000026f ), + .O(\blk00000003/sig0000026b ), + .LO(\NLW_blk00000003/blk000000c4_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000c3 ( + .CI(\blk00000003/sig0000026b ), + .DI(\blk00000003/sig00000221 ), + .S(\blk00000003/sig0000026c ), + .O(\blk00000003/sig00000267 ), + .LO(\NLW_blk00000003/blk000000c3_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000c2 ( + .CI(\blk00000003/sig00000269 ), + .DI(\blk00000003/sig00000221 ), + .S(\blk00000003/sig0000026a ), + .O(\NLW_blk00000003/blk000000c2_O_UNCONNECTED ), + .LO(\blk00000003/sig00000265 ) + ); + MUXCY_D \blk00000003/blk000000c1 ( + .CI(\blk00000003/sig00000267 ), + .DI(\blk00000003/sig0000023e ), + .S(\blk00000003/sig00000268 ), + .O(\blk00000003/sig00000269 ), + .LO(\NLW_blk00000003/blk000000c1_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000c0 ( + .CI(\blk00000003/sig00000265 ), + .LI(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig00000266 ) + ); + FDE \blk00000003/blk000000bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000263 ), + .Q(\blk00000003/sig00000264 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000be ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000021e ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000262 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000024f ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000025e ) + ); + XORCY \blk00000003/blk000000bc ( + .CI(\blk00000003/sig0000025e ), + .LI(\blk00000003/sig00000260 ), + .O(\blk00000003/sig00000261 ) + ); + MUXCY_D \blk00000003/blk000000bb ( + .CI(\blk00000003/sig0000025e ), + .DI(\blk00000003/sig0000025f ), + .S(\blk00000003/sig00000260 ), + .O(\NLW_blk00000003/blk000000bb_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000bb_LO_UNCONNECTED ) + ); + MUXCY_L \blk00000003/blk000000ba ( + .CI(\blk00000003/sig00000251 ), + .DI(\blk00000003/sig0000025d ), + .S(\blk00000003/sig00000252 ), + .LO(\blk00000003/sig00000257 ) + ); + MUXCY_L \blk00000003/blk000000b9 ( + .CI(\blk00000003/sig00000257 ), + .DI(\blk00000003/sig0000025c ), + .S(\blk00000003/sig00000258 ), + .LO(\blk00000003/sig00000254 ) + ); + MUXCY_D \blk00000003/blk000000b8 ( + .CI(\blk00000003/sig00000254 ), + .DI(\blk00000003/sig0000025b ), + .S(\blk00000003/sig00000255 ), + .O(\NLW_blk00000003/blk000000b8_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000b8_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000b7 ( + .CI(\blk00000003/sig00000049 ), + .DI(NlwRenamedSig_OI_rfd), + .S(\blk00000003/sig0000025a ), + .O(\blk00000003/sig00000251 ) + ); + XORCY \blk00000003/blk000000b6 ( + .CI(\blk00000003/sig00000257 ), + .LI(\blk00000003/sig00000258 ), + .O(\blk00000003/sig00000259 ) + ); + XORCY \blk00000003/blk000000b5 ( + .CI(\blk00000003/sig00000254 ), + .LI(\blk00000003/sig00000255 ), + .O(\blk00000003/sig00000256 ) + ); + XORCY \blk00000003/blk000000b4 ( + .CI(\blk00000003/sig00000251 ), + .LI(\blk00000003/sig00000252 ), + .O(\blk00000003/sig00000253 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b3 ( + .C(clk), + .CE(ce), + .D(coef_ld), + .Q(\blk00000003/sig00000250 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b2 ( + .C(clk), + .CE(ce), + .D(coef_we), + .Q(\blk00000003/sig0000024f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001df ), + .Q(\blk00000003/sig00000243 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000024e ), + .Q(\blk00000003/sig00000236 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000af ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000024c ), + .Q(\blk00000003/sig0000024d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000024b ), + .Q(\blk00000003/sig00000234 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000024a ), + .Q(\blk00000003/sig00000241 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000248 ), + .Q(\blk00000003/sig00000249 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000246 ), + .Q(\blk00000003/sig00000247 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000244 ), + .Q(\blk00000003/sig00000245 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000243 ), + .Q(\blk00000003/sig0000023f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000241 ), + .Q(\blk00000003/sig00000242 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000023f ), + .Q(\blk00000003/sig00000240 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000021d ), + .Q(\blk00000003/sig0000023e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000239 ), + .Q(\blk00000003/sig0000023d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000233 ), + .R(coef_ld), + .Q(\NLW_blk00000003/blk000000a4_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000230 ), + .R(coef_ld), + .Q(\blk00000003/sig0000022f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000022d ), + .R(coef_ld), + .Q(\NLW_blk00000003/blk000000a2_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000229 ), + .R(coef_ld), + .Q(\blk00000003/sig00000227 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000021e ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000023c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000023a ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000023b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000238 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000239 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000236 ), + .Q(\blk00000003/sig00000237 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000234 ), + .Q(\blk00000003/sig00000235 ) + ); + MUXCY_D \blk00000003/blk0000009b ( + .CI(coef_we), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000232 ), + .O(\blk00000003/sig0000022b ), + .LO(\blk00000003/sig00000233 ) + ); + MUXCY_D \blk00000003/blk0000009a ( + .CI(NlwRenamedSig_OI_rfd), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000231 ), + .O(\blk00000003/sig0000022e ), + .LO(\NLW_blk00000003/blk0000009a_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk00000099 ( + .CI(\blk00000003/sig0000022e ), + .DI(\blk00000003/sig0000022f ), + .S(coef_we), + .O(\NLW_blk00000003/blk00000099_O_UNCONNECTED ), + .LO(\blk00000003/sig00000230 ) + ); + MUXCY_D \blk00000003/blk00000098 ( + .CI(\blk00000003/sig0000022b ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig0000022c ), + .O(\NLW_blk00000003/blk00000098_O_UNCONNECTED ), + .LO(\blk00000003/sig0000022d ) + ); + MUXCY_D \blk00000003/blk00000097 ( + .CI(NlwRenamedSig_OI_rfd), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig0000022a ), + .O(\blk00000003/sig00000226 ), + .LO(\NLW_blk00000003/blk00000097_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk00000096 ( + .CI(\blk00000003/sig00000226 ), + .DI(\blk00000003/sig00000227 ), + .S(\blk00000003/sig00000228 ), + .O(\NLW_blk00000003/blk00000096_O_UNCONNECTED ), + .LO(\blk00000003/sig00000229 ) + ); + XORCY \blk00000003/blk00000095 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000224 ), + .O(\blk00000003/sig00000225 ) + ); + MUXCY_D \blk00000003/blk00000094 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000223 ), + .S(\blk00000003/sig00000224 ), + .O(\NLW_blk00000003/blk00000094_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk00000094_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000093 ( + .CI(\blk00000003/sig0000021c ), + .LI(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig0000021a ) + ); + MUXCY_D \blk00000003/blk00000092 ( + .CI(\blk00000003/sig00000220 ), + .DI(\blk00000003/sig00000221 ), + .S(\blk00000003/sig00000222 ), + .O(\NLW_blk00000003/blk00000092_O_UNCONNECTED ), + .LO(\blk00000003/sig0000021c ) + ); + MUXCY_D \blk00000003/blk00000091 ( + .CI(\blk00000003/sig0000021d ), + .DI(\blk00000003/sig0000021e ), + .S(\blk00000003/sig0000021f ), + .O(\blk00000003/sig00000220 ), + .LO(\NLW_blk00000003/blk00000091_LO_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000090 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000021c ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000021d ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk0000008f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000021a ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000021b ) + ); + FDR #( + .INIT ( 1'b1 )) + \blk00000003/blk0000002b ( + .C(clk), + .D(\blk00000003/sig000000be ), + .R(sclr), + .Q(\blk00000003/sig000000be ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e6 ), + .R(sclr), + .Q(\blk00000003/sig000001e5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000029 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e5 ), + .R(sclr), + .Q(\blk00000003/sig000001e0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000028 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e4 ), + .R(\blk00000003/sig000001dd ), + .Q(data_valid) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000027 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e2 ), + .R(sclr), + .Q(\blk00000003/sig000001e3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000026 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e0 ), + .R(sclr), + .Q(\blk00000003/sig000001e1 ) + ); + FDRE \blk00000003/blk00000025 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001de ), + .R(sclr), + .Q(\blk00000003/sig000001df ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000024 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001dc ), + .R(\blk00000003/sig000001dd ), + .Q(rdy) + ); + FDRE \blk00000003/blk00000023 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001da ), + .R(sclr), + .Q(\blk00000003/sig000001db ) + ); + FDSE \blk00000003/blk00000022 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d8 ), + .S(sclr), + .Q(\blk00000003/sig000001d9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000021 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001cc ), + .R(sclr), + .Q(\blk00000003/sig000001d7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000020 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d6 ), + .R(sclr), + .Q(\blk00000003/sig000001ca ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d4 ), + .R(sclr), + .Q(\blk00000003/sig000001d5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d2 ), + .R(sclr), + .Q(\blk00000003/sig000001d3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d0 ), + .R(sclr), + .Q(\NLW_blk00000003/blk0000001d_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d0 ), + .R(sclr), + .Q(\blk00000003/sig000001d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001ce ), + .Q(\blk00000003/sig000001cf ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000000c8 ), + .R(sclr), + .Q(\blk00000003/sig000000c6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000019 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000000c3 ), + .R(sclr), + .Q(\NLW_blk00000003/blk00000019_Q_UNCONNECTED ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000018 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000000c4 ), + .S(sclr), + .Q(\blk00000003/sig000001cd ) + ); + MUXCY_D \blk00000003/blk00000017 ( + .CI(\blk00000003/sig000001ca ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000001cb ), + .O(\NLW_blk00000003/blk00000017_O_UNCONNECTED ), + .LO(\blk00000003/sig000001cc ) + ); + DSP48E1 #( + .ACASCREG ( 2 ), + .ADREG ( 0 ), + .ALUMODEREG ( 1 ), + .AREG ( 2 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 2 ), + .BREG ( 2 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 0 ), + .INMODEREG ( 0 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "FALSE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk00000016 ( + .PATTERNBDETECT(\NLW_blk00000003/blk00000016_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(ce), + .CEAD(\blk00000003/sig00000049 ), + .MULTSIGNOUT(\NLW_blk00000003/blk00000016_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk00000016_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk00000016_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk00000016_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(\blk00000003/sig00000049 ), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(ce), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk00000016_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk00000016_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000016_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000016_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000016_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000016_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000016_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000016_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000016_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000016_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000016_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000016_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000016_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000016_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000016_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000016_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000b8 , \blk00000003/sig00000049 , \blk00000003/sig000000bc , +\blk00000003/sig000000ba , \blk00000003/sig000000bc }), + .PCIN({\blk00000003/sig00000153 , \blk00000003/sig00000154 , \blk00000003/sig00000155 , \blk00000003/sig00000156 , \blk00000003/sig00000157 , +\blk00000003/sig00000158 , \blk00000003/sig00000159 , \blk00000003/sig0000015a , \blk00000003/sig0000015b , \blk00000003/sig0000015c , +\blk00000003/sig0000015d , \blk00000003/sig0000015e , \blk00000003/sig0000015f , \blk00000003/sig00000160 , \blk00000003/sig00000161 , +\blk00000003/sig00000162 , \blk00000003/sig00000163 , \blk00000003/sig00000164 , \blk00000003/sig00000165 , \blk00000003/sig00000166 , +\blk00000003/sig00000167 , \blk00000003/sig00000168 , \blk00000003/sig00000169 , \blk00000003/sig0000016a , \blk00000003/sig0000016b , +\blk00000003/sig0000016c , \blk00000003/sig0000016d , \blk00000003/sig0000016e , \blk00000003/sig0000016f , \blk00000003/sig00000170 , +\blk00000003/sig00000171 , \blk00000003/sig00000172 , \blk00000003/sig00000173 , \blk00000003/sig00000174 , \blk00000003/sig00000175 , +\blk00000003/sig00000176 , \blk00000003/sig00000177 , \blk00000003/sig00000178 , \blk00000003/sig00000179 , \blk00000003/sig0000017a , +\blk00000003/sig0000017b , \blk00000003/sig0000017c , \blk00000003/sig0000017d , \blk00000003/sig0000017e , \blk00000003/sig0000017f , +\blk00000003/sig00000180 , \blk00000003/sig00000181 , \blk00000003/sig00000182 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk00000016_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000016_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000016_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000016_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000000fa , \blk00000003/sig000000fb , \blk00000003/sig000000fc , \blk00000003/sig000000fd , \blk00000003/sig000000fe , +\blk00000003/sig000000ff , \blk00000003/sig00000100 , \blk00000003/sig00000101 , \blk00000003/sig00000102 , \blk00000003/sig00000103 , +\blk00000003/sig00000104 , \blk00000003/sig00000105 , \blk00000003/sig00000106 , \blk00000003/sig00000107 , \blk00000003/sig00000108 , +\blk00000003/sig00000109 , \blk00000003/sig0000010a , \blk00000003/sig0000010b }), + .BCOUT({\NLW_blk00000003/blk00000016_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk00000016_P<47>_UNCONNECTED , \blk00000003/sig00000183 , \blk00000003/sig00000184 , \blk00000003/sig00000185 , +\blk00000003/sig00000186 , \blk00000003/sig00000187 , \blk00000003/sig00000188 , \blk00000003/sig00000189 , \blk00000003/sig0000018a , +\blk00000003/sig0000018b , \blk00000003/sig0000018c , \blk00000003/sig0000018d , \blk00000003/sig0000018e , \blk00000003/sig0000018f , +\blk00000003/sig00000190 , \blk00000003/sig00000191 , \blk00000003/sig00000192 , \blk00000003/sig00000193 , \blk00000003/sig00000194 , +\blk00000003/sig00000195 , \blk00000003/sig00000196 , \blk00000003/sig00000197 , \blk00000003/sig00000198 , \blk00000003/sig00000199 , +\blk00000003/sig0000019a , \blk00000003/sig0000019b , \blk00000003/sig0000019c , \blk00000003/sig0000019d , \blk00000003/sig0000019e , +\blk00000003/sig0000019f , \blk00000003/sig000001a0 , \blk00000003/sig000001a1 , \blk00000003/sig000001a2 , \blk00000003/sig000001a3 , +\blk00000003/sig000001a4 , \blk00000003/sig000001a5 , \blk00000003/sig000001a6 , \blk00000003/sig000001a7 , \blk00000003/sig000001a8 , +\blk00000003/sig000001a9 , \blk00000003/sig000001aa , \blk00000003/sig000001ab , \blk00000003/sig000001ac , \blk00000003/sig000001ad , +\blk00000003/sig000001ae , \blk00000003/sig000001af , \blk00000003/sig000001b0 , \blk00000003/sig000001b1 }), + .A({\blk00000003/sig000001b2 , \blk00000003/sig000001b2 , \blk00000003/sig000001b2 , \blk00000003/sig000001b2 , \blk00000003/sig000001b2 , +\blk00000003/sig000001b2 , \blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , \blk00000003/sig000001b5 , +\blk00000003/sig000001b6 , \blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 , \blk00000003/sig000001ba , +\blk00000003/sig000001bb , \blk00000003/sig000001bc , \blk00000003/sig000001bd , \blk00000003/sig000001be , \blk00000003/sig000001bf , +\blk00000003/sig000001c0 , \blk00000003/sig000001c1 , \blk00000003/sig000001c2 , \blk00000003/sig000001c3 , \blk00000003/sig000001c4 , +\blk00000003/sig000001c5 , \blk00000003/sig000001c6 , \blk00000003/sig000001c7 , \blk00000003/sig000001c8 , \blk00000003/sig000001c9 }), + .PCOUT({\NLW_blk00000003/blk00000016_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<0>_UNCONNECTED }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 2 ), + .ADREG ( 0 ), + .ALUMODEREG ( 1 ), + .AREG ( 2 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 2 ), + .BREG ( 2 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 0 ), + .INMODEREG ( 0 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "FALSE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk00000015 ( + .PATTERNBDETECT(\NLW_blk00000003/blk00000015_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(ce), + .CEAD(\blk00000003/sig00000049 ), + .MULTSIGNOUT(\NLW_blk00000003/blk00000015_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk00000015_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk00000015_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk00000015_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(\blk00000003/sig00000049 ), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(ce), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk00000015_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk00000015_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000015_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000015_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000015_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000015_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000015_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000015_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000015_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000015_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000015_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000015_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000015_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000015_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000015_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000015_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000b8 , \blk00000003/sig00000049 , \blk00000003/sig000000bc , +\blk00000003/sig000000ba , \blk00000003/sig000000bc }), + .PCIN({\blk00000003/sig000000ca , \blk00000003/sig000000cb , \blk00000003/sig000000cc , \blk00000003/sig000000cd , \blk00000003/sig000000ce , +\blk00000003/sig000000cf , \blk00000003/sig000000d0 , \blk00000003/sig000000d1 , \blk00000003/sig000000d2 , \blk00000003/sig000000d3 , +\blk00000003/sig000000d4 , \blk00000003/sig000000d5 , \blk00000003/sig000000d6 , \blk00000003/sig000000d7 , \blk00000003/sig000000d8 , +\blk00000003/sig000000d9 , \blk00000003/sig000000da , \blk00000003/sig000000db , \blk00000003/sig000000dc , \blk00000003/sig000000dd , +\blk00000003/sig000000de , \blk00000003/sig000000df , \blk00000003/sig000000e0 , \blk00000003/sig000000e1 , \blk00000003/sig000000e2 , +\blk00000003/sig000000e3 , \blk00000003/sig000000e4 , \blk00000003/sig000000e5 , \blk00000003/sig000000e6 , \blk00000003/sig000000e7 , +\blk00000003/sig000000e8 , \blk00000003/sig000000e9 , \blk00000003/sig000000ea , \blk00000003/sig000000eb , \blk00000003/sig000000ec , +\blk00000003/sig000000ed , \blk00000003/sig000000ee , \blk00000003/sig000000ef , \blk00000003/sig000000f0 , \blk00000003/sig000000f1 , +\blk00000003/sig000000f2 , \blk00000003/sig000000f3 , \blk00000003/sig000000f4 , \blk00000003/sig000000f5 , \blk00000003/sig000000f6 , +\blk00000003/sig000000f7 , \blk00000003/sig000000f8 , \blk00000003/sig000000f9 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk00000015_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000015_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000015_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000015_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000000fa , \blk00000003/sig000000fb , \blk00000003/sig000000fc , \blk00000003/sig000000fd , \blk00000003/sig000000fe , +\blk00000003/sig000000ff , \blk00000003/sig00000100 , \blk00000003/sig00000101 , \blk00000003/sig00000102 , \blk00000003/sig00000103 , +\blk00000003/sig00000104 , \blk00000003/sig00000105 , \blk00000003/sig00000106 , \blk00000003/sig00000107 , \blk00000003/sig00000108 , +\blk00000003/sig00000109 , \blk00000003/sig0000010a , \blk00000003/sig0000010b }), + .BCOUT({\NLW_blk00000003/blk00000015_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk00000015_P<47>_UNCONNECTED , \blk00000003/sig0000010c , \blk00000003/sig0000010d , \blk00000003/sig0000010e , +\blk00000003/sig0000010f , \blk00000003/sig00000110 , \blk00000003/sig00000111 , \blk00000003/sig00000112 , \blk00000003/sig00000113 , +\blk00000003/sig00000114 , \blk00000003/sig00000115 , \blk00000003/sig00000116 , \blk00000003/sig00000117 , \blk00000003/sig00000118 , +\blk00000003/sig00000119 , \blk00000003/sig0000011a , \blk00000003/sig0000011b , \blk00000003/sig0000011c , \blk00000003/sig0000011d , +\blk00000003/sig0000011e , \blk00000003/sig0000011f , \blk00000003/sig00000120 , \blk00000003/sig00000121 , \blk00000003/sig00000122 , +\blk00000003/sig00000123 , \blk00000003/sig00000124 , \blk00000003/sig00000125 , \blk00000003/sig00000126 , \blk00000003/sig00000127 , +\blk00000003/sig00000128 , \blk00000003/sig00000129 , \blk00000003/sig0000012a , \blk00000003/sig0000012b , \blk00000003/sig0000012c , +\blk00000003/sig0000012d , \blk00000003/sig0000012e , \blk00000003/sig0000012f , \blk00000003/sig00000130 , \blk00000003/sig00000131 , +\blk00000003/sig00000132 , \blk00000003/sig00000133 , \blk00000003/sig00000134 , \blk00000003/sig00000135 , \blk00000003/sig00000136 , +\blk00000003/sig00000137 , \blk00000003/sig00000138 , \blk00000003/sig00000139 , \blk00000003/sig0000013a }), + .A({\blk00000003/sig0000013b , \blk00000003/sig0000013b , \blk00000003/sig0000013b , \blk00000003/sig0000013b , \blk00000003/sig0000013b , +\blk00000003/sig0000013b , \blk00000003/sig0000013b , \blk00000003/sig0000013c , \blk00000003/sig0000013d , \blk00000003/sig0000013e , +\blk00000003/sig0000013f , \blk00000003/sig00000140 , \blk00000003/sig00000141 , \blk00000003/sig00000142 , \blk00000003/sig00000143 , +\blk00000003/sig00000144 , \blk00000003/sig00000145 , \blk00000003/sig00000146 , \blk00000003/sig00000147 , \blk00000003/sig00000148 , +\blk00000003/sig00000149 , \blk00000003/sig0000014a , \blk00000003/sig0000014b , \blk00000003/sig0000014c , \blk00000003/sig0000014d , +\blk00000003/sig0000014e , \blk00000003/sig0000014f , \blk00000003/sig00000150 , \blk00000003/sig00000151 , \blk00000003/sig00000152 }), + .PCOUT({\NLW_blk00000003/blk00000015_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<0>_UNCONNECTED }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + MUXCY_D \blk00000003/blk00000014 ( + .CI(NlwRenamedSig_OI_rfd), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000c9 ), + .O(\blk00000003/sig000000c5 ), + .LO(\NLW_blk00000003/blk00000014_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk00000013 ( + .CI(\blk00000003/sig000000c5 ), + .DI(\blk00000003/sig000000c6 ), + .S(\blk00000003/sig000000c7 ), + .O(\blk00000003/sig000000bd ), + .LO(\blk00000003/sig000000c8 ) + ); + XORCY \blk00000003/blk00000012 ( + .CI(\blk00000003/sig000000c3 ), + .LI(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig000000c4 ) + ); + MUXCY_D \blk00000003/blk00000011 ( + .CI(\blk00000003/sig000000c0 ), + .DI(\blk00000003/sig000000c1 ), + .S(\blk00000003/sig000000c2 ), + .O(\NLW_blk00000003/blk00000011_O_UNCONNECTED ), + .LO(\blk00000003/sig000000c3 ) + ); + MUXCY_D \blk00000003/blk00000010 ( + .CI(\blk00000003/sig000000bd ), + .DI(\blk00000003/sig000000be ), + .S(\blk00000003/sig000000bf ), + .O(\blk00000003/sig000000c0 ), + .LO(\NLW_blk00000003/blk00000010_LO_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk0000000f ( + .C(clk), + .D(\blk00000003/sig000000bb ), + .Q(\blk00000003/sig000000bc ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk0000000e ( + .C(clk), + .D(\blk00000003/sig000000b9 ), + .Q(\blk00000003/sig000000ba ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk0000000d ( + .C(clk), + .D(\blk00000003/sig000000b7 ), + .Q(\blk00000003/sig000000b8 ) + ); + XORCY \blk00000003/blk0000000c ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig000000b5 ), + .O(\blk00000003/sig000000b6 ) + ); + MUXCY_D \blk00000003/blk0000000b ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000b4 ), + .S(\blk00000003/sig000000b5 ), + .O(\NLW_blk00000003/blk0000000b_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk0000000b_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk0000000a ( + .CI(\blk00000003/sig000000af ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000b3 ), + .O(\NLW_blk00000003/blk0000000a_O_UNCONNECTED ), + .LO(\blk00000003/sig000000b1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000009 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000000b1 ), + .R(sclr), + .Q(\blk00000003/sig000000b2 ) + ); + MUXCY_D \blk00000003/blk00000008 ( + .CI(NlwRenamedSig_OI_rfd), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000b0 ), + .O(\blk00000003/sig000000ae ), + .LO(\NLW_blk00000003/blk00000008_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk00000007 ( + .CI(\blk00000003/sig000000ae ), + .DI(\blk00000003/sig000000ad ), + .S(nd), + .O(\blk00000003/sig000000af ), + .LO(\blk00000003/sig000000ac ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000006 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000000ac ), + .R(sclr), + .Q(\blk00000003/sig000000ad ) + ); + VCC \blk00000003/blk00000005 ( + .P(NlwRenamedSig_OI_rfd) + ); + GND \blk00000003/blk00000004 ( + .G(\blk00000003/sig00000049 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000002c/blk0000008e ( + .I0(nd), + .I1(ce), + .O(\blk00000003/blk0000002c/sig00000c29 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000008d ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[22]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000008d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c27 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000008c ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[21]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000008c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c26 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000008b ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[23]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000008b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c28 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000008a ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[19]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000008a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c24 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000089 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[18]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000089_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c23 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000088 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[20]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000088_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c25 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000087 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[16]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000087_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c21 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000086 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[15]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000086_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c20 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000085 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[17]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000085_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c22 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000084 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[13]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000084_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c1e ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000083 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[12]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000083_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c1d ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000082 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[14]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000082_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c1f ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000081 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[10]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000081_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c1b ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000080 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[9]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000080_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c1a ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000007f ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[11]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000007f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c1c ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000007e ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[7]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000007e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c18 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000007d ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[6]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000007d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c17 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000007c ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[8]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000007c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c19 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000007b ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[4]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000007b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c15 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000007a ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[3]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000007a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c14 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000079 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[5]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000079_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c16 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000078 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[1]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000078_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c12 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000077 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[0]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000077_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c11 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000076 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[2]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000076_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c13 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000075 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[22]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000075_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c0f ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000074 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[21]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000074_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c0e ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000073 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[23]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000073_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c10 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000072 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[19]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000072_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c0c ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000071 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[18]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000071_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c0b ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000070 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[20]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000070_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c0d ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000006f ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[16]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000006f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c09 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000006e ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[15]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000006e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c08 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000006d ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[17]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000006d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c0a ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000006c ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[13]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000006c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c06 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000006b ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[12]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000006b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c05 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000006a ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[14]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000006a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c07 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000069 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[10]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000069_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c03 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000068 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[9]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000068_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c02 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000067 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[11]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000067_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c04 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000066 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[7]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000066_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c00 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000065 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[6]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000065_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000bff ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000064 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[8]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000064_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c01 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000063 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[4]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000063_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000bfd ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000062 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[3]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000062_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000bfc ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000061 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[5]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000061_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000bfe ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000060 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[1]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000060_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000bfa ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000005f ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[0]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000005f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000bf9 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000005e ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[2]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000005e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000bfb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000005d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c28 ), + .Q(\blk00000003/sig000001ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000005c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c27 ), + .Q(\blk00000003/sig000001eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000005b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c26 ), + .Q(\blk00000003/sig000001ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000005a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c25 ), + .Q(\blk00000003/sig000001ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000059 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c24 ), + .Q(\blk00000003/sig000001ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000058 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c23 ), + .Q(\blk00000003/sig000001ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000057 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c22 ), + .Q(\blk00000003/sig000001f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000056 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c21 ), + .Q(\blk00000003/sig000001f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000055 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c20 ), + .Q(\blk00000003/sig000001f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000054 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c1f ), + .Q(\blk00000003/sig000001f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000053 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c1e ), + .Q(\blk00000003/sig000001f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000052 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c1d ), + .Q(\blk00000003/sig000001f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000051 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c1c ), + .Q(\blk00000003/sig000001f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000050 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c1b ), + .Q(\blk00000003/sig000001f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000004f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c1a ), + .Q(\blk00000003/sig000001f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000004e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c19 ), + .Q(\blk00000003/sig000001f9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000004d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c18 ), + .Q(\blk00000003/sig000001fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000004c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c17 ), + .Q(\blk00000003/sig000001fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000004b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c16 ), + .Q(\blk00000003/sig000001fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000004a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c15 ), + .Q(\blk00000003/sig000001fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000049 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c14 ), + .Q(\blk00000003/sig000001fe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000048 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c13 ), + .Q(\blk00000003/sig000001ff ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000047 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c12 ), + .Q(\blk00000003/sig00000200 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000046 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c11 ), + .Q(\blk00000003/sig00000201 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000045 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c10 ), + .Q(\blk00000003/sig00000202 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000044 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c0f ), + .Q(\blk00000003/sig00000203 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000043 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c0e ), + .Q(\blk00000003/sig00000204 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000042 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c0d ), + .Q(\blk00000003/sig00000205 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000041 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c0c ), + .Q(\blk00000003/sig00000206 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000040 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c0b ), + .Q(\blk00000003/sig00000207 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000003f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c0a ), + .Q(\blk00000003/sig00000208 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000003e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c09 ), + .Q(\blk00000003/sig00000209 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000003d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c08 ), + .Q(\blk00000003/sig0000020a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000003c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c07 ), + .Q(\blk00000003/sig0000020b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000003b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c06 ), + .Q(\blk00000003/sig0000020c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000003a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c05 ), + .Q(\blk00000003/sig0000020d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000039 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c04 ), + .Q(\blk00000003/sig0000020e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000038 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c03 ), + .Q(\blk00000003/sig0000020f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000037 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c02 ), + .Q(\blk00000003/sig00000210 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000036 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c01 ), + .Q(\blk00000003/sig00000211 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000035 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c00 ), + .Q(\blk00000003/sig00000212 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000034 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000bff ), + .Q(\blk00000003/sig00000213 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000033 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000bfe ), + .Q(\blk00000003/sig00000214 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000032 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000bfd ), + .Q(\blk00000003/sig00000215 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000031 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000bfc ), + .Q(\blk00000003/sig00000216 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000030 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000bfb ), + .Q(\blk00000003/sig00000217 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000002f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000bfa ), + .Q(\blk00000003/sig00000218 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000002e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000bf9 ), + .Q(\blk00000003/sig00000219 ) + ); + GND \blk00000003/blk0000002c/blk0000002d ( + .G(\blk00000003/blk0000002c/sig00000bf8 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000120/blk00000152 ( + .I0(ce), + .I1(\blk00000003/sig00000743 ), + .O(\blk00000003/blk00000120/sig00000c77 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk00000151 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005b4 ), + .Q(\blk00000003/blk00000120/sig00000c75 ), + .Q15(\NLW_blk00000003/blk00000120/blk00000151_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk00000150 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005b5 ), + .Q(\blk00000003/blk00000120/sig00000c74 ), + .Q15(\NLW_blk00000003/blk00000120/blk00000150_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk0000014f ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005b3 ), + .Q(\blk00000003/blk00000120/sig00000c76 ), + .Q15(\NLW_blk00000003/blk00000120/blk0000014f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk0000014e ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005b7 ), + .Q(\blk00000003/blk00000120/sig00000c72 ), + .Q15(\NLW_blk00000003/blk00000120/blk0000014e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk0000014d ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005b8 ), + .Q(\blk00000003/blk00000120/sig00000c71 ), + .Q15(\NLW_blk00000003/blk00000120/blk0000014d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk0000014c ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005b6 ), + .Q(\blk00000003/blk00000120/sig00000c73 ), + .Q15(\NLW_blk00000003/blk00000120/blk0000014c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk0000014b ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005ba ), + .Q(\blk00000003/blk00000120/sig00000c6f ), + .Q15(\NLW_blk00000003/blk00000120/blk0000014b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk0000014a ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005bb ), + .Q(\blk00000003/blk00000120/sig00000c6e ), + .Q15(\NLW_blk00000003/blk00000120/blk0000014a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk00000149 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005b9 ), + .Q(\blk00000003/blk00000120/sig00000c70 ), + .Q15(\NLW_blk00000003/blk00000120/blk00000149_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk00000148 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005bd ), + .Q(\blk00000003/blk00000120/sig00000c6c ), + .Q15(\NLW_blk00000003/blk00000120/blk00000148_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk00000147 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005be ), + .Q(\blk00000003/blk00000120/sig00000c6b ), + .Q15(\NLW_blk00000003/blk00000120/blk00000147_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk00000146 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005bc ), + .Q(\blk00000003/blk00000120/sig00000c6d ), + .Q15(\NLW_blk00000003/blk00000120/blk00000146_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk00000145 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005c0 ), + .Q(\blk00000003/blk00000120/sig00000c69 ), + .Q15(\NLW_blk00000003/blk00000120/blk00000145_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk00000144 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005c1 ), + .Q(\blk00000003/blk00000120/sig00000c68 ), + .Q15(\NLW_blk00000003/blk00000120/blk00000144_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk00000143 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005bf ), + .Q(\blk00000003/blk00000120/sig00000c6a ), + .Q15(\NLW_blk00000003/blk00000120/blk00000143_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk00000142 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005c3 ), + .Q(\blk00000003/blk00000120/sig00000c66 ), + .Q15(\NLW_blk00000003/blk00000120/blk00000142_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk00000141 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005c4 ), + .Q(\blk00000003/blk00000120/sig00000c65 ), + .Q15(\NLW_blk00000003/blk00000120/blk00000141_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk00000140 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005c2 ), + .Q(\blk00000003/blk00000120/sig00000c67 ), + .Q15(\NLW_blk00000003/blk00000120/blk00000140_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk0000013f ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005c6 ), + .Q(\blk00000003/blk00000120/sig00000c63 ), + .Q15(\NLW_blk00000003/blk00000120/blk0000013f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk0000013e ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005c7 ), + .Q(\blk00000003/blk00000120/sig00000c62 ), + .Q15(\NLW_blk00000003/blk00000120/blk0000013e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk0000013d ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005c5 ), + .Q(\blk00000003/blk00000120/sig00000c64 ), + .Q15(\NLW_blk00000003/blk00000120/blk0000013d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk0000013c ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005c9 ), + .Q(\blk00000003/blk00000120/sig00000c60 ), + .Q15(\NLW_blk00000003/blk00000120/blk0000013c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk0000013b ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005ca ), + .Q(\blk00000003/blk00000120/sig00000c5f ), + .Q15(\NLW_blk00000003/blk00000120/blk0000013b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk0000013a ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005c8 ), + .Q(\blk00000003/blk00000120/sig00000c61 ), + .Q15(\NLW_blk00000003/blk00000120/blk0000013a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000139 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c76 ), + .Q(\blk00000003/sig000003bb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000138 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c75 ), + .Q(\blk00000003/sig000003bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000137 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c74 ), + .Q(\blk00000003/sig000003bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000136 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c73 ), + .Q(\blk00000003/sig000003be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000135 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c72 ), + .Q(\blk00000003/sig000003bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000134 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c71 ), + .Q(\blk00000003/sig000003c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000133 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c70 ), + .Q(\blk00000003/sig000003c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000132 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c6f ), + .Q(\blk00000003/sig000003c2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000131 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c6e ), + .Q(\blk00000003/sig000003c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000130 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c6d ), + .Q(\blk00000003/sig000003c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk0000012f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c6c ), + .Q(\blk00000003/sig000003c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk0000012e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c6b ), + .Q(\blk00000003/sig000003c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk0000012d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c6a ), + .Q(\blk00000003/sig000003c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk0000012c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c69 ), + .Q(\blk00000003/sig000003c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk0000012b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c68 ), + .Q(\blk00000003/sig000003c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk0000012a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c67 ), + .Q(\blk00000003/sig000003ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000129 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c66 ), + .Q(\blk00000003/sig000003cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000128 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c65 ), + .Q(\blk00000003/sig000003cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000127 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c64 ), + .Q(\blk00000003/sig000003cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000126 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c63 ), + .Q(\blk00000003/sig000003ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000125 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c62 ), + .Q(\blk00000003/sig000003cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000124 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c61 ), + .Q(\blk00000003/sig000003d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000123 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c60 ), + .Q(\blk00000003/sig000003d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000122 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c5f ), + .Q(\blk00000003/sig000003d2 ) + ); + GND \blk00000003/blk00000120/blk00000121 ( + .G(\blk00000003/blk00000120/sig00000c5e ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000153/blk00000185 ( + .I0(ce), + .I1(\blk00000003/sig00000745 ), + .O(\blk00000003/blk00000153/sig00000cc5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000184 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000763 ), + .Q(\blk00000003/blk00000153/sig00000cc3 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000184_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000183 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000764 ), + .Q(\blk00000003/blk00000153/sig00000cc2 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000183_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000182 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000762 ), + .Q(\blk00000003/blk00000153/sig00000cc4 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000182_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000181 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000766 ), + .Q(\blk00000003/blk00000153/sig00000cc0 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000181_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000180 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000767 ), + .Q(\blk00000003/blk00000153/sig00000cbf ), + .Q15(\NLW_blk00000003/blk00000153/blk00000180_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000017f ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000765 ), + .Q(\blk00000003/blk00000153/sig00000cc1 ), + .Q15(\NLW_blk00000003/blk00000153/blk0000017f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000017e ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000769 ), + .Q(\blk00000003/blk00000153/sig00000cbd ), + .Q15(\NLW_blk00000003/blk00000153/blk0000017e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000017d ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig0000076a ), + .Q(\blk00000003/blk00000153/sig00000cbc ), + .Q15(\NLW_blk00000003/blk00000153/blk0000017d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000017c ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000768 ), + .Q(\blk00000003/blk00000153/sig00000cbe ), + .Q15(\NLW_blk00000003/blk00000153/blk0000017c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000017b ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig0000076c ), + .Q(\blk00000003/blk00000153/sig00000cba ), + .Q15(\NLW_blk00000003/blk00000153/blk0000017b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000017a ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig0000076d ), + .Q(\blk00000003/blk00000153/sig00000cb9 ), + .Q15(\NLW_blk00000003/blk00000153/blk0000017a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000179 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig0000076b ), + .Q(\blk00000003/blk00000153/sig00000cbb ), + .Q15(\NLW_blk00000003/blk00000153/blk00000179_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000178 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig0000076f ), + .Q(\blk00000003/blk00000153/sig00000cb7 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000178_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000177 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000770 ), + .Q(\blk00000003/blk00000153/sig00000cb6 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000177_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000176 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig0000076e ), + .Q(\blk00000003/blk00000153/sig00000cb8 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000176_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000175 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000772 ), + .Q(\blk00000003/blk00000153/sig00000cb4 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000175_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000174 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000773 ), + .Q(\blk00000003/blk00000153/sig00000cb3 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000174_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000173 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000771 ), + .Q(\blk00000003/blk00000153/sig00000cb5 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000173_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000172 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000775 ), + .Q(\blk00000003/blk00000153/sig00000cb1 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000172_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000171 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000776 ), + .Q(\blk00000003/blk00000153/sig00000cb0 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000171_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000170 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000774 ), + .Q(\blk00000003/blk00000153/sig00000cb2 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000170_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000016f ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000778 ), + .Q(\blk00000003/blk00000153/sig00000cae ), + .Q15(\NLW_blk00000003/blk00000153/blk0000016f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000016e ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000779 ), + .Q(\blk00000003/blk00000153/sig00000cad ), + .Q15(\NLW_blk00000003/blk00000153/blk0000016e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000016d ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000777 ), + .Q(\blk00000003/blk00000153/sig00000caf ), + .Q15(\NLW_blk00000003/blk00000153/blk0000016d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000016c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cc4 ), + .Q(\blk00000003/sig000003d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000016b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cc3 ), + .Q(\blk00000003/sig000003d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000016a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cc2 ), + .Q(\blk00000003/sig000003d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000169 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cc1 ), + .Q(\blk00000003/sig000003d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000168 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cc0 ), + .Q(\blk00000003/sig000003d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000167 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cbf ), + .Q(\blk00000003/sig000003d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000166 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cbe ), + .Q(\blk00000003/sig000003d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000165 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cbd ), + .Q(\blk00000003/sig000003da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000164 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cbc ), + .Q(\blk00000003/sig000003db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000163 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cbb ), + .Q(\blk00000003/sig000003dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000162 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cba ), + .Q(\blk00000003/sig000003dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000161 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cb9 ), + .Q(\blk00000003/sig000003de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000160 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cb8 ), + .Q(\blk00000003/sig000003df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cb7 ), + .Q(\blk00000003/sig000003e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cb6 ), + .Q(\blk00000003/sig000003e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cb5 ), + .Q(\blk00000003/sig000003e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cb4 ), + .Q(\blk00000003/sig000003e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cb3 ), + .Q(\blk00000003/sig000003e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cb2 ), + .Q(\blk00000003/sig000003e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000159 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cb1 ), + .Q(\blk00000003/sig000003e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000158 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cb0 ), + .Q(\blk00000003/sig000003e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000157 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000caf ), + .Q(\blk00000003/sig000003e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000156 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cae ), + .Q(\blk00000003/sig000003e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000155 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cad ), + .Q(\blk00000003/sig000003ea ) + ); + GND \blk00000003/blk00000153/blk00000154 ( + .G(\blk00000003/blk00000153/sig00000cac ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000186/blk000001b8 ( + .I0(ce), + .I1(\blk00000003/sig00000743 ), + .O(\blk00000003/blk00000186/sig00000d13 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001b7 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000704 ), + .Q(\blk00000003/blk00000186/sig00000d11 ), + .Q15(\NLW_blk00000003/blk00000186/blk000001b7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001b6 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000705 ), + .Q(\blk00000003/blk00000186/sig00000d10 ), + .Q15(\NLW_blk00000003/blk00000186/blk000001b6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001b5 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000703 ), + .Q(\blk00000003/blk00000186/sig00000d12 ), + .Q15(\NLW_blk00000003/blk00000186/blk000001b5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001b4 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000707 ), + .Q(\blk00000003/blk00000186/sig00000d0e ), + .Q15(\NLW_blk00000003/blk00000186/blk000001b4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001b3 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000708 ), + .Q(\blk00000003/blk00000186/sig00000d0d ), + .Q15(\NLW_blk00000003/blk00000186/blk000001b3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001b2 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000706 ), + .Q(\blk00000003/blk00000186/sig00000d0f ), + .Q15(\NLW_blk00000003/blk00000186/blk000001b2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001b1 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig0000070a ), + .Q(\blk00000003/blk00000186/sig00000d0b ), + .Q15(\NLW_blk00000003/blk00000186/blk000001b1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001b0 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig0000070b ), + .Q(\blk00000003/blk00000186/sig00000d0a ), + .Q15(\NLW_blk00000003/blk00000186/blk000001b0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001af ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000709 ), + .Q(\blk00000003/blk00000186/sig00000d0c ), + .Q15(\NLW_blk00000003/blk00000186/blk000001af_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001ae ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig0000070d ), + .Q(\blk00000003/blk00000186/sig00000d08 ), + .Q15(\NLW_blk00000003/blk00000186/blk000001ae_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001ad ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig0000070e ), + .Q(\blk00000003/blk00000186/sig00000d07 ), + .Q15(\NLW_blk00000003/blk00000186/blk000001ad_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001ac ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig0000070c ), + .Q(\blk00000003/blk00000186/sig00000d09 ), + .Q15(\NLW_blk00000003/blk00000186/blk000001ac_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001ab ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000710 ), + .Q(\blk00000003/blk00000186/sig00000d05 ), + .Q15(\NLW_blk00000003/blk00000186/blk000001ab_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001aa ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000711 ), + .Q(\blk00000003/blk00000186/sig00000d04 ), + .Q15(\NLW_blk00000003/blk00000186/blk000001aa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001a9 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig0000070f ), + .Q(\blk00000003/blk00000186/sig00000d06 ), + .Q15(\NLW_blk00000003/blk00000186/blk000001a9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001a8 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000713 ), + .Q(\blk00000003/blk00000186/sig00000d02 ), + .Q15(\NLW_blk00000003/blk00000186/blk000001a8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001a7 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000714 ), + .Q(\blk00000003/blk00000186/sig00000d01 ), + .Q15(\NLW_blk00000003/blk00000186/blk000001a7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001a6 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000712 ), + .Q(\blk00000003/blk00000186/sig00000d03 ), + .Q15(\NLW_blk00000003/blk00000186/blk000001a6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001a5 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000716 ), + .Q(\blk00000003/blk00000186/sig00000cff ), + .Q15(\NLW_blk00000003/blk00000186/blk000001a5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001a4 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000717 ), + .Q(\blk00000003/blk00000186/sig00000cfe ), + .Q15(\NLW_blk00000003/blk00000186/blk000001a4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001a3 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000715 ), + .Q(\blk00000003/blk00000186/sig00000d00 ), + .Q15(\NLW_blk00000003/blk00000186/blk000001a3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001a2 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000719 ), + .Q(\blk00000003/blk00000186/sig00000cfc ), + .Q15(\NLW_blk00000003/blk00000186/blk000001a2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001a1 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig0000071a ), + .Q(\blk00000003/blk00000186/sig00000cfb ), + .Q15(\NLW_blk00000003/blk00000186/blk000001a1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001a0 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000718 ), + .Q(\blk00000003/blk00000186/sig00000cfd ), + .Q15(\NLW_blk00000003/blk00000186/blk000001a0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk0000019f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d12 ), + .Q(\blk00000003/sig0000041b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk0000019e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d11 ), + .Q(\blk00000003/sig0000041c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk0000019d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d10 ), + .Q(\blk00000003/sig0000041d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk0000019c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d0f ), + .Q(\blk00000003/sig0000041e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk0000019b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d0e ), + .Q(\blk00000003/sig0000041f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk0000019a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d0d ), + .Q(\blk00000003/sig00000420 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk00000199 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d0c ), + .Q(\blk00000003/sig00000421 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk00000198 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d0b ), + .Q(\blk00000003/sig00000422 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk00000197 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d0a ), + .Q(\blk00000003/sig00000423 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk00000196 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d09 ), + .Q(\blk00000003/sig00000424 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk00000195 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d08 ), + .Q(\blk00000003/sig00000425 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk00000194 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d07 ), + .Q(\blk00000003/sig00000426 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk00000193 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d06 ), + .Q(\blk00000003/sig00000427 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk00000192 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d05 ), + .Q(\blk00000003/sig00000428 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk00000191 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d04 ), + .Q(\blk00000003/sig00000429 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk00000190 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d03 ), + .Q(\blk00000003/sig0000042a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk0000018f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d02 ), + .Q(\blk00000003/sig0000042b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk0000018e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d01 ), + .Q(\blk00000003/sig0000042c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk0000018d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d00 ), + .Q(\blk00000003/sig0000042d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk0000018c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000cff ), + .Q(\blk00000003/sig0000042e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk0000018b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000cfe ), + .Q(\blk00000003/sig0000042f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk0000018a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000cfd ), + .Q(\blk00000003/sig00000430 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk00000189 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000cfc ), + .Q(\blk00000003/sig00000431 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk00000188 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000cfb ), + .Q(\blk00000003/sig00000432 ) + ); + GND \blk00000003/blk00000186/blk00000187 ( + .G(\blk00000003/blk00000186/sig00000cfa ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000001b9/blk000001eb ( + .I0(ce), + .I1(\blk00000003/sig00000745 ), + .O(\blk00000003/blk000001b9/sig00000d61 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001ea ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig0000077b ), + .Q(\blk00000003/blk000001b9/sig00000d5f ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001ea_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001e9 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig0000077c ), + .Q(\blk00000003/blk000001b9/sig00000d5e ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001e9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001e8 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig0000077a ), + .Q(\blk00000003/blk000001b9/sig00000d60 ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001e8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001e7 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig0000077e ), + .Q(\blk00000003/blk000001b9/sig00000d5c ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001e7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001e6 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig0000077f ), + .Q(\blk00000003/blk000001b9/sig00000d5b ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001e6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001e5 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig0000077d ), + .Q(\blk00000003/blk000001b9/sig00000d5d ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001e5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001e4 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig00000781 ), + .Q(\blk00000003/blk000001b9/sig00000d59 ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001e4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001e3 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig00000782 ), + .Q(\blk00000003/blk000001b9/sig00000d58 ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001e3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001e2 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig00000780 ), + .Q(\blk00000003/blk000001b9/sig00000d5a ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001e2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001e1 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig00000784 ), + .Q(\blk00000003/blk000001b9/sig00000d56 ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001e1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001e0 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig00000785 ), + .Q(\blk00000003/blk000001b9/sig00000d55 ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001e0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001df ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig00000783 ), + .Q(\blk00000003/blk000001b9/sig00000d57 ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001df_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001de ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig00000787 ), + .Q(\blk00000003/blk000001b9/sig00000d53 ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001de_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001dd ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig00000788 ), + .Q(\blk00000003/blk000001b9/sig00000d52 ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001dd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001dc ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig00000786 ), + .Q(\blk00000003/blk000001b9/sig00000d54 ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001dc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001db ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig0000078a ), + .Q(\blk00000003/blk000001b9/sig00000d50 ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001db_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001da ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig0000078b ), + .Q(\blk00000003/blk000001b9/sig00000d4f ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001da_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001d9 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig00000789 ), + .Q(\blk00000003/blk000001b9/sig00000d51 ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001d9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001d8 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig0000078d ), + .Q(\blk00000003/blk000001b9/sig00000d4d ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001d8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001d7 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig0000078e ), + .Q(\blk00000003/blk000001b9/sig00000d4c ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001d7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001d6 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig0000078c ), + .Q(\blk00000003/blk000001b9/sig00000d4e ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001d6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001d5 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig00000790 ), + .Q(\blk00000003/blk000001b9/sig00000d4a ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001d5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001d4 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig00000791 ), + .Q(\blk00000003/blk000001b9/sig00000d49 ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001d4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001d3 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig0000078f ), + .Q(\blk00000003/blk000001b9/sig00000d4b ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001d3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d60 ), + .Q(\blk00000003/sig00000433 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d5f ), + .Q(\blk00000003/sig00000434 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d5e ), + .Q(\blk00000003/sig00000435 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d5d ), + .Q(\blk00000003/sig00000436 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d5c ), + .Q(\blk00000003/sig00000437 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d5b ), + .Q(\blk00000003/sig00000438 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d5a ), + .Q(\blk00000003/sig00000439 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d59 ), + .Q(\blk00000003/sig0000043a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d58 ), + .Q(\blk00000003/sig0000043b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d57 ), + .Q(\blk00000003/sig0000043c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d56 ), + .Q(\blk00000003/sig0000043d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d55 ), + .Q(\blk00000003/sig0000043e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d54 ), + .Q(\blk00000003/sig0000043f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d53 ), + .Q(\blk00000003/sig00000440 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d52 ), + .Q(\blk00000003/sig00000441 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d51 ), + .Q(\blk00000003/sig00000442 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d50 ), + .Q(\blk00000003/sig00000443 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d4f ), + .Q(\blk00000003/sig00000444 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d4e ), + .Q(\blk00000003/sig00000445 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d4d ), + .Q(\blk00000003/sig00000446 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d4c ), + .Q(\blk00000003/sig00000447 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d4b ), + .Q(\blk00000003/sig00000448 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d4a ), + .Q(\blk00000003/sig00000449 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d49 ), + .Q(\blk00000003/sig0000044a ) + ); + GND \blk00000003/blk000001b9/blk000001ba ( + .G(\blk00000003/blk000001b9/sig00000d48 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000001ec/blk0000021e ( + .I0(ce), + .I1(\blk00000003/sig00000742 ), + .O(\blk00000003/blk000001ec/sig00000daf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000021d ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000542 ), + .Q(\blk00000003/blk000001ec/sig00000dad ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000021d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000021c ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000543 ), + .Q(\blk00000003/blk000001ec/sig00000dac ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000021c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000021b ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000541 ), + .Q(\blk00000003/blk000001ec/sig00000dae ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000021b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000021a ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000545 ), + .Q(\blk00000003/blk000001ec/sig00000daa ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000021a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000219 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000546 ), + .Q(\blk00000003/blk000001ec/sig00000da9 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000219_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000218 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000544 ), + .Q(\blk00000003/blk000001ec/sig00000dab ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000218_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000217 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000548 ), + .Q(\blk00000003/blk000001ec/sig00000da7 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000217_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000216 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000549 ), + .Q(\blk00000003/blk000001ec/sig00000da6 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000216_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000215 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000547 ), + .Q(\blk00000003/blk000001ec/sig00000da8 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000215_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000214 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig0000054b ), + .Q(\blk00000003/blk000001ec/sig00000da4 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000214_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000213 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig0000054c ), + .Q(\blk00000003/blk000001ec/sig00000da3 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000213_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000212 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig0000054a ), + .Q(\blk00000003/blk000001ec/sig00000da5 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000212_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000211 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig0000054e ), + .Q(\blk00000003/blk000001ec/sig00000da1 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000211_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000210 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig0000054f ), + .Q(\blk00000003/blk000001ec/sig00000da0 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000210_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000020f ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig0000054d ), + .Q(\blk00000003/blk000001ec/sig00000da2 ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000020f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000020e ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000551 ), + .Q(\blk00000003/blk000001ec/sig00000d9e ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000020e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000020d ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000552 ), + .Q(\blk00000003/blk000001ec/sig00000d9d ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000020d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000020c ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000550 ), + .Q(\blk00000003/blk000001ec/sig00000d9f ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000020c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000020b ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000554 ), + .Q(\blk00000003/blk000001ec/sig00000d9b ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000020b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000020a ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000555 ), + .Q(\blk00000003/blk000001ec/sig00000d9a ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000020a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000209 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000553 ), + .Q(\blk00000003/blk000001ec/sig00000d9c ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000209_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000208 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000557 ), + .Q(\blk00000003/blk000001ec/sig00000d98 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000208_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000207 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000558 ), + .Q(\blk00000003/blk000001ec/sig00000d97 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000207_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000206 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000556 ), + .Q(\blk00000003/blk000001ec/sig00000d99 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000206_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk00000205 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000dae ), + .Q(\blk00000003/sig000005b3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk00000204 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000dad ), + .Q(\blk00000003/sig000005b4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk00000203 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000dac ), + .Q(\blk00000003/sig000005b5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk00000202 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000dab ), + .Q(\blk00000003/sig000005b6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk00000201 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000daa ), + .Q(\blk00000003/sig000005b7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk00000200 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000da9 ), + .Q(\blk00000003/sig000005b8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000da8 ), + .Q(\blk00000003/sig000005b9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000da7 ), + .Q(\blk00000003/sig000005ba ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000da6 ), + .Q(\blk00000003/sig000005bb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000da5 ), + .Q(\blk00000003/sig000005bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000da4 ), + .Q(\blk00000003/sig000005bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000da3 ), + .Q(\blk00000003/sig000005be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000da2 ), + .Q(\blk00000003/sig000005bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000da1 ), + .Q(\blk00000003/sig000005c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000da0 ), + .Q(\blk00000003/sig000005c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000d9f ), + .Q(\blk00000003/sig000005c2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000d9e ), + .Q(\blk00000003/sig000005c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000d9d ), + .Q(\blk00000003/sig000005c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000d9c ), + .Q(\blk00000003/sig000005c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000d9b ), + .Q(\blk00000003/sig000005c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000d9a ), + .Q(\blk00000003/sig000005c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000d99 ), + .Q(\blk00000003/sig000005c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000d98 ), + .Q(\blk00000003/sig000005c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000d97 ), + .Q(\blk00000003/sig000005ca ) + ); + GND \blk00000003/blk000001ec/blk000001ed ( + .G(\blk00000003/blk000001ec/sig00000d96 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000021f/blk00000251 ( + .I0(ce), + .I1(\blk00000003/sig00000744 ), + .O(\blk00000003/blk0000021f/sig00000dfd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk00000250 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig00000793 ), + .Q(\blk00000003/blk0000021f/sig00000dfb ), + .Q15(\NLW_blk00000003/blk0000021f/blk00000250_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk0000024f ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig00000794 ), + .Q(\blk00000003/blk0000021f/sig00000dfa ), + .Q15(\NLW_blk00000003/blk0000021f/blk0000024f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk0000024e ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig00000792 ), + .Q(\blk00000003/blk0000021f/sig00000dfc ), + .Q15(\NLW_blk00000003/blk0000021f/blk0000024e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk0000024d ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig00000796 ), + .Q(\blk00000003/blk0000021f/sig00000df8 ), + .Q15(\NLW_blk00000003/blk0000021f/blk0000024d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk0000024c ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig00000797 ), + .Q(\blk00000003/blk0000021f/sig00000df7 ), + .Q15(\NLW_blk00000003/blk0000021f/blk0000024c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk0000024b ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig00000795 ), + .Q(\blk00000003/blk0000021f/sig00000df9 ), + .Q15(\NLW_blk00000003/blk0000021f/blk0000024b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk0000024a ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig00000799 ), + .Q(\blk00000003/blk0000021f/sig00000df5 ), + .Q15(\NLW_blk00000003/blk0000021f/blk0000024a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk00000249 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig0000079a ), + .Q(\blk00000003/blk0000021f/sig00000df4 ), + .Q15(\NLW_blk00000003/blk0000021f/blk00000249_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk00000248 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig00000798 ), + .Q(\blk00000003/blk0000021f/sig00000df6 ), + .Q15(\NLW_blk00000003/blk0000021f/blk00000248_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk00000247 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig0000079c ), + .Q(\blk00000003/blk0000021f/sig00000df2 ), + .Q15(\NLW_blk00000003/blk0000021f/blk00000247_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk00000246 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig0000079d ), + .Q(\blk00000003/blk0000021f/sig00000df1 ), + .Q15(\NLW_blk00000003/blk0000021f/blk00000246_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk00000245 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig0000079b ), + .Q(\blk00000003/blk0000021f/sig00000df3 ), + .Q15(\NLW_blk00000003/blk0000021f/blk00000245_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk00000244 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig0000079f ), + .Q(\blk00000003/blk0000021f/sig00000def ), + .Q15(\NLW_blk00000003/blk0000021f/blk00000244_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk00000243 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig000007a0 ), + .Q(\blk00000003/blk0000021f/sig00000dee ), + .Q15(\NLW_blk00000003/blk0000021f/blk00000243_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk00000242 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig0000079e ), + .Q(\blk00000003/blk0000021f/sig00000df0 ), + .Q15(\NLW_blk00000003/blk0000021f/blk00000242_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk00000241 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig000007a2 ), + .Q(\blk00000003/blk0000021f/sig00000dec ), + .Q15(\NLW_blk00000003/blk0000021f/blk00000241_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk00000240 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig000007a3 ), + .Q(\blk00000003/blk0000021f/sig00000deb ), + .Q15(\NLW_blk00000003/blk0000021f/blk00000240_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk0000023f ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig000007a1 ), + .Q(\blk00000003/blk0000021f/sig00000ded ), + .Q15(\NLW_blk00000003/blk0000021f/blk0000023f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk0000023e ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig000007a5 ), + .Q(\blk00000003/blk0000021f/sig00000de9 ), + .Q15(\NLW_blk00000003/blk0000021f/blk0000023e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk0000023d ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig000007a6 ), + .Q(\blk00000003/blk0000021f/sig00000de8 ), + .Q15(\NLW_blk00000003/blk0000021f/blk0000023d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk0000023c ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig000007a4 ), + .Q(\blk00000003/blk0000021f/sig00000dea ), + .Q15(\NLW_blk00000003/blk0000021f/blk0000023c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk0000023b ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig000007a8 ), + .Q(\blk00000003/blk0000021f/sig00000de6 ), + .Q15(\NLW_blk00000003/blk0000021f/blk0000023b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk0000023a ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig000007a9 ), + .Q(\blk00000003/blk0000021f/sig00000de5 ), + .Q15(\NLW_blk00000003/blk0000021f/blk0000023a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk00000239 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig000007a7 ), + .Q(\blk00000003/blk0000021f/sig00000de7 ), + .Q15(\NLW_blk00000003/blk0000021f/blk00000239_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000238 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000dfc ), + .Q(\blk00000003/sig000005cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000237 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000dfb ), + .Q(\blk00000003/sig000005cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000236 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000dfa ), + .Q(\blk00000003/sig000005cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000235 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000df9 ), + .Q(\blk00000003/sig000005ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000234 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000df8 ), + .Q(\blk00000003/sig000005cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000233 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000df7 ), + .Q(\blk00000003/sig000005d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000232 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000df6 ), + .Q(\blk00000003/sig000005d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000231 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000df5 ), + .Q(\blk00000003/sig000005d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000230 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000df4 ), + .Q(\blk00000003/sig000005d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk0000022f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000df3 ), + .Q(\blk00000003/sig000005d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk0000022e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000df2 ), + .Q(\blk00000003/sig000005d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk0000022d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000df1 ), + .Q(\blk00000003/sig000005d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk0000022c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000df0 ), + .Q(\blk00000003/sig000005d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk0000022b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000def ), + .Q(\blk00000003/sig000005d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk0000022a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000dee ), + .Q(\blk00000003/sig000005d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000229 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000ded ), + .Q(\blk00000003/sig000005da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000228 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000dec ), + .Q(\blk00000003/sig000005db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000227 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000deb ), + .Q(\blk00000003/sig000005dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000226 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000dea ), + .Q(\blk00000003/sig000005dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000225 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000de9 ), + .Q(\blk00000003/sig000005de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000224 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000de8 ), + .Q(\blk00000003/sig000005df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000223 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000de7 ), + .Q(\blk00000003/sig000005e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000222 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000de6 ), + .Q(\blk00000003/sig000005e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000221 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000de5 ), + .Q(\blk00000003/sig000005e2 ) + ); + GND \blk00000003/blk0000021f/blk00000220 ( + .G(\blk00000003/blk0000021f/sig00000de4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000252/blk00000284 ( + .I0(ce), + .I1(\blk00000003/sig00000742 ), + .O(\blk00000003/blk00000252/sig00000e4b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk00000283 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006a4 ), + .Q(\blk00000003/blk00000252/sig00000e49 ), + .Q15(\NLW_blk00000003/blk00000252/blk00000283_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk00000282 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006a5 ), + .Q(\blk00000003/blk00000252/sig00000e48 ), + .Q15(\NLW_blk00000003/blk00000252/blk00000282_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk00000281 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006a3 ), + .Q(\blk00000003/blk00000252/sig00000e4a ), + .Q15(\NLW_blk00000003/blk00000252/blk00000281_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk00000280 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006a7 ), + .Q(\blk00000003/blk00000252/sig00000e46 ), + .Q15(\NLW_blk00000003/blk00000252/blk00000280_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk0000027f ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006a8 ), + .Q(\blk00000003/blk00000252/sig00000e45 ), + .Q15(\NLW_blk00000003/blk00000252/blk0000027f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk0000027e ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006a6 ), + .Q(\blk00000003/blk00000252/sig00000e47 ), + .Q15(\NLW_blk00000003/blk00000252/blk0000027e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk0000027d ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006aa ), + .Q(\blk00000003/blk00000252/sig00000e43 ), + .Q15(\NLW_blk00000003/blk00000252/blk0000027d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk0000027c ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006ab ), + .Q(\blk00000003/blk00000252/sig00000e42 ), + .Q15(\NLW_blk00000003/blk00000252/blk0000027c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk0000027b ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006a9 ), + .Q(\blk00000003/blk00000252/sig00000e44 ), + .Q15(\NLW_blk00000003/blk00000252/blk0000027b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk0000027a ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006ad ), + .Q(\blk00000003/blk00000252/sig00000e40 ), + .Q15(\NLW_blk00000003/blk00000252/blk0000027a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk00000279 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006ae ), + .Q(\blk00000003/blk00000252/sig00000e3f ), + .Q15(\NLW_blk00000003/blk00000252/blk00000279_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk00000278 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006ac ), + .Q(\blk00000003/blk00000252/sig00000e41 ), + .Q15(\NLW_blk00000003/blk00000252/blk00000278_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk00000277 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006b0 ), + .Q(\blk00000003/blk00000252/sig00000e3d ), + .Q15(\NLW_blk00000003/blk00000252/blk00000277_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk00000276 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006b1 ), + .Q(\blk00000003/blk00000252/sig00000e3c ), + .Q15(\NLW_blk00000003/blk00000252/blk00000276_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk00000275 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006af ), + .Q(\blk00000003/blk00000252/sig00000e3e ), + .Q15(\NLW_blk00000003/blk00000252/blk00000275_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk00000274 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006b3 ), + .Q(\blk00000003/blk00000252/sig00000e3a ), + .Q15(\NLW_blk00000003/blk00000252/blk00000274_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk00000273 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006b4 ), + .Q(\blk00000003/blk00000252/sig00000e39 ), + .Q15(\NLW_blk00000003/blk00000252/blk00000273_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk00000272 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006b2 ), + .Q(\blk00000003/blk00000252/sig00000e3b ), + .Q15(\NLW_blk00000003/blk00000252/blk00000272_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk00000271 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006b6 ), + .Q(\blk00000003/blk00000252/sig00000e37 ), + .Q15(\NLW_blk00000003/blk00000252/blk00000271_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk00000270 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006b7 ), + .Q(\blk00000003/blk00000252/sig00000e36 ), + .Q15(\NLW_blk00000003/blk00000252/blk00000270_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk0000026f ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006b5 ), + .Q(\blk00000003/blk00000252/sig00000e38 ), + .Q15(\NLW_blk00000003/blk00000252/blk0000026f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk0000026e ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006b9 ), + .Q(\blk00000003/blk00000252/sig00000e34 ), + .Q15(\NLW_blk00000003/blk00000252/blk0000026e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk0000026d ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006ba ), + .Q(\blk00000003/blk00000252/sig00000e33 ), + .Q15(\NLW_blk00000003/blk00000252/blk0000026d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk0000026c ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006b8 ), + .Q(\blk00000003/blk00000252/sig00000e35 ), + .Q15(\NLW_blk00000003/blk00000252/blk0000026c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk0000026b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e4a ), + .Q(\blk00000003/sig00000703 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk0000026a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e49 ), + .Q(\blk00000003/sig00000704 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000269 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e48 ), + .Q(\blk00000003/sig00000705 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000268 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e47 ), + .Q(\blk00000003/sig00000706 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000267 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e46 ), + .Q(\blk00000003/sig00000707 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000266 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e45 ), + .Q(\blk00000003/sig00000708 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000265 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e44 ), + .Q(\blk00000003/sig00000709 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000264 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e43 ), + .Q(\blk00000003/sig0000070a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000263 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e42 ), + .Q(\blk00000003/sig0000070b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000262 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e41 ), + .Q(\blk00000003/sig0000070c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000261 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e40 ), + .Q(\blk00000003/sig0000070d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000260 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e3f ), + .Q(\blk00000003/sig0000070e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk0000025f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e3e ), + .Q(\blk00000003/sig0000070f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk0000025e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e3d ), + .Q(\blk00000003/sig00000710 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk0000025d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e3c ), + .Q(\blk00000003/sig00000711 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk0000025c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e3b ), + .Q(\blk00000003/sig00000712 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk0000025b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e3a ), + .Q(\blk00000003/sig00000713 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk0000025a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e39 ), + .Q(\blk00000003/sig00000714 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000259 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e38 ), + .Q(\blk00000003/sig00000715 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000258 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e37 ), + .Q(\blk00000003/sig00000716 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000257 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e36 ), + .Q(\blk00000003/sig00000717 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000256 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e35 ), + .Q(\blk00000003/sig00000718 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000255 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e34 ), + .Q(\blk00000003/sig00000719 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000254 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e33 ), + .Q(\blk00000003/sig0000071a ) + ); + GND \blk00000003/blk00000252/blk00000253 ( + .G(\blk00000003/blk00000252/sig00000e32 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000285/blk000002b7 ( + .I0(ce), + .I1(\blk00000003/sig00000744 ), + .O(\blk00000003/blk00000285/sig00000e99 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002b6 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007ab ), + .Q(\blk00000003/blk00000285/sig00000e97 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002b6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002b5 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007ac ), + .Q(\blk00000003/blk00000285/sig00000e96 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002b5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002b4 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007aa ), + .Q(\blk00000003/blk00000285/sig00000e98 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002b4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002b3 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007ae ), + .Q(\blk00000003/blk00000285/sig00000e94 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002b3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002b2 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007af ), + .Q(\blk00000003/blk00000285/sig00000e93 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002b2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002b1 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007ad ), + .Q(\blk00000003/blk00000285/sig00000e95 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002b1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002b0 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007b1 ), + .Q(\blk00000003/blk00000285/sig00000e91 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002b0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002af ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007b2 ), + .Q(\blk00000003/blk00000285/sig00000e90 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002af_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002ae ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007b0 ), + .Q(\blk00000003/blk00000285/sig00000e92 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002ae_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002ad ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007b4 ), + .Q(\blk00000003/blk00000285/sig00000e8e ), + .Q15(\NLW_blk00000003/blk00000285/blk000002ad_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002ac ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007b5 ), + .Q(\blk00000003/blk00000285/sig00000e8d ), + .Q15(\NLW_blk00000003/blk00000285/blk000002ac_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002ab ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007b3 ), + .Q(\blk00000003/blk00000285/sig00000e8f ), + .Q15(\NLW_blk00000003/blk00000285/blk000002ab_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002aa ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007b7 ), + .Q(\blk00000003/blk00000285/sig00000e8b ), + .Q15(\NLW_blk00000003/blk00000285/blk000002aa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002a9 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007b8 ), + .Q(\blk00000003/blk00000285/sig00000e8a ), + .Q15(\NLW_blk00000003/blk00000285/blk000002a9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002a8 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007b6 ), + .Q(\blk00000003/blk00000285/sig00000e8c ), + .Q15(\NLW_blk00000003/blk00000285/blk000002a8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002a7 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007ba ), + .Q(\blk00000003/blk00000285/sig00000e88 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002a7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002a6 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007bb ), + .Q(\blk00000003/blk00000285/sig00000e87 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002a6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002a5 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007b9 ), + .Q(\blk00000003/blk00000285/sig00000e89 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002a5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002a4 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007bd ), + .Q(\blk00000003/blk00000285/sig00000e85 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002a4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002a3 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007be ), + .Q(\blk00000003/blk00000285/sig00000e84 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002a3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002a2 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007bc ), + .Q(\blk00000003/blk00000285/sig00000e86 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002a2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002a1 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007c0 ), + .Q(\blk00000003/blk00000285/sig00000e82 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002a1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002a0 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007c1 ), + .Q(\blk00000003/blk00000285/sig00000e81 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002a0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk0000029f ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007bf ), + .Q(\blk00000003/blk00000285/sig00000e83 ), + .Q15(\NLW_blk00000003/blk00000285/blk0000029f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk0000029e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e98 ), + .Q(\blk00000003/sig0000071b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk0000029d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e97 ), + .Q(\blk00000003/sig0000071c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk0000029c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e96 ), + .Q(\blk00000003/sig0000071d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk0000029b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e95 ), + .Q(\blk00000003/sig0000071e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk0000029a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e94 ), + .Q(\blk00000003/sig0000071f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk00000299 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e93 ), + .Q(\blk00000003/sig00000720 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk00000298 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e92 ), + .Q(\blk00000003/sig00000721 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk00000297 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e91 ), + .Q(\blk00000003/sig00000722 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk00000296 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e90 ), + .Q(\blk00000003/sig00000723 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk00000295 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e8f ), + .Q(\blk00000003/sig00000724 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk00000294 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e8e ), + .Q(\blk00000003/sig00000725 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk00000293 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e8d ), + .Q(\blk00000003/sig00000726 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk00000292 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e8c ), + .Q(\blk00000003/sig00000727 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk00000291 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e8b ), + .Q(\blk00000003/sig00000728 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk00000290 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e8a ), + .Q(\blk00000003/sig00000729 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk0000028f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e89 ), + .Q(\blk00000003/sig0000072a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk0000028e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e88 ), + .Q(\blk00000003/sig0000072b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk0000028d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e87 ), + .Q(\blk00000003/sig0000072c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk0000028c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e86 ), + .Q(\blk00000003/sig0000072d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk0000028b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e85 ), + .Q(\blk00000003/sig0000072e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk0000028a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e84 ), + .Q(\blk00000003/sig0000072f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk00000289 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e83 ), + .Q(\blk00000003/sig00000730 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk00000288 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e82 ), + .Q(\blk00000003/sig00000731 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk00000287 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e81 ), + .Q(\blk00000003/sig00000732 ) + ); + GND \blk00000003/blk00000285/blk00000286 ( + .G(\blk00000003/blk00000285/sig00000e80 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000002b8/blk000002ea ( + .I0(ce), + .I1(\blk00000003/sig0000074e ), + .O(\blk00000003/blk000002b8/sig00000ee7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002e9 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004d0 ), + .Q(\blk00000003/blk000002b8/sig00000ee5 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002e9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002e8 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004d1 ), + .Q(\blk00000003/blk000002b8/sig00000ee4 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002e8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002e7 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004cf ), + .Q(\blk00000003/blk000002b8/sig00000ee6 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002e7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002e6 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004d3 ), + .Q(\blk00000003/blk000002b8/sig00000ee2 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002e6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002e5 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004d4 ), + .Q(\blk00000003/blk000002b8/sig00000ee1 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002e5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002e4 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004d2 ), + .Q(\blk00000003/blk000002b8/sig00000ee3 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002e4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002e3 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004d6 ), + .Q(\blk00000003/blk000002b8/sig00000edf ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002e3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002e2 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004d7 ), + .Q(\blk00000003/blk000002b8/sig00000ede ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002e2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002e1 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004d5 ), + .Q(\blk00000003/blk000002b8/sig00000ee0 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002e1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002e0 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004d9 ), + .Q(\blk00000003/blk000002b8/sig00000edc ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002e0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002df ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004da ), + .Q(\blk00000003/blk000002b8/sig00000edb ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002df_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002de ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004d8 ), + .Q(\blk00000003/blk000002b8/sig00000edd ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002de_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002dd ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004dc ), + .Q(\blk00000003/blk000002b8/sig00000ed9 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002dd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002dc ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004dd ), + .Q(\blk00000003/blk000002b8/sig00000ed8 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002dc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002db ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004db ), + .Q(\blk00000003/blk000002b8/sig00000eda ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002db_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002da ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004df ), + .Q(\blk00000003/blk000002b8/sig00000ed6 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002da_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002d9 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004e0 ), + .Q(\blk00000003/blk000002b8/sig00000ed5 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002d9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002d8 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004de ), + .Q(\blk00000003/blk000002b8/sig00000ed7 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002d8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002d7 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004e2 ), + .Q(\blk00000003/blk000002b8/sig00000ed3 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002d7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002d6 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004e3 ), + .Q(\blk00000003/blk000002b8/sig00000ed2 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002d6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002d5 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004e1 ), + .Q(\blk00000003/blk000002b8/sig00000ed4 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002d5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002d4 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004e5 ), + .Q(\blk00000003/blk000002b8/sig00000ed0 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002d4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002d3 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004e6 ), + .Q(\blk00000003/blk000002b8/sig00000ecf ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002d3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002d2 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004e4 ), + .Q(\blk00000003/blk000002b8/sig00000ed1 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002d2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ee6 ), + .Q(\blk00000003/sig00000541 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ee5 ), + .Q(\blk00000003/sig00000542 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ee4 ), + .Q(\blk00000003/sig00000543 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ee3 ), + .Q(\blk00000003/sig00000544 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ee2 ), + .Q(\blk00000003/sig00000545 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ee1 ), + .Q(\blk00000003/sig00000546 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ee0 ), + .Q(\blk00000003/sig00000547 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000edf ), + .Q(\blk00000003/sig00000548 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ede ), + .Q(\blk00000003/sig00000549 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000edd ), + .Q(\blk00000003/sig0000054a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000edc ), + .Q(\blk00000003/sig0000054b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000edb ), + .Q(\blk00000003/sig0000054c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000eda ), + .Q(\blk00000003/sig0000054d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ed9 ), + .Q(\blk00000003/sig0000054e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ed8 ), + .Q(\blk00000003/sig0000054f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ed7 ), + .Q(\blk00000003/sig00000550 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ed6 ), + .Q(\blk00000003/sig00000551 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ed5 ), + .Q(\blk00000003/sig00000552 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ed4 ), + .Q(\blk00000003/sig00000553 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ed3 ), + .Q(\blk00000003/sig00000554 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ed2 ), + .Q(\blk00000003/sig00000555 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ed1 ), + .Q(\blk00000003/sig00000556 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ed0 ), + .Q(\blk00000003/sig00000557 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ecf ), + .Q(\blk00000003/sig00000558 ) + ); + GND \blk00000003/blk000002b8/blk000002b9 ( + .G(\blk00000003/blk000002b8/sig00000ece ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000002eb/blk0000031d ( + .I0(ce), + .I1(\blk00000003/sig0000074f ), + .O(\blk00000003/blk000002eb/sig00000f35 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk0000031c ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007c3 ), + .Q(\blk00000003/blk000002eb/sig00000f33 ), + .Q15(\NLW_blk00000003/blk000002eb/blk0000031c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk0000031b ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007c4 ), + .Q(\blk00000003/blk000002eb/sig00000f32 ), + .Q15(\NLW_blk00000003/blk000002eb/blk0000031b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk0000031a ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007c2 ), + .Q(\blk00000003/blk000002eb/sig00000f34 ), + .Q15(\NLW_blk00000003/blk000002eb/blk0000031a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000319 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007c6 ), + .Q(\blk00000003/blk000002eb/sig00000f30 ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000319_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000318 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007c7 ), + .Q(\blk00000003/blk000002eb/sig00000f2f ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000318_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000317 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007c5 ), + .Q(\blk00000003/blk000002eb/sig00000f31 ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000317_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000316 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007c9 ), + .Q(\blk00000003/blk000002eb/sig00000f2d ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000316_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000315 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007ca ), + .Q(\blk00000003/blk000002eb/sig00000f2c ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000315_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000314 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007c8 ), + .Q(\blk00000003/blk000002eb/sig00000f2e ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000314_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000313 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007cc ), + .Q(\blk00000003/blk000002eb/sig00000f2a ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000313_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000312 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007cd ), + .Q(\blk00000003/blk000002eb/sig00000f29 ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000312_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000311 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007cb ), + .Q(\blk00000003/blk000002eb/sig00000f2b ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000311_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000310 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007cf ), + .Q(\blk00000003/blk000002eb/sig00000f27 ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000310_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk0000030f ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007d0 ), + .Q(\blk00000003/blk000002eb/sig00000f26 ), + .Q15(\NLW_blk00000003/blk000002eb/blk0000030f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk0000030e ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007ce ), + .Q(\blk00000003/blk000002eb/sig00000f28 ), + .Q15(\NLW_blk00000003/blk000002eb/blk0000030e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk0000030d ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007d2 ), + .Q(\blk00000003/blk000002eb/sig00000f24 ), + .Q15(\NLW_blk00000003/blk000002eb/blk0000030d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk0000030c ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007d3 ), + .Q(\blk00000003/blk000002eb/sig00000f23 ), + .Q15(\NLW_blk00000003/blk000002eb/blk0000030c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk0000030b ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007d1 ), + .Q(\blk00000003/blk000002eb/sig00000f25 ), + .Q15(\NLW_blk00000003/blk000002eb/blk0000030b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk0000030a ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007d5 ), + .Q(\blk00000003/blk000002eb/sig00000f21 ), + .Q15(\NLW_blk00000003/blk000002eb/blk0000030a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000309 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007d6 ), + .Q(\blk00000003/blk000002eb/sig00000f20 ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000309_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000308 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007d4 ), + .Q(\blk00000003/blk000002eb/sig00000f22 ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000308_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000307 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007d8 ), + .Q(\blk00000003/blk000002eb/sig00000f1e ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000307_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000306 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007d9 ), + .Q(\blk00000003/blk000002eb/sig00000f1d ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000306_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000305 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007d7 ), + .Q(\blk00000003/blk000002eb/sig00000f1f ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000305_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk00000304 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f34 ), + .Q(\blk00000003/sig00000559 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk00000303 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f33 ), + .Q(\blk00000003/sig0000055a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk00000302 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f32 ), + .Q(\blk00000003/sig0000055b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk00000301 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f31 ), + .Q(\blk00000003/sig0000055c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk00000300 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f30 ), + .Q(\blk00000003/sig0000055d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f2f ), + .Q(\blk00000003/sig0000055e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f2e ), + .Q(\blk00000003/sig0000055f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f2d ), + .Q(\blk00000003/sig00000560 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f2c ), + .Q(\blk00000003/sig00000561 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f2b ), + .Q(\blk00000003/sig00000562 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f2a ), + .Q(\blk00000003/sig00000563 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f29 ), + .Q(\blk00000003/sig00000564 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f28 ), + .Q(\blk00000003/sig00000565 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f27 ), + .Q(\blk00000003/sig00000566 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f26 ), + .Q(\blk00000003/sig00000567 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f25 ), + .Q(\blk00000003/sig00000568 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f24 ), + .Q(\blk00000003/sig00000569 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f23 ), + .Q(\blk00000003/sig0000056a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f22 ), + .Q(\blk00000003/sig0000056b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f21 ), + .Q(\blk00000003/sig0000056c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f20 ), + .Q(\blk00000003/sig0000056d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f1f ), + .Q(\blk00000003/sig0000056e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f1e ), + .Q(\blk00000003/sig0000056f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f1d ), + .Q(\blk00000003/sig00000570 ) + ); + GND \blk00000003/blk000002eb/blk000002ec ( + .G(\blk00000003/blk000002eb/sig00000f1c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000031e/blk00000350 ( + .I0(ce), + .I1(\blk00000003/sig0000074e ), + .O(\blk00000003/blk0000031e/sig00000f83 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk0000034f ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000644 ), + .Q(\blk00000003/blk0000031e/sig00000f81 ), + .Q15(\NLW_blk00000003/blk0000031e/blk0000034f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk0000034e ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000645 ), + .Q(\blk00000003/blk0000031e/sig00000f80 ), + .Q15(\NLW_blk00000003/blk0000031e/blk0000034e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk0000034d ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000643 ), + .Q(\blk00000003/blk0000031e/sig00000f82 ), + .Q15(\NLW_blk00000003/blk0000031e/blk0000034d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk0000034c ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000647 ), + .Q(\blk00000003/blk0000031e/sig00000f7e ), + .Q15(\NLW_blk00000003/blk0000031e/blk0000034c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk0000034b ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000648 ), + .Q(\blk00000003/blk0000031e/sig00000f7d ), + .Q15(\NLW_blk00000003/blk0000031e/blk0000034b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk0000034a ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000646 ), + .Q(\blk00000003/blk0000031e/sig00000f7f ), + .Q15(\NLW_blk00000003/blk0000031e/blk0000034a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk00000349 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig0000064a ), + .Q(\blk00000003/blk0000031e/sig00000f7b ), + .Q15(\NLW_blk00000003/blk0000031e/blk00000349_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk00000348 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig0000064b ), + .Q(\blk00000003/blk0000031e/sig00000f7a ), + .Q15(\NLW_blk00000003/blk0000031e/blk00000348_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk00000347 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000649 ), + .Q(\blk00000003/blk0000031e/sig00000f7c ), + .Q15(\NLW_blk00000003/blk0000031e/blk00000347_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk00000346 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig0000064d ), + .Q(\blk00000003/blk0000031e/sig00000f78 ), + .Q15(\NLW_blk00000003/blk0000031e/blk00000346_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk00000345 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig0000064e ), + .Q(\blk00000003/blk0000031e/sig00000f77 ), + .Q15(\NLW_blk00000003/blk0000031e/blk00000345_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk00000344 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig0000064c ), + .Q(\blk00000003/blk0000031e/sig00000f79 ), + .Q15(\NLW_blk00000003/blk0000031e/blk00000344_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk00000343 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000650 ), + .Q(\blk00000003/blk0000031e/sig00000f75 ), + .Q15(\NLW_blk00000003/blk0000031e/blk00000343_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk00000342 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000651 ), + .Q(\blk00000003/blk0000031e/sig00000f74 ), + .Q15(\NLW_blk00000003/blk0000031e/blk00000342_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk00000341 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig0000064f ), + .Q(\blk00000003/blk0000031e/sig00000f76 ), + .Q15(\NLW_blk00000003/blk0000031e/blk00000341_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk00000340 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000653 ), + .Q(\blk00000003/blk0000031e/sig00000f72 ), + .Q15(\NLW_blk00000003/blk0000031e/blk00000340_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk0000033f ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000654 ), + .Q(\blk00000003/blk0000031e/sig00000f71 ), + .Q15(\NLW_blk00000003/blk0000031e/blk0000033f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk0000033e ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000652 ), + .Q(\blk00000003/blk0000031e/sig00000f73 ), + .Q15(\NLW_blk00000003/blk0000031e/blk0000033e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk0000033d ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000656 ), + .Q(\blk00000003/blk0000031e/sig00000f6f ), + .Q15(\NLW_blk00000003/blk0000031e/blk0000033d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk0000033c ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000657 ), + .Q(\blk00000003/blk0000031e/sig00000f6e ), + .Q15(\NLW_blk00000003/blk0000031e/blk0000033c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk0000033b ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000655 ), + .Q(\blk00000003/blk0000031e/sig00000f70 ), + .Q15(\NLW_blk00000003/blk0000031e/blk0000033b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk0000033a ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000659 ), + .Q(\blk00000003/blk0000031e/sig00000f6c ), + .Q15(\NLW_blk00000003/blk0000031e/blk0000033a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk00000339 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig0000065a ), + .Q(\blk00000003/blk0000031e/sig00000f6b ), + .Q15(\NLW_blk00000003/blk0000031e/blk00000339_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk00000338 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000658 ), + .Q(\blk00000003/blk0000031e/sig00000f6d ), + .Q15(\NLW_blk00000003/blk0000031e/blk00000338_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000337 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f82 ), + .Q(\blk00000003/sig000006a3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000336 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f81 ), + .Q(\blk00000003/sig000006a4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000335 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f80 ), + .Q(\blk00000003/sig000006a5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000334 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f7f ), + .Q(\blk00000003/sig000006a6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000333 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f7e ), + .Q(\blk00000003/sig000006a7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000332 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f7d ), + .Q(\blk00000003/sig000006a8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000331 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f7c ), + .Q(\blk00000003/sig000006a9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000330 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f7b ), + .Q(\blk00000003/sig000006aa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk0000032f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f7a ), + .Q(\blk00000003/sig000006ab ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk0000032e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f79 ), + .Q(\blk00000003/sig000006ac ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk0000032d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f78 ), + .Q(\blk00000003/sig000006ad ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk0000032c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f77 ), + .Q(\blk00000003/sig000006ae ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk0000032b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f76 ), + .Q(\blk00000003/sig000006af ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk0000032a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f75 ), + .Q(\blk00000003/sig000006b0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000329 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f74 ), + .Q(\blk00000003/sig000006b1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000328 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f73 ), + .Q(\blk00000003/sig000006b2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000327 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f72 ), + .Q(\blk00000003/sig000006b3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000326 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f71 ), + .Q(\blk00000003/sig000006b4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000325 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f70 ), + .Q(\blk00000003/sig000006b5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000324 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f6f ), + .Q(\blk00000003/sig000006b6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000323 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f6e ), + .Q(\blk00000003/sig000006b7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000322 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f6d ), + .Q(\blk00000003/sig000006b8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000321 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f6c ), + .Q(\blk00000003/sig000006b9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000320 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f6b ), + .Q(\blk00000003/sig000006ba ) + ); + GND \blk00000003/blk0000031e/blk0000031f ( + .G(\blk00000003/blk0000031e/sig00000f6a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000351/blk00000383 ( + .I0(ce), + .I1(\blk00000003/sig0000074f ), + .O(\blk00000003/blk00000351/sig00000fd1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk00000382 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007db ), + .Q(\blk00000003/blk00000351/sig00000fcf ), + .Q15(\NLW_blk00000003/blk00000351/blk00000382_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk00000381 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007dc ), + .Q(\blk00000003/blk00000351/sig00000fce ), + .Q15(\NLW_blk00000003/blk00000351/blk00000381_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk00000380 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007da ), + .Q(\blk00000003/blk00000351/sig00000fd0 ), + .Q15(\NLW_blk00000003/blk00000351/blk00000380_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk0000037f ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007de ), + .Q(\blk00000003/blk00000351/sig00000fcc ), + .Q15(\NLW_blk00000003/blk00000351/blk0000037f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk0000037e ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007df ), + .Q(\blk00000003/blk00000351/sig00000fcb ), + .Q15(\NLW_blk00000003/blk00000351/blk0000037e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk0000037d ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007dd ), + .Q(\blk00000003/blk00000351/sig00000fcd ), + .Q15(\NLW_blk00000003/blk00000351/blk0000037d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk0000037c ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007e1 ), + .Q(\blk00000003/blk00000351/sig00000fc9 ), + .Q15(\NLW_blk00000003/blk00000351/blk0000037c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk0000037b ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007e2 ), + .Q(\blk00000003/blk00000351/sig00000fc8 ), + .Q15(\NLW_blk00000003/blk00000351/blk0000037b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk0000037a ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007e0 ), + .Q(\blk00000003/blk00000351/sig00000fca ), + .Q15(\NLW_blk00000003/blk00000351/blk0000037a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk00000379 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007e4 ), + .Q(\blk00000003/blk00000351/sig00000fc6 ), + .Q15(\NLW_blk00000003/blk00000351/blk00000379_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk00000378 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007e5 ), + .Q(\blk00000003/blk00000351/sig00000fc5 ), + .Q15(\NLW_blk00000003/blk00000351/blk00000378_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk00000377 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007e3 ), + .Q(\blk00000003/blk00000351/sig00000fc7 ), + .Q15(\NLW_blk00000003/blk00000351/blk00000377_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk00000376 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007e7 ), + .Q(\blk00000003/blk00000351/sig00000fc3 ), + .Q15(\NLW_blk00000003/blk00000351/blk00000376_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk00000375 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007e8 ), + .Q(\blk00000003/blk00000351/sig00000fc2 ), + .Q15(\NLW_blk00000003/blk00000351/blk00000375_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk00000374 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007e6 ), + .Q(\blk00000003/blk00000351/sig00000fc4 ), + .Q15(\NLW_blk00000003/blk00000351/blk00000374_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk00000373 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007ea ), + .Q(\blk00000003/blk00000351/sig00000fc0 ), + .Q15(\NLW_blk00000003/blk00000351/blk00000373_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk00000372 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007eb ), + .Q(\blk00000003/blk00000351/sig00000fbf ), + .Q15(\NLW_blk00000003/blk00000351/blk00000372_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk00000371 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007e9 ), + .Q(\blk00000003/blk00000351/sig00000fc1 ), + .Q15(\NLW_blk00000003/blk00000351/blk00000371_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk00000370 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007ed ), + .Q(\blk00000003/blk00000351/sig00000fbd ), + .Q15(\NLW_blk00000003/blk00000351/blk00000370_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk0000036f ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007ee ), + .Q(\blk00000003/blk00000351/sig00000fbc ), + .Q15(\NLW_blk00000003/blk00000351/blk0000036f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk0000036e ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007ec ), + .Q(\blk00000003/blk00000351/sig00000fbe ), + .Q15(\NLW_blk00000003/blk00000351/blk0000036e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk0000036d ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007f0 ), + .Q(\blk00000003/blk00000351/sig00000fba ), + .Q15(\NLW_blk00000003/blk00000351/blk0000036d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk0000036c ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007f1 ), + .Q(\blk00000003/blk00000351/sig00000fb9 ), + .Q15(\NLW_blk00000003/blk00000351/blk0000036c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk0000036b ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007ef ), + .Q(\blk00000003/blk00000351/sig00000fbb ), + .Q15(\NLW_blk00000003/blk00000351/blk0000036b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk0000036a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fd0 ), + .Q(\blk00000003/sig000006bb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000369 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fcf ), + .Q(\blk00000003/sig000006bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000368 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fce ), + .Q(\blk00000003/sig000006bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000367 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fcd ), + .Q(\blk00000003/sig000006be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000366 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fcc ), + .Q(\blk00000003/sig000006bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000365 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fcb ), + .Q(\blk00000003/sig000006c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000364 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fca ), + .Q(\blk00000003/sig000006c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000363 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fc9 ), + .Q(\blk00000003/sig000006c2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000362 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fc8 ), + .Q(\blk00000003/sig000006c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000361 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fc7 ), + .Q(\blk00000003/sig000006c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000360 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fc6 ), + .Q(\blk00000003/sig000006c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk0000035f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fc5 ), + .Q(\blk00000003/sig000006c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk0000035e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fc4 ), + .Q(\blk00000003/sig000006c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk0000035d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fc3 ), + .Q(\blk00000003/sig000006c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk0000035c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fc2 ), + .Q(\blk00000003/sig000006c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk0000035b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fc1 ), + .Q(\blk00000003/sig000006ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk0000035a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fc0 ), + .Q(\blk00000003/sig000006cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000359 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fbf ), + .Q(\blk00000003/sig000006cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000358 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fbe ), + .Q(\blk00000003/sig000006cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000357 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fbd ), + .Q(\blk00000003/sig000006ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000356 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fbc ), + .Q(\blk00000003/sig000006cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000355 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fbb ), + .Q(\blk00000003/sig000006d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000354 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fba ), + .Q(\blk00000003/sig000006d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000353 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fb9 ), + .Q(\blk00000003/sig000006d2 ) + ); + GND \blk00000003/blk00000351/blk00000352 ( + .G(\blk00000003/blk00000351/sig00000fb8 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000384/blk000003b6 ( + .I0(ce), + .I1(\blk00000003/sig00000755 ), + .O(\blk00000003/blk00000384/sig0000101f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003b5 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig0000045e ), + .Q(\blk00000003/blk00000384/sig0000101d ), + .Q15(\NLW_blk00000003/blk00000384/blk000003b5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003b4 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig0000045f ), + .Q(\blk00000003/blk00000384/sig0000101c ), + .Q15(\NLW_blk00000003/blk00000384/blk000003b4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003b3 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig0000045d ), + .Q(\blk00000003/blk00000384/sig0000101e ), + .Q15(\NLW_blk00000003/blk00000384/blk000003b3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003b2 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000461 ), + .Q(\blk00000003/blk00000384/sig0000101a ), + .Q15(\NLW_blk00000003/blk00000384/blk000003b2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003b1 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000462 ), + .Q(\blk00000003/blk00000384/sig00001019 ), + .Q15(\NLW_blk00000003/blk00000384/blk000003b1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003b0 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000460 ), + .Q(\blk00000003/blk00000384/sig0000101b ), + .Q15(\NLW_blk00000003/blk00000384/blk000003b0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003af ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000464 ), + .Q(\blk00000003/blk00000384/sig00001017 ), + .Q15(\NLW_blk00000003/blk00000384/blk000003af_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003ae ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000465 ), + .Q(\blk00000003/blk00000384/sig00001016 ), + .Q15(\NLW_blk00000003/blk00000384/blk000003ae_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003ad ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000463 ), + .Q(\blk00000003/blk00000384/sig00001018 ), + .Q15(\NLW_blk00000003/blk00000384/blk000003ad_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003ac ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000467 ), + .Q(\blk00000003/blk00000384/sig00001014 ), + .Q15(\NLW_blk00000003/blk00000384/blk000003ac_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003ab ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000468 ), + .Q(\blk00000003/blk00000384/sig00001013 ), + .Q15(\NLW_blk00000003/blk00000384/blk000003ab_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003aa ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000466 ), + .Q(\blk00000003/blk00000384/sig00001015 ), + .Q15(\NLW_blk00000003/blk00000384/blk000003aa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003a9 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig0000046a ), + .Q(\blk00000003/blk00000384/sig00001011 ), + .Q15(\NLW_blk00000003/blk00000384/blk000003a9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003a8 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig0000046b ), + .Q(\blk00000003/blk00000384/sig00001010 ), + .Q15(\NLW_blk00000003/blk00000384/blk000003a8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003a7 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000469 ), + .Q(\blk00000003/blk00000384/sig00001012 ), + .Q15(\NLW_blk00000003/blk00000384/blk000003a7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003a6 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig0000046d ), + .Q(\blk00000003/blk00000384/sig0000100e ), + .Q15(\NLW_blk00000003/blk00000384/blk000003a6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003a5 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig0000046e ), + .Q(\blk00000003/blk00000384/sig0000100d ), + .Q15(\NLW_blk00000003/blk00000384/blk000003a5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003a4 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig0000046c ), + .Q(\blk00000003/blk00000384/sig0000100f ), + .Q15(\NLW_blk00000003/blk00000384/blk000003a4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003a3 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000470 ), + .Q(\blk00000003/blk00000384/sig0000100b ), + .Q15(\NLW_blk00000003/blk00000384/blk000003a3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003a2 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000471 ), + .Q(\blk00000003/blk00000384/sig0000100a ), + .Q15(\NLW_blk00000003/blk00000384/blk000003a2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003a1 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig0000046f ), + .Q(\blk00000003/blk00000384/sig0000100c ), + .Q15(\NLW_blk00000003/blk00000384/blk000003a1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003a0 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000473 ), + .Q(\blk00000003/blk00000384/sig00001008 ), + .Q15(\NLW_blk00000003/blk00000384/blk000003a0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk0000039f ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000474 ), + .Q(\blk00000003/blk00000384/sig00001007 ), + .Q15(\NLW_blk00000003/blk00000384/blk0000039f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk0000039e ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000472 ), + .Q(\blk00000003/blk00000384/sig00001009 ), + .Q15(\NLW_blk00000003/blk00000384/blk0000039e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk0000039d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig0000101e ), + .Q(\blk00000003/sig000004cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk0000039c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig0000101d ), + .Q(\blk00000003/sig000004d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk0000039b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig0000101c ), + .Q(\blk00000003/sig000004d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk0000039a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig0000101b ), + .Q(\blk00000003/sig000004d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk00000399 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig0000101a ), + .Q(\blk00000003/sig000004d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk00000398 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig00001019 ), + .Q(\blk00000003/sig000004d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk00000397 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig00001018 ), + .Q(\blk00000003/sig000004d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk00000396 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig00001017 ), + .Q(\blk00000003/sig000004d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk00000395 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig00001016 ), + .Q(\blk00000003/sig000004d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk00000394 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig00001015 ), + .Q(\blk00000003/sig000004d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk00000393 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig00001014 ), + .Q(\blk00000003/sig000004d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk00000392 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig00001013 ), + .Q(\blk00000003/sig000004da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk00000391 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig00001012 ), + .Q(\blk00000003/sig000004db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk00000390 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig00001011 ), + .Q(\blk00000003/sig000004dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk0000038f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig00001010 ), + .Q(\blk00000003/sig000004dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk0000038e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig0000100f ), + .Q(\blk00000003/sig000004de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk0000038d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig0000100e ), + .Q(\blk00000003/sig000004df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk0000038c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig0000100d ), + .Q(\blk00000003/sig000004e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk0000038b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig0000100c ), + .Q(\blk00000003/sig000004e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk0000038a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig0000100b ), + .Q(\blk00000003/sig000004e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk00000389 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig0000100a ), + .Q(\blk00000003/sig000004e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk00000388 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig00001009 ), + .Q(\blk00000003/sig000004e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk00000387 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig00001008 ), + .Q(\blk00000003/sig000004e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk00000386 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig00001007 ), + .Q(\blk00000003/sig000004e6 ) + ); + GND \blk00000003/blk00000384/blk00000385 ( + .G(\blk00000003/blk00000384/sig00001006 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003b7/blk000003e9 ( + .I0(ce), + .I1(\blk00000003/sig00000754 ), + .O(\blk00000003/blk000003b7/sig0000106d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003e8 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig000007f3 ), + .Q(\blk00000003/blk000003b7/sig0000106b ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003e8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003e7 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig000007f4 ), + .Q(\blk00000003/blk000003b7/sig0000106a ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003e7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003e6 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig000007f2 ), + .Q(\blk00000003/blk000003b7/sig0000106c ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003e6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003e5 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig000007f6 ), + .Q(\blk00000003/blk000003b7/sig00001068 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003e5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003e4 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig000007f7 ), + .Q(\blk00000003/blk000003b7/sig00001067 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003e4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003e3 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig000007f5 ), + .Q(\blk00000003/blk000003b7/sig00001069 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003e3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003e2 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig000007f9 ), + .Q(\blk00000003/blk000003b7/sig00001065 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003e2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003e1 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig000007fa ), + .Q(\blk00000003/blk000003b7/sig00001064 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003e1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003e0 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig000007f8 ), + .Q(\blk00000003/blk000003b7/sig00001066 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003e0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003df ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig000007fc ), + .Q(\blk00000003/blk000003b7/sig00001062 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003df_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003de ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig000007fd ), + .Q(\blk00000003/blk000003b7/sig00001061 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003de_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003dd ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig000007fb ), + .Q(\blk00000003/blk000003b7/sig00001063 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003dd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003dc ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig000007ff ), + .Q(\blk00000003/blk000003b7/sig0000105f ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003dc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003db ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000800 ), + .Q(\blk00000003/blk000003b7/sig0000105e ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003db_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003da ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig000007fe ), + .Q(\blk00000003/blk000003b7/sig00001060 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003da_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003d9 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000802 ), + .Q(\blk00000003/blk000003b7/sig0000105c ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003d9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003d8 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000803 ), + .Q(\blk00000003/blk000003b7/sig0000105b ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003d8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003d7 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000801 ), + .Q(\blk00000003/blk000003b7/sig0000105d ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003d7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003d6 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000805 ), + .Q(\blk00000003/blk000003b7/sig00001059 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003d6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003d5 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000806 ), + .Q(\blk00000003/blk000003b7/sig00001058 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003d5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003d4 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000804 ), + .Q(\blk00000003/blk000003b7/sig0000105a ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003d4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003d3 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000808 ), + .Q(\blk00000003/blk000003b7/sig00001056 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003d3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003d2 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000809 ), + .Q(\blk00000003/blk000003b7/sig00001055 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003d2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003d1 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000807 ), + .Q(\blk00000003/blk000003b7/sig00001057 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003d1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig0000106c ), + .Q(\blk00000003/sig000004e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig0000106b ), + .Q(\blk00000003/sig000004e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig0000106a ), + .Q(\blk00000003/sig000004e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001069 ), + .Q(\blk00000003/sig000004ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001068 ), + .Q(\blk00000003/sig000004eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001067 ), + .Q(\blk00000003/sig000004ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001066 ), + .Q(\blk00000003/sig000004ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001065 ), + .Q(\blk00000003/sig000004ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001064 ), + .Q(\blk00000003/sig000004ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001063 ), + .Q(\blk00000003/sig000004f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001062 ), + .Q(\blk00000003/sig000004f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001061 ), + .Q(\blk00000003/sig000004f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001060 ), + .Q(\blk00000003/sig000004f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig0000105f ), + .Q(\blk00000003/sig000004f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig0000105e ), + .Q(\blk00000003/sig000004f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig0000105d ), + .Q(\blk00000003/sig000004f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig0000105c ), + .Q(\blk00000003/sig000004f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig0000105b ), + .Q(\blk00000003/sig000004f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig0000105a ), + .Q(\blk00000003/sig000004f9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001059 ), + .Q(\blk00000003/sig000004fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001058 ), + .Q(\blk00000003/sig000004fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001057 ), + .Q(\blk00000003/sig000004fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001056 ), + .Q(\blk00000003/sig000004fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001055 ), + .Q(\blk00000003/sig000004fe ) + ); + GND \blk00000003/blk000003b7/blk000003b8 ( + .G(\blk00000003/blk000003b7/sig00001054 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003ea/blk0000041c ( + .I0(ce), + .I1(\blk00000003/sig00000755 ), + .O(\blk00000003/blk000003ea/sig000010bb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk0000041b ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005e4 ), + .Q(\blk00000003/blk000003ea/sig000010b9 ), + .Q15(\NLW_blk00000003/blk000003ea/blk0000041b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk0000041a ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005e5 ), + .Q(\blk00000003/blk000003ea/sig000010b8 ), + .Q15(\NLW_blk00000003/blk000003ea/blk0000041a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000419 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005e3 ), + .Q(\blk00000003/blk000003ea/sig000010ba ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000419_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000418 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005e7 ), + .Q(\blk00000003/blk000003ea/sig000010b6 ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000418_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000417 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005e8 ), + .Q(\blk00000003/blk000003ea/sig000010b5 ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000417_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000416 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005e6 ), + .Q(\blk00000003/blk000003ea/sig000010b7 ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000416_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000415 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005ea ), + .Q(\blk00000003/blk000003ea/sig000010b3 ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000415_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000414 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005eb ), + .Q(\blk00000003/blk000003ea/sig000010b2 ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000414_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000413 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005e9 ), + .Q(\blk00000003/blk000003ea/sig000010b4 ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000413_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000412 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005ed ), + .Q(\blk00000003/blk000003ea/sig000010b0 ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000412_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000411 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005ee ), + .Q(\blk00000003/blk000003ea/sig000010af ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000411_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000410 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005ec ), + .Q(\blk00000003/blk000003ea/sig000010b1 ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000410_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk0000040f ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005f0 ), + .Q(\blk00000003/blk000003ea/sig000010ad ), + .Q15(\NLW_blk00000003/blk000003ea/blk0000040f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk0000040e ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005f1 ), + .Q(\blk00000003/blk000003ea/sig000010ac ), + .Q15(\NLW_blk00000003/blk000003ea/blk0000040e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk0000040d ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005ef ), + .Q(\blk00000003/blk000003ea/sig000010ae ), + .Q15(\NLW_blk00000003/blk000003ea/blk0000040d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk0000040c ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005f3 ), + .Q(\blk00000003/blk000003ea/sig000010aa ), + .Q15(\NLW_blk00000003/blk000003ea/blk0000040c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk0000040b ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005f4 ), + .Q(\blk00000003/blk000003ea/sig000010a9 ), + .Q15(\NLW_blk00000003/blk000003ea/blk0000040b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk0000040a ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005f2 ), + .Q(\blk00000003/blk000003ea/sig000010ab ), + .Q15(\NLW_blk00000003/blk000003ea/blk0000040a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000409 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005f6 ), + .Q(\blk00000003/blk000003ea/sig000010a7 ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000409_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000408 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005f7 ), + .Q(\blk00000003/blk000003ea/sig000010a6 ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000408_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000407 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005f5 ), + .Q(\blk00000003/blk000003ea/sig000010a8 ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000407_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000406 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005f9 ), + .Q(\blk00000003/blk000003ea/sig000010a4 ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000406_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000405 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005fa ), + .Q(\blk00000003/blk000003ea/sig000010a3 ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000405_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000404 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005f8 ), + .Q(\blk00000003/blk000003ea/sig000010a5 ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000404_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk00000403 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010ba ), + .Q(\blk00000003/sig00000643 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk00000402 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010b9 ), + .Q(\blk00000003/sig00000644 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk00000401 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010b8 ), + .Q(\blk00000003/sig00000645 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk00000400 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010b7 ), + .Q(\blk00000003/sig00000646 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010b6 ), + .Q(\blk00000003/sig00000647 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010b5 ), + .Q(\blk00000003/sig00000648 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010b4 ), + .Q(\blk00000003/sig00000649 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010b3 ), + .Q(\blk00000003/sig0000064a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010b2 ), + .Q(\blk00000003/sig0000064b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010b1 ), + .Q(\blk00000003/sig0000064c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010b0 ), + .Q(\blk00000003/sig0000064d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010af ), + .Q(\blk00000003/sig0000064e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010ae ), + .Q(\blk00000003/sig0000064f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010ad ), + .Q(\blk00000003/sig00000650 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010ac ), + .Q(\blk00000003/sig00000651 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010ab ), + .Q(\blk00000003/sig00000652 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010aa ), + .Q(\blk00000003/sig00000653 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010a9 ), + .Q(\blk00000003/sig00000654 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010a8 ), + .Q(\blk00000003/sig00000655 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010a7 ), + .Q(\blk00000003/sig00000656 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010a6 ), + .Q(\blk00000003/sig00000657 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010a5 ), + .Q(\blk00000003/sig00000658 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010a4 ), + .Q(\blk00000003/sig00000659 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010a3 ), + .Q(\blk00000003/sig0000065a ) + ); + GND \blk00000003/blk000003ea/blk000003eb ( + .G(\blk00000003/blk000003ea/sig000010a2 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000041d/blk0000044f ( + .I0(ce), + .I1(\blk00000003/sig00000754 ), + .O(\blk00000003/blk0000041d/sig00001109 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk0000044e ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig0000080b ), + .Q(\blk00000003/blk0000041d/sig00001107 ), + .Q15(\NLW_blk00000003/blk0000041d/blk0000044e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk0000044d ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig0000080c ), + .Q(\blk00000003/blk0000041d/sig00001106 ), + .Q15(\NLW_blk00000003/blk0000041d/blk0000044d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk0000044c ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig0000080a ), + .Q(\blk00000003/blk0000041d/sig00001108 ), + .Q15(\NLW_blk00000003/blk0000041d/blk0000044c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk0000044b ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig0000080e ), + .Q(\blk00000003/blk0000041d/sig00001104 ), + .Q15(\NLW_blk00000003/blk0000041d/blk0000044b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk0000044a ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig0000080f ), + .Q(\blk00000003/blk0000041d/sig00001103 ), + .Q15(\NLW_blk00000003/blk0000041d/blk0000044a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk00000449 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig0000080d ), + .Q(\blk00000003/blk0000041d/sig00001105 ), + .Q15(\NLW_blk00000003/blk0000041d/blk00000449_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk00000448 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig00000811 ), + .Q(\blk00000003/blk0000041d/sig00001101 ), + .Q15(\NLW_blk00000003/blk0000041d/blk00000448_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk00000447 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig00000812 ), + .Q(\blk00000003/blk0000041d/sig00001100 ), + .Q15(\NLW_blk00000003/blk0000041d/blk00000447_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk00000446 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig00000810 ), + .Q(\blk00000003/blk0000041d/sig00001102 ), + .Q15(\NLW_blk00000003/blk0000041d/blk00000446_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk00000445 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig00000814 ), + .Q(\blk00000003/blk0000041d/sig000010fe ), + .Q15(\NLW_blk00000003/blk0000041d/blk00000445_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk00000444 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig00000815 ), + .Q(\blk00000003/blk0000041d/sig000010fd ), + .Q15(\NLW_blk00000003/blk0000041d/blk00000444_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk00000443 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig00000813 ), + .Q(\blk00000003/blk0000041d/sig000010ff ), + .Q15(\NLW_blk00000003/blk0000041d/blk00000443_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk00000442 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig00000817 ), + .Q(\blk00000003/blk0000041d/sig000010fb ), + .Q15(\NLW_blk00000003/blk0000041d/blk00000442_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk00000441 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig00000818 ), + .Q(\blk00000003/blk0000041d/sig000010fa ), + .Q15(\NLW_blk00000003/blk0000041d/blk00000441_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk00000440 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig00000816 ), + .Q(\blk00000003/blk0000041d/sig000010fc ), + .Q15(\NLW_blk00000003/blk0000041d/blk00000440_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk0000043f ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig0000081a ), + .Q(\blk00000003/blk0000041d/sig000010f8 ), + .Q15(\NLW_blk00000003/blk0000041d/blk0000043f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk0000043e ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig0000081b ), + .Q(\blk00000003/blk0000041d/sig000010f7 ), + .Q15(\NLW_blk00000003/blk0000041d/blk0000043e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk0000043d ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig00000819 ), + .Q(\blk00000003/blk0000041d/sig000010f9 ), + .Q15(\NLW_blk00000003/blk0000041d/blk0000043d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk0000043c ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig0000081d ), + .Q(\blk00000003/blk0000041d/sig000010f5 ), + .Q15(\NLW_blk00000003/blk0000041d/blk0000043c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk0000043b ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig0000081e ), + .Q(\blk00000003/blk0000041d/sig000010f4 ), + .Q15(\NLW_blk00000003/blk0000041d/blk0000043b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk0000043a ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig0000081c ), + .Q(\blk00000003/blk0000041d/sig000010f6 ), + .Q15(\NLW_blk00000003/blk0000041d/blk0000043a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk00000439 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig00000820 ), + .Q(\blk00000003/blk0000041d/sig000010f2 ), + .Q15(\NLW_blk00000003/blk0000041d/blk00000439_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk00000438 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig00000821 ), + .Q(\blk00000003/blk0000041d/sig000010f1 ), + .Q15(\NLW_blk00000003/blk0000041d/blk00000438_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk00000437 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig0000081f ), + .Q(\blk00000003/blk0000041d/sig000010f3 ), + .Q15(\NLW_blk00000003/blk0000041d/blk00000437_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000436 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig00001108 ), + .Q(\blk00000003/sig0000065b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000435 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig00001107 ), + .Q(\blk00000003/sig0000065c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000434 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig00001106 ), + .Q(\blk00000003/sig0000065d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000433 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig00001105 ), + .Q(\blk00000003/sig0000065e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000432 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig00001104 ), + .Q(\blk00000003/sig0000065f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000431 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig00001103 ), + .Q(\blk00000003/sig00000660 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000430 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig00001102 ), + .Q(\blk00000003/sig00000661 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk0000042f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig00001101 ), + .Q(\blk00000003/sig00000662 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk0000042e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig00001100 ), + .Q(\blk00000003/sig00000663 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk0000042d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010ff ), + .Q(\blk00000003/sig00000664 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk0000042c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010fe ), + .Q(\blk00000003/sig00000665 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk0000042b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010fd ), + .Q(\blk00000003/sig00000666 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk0000042a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010fc ), + .Q(\blk00000003/sig00000667 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000429 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010fb ), + .Q(\blk00000003/sig00000668 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000428 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010fa ), + .Q(\blk00000003/sig00000669 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000427 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010f9 ), + .Q(\blk00000003/sig0000066a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000426 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010f8 ), + .Q(\blk00000003/sig0000066b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000425 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010f7 ), + .Q(\blk00000003/sig0000066c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000424 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010f6 ), + .Q(\blk00000003/sig0000066d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000423 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010f5 ), + .Q(\blk00000003/sig0000066e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000422 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010f4 ), + .Q(\blk00000003/sig0000066f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000421 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010f3 ), + .Q(\blk00000003/sig00000670 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000420 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010f2 ), + .Q(\blk00000003/sig00000671 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk0000041f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010f1 ), + .Q(\blk00000003/sig00000672 ) + ); + GND \blk00000003/blk0000041d/blk0000041e ( + .G(\blk00000003/blk0000041d/sig000010f0 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000450/blk00000482 ( + .I0(ce), + .I1(\blk00000003/sig0000075d ), + .O(\blk00000003/blk00000450/sig00001157 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk00000481 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002ba ), + .Q(\blk00000003/blk00000450/sig00001155 ), + .Q15(\NLW_blk00000003/blk00000450/blk00000481_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk00000480 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002bb ), + .Q(\blk00000003/blk00000450/sig00001154 ), + .Q15(\NLW_blk00000003/blk00000450/blk00000480_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk0000047f ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002b9 ), + .Q(\blk00000003/blk00000450/sig00001156 ), + .Q15(\NLW_blk00000003/blk00000450/blk0000047f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk0000047e ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002bd ), + .Q(\blk00000003/blk00000450/sig00001152 ), + .Q15(\NLW_blk00000003/blk00000450/blk0000047e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk0000047d ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002be ), + .Q(\blk00000003/blk00000450/sig00001151 ), + .Q15(\NLW_blk00000003/blk00000450/blk0000047d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk0000047c ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002bc ), + .Q(\blk00000003/blk00000450/sig00001153 ), + .Q15(\NLW_blk00000003/blk00000450/blk0000047c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk0000047b ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002c0 ), + .Q(\blk00000003/blk00000450/sig0000114f ), + .Q15(\NLW_blk00000003/blk00000450/blk0000047b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk0000047a ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002c1 ), + .Q(\blk00000003/blk00000450/sig0000114e ), + .Q15(\NLW_blk00000003/blk00000450/blk0000047a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk00000479 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002bf ), + .Q(\blk00000003/blk00000450/sig00001150 ), + .Q15(\NLW_blk00000003/blk00000450/blk00000479_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk00000478 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002c3 ), + .Q(\blk00000003/blk00000450/sig0000114c ), + .Q15(\NLW_blk00000003/blk00000450/blk00000478_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk00000477 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002c4 ), + .Q(\blk00000003/blk00000450/sig0000114b ), + .Q15(\NLW_blk00000003/blk00000450/blk00000477_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk00000476 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002c2 ), + .Q(\blk00000003/blk00000450/sig0000114d ), + .Q15(\NLW_blk00000003/blk00000450/blk00000476_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk00000475 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002c6 ), + .Q(\blk00000003/blk00000450/sig00001149 ), + .Q15(\NLW_blk00000003/blk00000450/blk00000475_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk00000474 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002c7 ), + .Q(\blk00000003/blk00000450/sig00001148 ), + .Q15(\NLW_blk00000003/blk00000450/blk00000474_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk00000473 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002c5 ), + .Q(\blk00000003/blk00000450/sig0000114a ), + .Q15(\NLW_blk00000003/blk00000450/blk00000473_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk00000472 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002c9 ), + .Q(\blk00000003/blk00000450/sig00001146 ), + .Q15(\NLW_blk00000003/blk00000450/blk00000472_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk00000471 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002ca ), + .Q(\blk00000003/blk00000450/sig00001145 ), + .Q15(\NLW_blk00000003/blk00000450/blk00000471_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk00000470 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002c8 ), + .Q(\blk00000003/blk00000450/sig00001147 ), + .Q15(\NLW_blk00000003/blk00000450/blk00000470_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk0000046f ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002cc ), + .Q(\blk00000003/blk00000450/sig00001143 ), + .Q15(\NLW_blk00000003/blk00000450/blk0000046f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk0000046e ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002cd ), + .Q(\blk00000003/blk00000450/sig00001142 ), + .Q15(\NLW_blk00000003/blk00000450/blk0000046e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk0000046d ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002cb ), + .Q(\blk00000003/blk00000450/sig00001144 ), + .Q15(\NLW_blk00000003/blk00000450/blk0000046d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk0000046c ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002cf ), + .Q(\blk00000003/blk00000450/sig00001140 ), + .Q15(\NLW_blk00000003/blk00000450/blk0000046c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk0000046b ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002d0 ), + .Q(\blk00000003/blk00000450/sig0000113f ), + .Q15(\NLW_blk00000003/blk00000450/blk0000046b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk0000046a ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002ce ), + .Q(\blk00000003/blk00000450/sig00001141 ), + .Q15(\NLW_blk00000003/blk00000450/blk0000046a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000469 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001156 ), + .Q(\blk00000003/sig0000045d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000468 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001155 ), + .Q(\blk00000003/sig0000045e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000467 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001154 ), + .Q(\blk00000003/sig0000045f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000466 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001153 ), + .Q(\blk00000003/sig00000460 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000465 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001152 ), + .Q(\blk00000003/sig00000461 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000464 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001151 ), + .Q(\blk00000003/sig00000462 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000463 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001150 ), + .Q(\blk00000003/sig00000463 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000462 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig0000114f ), + .Q(\blk00000003/sig00000464 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000461 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig0000114e ), + .Q(\blk00000003/sig00000465 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000460 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig0000114d ), + .Q(\blk00000003/sig00000466 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk0000045f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig0000114c ), + .Q(\blk00000003/sig00000467 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk0000045e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig0000114b ), + .Q(\blk00000003/sig00000468 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk0000045d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig0000114a ), + .Q(\blk00000003/sig00000469 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk0000045c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001149 ), + .Q(\blk00000003/sig0000046a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk0000045b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001148 ), + .Q(\blk00000003/sig0000046b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk0000045a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001147 ), + .Q(\blk00000003/sig0000046c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000459 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001146 ), + .Q(\blk00000003/sig0000046d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000458 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001145 ), + .Q(\blk00000003/sig0000046e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000457 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001144 ), + .Q(\blk00000003/sig0000046f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000456 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001143 ), + .Q(\blk00000003/sig00000470 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000455 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001142 ), + .Q(\blk00000003/sig00000471 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000454 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001141 ), + .Q(\blk00000003/sig00000472 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000453 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001140 ), + .Q(\blk00000003/sig00000473 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000452 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig0000113f ), + .Q(\blk00000003/sig00000474 ) + ); + GND \blk00000003/blk00000450/blk00000451 ( + .G(\blk00000003/blk00000450/sig0000113e ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000483/blk000004b5 ( + .I0(ce), + .I1(\blk00000003/sig0000075a ), + .O(\blk00000003/blk00000483/sig000011a5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004b4 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000823 ), + .Q(\blk00000003/blk00000483/sig000011a3 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004b4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004b3 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000824 ), + .Q(\blk00000003/blk00000483/sig000011a2 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004b3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004b2 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000822 ), + .Q(\blk00000003/blk00000483/sig000011a4 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004b2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004b1 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000826 ), + .Q(\blk00000003/blk00000483/sig000011a0 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004b1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004b0 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000827 ), + .Q(\blk00000003/blk00000483/sig0000119f ), + .Q15(\NLW_blk00000003/blk00000483/blk000004b0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004af ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000825 ), + .Q(\blk00000003/blk00000483/sig000011a1 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004af_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004ae ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000829 ), + .Q(\blk00000003/blk00000483/sig0000119d ), + .Q15(\NLW_blk00000003/blk00000483/blk000004ae_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004ad ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig0000082a ), + .Q(\blk00000003/blk00000483/sig0000119c ), + .Q15(\NLW_blk00000003/blk00000483/blk000004ad_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004ac ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000828 ), + .Q(\blk00000003/blk00000483/sig0000119e ), + .Q15(\NLW_blk00000003/blk00000483/blk000004ac_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004ab ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig0000082c ), + .Q(\blk00000003/blk00000483/sig0000119a ), + .Q15(\NLW_blk00000003/blk00000483/blk000004ab_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004aa ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig0000082d ), + .Q(\blk00000003/blk00000483/sig00001199 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004aa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004a9 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig0000082b ), + .Q(\blk00000003/blk00000483/sig0000119b ), + .Q15(\NLW_blk00000003/blk00000483/blk000004a9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004a8 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig0000082f ), + .Q(\blk00000003/blk00000483/sig00001197 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004a8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004a7 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000830 ), + .Q(\blk00000003/blk00000483/sig00001196 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004a7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004a6 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig0000082e ), + .Q(\blk00000003/blk00000483/sig00001198 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004a6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004a5 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000832 ), + .Q(\blk00000003/blk00000483/sig00001194 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004a5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004a4 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000833 ), + .Q(\blk00000003/blk00000483/sig00001193 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004a4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004a3 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000831 ), + .Q(\blk00000003/blk00000483/sig00001195 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004a3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004a2 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000835 ), + .Q(\blk00000003/blk00000483/sig00001191 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004a2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004a1 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000836 ), + .Q(\blk00000003/blk00000483/sig00001190 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004a1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004a0 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000834 ), + .Q(\blk00000003/blk00000483/sig00001192 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004a0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk0000049f ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000838 ), + .Q(\blk00000003/blk00000483/sig0000118e ), + .Q15(\NLW_blk00000003/blk00000483/blk0000049f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk0000049e ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000839 ), + .Q(\blk00000003/blk00000483/sig0000118d ), + .Q15(\NLW_blk00000003/blk00000483/blk0000049e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk0000049d ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000837 ), + .Q(\blk00000003/blk00000483/sig0000118f ), + .Q15(\NLW_blk00000003/blk00000483/blk0000049d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk0000049c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig000011a4 ), + .Q(\blk00000003/sig00000475 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk0000049b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig000011a3 ), + .Q(\blk00000003/sig00000476 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk0000049a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig000011a2 ), + .Q(\blk00000003/sig00000477 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000499 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig000011a1 ), + .Q(\blk00000003/sig00000478 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000498 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig000011a0 ), + .Q(\blk00000003/sig00000479 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000497 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig0000119f ), + .Q(\blk00000003/sig0000047a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000496 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig0000119e ), + .Q(\blk00000003/sig0000047b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000495 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig0000119d ), + .Q(\blk00000003/sig0000047c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000494 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig0000119c ), + .Q(\blk00000003/sig0000047d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000493 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig0000119b ), + .Q(\blk00000003/sig0000047e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000492 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig0000119a ), + .Q(\blk00000003/sig0000047f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000491 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig00001199 ), + .Q(\blk00000003/sig00000480 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000490 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig00001198 ), + .Q(\blk00000003/sig00000481 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk0000048f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig00001197 ), + .Q(\blk00000003/sig00000482 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk0000048e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig00001196 ), + .Q(\blk00000003/sig00000483 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk0000048d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig00001195 ), + .Q(\blk00000003/sig00000484 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk0000048c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig00001194 ), + .Q(\blk00000003/sig00000485 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk0000048b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig00001193 ), + .Q(\blk00000003/sig00000486 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk0000048a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig00001192 ), + .Q(\blk00000003/sig00000487 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000489 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig00001191 ), + .Q(\blk00000003/sig00000488 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000488 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig00001190 ), + .Q(\blk00000003/sig00000489 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000487 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig0000118f ), + .Q(\blk00000003/sig0000048a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000486 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig0000118e ), + .Q(\blk00000003/sig0000048b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000485 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig0000118d ), + .Q(\blk00000003/sig0000048c ) + ); + GND \blk00000003/blk00000483/blk00000484 ( + .G(\blk00000003/blk00000483/sig0000118c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000004b6/blk000004e8 ( + .I0(ce), + .I1(\blk00000003/sig0000075d ), + .O(\blk00000003/blk000004b6/sig000011f3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004e7 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig0000031a ), + .Q(\blk00000003/blk000004b6/sig000011f1 ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004e7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004e6 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig0000031b ), + .Q(\blk00000003/blk000004b6/sig000011f0 ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004e6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004e5 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig00000319 ), + .Q(\blk00000003/blk000004b6/sig000011f2 ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004e5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004e4 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig0000031d ), + .Q(\blk00000003/blk000004b6/sig000011ee ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004e4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004e3 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig0000031e ), + .Q(\blk00000003/blk000004b6/sig000011ed ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004e3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004e2 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig0000031c ), + .Q(\blk00000003/blk000004b6/sig000011ef ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004e2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004e1 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig00000320 ), + .Q(\blk00000003/blk000004b6/sig000011eb ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004e1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004e0 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig00000321 ), + .Q(\blk00000003/blk000004b6/sig000011ea ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004e0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004df ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig0000031f ), + .Q(\blk00000003/blk000004b6/sig000011ec ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004df_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004de ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig00000323 ), + .Q(\blk00000003/blk000004b6/sig000011e8 ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004de_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004dd ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig00000324 ), + .Q(\blk00000003/blk000004b6/sig000011e7 ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004dd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004dc ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig00000322 ), + .Q(\blk00000003/blk000004b6/sig000011e9 ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004dc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004db ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig00000326 ), + .Q(\blk00000003/blk000004b6/sig000011e5 ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004db_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004da ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig00000327 ), + .Q(\blk00000003/blk000004b6/sig000011e4 ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004da_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004d9 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig00000325 ), + .Q(\blk00000003/blk000004b6/sig000011e6 ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004d9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004d8 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig00000329 ), + .Q(\blk00000003/blk000004b6/sig000011e2 ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004d8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004d7 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig0000032a ), + .Q(\blk00000003/blk000004b6/sig000011e1 ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004d7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004d6 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig00000328 ), + .Q(\blk00000003/blk000004b6/sig000011e3 ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004d6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004d5 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig0000032c ), + .Q(\blk00000003/blk000004b6/sig000011df ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004d5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004d4 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig0000032d ), + .Q(\blk00000003/blk000004b6/sig000011de ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004d4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004d3 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig0000032b ), + .Q(\blk00000003/blk000004b6/sig000011e0 ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004d3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004d2 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig0000032f ), + .Q(\blk00000003/blk000004b6/sig000011dc ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004d2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004d1 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig00000330 ), + .Q(\blk00000003/blk000004b6/sig000011db ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004d1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004d0 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig0000032e ), + .Q(\blk00000003/blk000004b6/sig000011dd ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004d0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011f2 ), + .Q(\blk00000003/sig000005e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011f1 ), + .Q(\blk00000003/sig000005e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011f0 ), + .Q(\blk00000003/sig000005e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011ef ), + .Q(\blk00000003/sig000005e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011ee ), + .Q(\blk00000003/sig000005e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011ed ), + .Q(\blk00000003/sig000005e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011ec ), + .Q(\blk00000003/sig000005e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011eb ), + .Q(\blk00000003/sig000005ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011ea ), + .Q(\blk00000003/sig000005eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011e9 ), + .Q(\blk00000003/sig000005ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011e8 ), + .Q(\blk00000003/sig000005ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011e7 ), + .Q(\blk00000003/sig000005ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011e6 ), + .Q(\blk00000003/sig000005ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011e5 ), + .Q(\blk00000003/sig000005f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011e4 ), + .Q(\blk00000003/sig000005f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011e3 ), + .Q(\blk00000003/sig000005f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011e2 ), + .Q(\blk00000003/sig000005f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011e1 ), + .Q(\blk00000003/sig000005f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011e0 ), + .Q(\blk00000003/sig000005f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011df ), + .Q(\blk00000003/sig000005f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011de ), + .Q(\blk00000003/sig000005f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011dd ), + .Q(\blk00000003/sig000005f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011dc ), + .Q(\blk00000003/sig000005f9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011db ), + .Q(\blk00000003/sig000005fa ) + ); + GND \blk00000003/blk000004b6/blk000004b7 ( + .G(\blk00000003/blk000004b6/sig000011da ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000004e9/blk0000051b ( + .I0(ce), + .I1(\blk00000003/sig0000075a ), + .O(\blk00000003/blk000004e9/sig00001241 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk0000051a ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig0000083b ), + .Q(\blk00000003/blk000004e9/sig0000123f ), + .Q15(\NLW_blk00000003/blk000004e9/blk0000051a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000519 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig0000083c ), + .Q(\blk00000003/blk000004e9/sig0000123e ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000519_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000518 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig0000083a ), + .Q(\blk00000003/blk000004e9/sig00001240 ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000518_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000517 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig0000083e ), + .Q(\blk00000003/blk000004e9/sig0000123c ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000517_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000516 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig0000083f ), + .Q(\blk00000003/blk000004e9/sig0000123b ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000516_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000515 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig0000083d ), + .Q(\blk00000003/blk000004e9/sig0000123d ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000515_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000514 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig00000841 ), + .Q(\blk00000003/blk000004e9/sig00001239 ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000514_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000513 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig00000842 ), + .Q(\blk00000003/blk000004e9/sig00001238 ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000513_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000512 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig00000840 ), + .Q(\blk00000003/blk000004e9/sig0000123a ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000512_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000511 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig00000844 ), + .Q(\blk00000003/blk000004e9/sig00001236 ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000511_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000510 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig00000845 ), + .Q(\blk00000003/blk000004e9/sig00001235 ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000510_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk0000050f ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig00000843 ), + .Q(\blk00000003/blk000004e9/sig00001237 ), + .Q15(\NLW_blk00000003/blk000004e9/blk0000050f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk0000050e ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig00000847 ), + .Q(\blk00000003/blk000004e9/sig00001233 ), + .Q15(\NLW_blk00000003/blk000004e9/blk0000050e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk0000050d ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig00000848 ), + .Q(\blk00000003/blk000004e9/sig00001232 ), + .Q15(\NLW_blk00000003/blk000004e9/blk0000050d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk0000050c ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig00000846 ), + .Q(\blk00000003/blk000004e9/sig00001234 ), + .Q15(\NLW_blk00000003/blk000004e9/blk0000050c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk0000050b ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig0000084a ), + .Q(\blk00000003/blk000004e9/sig00001230 ), + .Q15(\NLW_blk00000003/blk000004e9/blk0000050b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk0000050a ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig0000084b ), + .Q(\blk00000003/blk000004e9/sig0000122f ), + .Q15(\NLW_blk00000003/blk000004e9/blk0000050a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000509 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig00000849 ), + .Q(\blk00000003/blk000004e9/sig00001231 ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000509_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000508 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig0000084d ), + .Q(\blk00000003/blk000004e9/sig0000122d ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000508_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000507 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig0000084e ), + .Q(\blk00000003/blk000004e9/sig0000122c ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000507_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000506 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig0000084c ), + .Q(\blk00000003/blk000004e9/sig0000122e ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000506_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000505 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig00000850 ), + .Q(\blk00000003/blk000004e9/sig0000122a ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000505_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000504 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig00000851 ), + .Q(\blk00000003/blk000004e9/sig00001229 ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000504_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000503 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig0000084f ), + .Q(\blk00000003/blk000004e9/sig0000122b ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000503_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk00000502 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig00001240 ), + .Q(\blk00000003/sig000005fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk00000501 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig0000123f ), + .Q(\blk00000003/sig000005fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk00000500 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig0000123e ), + .Q(\blk00000003/sig000005fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig0000123d ), + .Q(\blk00000003/sig000005fe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig0000123c ), + .Q(\blk00000003/sig000005ff ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig0000123b ), + .Q(\blk00000003/sig00000600 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig0000123a ), + .Q(\blk00000003/sig00000601 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig00001239 ), + .Q(\blk00000003/sig00000602 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig00001238 ), + .Q(\blk00000003/sig00000603 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig00001237 ), + .Q(\blk00000003/sig00000604 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig00001236 ), + .Q(\blk00000003/sig00000605 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig00001235 ), + .Q(\blk00000003/sig00000606 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig00001234 ), + .Q(\blk00000003/sig00000607 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig00001233 ), + .Q(\blk00000003/sig00000608 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig00001232 ), + .Q(\blk00000003/sig00000609 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig00001231 ), + .Q(\blk00000003/sig0000060a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig00001230 ), + .Q(\blk00000003/sig0000060b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig0000122f ), + .Q(\blk00000003/sig0000060c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig0000122e ), + .Q(\blk00000003/sig0000060d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig0000122d ), + .Q(\blk00000003/sig0000060e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig0000122c ), + .Q(\blk00000003/sig0000060f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig0000122b ), + .Q(\blk00000003/sig00000610 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig0000122a ), + .Q(\blk00000003/sig00000611 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig00001229 ), + .Q(\blk00000003/sig00000612 ) + ); + GND \blk00000003/blk000004e9/blk000004ea ( + .G(\blk00000003/blk000004e9/sig00001228 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000051c/blk0000054e ( + .I0(ce), + .I1(\blk00000003/sig00000761 ), + .O(\blk00000003/blk0000051c/sig0000128f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk0000054d ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000853 ), + .Q(\blk00000003/blk0000051c/sig0000128d ), + .Q15(\NLW_blk00000003/blk0000051c/blk0000054d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk0000054c ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000854 ), + .Q(\blk00000003/blk0000051c/sig0000128c ), + .Q15(\NLW_blk00000003/blk0000051c/blk0000054c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk0000054b ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000852 ), + .Q(\blk00000003/blk0000051c/sig0000128e ), + .Q15(\NLW_blk00000003/blk0000051c/blk0000054b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk0000054a ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000856 ), + .Q(\blk00000003/blk0000051c/sig0000128a ), + .Q15(\NLW_blk00000003/blk0000051c/blk0000054a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk00000549 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000857 ), + .Q(\blk00000003/blk0000051c/sig00001289 ), + .Q15(\NLW_blk00000003/blk0000051c/blk00000549_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk00000548 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000855 ), + .Q(\blk00000003/blk0000051c/sig0000128b ), + .Q15(\NLW_blk00000003/blk0000051c/blk00000548_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk00000547 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000859 ), + .Q(\blk00000003/blk0000051c/sig00001287 ), + .Q15(\NLW_blk00000003/blk0000051c/blk00000547_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk00000546 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig0000085a ), + .Q(\blk00000003/blk0000051c/sig00001286 ), + .Q15(\NLW_blk00000003/blk0000051c/blk00000546_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk00000545 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000858 ), + .Q(\blk00000003/blk0000051c/sig00001288 ), + .Q15(\NLW_blk00000003/blk0000051c/blk00000545_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk00000544 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig0000085c ), + .Q(\blk00000003/blk0000051c/sig00001284 ), + .Q15(\NLW_blk00000003/blk0000051c/blk00000544_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk00000543 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig0000085d ), + .Q(\blk00000003/blk0000051c/sig00001283 ), + .Q15(\NLW_blk00000003/blk0000051c/blk00000543_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk00000542 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig0000085b ), + .Q(\blk00000003/blk0000051c/sig00001285 ), + .Q15(\NLW_blk00000003/blk0000051c/blk00000542_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk00000541 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig0000085f ), + .Q(\blk00000003/blk0000051c/sig00001281 ), + .Q15(\NLW_blk00000003/blk0000051c/blk00000541_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk00000540 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000860 ), + .Q(\blk00000003/blk0000051c/sig00001280 ), + .Q15(\NLW_blk00000003/blk0000051c/blk00000540_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk0000053f ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig0000085e ), + .Q(\blk00000003/blk0000051c/sig00001282 ), + .Q15(\NLW_blk00000003/blk0000051c/blk0000053f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk0000053e ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000862 ), + .Q(\blk00000003/blk0000051c/sig0000127e ), + .Q15(\NLW_blk00000003/blk0000051c/blk0000053e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk0000053d ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000863 ), + .Q(\blk00000003/blk0000051c/sig0000127d ), + .Q15(\NLW_blk00000003/blk0000051c/blk0000053d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk0000053c ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000861 ), + .Q(\blk00000003/blk0000051c/sig0000127f ), + .Q15(\NLW_blk00000003/blk0000051c/blk0000053c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk0000053b ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000865 ), + .Q(\blk00000003/blk0000051c/sig0000127b ), + .Q15(\NLW_blk00000003/blk0000051c/blk0000053b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk0000053a ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000866 ), + .Q(\blk00000003/blk0000051c/sig0000127a ), + .Q15(\NLW_blk00000003/blk0000051c/blk0000053a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk00000539 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000864 ), + .Q(\blk00000003/blk0000051c/sig0000127c ), + .Q15(\NLW_blk00000003/blk0000051c/blk00000539_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk00000538 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000868 ), + .Q(\blk00000003/blk0000051c/sig00001278 ), + .Q15(\NLW_blk00000003/blk0000051c/blk00000538_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk00000537 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000869 ), + .Q(\blk00000003/blk0000051c/sig00001277 ), + .Q15(\NLW_blk00000003/blk0000051c/blk00000537_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk00000536 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000867 ), + .Q(\blk00000003/blk0000051c/sig00001279 ), + .Q15(\NLW_blk00000003/blk0000051c/blk00000536_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000535 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig0000128e ), + .Q(\blk00000003/sig000002b9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000534 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig0000128d ), + .Q(\blk00000003/sig000002ba ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000533 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig0000128c ), + .Q(\blk00000003/sig000002bb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000532 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig0000128b ), + .Q(\blk00000003/sig000002bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000531 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig0000128a ), + .Q(\blk00000003/sig000002bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000530 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig00001289 ), + .Q(\blk00000003/sig000002be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk0000052f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig00001288 ), + .Q(\blk00000003/sig000002bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk0000052e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig00001287 ), + .Q(\blk00000003/sig000002c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk0000052d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig00001286 ), + .Q(\blk00000003/sig000002c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk0000052c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig00001285 ), + .Q(\blk00000003/sig000002c2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk0000052b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig00001284 ), + .Q(\blk00000003/sig000002c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk0000052a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig00001283 ), + .Q(\blk00000003/sig000002c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000529 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig00001282 ), + .Q(\blk00000003/sig000002c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000528 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig00001281 ), + .Q(\blk00000003/sig000002c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000527 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig00001280 ), + .Q(\blk00000003/sig000002c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000526 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig0000127f ), + .Q(\blk00000003/sig000002c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000525 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig0000127e ), + .Q(\blk00000003/sig000002c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000524 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig0000127d ), + .Q(\blk00000003/sig000002ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000523 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig0000127c ), + .Q(\blk00000003/sig000002cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000522 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig0000127b ), + .Q(\blk00000003/sig000002cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000521 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig0000127a ), + .Q(\blk00000003/sig000002cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000520 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig00001279 ), + .Q(\blk00000003/sig000002ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk0000051f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig00001278 ), + .Q(\blk00000003/sig000002cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk0000051e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig00001277 ), + .Q(\blk00000003/sig000002d0 ) + ); + GND \blk00000003/blk0000051c/blk0000051d ( + .G(\blk00000003/blk0000051c/sig00001276 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000054f/blk00000581 ( + .I0(ce), + .I1(\blk00000003/sig00000760 ), + .O(\blk00000003/blk0000054f/sig000012dd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk00000580 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig0000086b ), + .Q(\blk00000003/blk0000054f/sig000012db ), + .Q15(\NLW_blk00000003/blk0000054f/blk00000580_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk0000057f ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig0000086c ), + .Q(\blk00000003/blk0000054f/sig000012da ), + .Q15(\NLW_blk00000003/blk0000054f/blk0000057f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk0000057e ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig0000086a ), + .Q(\blk00000003/blk0000054f/sig000012dc ), + .Q15(\NLW_blk00000003/blk0000054f/blk0000057e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk0000057d ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig0000086e ), + .Q(\blk00000003/blk0000054f/sig000012d8 ), + .Q15(\NLW_blk00000003/blk0000054f/blk0000057d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk0000057c ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig0000086f ), + .Q(\blk00000003/blk0000054f/sig000012d7 ), + .Q15(\NLW_blk00000003/blk0000054f/blk0000057c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk0000057b ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig0000086d ), + .Q(\blk00000003/blk0000054f/sig000012d9 ), + .Q15(\NLW_blk00000003/blk0000054f/blk0000057b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk0000057a ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig00000871 ), + .Q(\blk00000003/blk0000054f/sig000012d5 ), + .Q15(\NLW_blk00000003/blk0000054f/blk0000057a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk00000579 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig00000872 ), + .Q(\blk00000003/blk0000054f/sig000012d4 ), + .Q15(\NLW_blk00000003/blk0000054f/blk00000579_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk00000578 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig00000870 ), + .Q(\blk00000003/blk0000054f/sig000012d6 ), + .Q15(\NLW_blk00000003/blk0000054f/blk00000578_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk00000577 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig00000874 ), + .Q(\blk00000003/blk0000054f/sig000012d2 ), + .Q15(\NLW_blk00000003/blk0000054f/blk00000577_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk00000576 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig00000875 ), + .Q(\blk00000003/blk0000054f/sig000012d1 ), + .Q15(\NLW_blk00000003/blk0000054f/blk00000576_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk00000575 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig00000873 ), + .Q(\blk00000003/blk0000054f/sig000012d3 ), + .Q15(\NLW_blk00000003/blk0000054f/blk00000575_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk00000574 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig00000877 ), + .Q(\blk00000003/blk0000054f/sig000012cf ), + .Q15(\NLW_blk00000003/blk0000054f/blk00000574_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk00000573 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig00000878 ), + .Q(\blk00000003/blk0000054f/sig000012ce ), + .Q15(\NLW_blk00000003/blk0000054f/blk00000573_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk00000572 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig00000876 ), + .Q(\blk00000003/blk0000054f/sig000012d0 ), + .Q15(\NLW_blk00000003/blk0000054f/blk00000572_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk00000571 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig0000087a ), + .Q(\blk00000003/blk0000054f/sig000012cc ), + .Q15(\NLW_blk00000003/blk0000054f/blk00000571_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk00000570 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig0000087b ), + .Q(\blk00000003/blk0000054f/sig000012cb ), + .Q15(\NLW_blk00000003/blk0000054f/blk00000570_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk0000056f ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig00000879 ), + .Q(\blk00000003/blk0000054f/sig000012cd ), + .Q15(\NLW_blk00000003/blk0000054f/blk0000056f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk0000056e ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig0000087d ), + .Q(\blk00000003/blk0000054f/sig000012c9 ), + .Q15(\NLW_blk00000003/blk0000054f/blk0000056e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk0000056d ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig0000087e ), + .Q(\blk00000003/blk0000054f/sig000012c8 ), + .Q15(\NLW_blk00000003/blk0000054f/blk0000056d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk0000056c ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig0000087c ), + .Q(\blk00000003/blk0000054f/sig000012ca ), + .Q15(\NLW_blk00000003/blk0000054f/blk0000056c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk0000056b ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig00000880 ), + .Q(\blk00000003/blk0000054f/sig000012c6 ), + .Q15(\NLW_blk00000003/blk0000054f/blk0000056b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk0000056a ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig00000881 ), + .Q(\blk00000003/blk0000054f/sig000012c5 ), + .Q15(\NLW_blk00000003/blk0000054f/blk0000056a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk00000569 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig0000087f ), + .Q(\blk00000003/blk0000054f/sig000012c7 ), + .Q15(\NLW_blk00000003/blk0000054f/blk00000569_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000568 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012dc ), + .Q(\blk00000003/sig000002d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000567 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012db ), + .Q(\blk00000003/sig000002d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000566 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012da ), + .Q(\blk00000003/sig000002d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000565 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012d9 ), + .Q(\blk00000003/sig000002d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000564 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012d8 ), + .Q(\blk00000003/sig000002d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000563 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012d7 ), + .Q(\blk00000003/sig000002d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000562 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012d6 ), + .Q(\blk00000003/sig000002d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000561 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012d5 ), + .Q(\blk00000003/sig000002d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000560 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012d4 ), + .Q(\blk00000003/sig000002d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk0000055f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012d3 ), + .Q(\blk00000003/sig000002da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk0000055e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012d2 ), + .Q(\blk00000003/sig000002db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk0000055d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012d1 ), + .Q(\blk00000003/sig000002dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk0000055c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012d0 ), + .Q(\blk00000003/sig000002dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk0000055b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012cf ), + .Q(\blk00000003/sig000002de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk0000055a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012ce ), + .Q(\blk00000003/sig000002df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000559 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012cd ), + .Q(\blk00000003/sig000002e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000558 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012cc ), + .Q(\blk00000003/sig000002e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000557 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012cb ), + .Q(\blk00000003/sig000002e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000556 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012ca ), + .Q(\blk00000003/sig000002e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000555 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012c9 ), + .Q(\blk00000003/sig000002e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000554 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012c8 ), + .Q(\blk00000003/sig000002e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000553 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012c7 ), + .Q(\blk00000003/sig000002e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000552 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012c6 ), + .Q(\blk00000003/sig000002e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000551 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012c5 ), + .Q(\blk00000003/sig000002e8 ) + ); + GND \blk00000003/blk0000054f/blk00000550 ( + .G(\blk00000003/blk0000054f/sig000012c4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000582/blk000005b4 ( + .I0(ce), + .I1(\blk00000003/sig00000761 ), + .O(\blk00000003/blk00000582/sig0000132b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005b3 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000883 ), + .Q(\blk00000003/blk00000582/sig00001329 ), + .Q15(\NLW_blk00000003/blk00000582/blk000005b3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005b2 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000884 ), + .Q(\blk00000003/blk00000582/sig00001328 ), + .Q15(\NLW_blk00000003/blk00000582/blk000005b2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005b1 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000882 ), + .Q(\blk00000003/blk00000582/sig0000132a ), + .Q15(\NLW_blk00000003/blk00000582/blk000005b1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005b0 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000886 ), + .Q(\blk00000003/blk00000582/sig00001326 ), + .Q15(\NLW_blk00000003/blk00000582/blk000005b0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005af ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000887 ), + .Q(\blk00000003/blk00000582/sig00001325 ), + .Q15(\NLW_blk00000003/blk00000582/blk000005af_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005ae ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000885 ), + .Q(\blk00000003/blk00000582/sig00001327 ), + .Q15(\NLW_blk00000003/blk00000582/blk000005ae_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005ad ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000889 ), + .Q(\blk00000003/blk00000582/sig00001323 ), + .Q15(\NLW_blk00000003/blk00000582/blk000005ad_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005ac ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000088a ), + .Q(\blk00000003/blk00000582/sig00001322 ), + .Q15(\NLW_blk00000003/blk00000582/blk000005ac_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005ab ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000888 ), + .Q(\blk00000003/blk00000582/sig00001324 ), + .Q15(\NLW_blk00000003/blk00000582/blk000005ab_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005aa ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000088c ), + .Q(\blk00000003/blk00000582/sig00001320 ), + .Q15(\NLW_blk00000003/blk00000582/blk000005aa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005a9 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000088d ), + .Q(\blk00000003/blk00000582/sig0000131f ), + .Q15(\NLW_blk00000003/blk00000582/blk000005a9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005a8 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000088b ), + .Q(\blk00000003/blk00000582/sig00001321 ), + .Q15(\NLW_blk00000003/blk00000582/blk000005a8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005a7 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000088f ), + .Q(\blk00000003/blk00000582/sig0000131d ), + .Q15(\NLW_blk00000003/blk00000582/blk000005a7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005a6 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000890 ), + .Q(\blk00000003/blk00000582/sig0000131c ), + .Q15(\NLW_blk00000003/blk00000582/blk000005a6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005a5 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000088e ), + .Q(\blk00000003/blk00000582/sig0000131e ), + .Q15(\NLW_blk00000003/blk00000582/blk000005a5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005a4 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000892 ), + .Q(\blk00000003/blk00000582/sig0000131a ), + .Q15(\NLW_blk00000003/blk00000582/blk000005a4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005a3 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000893 ), + .Q(\blk00000003/blk00000582/sig00001319 ), + .Q15(\NLW_blk00000003/blk00000582/blk000005a3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005a2 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000891 ), + .Q(\blk00000003/blk00000582/sig0000131b ), + .Q15(\NLW_blk00000003/blk00000582/blk000005a2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005a1 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000895 ), + .Q(\blk00000003/blk00000582/sig00001317 ), + .Q15(\NLW_blk00000003/blk00000582/blk000005a1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005a0 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000896 ), + .Q(\blk00000003/blk00000582/sig00001316 ), + .Q15(\NLW_blk00000003/blk00000582/blk000005a0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk0000059f ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000894 ), + .Q(\blk00000003/blk00000582/sig00001318 ), + .Q15(\NLW_blk00000003/blk00000582/blk0000059f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk0000059e ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000898 ), + .Q(\blk00000003/blk00000582/sig00001314 ), + .Q15(\NLW_blk00000003/blk00000582/blk0000059e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk0000059d ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000899 ), + .Q(\blk00000003/blk00000582/sig00001313 ), + .Q15(\NLW_blk00000003/blk00000582/blk0000059d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk0000059c ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000897 ), + .Q(\blk00000003/blk00000582/sig00001315 ), + .Q15(\NLW_blk00000003/blk00000582/blk0000059c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk0000059b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig0000132a ), + .Q(\blk00000003/sig00000319 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk0000059a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001329 ), + .Q(\blk00000003/sig0000031a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000599 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001328 ), + .Q(\blk00000003/sig0000031b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000598 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001327 ), + .Q(\blk00000003/sig0000031c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000597 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001326 ), + .Q(\blk00000003/sig0000031d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000596 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001325 ), + .Q(\blk00000003/sig0000031e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000595 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001324 ), + .Q(\blk00000003/sig0000031f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000594 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001323 ), + .Q(\blk00000003/sig00000320 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000593 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001322 ), + .Q(\blk00000003/sig00000321 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000592 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001321 ), + .Q(\blk00000003/sig00000322 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000591 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001320 ), + .Q(\blk00000003/sig00000323 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000590 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig0000131f ), + .Q(\blk00000003/sig00000324 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk0000058f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig0000131e ), + .Q(\blk00000003/sig00000325 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk0000058e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig0000131d ), + .Q(\blk00000003/sig00000326 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk0000058d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig0000131c ), + .Q(\blk00000003/sig00000327 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk0000058c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig0000131b ), + .Q(\blk00000003/sig00000328 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk0000058b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig0000131a ), + .Q(\blk00000003/sig00000329 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk0000058a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001319 ), + .Q(\blk00000003/sig0000032a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000589 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001318 ), + .Q(\blk00000003/sig0000032b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000588 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001317 ), + .Q(\blk00000003/sig0000032c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000587 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001316 ), + .Q(\blk00000003/sig0000032d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000586 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001315 ), + .Q(\blk00000003/sig0000032e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000585 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001314 ), + .Q(\blk00000003/sig0000032f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000584 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001313 ), + .Q(\blk00000003/sig00000330 ) + ); + GND \blk00000003/blk00000582/blk00000583 ( + .G(\blk00000003/blk00000582/sig00001312 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005b5/blk000005e7 ( + .I0(ce), + .I1(\blk00000003/sig00000760 ), + .O(\blk00000003/blk000005b5/sig00001379 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005e6 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig0000089b ), + .Q(\blk00000003/blk000005b5/sig00001377 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005e6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005e5 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig0000089c ), + .Q(\blk00000003/blk000005b5/sig00001376 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005e5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005e4 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig0000089a ), + .Q(\blk00000003/blk000005b5/sig00001378 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005e4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005e3 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig0000089e ), + .Q(\blk00000003/blk000005b5/sig00001374 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005e3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005e2 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig0000089f ), + .Q(\blk00000003/blk000005b5/sig00001373 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005e2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005e1 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig0000089d ), + .Q(\blk00000003/blk000005b5/sig00001375 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005e1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005e0 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008a1 ), + .Q(\blk00000003/blk000005b5/sig00001371 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005e0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005df ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008a2 ), + .Q(\blk00000003/blk000005b5/sig00001370 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005df_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005de ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008a0 ), + .Q(\blk00000003/blk000005b5/sig00001372 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005de_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005dd ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008a4 ), + .Q(\blk00000003/blk000005b5/sig0000136e ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005dd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005dc ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008a5 ), + .Q(\blk00000003/blk000005b5/sig0000136d ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005dc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005db ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008a3 ), + .Q(\blk00000003/blk000005b5/sig0000136f ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005db_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005da ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008a7 ), + .Q(\blk00000003/blk000005b5/sig0000136b ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005da_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005d9 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008a8 ), + .Q(\blk00000003/blk000005b5/sig0000136a ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005d9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005d8 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008a6 ), + .Q(\blk00000003/blk000005b5/sig0000136c ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005d8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005d7 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008aa ), + .Q(\blk00000003/blk000005b5/sig00001368 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005d7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005d6 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008ab ), + .Q(\blk00000003/blk000005b5/sig00001367 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005d6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005d5 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008a9 ), + .Q(\blk00000003/blk000005b5/sig00001369 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005d5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005d4 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008ad ), + .Q(\blk00000003/blk000005b5/sig00001365 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005d4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005d3 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008ae ), + .Q(\blk00000003/blk000005b5/sig00001364 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005d3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005d2 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008ac ), + .Q(\blk00000003/blk000005b5/sig00001366 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005d2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005d1 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008b0 ), + .Q(\blk00000003/blk000005b5/sig00001362 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005d1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005d0 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008b1 ), + .Q(\blk00000003/blk000005b5/sig00001361 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005d0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005cf ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008af ), + .Q(\blk00000003/blk000005b5/sig00001363 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005cf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001378 ), + .Q(\blk00000003/sig00000331 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001377 ), + .Q(\blk00000003/sig00000332 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001376 ), + .Q(\blk00000003/sig00000333 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001375 ), + .Q(\blk00000003/sig00000334 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001374 ), + .Q(\blk00000003/sig00000335 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001373 ), + .Q(\blk00000003/sig00000336 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001372 ), + .Q(\blk00000003/sig00000337 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001371 ), + .Q(\blk00000003/sig00000338 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001370 ), + .Q(\blk00000003/sig00000339 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig0000136f ), + .Q(\blk00000003/sig0000033a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig0000136e ), + .Q(\blk00000003/sig0000033b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig0000136d ), + .Q(\blk00000003/sig0000033c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig0000136c ), + .Q(\blk00000003/sig0000033d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig0000136b ), + .Q(\blk00000003/sig0000033e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig0000136a ), + .Q(\blk00000003/sig0000033f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001369 ), + .Q(\blk00000003/sig00000340 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001368 ), + .Q(\blk00000003/sig00000341 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001367 ), + .Q(\blk00000003/sig00000342 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001366 ), + .Q(\blk00000003/sig00000343 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001365 ), + .Q(\blk00000003/sig00000344 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001364 ), + .Q(\blk00000003/sig00000345 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001363 ), + .Q(\blk00000003/sig00000346 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001362 ), + .Q(\blk00000003/sig00000347 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001361 ), + .Q(\blk00000003/sig00000348 ) + ); + GND \blk00000003/blk000005b5/blk000005b6 ( + .G(\blk00000003/blk000005b5/sig00001360 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005e8/blk00000620 ( + .I0(ce), + .I1(\blk00000003/sig00000237 ), + .O(\blk00000003/blk000005e8/sig000013dc ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000005e8/blk0000061f ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008b2 ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013c9 ), + .DPO(\blk00000003/blk000005e8/sig000013db ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000005e8/blk0000061e ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008b3 ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013c8 ), + .DPO(\blk00000003/blk000005e8/sig000013da ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000005e8/blk0000061d ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008b4 ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013c7 ), + .DPO(\blk00000003/blk000005e8/sig000013d9 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000005e8/blk0000061c ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008b5 ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013c6 ), + .DPO(\blk00000003/blk000005e8/sig000013d8 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000005e8/blk0000061b ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008b6 ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013c5 ), + .DPO(\blk00000003/blk000005e8/sig000013d7 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000005e8/blk0000061a ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008b7 ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013c4 ), + .DPO(\blk00000003/blk000005e8/sig000013d6 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000005e8/blk00000619 ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008b9 ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013c2 ), + .DPO(\blk00000003/blk000005e8/sig000013d4 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000005e8/blk00000618 ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008ba ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013c1 ), + .DPO(\blk00000003/blk000005e8/sig000013d3 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000005e8/blk00000617 ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008b8 ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013c3 ), + .DPO(\blk00000003/blk000005e8/sig000013d5 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000005e8/blk00000616 ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008bb ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013c0 ), + .DPO(\blk00000003/blk000005e8/sig000013d2 ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk000005e8/blk00000615 ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008bc ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013bf ), + .DPO(\blk00000003/blk000005e8/sig000013d1 ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk000005e8/blk00000614 ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008bd ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013be ), + .DPO(\blk00000003/blk000005e8/sig000013d0 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk000005e8/blk00000613 ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008be ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013bd ), + .DPO(\blk00000003/blk000005e8/sig000013cf ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk000005e8/blk00000612 ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008bf ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013bc ), + .DPO(\blk00000003/blk000005e8/sig000013ce ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk000005e8/blk00000611 ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008c0 ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013bb ), + .DPO(\blk00000003/blk000005e8/sig000013cd ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk000005e8/blk00000610 ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008c2 ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013b9 ), + .DPO(\blk00000003/blk000005e8/sig000013cb ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk000005e8/blk0000060f ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008c3 ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013b8 ), + .DPO(\blk00000003/blk000005e8/sig000013ca ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk000005e8/blk0000060e ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008c1 ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013ba ), + .DPO(\blk00000003/blk000005e8/sig000013cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk0000060d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013db ), + .Q(\blk00000003/sig000002a7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk0000060c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013da ), + .Q(\blk00000003/sig000002a8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk0000060b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013d9 ), + .Q(\blk00000003/sig000002a9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk0000060a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013d8 ), + .Q(\blk00000003/sig000002aa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk00000609 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013d7 ), + .Q(\blk00000003/sig000002ab ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk00000608 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013d6 ), + .Q(\blk00000003/sig000002ac ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk00000607 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013d5 ), + .Q(\blk00000003/sig000002ad ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk00000606 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013d4 ), + .Q(\blk00000003/sig000002ae ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk00000605 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013d3 ), + .Q(\blk00000003/sig000002af ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk00000604 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013d2 ), + .Q(\blk00000003/sig000002b0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk00000603 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013d1 ), + .Q(\blk00000003/sig000002b1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk00000602 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013d0 ), + .Q(\blk00000003/sig000002b2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk00000601 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013cf ), + .Q(\blk00000003/sig000002b3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk00000600 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013ce ), + .Q(\blk00000003/sig000002b4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013cd ), + .Q(\blk00000003/sig000002b5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013cc ), + .Q(\blk00000003/sig000002b6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013cb ), + .Q(\blk00000003/sig000002b7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013ca ), + .Q(\blk00000003/sig000002b8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013c9 ), + .Q(\blk00000003/sig000008c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013c8 ), + .Q(\blk00000003/sig000008c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013c7 ), + .Q(\blk00000003/sig000008c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013c6 ), + .Q(\blk00000003/sig000008c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013c5 ), + .Q(\blk00000003/sig000008c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013c4 ), + .Q(\blk00000003/sig000008c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013c3 ), + .Q(\blk00000003/sig000008ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013c2 ), + .Q(\blk00000003/sig000008cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013c1 ), + .Q(\blk00000003/sig000008cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013c0 ), + .Q(\blk00000003/sig000008cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013bf ), + .Q(\blk00000003/sig000008ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013be ), + .Q(\blk00000003/sig000008cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013bd ), + .Q(\blk00000003/sig000008d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013bc ), + .Q(\blk00000003/sig000008d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013bb ), + .Q(\blk00000003/sig000008d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013ba ), + .Q(\blk00000003/sig000008d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013b9 ), + .Q(\blk00000003/sig000008d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013b8 ), + .Q(\blk00000003/sig000008d5 ) + ); + GND \blk00000003/blk000005e8/blk000005e9 ( + .G(\blk00000003/blk000005e8/sig000013b7 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000621/blk00000659 ( + .I0(ce), + .I1(\blk00000003/sig0000073d ), + .O(\blk00000003/blk00000621/sig0000143f ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000621/blk00000658 ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008c4 ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig0000142c ), + .DPO(\blk00000003/blk00000621/sig0000143e ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000621/blk00000657 ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008c5 ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig0000142b ), + .DPO(\blk00000003/blk00000621/sig0000143d ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000621/blk00000656 ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008c6 ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig0000142a ), + .DPO(\blk00000003/blk00000621/sig0000143c ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000621/blk00000655 ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008c7 ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig00001429 ), + .DPO(\blk00000003/blk00000621/sig0000143b ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000621/blk00000654 ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008c8 ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig00001428 ), + .DPO(\blk00000003/blk00000621/sig0000143a ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000621/blk00000653 ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008c9 ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig00001427 ), + .DPO(\blk00000003/blk00000621/sig00001439 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000621/blk00000652 ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008cb ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig00001425 ), + .DPO(\blk00000003/blk00000621/sig00001437 ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk00000621/blk00000651 ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008cc ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig00001424 ), + .DPO(\blk00000003/blk00000621/sig00001436 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000621/blk00000650 ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008ca ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig00001426 ), + .DPO(\blk00000003/blk00000621/sig00001438 ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk00000621/blk0000064f ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008cd ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig00001423 ), + .DPO(\blk00000003/blk00000621/sig00001435 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk00000621/blk0000064e ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008ce ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig00001422 ), + .DPO(\blk00000003/blk00000621/sig00001434 ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk00000621/blk0000064d ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008cf ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig00001421 ), + .DPO(\blk00000003/blk00000621/sig00001433 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk00000621/blk0000064c ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008d0 ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig00001420 ), + .DPO(\blk00000003/blk00000621/sig00001432 ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk00000621/blk0000064b ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008d1 ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig0000141f ), + .DPO(\blk00000003/blk00000621/sig00001431 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000621/blk0000064a ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008d2 ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig0000141e ), + .DPO(\blk00000003/blk00000621/sig00001430 ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk00000621/blk00000649 ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008d4 ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig0000141c ), + .DPO(\blk00000003/blk00000621/sig0000142e ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk00000621/blk00000648 ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008d5 ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig0000141b ), + .DPO(\blk00000003/blk00000621/sig0000142d ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk00000621/blk00000647 ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008d3 ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig0000141d ), + .DPO(\blk00000003/blk00000621/sig0000142f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000646 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000143e ), + .Q(\blk00000003/sig0000044b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000645 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000143d ), + .Q(\blk00000003/sig0000044c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000644 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000143c ), + .Q(\blk00000003/sig0000044d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000643 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000143b ), + .Q(\blk00000003/sig0000044e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000642 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000143a ), + .Q(\blk00000003/sig0000044f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000641 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001439 ), + .Q(\blk00000003/sig00000450 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000640 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001438 ), + .Q(\blk00000003/sig00000451 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk0000063f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001437 ), + .Q(\blk00000003/sig00000452 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk0000063e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001436 ), + .Q(\blk00000003/sig00000453 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk0000063d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001435 ), + .Q(\blk00000003/sig00000454 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk0000063c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001434 ), + .Q(\blk00000003/sig00000455 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk0000063b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001433 ), + .Q(\blk00000003/sig00000456 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk0000063a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001432 ), + .Q(\blk00000003/sig00000457 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000639 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001431 ), + .Q(\blk00000003/sig00000458 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000638 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001430 ), + .Q(\blk00000003/sig00000459 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000637 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000142f ), + .Q(\blk00000003/sig0000045a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000636 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000142e ), + .Q(\blk00000003/sig0000045b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000635 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000142d ), + .Q(\blk00000003/sig0000045c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000634 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000142c ), + .Q(\blk00000003/sig000008d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000633 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000142b ), + .Q(\blk00000003/sig000008d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000632 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000142a ), + .Q(\blk00000003/sig000008d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000631 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001429 ), + .Q(\blk00000003/sig000008d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000630 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001428 ), + .Q(\blk00000003/sig000008da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk0000062f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001427 ), + .Q(\blk00000003/sig000008db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk0000062e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001426 ), + .Q(\blk00000003/sig000008dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk0000062d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001425 ), + .Q(\blk00000003/sig000008dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk0000062c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001424 ), + .Q(\blk00000003/sig000008de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk0000062b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001423 ), + .Q(\blk00000003/sig000008df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk0000062a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001422 ), + .Q(\blk00000003/sig000008e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000629 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001421 ), + .Q(\blk00000003/sig000008e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000628 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001420 ), + .Q(\blk00000003/sig000008e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000627 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000141f ), + .Q(\blk00000003/sig000008e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000626 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000141e ), + .Q(\blk00000003/sig000008e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000625 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000141d ), + .Q(\blk00000003/sig000008e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000624 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000141c ), + .Q(\blk00000003/sig000008e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000623 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000141b ), + .Q(\blk00000003/sig000008e7 ) + ); + GND \blk00000003/blk00000621/blk00000622 ( + .G(\blk00000003/blk00000621/sig0000141a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000065a/blk00000692 ( + .I0(ce), + .I1(\blk00000003/sig0000073e ), + .O(\blk00000003/blk0000065a/sig000014a2 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000065a/blk00000691 ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008d6 ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig0000148f ), + .DPO(\blk00000003/blk0000065a/sig000014a1 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000065a/blk00000690 ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008d7 ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig0000148e ), + .DPO(\blk00000003/blk0000065a/sig000014a0 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000065a/blk0000068f ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008d8 ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig0000148d ), + .DPO(\blk00000003/blk0000065a/sig0000149f ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000065a/blk0000068e ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008d9 ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig0000148c ), + .DPO(\blk00000003/blk0000065a/sig0000149e ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000065a/blk0000068d ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008da ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig0000148b ), + .DPO(\blk00000003/blk0000065a/sig0000149d ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000065a/blk0000068c ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008db ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig0000148a ), + .DPO(\blk00000003/blk0000065a/sig0000149c ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000065a/blk0000068b ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008dd ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig00001488 ), + .DPO(\blk00000003/blk0000065a/sig0000149a ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000065a/blk0000068a ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008de ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig00001487 ), + .DPO(\blk00000003/blk0000065a/sig00001499 ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk0000065a/blk00000689 ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008dc ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig00001489 ), + .DPO(\blk00000003/blk0000065a/sig0000149b ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk0000065a/blk00000688 ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008df ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig00001486 ), + .DPO(\blk00000003/blk0000065a/sig00001498 ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk0000065a/blk00000687 ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008e0 ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig00001485 ), + .DPO(\blk00000003/blk0000065a/sig00001497 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000065a/blk00000686 ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008e1 ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig00001484 ), + .DPO(\blk00000003/blk0000065a/sig00001496 ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk0000065a/blk00000685 ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008e2 ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig00001483 ), + .DPO(\blk00000003/blk0000065a/sig00001495 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000065a/blk00000684 ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008e3 ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig00001482 ), + .DPO(\blk00000003/blk0000065a/sig00001494 ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk0000065a/blk00000683 ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008e4 ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig00001481 ), + .DPO(\blk00000003/blk0000065a/sig00001493 ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk0000065a/blk00000682 ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008e6 ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig0000147f ), + .DPO(\blk00000003/blk0000065a/sig00001491 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000065a/blk00000681 ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008e7 ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig0000147e ), + .DPO(\blk00000003/blk0000065a/sig00001490 ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk0000065a/blk00000680 ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008e5 ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig00001480 ), + .DPO(\blk00000003/blk0000065a/sig00001492 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000067f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig000014a1 ), + .Q(\blk00000003/sig000004bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000067e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig000014a0 ), + .Q(\blk00000003/sig000004be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000067d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig0000149f ), + .Q(\blk00000003/sig000004bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000067c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig0000149e ), + .Q(\blk00000003/sig000004c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000067b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig0000149d ), + .Q(\blk00000003/sig000004c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000067a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig0000149c ), + .Q(\blk00000003/sig000004c2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000679 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig0000149b ), + .Q(\blk00000003/sig000004c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000678 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig0000149a ), + .Q(\blk00000003/sig000004c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000677 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001499 ), + .Q(\blk00000003/sig000004c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000676 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001498 ), + .Q(\blk00000003/sig000004c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000675 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001497 ), + .Q(\blk00000003/sig000004c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000674 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001496 ), + .Q(\blk00000003/sig000004c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000673 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001495 ), + .Q(\blk00000003/sig000004c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000672 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001494 ), + .Q(\blk00000003/sig000004ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000671 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001493 ), + .Q(\blk00000003/sig000004cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000670 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001492 ), + .Q(\blk00000003/sig000004cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000066f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001491 ), + .Q(\blk00000003/sig000004cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000066e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001490 ), + .Q(\blk00000003/sig000004ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000066d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig0000148f ), + .Q(\blk00000003/sig000008e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000066c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig0000148e ), + .Q(\blk00000003/sig000008e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000066b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig0000148d ), + .Q(\blk00000003/sig000008ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000066a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig0000148c ), + .Q(\blk00000003/sig000008eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000669 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig0000148b ), + .Q(\blk00000003/sig000008ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000668 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig0000148a ), + .Q(\blk00000003/sig000008ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000667 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001489 ), + .Q(\blk00000003/sig000008ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000666 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001488 ), + .Q(\blk00000003/sig000008ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000665 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001487 ), + .Q(\blk00000003/sig000008f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000664 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001486 ), + .Q(\blk00000003/sig000008f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000663 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001485 ), + .Q(\blk00000003/sig000008f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000662 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001484 ), + .Q(\blk00000003/sig000008f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000661 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001483 ), + .Q(\blk00000003/sig000008f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000660 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001482 ), + .Q(\blk00000003/sig000008f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000065f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001481 ), + .Q(\blk00000003/sig000008f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000065e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001480 ), + .Q(\blk00000003/sig000008f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000065d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig0000147f ), + .Q(\blk00000003/sig000008f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000065c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig0000147e ), + .Q(\blk00000003/sig000008f9 ) + ); + GND \blk00000003/blk0000065a/blk0000065b ( + .G(\blk00000003/blk0000065a/sig0000147d ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000693/blk000006cb ( + .I0(ce), + .I1(\blk00000003/sig0000073f ), + .O(\blk00000003/blk00000693/sig00001505 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000693/blk000006ca ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008e8 ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014f2 ), + .DPO(\blk00000003/blk00000693/sig00001504 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000693/blk000006c9 ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008e9 ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014f1 ), + .DPO(\blk00000003/blk00000693/sig00001503 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000693/blk000006c8 ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008ea ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014f0 ), + .DPO(\blk00000003/blk00000693/sig00001502 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000693/blk000006c7 ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008eb ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014ef ), + .DPO(\blk00000003/blk00000693/sig00001501 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000693/blk000006c6 ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008ec ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014ee ), + .DPO(\blk00000003/blk00000693/sig00001500 ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk00000693/blk000006c5 ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008ed ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014ed ), + .DPO(\blk00000003/blk00000693/sig000014ff ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk00000693/blk000006c4 ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008ef ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014eb ), + .DPO(\blk00000003/blk00000693/sig000014fd ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk00000693/blk000006c3 ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008f0 ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014ea ), + .DPO(\blk00000003/blk00000693/sig000014fc ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk00000693/blk000006c2 ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008ee ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014ec ), + .DPO(\blk00000003/blk00000693/sig000014fe ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk00000693/blk000006c1 ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008f1 ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014e9 ), + .DPO(\blk00000003/blk00000693/sig000014fb ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000693/blk000006c0 ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008f2 ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014e8 ), + .DPO(\blk00000003/blk00000693/sig000014fa ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk00000693/blk000006bf ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008f3 ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014e7 ), + .DPO(\blk00000003/blk00000693/sig000014f9 ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk00000693/blk000006be ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008f4 ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014e6 ), + .DPO(\blk00000003/blk00000693/sig000014f8 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk00000693/blk000006bd ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008f5 ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014e5 ), + .DPO(\blk00000003/blk00000693/sig000014f7 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk00000693/blk000006bc ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008f6 ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014e4 ), + .DPO(\blk00000003/blk00000693/sig000014f6 ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk00000693/blk000006bb ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008f8 ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014e2 ), + .DPO(\blk00000003/blk00000693/sig000014f4 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk00000693/blk000006ba ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008f9 ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014e1 ), + .DPO(\blk00000003/blk00000693/sig000014f3 ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk00000693/blk000006b9 ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008f7 ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014e3 ), + .DPO(\blk00000003/blk00000693/sig000014f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig00001504 ), + .Q(\blk00000003/sig0000052f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig00001503 ), + .Q(\blk00000003/sig00000530 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig00001502 ), + .Q(\blk00000003/sig00000531 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig00001501 ), + .Q(\blk00000003/sig00000532 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig00001500 ), + .Q(\blk00000003/sig00000533 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014ff ), + .Q(\blk00000003/sig00000534 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014fe ), + .Q(\blk00000003/sig00000535 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014fd ), + .Q(\blk00000003/sig00000536 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014fc ), + .Q(\blk00000003/sig00000537 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006af ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014fb ), + .Q(\blk00000003/sig00000538 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014fa ), + .Q(\blk00000003/sig00000539 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014f9 ), + .Q(\blk00000003/sig0000053a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014f8 ), + .Q(\blk00000003/sig0000053b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014f7 ), + .Q(\blk00000003/sig0000053c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014f6 ), + .Q(\blk00000003/sig0000053d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014f5 ), + .Q(\blk00000003/sig0000053e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014f4 ), + .Q(\blk00000003/sig0000053f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014f3 ), + .Q(\blk00000003/sig00000540 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014f2 ), + .Q(\blk00000003/sig000008fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006a5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014f1 ), + .Q(\blk00000003/sig000008fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014f0 ), + .Q(\blk00000003/sig000008fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006a3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014ef ), + .Q(\blk00000003/sig000008fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014ee ), + .Q(\blk00000003/sig000008fe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006a1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014ed ), + .Q(\blk00000003/sig000008ff ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014ec ), + .Q(\blk00000003/sig00000900 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk0000069f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014eb ), + .Q(\blk00000003/sig00000901 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk0000069e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014ea ), + .Q(\blk00000003/sig00000902 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk0000069d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014e9 ), + .Q(\blk00000003/sig00000903 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk0000069c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014e8 ), + .Q(\blk00000003/sig00000904 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk0000069b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014e7 ), + .Q(\blk00000003/sig00000905 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk0000069a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014e6 ), + .Q(\blk00000003/sig00000906 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk00000699 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014e5 ), + .Q(\blk00000003/sig00000907 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk00000698 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014e4 ), + .Q(\blk00000003/sig00000908 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk00000697 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014e3 ), + .Q(\blk00000003/sig00000909 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk00000696 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014e2 ), + .Q(\blk00000003/sig0000090a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk00000695 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014e1 ), + .Q(\blk00000003/sig0000090b ) + ); + GND \blk00000003/blk00000693/blk00000694 ( + .G(\blk00000003/blk00000693/sig000014e0 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000006cc/blk00000704 ( + .I0(ce), + .I1(\blk00000003/sig00000740 ), + .O(\blk00000003/blk000006cc/sig00001568 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000006cc/blk00000703 ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig000008fa ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig00001555 ), + .DPO(\blk00000003/blk000006cc/sig00001567 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000006cc/blk00000702 ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig000008fb ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig00001554 ), + .DPO(\blk00000003/blk000006cc/sig00001566 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000006cc/blk00000701 ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig000008fc ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig00001553 ), + .DPO(\blk00000003/blk000006cc/sig00001565 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000006cc/blk00000700 ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig000008fd ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig00001552 ), + .DPO(\blk00000003/blk000006cc/sig00001564 ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk000006cc/blk000006ff ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig000008fe ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig00001551 ), + .DPO(\blk00000003/blk000006cc/sig00001563 ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk000006cc/blk000006fe ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig000008ff ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig00001550 ), + .DPO(\blk00000003/blk000006cc/sig00001562 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000006cc/blk000006fd ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig00000901 ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig0000154e ), + .DPO(\blk00000003/blk000006cc/sig00001560 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk000006cc/blk000006fc ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig00000902 ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig0000154d ), + .DPO(\blk00000003/blk000006cc/sig0000155f ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk000006cc/blk000006fb ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig00000900 ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig0000154f ), + .DPO(\blk00000003/blk000006cc/sig00001561 ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk000006cc/blk000006fa ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig00000903 ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig0000154c ), + .DPO(\blk00000003/blk000006cc/sig0000155e ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk000006cc/blk000006f9 ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig00000904 ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig0000154b ), + .DPO(\blk00000003/blk000006cc/sig0000155d ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk000006cc/blk000006f8 ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig00000905 ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig0000154a ), + .DPO(\blk00000003/blk000006cc/sig0000155c ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk000006cc/blk000006f7 ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig00000906 ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig00001549 ), + .DPO(\blk00000003/blk000006cc/sig0000155b ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000006cc/blk000006f6 ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig00000907 ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig00001548 ), + .DPO(\blk00000003/blk000006cc/sig0000155a ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk000006cc/blk000006f5 ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig00000908 ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig00001547 ), + .DPO(\blk00000003/blk000006cc/sig00001559 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk000006cc/blk000006f4 ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig0000090a ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig00001545 ), + .DPO(\blk00000003/blk000006cc/sig00001557 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000006cc/blk000006f3 ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig0000090b ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig00001544 ), + .DPO(\blk00000003/blk000006cc/sig00001556 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000006cc/blk000006f2 ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig00000909 ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig00001546 ), + .DPO(\blk00000003/blk000006cc/sig00001558 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001567 ), + .Q(\blk00000003/sig000005a1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001566 ), + .Q(\blk00000003/sig000005a2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001565 ), + .Q(\blk00000003/sig000005a3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001564 ), + .Q(\blk00000003/sig000005a4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001563 ), + .Q(\blk00000003/sig000005a5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001562 ), + .Q(\blk00000003/sig000005a6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001561 ), + .Q(\blk00000003/sig000005a7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001560 ), + .Q(\blk00000003/sig000005a8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig0000155f ), + .Q(\blk00000003/sig000005a9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig0000155e ), + .Q(\blk00000003/sig000005aa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig0000155d ), + .Q(\blk00000003/sig000005ab ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig0000155c ), + .Q(\blk00000003/sig000005ac ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig0000155b ), + .Q(\blk00000003/sig000005ad ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig0000155a ), + .Q(\blk00000003/sig000005ae ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001559 ), + .Q(\blk00000003/sig000005af ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001558 ), + .Q(\blk00000003/sig000005b0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001557 ), + .Q(\blk00000003/sig000005b1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006e0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001556 ), + .Q(\blk00000003/sig000005b2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006df ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001555 ), + .Q(\blk00000003/sig0000090c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006de ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001554 ), + .Q(\blk00000003/sig0000090d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006dd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001553 ), + .Q(\blk00000003/sig0000090e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001552 ), + .Q(\blk00000003/sig0000090f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006db ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001551 ), + .Q(\blk00000003/sig00000910 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006da ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001550 ), + .Q(\blk00000003/sig00000911 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig0000154f ), + .Q(\blk00000003/sig00000912 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig0000154e ), + .Q(\blk00000003/sig00000913 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006d7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig0000154d ), + .Q(\blk00000003/sig00000914 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig0000154c ), + .Q(\blk00000003/sig00000915 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig0000154b ), + .Q(\blk00000003/sig00000916 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig0000154a ), + .Q(\blk00000003/sig00000917 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006d3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001549 ), + .Q(\blk00000003/sig00000918 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001548 ), + .Q(\blk00000003/sig00000919 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001547 ), + .Q(\blk00000003/sig0000091a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001546 ), + .Q(\blk00000003/sig0000091b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001545 ), + .Q(\blk00000003/sig0000091c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001544 ), + .Q(\blk00000003/sig0000091d ) + ); + GND \blk00000003/blk000006cc/blk000006cd ( + .G(\blk00000003/blk000006cc/sig00001543 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000705/blk0000072b ( + .I0(ce), + .I1(\blk00000003/sig00000741 ), + .O(\blk00000003/blk00000705/sig000015a7 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000705/blk0000072a ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig0000090c ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk0000072a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig000015a6 ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk00000705/blk00000729 ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig0000090d ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk00000729_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig000015a5 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000705/blk00000728 ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig0000090e ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk00000728_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig000015a4 ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk00000705/blk00000727 ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig0000090f ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk00000727_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig000015a3 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk00000705/blk00000726 ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig00000910 ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk00000726_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig000015a2 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000705/blk00000725 ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig00000911 ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk00000725_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig000015a1 ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk00000705/blk00000724 ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig00000913 ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk00000724_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig0000159f ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk00000705/blk00000723 ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig00000914 ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk00000723_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig0000159e ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000705/blk00000722 ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig00000912 ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk00000722_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig000015a0 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk00000705/blk00000721 ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig00000915 ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk00000721_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig0000159d ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk00000705/blk00000720 ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig00000916 ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk00000720_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig0000159c ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk00000705/blk0000071f ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig00000917 ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk0000071f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig0000159b ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk00000705/blk0000071e ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig00000918 ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk0000071e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig0000159a ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000705/blk0000071d ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig00000919 ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk0000071d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig00001599 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000705/blk0000071c ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig0000091a ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk0000071c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig00001598 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk00000705/blk0000071b ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig0000091c ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk0000071b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig00001596 ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk00000705/blk0000071a ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig0000091d ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk0000071a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig00001595 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk00000705/blk00000719 ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig0000091b ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk00000719_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig00001597 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk00000718 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig000015a6 ), + .Q(\blk00000003/sig000003a9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk00000717 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig000015a5 ), + .Q(\blk00000003/sig000003aa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk00000716 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig000015a4 ), + .Q(\blk00000003/sig000003ab ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk00000715 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig000015a3 ), + .Q(\blk00000003/sig000003ac ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk00000714 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig000015a2 ), + .Q(\blk00000003/sig000003ad ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk00000713 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig000015a1 ), + .Q(\blk00000003/sig000003ae ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk00000712 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig000015a0 ), + .Q(\blk00000003/sig000003af ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk00000711 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig0000159f ), + .Q(\blk00000003/sig000003b0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk00000710 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig0000159e ), + .Q(\blk00000003/sig000003b1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk0000070f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig0000159d ), + .Q(\blk00000003/sig000003b2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk0000070e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig0000159c ), + .Q(\blk00000003/sig000003b3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk0000070d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig0000159b ), + .Q(\blk00000003/sig000003b4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk0000070c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig0000159a ), + .Q(\blk00000003/sig000003b5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk0000070b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig00001599 ), + .Q(\blk00000003/sig000003b6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk0000070a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig00001598 ), + .Q(\blk00000003/sig000003b7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk00000709 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig00001597 ), + .Q(\blk00000003/sig000003b8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk00000708 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig00001596 ), + .Q(\blk00000003/sig000003b9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk00000707 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig00001595 ), + .Q(\blk00000003/sig000003ba ) + ); + GND \blk00000003/blk00000705/blk00000706 ( + .G(\blk00000003/blk00000705/sig00001594 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000075c/blk00000782 ( + .I0(ce), + .I1(\blk00000003/sig00000235 ), + .O(\blk00000003/blk0000075c/sig000015e4 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000075c/blk00000781 ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008b2 ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk00000781_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015e3 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk00000780 ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008b3 ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk00000780_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015e2 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk0000077f ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008b4 ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk0000077f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015e1 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk0000077e ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008b5 ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk0000077e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015e0 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk0000077d ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008b6 ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk0000077d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015df ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk0000077c ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008b7 ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk0000077c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015de ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk0000077b ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008b9 ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk0000077b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015dc ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk0000077a ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008ba ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk0000077a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015db ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk00000779 ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008b8 ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk00000779_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015dd ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk00000778 ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008bb ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk00000778_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015da ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk00000777 ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008bc ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk00000777_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015d9 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk00000776 ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008bd ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk00000776_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015d8 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk00000775 ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008be ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk00000775_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015d7 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk00000774 ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008bf ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk00000774_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015d6 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk00000773 ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008c0 ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk00000773_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015d5 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk00000772 ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008c2 ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk00000772_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015d3 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk00000771 ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008c3 ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk00000771_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015d2 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk00000770 ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008c1 ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk00000770_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk0000076f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015e3 ), + .Q(\blk00000003/sig000000fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk0000076e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015e2 ), + .Q(\blk00000003/sig000000fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk0000076d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015e1 ), + .Q(\blk00000003/sig000000fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk0000076c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015e0 ), + .Q(\blk00000003/sig000000fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk0000076b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015df ), + .Q(\blk00000003/sig000000fe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk0000076a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015de ), + .Q(\blk00000003/sig000000ff ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk00000769 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015dd ), + .Q(\blk00000003/sig00000100 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk00000768 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015dc ), + .Q(\blk00000003/sig00000101 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk00000767 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015db ), + .Q(\blk00000003/sig00000102 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk00000766 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015da ), + .Q(\blk00000003/sig00000103 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk00000765 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015d9 ), + .Q(\blk00000003/sig00000104 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk00000764 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015d8 ), + .Q(\blk00000003/sig00000105 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk00000763 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015d7 ), + .Q(\blk00000003/sig00000106 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk00000762 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015d6 ), + .Q(\blk00000003/sig00000107 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk00000761 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015d5 ), + .Q(\blk00000003/sig00000108 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk00000760 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015d4 ), + .Q(\blk00000003/sig00000109 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk0000075f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015d3 ), + .Q(\blk00000003/sig0000010a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk0000075e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015d2 ), + .Q(\blk00000003/sig0000010b ) + ); + GND \blk00000003/blk0000075c/blk0000075d ( + .G(\blk00000003/blk0000075c/sig000015d1 ) + ); + +// synthesis translate_on + +endmodule + +// synthesis translate_off + +`ifndef GLBL +`define GLBL + +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (weak1, weak0) GSR = GSR_int; + assign (weak1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + +endmodule + +`endif + +// synthesis translate_on diff --git a/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc b/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc new file mode 100644 index 000000000..e01cbfd43 --- /dev/null +++ b/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$3155~753-Xnzd}foo8#5+421).97 ?>;168456702:;<=>?01a8456789:;<=>?8:2345678820<=>?0121<>6789:;<>640123456302:;<=>?04:8456789:=46>?012342><89:;<=>78:2345678020<=>?012B<>6789:;?0E:8456789:M46>?0103454<8=80<4?41292*54<99>0==>?11927?2@D[YY4MCHL?52<768>0=54FNQWW>AGXAG6:;7>116927<65OTVSQQ=G\^[YY4KA^MVP970294::6?7:NWWTPR=LKUDYY2>7;2=57=62@D[YY4NDEPB85<76880=7GAPTV9EABUJ5:1<3?l;08JJUSS2jenjRhflugq[vck490;2<941;KMTPR=n`fiQ|em>3>586=2;1CXZ_UU8`ldhXag6;6=0>6:39KPRW]]0hdl`Potv?4?6992837?>NA321440<:=0BB][[:ECWEZOI4;91<3?=;369MKVR\3nbb1<<:1<21>432@D[YY4kio]24944294:96<;:HLSQQ432@D[YY4kio]26944294:96<;:HLSQQ432@D[YY4kio]20944294:96<;:HLSQQ432@D[YY4kio]22944294:86<;:HLSQQ0>94FNQWW>aoiW:69?7>115910?OIX\^1hd`P4=00>586<28?6D@_UU8gmkY24;91<3?;;369MKVR\3nbbR832283:42<:=0BB][[:ekm[2:5;3:5=95=4;KMTPR=l`dT41<<:1<20>432@D[YY4kio]:875=87;37?:5OTVSQQ<3194;7>3;>1CXZ_UU8gkprXaV;90?=50?3:?72=G\^[YY4kotv\mZ744;91<3?6;369KPRW]]0ocxzPi^37875=87;27?:5OTVSQQ3;>1CXZ_UU8gkprXaV;=0?=50?3;?72=G\^[YY4kotv\mZ7;::0;2<64258LQQVR\3ndyyQf_3>17?69911987AZTQWW>air|VcT?1<<:1<2<>432F__\XZ5dnww[lY34;91<3?7;369KPRW]]0ocxzPi^7?66<76820>94@UURVP?bh}}UbS;2=3;2=5==5<3E^X][[:emvpZoX?5886=0>8:07>JSSX\^1hb{{_h];875=87;37?:5OTVSQQ10?6998196D@_UU8B@AT;93:5=?5=:HLSQQ0086?OIX\^1MIJ]B=394;773::1EC^ZT;C?6<<76890?=4FNQWW>DENF5826=0>5:13>JSSX\^1MNAZT=0:>586:291EC^ZT;CG@WG;:3:5=?5<:HLSQQ0587?OIX\^1OL\]YHLPAI:5294979>>4:64>JSSX\^1hb{{<5494;703==1CXZ_UU8gkprX996?:7>116973?IR\Y__6iazt^32810=87;<7995OTVSQQ72?699>1?;7AZTQWW>air|V;>09850?34?11=G\^[YY4kotv\53:3>3:5=;5;7;MVPUSS2me~xR?34783:40<<>0DYY^ZT;flqqY54=<1<3?9;559KPRW]]0ocxzP3=65>586>2><6B[[PTV9`jssW=6?:7>117973?IR\Y__6iazt^7?03<768<08:4@UURVP?bh}}U=09850?35?11=G\^[YY4kotv\3921294::6:8:NWWTPR=lfS52;6;2=53=3?3E^X][[:emvpZ?;3==1CXZ_UU8gkprXyV;;09850?3:?11=G\^[YY4kotv\uZ764=<1<3?6;559KPRW]]0ocxzPq^31810=87;27995OTVSQQ3==1CXZ_UU8gkprXyV;?09850?3:?11=G\^[YY4kotv\uZ724=<1<3?6;559KPRW]]0ocxzPq^35810=87;37995OTVSQQ<<>0DYY^ZT;flqqYvW;6?:7>119973?IR\Y__6iazt^s\7921294:46:8:NWWTPR=lfS|Q;<5494;7?3==1CXZ_UU8gkprXyV?78;4?>0:8023:5=55;7;MVPUSS2me~xRP7=65>58602><6B[[PTV9`jssWxU309850?3;?11=G\^[YY4kotv\uZ?;4498JJUSS2J6?;7>11597586;2>36D@_UU8SGLH;<>0;2<84498LQQVR\3NJSB[[<5594;713=21CXZ_UU8GFZIR\5><6=0>1:6;>JSSX\^1\1:8:1<21>2?2F__\XZ5PBMVP920294:86:5IORVP?GK]DEOI1=50?35?17>5863?20:LONA@CB5>153>8:?695IORVP?IWEFNN0;4?>394B`=0c:8>xkhh912.64=?:22;86670968?:23J>6O?2:C26>G5:2K8>6O;4:C?4;3G;9;4>7L2>3?78E9736<1J0<;15:C?53823H6:;3;4A=3;:0=F483586O31?78E9476<1J0??15:C?67823H69?3;4A=07:0=F4;?596O327<6?D:5?7?0M1<7>69B87?=87?0M1<6>59B87833H68295N<5<7?D:26=1J0;0;;@>4:1=F414?7L26>99BGDUDZLM<7LMFN=2=<>GDAG6:<364ABKM847902KHEC2>2?:8EFOI489546OLIO>20;>720MNGA<05=<>GDAG6:4364ABKM84?9?2KHEC2>>99BGLH;:9437LMFN=02:==FK@D7>?07;@AJJ944611JOD@325<;?DENF58>255NCHL?638?3HIBB1<8>99BGLH;:14j7LMFN=0:>58?3HIBB1<6>69BGLH;:7=0MNGA<2<4?DENF5>5;6OLIO>6:2=FK@D7:394ABKM82803HIBB1617:C@MK:>611JOB[[<1<:?DEH]]6:<374ABMVP976601JOB[[<00==>GDG\^7=>06;@ALQQ:6<730MNAZT=36:<=FKF__0<819:C@KPR;9>427LM@UU>2<;?99BGJSS48427LM@UU>14;?>89BGJSS4;8556OLOTV?668>3HIDYY2=4?;8EFIR\58>245NCNWW870912KHCXZ326<:?DEH]]6943l4ABMVP94>29427LM@UU>1=;>GDG\^79364ABMVP90902KHCXZ37?:8EFIR\52546OLOTV?=;11:d=FLMXI0=4?>99B@ATE494j7LJKRC>2>58?3HNO^O2>>`9B@ATE4;0;255NDEPA87823HNYIJo4AMWNKAC;87k0MA[BOEG?5;g1a:COQHICM595m6OCULMGAWC@<2KYIJ??;@WWKWCTM]U[MYJJT69B[LHW]]>0N1>15:@?55823K6:=3;4B=31:0=E489596L315<6?G:6=7?0N1?9>69A841=87?0N1?8>59A84833K69295M<2<7?G:36=1I080;;C>5:1=E4>4?7O27>59A8<853KI37OMNSBPFC2=EK@D7<364BBKM846902HHEC2>1?:8FFOI488546LLIO>27;>DDAG6:;7>18:@@MK:6?7=0NNGA<0<4?GENF585;6LLIO>0:2=EK@D78394BBKM80803KIBB1817:@@MK:06>1IOD@38?58FFOI40437OM@UU>3:<=EKF__0<>19:@@KPR;98427OM@UU>26;?89AGJSS48>556LLOTV?508>3KIDYY2>6?`8FFIR\5;<6=06;CALQQ:6?720NNAZT=3=<>DDG\^7>364BBMVP95902HHCXZ34?:8FFIR\5?546LLOTV?2;>DUMN20NX]PIODL3>DXAGZ^X<5L4:A?4;3E;9;4>7N2>3?78G9736<1H0<;15:A?53823J6:;3;4C=3;:0=D483586M31?78G9476<1H0??15:A?67823J69?3;4C=07:0=D4;?596M327<6?F:5?7?0O1<7>49@87?9<2I7>3;4C=13:0=D4:;596M333<6?F:4;7?0O1=;>49@8639=2I7?;0:;B>03;3E;;7?0O1:?>49@8179=2I78?0:;B>77;3?285L<57=1>E;E;<>4?7N2;>59@80833J6=295L<6<7?F:?6=1H040m;BCQV\EF[JCEo6MNRS[@EVEH]]=0OL\]YHLb?FGUZPCE^HIk;BCQV\OI[LF7<3j4C@PQ]LHTME6:2k5LASPZMKUBD581<3j4C@PQ]LHTME692i5LASPZMKUBD[OLn6MNRS[LQQ:76k1HM_\VOTV?5;d0=;BG7?FCF9=1HIL<;;BGB@<=DMHF^ABJJ4:AFF42EBL11HIHK7A@C;?FCNFDEOI>5LEL18G@V6:2ICINEPLHAFJVCX\PZN>6MF3:AOO<=DGDGBXYKK159@KWCXOLDN^LZFOO]JJCI03JXNMYKK4:AQAB7>49G8449=2N7=>0:;E>20;3285K<04=1>B;9>4>7I2>8?78@97>6=1O0<0:;E>14;3B;::4<7I2=4;2=1>B;:=4?7I2=>59G86833M6?295K<4<7?A:16=1O0:0;;E>;:1=C404j7IO[A^KM858e3MK_MRGA<02=f>BF\HUBB1?>>c9GEQGXAG6:>3l4D@VB[LH;9:4i7IO[A^KM8429j2NJXLQFN=36:g=CI]KTEC2>6?`8@DRFW@D7=:0m;ECWEZOI4825n6JNT@]JJ97>6h1OMYOPIO>2:g=CI]KTEC2=0?`8@DRFW@D7><0m;ECWEZOI4;85h6JNT@]JJ944294i7IO[A^KM8759i2NJXLQFN=0=e>BF\HUBB1=1a:FBPDYNF5>5m6JNT@]JJ939i2NJXLQFN=4=e>BF\HUBB191a:FBPDYNF525m6JNT@]JJ9?9j2NJXLQ@UU>3:f=CI]KTCXZ311<`?AGSIVE^X1?>>b9GEQGXG\^7=?0l;ECWEZIR\5;82n5KAUC\KPR;9=4h7IO[A^MVP9726j1OMYOPOTV?538d3MK_MRAZT=34:f=CI]KTCXZ319<`?AGSIVE^X1?6>c9GEQGXG\^7=3m4D@VB[JSS4;:5o6JNT@]LQQ:597i0HLZN_NWW8749m2NJXLQ@UU>17?69k2NJXLQ@UU>17;dBF\HUDYY27>c9GEQGXG\^75364D@]JJ96912NJSD@311<:?AGXAG6:=374D@]JJ975601OMRGA<01==>BFW@D7=906;EC\MK:6=730HLQFN=35:g=CIVCE0<950?;8@DYNF5;<245KA^KM84>912NJSD@318<;?AGXAG6:245KA^KM876912NJSD@320<:?AGXAG69>374D@]JJ944601OMRGA<36==>BFW@D7>806;EC\MK:5>730HLQFN=04:<=CIVCE0?619:FB[LH;:0437IOPIO>1:<=CIVCE0>>19:FB[LH;;8427IOPIO>06;?89GEZOI4:>556JN_HL?708>3MKTEC2<6?;8@DYNF59<245KA^KM86>912NJSD@338<;?AGXAG68245KA^KM816912NJSD@340<:?AGXAG6?>374D@]JJ924601OMRGA<56==>BFW@D78806;EC\MK:3>7h0HLQFN=64>58>3MKTEC2;7?:8@DYNF5>546JN_HL?1;>BFW@D75374D@]LQQ:76h1OMRAZT=33:d=CIVE^X1?>>`9GEZIR\5;92l5KA^MVP9746h1OMRAZT=37:d=CIVE^X1?:>`9GEZIR\5;=2n5KA^MVP970294j7IOPOTV?528f3MKTCXZ31914;g3o4D@]LQQ:5;7k0HLQ@UU>10;g1<;g`9GEZIR\5982l5KA^MVP9536h1OMRAZT=16:d=CIVE^X1=9>`9GEZIR\59<2l5KA^MVP95?6h1OMRAZT=1::<=CIVE^X1=1a:FB[JSS4=:5m6JN_NWW8179i2NJSB[[<50=e>BFWF__09=1a:FB[JSS4=>5m6JN_NWW8139i2NJSB[[<54=g>BFWF__09950?c8@DYH]]6?;374D@]LQQ:3601OMRAZT=7==>BFWF__0;06;EC\KPR;?730HLQ@UU>;:<=CIVE^X1719:FA[JSS494j7ILPOTV?558f3MHTCXZ3100;2l5KB^MVP9706h1ONRAZT=3;:d=CJVE^X1?6>89GFZIR\5;5m6JM_NWW8769i2NISB[[<33=e>BEWF__0?<1a:FA[JSS4;95m6JM_NWW8729i2NISB[[<37=e>BEWF__0?81a:FA[JSS4;=5m6JM_NWW87>9i2NISB[[<3;==>BEWF__0?0n;E@\KPR;;94j7ILPOTV?748f3MHTCXZ3330n;E@\KPR;;=4j7ILPOTV?708f3MHTCXZ3373MHTCXZ33?c8@GYH]]6?<3o4DC]LQQ:397k0HOQ@UU>76;g72;eBEWF__09919:FA[JSS4=427ILPOTV?1;?89GFZIR\5=556JM_NWW8=8>3MHTCXZ39?08@@40HKO?2:FJ2>BNZLI_?6J_O49GTWG7=2N[^L?:;ERQE73BUMN=0H^^;8D32?@26KN4:GB6D26H;5:DBHVC53ON?7KJN829E@@5O6:2C9>6G<2:K7=>OIA]Y_MYK6;HLNKAC;8730ECC@DD>2:<=NFDEOI1<19:KMIJBB4:4i7D@BOEG?0?6912CEABJJ<5<:?LHJGMOYIJ=4IOT1?IO53EE=7AANDDF4?II@AJKG86BZT068HPR5<2F^X>:4LTV70>JR\<>0AL]D4:OQAB>1FYUMV_Ma8Idlhz_oydaac:OjjjtQm{ybcc=4N020?K76;2D:>>5A1218J4243G;>?6@>629M52286@>7768J410<2D:;5:4N05:7>H60=1E=5>;;O3;51=I918?7C?7359M5=233G;3995A1947?K7??=1E=56;;O3;=6=I90>0B<7?4:L2=429268J4?3<2D:58:4N0;50>H61>>0B<774:L2=<4;;O0341=I:9;?7C=1E>=9;;O03<1=I:9387C<>4:L1552H59?>0B??84:L15=25A2418J7043G83?6@=939M76=I;980B9<4N468J03><2D>:=:4N4420>H2>;>0B88<4:L621286@:6768J000<2D>:5:4N44:0>H2?9>0B89>4:L6372;;:4N4540>H2?1>0B8964:L6<524<2D>49:4N4:60>H20?>0B8684:L6<=25?:4N4;00>H21=>0B87:4:L6=32<2D=<=:4N7220>H18;>0B;><4:L541286@97668J31?<2D=;4:4N7:30>H108>0B;6=4:L5<621<2D=4::4N7:;0>H100>0B;7?4:L5=42H11>>0B;774:L5=<4>0B:>?4:L4442:986@80268J263<2D<<8:4N6250>H08>>0B:>74:L44<2;;86@81068J275<2D<=>:4N6370>H09<>0B:?94:L4522;386@81868J247<2D<><:4N6010>H0::80B5<4N8d8JGYE]ZZBBR^]OQQ4?KCS_FX@;6@@MDPDA<=IGVZJXIK[8:LL[WCTM];0C?5@K49LJ@2?12E[ABJJ<1<:?JVJGMO7=374OQOL@@:5601D\@AKE=1==>IWEFNN0906;NRNKAC;=7h0C]C@DD>5>58>3FZFCIK36?;8KUKHLLXNK<94OQVJIL\BWYXBADZFVDQ\JBE?3F\N^KB@W`9Lfcstkh~d~<5_4:R?4;3V;9;4>7]2>3?78T9736<1[0<;15:R?53823Y6:;3;4P=3;:0=W483586^31?78T9476<1[0??15:R?67823Y69?3;4P=07:0=W4;?596^327<6?U:5?7?0\1<7>49S87?9<2Z7>3;4P=13:0=W4:;596^333<6?U:4;7?0\1=;>49S8639=2Z7?;0:;Q>03;3V;;7?0\1:?>49S8179=2Z78?0:;Q>77;3?285_<57=1>V;V;<>4?7]2;>59S80833Y6=295_<6<7?U:?6=1[040;;QCQP2=WI]^N^Ck4P@VWAWHELL^NOYj4P@VWAWHCM]OHX:5_CHL?4;>18:R@MK:69720\NGA<00=<>VDAG6:?364PBKM842902ZHEC2>5?:8TFOI48<546^LIO>23;>99SGLH;::437]MFN=07:==WK@D7>807;QAJJ941611[OD@326<;?UENF583255_CHL?6<803YIBB1<18:R@MK:48720\NGA<23=<>VDAG68>364PBKM865902ZHEC2<4?:8TFOI4:?546^LIO>02;>918:R@MK:40720\NGA<2;=3>VDAG68255_CHL?058?3YIBB1:>>99SGLH;<;437]MFN=60:==WK@D78907;QAJJ922611[OD@347<6=07;QAJJ9206>1[OD@34?58TFOI4<4<7]MFN=4=3>VDAG6<2:5_CHL?<;1VDG\^7=<06;QALQQ:6:730\NAZT=30:<=WKF__0<:19:R@KPR;9<427]M@UU>22;?89SGJSS482556^LOTV?5<8?3YIDYY2>>89SGJSS4;:556^LOTV?648>3YIDYY2=2?;8TFIR\588245_CNWW872912ZHCXZ324<:?UEH]]69:374PBMVP940601[OB[[<3:==>VDG\^7>407;QALQQ:5601[OB[[<22==>VDG\^7?<06;QALQQ:4:730\NAZT=10:<=WKF__0>:19:R@KPR;;<427]M@UU>02;?89SGJSS4:2556^LOTV?7<8?3YIDYY2<>89SGJSS4=:556^LOTV?048>3YIDYY2;2?;8TFIR\5>8245_CNWW812912ZHCXZ344<:?UEH]]6?:3l4PBMVP92029427]M@UU>73;>VDG\^7;364PBMVP9>902ZHCXZ39?c8TLHXJ\YBHUl4PHL\FPUIIDO27]EPHMWWJH2T?3[KF??V>D59QWQGc3[Y_MABLASPZMKgU33ZIG^55\EM]NEVMe3ZOGS]O[TDPM54=T@[OLSHV[R@AW[KADm2YC^HIPMHL\WL\B>2YDY_MJ3:QSK2=TZEI::H:4TSWF0>R^XL30YCJJRGNLSd=R[LXTMAGNSb9VW@TX^@YBNAK6;TQF[AVHZ]20Y^KPMTNW57=R[LU[MYZJRO]GAQCD\11^_HQ\ILF0?SED12\BIZQ[YQG7?REKC;1\I>5XE@3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH78\JTDQ?1S_YBFBc9[[FIUMVCEJB84Xe`\Ma`5Ver:3?ZYXPEDFSRQ?_^]V4*aXehi%alm fhp\w`jbk}%licQgamdaekbXllibalzfoo,emw)`mgUyiaand/pfhjgcWjd~a!}emmb`ZciikfnSkco`f\lgYbfhhgiRA]_8]l5f3S!gdl\`drfWzsfSno|c/efjZhhzd$omyoPsxo\gdudWmfr#NKPWD]BJAY6>>Ud=8j4_^][HKKXWV;:SRQZ0.e\ide)ehi$jd|Psdnfgq)`mgUcmahmaof\``eneh~bcc iis-p}hYcmekr#NKPWD]BJAY61=Ud=;<4_^][HKKXWV;TSR[?/f]nef(jij%meQ|emg`p*abfVbj`klnne]gafoji}cdb#hfr.efjZusgyUnmgcy.Onq}YumnUbb}{{105b?ZYXPEDFSRQ=_^]V4*aXehi%alm fhp\w`jbk}%licQgamdaekbXllibalzfoo,emw)`mgUyiaand/pfhjgcWjd~a!}emmb`ZeheyfnxhQLODD\HAYHZV;;Sb?88:]\[]JIEVUT?RQPU1-d[hgd&dkh#kg}_rgoafr(oldTdlbib`lg[acdadkeb`!fhp,c`hXjekegR|jg.efjZdkigaT~hi|[1_-figicVxnkRv rde\mkvr|8=?7RQPXMLN[ZY3WVU^<"iPm`a-ide(n`xThbjcu-dakYoielimcjPddajidrngg$me!heo]qba)`mgUxx{lt/efjZhhzd$hby|c/Lov|ZtboVce|xz>1728[ZY_DGGTSR;P_^W3+bYjij$fmn!iis]paicd|&mnbRfnlg`bjaYcmjcfmyg`n/djv*Tb{l~TC_QKrhtfvDHCKeehgH`nbmg21d=XWVRGB@QP_7]\[P6(oVgjo#cnc.djvZubdli#jka_icobggilVnnodcnthmm*cou'fzhcikPcovqhZbkq5;5Sha16:8[ZY_DGGTSR9P_^W3+bYjij$fmn!iis]paicd|&mnbRfnlg`bjaYcmjcfmyg`n/djv*abfVxn`bok.sgokdbXkg~y`"M@EG]O@ZehmoUghRjcy^CM@Z740Ve::h5P_^ZOJHYXW1UTSX> g^obg+kfk&lb~R}jldaw+bciWakgjooad^ffglkf|`ee"kg}/fgm[fci|{oTxl~Pd`vb[igskaoo#NKPWD]BJAY61?Ud=n:4_^][HKKXWV3TSR[?/f]nef(jij%meQ|emg`p*abfVbj`klnne]gafoji}cdb#hfr.efjZ`nd}oySob`cj-dakYnfmo{icjnnu]`ki]6U'mnbR}lhv\gjj\8T$licQ{aqqX6X(`mgUomyoPsxo\gdud&noeSca}m/fbpdYtqdUhm~mPdm{,G@YPMVKEHR?97^m2f>dkc9:;<=>?1c9ahn6789:;?01231g=edb:;<=>?07`8fim789:;<=9m;cnh456789:3n6lck12345671k1i`f>?01234dd?01`a?gjl89:;<=>lb:`oo56789:;ho5mlj2345678lh0nae?012345`e3kf`<=>?0133f>dkc9:;<=>>1c9ahn6789:;=?l4bmi34567889i7obd01234573j2hgg=>?01221g=edb:;<=>?17`8fim789:;<<9m;cnh456789;3n6lck12345661k1i`f>?01235dd?00`a?gjl89:;<=?lb:`oo56789::ho5mlj2345679lh0nae?012344`e3kf`<=>?0103f>dkc9:;<=>=1c9ahn6789:;>?l4bmi345678;9i7obd01234543j2hgg=>?01211g=edb:;<=>?27`8fim789:;?01236dd?03`a?gjl89:;<=?0113f>dkc9:;<=><1c9ahn6789:;??l4bmi345678:9i7obd01234553j2hgg=>?01201g=edb:;<=>?37`8fim789:;<>9m;cnh45678993n6lck12345641k1i`f>?01237dd?02`a?gjl89:;<==lb:`oo56789:8ho5mlj234567;lh0nae?012346`e3kf`<=>?0163f>dkc9:;<=>;1c9ahn6789:;8?l4bmi345678=9i7obd01234523j2hgg=>?01271g=edb:;<=>?47`8fim789:;<99m;cnh456789>3n6lck12345631k1i`f>?01230dd?05`a?gjl89:;<=:lb:`oo56789:?ho5mlj234567?0173f>dkc9:;<=>:1c9ahn6789:;9?l4bmi345678<9i7obd01234533j2hgg=>?01261g=edb:;<=>?57`8fim789:;<89m;cnh456789?3n6lck12345621k1i`f>?01231dd?04`a?gjl89:;<=;lb:`oo56789:>ho5mlj234567=lh0nae?012340`e3kf`<=>?0143f>dkc9:;<=>91c9ahn6789:;:?l4bmi345678?9i7obd01234503j2hgg=>?01251g=edb:;<=>?67`8fim789:;<;9m;cnh456789<3n6lck12345611k1i`f>?01232dd?07`a?gjl89:;<=8lb:`oo56789:=ho5mlj234567>lh0nae?012343`e3kf`<=>?0153f>dkc9:;<=>81c9ahn6789:;;?l4bmi345678>9i7obd01234513j2hgg=>?01241g=edb:;<=>?77`8fim789:;<:9m;cnh456789=3n6lck12345601k1i`f>?01233dd?06`a?gjl89:;<=9lb:`oo56789:?01:3f>dkc9:;<=>71c9ahn6789:;4?l4bmi34567819i7obd012345>3j2hgg=>?012;1g=edb:;<=>?87`8fim789:;<59m;cnh45678923n6lck123456?1k1i`f>?0123?09`a?gjl89:;<=6lb:`oo56789:3ho5mlj2345670lh0nae?01234=`e3kf`<=>?01;3f>dkc9:;<=>61c9ahn6789:;5?l4bmi34567809i7obd012345?3j2hgg=>?012:1g=edb:;<=>?97`8fim789:;<49m;cnh45678933n6lck123456>1k1i`f>?0123=dd?08`a?gjl89:;<=7lb:`oo56789:2ho5mlj2345671lh0nae?01234<`e3kf`<=>?01c3f>dkc9:;<=>n1c9ahn6789:;m?l4bmi345678h9i7obd012345g3j2hgg=>?012b1g=edb:;<=>?a7`8fim789:;?0123edd?0``a?gjl89:;<=olb:`oo56789:jho5mlj234567ilh0nae?01234d`e3kf`<=>?01`3f>dkc9:;<=>m1c9ahn6789:;n?l4bmi345678k9i7obd012345d3j2hgg=>?012a1g=edb:;<=>?b7`8fim789:;?0123fdd?0c`a?gjl89:;<=llb:`oo56789:iho5mlj234567jlh0nae?01234g`e3kf`<=>?01a3f>dkc9:;<=>l1c9ahn6789:;o?l4bmi345678j9i7obd012345e3j2hgg=>?012`1g=edb:;<=>?c7`8fim789:;?0123gdd?0b`a?gjl89:;<=mlb:`oo56789:hho5mlj234567klh0nae?01234f`e3kf`<=>?01f3f>dkc9:;<=>k1c9ahn6789:;h?l4bmi345678m9i7obd012345b3j2hgg=>?012g1g=edb:;<=>?d7`8fim789:;?0123`dd?0e`a?gjl89:;<=jlb:`oo56789:oho5mlj234567llh0nae?01234a`e3kf`<=>?01g3f>dkc9:;<=>j1c9ahn6789:;i?l4bmi345678l9i7obd012345c3j2hgg=>?012f1g=edb:;<=>?e7`8fim789:;?0123add?0d`a?gjl89:;<=klb:`oo56789:nho5mlj234567mlh0nae?01234``e3kf`<=>?01d3f>dkc9:;<=>i1c9ahn6789:;j?l4bmi345678o9i7obd012345`3j2hgg=>?012e1g=edb:;<=>?f7`8fim789:;?0123bdd?0g`a?gjl89:;<=hlb:`oo56789:mho5mlj234567nlh0nae?01234c`e3kf`<=>?0023f>dkc9:;<=??1c9ahn6789::?01331g=edb:;<=>>07`8fim789:;==9m;cnh456788:3n6lck12345771k1i`f>?01224dd?11`a?gjl89:;<<>lb:`oo56789;;ho5mlj2345668lh0nae?012355`e3kf`<=>?0033f>dkc9:;<=?>1c9ahn6789::=?l4bmi34567989i7obd01234473j2hgg=>?01321g=edb:;<=>>17`8fim789:;=<9m;cnh456788;3n6lck12345761k1i`f>?01225dd?10`a?gjl89:;<?0003f>dkc9:;<=?=1c9ahn6789::>?l4bmi345679;9i7obd01234443j2hgg=>?01311g=edb:;<=>>27`8fim789:;=?9m;cnh45678883n6lck12345751k1i`f>?01226dd?13`a?gjl89:;<<?0013f>dkc9:;<=?<1c9ahn6789::??l4bmi345679:9i7obd01234453j2hgg=>?01301g=edb:;<=>>37`8fim789:;=>9m;cnh45678893n6lck12345741k1i`f>?01227dd?12`a?gjl89:;<<=lb:`oo56789;8ho5mlj234566;lh0nae?012356`e3kf`<=>?0063f>dkc9:;<=?;1c9ahn6789::8?l4bmi345679=9i7obd01234423j2hgg=>?01371g=edb:;<=>>47`8fim789:;=99m;cnh456788>3n6lck12345731k1i`f>?01220dd?15`a?gjl89:;<<:lb:`oo56789;?ho5mlj234566?0073f>dkc9:;<=?:1c9ahn6789::9?l4bmi345679<9i7obd01234433j2hgg=>?01361g=edb:;<=>>57`8fim789:;=89m;cnh456788?3n6lck12345721k1i`f>?01221dd?14`a?gjl89:;<<;lb:`oo56789;>ho5mlj234566=lh0nae?012350`e3kf`<=>?0043f>dkc9:;<=?91c9ahn6789:::?l4bmi345679?9i7obd01234403j2hgg=>?01351g=edb:;<=>>67`8fim789:;=;9m;cnh456788<3n6lck12345711k1i`f>?01222dd?17`a?gjl89:;<<8lb:`oo56789;=ho5mlj234566>lh0nae?012353`e3kf`<=>?0053f>dkc9:;<=?81c9ahn6789::;?l4bmi345679>9i7obd01234413j2hgg=>?01341g=edb:;<=>>77`8fim789:;=:9m;cnh456788=3n6lck12345701k1i`f>?01223dd?16`a?gjl89:;<<9lb:`oo56789;?00:3f>dkc9:;<=?71c9ahn6789::4?l4bmi34567919i7obd012344>3j2hgg=>?013;1g=edb:;<=>>87`8fim789:;=59m;cnh45678823n6lck123457?1k1i`f>?0122?19`a?gjl89:;<<6lb:`oo56789;3ho5mlj2345660lh0nae?01235=`e3kf`<=>?00;3f>dkc9:;<=?61c9ahn6789::5?l4bmi34567909i7obd012344?3j2hgg=>?013:1g=edb:;<=>>97`8fim789:;=49m;cnh45678833n6lck123457>1k1i`f>?0122=dd?18`a?gjl89:;<<7lb:`oo56789;2ho5mlj2345661lh0nae?01235<`e3kf`<=>?00c3f>dkc9:;<=?n1c9ahn6789::m?l4bmi345679h9i7obd012344g3j2hgg=>?013b1g=edb:;<=>>a7`8fim789:;=l9m;cnh456788k3n6lck123457f1k1i`f>?0122edd?1``a?gjl89:;<?00`3f>dkc9:;<=?m1c9ahn6789::n?l4bmi345679k9i7obd012344d3j2hgg=>?013a1g=edb:;<=>>b7`8fim789:;=o9m;cnh456788h3n6lck123457e1k1i`f>?0122fdd?1c`a?gjl89:;<?00a3f>dkc9:;<=?l1c9ahn6789::o?l4bmi345679j9i7obd012344e3j2hgg=>?013`1g=edb:;<=>>c7`8fim789:;=n9m;cnh456788i3n6lck123457d1k1i`f>?0122gdd?1b`a?gjl89:;<?00f3f>dkc9:;<=?k1c9ahn6789::h?l4bmi345679m9i7obd012344b3j2hgg=>?013g1g=edb:;<=>>d7`8fim789:;=i9m;cnh456788n3n6lck123457c1k1i`f>?0122`dd?1e`a?gjl89:;<?00g3f>dkc9:;<=?j1c9ahn6789::i?l4bmi345679l9i7obd012344c3j2hgg=>?013f1g=edb:;<=>>e7`8fim789:;=h9m;cnh456788o3n6lck123457b1k1i`f>?0122add?1d`a?gjl89:;<?00d3f>dkc9:;<=?i1c9ahn6789::j?l4bmi345679o9i7obd012344`3j2hgg=>?013e1g=edb:;<=>>f7`8fim789:;=k9m;cnh456788l3n6lck123457a1k1i`f>?0122bdd?1g`a?gjl89:;<?0323f>dkc9:;<=?01031g=edb:;<=>=07`8fim789:;>=9m;cnh45678;:3n6lck12345471k1i`f>?01214dd?21`a?gjl89:;lb:`oo567898;ho5mlj2345658lh0nae?012365`e3kf`<=>?0333f>dkc9:;<=<>1c9ahn6789:9=?l4bmi34567:89i7obd01234773j2hgg=>?01021g=edb:;<=>=17`8fim789:;><9m;cnh45678;;3n6lck12345461k1i`f>?01215dd?20`a?gjl89:;?0303f>dkc9:;<=<=1c9ahn6789:9>?l4bmi34567:;9i7obd01234743j2hgg=>?01011g=edb:;<=>=27`8fim789:;>?9m;cnh45678;83n6lck12345451k1i`f>?01216dd?23`a?gjl89:;?0313f>dkc9:;<=<<1c9ahn6789:9??l4bmi34567::9i7obd01234753j2hgg=>?01001g=edb:;<=>=37`8fim789:;>>9m;cnh45678;93n6lck12345441k1i`f>?01217dd?22`a?gjl89:;?0363f>dkc9:;<=<;1c9ahn6789:98?l4bmi34567:=9i7obd01234723j2hgg=>?01071g=edb:;<=>=47`8fim789:;>99m;cnh45678;>3n6lck12345431k1i`f>?01210dd?25`a?gjl89:;?0373f>dkc9:;<=<:1c9ahn6789:99?l4bmi34567:<9i7obd01234733j2hgg=>?01061g=edb:;<=>=57`8fim789:;>89m;cnh45678;?3n6lck12345421k1i`f>?01211dd?24`a?gjl89:;ho5mlj234565=lh0nae?012360`e3kf`<=>?0343f>dkc9:;<=<91c9ahn6789:9:?l4bmi34567:?9i7obd01234703j2hgg=>?01051g=edb:;<=>=67`8fim789:;>;9m;cnh45678;<3n6lck12345411k1i`f>?01212dd?27`a?gjl89:;lh0nae?012363`e3kf`<=>?0353f>dkc9:;<=<81c9ahn6789:9;?l4bmi34567:>9i7obd01234713j2hgg=>?01041g=edb:;<=>=77`8fim789:;>:9m;cnh45678;=3n6lck12345401k1i`f>?01213dd?26`a?gjl89:;?03:3f>dkc9:;<=<71c9ahn6789:94?l4bmi34567:19i7obd012347>3j2hgg=>?010;1g=edb:;<=>=87`8fim789:;>59m;cnh45678;23n6lck123454?1k1i`f>?0121?29`a?gjl89:;?03;3f>dkc9:;<=<61c9ahn6789:95?l4bmi34567:09i7obd012347?3j2hgg=>?010:1g=edb:;<=>=97`8fim789:;>49m;cnh45678;33n6lck123454>1k1i`f>?0121=dd?28`a?gjl89:;?03c3f>dkc9:;<=?010b1g=edb:;<=>=a7`8fim789:;>l9m;cnh45678;k3n6lck123454f1k1i`f>?0121edd?2``a?gjl89:;?03`3f>dkc9:;<=?010a1g=edb:;<=>=b7`8fim789:;>o9m;cnh45678;h3n6lck123454e1k1i`f>?0121fdd?2c`a?gjl89:;?03a3f>dkc9:;<=?010`1g=edb:;<=>=c7`8fim789:;>n9m;cnh45678;i3n6lck123454d1k1i`f>?0121gdd?2b`a?gjl89:;?03f3f>dkc9:;<=?010g1g=edb:;<=>=d7`8fim789:;>i9m;cnh45678;n3n6lck123454c1k1i`f>?0121`dd?2e`a?gjl89:;?03g3f>dkc9:;<=?010f1g=edb:;<=>=e7`8fim789:;>h9m;cnh45678;o3n6lck123454b1k1i`f>?0121add?2d`a?gjl89:;?03d3f>dkc9:;<=?010e1g=edb:;<=>=f7`8fim789:;>k9m;cnh45678;l3n6lck123454a1k1i`f>?0121bdd?2g`a?gjl89:;?0223f>dkc9:;<==?1c9ahn6789:8?01131g=edb:;<=><07`8fim789:;?=9m;cnh45678::3n6lck12345571k1i`f>?01204dd?31`a?gjl89:;<>>lb:`oo567899;ho5mlj2345648lh0nae?012375`e3kf`<=>?0233f>dkc9:;<==>1c9ahn6789:8=?l4bmi34567;89i7obd01234673j2hgg=>?01121g=edb:;<=><17`8fim789:;?<9m;cnh45678:;3n6lck12345561k1i`f>?01205dd?30`a?gjl89:;<>?lb:`oo567899:ho5mlj2345649lh0nae?012374`e3kf`<=>?0203f>dkc9:;<===1c9ahn6789:8>?l4bmi34567;;9i7obd01234643j2hgg=>?01111g=edb:;<=><27`8fim789:;??9m;cnh45678:83n6lck12345551k1i`f>?01206dd?33`a?gjl89:;<>?0213f>dkc9:;<==<1c9ahn6789:8??l4bmi34567;:9i7obd01234653j2hgg=>?01101g=edb:;<=><37`8fim789:;?>9m;cnh45678:93n6lck12345541k1i`f>?01207dd?32`a?gjl89:;<>=lb:`oo5678998ho5mlj234564;lh0nae?012376`e3kf`<=>?0263f>dkc9:;<==;1c9ahn6789:88?l4bmi34567;=9i7obd01234623j2hgg=>?01171g=edb:;<=><47`8fim789:;?99m;cnh45678:>3n6lck12345531k1i`f>?01200dd?35`a?gjl89:;<>:lb:`oo567899?ho5mlj234564?0273f>dkc9:;<==:1c9ahn6789:89?l4bmi34567;<9i7obd01234633j2hgg=>?01161g=edb:;<=><57`8fim789:;?89m;cnh45678:?3n6lck12345521k1i`f>?01201dd?34`a?gjl89:;<>;lb:`oo567899>ho5mlj234564=lh0nae?012370`e3kf`<=>?0243f>dkc9:;<==91c9ahn6789:8:?l4bmi34567;?9i7obd01234603j2hgg=>?01151g=edb:;<=><67`8fim789:;?;9m;cnh45678:<3n6lck12345511k1i`f>?01202dd?37`a?gjl89:;<>8lb:`oo567899=ho5mlj234564>lh0nae?012373`e3kf`<=>?0253f>dkc9:;<==81c9ahn6789:8;?l4bmi34567;>9i7obd01234613j2hgg=>?01141g=edb:;<=><77`8fim789:;?:9m;cnh45678:=3n6lck12345501k1i`f>?01203dd?36`a?gjl89:;<>9lb:`oo567899?02:3f>dkc9:;<==71c9ahn6789:84?l4bmi34567;19i7obd012346>3j2hgg=>?011;1g=edb:;<=><87`8fim789:;?59m;cnh45678:23n6lck123455?1k1i`f>?0120?39`a?gjl89:;<>6lb:`oo5678993ho5mlj2345640lh0nae?01237=`e3kf`<=>?02;3f>dkc9:;<==61c9ahn6789:85?l4bmi34567;09i7obd012346?3j2hgg=>?011:1g=edb:;<=><97`8fim789:;?49m;cnh45678:33n6lck123455>1k1i`f>?0120=dd?38`a?gjl89:;<>7lb:`oo5678992ho5mlj2345641lh0nae?01237<`e3kf`<=>?02c3f>dkc9:;<==n1c9ahn6789:8m?l4bmi34567;h9i7obd012346g3j2hgg=>?011b1g=edb:;<=>?0120edd?3``a?gjl89:;<>olb:`oo567899jho5mlj234564ilh0nae?01237d`e3kf`<=>?02`3f>dkc9:;<==m1c9ahn6789:8n?l4bmi34567;k9i7obd012346d3j2hgg=>?011a1g=edb:;<=>?0120fdd?3c`a?gjl89:;<>llb:`oo567899iho5mlj234564jlh0nae?01237g`e3kf`<=>?02a3f>dkc9:;<==l1c9ahn6789:8o?l4bmi34567;j9i7obd012346e3j2hgg=>?011`1g=edb:;<=>?0120gdd?3b`a?gjl89:;<>mlb:`oo567899hho5mlj234564klh0nae?01237f`e3kf`<=>?02f3f>dkc9:;<==k1c9ahn6789:8h?l4bmi34567;m9i7obd012346b3j2hgg=>?011g1g=edb:;<=>?0120`dd?3e`a?gjl89:;<>jlb:`oo567899oho5mlj234564llh0nae?01237a`e3kf`<=>?02g3f>dkc9:;<==j1c9ahn6789:8i?l4bmi34567;l9i7obd012346c3j2hgg=>?011f1g=edb:;<=>?0120add?3d`a?gjl89:;<>klb:`oo567899nho5mlj234564mlh0nae?01237``e3kf`<=>?02d3f>dkc9:;<==i1c9ahn6789:8j?l4bmi34567;o9i7obd012346`3j2hgg=>?011e1g=edb:;<=>?0120bdd?3g`a?gjl89:;<>hlb:`oo567899mho5mlj234564nlh0nae?01237c`e3kf`<=>?0523f>dkc9:;<=:?1c9ahn6789:??01631g=edb:;<=>;07`8fim789:;8=9m;cnh45678=:3n6lck12345271k1i`f>?01274dd?41`a?gjl89:;<9>lb:`oo56789>;ho5mlj2345638lh0nae?012305`e3kf`<=>?0533f>dkc9:;<=:>1c9ahn6789:?=?l4bmi34567<89i7obd01234173j2hgg=>?01621g=edb:;<=>;17`8fim789:;8<9m;cnh45678=;3n6lck12345261k1i`f>?01275dd?40`a?gjl89:;<9?lb:`oo56789>:ho5mlj2345639lh0nae?012304`e3kf`<=>?0503f>dkc9:;<=:=1c9ahn6789:?>?l4bmi34567<;9i7obd01234143j2hgg=>?01611g=edb:;<=>;27`8fim789:;8?9m;cnh45678=83n6lck12345251k1i`f>?01276dd?43`a?gjl89:;<99ho5mlj234563:lh0nae?012307`e3kf`<=>?0513f>dkc9:;<=:<1c9ahn6789:???l4bmi34567<:9i7obd01234153j2hgg=>?01601g=edb:;<=>;37`8fim789:;8>9m;cnh45678=93n6lck12345241k1i`f>?01277dd?42`a?gjl89:;<9=lb:`oo56789>8ho5mlj234563;lh0nae?012306`e3kf`<=>?0563f>dkc9:;<=:;1c9ahn6789:?8?l4bmi34567<=9i7obd01234123j2hgg=>?01671g=edb:;<=>;47`8fim789:;899m;cnh45678=>3n6lck12345231k1i`f>?01270dd?45`a?gjl89:;<9:lb:`oo56789>?ho5mlj234563?0573f>dkc9:;<=::1c9ahn6789:?9?l4bmi34567<<9i7obd01234133j2hgg=>?01661g=edb:;<=>;57`8fim789:;889m;cnh45678=?3n6lck12345221k1i`f>?01271dd?44`a?gjl89:;<9;lb:`oo56789>>ho5mlj234563=lh0nae?012300`e3kf`<=>?0543f>dkc9:;<=:91c9ahn6789:?:?l4bmi34567?01651g=edb:;<=>;67`8fim789:;8;9m;cnh45678=<3n6lck12345211k1i`f>?01272dd?47`a?gjl89:;<98lb:`oo56789>=ho5mlj234563>lh0nae?012303`e3kf`<=>?0553f>dkc9:;<=:81c9ahn6789:?;?l4bmi34567<>9i7obd01234113j2hgg=>?01641g=edb:;<=>;77`8fim789:;8:9m;cnh45678==3n6lck12345201k1i`f>?01273dd?46`a?gjl89:;<99lb:`oo56789>?05:3f>dkc9:;<=:71c9ahn6789:?4?l4bmi34567<19i7obd012341>3j2hgg=>?016;1g=edb:;<=>;87`8fim789:;859m;cnh45678=23n6lck123452?1k1i`f>?0127?49`a?gjl89:;<96lb:`oo56789>3ho5mlj2345630lh0nae?01230=`e3kf`<=>?05;3f>dkc9:;<=:61c9ahn6789:?5?l4bmi34567<09i7obd012341?3j2hgg=>?016:1g=edb:;<=>;97`8fim789:;849m;cnh45678=33n6lck123452>1k1i`f>?0127=dd?48`a?gjl89:;<97lb:`oo56789>2ho5mlj2345631lh0nae?01230<`e3kf`<=>?05c3f>dkc9:;<=:n1c9ahn6789:?m?l4bmi34567?016b1g=edb:;<=>;a7`8fim789:;8l9m;cnh45678=k3n6lck123452f1k1i`f>?0127edd?4``a?gjl89:;<9olb:`oo56789>jho5mlj234563ilh0nae?01230d`e3kf`<=>?05`3f>dkc9:;<=:m1c9ahn6789:?n?l4bmi34567?016a1g=edb:;<=>;b7`8fim789:;8o9m;cnh45678=h3n6lck123452e1k1i`f>?0127fdd?4c`a?gjl89:;<9llb:`oo56789>iho5mlj234563jlh0nae?01230g`e3kf`<=>?05a3f>dkc9:;<=:l1c9ahn6789:?o?l4bmi34567?016`1g=edb:;<=>;c7`8fim789:;8n9m;cnh45678=i3n6lck123452d1k1i`f>?0127gdd?4b`a?gjl89:;<9mlb:`oo56789>hho5mlj234563klh0nae?01230f`e3kf`<=>?05f3f>dkc9:;<=:k1c9ahn6789:?h?l4bmi34567?016g1g=edb:;<=>;d7`8fim789:;8i9m;cnh45678=n3n6lck123452c1k1i`f>?0127`dd?4e`a?gjl89:;<9jlb:`oo56789>oho5mlj234563llh0nae?01230a`e3kf`<=>?05g3f>dkc9:;<=:j1c9ahn6789:?i?l4bmi34567?016f1g=edb:;<=>;e7`8fim789:;8h9m;cnh45678=o3n6lck123452b1k1i`f>?0127add?4d`a?gjl89:;<9klb:`oo56789>nho5mlj234563mlh0nae?01230``e3kf`<=>?05d3f>dkc9:;<=:i1c9ahn6789:?j?l4bmi34567?016e1g=edb:;<=>;f7`8fim789:;8k9m;cnh45678=l3n6lck123452a1k1i`f>?0127bdd?4g`a?gjl89:;<9hlb:`oo56789>mho5mlj234563nlh0nae?01230c`e3kf`<=>?0423f>dkc9:;<=;?1c9ahn6789:>?01731g=edb:;<=>:07`8fim789:;9=9m;cnh45678<:3n6lck12345371k1i`f>?01264dd?51`a?gjl89:;<8>lb:`oo56789?;ho5mlj2345628lh0nae?012315`e3kf`<=>?0433f>dkc9:;<=;>1c9ahn6789:>=?l4bmi34567=89i7obd01234073j2hgg=>?01721g=edb:;<=>:17`8fim789:;9<9m;cnh45678<;3n6lck12345361k1i`f>?01265dd?50`a?gjl89:;<8?lb:`oo56789?:ho5mlj2345629lh0nae?012314`e3kf`<=>?0403f>dkc9:;<=;=1c9ahn6789:>>?l4bmi34567=;9i7obd01234043j2hgg=>?01711g=edb:;<=>:27`8fim789:;9?9m;cnh45678<83n6lck12345351k1i`f>?01266dd?53`a?gjl89:;<8?0413f>dkc9:;<=;<1c9ahn6789:>??l4bmi34567=:9i7obd01234053j2hgg=>?01701g=edb:;<=>:37`8fim789:;9>9m;cnh45678<93n6lck12345341k1i`f>?01267dd?52`a?gjl89:;<8=lb:`oo56789?8ho5mlj234562;lh0nae?012316`e3kf`<=>?0463f>dkc9:;<=;;1c9ahn6789:>8?l4bmi34567==9i7obd01234023j2hgg=>?01771g=edb:;<=>:47`8fim789:;999m;cnh45678<>3n6lck12345331k1i`f>?01260dd?55`a?gjl89:;<8:lb:`oo56789??ho5mlj234562?0473f>dkc9:;<=;:1c9ahn6789:>9?l4bmi34567=<9i7obd01234033j2hgg=>?01761g=edb:;<=>:57`8fim789:;989m;cnh45678?01261dd?54`a?gjl89:;<8;lb:`oo56789?>ho5mlj234562=lh0nae?012310`e3kf`<=>?0443f>dkc9:;<=;91c9ahn6789:>:?l4bmi34567=?9i7obd01234003j2hgg=>?01751g=edb:;<=>:67`8fim789:;9;9m;cnh45678<<3n6lck12345311k1i`f>?01262dd?57`a?gjl89:;<88lb:`oo56789?=ho5mlj234562>lh0nae?012313`e3kf`<=>?0453f>dkc9:;<=;81c9ahn6789:>;?l4bmi34567=>9i7obd01234013j2hgg=>?01741g=edb:;<=>:77`8fim789:;9:9m;cnh45678<=3n6lck12345301k1i`f>?01263dd?56`a?gjl89:;<89lb:`oo56789??04:3f>dkc9:;<=;71c9ahn6789:>4?l4bmi34567=19i7obd012340>3j2hgg=>?017;1g=edb:;<=>:87`8fim789:;959m;cnh45678<23n6lck123453?1k1i`f>?0126?59`a?gjl89:;<86lb:`oo56789?3ho5mlj2345620lh0nae?01231=`e3kf`<=>?04;3f>dkc9:;<=;61c9ahn6789:>5?l4bmi34567=09i7obd012340?3j2hgg=>?017:1g=edb:;<=>:97`8fim789:;949m;cnh45678<33n6lck123453>1k1i`f>?0126=dd?58`a?gjl89:;<87lb:`oo56789?2ho5mlj2345621lh0nae?01231<`e3kf`<=>?04c3f>dkc9:;<=;n1c9ahn6789:>m?l4bmi34567=h9i7obd012340g3j2hgg=>?017b1g=edb:;<=>:a7`8fim789:;9l9m;cnh45678?0126edd?5``a?gjl89:;<8olb:`oo56789?jho5mlj234562ilh0nae?01231d`e3kf`<=>?04`3f>dkc9:;<=;m1c9ahn6789:>n?l4bmi34567=k9i7obd012340d3j2hgg=>?017a1g=edb:;<=>:b7`8fim789:;9o9m;cnh45678?0126fdd?5c`a?gjl89:;<8llb:`oo56789?iho5mlj234562jlh0nae?01231g`e3kf`<=>?04a3f>dkc9:;<=;l1c9ahn6789:>o?l4bmi34567=j9i7obd012340e3j2hgg=>?017`1g=edb:;<=>:c7`8fim789:;9n9m;cnh45678?0126gdd?5b`a?gjl89:;<8mlb:`oo56789?hho5mlj234562klh0nae?01231f`e3kf`<=>?04f3f>dkc9:;<=;k1c9ahn6789:>h?l4bmi34567=m9i7obd012340b3j2hgg=>?017g1g=edb:;<=>:d7`8fim789:;9i9m;cnh45678?0126`dd?5e`a?gjl89:;<8jlb:`oo56789?oho5mlj234562llh0nae?01231a`e3kf`<=>?04g3f>dkc9:;<=;j1c9ahn6789:>i?l4bmi34567=l9i7obd012340c3j2hgg=>?017f1g=edb:;<=>:e7`8fim789:;9h9m;cnh45678?0126add?5d`a?gjl89:;<8klb:`oo56789?nho5mlj234562mlh0nae?01231``e3kf`<=>?04d3f>dkc9:;<=;i1c9ahn6789:>j?l4bmi34567=o9i7obd012340`3j2hgg=>?017e1g=edb:;<=>:f7`8fim789:;9k9m;cnh45678?0126bdd?5g`a?gjl89:;<8hlb:`oo56789?mho5mlj234562nlh0nae?01231c`e3kf`<=>?0723f>dkc9:;<=8?1c9ahn6789:=99i7obd01234363j2hgg=>?01431g=edb:;<=>907`8fim789:;:=9m;cnh45678?:3n6lck12345071k1i`f>?01254dd?61`a?gjl89:;<;>lb:`oo56789<;ho5mlj2345618lh0nae?012325`e3kf`<=>?0733f>dkc9:;<=8>1c9ahn6789:==?l4bmi34567>89i7obd01234373j2hgg=>?01421g=edb:;<=>917`8fim789:;:<9m;cnh45678?;3n6lck12345061k1i`f>?01255dd?60`a?gjl89:;<;?lb:`oo56789<:ho5mlj2345619lh0nae?012324`e3kf`<=>?0703f>dkc9:;<=8=1c9ahn6789:=>?l4bmi34567>;9i7obd01234343j2hgg=>?01411g=edb:;<=>927`8fim789:;:?9m;cnh45678?83n6lck12345051k1i`f>?01256dd?63`a?gjl89:;<;?0713f>dkc9:;<=8<1c9ahn6789:=??l4bmi34567>:9i7obd01234353j2hgg=>?01401g=edb:;<=>937`8fim789:;:>9m;cnh45678?93n6lck12345041k1i`f>?01257dd?62`a?gjl89:;<;=lb:`oo56789<8ho5mlj234561;lh0nae?012326`e3kf`<=>?0763f>dkc9:;<=8;1c9ahn6789:=8?l4bmi34567>=9i7obd01234323j2hgg=>?01471g=edb:;<=>947`8fim789:;:99m;cnh45678?>3n6lck12345031k1i`f>?01250dd?65`a?gjl89:;<;:lb:`oo56789?0773f>dkc9:;<=8:1c9ahn6789:=9?l4bmi34567><9i7obd01234333j2hgg=>?01461g=edb:;<=>957`8fim789:;:89m;cnh45678??3n6lck12345021k1i`f>?01251dd?64`a?gjl89:;<;;lb:`oo56789<>ho5mlj234561=lh0nae?012320`e3kf`<=>?0743f>dkc9:;<=891c9ahn6789:=:?l4bmi34567>?9i7obd01234303j2hgg=>?01451g=edb:;<=>967`8fim789:;:;9m;cnh45678?<3n6lck12345011k1i`f>?01252dd?67`a?gjl89:;<;8lb:`oo56789<=ho5mlj234561>lh0nae?012323`e3kf`<=>?0753f>dkc9:;<=881c9ahn6789:=;?l4bmi34567>>9i7obd01234313j2hgg=>?01441g=edb:;<=>977`8fim789:;::9m;cnh45678?=3n6lck12345001k1i`f>?01253dd?66`a?gjl89:;<;9lb:`oo56789<?07:3f>dkc9:;<=871c9ahn6789:=4?l4bmi34567>19i7obd012343>3j2hgg=>?014;1g=edb:;<=>987`8fim789:;:59m;cnh45678?23n6lck123450?1k1i`f>?0125?69`a?gjl89:;<;6lb:`oo56789<3ho5mlj2345610lh0nae?01232=`e3kf`<=>?07;3f>dkc9:;<=861c9ahn6789:=5?l4bmi34567>09i7obd012343?3j2hgg=>?014:1g=edb:;<=>997`8fim789:;:49m;cnh45678?33n6lck123450>1k1i`f>?0125=dd?68`a?gjl89:;<;7lb:`oo56789<2ho5mlj2345611lh0nae?01232<`e3kf`<=>?07c3f>dkc9:;<=8n1c9ahn6789:=m?l4bmi34567>h9i7obd012343g3j2hgg=>?014b1g=edb:;<=>9a7`8fim789:;:l9m;cnh45678?k3n6lck123450f1k1i`f>?0125edd?6``a?gjl89:;<;olb:`oo56789?07`3f>dkc9:;<=8m1c9ahn6789:=n?l4bmi34567>k9i7obd012343d3j2hgg=>?014a1g=edb:;<=>9b7`8fim789:;:o9m;cnh45678?h3n6lck123450e1k1i`f>?0125fdd?6c`a?gjl89:;<;llb:`oo56789?07a3f>dkc9:;<=8l1c9ahn6789:=o?l4bmi34567>j9i7obd012343e3j2hgg=>?014`1g=edb:;<=>9c7`8fim789:;:n9m;cnh45678?i3n6lck123450d1k1i`f>?0125gdd?6b`a?gjl89:;<;mlb:`oo56789?07f3f>dkc9:;<=8k1c9ahn6789:=h?l4bmi34567>m9i7obd012343b3j2hgg=>?014g1g=edb:;<=>9d7`8fim789:;:i9m;cnh45678?n3n6lck123450c1k1i`f>?0125`dd?6e`a?gjl89:;<;jlb:`oo56789?07g3f>dkc9:;<=8j1c9ahn6789:=i?l4bmi34567>l9i7obd012343c3j2hgg=>?014f1g=edb:;<=>9e7`8fim789:;:h9m;cnh45678?o3n6lck123450b1k1i`f>?0125add?6d`a?gjl89:;<;klb:`oo56789?07d3f>dkc9:;<=8i1c9ahn6789:=j?l4bmi34567>o9i7obd012343`3j2hgg=>?014e1g=edb:;<=>9f7`8fim789:;:k9m;cnh45678?l3n6lck123450a1k1i`f>?0125bdd?6g`a?gjl89:;<;hlb:`oo56789?0623f>dkc9:;<=9?1c9ahn6789:<?01531g=edb:;<=>807`8fim789:;;=9m;cnh45678>:3n6lck12345171k1i`f>?01244dd?71`a?gjl89:;<:>lb:`oo56789=;ho5mlj2345608lh0nae?012335`e3kf`<=>?0633f>dkc9:;<=9>1c9ahn6789:<=?l4bmi34567?89i7obd01234273j2hgg=>?01521g=edb:;<=>817`8fim789:;;<9m;cnh45678>;3n6lck12345161k1i`f>?01245dd?70`a?gjl89:;<:?lb:`oo56789=:ho5mlj2345609lh0nae?012334`e3kf`<=>?0603f>dkc9:;<=9=1c9ahn6789:<>?l4bmi34567?;9i7obd01234243j2hgg=>?01511g=edb:;<=>827`8fim789:;;?9m;cnh45678>83n6lck12345151k1i`f>?01246dd?73`a?gjl89:;<:?0613f>dkc9:;<=9<1c9ahn6789:?01501g=edb:;<=>837`8fim789:;;>9m;cnh45678>93n6lck12345141k1i`f>?01247dd?72`a?gjl89:;<:=lb:`oo56789=8ho5mlj234560;lh0nae?012336`e3kf`<=>?0663f>dkc9:;<=9;1c9ahn6789:<8?l4bmi34567?=9i7obd01234223j2hgg=>?01571g=edb:;<=>847`8fim789:;;99m;cnh45678>>3n6lck12345131k1i`f>?01240dd?75`a?gjl89:;<::lb:`oo56789=?ho5mlj234560?0673f>dkc9:;<=9:1c9ahn6789:<9?l4bmi34567?<9i7obd01234233j2hgg=>?01561g=edb:;<=>857`8fim789:;;89m;cnh45678>?3n6lck12345121k1i`f>?01241dd?74`a?gjl89:;<:;lb:`oo56789=>ho5mlj234560=lh0nae?012330`e3kf`<=>?0643f>dkc9:;<=991c9ahn6789:<:?l4bmi34567??9i7obd01234203j2hgg=>?01551g=edb:;<=>867`8fim789:;;;9m;cnh45678><3n6lck12345111k1i`f>?01242dd?77`a?gjl89:;<:8lb:`oo56789==ho5mlj234560>lh0nae?012333`e3kf`<=>?0653f>dkc9:;<=981c9ahn6789:<;?l4bmi34567?>9i7obd01234213j2hgg=>?01541g=edb:;<=>877`8fim789:;;:9m;cnh45678>=3n6lck12345101k1i`f>?01243dd?76`a?gjl89:;<:9lb:`oo56789=?06:3f>dkc9:;<=971c9ahn6789:<4?l4bmi34567?1997nkn;bjbjZoi 9#h7nfnn^km85<76k1hdl`Potv+4,behmoUoec&>0(a8gjcaWmce$$m4cnge[aoi 89"o6m`eg]gmk.6< i0obki_ekm,43.k2idikQkio*22,eehmoUoec&=)c9`k``Xl`d#?$l4cnge[aoi =#i7najf^fjj-3.j2idikQkio*5-g=dgllThd`'7(`8gjcaWmce$5'm;bmfbZbnf!3"n6m`eg]gmk:76j1hchhPdhl?558d3jenjRjfn=32:f=dgllThd`313<`?fibnVnbb1?<>b9`k``Xl`d7=90l;bmfbZbnf5;>2n5lodd\`lh;9?4n7najf^fjj970294h7najf^fjj9706k1hchhPdhl?5;dehmoUoec27>c9`k``Xl`d753?=;bmfbZ`nd}oyS~kc(1+20>ehmoUmeazjr^qfh96=87=0obki_mf4?fibnV}nm6jnt`]ueioc>2nbb%>&6:fjj-7.?2nbb%??)69gmk.69 =0hd`'13+4?aoi 89";6jfn)37-2=cag":9$94dhl+53/03mce$<9&7:fjj-7?!>1oec&>9(48`lh/: =0hd`'21+4?aoi ;;";6jfn)01-2=cag"9?$84dhl+7,0>4?>99gmkY6 9#37iga_0*2-<=cagU:$<>&9:fjjZ7/98#27iga_0*26,?"56jfn^3+50/>3mceS<&>6(;8`lhX9!;<%45kio]2,4>.12nbbR?'18+;?aoiW8"9%45kio]2,76.12nbbR?'20+:?aoiW8"9>$74dhl\5-44!11oecQ>(2+;?aoiW8"?%55kio]2,0/?3mceS<&9)99gmkY6 >#37iga_0*;-==cagU:$4'6;ekm[46/8 30hd`P11*2-d=cagU:<%??)`9gmkY68!;:%l5kio]24-75!h1oecQ>0)30-d=cagU:<%?;)`9gmkY68!;>%l5kio]24-71!h1oecQ>0)34-d=cagU:<%?7)`9gmkY68!;2%45kio]24-4.i2nbbR??(32*e>bnfV;;$??&a:fjjZ77 ;8"m6jfn^33,75.12nbbR??(2+:?aoiW8:#8$74dhl\55.2!01oecQ>0)4*=>bnfV;;$:'6;ekm[46/0 30hd`P11*:-f=cagU:<1<<:1<:?aoiW8;#<$74dhl\54.6!h1oecQ>1)33-d=cagU:=%?>)`9gmkY69!;9%l5kio]25-74!h1oecQ>1)37-d=cagU:=%?:)`9gmkY69!;=%l5kio]25-70!h1oecQ>1)3;-d=cagU:=%?6)89gmkY69!8"m6jfn^32,76.i2nbbR?>(33*e>bnfV;:$?<&a:fjjZ76 ;9"56jfn^32,6/>3mceS%45kio]25-0.12nbbR?>(6+:?aoiW8;#4$74dhl\54.>!j1oecQ>1=00>58>3mceS<<'0(;8`lhX9;":%l5kio]26-77!h1oecQ>2)32-d=cagU:>%?=)`9gmkY6:!;8%l5kio]26-73!h1oecQ>2)36-d=cagU:>%?9)`9gmkY6:!;<%l5kio]26-7?!h1oecQ>2)3:-<=cagU:>%<&a:fjjZ75 ;:"m6jfn^31,77.i2nbbR?=(30*e>bnfV;9$?=&9:fjjZ75 :#27iga_00+0,?3mceS<<'8(;8`lhX9;"2%n5kio]2694429427iga_01+4,?&>)`9gmkY6;!;;%l5kio]27-76!h1oecQ>3)31-d=cagU:?%?<)`9gmkY6;!;?%l5kio]27-72!h1oecQ>3)35-d=cagU:?%?8)`9gmkY6;!;3%l5kio]27-7>!01oecQ>3)0*e>bnfV;8$?>&a:fjjZ74 ;;"m6jfn^30,74.i2nbbR?<(31*=>bnfV;8$>'6;ekm[45/< 30hd`P12*6-<=cagU:?%8&9:fjjZ74 >#27iga_01+<,?&6)b9gmkY6;5886=06;ekm[42/8 30hd`P15*2-d=cagU:8%??)`9gmkY64)30-d=cagU:8%?;)`9gmkY6%l5kio]20-71!h1oecQ>4)34-d=cagU:8%?7)`9gmkY6bnfV;?$??&a:fjjZ73 ;8"m6jfn^37,75.12nbbR?;(2+:?aoiW8>#8$74dhl\51.2!01oecQ>4)4*=>bnfV;?$:'6;ekm[42/0 30hd`P15*:-f=cagU:81<<:1<:?aoiW8?#<$74dhl\50.6!h1oecQ>5)33-d=cagU:9%?>)`9gmkY6=!;9%l5kio]21-74!h1oecQ>5)37-d=cagU:9%?:)`9gmkY6=!;=%l5kio]21-70!h1oecQ>5)3;-d=cagU:9%?6)89gmkY6=!8"m6jfn^36,76.i2nbbR?:(33*e>bnfV;>$?<&a:fjjZ72 ;9"56jfn^36,6/>3mceS<;'4(;8`lhX9<">%45kio]21-0.12nbbR?:(6+:?aoiW8?#4$74dhl\50.>!j1oecQ>5=00>58>3mceS<8'0(;8`lhX9?":%l5kio]22-77!h1oecQ>6)32-d=cagU::%?=)`9gmkY6>!;8%l5kio]22-73!h1oecQ>6)36-d=cagU::%?9)`9gmkY6>!;<%l5kio]22-7?!h1oecQ>6)3:-<=cagU::%<&a:fjjZ71 ;:"m6jfn^35,77.i2nbbR?9(30*e>bnfV;=$?=&9:fjjZ71 :#27iga_04+0,?!<"56jfn^35,2/>3mceS<8'8(;8`lhX9?"2%n5kio]2294429437iga_0>3:<=cagU:0<>19:fjjZ7;98427iga_0>26;?89gmkY648>556jfn^3?508>3mceS<2>6?;8`lhX95;<245kio]284>912nbbR?318<;?aoiW86:245kio]2876912nbbR?320<:?aoiW869>3l4dhl\594429427iga_0>17;>bnfV;79364dhl\590902nbbR?37?:8`lhX952546jfn^3?=;>%>&8:fjjZ4/9 30hd`P2)33-<=cagU9$%?;)89gmkY5 8?"56jfn^0+53/>3mceS?&>7(;8`lhX:!;3%45kio]1,4?.02nbbR<'2(;8`lhX:!8;%45kio]1,77.12nbbR<'23+:?aoiW;"9?$64dhl\6-5.02nbbR<'4(:8`lhX:!?"46jfn^0+2,>%9&8:fjjZ4/0 20hd`P2);*<>bnfV87<374dhl\6977601oecQ=<03==>bnfV87=?06;ekm[7:6;730hd`P2=37:<=cagU90<;19:fjjZ4;9?427iga_3>23;?1?7>89gmkY5483546jfn^0?5;?189gmkY54;;556jfn^0?678e3mceS?2=3;2==>bnfV87>>07;ekm[7:5611oecQ=<2<;?aoiW;6?255kio]1808?3mceS?29>99gmkY54>437iga_3>;:==cagU90407;ekm[6.7!11oecQ<(0+:?aoiW:":<$74dhl\7-76!01oecQ<(00*=>bnfV9#=>'6;ekm[6.6< 30hd`P3)36-<=cagU8$<8&9:fjjZ5/9>#27iga_2*2<,?)89gmkY4 ;8"56jfn^1+66/?3mceS>&<)99gmkY4 =#37iga_2*6-==cagU8$;'7;ekm[6.0!11oecQ<(9+;?aoiW:"2%o5kio]0875=8720hd`P4)2*<>bnfV>#=$74dhl\0-77!01oecQ;(03*=>bnfV>#=?'6;ekm[1.6; 30hd`P4)37-<=cagU?$<;&9:fjjZ2/9?#27iga_5*23,?3mceS9&=3(:8`lhX 20hd`P4)5*<>bnfV>#4$64dhl\0-?.j2nbbR:32283:==cagU>$='7;ekm[0.6!01oecQ:(02*=>bnfV?#=<'6;ekm[0.6: 30hd`P5)30-<=cagU>$<:&9:fjjZ3/9<#27iga_4*22,?3mceS8&=2(;8`lhX=!88%55kio]6,6/?3mceS8&;)99gmkY2 <#37iga_4*5-==cagU>$:'7;ekm[0.?!11oecQ:(8+a?aoiW<69?7>18:fjjZ0/8 20hd`P6)3*=>bnfV<#=='6;ekm[3.69 30hd`P6)31-<=cagU=$<=&9:fjjZ0/9=#27iga_7*21,?3mceS;&=1(;8`lhX>!89%45kio]5,75.02nbbR8'3(:8`lhX>!>"46jfn^4+1,>bnfV<#5$l4dhl\294429437iga_6*3-==cagU<$<'6;ekm[2.68 30hd`P7)32-<=cagU<$<<&9:fjjZ1/9:#27iga_6*20,?3mceS:&>8(;8`lhX?!;2%55kio]4,7/>3mceS:&=0(;8`lhX?!8:%45kio]4,74.12nbbR9'22+;?aoiW>"8%55kio]4,1/?3mceS:&:)99gmkY0 ?#37iga_6*4-==cagU<$5'7;ekm[2.>!k1oecQ8<3194;>&8:fjjZ>/9 30hd`P8)33-<=cagU3$/9;#27iga_9*27,?3mceS5&>7(;8`lhX0!;3%45kio];,4?.02nbbR6'2(;8`lhX0!8;%45kio];,77.12nbbR6'23+:?aoiW1"9?$64dhl\<-5.02nbbR6'4(:8`lhX0!?"46jfn^:+2,>/0 20hd`P8);*f>bnfV27>>4?>99gmkY> 9#37iga_8*2-<=cagU2$<>&9:fjjZ?/98#27iga_8*26,? 8>"56jfn^;+50/>3mceS4&>6(;8`lhX1!;<%45kio]:,4>.12nbbR7'18+;?aoiW0"9%45kio]:,76.12nbbR7'20+:?aoiW0"9>$74dhl\=-44!11oecQ6(2+;?aoiW0"?%55kio]:,0/?3mceS4&9)99gmkY> >#37iga_8*;-==cagU2$4'm;ekm[<:5;3:5;6j`uu*3-2=cg|~#=$64dnww,46.02ndyy&>1(:8`jss 88"46j`uu*27,>bh}}":;$64dnww,4>.02ndyy&>9(58`jss ;#37iazt)03-==cg|~#><'7;emvp-45!11ocxz'22+;?air|!8?%55kotv+60/?3me~x%<9)99gkpr/:>#37iazt)0;-==cg|~#>4'8;emvp-5.02ndyy&<0(:8`jss :;"46j`uu*06,>=&8:flqq.4< 20hb{{(27*<>bh}}"8:$64dnww,61.02ndyy&<8(:8`jss :3";6j`uu*7-==cg|~#8='7;emvp-26!11ocxz'43+;?air|!>8%55kotv+01/?3me~x%::)99gkpr/bh}}"=%:5kotv+3,1bh}}U:$='6;emvpZ7/9 k0hb{{_0*24,g1(c8`jssW8":>$o4dnww[4.6; k0hb{{_0*20,g5(c8`jssW8"::$o4dnww[4.6? k0hb{{_0*2<,g9(;8`jssW8"9%l5kotv\5-47!h1ocxzP1)02-d=cg|~T=%<=)`9gkprX9!88%l5kotv\5-43!h1ocxzP1)06-d=cg|~T=%<9)`9gkprX9!8<%l5kotv\5-4?!h1ocxzP1)0:-<=cg|~T=%=&a:flqqY6 ::"m6j`uu]2,67.i2ndyyQ>(20*e>bh}}U:$>=&a:flqqY6 :>"m6j`uu]2,63.i2ndyyQ>(24*e>bh}}U:$>9&a:flqqY6 :2"m6j`uu]2,6?.12ndyyQ>(5+b?air|V;#8='n;emvpZ7/<8#j7iazt^3+07/f3me~xR?'42+b?air|V;#89'n;emvpZ7/<<#j7iazt^3+03/>3me~xR?'5(;8`jssW8"=%45kotv\5-1.12ndyyQ>(9+:?air|V;#5$o4dnww[46/8 k0hb{{_02+5,d'11+a?air|V;;$bh}}U:<%?9)c9gkprX99":;$l4dnww[46/91#i7iazt^33,4?.i2ndyyQ>0)0*f>bh}}U:<%0)07-g=cg|~T==&=5(`8`jssW8:#>;'m;emvpZ77 ;="n6j`uu]24-4?!k1ocxzP11*1=,g'3(`8`jssW8:#?='m;emvpZ77 :;"n6j`uu]24-55!k1ocxzP11*07,d'35+a?air|V;;$>;&b:flqqY68!9=%o5kotv\55.4? h0hb{{_02+7=/e3me~xR??(2;*e>bh}}U:<%:&b:flqqY68!>;%o5kotv\55.39 h0hb{{_02+07/e3me~xR??(51*f>bh}}U:<%:;)c9gkprX99"?9$l4dnww[46/1)31-g=cg|~T=<&>3(`8`jssW8;#=9'm;emvpZ76 8?"n6j`uu]25-71!k1ocxzP10*23,d(35*f>bh}}U:=%<7)c9gkprX98"95$o4dnww[47/; h0hb{{_03+75/e3me~xR?>(23*f>bh}}U:=%==)c9gkprX98"8?$l4dnww[47/;=#i7iazt^32,63.j2ndyyQ>1)15-g=cg|~T=<&<7(`8`jssW8;#?5'm;emvpZ76 :3"m6j`uu]25-2.j2ndyyQ>1)63-g=cg|~T=<&;1(`8`jssW8;#8?'m;emvpZ76 =9"n6j`uu]25-23!k1ocxzP10*71,d(9+b?air|V;:$4'k;emvpZ764=<1<3o4dnww[44/8 k0hb{{_00+5,dbh}}U:>%?9)c9gkprX9;":;$l4dnww[44/91#i7iazt^31,4?.i2ndyyQ>2)0*f>bh}}U:>%2)07-g=cg|~T=?&=5(`8`jssW88#>;'m;emvpZ75 ;="n6j`uu]26-4?!k1ocxzP13*1=,g;&b:flqqY6:!9=%o5kotv\57.4? h0hb{{_00+7=/e3me~xR?=(2;*e>bh}}U:>%:&b:flqqY6:!>;%o5kotv\57.39 h0hb{{_00+07/e3me~xR?=(51*f>bh}}U:>%:;)c9gkprX9;"?9$l4dnww[44/3)31-g=cg|~T=>&>3(`8`jssW89#=9'm;emvpZ74 8?"n6j`uu]27-71!k1ocxzP12*23,dbh}}U:?%<7)c9gkprX9:"95$o4dnww[45/; h0hb{{_01+75/e3me~xR?<(23*f>bh}}U:?%==)c9gkprX9:"8?$l4dnww[45/;=#i7iazt^30,63.j2ndyyQ>3)15-g=cg|~T=>&<7(`8`jssW89#?5'm;emvpZ74 :3"m6j`uu]27-2.j2ndyyQ>3)63-g=cg|~T=>&;1(`8`jssW89#8?'m;emvpZ74 =9"n6j`uu]27-23!k1ocxzP12*71,dbh}}U:8%?9)c9gkprX9=":;$l4dnww[42/91#i7iazt^37,4?.i2ndyyQ>4)0*f>bh}}U:8%4)07-g=cg|~T=9&=5(`8`jssW8>#>;'m;emvpZ73 ;="n6j`uu]20-4?!k1ocxzP15*1=,g#?='m;emvpZ73 :;"n6j`uu]20-55!k1ocxzP15*07,d;&b:flqqY6bh}}U:8%:&b:flqqY6;%o5kotv\51.39 h0hb{{_06+07/e3me~xR?;(51*f>bh}}U:8%:;)c9gkprX9="?9$l4dnww[42/5)31-g=cg|~T=8&>3(`8`jssW8?#=9'm;emvpZ72 8?"n6j`uu]21-71!k1ocxzP14*23,d$<7&a:flqqY6=!8"n6j`uu]21-47!k1ocxzP14*15,d$?=&b:flqqY6=!8?%o5kotv\50.5= h0hb{{_07+63/e3me~xR?:(35*f>bh}}U:9%<7)c9gkprX9<"95$o4dnww[43/; h0hb{{_07+75/e3me~xR?:(23*f>bh}}U:9%==)c9gkprX9<"8?$l4dnww[43/;=#i7iazt^36,63.j2ndyyQ>5)15-g=cg|~T=8&<7(`8`jssW8?#?5'm;emvpZ72 :3"m6j`uu]21-2.j2ndyyQ>5)63-g=cg|~T=8&;1(`8`jssW8?#8?'m;emvpZ72 =9"n6j`uu]21-23!k1ocxzP14*71,d$8'n;emvpZ72 ?#j7iazt^36,2/f3me~xR?:(9+b?air|V;>$4'k;emvpZ724=<1<3o4dnww[40/8 k0hb{{_04+5,d!;9%o5kotv\53.6; h0hb{{_04+51/e3me~xR?9(07*f>bh}}U::%?9)c9gkprX9?":;$l4dnww[40/91#i7iazt^35,4?.i2ndyyQ>6)0*f>bh}}U::%6)07-g=cg|~T=;&=5(`8`jssW8<#>;'m;emvpZ71 ;="n6j`uu]22-4?!k1ocxzP17*1=,g;&b:flqqY6>!9=%o5kotv\53.4? h0hb{{_04+7=/e3me~xR?9(2;*e>bh}}U::%:&b:flqqY6>!>;%o5kotv\53.39 h0hb{{_04+07/e3me~xR?9(51*f>bh}}U::%:;)c9gkprX9?"?9$l4dnww[40/`9gkprX95;;2l5kotv\59766h1ocxzP1=31:d=cg|~T=1?<>`9gkprX95;?2l5kotv\59726h1ocxzP1=35:d=cg|~T=1?8>`9gkprX95;32l5kotv\597>601ocxzP1=3=e>bh}}U:0?>1a:flqqY64;;5m6j`uu]28749i2ndyyQ><31=e>bh}}U:0?:1a:flqqY64;?5m6j`uu]28709i2ndyyQ><35=e>bh}}U:0?61a:flqqY64;3556j`uu]2878f3me~xR?33174;g3o4dnww[4:3;7k0hb{{_0>70;g1a:flqqY64=<556j`uu]2818>3me~xR?35?;8`jssW86=245kotv\591912ndyyQ><9<:?air|V;75374dnww[7.7!01ocxzP2)3*e>bh}}U9$<>&a:flqqY5 8;"m6j`uu]1,44.i2ndyyQ=(01*e>bh}}U9$<:&a:flqqY5 8?"m6j`uu]1,40.i2ndyyQ=(05*e>bh}}U9$<6&a:flqqY5 83"56j`uu]1,7/f3me~xR<'21+b?air|V8#><'n;emvpZ4/:;#j7iazt^0+66/f3me~xR<'25+b?air|V8#>8'n;emvpZ4/:?#j7iazt^0+62/f3me~xR<'29+b?air|V8#>4'6;emvpZ4/; k0hb{{_3*04,g$o4dnww[7.4; k0hb{{_3*00,g%:=)`9gkprX:!>8%l5kotv\6-23!h1ocxzP2)66-d=cg|~T>%:9)89gkprX:!?"56j`uu]1,3/>3me~xR<'7(;8`jssW;"3%45kotv\6-?.12ndyyQ=<117;g7k0hb{{_3>13;g1=>>`9gkprX:5992l5kotv\69546h1ocxzP2=17:d=cg|~T>1=:>`9gkprX:59=2l5kotv\69506h1ocxzP2=1;:d=cg|~T>1=6>89gkprX:595m6j`uu]18169i2ndyyQ=<53=e>bh}}U909<1a:flqqY54=95m6j`uu]18129i2ndyyQ=<57=g>bh}}U909850?c8`jssW;6?:374dnww[7:3601ocxzP2=7==>bh}}U90;06;emvpZ4;?730hb{{_3>;:<=cg|~T>1719:flqqY4 9#27iazt^1+5,g&>0(c8`jssW:":=$o4dnww[6.6: k0hb{{_2*27,g&>4(c8`jssW:":9$o4dnww[6.6> k0hb{{_2*23,g&>8(c8`jssW:":5$74dnww[6.5!h1ocxzP3)03-d=cg|~T?%<>)`9gkprX;!89%l5kotv\7-44!h1ocxzP3)07-d=cg|~T?%<:)`9gkprX;!8=%l5kotv\7-40!h1ocxzP3)0;-d=cg|~T?%<6)89gkprX;!9"m6j`uu]0,66.i2ndyyQ<(23*e>bh}}U8$><&a:flqqY4 :9"m6j`uu]0,62.i2ndyyQ<(27*e>bh}}U8$>8&a:flqqY4 :="m6j`uu]0,6>.i2ndyyQ<(2;*=>bh}}U8$9'n;emvpZ5/<9#j7iazt^1+04/f3me~xR='43+b?air|V9#8>'n;emvpZ5/<=#j7iazt^1+00/f3me~xR='47+:?air|V9#9$74dnww[6.1!01ocxzP3)5*=>bh}}U8$5'6;emvpZ5/1 i0hb{{_2>72?6912ndyyQ;(1+:?air|V>#=$o4dnww[1.68 k0hb{{_5*25,g2(c8`jssW=":?$o4dnww[1.6< k0hb{{_5*21,g6(c8`jssW=":;$o4dnww[1.60 k0hb{{_5*2=,?!01ocxzP4)1*e>bh}}U?$>>&a:flqqY3 :;"m6j`uu]7,64.i2ndyyQ;(21*e>bh}}U?$>:&a:flqqY3 :?"m6j`uu]7,60.i2ndyyQ;(25*e>bh}}U?$>6&a:flqqY3 :3"56j`uu]7,1/f3me~xR:'41+b?air|V>#8<'n;emvpZ2/<;#j7iazt^6+06/f3me~xR:'45+b?air|V>#88'n;emvpZ2/3me~xR:'9(a8`jssW=6?:7>19:flqqY2 9#27iazt^7+5,g0(c8`jssW<":=$o4dnww[0.6: k0hb{{_4*27,g4(c8`jssW<":9$o4dnww[0.6> k0hb{{_4*23,g8(c8`jssW<":5$74dnww[0.5!h1ocxzP5)03-d=cg|~T9%<>)`9gkprX=!89%l5kotv\1-44!h1ocxzP5)07-d=cg|~T9%<:)`9gkprX=!8=%l5kotv\1-40!h1ocxzP5)0;-d=cg|~T9%<6)89gkprX=!9"m6j`uu]6,66.i2ndyyQ:(23*e>bh}}U>$><&a:flqqY2 :9"m6j`uu]6,62.i2ndyyQ:(27*e>bh}}U>$>8&a:flqqY2 :="m6j`uu]6,6>.i2ndyyQ:(2;*=>bh}}U>$9'n;emvpZ3/<9#j7iazt^7+04/f3me~xR;'43+b?air|V?#8>'n;emvpZ3/<=#j7iazt^7+00/f3me~xR;'47+:?air|V?#9$74dnww[0.1!01ocxzP5)5*=>bh}}U>$5'6;emvpZ3/1 i0hb{{_4>72?6912ndyyQ9(1+:?air|V<#=$o4dnww[3.68 k0hb{{_7*25,g2(c8`jssW?":?$o4dnww[3.6< k0hb{{_7*21,g6(c8`jssW?":;$o4dnww[3.60 k0hb{{_7*2=,?!8;%l5kotv\2-46!h1ocxzP6)01-d=cg|~T:%<<)`9gkprX>!8?%l5kotv\2-42!h1ocxzP6)05-d=cg|~T:%<8)`9gkprX>!83%l5kotv\2-4>!01ocxzP6)1*e>bh}}U=$>>&a:flqqY1 :;"m6j`uu]5,64.i2ndyyQ9(21*e>bh}}U=$>:&a:flqqY1 :?"m6j`uu]5,60.i2ndyyQ9(25*e>bh}}U=$>6&a:flqqY1 :3"56j`uu]5,1/f3me~xR8'41+b?air|V<#8<'n;emvpZ0/<;#j7iazt^4+06/f3me~xR8'45+b?air|V<#88'n;emvpZ0/!="56j`uu]5,=/>3me~xR8'9(a8`jssW?6?:7>19:flqqY0 9#27iazt^5+5,g0(c8`jssW>":=$o4dnww[2.6: k0hb{{_6*27,g4(c8`jssW>":9$o4dnww[2.6> k0hb{{_6*23,g8(c8`jssW>":5$74dnww[2.5!h1ocxzP7)03-d=cg|~T;%<>)`9gkprX?!89%l5kotv\3-44!h1ocxzP7)07-d=cg|~T;%<:)`9gkprX?!8=%l5kotv\3-40!h1ocxzP7)0;-d=cg|~T;%<6)89gkprX?!9"m6j`uu]4,66.i2ndyyQ8(23*e>bh}}U<$><&a:flqqY0 :9"m6j`uu]4,62.i2ndyyQ8(27*e>bh}}U<$>8&a:flqqY0 :="m6j`uu]4,6>.i2ndyyQ8(2;*=>bh}}U<$9'n;emvpZ1/<9#j7iazt^5+04/f3me~xR9'43+b?air|V=#8>'n;emvpZ1/<=#j7iazt^5+00/f3me~xR9'47+:?air|V=#9$74dnww[2.1!01ocxzP7)5*=>bh}}U<$5'6;emvpZ1/1 i0hb{{_6>72?6912ndyyQ7(1+:?air|V2#=$o4dnww[=.68 k0hb{{_9*25,g2(c8`jssW1":?$o4dnww[=.6< k0hb{{_9*21,g6(c8`jssW1":;$o4dnww[=.60 k0hb{{_9*2=,?!01ocxzP8)1*e>bh}}U3$>>&a:flqqY? :;"m6j`uu];,64.i2ndyyQ7(21*e>bh}}U3$>:&a:flqqY? :?"m6j`uu];,60.i2ndyyQ7(25*e>bh}}U3$>6&a:flqqY? :3"56j`uu];,1/f3me~xR6'41+b?air|V2#8<'n;emvpZ>/<;#j7iazt^:+06/f3me~xR6'45+b?air|V2#88'n;emvpZ>/3me~xR6'9(a8`jssW16?:7>19:flqqY> 9#27iazt^;+5,g0(c8`jssW0":=$o4dnww[<.6: k0hb{{_8*27,g4(c8`jssW0":9$o4dnww[<.6> k0hb{{_8*23,g8(c8`jssW0":5$74dnww[<.5!h1ocxzP9)03-d=cg|~T5%<>)`9gkprX1!89%l5kotv\=-44!h1ocxzP9)07-d=cg|~T5%<:)`9gkprX1!8=%l5kotv\=-40!h1ocxzP9)0;-d=cg|~T5%<6)89gkprX1!9"m6j`uu]:,66.i2ndyyQ6(23*e>bh}}U2$><&a:flqqY> :9"m6j`uu]:,62.i2ndyyQ6(27*e>bh}}U2$>8&a:flqqY> :="m6j`uu]:,6>.i2ndyyQ6(2;*=>bh}}U2$9'n;emvpZ?/<9#j7iazt^;+04/f3me~xR7'43+b?air|V3#8>'n;emvpZ?/<=#j7iazt^;+00/f3me~xR7'47+:?air|V3#9$74dnww[<.1!01ocxzP9)5*=>bh}}U2$5'6;emvpZ?/1 i0hb{{_8>72?6912ndyyQf(1+:?air|Vc#=$o4dnww[l.68 k0hb{{_h*25,g2(c8`jssW`":?$o4dnww[l.6< k0hb{{_h*21,g6(c8`jssW`":;$o4dnww[l.60 k0hb{{_h*2=,?3me~xRg'5(;8`jssW`"=%45kotv\m-1.12ndyyQf(9+:?air|Vc#5$m4dnww[l:5;3:5n6j`uu]j[4.7!k1ocxzPi^3+5,e(02*g>bh}}UbS<&>1(a8`jssW`U:$<<&c:flqqYnW8":?$m4dnww[lY6 8>"o6j`uu]j[4.6= i0hb{{_h]2,40.k2ndyyQf_0*23,e(0:*g>bh}}UbS<&>9(`8`jssW`U:$?'l;emvpZoX9!8;%n5kotv\mZ7/:8#h7iazt^k\5-45!j1ocxzPi^3+66/e3me~xRgP1)1*f>bh}}UbS<&;)c9gkprXaV;#9$l4dnww[lY6 ?#i7iazt^k\5-1.j2ndyyQf_0*;-g=cg|~TeR?'9(a8`jssW`U:<%>&c:flqqYnW8:#=$j4dnww[lY68!;;%i5kotv\mZ77 8;"h6j`uu]j[46/9;#o7iazt^k\55.6; n0hb{{_h]24-73!m1ocxzPi^33,43.l2ndyyQf_02+53/c3me~xRgP11*23,b0)3;-a=cg|~TeR??(0;*g>bh}}UbS<>'2(f8`jssW`U:<%?'k;emvpZoX99"9?$m4dnww[lY68!9"o6j`uu]j[46/< i0hb{{_h]24-3.k2ndyyQf_02+2,e0)5*g>bh}}UbS<>'8(a8`jssW`U:<%7&f:flqqYnW8:7>>4?>b9gkprXaV;:$='l;emvpZoX98":%i5kotv\mZ76 8:"h6j`uu]j[47/98#o7iazt^k\54.6: n0hb{{_h]25-74!m1ocxzPi^32,42.l2ndyyQf_03+50/c3me~xRgP10*22,b1)34-a=cg|~TeR?>(0:*`>bh}}UbS&d:flqqYnW8;#><'k;emvpZoX98"9>$j4dnww[lY69!88%n5kotv\mZ76 :#h7iazt^k\54.3!j1ocxzPi^32,0/d3me~xRgP10*5-f=cg|~TeR?>(6+`?air|VcT=<&7)b9gkprXaV;:$4'i;emvpZoX9869?7>1c:flqqYnW88#<$m4dnww[lY6:!;"h6j`uu]j[44/99#o7iazt^k\57.69 n0hb{{_h]26-75!m1ocxzPi^31,45.l2ndyyQf_00+51/c3me~xRgP13*21,b2)35-a=cg|~TeR?=(05*`>bh}}UbS<<'19+g?air|VcT=?&>9(a8`jssW`U:>%<&d:flqqYnW88#>='k;emvpZoX9;"9=$j4dnww[lY6:!89%i5kotv\mZ75 ;9"o6j`uu]j[44/; i0hb{{_h]26-2.k2ndyyQf_00+1,e2)4*g>bh}}UbS<<'7(a8`jssW`U:>%6&c:flqqYnW88#5$h4dnww[lY6:5886=0l;emvpZoX9:";%n5kotv\mZ74 8#o7iazt^k\56.68 n0hb{{_h]27-76!m1ocxzPi^30,44.l2ndyyQf_01+56/c3me~xRgP12*20,b3)36-a=cg|~TeR?<(04*`>bh}}UbS<='16+g?air|VcT=>&>8(f8`jssW`U:?%?6)b9gkprXaV;8$?'k;emvpZoX9:"9<$j4dnww[lY6;!8:%i5kotv\mZ74 ;8"h6j`uu]j[45/::#h7iazt^k\56.4!j1ocxzPi^30,1/d3me~xRgP12*6-f=cg|~TeR?<(7+`?air|VcT=>&8)b9gkprXaV;8$5'l;emvpZoX9:"2%k5kotv\mZ744;91<3m4dnww[lY64)37-a=cg|~TeR?;(07*`>bh}}UbS<:'17+g?air|VcT=9&>7(f8`jssW`U:8%?7)e9gkprXaV;?$<7&c:flqqYnW8>#>$j4dnww[lY64)7*g>bh}}UbS<:'6(a8`jssW`U:8%9&c:flqqYnW8>#4$m4dnww[lY65)30-a=cg|~TeR?:(06*`>bh}}UbS<;'14+g?air|VcT=8&>6(f8`jssW`U:9%?8)e9gkprXaV;>$<6&d:flqqYnW8?#=4'l;emvpZoX9<"9%i5kotv\mZ72 ;:"h6j`uu]j[43/:8#o7iazt^k\50.5: n0hb{{_h]21-44!j1ocxzPi^36,6/d3me~xRgP14*7-f=cg|~TeR?:(4+`?air|VcT=8&9)b9gkprXaV;>$:'l;emvpZoX9<"3%n5kotv\mZ72 0#m7iazt^k\50:5;3:5o6j`uu]j[40/8 i0hb{{_h]22-7.l2ndyyQf_04+55/c3me~xRgP17*25,b6)31-a=cg|~TeR?9(01*`>bh}}UbS<8'15+g?air|VcT=;&>5(f8`jssW`U::%?9)e9gkprXaV;=$<9&d:flqqYnW8<#=5'k;emvpZoX9?":5$m4dnww[lY6>!8"h6j`uu]j[40/:9#o7iazt^k\53.59 n0hb{{_h]22-45!m1ocxzPi^35,75.k2ndyyQf_04+7,e6)6*g>bh}}UbS<8'5(a8`jssW`U::%8&c:flqqYnW8<#;$m4dnww[lY6>!2"o6j`uu]j[40/1 l0hb{{_h]22944294n7iazt^k\5944294i7iazt^k\6-6.j2ndyyQf_3*2-f=cg|~TeR<'11+`?air|VcT>%?>)b9gkprXaV8#=?'l;emvpZoX:!;8%n5kotv\mZ4/9=#h7iazt^k\6-72!j1ocxzPi^0+53/d3me~xRgP2)34-f=cg|~TeR<'19+`?air|VcT>%?6)c9gkprXaV8#>$m4dnww[lY5 ;:"o6j`uu]j[7.59 i0hb{{_h]1,74.k2ndyyQf_3*17,d%:&b:flqqYnW;">%o5kotv\mZ4/> h0hb{{_h]1,2/e3me~xRgP2):*f>bh}}UbS?&6)d9gkprXaV87>>4?>c9gkprXaV9#<$l4dnww[lY4 8#h7iazt^k\7-77!j1ocxzPi^1+54/d3me~xRgP3)31-f=cg|~TeR='12+`?air|VcT?%?;)b9gkprXaV9#=8'l;emvpZoX;!;=%n5kotv\mZ5/9>#h7iazt^k\7-7?!j1ocxzPi^1+5bh}}UbS>&=0(a8`jssW`U8$??&c:flqqYnW:"9>$m4dnww[lY4 ;9"n6j`uu]j[6.4!k1ocxzPi^1+0,d58e3me~xRgP4)2*f>bh}}UbS9&>)b9gkprXaV>#=='l;emvpZoX#=5'l;emvpZoXbh}}UbS9&=3(`8`jssW`U?$>'m;emvpZoX"n6j`uu]j[1.2!k1ocxzPi^6+2,d'l;emvpZoX=!;?%n5kotv\mZ3/9<#h7iazt^k\1-71!j1ocxzPi^7+52/d3me~xRgP5)3;-f=cg|~TeR;'18+a?air|VcT9%<&c:flqqYnW<"9<$m4dnww[lY2 ;;"o6j`uu]j[0.5: i0hb{{_h]6,75.j2ndyyQf_4*0-g=cg|~TeR;'4(`8`jssW`U>$8'm;emvpZoX=!<"n6j`uu]j[0.0!k1ocxzPi^7+<,d&b:flqqYnW?":%n5kotv\mZ0/99#h7iazt^k\2-76!j1ocxzPi^4+57/d3me~xRgP6)30-f=cg|~TeR8'15+`?air|VcT:%?:)b9gkprXaV<#=;'l;emvpZoX>!;<%n5kotv\mZ0/91#h7iazt^k\2-7>!k1ocxzPi^4+6,ebh}}UbS;&=1(a8`jssW`U=$?<&c:flqqYnW?"9?$l4dnww[lY1 :#i7iazt^k\2-2.j2ndyyQf_7*6-g=cg|~TeR8'6(`8`jssW`U=$:'m;emvpZoX>!2"n6j`uu]j[3.>!l1ocxzPi^4?66<76k1ocxzPi^5+4,dbh}}UbS:&<)c9gkprXaV=#8$l4dnww[lY0 <#i7iazt^k\3-0.j2ndyyQf_6*4-g=cg|~TeR9'8(`8`jssW`U<$4'j;emvpZoX?5886=0m;emvpZoX0!:"n6j`uu]j[=.6!j1ocxzPi^:+55/d3me~xRgP8)32-f=cg|~TeR6'13+`?air|VcT4%?<)b9gkprXaV2#=9'l;emvpZoX0!;>%n5kotv\mZ>/9?#h7iazt^k\<-70!j1ocxzPi^:+5=/d3me~xRgP8)3:-g=cg|~TeR6'2(a8`jssW`U3$?>&c:flqqYnW1"9=$m4dnww[lY? ;8"o6j`uu]j[=.5; h0hb{{_h];,6/e3me~xRgP8)6*f>bh}}UbS5&:)c9gkprXaV2#:$l4dnww[lY? >#i7iazt^k\<->.j2ndyyQf_9*:-`=cg|~TeR632283:g=cg|~TeR7'0(`8`jssW`U2$<'l;emvpZoX1!;;%n5kotv\mZ?/98#h7iazt^k\=-75!j1ocxzPi^;+56/d3me~xRgP9)37-f=cg|~TeR7'14+`?air|VcT5%?9)b9gkprXaV3#=:'l;emvpZoX1!;3%n5kotv\mZ?/90#i7iazt^k\=-4.k2ndyyQf_8*14,ebh}}UbS4&=2(a8`jssW`U2$?=&b:flqqYnW0"8%o5kotv\mZ?/< h0hb{{_h]:,0/e3me~xRgP9)4*f>bh}}UbS4&8)c9gkprXaV3#4$l4dnww[lY> 0#n7iazt^k\=94429427iazt^s+4,?)`9gkprXy!;;%l5kotv\u-76!h1ocxzPq)31-d=cg|~T}%?<)`9gkprXy!;?%l5kotv\u-72!h1ocxzPq)35-d=cg|~T}%?8)`9gkprXy!;3%l5kotv\u-7>!01ocxzPq)0*e>bh}}Uz$?>&a:flqqYv ;;"m6j`uu]r,74.i2ndyyQ~(31*e>bh}}Uz$?:&a:flqqYv ;?"m6j`uu]r,70.i2ndyyQ~(35*e>bh}}Uz$?6&a:flqqYv ;3"56j`uu]r,6/f3me~xR'31+b?air|V{#?<'n;emvpZw/;;#j7iazt^s+76/f3me~xR'35+b?air|V{#?8'n;emvpZw/;?#j7iazt^s+72/f3me~xR'39+b?air|V{#?4'6;emvpZw/< k0hb{{_p*74,g$o4dnww[t.3; k0hb{{_p*70,gbh}}Uz$:'6;emvpZw/0 30hb{{_p*:-f=cg|~T}1:9:1&b:flqqYvW8":%n5kotv\uZ7/99#h7iazt^s\5-76!j1ocxzPq^3+57/d3me~xRP1)30-f=cg|~T}R?'15+`?air|V{T=%?:)b9gkprXyV;#=;'l;emvpZwX9!;<%n5kotv\uZ7/91#h7iazt^s\5-7>!k1ocxzPq^3+6,e(32*g>bh}}UzS<&=1(a8`jssWxU:$?<&c:flqqYvW8"9?$m4dnww[tY6 ;>"o6j`uu]r[4.5= i0hb{{_p]2,70.k2ndyyQ~_0*13,e(3:*g>bh}}UzS<&=9(`8`jssWxU:$>'l;emvpZwX9!9;%n5kotv\uZ7/;8#h7iazt^s\5-55!j1ocxzPq^3+76/d3me~xRP1)17-f=cg|~T}R?'34+`?air|V{T=%=9)b9gkprXyV;#?:'l;emvpZwX9!93%n5kotv\uZ7/;0#i7iazt^s\5-2.k2ndyyQ~_0*74,e(53*g>bh}}UzS<&;2(a8`jssWxU:$9=&c:flqqYvW8"?8$m4dnww[tY6 =?"o6j`uu]r[4.3> h0hb{{_p]2,0/e3me~xRP1)4*f>bh}}UzS<&8)c9gkprXyV;#4$l4dnww[tY6 0#h7iazt^s\55.7!j1ocxzPq^33,4/c3me~xRP11*24,b0)32-a=cg|~T}R??(00*`>bh}}UzS<>'12+g?air|V{T==&>4(f8`jssWxU:<%?:)e9gkprXyV;;$<8&d:flqqYvW8:#=:'k;emvpZwX99":4$j4dnww[tY68!;2%n5kotv\uZ77 ;#o7iazt^s\55.58 n0hb{{_p]24-46!m1ocxzPq^33,74.l2ndyyQ~_02+66/c3me~xRP11*10,b0)06-a=cg|~T}R??(34*`>bh}}UzS<>'26+g?air|V{T==&=8(f8`jssWxU:<%<6)b9gkprXyV;;$>'k;emvpZwX99"8<$j4dnww[tY68!9:%i5kotv\uZ77 :8"h6j`uu]r[46/;:#o7iazt^s\55.4< n0hb{{_p]24-52!m1ocxzPq^33,60.l2ndyyQ~_02+72/c3me~xRP11*0<,b0)1:-f=cg|~T}R??(5+g?air|V{T==&;0(f8`jssWxU:<%:>)e9gkprXyV;;$9<&d:flqqYvW8:#8>'k;emvpZwX99"?8$j4dnww[tY68!>>%i5kotv\uZ77 =<"o6j`uu]r[46/= i0hb{{_p]24-0.k2ndyyQ~_02+3,e0):*g>bh}}UzS<>'9(d8`jssWxU:<1:9:1<`?air|V{T=<&?)b9gkprXyV;:$<'k;emvpZwX98":<$j4dnww[tY69!;:%i5kotv\uZ76 88"h6j`uu]r[47/9:#o7iazt^s\54.6< n0hb{{_p]25-72!m1ocxzPq^32,40.l2ndyyQ~_03+52/c3me~xRP10*2<,b1)3:-f=cg|~T}R?>(3+g?air|V{T=<&=0(f8`jssWxU:=%<>)e9gkprXyV;:$?<&d:flqqYvW8;#>>'k;emvpZwX98"98$j4dnww[tY69!8>%i5kotv\uZ76 ;<"h6j`uu]r[47/:>#o7iazt^s\54.50 n0hb{{_p]25-4>!j1ocxzPq^32,6/c3me~xRP10*04,b1)12-a=cg|~T}R?>(20*`>bh}}UzS8&d:flqqYvW8;#?:'k;emvpZwX98"84$j4dnww[tY69!92%n5kotv\uZ76 =#o7iazt^s\54.38 n0hb{{_p]25-26!m1ocxzPq^32,14.l2ndyyQ~_03+06/c3me~xRP10*70,b1)66-a=cg|~T}R?>(54*g>bh}}UzS2)32-a=cg|~T}R?=(00*`>bh}}UzS<<'12+g?air|V{T=?&>4(f8`jssWxU:>%?:)e9gkprXyV;9$<8&d:flqqYvW88#=:'k;emvpZwX9;":4$j4dnww[tY6:!;2%n5kotv\uZ75 ;#o7iazt^s\57.58 n0hb{{_p]26-46!m1ocxzPq^31,74.l2ndyyQ~_00+66/c3me~xRP13*10,b2)06-a=cg|~T}R?=(34*`>bh}}UzS<<'26+g?air|V{T=?&=8(f8`jssWxU:>%<6)b9gkprXyV;9$>'k;emvpZwX9;"8<$j4dnww[tY6:!9:%i5kotv\uZ75 :8"h6j`uu]r[44/;:#o7iazt^s\57.4< n0hb{{_p]26-52!m1ocxzPq^31,60.l2ndyyQ~_00+72/c3me~xRP13*0<,b2)1:-f=cg|~T}R?=(5+g?air|V{T=?&;0(f8`jssWxU:>%:>)e9gkprXyV;9$9<&d:flqqYvW88#8>'k;emvpZwX9;"?8$j4dnww[tY6:!>>%i5kotv\uZ75 =<"o6j`uu]r[44/= i0hb{{_p]26-0.k2ndyyQ~_00+3,e2):*g>bh}}UzS<<'9(d8`jssWxU:>1:9:1<`?air|V{T=>&?)b9gkprXyV;8$<'k;emvpZwX9:":<$j4dnww[tY6;!;:%i5kotv\uZ74 88"h6j`uu]r[45/9:#o7iazt^s\56.6< n0hb{{_p]27-72!m1ocxzPq^30,40.l2ndyyQ~_01+52/c3me~xRP12*2<,b3)3:-f=cg|~T}R?<(3+g?air|V{T=>&=0(f8`jssWxU:?%<>)e9gkprXyV;8$?<&d:flqqYvW89#>>'k;emvpZwX9:"98$j4dnww[tY6;!8>%i5kotv\uZ74 ;<"h6j`uu]r[45/:>#o7iazt^s\56.50 n0hb{{_p]27-4>!j1ocxzPq^30,6/c3me~xRP12*04,b3)12-a=cg|~T}R?<(20*`>bh}}UzS<='32+g?air|V{T=>&<4(f8`jssWxU:?%=:)e9gkprXyV;8$>8&d:flqqYvW89#?:'k;emvpZwX9:"84$j4dnww[tY6;!92%n5kotv\uZ74 =#o7iazt^s\56.38 n0hb{{_p]27-26!m1ocxzPq^30,14.l2ndyyQ~_01+06/c3me~xRP12*70,b3)66-a=cg|~T}R?<(54*g>bh}}UzS<='5(a8`jssWxU:?%8&c:flqqYvW89#;$m4dnww[tY6;!2"o6j`uu]r[45/1 l0hb{{_p]27921294h7iazt^s\51.7!j1ocxzPq^37,4/c3me~xRP15*24,b4)32-a=cg|~T}R?;(00*`>bh}}UzS<:'12+g?air|V{T=9&>4(f8`jssWxU:8%?:)e9gkprXyV;?$<8&d:flqqYvW8>#=:'k;emvpZwX9=":4$j4dnww[tY64)06-a=cg|~T}R?;(34*`>bh}}UzS<:'26+g?air|V{T=9&=8(f8`jssWxU:8%<6)b9gkprXyV;?$>'k;emvpZwX9="8<$j4dnww[tY64)1:-f=cg|~T}R?;(5+g?air|V{T=9&;0(f8`jssWxU:8%:>)e9gkprXyV;?$9<&d:flqqYvW8>#8>'k;emvpZwX9="?8$j4dnww[tY6>%i5kotv\uZ73 =<"o6j`uu]r[42/= i0hb{{_p]20-0.k2ndyyQ~_06+3,e4):*g>bh}}UzS<:'9(d8`jssWxU:81:9:1<`?air|V{T=8&?)b9gkprXyV;>$<'k;emvpZwX9<":<$j4dnww[tY6=!;:%i5kotv\uZ72 88"h6j`uu]r[43/9:#o7iazt^s\50.6< n0hb{{_p]21-72!m1ocxzPq^36,40.l2ndyyQ~_07+52/c3me~xRP14*2<,b5)3:-f=cg|~T}R?:(3+g?air|V{T=8&=0(f8`jssWxU:9%<>)e9gkprXyV;>$?<&d:flqqYvW8?#>>'k;emvpZwX9<"98$j4dnww[tY6=!8>%i5kotv\uZ72 ;<"h6j`uu]r[43/:>#o7iazt^s\50.50 n0hb{{_p]21-4>!j1ocxzPq^36,6/c3me~xRP14*04,b5)12-a=cg|~T}R?:(20*`>bh}}UzS<;'32+g?air|V{T=8&<4(f8`jssWxU:9%=:)e9gkprXyV;>$>8&d:flqqYvW8?#?:'k;emvpZwX9<"84$j4dnww[tY6=!92%n5kotv\uZ72 =#o7iazt^s\50.38 n0hb{{_p]21-26!m1ocxzPq^36,14.l2ndyyQ~_07+06/c3me~xRP14*70,b5)66-a=cg|~T}R?:(54*g>bh}}UzS<;'5(a8`jssWxU:9%8&c:flqqYvW8?#;$m4dnww[tY6=!2"o6j`uu]r[43/1 l0hb{{_p]21921294h7iazt^s\53.7!j1ocxzPq^35,4/c3me~xRP17*24,b6)32-a=cg|~T}R?9(00*`>bh}}UzS<8'12+g?air|V{T=;&>4(f8`jssWxU::%?:)e9gkprXyV;=$<8&d:flqqYvW8<#=:'k;emvpZwX9?":4$j4dnww[tY6>!;2%n5kotv\uZ71 ;#o7iazt^s\53.58 n0hb{{_p]22-46!m1ocxzPq^35,74.l2ndyyQ~_04+66/c3me~xRP17*10,b6)06-a=cg|~T}R?9(34*`>bh}}UzS<8'26+g?air|V{T=;&=8(f8`jssWxU::%<6)b9gkprXyV;=$>'k;emvpZwX9?"8<$j4dnww[tY6>!9:%i5kotv\uZ71 :8"h6j`uu]r[40/;:#o7iazt^s\53.4< n0hb{{_p]22-52!m1ocxzPq^35,60.l2ndyyQ~_04+72/c3me~xRP17*0<,b6)1:-f=cg|~T}R?9(5+g?air|V{T=;&;0(f8`jssWxU::%:>)e9gkprXyV;=$9<&d:flqqYvW8<#8>'k;emvpZwX9?"?8$j4dnww[tY6>!>>%i5kotv\uZ71 =<"o6j`uu]r[40/= i0hb{{_p]22-0.k2ndyyQ~_04+3,e6):*g>bh}}UzS<8'9(d8`jssWxU::1:9:1%>&b:flqqYvW;":%n5kotv\uZ4/99#h7iazt^s\6-76!j1ocxzPq^0+57/d3me~xRP2)30-f=cg|~T}R<'15+`?air|V{T>%?:)b9gkprXyV8#=;'l;emvpZwX:!;<%n5kotv\uZ4/91#h7iazt^s\6-7>!k1ocxzPq^0+6,ebh}}UzS?&=1(a8`jssWxU9$?<&c:flqqYvW;"9?$m4dnww[tY5 ;>"o6j`uu]r[7.5= i0hb{{_p]1,70.k2ndyyQ~_3*13,ebh}}UzS?&=9(`8`jssWxU9$>'l;emvpZwX:!9;%n5kotv\uZ4/;8#h7iazt^s\6-55!j1ocxzPq^0+76/d3me~xRP2)17-f=cg|~T}R<'34+`?air|V{T>%=9)b9gkprXyV8#?:'l;emvpZwX:!93%n5kotv\uZ4/;0#i7iazt^s\6-2.k2ndyyQ~_3*74,ebh}}UzS?&;2(a8`jssWxU9$9=&c:flqqYvW;"?8$m4dnww[tY5 =?"o6j`uu]r[7.3> h0hb{{_p]1,0/e3me~xRP2)4*f>bh}}UzS?&8)c9gkprXyV8#4$l4dnww[tY5 0#n7iazt^s\6921294i7iazt^s\7-6.j2ndyyQ~_2*2-f=cg|~T}R='11+`?air|V{T?%?>)b9gkprXyV9#=?'l;emvpZwX;!;8%n5kotv\uZ5/9=#h7iazt^s\7-72!j1ocxzPq^1+53/d3me~xRP3)34-f=cg|~T}R='19+`?air|V{T?%?6)c9gkprXyV9#>$m4dnww[tY4 ;:"o6j`uu]r[6.59 i0hb{{_p]0,74.k2ndyyQ~_2*17,ebh}}UzS>&=5(a8`jssWxU8$?8&c:flqqYvW:"9;$m4dnww[tY4 ;2"o6j`uu]r[6.51 h0hb{{_p]0,6/d3me~xRP3)13-f=cg|~T}R='30+`?air|V{T?%==)b9gkprXyV9#?>'l;emvpZwX;!9?%n5kotv\uZ5/;<#h7iazt^s\7-51!j1ocxzPq^1+72/d3me~xRP3)1;-f=cg|~T}R='38+a?air|V{T?%:&c:flqqYvW:"?<$m4dnww[tY4 =;"o6j`uu]r[6.3: i0hb{{_p]0,15.k2ndyyQ~_2*70,ebh}}UzS>&;6(`8`jssWxU8$8'm;emvpZwX;!<"n6j`uu]r[6.0!k1ocxzPq^1+<,d&b:flqqYvW=":%n5kotv\uZ2/99#h7iazt^s\0-76!j1ocxzPq^6+57/d3me~xRP4)30-f=cg|~T}R:'15+`?air|V{T8%?:)b9gkprXyV>#=;'l;emvpZwX!k1ocxzPq^6+6,ebh}}UzS9&=1(a8`jssWxU?$?<&c:flqqYvW="9?$m4dnww[tY3 ;>"o6j`uu]r[1.5= i0hb{{_p]7,70.k2ndyyQ~_5*13,ebh}}UzS9&=9(`8`jssWxU?$>'l;emvpZwX#?:'l;emvpZwXbh}}UzS9&;2(a8`jssWxU?$9=&c:flqqYvW="?8$m4dnww[tY3 =?"o6j`uu]r[1.3> h0hb{{_p]7,0/e3me~xRP4)4*f>bh}}UzS9&8)c9gkprXyV>#4$l4dnww[tY3 0#n7iazt^s\0921294i7iazt^s\1-6.j2ndyyQ~_4*2-f=cg|~T}R;'11+`?air|V{T9%?>)b9gkprXyV?#=?'l;emvpZwX=!;8%n5kotv\uZ3/9=#h7iazt^s\1-72!j1ocxzPq^7+53/d3me~xRP5)34-f=cg|~T}R;'19+`?air|V{T9%?6)c9gkprXyV?#>$m4dnww[tY2 ;:"o6j`uu]r[0.59 i0hb{{_p]6,74.k2ndyyQ~_4*17,ebh}}UzS8&=5(a8`jssWxU>$?8&c:flqqYvW<"9;$m4dnww[tY2 ;2"o6j`uu]r[0.51 h0hb{{_p]6,6/d3me~xRP5)13-f=cg|~T}R;'30+`?air|V{T9%==)b9gkprXyV?#?>'l;emvpZwX=!9?%n5kotv\uZ3/;<#h7iazt^s\1-51!j1ocxzPq^7+72/d3me~xRP5)1;-f=cg|~T}R;'38+a?air|V{T9%:&c:flqqYvW<"?<$m4dnww[tY2 =;"o6j`uu]r[0.3: i0hb{{_p]6,15.k2ndyyQ~_4*70,ebh}}UzS8&;6(`8`jssWxU>$8'm;emvpZwX=!<"n6j`uu]r[0.0!k1ocxzPq^7+<,d&b:flqqYvW?":%n5kotv\uZ0/99#h7iazt^s\2-76!j1ocxzPq^4+57/d3me~xRP6)30-f=cg|~T}R8'15+`?air|V{T:%?:)b9gkprXyV<#=;'l;emvpZwX>!;<%n5kotv\uZ0/91#h7iazt^s\2-7>!k1ocxzPq^4+6,ebh}}UzS;&=1(a8`jssWxU=$?<&c:flqqYvW?"9?$m4dnww[tY1 ;>"o6j`uu]r[3.5= i0hb{{_p]5,70.k2ndyyQ~_7*13,ebh}}UzS;&=9(`8`jssWxU=$>'l;emvpZwX>!9;%n5kotv\uZ0/;8#h7iazt^s\2-55!j1ocxzPq^4+76/d3me~xRP6)17-f=cg|~T}R8'34+`?air|V{T:%=9)b9gkprXyV<#?:'l;emvpZwX>!93%n5kotv\uZ0/;0#i7iazt^s\2-2.k2ndyyQ~_7*74,ebh}}UzS;&;2(a8`jssWxU=$9=&c:flqqYvW?"?8$m4dnww[tY1 =?"o6j`uu]r[3.3> h0hb{{_p]5,0/e3me~xRP6)4*f>bh}}UzS;&8)c9gkprXyV<#4$l4dnww[tY1 0#n7iazt^s\2921294i7iazt^s\3-6.j2ndyyQ~_6*2-f=cg|~T}R9'11+`?air|V{T;%?>)b9gkprXyV=#=?'l;emvpZwX?!;8%n5kotv\uZ1/9=#h7iazt^s\3-72!j1ocxzPq^5+53/d3me~xRP7)34-f=cg|~T}R9'19+`?air|V{T;%?6)c9gkprXyV=#>$m4dnww[tY0 ;:"o6j`uu]r[2.59 i0hb{{_p]4,74.k2ndyyQ~_6*17,ebh}}UzS:&=5(a8`jssWxU<$?8&c:flqqYvW>"9;$m4dnww[tY0 ;2"o6j`uu]r[2.51 h0hb{{_p]4,6/d3me~xRP7)13-f=cg|~T}R9'30+`?air|V{T;%==)b9gkprXyV=#?>'l;emvpZwX?!9?%n5kotv\uZ1/;<#h7iazt^s\3-51!j1ocxzPq^5+72/d3me~xRP7)1;-f=cg|~T}R9'38+a?air|V{T;%:&c:flqqYvW>"?<$m4dnww[tY0 =;"o6j`uu]r[2.3: i0hb{{_p]4,15.k2ndyyQ~_6*70,ebh}}UzS:&;6(`8`jssWxU<$8'm;emvpZwX?!<"n6j`uu]r[2.0!k1ocxzPq^5+<,d&b:flqqYvW1":%n5kotv\uZ>/99#h7iazt^s\<-76!j1ocxzPq^:+57/d3me~xRP8)30-f=cg|~T}R6'15+`?air|V{T4%?:)b9gkprXyV2#=;'l;emvpZwX0!;<%n5kotv\uZ>/91#h7iazt^s\<-7>!k1ocxzPq^:+6,ebh}}UzS5&=1(a8`jssWxU3$?<&c:flqqYvW1"9?$m4dnww[tY? ;>"o6j`uu]r[=.5= i0hb{{_p];,70.k2ndyyQ~_9*13,ebh}}UzS5&=9(`8`jssWxU3$>'l;emvpZwX0!9;%n5kotv\uZ>/;8#h7iazt^s\<-55!j1ocxzPq^:+76/d3me~xRP8)17-f=cg|~T}R6'34+`?air|V{T4%=9)b9gkprXyV2#?:'l;emvpZwX0!93%n5kotv\uZ>/;0#i7iazt^s\<-2.k2ndyyQ~_9*74,ebh}}UzS5&;2(a8`jssWxU3$9=&c:flqqYvW1"?8$m4dnww[tY? =?"o6j`uu]r[=.3> h0hb{{_p];,0/e3me~xRP8)4*f>bh}}UzS5&8)c9gkprXyV2#4$l4dnww[tY? 0#n7iazt^s\<921294i7iazt^s\=-6.j2ndyyQ~_8*2-f=cg|~T}R7'11+`?air|V{T5%?>)b9gkprXyV3#=?'l;emvpZwX1!;8%n5kotv\uZ?/9=#h7iazt^s\=-72!j1ocxzPq^;+53/d3me~xRP9)34-f=cg|~T}R7'19+`?air|V{T5%?6)c9gkprXyV3#>$m4dnww[tY> ;:"o6j`uu]r[<.59 i0hb{{_p]:,74.k2ndyyQ~_8*17,ebh}}UzS4&=5(a8`jssWxU2$?8&c:flqqYvW0"9;$m4dnww[tY> ;2"o6j`uu]r[<.51 h0hb{{_p]:,6/d3me~xRP9)13-f=cg|~T}R7'30+`?air|V{T5%==)b9gkprXyV3#?>'l;emvpZwX1!9?%n5kotv\uZ?/;<#h7iazt^s\=-51!j1ocxzPq^;+72/d3me~xRP9)1;-f=cg|~T}R7'38+a?air|V{T5%:&c:flqqYvW0"?<$m4dnww[tY> =;"o6j`uu]r[<.3: i0hb{{_p]:,15.k2ndyyQ~_8*70,ebh}}UzS4&;6(`8`jssWxU2$8'm;emvpZwX1!<"n6j`uu]r[<.0!k1ocxzPq^;+<,dbwzVxjaR:6;erq[wgjW<20iigi2oeg`>`nd}oyS~kc(1+e?cok|lxThb30;2=6a=aa{Uhc`~fldp\r0Y7$)Rb`d`w BMQA%Abflxjxb|/15,72>nelli9>?5gbeg`6)`nzVida}gces]u1Z6+FFDN CAAE3``?mdcmj8'jd|PcnosmicuW?T5)eX`hyTnb}=0.`[igsmgir1?>#c^ofiZoia}Umeak2hcffg7(jao&hSb~{ilkyeqohf4;'oeoa_hl\eap:8%icmcQ|em]tmaro58&h`bmd_gpfu84789:;<=>#cnge[hcj|pzn1="lodd\v`jhim7: najf^vzt`;7$jenjRyfduj>5=*dgeUhcchfg<6/gjjXefnn1="lom]smucXdld68!jnt`]nahr~xl7; io{a^vzt`;7$mkmRyfduj>61*ci}k{myfPmdow}uc:8%nnodcPr`vf97*aae~n~Ro}ci?2(cok|lxThbPwhfwl87+n`fiQ{yqg>2)oi|lx{So{e<3/mudrnoUfi`zvpd?3(kc`Wzsfahz}y<2/jpkXkakebhb|=0.mqhYaae~x1<"aul]seqnt5;&ey`Q{aqq>02*hlmUxu`cjts{>5)iwj|lmS`kbtxrf95*h}}z~xR|jg<3/kprw}}U|eizg=55/vdrbWjbjbjkPtxrf95*ug|doS`ake<2/wdkwdlUm~h2112345678%yh`Qkeugqilhn{}ch1<"|ylofpw:9%pn~bQabijjbYaij~d~0?#}0g8lgbbk;UmeQlolrjh`tX~tcq:1yji:4sbnqf>uno9:;<=>?1c9pmb6789:;?01231g=tan:;<=>?07`8wla789:;<=9m;rkd456789:3n6}fg12345671k1xej>?01234dd<{`m;<=>?01`a?vo`89:;<=>lb:qjc56789:;ho5|if2345678lh0di?012345`e3zcl<=>?0133f>uno9:;<=>>1c9pmb6789:;=?l4she34567889i7~gh01234573j2ybk=>?01221g=tan:;<=>?17`8wla789:;<<9m;rkd456789;3n6}fg12345661k1xej>?01235dd<{`m;<=>?00`a?vo`89:;<=?lb:qjc56789::ho5|if2345679lh0di?012344`e3zcl<=>?0103f>uno9:;<=>=1c9pmb6789:;>?l4she345678;9i7~gh01234543j2ybk=>?01211g=tan:;<=>?27`8wla789:;?01236dd<{`m;<=>?03`a?vo`89:;<=?0113f>uno9:;<=><1c9pmb6789:;??l4she345678:9i7~gh01234553j2ybk=>?01201g=tan:;<=>?37`8wla789:;<>9m;rkd45678993n6}fg12345641k1xej>?01237dd<{`m;<=>?02`a?vo`89:;<==lb:qjc56789:8ho5|if234567;lh0di?012346`e3zcl<=>?0163f>uno9:;<=>;1c9pmb6789:;8?l4she345678=9i7~gh01234523j2ybk=>?01271g=tan:;<=>?47`8wla789:;<99m;rkd456789>3n6}fg12345631k1xej>?01230dd<{`m;<=>?05`a?vo`89:;<=:lb:qjc56789:?ho5|if234567?0173f>uno9:;<=>:1c9pmb6789:;9?l4she345678<9i7~gh01234533j2ybk=>?01261g=tan:;<=>?57`8wla789:;<89m;rkd456789?3n6}fg12345621k1xej>?01231dd<{`m;<=>?04`a?vo`89:;<=;lb:qjc56789:>ho5|if234567=lh0di?012340`e3zcl<=>?0143f>uno9:;<=>91c9pmb6789:;:?l4she345678?9i7~gh01234503j2ybk=>?01251g=tan:;<=>?67`8wla789:;<;9m;rkd456789<3n6}fg12345611k1xej>?01232dd<{`m;<=>?07`a?vo`89:;<=8lb:qjc56789:=ho5|if234567>lh0di?012343`e3zcl<=>?0153f>uno9:;<=>81c9pmb6789:;;?l4she345678>9i7~gh01234513j2ybk=>?01241g=tan:;<=>?77`8wla789:;<:9m;rkd456789=3n6}fg12345601k1xej>?01233dd<{`m;<=>?06`a?vo`89:;<=9lb:qjc56789:?01:3f>uno9:;<=>71c9pmb6789:;4?l4she34567819i7~gh012345>3j2ybk=>?012;1g=tan:;<=>?87`8wla789:;<59m;rkd45678923n6}fg123456?1k1xej>?0123?09`a?vo`89:;<=6lb:qjc56789:3ho5|if2345670lh0di?01234=`e3zcl<=>?01;3f>uno9:;<=>61c9pmb6789:;5?l4she34567809i7~gh012345?3j2ybk=>?012:1g=tan:;<=>?97`8wla789:;<49m;rkd45678933n6}fg123456>1k1xej>?0123=dd<{`m;<=>?08`a?vo`89:;<=7lb:qjc56789:2ho5|if2345671lh0di?01234<`e3zcl<=>?01c3f>uno9:;<=>n1c9pmb6789:;m?l4she345678h9i7~gh012345g3j2ybk=>?012b1g=tan:;<=>?a7`8wla789:;?0123edd<{`m;<=>?0``a?vo`89:;<=olb:qjc56789:jho5|if234567ilh0di?01234d`e3zcl<=>?01`3f>uno9:;<=>m1c9pmb6789:;n?l4she345678k9i7~gh012345d3j2ybk=>?012a1g=tan:;<=>?b7`8wla789:;?0123fdd<{`m;<=>?0c`a?vo`89:;<=llb:qjc56789:iho5|if234567jlh0di?01234g`e3zcl<=>?01a3f>uno9:;<=>l1c9pmb6789:;o?l4she345678j9i7~gh012345e3j2ybk=>?012`1g=tan:;<=>?c7`8wla789:;?0123gdd<{`m;<=>?0b`a?vo`89:;<=mlb:qjc56789:hho5|if234567klh0di?01234f`e3zcl<=>?01f3f>uno9:;<=>k1c9pmb6789:;h?l4she345678m9i7~gh012345b3j2ybk=>?012g1g=tan:;<=>?d7`8wla789:;?0123`dd<{`m;<=>?0e`a?vo`89:;<=jlb:qjc56789:oho5|if234567llh0di?01234a`e3zcl<=>?01g3f>uno9:;<=>j1c9pmb6789:;i?l4she345678l9i7~gh012345c3j2ybk=>?012f1g=tan:;<=>?e7`8wla789:;?0123add<{`m;<=>?0d`a?vo`89:;<=klb:qjc56789:nho5|if234567mlh0di?01234``e3zcl<=>?01d3f>uno9:;<=>i1c9pmb6789:;j?l4she345678o9i7~gh012345`3j2ybk=>?012e1g=tan:;<=>?f7`8wla789:;?0123bdd<{`m;<=>?0g`a?vo`89:;<=hlb:qjc56789:mho5|if234567nlh0di?01234c`e3zcl<=>?0023f>uno9:;<=??1c9pmb6789::?01331g=tan:;<=>>07`8wla789:;==9m;rkd456788:3n6}fg12345771k1xej>?01224dd<{`m;<=>?11`a?vo`89:;<<>lb:qjc56789;;ho5|if2345668lh0di?012355`e3zcl<=>?0033f>uno9:;<=?>1c9pmb6789::=?l4she34567989i7~gh01234473j2ybk=>?01321g=tan:;<=>>17`8wla789:;=<9m;rkd456788;3n6}fg12345761k1xej>?01225dd<{`m;<=>?10`a?vo`89:;<?0003f>uno9:;<=?=1c9pmb6789::>?l4she345679;9i7~gh01234443j2ybk=>?01311g=tan:;<=>>27`8wla789:;=?9m;rkd45678883n6}fg12345751k1xej>?01226dd<{`m;<=>?13`a?vo`89:;<<?0013f>uno9:;<=?<1c9pmb6789::??l4she345679:9i7~gh01234453j2ybk=>?01301g=tan:;<=>>37`8wla789:;=>9m;rkd45678893n6}fg12345741k1xej>?01227dd<{`m;<=>?12`a?vo`89:;<<=lb:qjc56789;8ho5|if234566;lh0di?012356`e3zcl<=>?0063f>uno9:;<=?;1c9pmb6789::8?l4she345679=9i7~gh01234423j2ybk=>?01371g=tan:;<=>>47`8wla789:;=99m;rkd456788>3n6}fg12345731k1xej>?01220dd<{`m;<=>?15`a?vo`89:;<<:lb:qjc56789;?ho5|if234566?0073f>uno9:;<=?:1c9pmb6789::9?l4she345679<9i7~gh01234433j2ybk=>?01361g=tan:;<=>>57`8wla789:;=89m;rkd456788?3n6}fg12345721k1xej>?01221dd<{`m;<=>?14`a?vo`89:;<<;lb:qjc56789;>ho5|if234566=lh0di?012350`e3zcl<=>?0043f>uno9:;<=?91c9pmb6789:::?l4she345679?9i7~gh01234403j2ybk=>?01351g=tan:;<=>>67`8wla789:;=;9m;rkd456788<3n6}fg12345711k1xej>?01222dd<{`m;<=>?17`a?vo`89:;<<8lb:qjc56789;=ho5|if234566>lh0di?012353`e3zcl<=>?0053f>uno9:;<=?81c9pmb6789::;?l4she345679>9i7~gh01234413j2ybk=>?01341g=tan:;<=>>77`8wla789:;=:9m;rkd456788=3n6}fg12345701k1xej>?01223dd<{`m;<=>?16`a?vo`89:;<<9lb:qjc56789;?00:3f>uno9:;<=?71c9pmb6789::4?l4she34567919i7~gh012344>3j2ybk=>?013;1g=tan:;<=>>87`8wla789:;=59m;rkd45678823n6}fg123457?1k1xej>?0122?19`a?vo`89:;<<6lb:qjc56789;3ho5|if2345660lh0di?01235=`e3zcl<=>?00;3f>uno9:;<=?61c9pmb6789::5?l4she34567909i7~gh012344?3j2ybk=>?013:1g=tan:;<=>>97`8wla789:;=49m;rkd45678833n6}fg123457>1k1xej>?0122=dd<{`m;<=>?18`a?vo`89:;<<7lb:qjc56789;2ho5|if2345661lh0di?01235<`e3zcl<=>?00c3f>uno9:;<=?n1c9pmb6789::m?l4she345679h9i7~gh012344g3j2ybk=>?013b1g=tan:;<=>>a7`8wla789:;=l9m;rkd456788k3n6}fg123457f1k1xej>?0122edd<{`m;<=>?1``a?vo`89:;<?00`3f>uno9:;<=?m1c9pmb6789::n?l4she345679k9i7~gh012344d3j2ybk=>?013a1g=tan:;<=>>b7`8wla789:;=o9m;rkd456788h3n6}fg123457e1k1xej>?0122fdd<{`m;<=>?1c`a?vo`89:;<?00a3f>uno9:;<=?l1c9pmb6789::o?l4she345679j9i7~gh012344e3j2ybk=>?013`1g=tan:;<=>>c7`8wla789:;=n9m;rkd456788i3n6}fg123457d1k1xej>?0122gdd<{`m;<=>?1b`a?vo`89:;<?00f3f>uno9:;<=?k1c9pmb6789::h?l4she345679m9i7~gh012344b3j2ybk=>?013g1g=tan:;<=>>d7`8wla789:;=i9m;rkd456788n3n6}fg123457c1k1xej>?0122`dd<{`m;<=>?1e`a?vo`89:;<?00g3f>uno9:;<=?j1c9pmb6789::i?l4she345679l9i7~gh012344c3j2ybk=>?013f1g=tan:;<=>>e7`8wla789:;=h9m;rkd456788o3n6}fg123457b1k1xej>?0122add<{`m;<=>?1d`a?vo`89:;<?00d3f>uno9:;<=?i1c9pmb6789::j?l4she345679o9i7~gh012344`3j2ybk=>?013e1g=tan:;<=>>f7`8wla789:;=k9m;rkd456788l3n6}fg123457a1k1xej>?0122bdd<{`m;<=>?1g`a?vo`89:;<?0323f>uno9:;<=?01031g=tan:;<=>=07`8wla789:;>=9m;rkd45678;:3n6}fg12345471k1xej>?01214dd<{`m;<=>?21`a?vo`89:;lb:qjc567898;ho5|if2345658lh0di?012365`e3zcl<=>?0333f>uno9:;<=<>1c9pmb6789:9=?l4she34567:89i7~gh01234773j2ybk=>?01021g=tan:;<=>=17`8wla789:;><9m;rkd45678;;3n6}fg12345461k1xej>?01215dd<{`m;<=>?20`a?vo`89:;?0303f>uno9:;<=<=1c9pmb6789:9>?l4she34567:;9i7~gh01234743j2ybk=>?01011g=tan:;<=>=27`8wla789:;>?9m;rkd45678;83n6}fg12345451k1xej>?01216dd<{`m;<=>?23`a?vo`89:;?0313f>uno9:;<=<<1c9pmb6789:9??l4she34567::9i7~gh01234753j2ybk=>?01001g=tan:;<=>=37`8wla789:;>>9m;rkd45678;93n6}fg12345441k1xej>?01217dd<{`m;<=>?22`a?vo`89:;?0363f>uno9:;<=<;1c9pmb6789:98?l4she34567:=9i7~gh01234723j2ybk=>?01071g=tan:;<=>=47`8wla789:;>99m;rkd45678;>3n6}fg12345431k1xej>?01210dd<{`m;<=>?25`a?vo`89:;?0373f>uno9:;<=<:1c9pmb6789:99?l4she34567:<9i7~gh01234733j2ybk=>?01061g=tan:;<=>=57`8wla789:;>89m;rkd45678;?3n6}fg12345421k1xej>?01211dd<{`m;<=>?24`a?vo`89:;ho5|if234565=lh0di?012360`e3zcl<=>?0343f>uno9:;<=<91c9pmb6789:9:?l4she34567:?9i7~gh01234703j2ybk=>?01051g=tan:;<=>=67`8wla789:;>;9m;rkd45678;<3n6}fg12345411k1xej>?01212dd<{`m;<=>?27`a?vo`89:;lh0di?012363`e3zcl<=>?0353f>uno9:;<=<81c9pmb6789:9;?l4she34567:>9i7~gh01234713j2ybk=>?01041g=tan:;<=>=77`8wla789:;>:9m;rkd45678;=3n6}fg12345401k1xej>?01213dd<{`m;<=>?26`a?vo`89:;?03:3f>uno9:;<=<71c9pmb6789:94?l4she34567:19i7~gh012347>3j2ybk=>?010;1g=tan:;<=>=87`8wla789:;>59m;rkd45678;23n6}fg123454?1k1xej>?0121?29`a?vo`89:;?03;3f>uno9:;<=<61c9pmb6789:95?l4she34567:09i7~gh012347?3j2ybk=>?010:1g=tan:;<=>=97`8wla789:;>49m;rkd45678;33n6}fg123454>1k1xej>?0121=dd<{`m;<=>?28`a?vo`89:;?03c3f>uno9:;<=?010b1g=tan:;<=>=a7`8wla789:;>l9m;rkd45678;k3n6}fg123454f1k1xej>?0121edd<{`m;<=>?2``a?vo`89:;?03`3f>uno9:;<=?010a1g=tan:;<=>=b7`8wla789:;>o9m;rkd45678;h3n6}fg123454e1k1xej>?0121fdd<{`m;<=>?2c`a?vo`89:;?03a3f>uno9:;<=?010`1g=tan:;<=>=c7`8wla789:;>n9m;rkd45678;i3n6}fg123454d1k1xej>?0121gdd<{`m;<=>?2b`a?vo`89:;?03f3f>uno9:;<=?010g1g=tan:;<=>=d7`8wla789:;>i9m;rkd45678;n3n6}fg123454c1k1xej>?0121`dd<{`m;<=>?2e`a?vo`89:;?03g3f>uno9:;<=?010f1g=tan:;<=>=e7`8wla789:;>h9m;rkd45678;o3n6}fg123454b1k1xej>?0121add<{`m;<=>?2d`a?vo`89:;?03d3f>uno9:;<=?010e1g=tan:;<=>=f7`8wla789:;>k9m;rkd45678;l3n6}fg123454a1k1xej>?0121bdd<{`m;<=>?2g`a?vo`89:;?0223f>uno9:;<==?1c9pmb6789:8?01131g=tan:;<=><07`8wla789:;?=9m;rkd45678::3n6}fg12345571k1xej>?01204dd<{`m;<=>?31`a?vo`89:;<>>lb:qjc567899;ho5|if2345648lh0di?012375`e3zcl<=>?0233f>uno9:;<==>1c9pmb6789:8=?l4she34567;89i7~gh01234673j2ybk=>?01121g=tan:;<=><17`8wla789:;?<9m;rkd45678:;3n6}fg12345561k1xej>?01205dd<{`m;<=>?30`a?vo`89:;<>?lb:qjc567899:ho5|if2345649lh0di?012374`e3zcl<=>?0203f>uno9:;<===1c9pmb6789:8>?l4she34567;;9i7~gh01234643j2ybk=>?01111g=tan:;<=><27`8wla789:;??9m;rkd45678:83n6}fg12345551k1xej>?01206dd<{`m;<=>?33`a?vo`89:;<>?0213f>uno9:;<==<1c9pmb6789:8??l4she34567;:9i7~gh01234653j2ybk=>?01101g=tan:;<=><37`8wla789:;?>9m;rkd45678:93n6}fg12345541k1xej>?01207dd<{`m;<=>?32`a?vo`89:;<>=lb:qjc5678998ho5|if234564;lh0di?012376`e3zcl<=>?0263f>uno9:;<==;1c9pmb6789:88?l4she34567;=9i7~gh01234623j2ybk=>?01171g=tan:;<=><47`8wla789:;?99m;rkd45678:>3n6}fg12345531k1xej>?01200dd<{`m;<=>?35`a?vo`89:;<>:lb:qjc567899?ho5|if234564?0273f>uno9:;<==:1c9pmb6789:89?l4she34567;<9i7~gh01234633j2ybk=>?01161g=tan:;<=><57`8wla789:;?89m;rkd45678:?3n6}fg12345521k1xej>?01201dd<{`m;<=>?34`a?vo`89:;<>;lb:qjc567899>ho5|if234564=lh0di?012370`e3zcl<=>?0243f>uno9:;<==91c9pmb6789:8:?l4she34567;?9i7~gh01234603j2ybk=>?01151g=tan:;<=><67`8wla789:;?;9m;rkd45678:<3n6}fg12345511k1xej>?01202dd<{`m;<=>?37`a?vo`89:;<>8lb:qjc567899=ho5|if234564>lh0di?012373`e3zcl<=>?0253f>uno9:;<==81c9pmb6789:8;?l4she34567;>9i7~gh01234613j2ybk=>?01141g=tan:;<=><77`8wla789:;?:9m;rkd45678:=3n6}fg12345501k1xej>?01203dd<{`m;<=>?36`a?vo`89:;<>9lb:qjc567899?02:3f>uno9:;<==71c9pmb6789:84?l4she34567;19i7~gh012346>3j2ybk=>?011;1g=tan:;<=><87`8wla789:;?59m;rkd45678:23n6}fg123455?1k1xej>?0120?39`a?vo`89:;<>6lb:qjc5678993ho5|if2345640lh0di?01237=`e3zcl<=>?02;3f>uno9:;<==61c9pmb6789:85?l4she34567;09i7~gh012346?3j2ybk=>?011:1g=tan:;<=><97`8wla789:;?49m;rkd45678:33n6}fg123455>1k1xej>?0120=dd<{`m;<=>?38`a?vo`89:;<>7lb:qjc5678992ho5|if2345641lh0di?01237<`e3zcl<=>?02c3f>uno9:;<==n1c9pmb6789:8m?l4she34567;h9i7~gh012346g3j2ybk=>?011b1g=tan:;<=>?0120edd<{`m;<=>?3``a?vo`89:;<>olb:qjc567899jho5|if234564ilh0di?01237d`e3zcl<=>?02`3f>uno9:;<==m1c9pmb6789:8n?l4she34567;k9i7~gh012346d3j2ybk=>?011a1g=tan:;<=>?0120fdd<{`m;<=>?3c`a?vo`89:;<>llb:qjc567899iho5|if234564jlh0di?01237g`e3zcl<=>?02a3f>uno9:;<==l1c9pmb6789:8o?l4she34567;j9i7~gh012346e3j2ybk=>?011`1g=tan:;<=>?0120gdd<{`m;<=>?3b`a?vo`89:;<>mlb:qjc567899hho5|if234564klh0di?01237f`e3zcl<=>?02f3f>uno9:;<==k1c9pmb6789:8h?l4she34567;m9i7~gh012346b3j2ybk=>?011g1g=tan:;<=>?0120`dd<{`m;<=>?3e`a?vo`89:;<>jlb:qjc567899oho5|if234564llh0di?01237a`e3zcl<=>?02g3f>uno9:;<==j1c9pmb6789:8i?l4she34567;l9i7~gh012346c3j2ybk=>?011f1g=tan:;<=>?0120add<{`m;<=>?3d`a?vo`89:;<>klb:qjc567899nho5|if234564mlh0di?01237``e3zcl<=>?02d3f>uno9:;<==i1c9pmb6789:8j?l4she34567;o9i7~gh012346`3j2ybk=>?011e1g=tan:;<=>?0120bdd<{`m;<=>?3g`a?vo`89:;<>hlb:qjc567899mho5|if234564nlh0di?01237c`e3zcl<=>?0523f>uno9:;<=:?1c9pmb6789:??01631g=tan:;<=>;07`8wla789:;8=9m;rkd45678=:3n6}fg12345271k1xej>?01274dd<{`m;<=>?41`a?vo`89:;<9>lb:qjc56789>;ho5|if2345638lh0di?012305`e3zcl<=>?0533f>uno9:;<=:>1c9pmb6789:?=?l4she34567<89i7~gh01234173j2ybk=>?01621g=tan:;<=>;17`8wla789:;8<9m;rkd45678=;3n6}fg12345261k1xej>?01275dd<{`m;<=>?40`a?vo`89:;<9?lb:qjc56789>:ho5|if2345639lh0di?012304`e3zcl<=>?0503f>uno9:;<=:=1c9pmb6789:?>?l4she34567<;9i7~gh01234143j2ybk=>?01611g=tan:;<=>;27`8wla789:;8?9m;rkd45678=83n6}fg12345251k1xej>?01276dd<{`m;<=>?43`a?vo`89:;<99ho5|if234563:lh0di?012307`e3zcl<=>?0513f>uno9:;<=:<1c9pmb6789:???l4she34567<:9i7~gh01234153j2ybk=>?01601g=tan:;<=>;37`8wla789:;8>9m;rkd45678=93n6}fg12345241k1xej>?01277dd<{`m;<=>?42`a?vo`89:;<9=lb:qjc56789>8ho5|if234563;lh0di?012306`e3zcl<=>?0563f>uno9:;<=:;1c9pmb6789:?8?l4she34567<=9i7~gh01234123j2ybk=>?01671g=tan:;<=>;47`8wla789:;899m;rkd45678=>3n6}fg12345231k1xej>?01270dd<{`m;<=>?45`a?vo`89:;<9:lb:qjc56789>?ho5|if234563?0573f>uno9:;<=::1c9pmb6789:?9?l4she34567<<9i7~gh01234133j2ybk=>?01661g=tan:;<=>;57`8wla789:;889m;rkd45678=?3n6}fg12345221k1xej>?01271dd<{`m;<=>?44`a?vo`89:;<9;lb:qjc56789>>ho5|if234563=lh0di?012300`e3zcl<=>?0543f>uno9:;<=:91c9pmb6789:?:?l4she34567?01651g=tan:;<=>;67`8wla789:;8;9m;rkd45678=<3n6}fg12345211k1xej>?01272dd<{`m;<=>?47`a?vo`89:;<98lb:qjc56789>=ho5|if234563>lh0di?012303`e3zcl<=>?0553f>uno9:;<=:81c9pmb6789:?;?l4she34567<>9i7~gh01234113j2ybk=>?01641g=tan:;<=>;77`8wla789:;8:9m;rkd45678==3n6}fg12345201k1xej>?01273dd<{`m;<=>?46`a?vo`89:;<99lb:qjc56789>?05:3f>uno9:;<=:71c9pmb6789:?4?l4she34567<19i7~gh012341>3j2ybk=>?016;1g=tan:;<=>;87`8wla789:;859m;rkd45678=23n6}fg123452?1k1xej>?0127?49`a?vo`89:;<96lb:qjc56789>3ho5|if2345630lh0di?01230=`e3zcl<=>?05;3f>uno9:;<=:61c9pmb6789:?5?l4she34567<09i7~gh012341?3j2ybk=>?016:1g=tan:;<=>;97`8wla789:;849m;rkd45678=33n6}fg123452>1k1xej>?0127=dd<{`m;<=>?48`a?vo`89:;<97lb:qjc56789>2ho5|if2345631lh0di?01230<`e3zcl<=>?05c3f>uno9:;<=:n1c9pmb6789:?m?l4she34567?016b1g=tan:;<=>;a7`8wla789:;8l9m;rkd45678=k3n6}fg123452f1k1xej>?0127edd<{`m;<=>?4``a?vo`89:;<9olb:qjc56789>jho5|if234563ilh0di?01230d`e3zcl<=>?05`3f>uno9:;<=:m1c9pmb6789:?n?l4she34567?016a1g=tan:;<=>;b7`8wla789:;8o9m;rkd45678=h3n6}fg123452e1k1xej>?0127fdd<{`m;<=>?4c`a?vo`89:;<9llb:qjc56789>iho5|if234563jlh0di?01230g`e3zcl<=>?05a3f>uno9:;<=:l1c9pmb6789:?o?l4she34567?016`1g=tan:;<=>;c7`8wla789:;8n9m;rkd45678=i3n6}fg123452d1k1xej>?0127gdd<{`m;<=>?4b`a?vo`89:;<9mlb:qjc56789>hho5|if234563klh0di?01230f`e3zcl<=>?05f3f>uno9:;<=:k1c9pmb6789:?h?l4she34567?016g1g=tan:;<=>;d7`8wla789:;8i9m;rkd45678=n3n6}fg123452c1k1xej>?0127`dd<{`m;<=>?4e`a?vo`89:;<9jlb:qjc56789>oho5|if234563llh0di?01230a`e3zcl<=>?05g3f>uno9:;<=:j1c9pmb6789:?i?l4she34567?016f1g=tan:;<=>;e7`8wla789:;8h9m;rkd45678=o3n6}fg123452b1k1xej>?0127add<{`m;<=>?4d`a?vo`89:;<9klb:qjc56789>nho5|if234563mlh0di?01230``e3zcl<=>?05d3f>uno9:;<=:i1c9pmb6789:?j?l4she34567?016e1g=tan:;<=>;f7`8wla789:;8k9m;rkd45678=l3n6}fg123452a1k1xej>?0127bdd<{`m;<=>?4g`a?vo`89:;<9hlb:qjc56789>mho5|if234563nlh0di?01230c`e3zcl<=>?0423f>uno9:;<=;?1c9pmb6789:>?01731g=tan:;<=>:07`8wla789:;9=9m;rkd45678<:3n6}fg12345371k1xej>?01264dd<{`m;<=>?51`a?vo`89:;<8>lb:qjc56789?;ho5|if2345628lh0di?012315`e3zcl<=>?0433f>uno9:;<=;>1c9pmb6789:>=?l4she34567=89i7~gh01234073j2ybk=>?01721g=tan:;<=>:17`8wla789:;9<9m;rkd45678<;3n6}fg12345361k1xej>?01265dd<{`m;<=>?50`a?vo`89:;<8?lb:qjc56789?:ho5|if2345629lh0di?012314`e3zcl<=>?0403f>uno9:;<=;=1c9pmb6789:>>?l4she34567=;9i7~gh01234043j2ybk=>?01711g=tan:;<=>:27`8wla789:;9?9m;rkd45678<83n6}fg12345351k1xej>?01266dd<{`m;<=>?53`a?vo`89:;<8?0413f>uno9:;<=;<1c9pmb6789:>??l4she34567=:9i7~gh01234053j2ybk=>?01701g=tan:;<=>:37`8wla789:;9>9m;rkd45678<93n6}fg12345341k1xej>?01267dd<{`m;<=>?52`a?vo`89:;<8=lb:qjc56789?8ho5|if234562;lh0di?012316`e3zcl<=>?0463f>uno9:;<=;;1c9pmb6789:>8?l4she34567==9i7~gh01234023j2ybk=>?01771g=tan:;<=>:47`8wla789:;999m;rkd45678<>3n6}fg12345331k1xej>?01260dd<{`m;<=>?55`a?vo`89:;<8:lb:qjc56789??ho5|if234562?0473f>uno9:;<=;:1c9pmb6789:>9?l4she34567=<9i7~gh01234033j2ybk=>?01761g=tan:;<=>:57`8wla789:;989m;rkd45678?01261dd<{`m;<=>?54`a?vo`89:;<8;lb:qjc56789?>ho5|if234562=lh0di?012310`e3zcl<=>?0443f>uno9:;<=;91c9pmb6789:>:?l4she34567=?9i7~gh01234003j2ybk=>?01751g=tan:;<=>:67`8wla789:;9;9m;rkd45678<<3n6}fg12345311k1xej>?01262dd<{`m;<=>?57`a?vo`89:;<88lb:qjc56789?=ho5|if234562>lh0di?012313`e3zcl<=>?0453f>uno9:;<=;81c9pmb6789:>;?l4she34567=>9i7~gh01234013j2ybk=>?01741g=tan:;<=>:77`8wla789:;9:9m;rkd45678<=3n6}fg12345301k1xej>?01263dd<{`m;<=>?56`a?vo`89:;<89lb:qjc56789??04:3f>uno9:;<=;71c9pmb6789:>4?l4she34567=19i7~gh012340>3j2ybk=>?017;1g=tan:;<=>:87`8wla789:;959m;rkd45678<23n6}fg123453?1k1xej>?0126?59`a?vo`89:;<86lb:qjc56789?3ho5|if2345620lh0di?01231=`e3zcl<=>?04;3f>uno9:;<=;61c9pmb6789:>5?l4she34567=09i7~gh012340?3j2ybk=>?017:1g=tan:;<=>:97`8wla789:;949m;rkd45678<33n6}fg123453>1k1xej>?0126=dd<{`m;<=>?58`a?vo`89:;<87lb:qjc56789?2ho5|if2345621lh0di?01231<`e3zcl<=>?04c3f>uno9:;<=;n1c9pmb6789:>m?l4she34567=h9i7~gh012340g3j2ybk=>?017b1g=tan:;<=>:a7`8wla789:;9l9m;rkd45678?0126edd<{`m;<=>?5``a?vo`89:;<8olb:qjc56789?jho5|if234562ilh0di?01231d`e3zcl<=>?04`3f>uno9:;<=;m1c9pmb6789:>n?l4she34567=k9i7~gh012340d3j2ybk=>?017a1g=tan:;<=>:b7`8wla789:;9o9m;rkd45678?0126fdd<{`m;<=>?5c`a?vo`89:;<8llb:qjc56789?iho5|if234562jlh0di?01231g`e3zcl<=>?04a3f>uno9:;<=;l1c9pmb6789:>o?l4she34567=j9i7~gh012340e3j2ybk=>?017`1g=tan:;<=>:c7`8wla789:;9n9m;rkd45678?0126gdd<{`m;<=>?5b`a?vo`89:;<8mlb:qjc56789?hho5|if234562klh0di?01231f`e3zcl<=>?04f3f>uno9:;<=;k1c9pmb6789:>h?l4she34567=m9i7~gh012340b3j2ybk=>?017g1g=tan:;<=>:d7`8wla789:;9i9m;rkd45678?0126`dd<{`m;<=>?5e`a?vo`89:;<8jlb:qjc56789?oho5|if234562llh0di?01231a`e3zcl<=>?04g3f>uno9:;<=;j1c9pmb6789:>i?l4she34567=l9i7~gh012340c3j2ybk=>?017f1g=tan:;<=>:e7`8wla789:;9h9m;rkd45678?0126add<{`m;<=>?5d`a?vo`89:;<8klb:qjc56789?nho5|if234562mlh0di?01231``e3zcl<=>?04d3f>uno9:;<=;i1c9pmb6789:>j?l4she34567=o9i7~gh012340`3j2ybk=>?017e1g=tan:;<=>:f7`8wla789:;9k9m;rkd45678?0126bdd<{`m;<=>?5g`a?vo`89:;<8hlb:qjc56789?mho5|if234562nlh0di?01231c`e3zcl<=>?0723f>uno9:;<=8?1c9pmb6789:=99i7~gh01234363j2ybk=>?01431g=tan:;<=>907`8wla789:;:=9m;rkd45678?:3n6}fg12345071k1xej>?01254dd<{`m;<=>?61`a?vo`89:;<;>lb:qjc56789<;ho5|if2345618lh0di?012325`e3zcl<=>?0733f>uno9:;<=8>1c9pmb6789:==?l4she34567>89i7~gh01234373j2ybk=>?01421g=tan:;<=>917`8wla789:;:<9m;rkd45678?;3n6}fg12345061k1xej>?01255dd<{`m;<=>?60`a?vo`89:;<;?lb:qjc56789<:ho5|if2345619lh0di?012324`e3zcl<=>?0703f>uno9:;<=8=1c9pmb6789:=>?l4she34567>;9i7~gh01234343j2ybk=>?01411g=tan:;<=>927`8wla789:;:?9m;rkd45678?83n6}fg12345051k1xej>?01256dd<{`m;<=>?63`a?vo`89:;<;?0713f>uno9:;<=8<1c9pmb6789:=??l4she34567>:9i7~gh01234353j2ybk=>?01401g=tan:;<=>937`8wla789:;:>9m;rkd45678?93n6}fg12345041k1xej>?01257dd<{`m;<=>?62`a?vo`89:;<;=lb:qjc56789<8ho5|if234561;lh0di?012326`e3zcl<=>?0763f>uno9:;<=8;1c9pmb6789:=8?l4she34567>=9i7~gh01234323j2ybk=>?01471g=tan:;<=>947`8wla789:;:99m;rkd45678?>3n6}fg12345031k1xej>?01250dd<{`m;<=>?65`a?vo`89:;<;:lb:qjc56789?0773f>uno9:;<=8:1c9pmb6789:=9?l4she34567><9i7~gh01234333j2ybk=>?01461g=tan:;<=>957`8wla789:;:89m;rkd45678??3n6}fg12345021k1xej>?01251dd<{`m;<=>?64`a?vo`89:;<;;lb:qjc56789<>ho5|if234561=lh0di?012320`e3zcl<=>?0743f>uno9:;<=891c9pmb6789:=:?l4she34567>?9i7~gh01234303j2ybk=>?01451g=tan:;<=>967`8wla789:;:;9m;rkd45678?<3n6}fg12345011k1xej>?01252dd<{`m;<=>?67`a?vo`89:;<;8lb:qjc56789<=ho5|if234561>lh0di?012323`e3zcl<=>?0753f>uno9:;<=881c9pmb6789:=;?l4she34567>>9i7~gh01234313j2ybk=>?01441g=tan:;<=>977`8wla789:;::9m;rkd45678?=3n6}fg12345001k1xej>?01253dd<{`m;<=>?66`a?vo`89:;<;9lb:qjc56789<?07:3f>uno9:;<=871c9pmb6789:=4?l4she34567>19i7~gh012343>3j2ybk=>?014;1g=tan:;<=>987`8wla789:;:59m;rkd45678?23n6}fg123450?1k1xej>?0125?69`a?vo`89:;<;6lb:qjc56789<3ho5|if2345610lh0di?01232=`e3zcl<=>?07;3f>uno9:;<=861c9pmb6789:=5?l4she34567>09i7~gh012343?3j2ybk=>?014:1g=tan:;<=>997`8wla789:;:49m;rkd45678?33n6}fg123450>1k1xej>?0125=dd<{`m;<=>?68`a?vo`89:;<;7lb:qjc56789<2ho5|if2345611lh0di?01232<`e3zcl<=>?07c3f>uno9:;<=8n1c9pmb6789:=m?l4she34567>h9i7~gh012343g3j2ybk=>?014b1g=tan:;<=>9a7`8wla789:;:l9m;rkd45678?k3n6}fg123450f1k1xej>?0125edd<{`m;<=>?6``a?vo`89:;<;olb:qjc56789?07`3f>uno9:;<=8m1c9pmb6789:=n?l4she34567>k9i7~gh012343d3j2ybk=>?014a1g=tan:;<=>9b7`8wla789:;:o9m;rkd45678?h3n6}fg123450e1k1xej>?0125fdd<{`m;<=>?6c`a?vo`89:;<;llb:qjc56789?07a3f>uno9:;<=8l1c9pmb6789:=o?l4she34567>j9i7~gh012343e3j2ybk=>?014`1g=tan:;<=>9c7`8wla789:;:n9m;rkd45678?i3n6}fg123450d1k1xej>?0125gdd<{`m;<=>?6b`a?vo`89:;<;mlb:qjc56789?07f3f>uno9:;<=8k1c9pmb6789:=h?l4she34567>m9i7~gh012343b3j2ybk=>?014g1g=tan:;<=>9d7`8wla789:;:i9m;rkd45678?n3n6}fg123450c1k1xej>?0125`dd<{`m;<=>?6e`a?vo`89:;<;jlb:qjc56789?07g3f>uno9:;<=8j1c9pmb6789:=i?l4she34567>l9i7~gh012343c3j2ybk=>?014f1g=tan:;<=>9e7`8wla789:;:h9m;rkd45678?o3n6}fg123450b1k1xej>?0125add<{`m;<=>?6d`a?vo`89:;<;klb:qjc56789?07d3f>uno9:;<=8i1c9pmb6789:=j?l4she34567>o9i7~gh012343`3j2ybk=>?014e1g=tan:;<=>9f7`8wla789:;:k9m;rkd45678?l3n6}fg123450a1k1xej>?0125bdd<{`m;<=>?6g`a?vo`89:;<;hlb:qjc56789?0623f>uno9:;<=9?1c9pmb6789:<?01531g=tan:;<=>807`8wla789:;;=9m;rkd45678>:3n6}fg12345171k1xej>?01244dd<{`m;<=>?71`a?vo`89:;<:>lb:qjc56789=;ho5|if2345608lh0di?012335`e3zcl<=>?0633f>uno9:;<=9>1c9pmb6789:<=?l4she34567?89i7~gh01234273j2ybk=>?01521g=tan:;<=>817`8wla789:;;<9m;rkd45678>;3n6}fg12345161k1xej>?01245dd<{`m;<=>?70`a?vo`89:;<:?lb:qjc56789=:ho5|if2345609lh0di?012334`e3zcl<=>?0603f>uno9:;<=9=1c9pmb6789:<>?l4she34567?;9i7~gh01234243j2ybk=>?01511g=tan:;<=>827`8wla789:;;?9m;rkd45678>83n6}fg12345151k1xej>?01246dd<{`m;<=>?73`a?vo`89:;<:?0613f>uno9:;<=9<1c9pmb6789:?01501g=tan:;<=>837`8wla789:;;>9m;rkd45678>93n6}fg12345141k1xej>?01247dd<{`m;<=>?72`a?vo`89:;<:=lb:qjc56789=8ho5|if234560;lh0di?012336`e3zcl<=>?0663f>uno9:;<=9;1c9pmb6789:<8?l4she34567?=9i7~gh01234223j2ybk=>?01571g=tan:;<=>847`8wla789:;;99m;rkd45678>>3n6}fg12345131k1xej>?01240dd<{`m;<=>?75`a?vo`89:;<::lb:qjc56789=?ho5|if234560?0673f>uno9:;<=9:1c9pmb6789:<9?l4she34567?<9i7~gh01234233j2ybk=>?01561g=tan:;<=>857`8wla789:;;89m;rkd45678>?3n6}fg12345121k1xej>?01241dd<{`m;<=>?74`a?vo`89:;<:;lb:qjc56789=>ho5|if234560=lh0di?012330`e3zcl<=>?0643f>uno9:;<=991c9pmb6789:<:?l4she34567??9i7~gh01234203j2ybk=>?01551g=tan:;<=>867`8wla789:;;;9m;rkd45678><3n6}fg12345111k1xej>?01242dd<{`m;<=>?77`a?vo`89:;<:8lb:qjc56789==ho5|if234560>lh0di?012333`e3zcl<=>?0653f>uno9:;<=981c9pmb6789:<;?l4she34567?>9i7~gh01234213j2ybk=>?01541g=tan:;<=>877`8wla789:;;:9m;rkd45678>=3n6}fg12345101k1xej>?01243dd<{`m;<=>?76`a?vo`89:;<:9lb:qjc56789=?06:3f>uno9:;<=971c9pmb6789:<4?l4she34567?19i7~gh012342>3j2ybk=>?015;1g=tan:;<=>887`8wla789:;;59m;rkd45678>23n6}fg123451?1k1xej>?0124?79`a?vo`89:;<:6lb:qjc56789=3ho5|if2345600lh0di?01233=`e3zcl<=>?06;3f>uno9:;<=961c9pmb6789:<5?l4she34567?09i7~gh012342?3j2ybk=>?015:1g=tan:;<=>897`8wla789:;;49m;rkd45678>33n6}fg123451>1k1xej>?0124=dd<{`m;<=>?78`a?vo`89:;<:7lb:qjc56789=2ho5|if2345601lh0di?01233<`e3zcl<=>?06c3f>uno9:;<=9n1c9pmb6789:?015b1g=tan:;<=>8a7`8wla789:;;l9m;rkd45678>k3n6}fg123451f1k1xej>?0124edd<{`m;<=>?7``a?vo`89:;<:olb:qjc56789=jho5|if234560ilh0di?01233d`e3zcl<=>?06`3f>uno9:;<=9m1c9pmb6789:?015a1g=tan:;<=>8b7`8wla789:;;o9m;rkd45678>h3n6}fg123451e1k1xej>?0124fdd<{`m;<=>?7c`a?vo`89:;<:llb:qjc56789=iho5|if234560jlh0di?01233g`e3zcl<=>?06a3f>uno9:;<=9l1c9pmb6789:?015`1g=tan:;<=>8c7`8wla789:;;n9m;rkd45678>i3n6}fg123451d1k1xej>?0124gdd<{`m;<=>?7b`a?vo`89:;<:mlb:qjc56789=hho5|if234560klh0di?01233f`e3zcl<=>?06f3f>uno9:;<=9k1c9pmb6789:?015g1g=tan:;<=>8d7`8wla789:;;i9m;rkd45678>n3n6}fg123451c1k1xej>?0124`dd<{`m;<=>?7e`a?vo`89:;<:jlb:qjc56789=oho5|if234560llh0di?01233a`e3zcl<=>?06g3f>uno9:;<=9j1c9pmb6789:?015f1g=tan:;<=>8e7`8wla789:;;h9m;rkd45678>o3n6}fg123451b1k1xej>?0124add<{`m;<=>?7d`a?vo`89:;<:klb:qjc56789=nho5|if234560mlh0di?01233``e3zcl<=>?06d3f>uno9:;<=9i1c9pmb6789:?015e1g=tan:;<=>8f7`8wla789:;;k9m;rkd45678>l3n6}fg123451a1k1xej>?0124bdd<{`m;<=>?7g`a?vo`89:;<:hlb:qjc56789=mho5|if234560nlh0di?01233c`e3zcl<=>?0923f>uno9:;<=6?1c9pmb6789:3?01:31g=tan:;<=>707`8wla789:;4=9m;rkd456781:3n6}fg12345>71k1xej>?012;4dd<{`m;<=>?81`a?vo`89:;<5>lb:qjc567892;ho5|if23456?8lh0di?0123<5`e3zcl<=>?0933f>uno9:;<=6>1c9pmb6789:3=?l4she34567089i7~gh01234=73j2ybk=>?01:21g=tan:;<=>717`8wla789:;4<9m;rkd456781;3n6}fg12345>61k1xej>?012;5dd<{`m;<=>?80`a?vo`89:;<5?lb:qjc567892:ho5|if23456?9lh0di?0123<4`e3zcl<=>?0903f>uno9:;<=6=1c9pmb6789:3>?l4she345670;9i7~gh01234=43j2ybk=>?01:11g=tan:;<=>727`8wla789:;4?9m;rkd45678183n6}fg12345>51k1xej>?012;6dd<{`m;<=>?83`a?vo`89:;<5?0913f>uno9:;<=6<1c9pmb6789:3??l4she345670:9i7~gh01234=53j2ybk=>?01:01g=tan:;<=>737`8wla789:;4>9m;rkd45678193n6}fg12345>41k1xej>?012;7dd<{`m;<=>?82`a?vo`89:;<5=lb:qjc5678928ho5|if23456?;lh0di?0123<6`e3zcl<=>?0963f>uno9:;<=6;1c9pmb6789:38?l4she345670=9i7~gh01234=23j2ybk=>?01:71g=tan:;<=>747`8wla789:;499m;rkd456781>3n6}fg12345>31k1xej>?012;0dd<{`m;<=>?85`a?vo`89:;<5:lb:qjc567892?ho5|if23456??0973f>uno9:;<=6:1c9pmb6789:39?l4she345670<9i7~gh01234=33j2ybk=>?01:61g=tan:;<=>757`8wla789:;489m;rkd456781?3n6}fg12345>21k1xej>?012;1dd<{`m;<=>?84`a?vo`89:;<5;lb:qjc567892>ho5|if23456?=lh0di?0123<0`e3zcl<=>?0943f>uno9:;<=691c9pmb6789:3:?l4she345670?9i7~gh01234=03j2ybk=>?01:51g=tan:;<=>767`8wla789:;4;9m;rkd456781<3n6}fg12345>11k1xej>?012;2dd<{`m;<=>?87`a?vo`89:;<58lb:qjc567892=ho5|if23456?>lh0di?0123<3`e3zcl<=>?0953f>uno9:;<=681c9pmb6789:3;?l4she345670>9i7~gh01234=13j2ybk=>?01:41g=tan:;<=>777`8wla789:;4:9m;rkd456781=3n6}fg12345>01k1xej>?012;3dd<{`m;<=>?86`a?vo`89:;<59lb:qjc567892?09:3f>uno9:;<=671c9pmb6789:34?l4she34567019i7~gh01234=>3j2ybk=>?01:;1g=tan:;<=>787`8wla789:;459m;rkd45678123n6}fg12345>?1k1xej>?012;?89`a?vo`89:;<56lb:qjc5678923ho5|if23456?0lh0di?0123<=`e3zcl<=>?09;3f>uno9:;<=661c9pmb6789:35?l4she34567009i7~gh01234=?3j2ybk=>?01::1g=tan:;<=>797`8wla789:;449m;rkd45678133n6}fg12345>>1k1xej>?012;=dd<{`m;<=>?88`a?vo`89:;<57lb:qjc5678922ho5|if23456?1lh0di?0123<<`e3zcl<=>?09c3f>uno9:;<=6n1c9pmb6789:3m?l4she345670h9i7~gh01234=g3j2ybk=>?01:b1g=tan:;<=>7a7`8wla789:;4l9m;rkd456781k3n6}fg12345>f1k1xej>?012;edd<{`m;<=>?8``a?vo`89:;<5olb:qjc567892jho5|if23456?ilh0di?0123?09`3f>uno9:;<=6m1c9pmb6789:3n?l4she345670k9i7~gh01234=d3j2ybk=>?01:a1g=tan:;<=>7b7`8wla789:;4o9m;rkd456781h3n6}fg12345>e1k1xej>?012;fdd<{`m;<=>?8c`a?vo`89:;<5llb:qjc567892iho5|if23456?jlh0di?0123?09a3f>uno9:;<=6l1c9pmb6789:3o?l4she345670j9i7~gh01234=e3j2ybk=>?01:`1g=tan:;<=>7c7`8wla789:;4n9m;rkd456781i3n6}fg12345>d1k1xej>?012;gdd<{`m;<=>?8b`a?vo`89:;<5mlb:qjc567892hho5|if23456?klh0di?0123?09f3f>uno9:;<=6k1c9pmb6789:3h?l4she345670m9i7~gh01234=b3j2ybk=>?01:g1g=tan:;<=>7d7`8wla789:;4i9m;rkd456781n3n6}fg12345>c1k1xej>?012;`dd<{`m;<=>?8e`a?vo`89:;<5jlb:qjc567892oho5|if23456?llh0di?0123?09g3f>uno9:;<=6j1c9pmb6789:3i?l4she345670l9i7~gh01234=c3j2ybk=>?01:f1g=tan:;<=>7e7`8wla789:;4h9m;rkd456781o3n6}fg12345>b1k1xej>?012;add<{`m;<=>?8d`a?vo`89:;<5klb:qjc567892nho5|if23456?mlh0di?0123<``e3zcl<=>?09d3f>uno9:;<=6i1c9pmb6789:3j?l4she345670o9i7~gh01234=`3j2ybk=>?01:e1g=tan:;<=>7f7`8wla789:;4k9m;rkd456781l3n6}fg12345>a1k1xej>?012;bdd<{`m;<=>?8g`a?vo`89:;<5hlb:qjc567892mho5|if23456?nlh0di?0123?0823f>uno9:;<=7?1c9pmb6789:2?01;31g=tan:;<=>607`8wla789:;5=9m;rkd456780:3n6}fg12345?71k1xej>?012:4dd<{`m;<=>?91`a?vo`89:;<4>lb:qjc567893;ho5|if23456>8lh0di?0123=5`e3zcl<=>?0833f>uno9:;<=7>1c9pmb6789:2=?l4she34567189i7~gh01234<73j2ybk=>?01;21g=tan:;<=>617`8wla789:;5<9m;rkd456780;3n6}fg12345?61k1xej>?012:5dd<{`m;<=>?90`a?vo`89:;<4?lb:qjc567893:ho5|if23456>9lh0di?0123=4`e3zcl<=>?0803f>uno9:;<=7=1c9pmb6789:2>?l4she345671;9i7~gh01234<43j2ybk=>?01;11g=tan:;<=>627`8wla789:;5?9m;rkd45678083n6}fg12345?51k1xej>?012:6dd<{`m;<=>?93`a?vo`89:;<4:lh0di?0123=7`e3zcl<=>?0813f>uno9:;<=7<1c9pmb6789:2??l4she345671:9i7~gh01234<53j2ybk=>?01;01g=tan:;<=>637`8wla789:;5>9m;rkd45678093n6}fg12345?41k1xej>?012:7dd<{`m;<=>?92`a?vo`89:;<4=lb:qjc5678938ho5|if23456>;lh0di?0123=6`e3zcl<=>?0863f>uno9:;<=7;1c9pmb6789:28?l4she345671=9i7~gh01234<23j2ybk=>?01;71g=tan:;<=>647`8wla789:;599m;rkd456780>3n6}fg12345?31k1xej>?012:0dd<{`m;<=>?95`a?vo`89:;<4:lb:qjc567893?ho5|if23456>?0873f>uno9:;<=7:1c9pmb6789:29?l4she345671<9i7~gh01234<33j2ybk=>?01;61g=tan:;<=>657`8wla789:;589m;rkd456780?3n6}fg12345?21k1xej>?012:1dd<{`m;<=>?94`a?vo`89:;<4;lb:qjc567893>ho5|if23456>=lh0di?0123=0`e3zcl<=>?0843f>uno9:;<=791c9pmb6789:2:?l4she345671?9i7~gh01234<03j2ybk=>?01;51g=tan:;<=>667`8wla789:;5;9m;rkd456780<3n6}fg12345?11k1xej>?012:2dd<{`m;<=>?97`a?vo`89:;<48lb:qjc567893=ho5|if23456>>lh0di?0123=3`e3zcl<=>?0853f>uno9:;<=781c9pmb6789:2;?l4she345671>9i7~gh01234<13j2ybk=>?01;41g=tan:;<=>677`8wla789:;5:9m;rkd456780=3n6}fg12345?01k1xej>?012:3dd<{`m;<=>?96`a?vo`89:;<49lb:qjc567893?lh0di?0123=2`e3zcl<=>?08:3f>uno9:;<=771c9pmb6789:24?l4she34567119i7~gh01234<>3j2ybk=>?01;;1g=tan:;<=>687`8wla789:;559m;rkd45678023n6}fg12345??1k1xej>?012:?99`a?vo`89:;<46lb:qjc5678933ho5|if23456>0lh0di?0123==`e3zcl<=>?08;3f>uno9:;<=761c9pmb6789:25?l4she34567109i7~gh01234?01;:1g=tan:;<=>697`8wla789:;549m;rkd45678033n6}fg12345?>1k1xej>?012:=dd<{`m;<=>?98`a?vo`89:;<47lb:qjc5678932ho5|if23456>1lh0di?0123=<`e3zcl<=>?08c3f>uno9:;<=7n1c9pmb6789:2m?l4she345671h9i7~gh01234?01;b1g=tan:;<=>6a7`8wla789:;5l9m;rkd456780k3n6}fg12345?f1k1xej>?012:edd<{`m;<=>?9``a?vo`89:;<4olb:qjc567893jho5|if23456>ilh0di?0123=d`e3zcl<=>?08`3f>uno9:;<=7m1c9pmb6789:2n?l4she345671k9i7~gh01234?01;a1g=tan:;<=>6b7`8wla789:;5o9m;rkd456780h3n6}fg12345?e1k1xej>?012:fdd<{`m;<=>?9c`a?vo`89:;<4llb:qjc567893iho5|if23456>jlh0di?0123=g`e3zcl<=>?08a3f>uno9:;<=7l1c9pmb6789:2o?l4she345671j9i7~gh01234?01;`1g=tan:;<=>6c7`8wla789:;5n9m;rkd456780i3n6}fg12345?d1k1xej>?012:gdd<{`m;<=>?9b`a?vo`89:;<4mlb:qjc567893hho5|if23456>klh0di?0123=f`e3zcl<=>?08f3f>uno9:;<=7k1c9pmb6789:2h?l4she345671m9i7~gh01234?01;g1g=tan:;<=>6d7`8wla789:;5i9m;rkd456780n3n6}fg12345?c1k1xej>?012:`dd<{`m;<=>?9e`a?vo`89:;<4jlb:qjc567893oho5|if23456>llh0di?0123=a`e3zcl<=>?08g3f>uno9:;<=7j1c9pmb6789:2i?l4she345671l9i7~gh01234?01;f1g=tan:;<=>6e7`8wla789:;5h9m;rkd456780o3n6}fg12345?b1k1xej>?012:add<{`m;<=>?9d`a?vo`89:;<4klb:qjc567893nho5|if23456>mlh0di?0123=``e3zcl<=>?08d3f>uno9:;<=7i1c9pmb6789:2j?l4she345671o9i7~gh01234<`3j2ybk=>?01;e1g=tan:;<=>6f7`8wla789:;5k9m;rkd456780l3n6}fg12345?a1k1xej>?012:bdd<{`m;<=>?9g`a?vo`89:;<4hlb:qjc567893mho5|if23456>nlh0di?0123=c`e3zcl<=>?0`23f>uno9:;<=o?1c9pmb6789:j?01c31g=tan:;<=>n07`8wla789:;m=9m;rkd45678h:3n6}fg12345g71k1xej>?012b4dd<{`m;<=>?a1`a?vo`89:;lb:qjc56789k;ho5|if23456f8lh0di?0123e5`e3zcl<=>?0`33f>uno9:;<=o>1c9pmb6789:j=?l4she34567i89i7~gh01234d73j2ybk=>?01c21g=tan:;<=>n17`8wla789:;m<9m;rkd45678h;3n6}fg12345g61k1xej>?012b5dd<{`m;<=>?a0`a?vo`89:;?0`03f>uno9:;<=o=1c9pmb6789:j>?l4she34567i;9i7~gh01234d43j2ybk=>?01c11g=tan:;<=>n27`8wla789:;m?9m;rkd45678h83n6}fg12345g51k1xej>?012b6dd<{`m;<=>?a3`a?vo`89:;?0`13f>uno9:;<=o<1c9pmb6789:j??l4she34567i:9i7~gh01234d53j2ybk=>?01c01g=tan:;<=>n37`8wla789:;m>9m;rkd45678h93n6}fg12345g41k1xej>?012b7dd<{`m;<=>?a2`a?vo`89:;?0`63f>uno9:;<=o;1c9pmb6789:j8?l4she34567i=9i7~gh01234d23j2ybk=>?01c71g=tan:;<=>n47`8wla789:;m99m;rkd45678h>3n6}fg12345g31k1xej>?012b0dd<{`m;<=>?a5`a?vo`89:;?0`73f>uno9:;<=o:1c9pmb6789:j9?l4she34567i<9i7~gh01234d33j2ybk=>?01c61g=tan:;<=>n57`8wla789:;m89m;rkd45678h?3n6}fg12345g21k1xej>?012b1dd<{`m;<=>?a4`a?vo`89:;ho5|if23456f=lh0di?0123e0`e3zcl<=>?0`43f>uno9:;<=o91c9pmb6789:j:?l4she34567i?9i7~gh01234d03j2ybk=>?01c51g=tan:;<=>n67`8wla789:;m;9m;rkd45678h<3n6}fg12345g11k1xej>?012b2dd<{`m;<=>?a7`a?vo`89:;lh0di?0123e3`e3zcl<=>?0`53f>uno9:;<=o81c9pmb6789:j;?l4she34567i>9i7~gh01234d13j2ybk=>?01c41g=tan:;<=>n77`8wla789:;m:9m;rkd45678h=3n6}fg12345g01k1xej>?012b3dd<{`m;<=>?a6`a?vo`89:;?0`:3f>uno9:;<=o71c9pmb6789:j4?l4she34567i19i7~gh01234d>3j2ybk=>?01c;1g=tan:;<=>n87`8wla789:;m59m;rkd45678h23n6}fg12345g?1k1xej>?012b?a9`a?vo`89:;?0`;3f>uno9:;<=o61c9pmb6789:j5?l4she34567i09i7~gh01234d?3j2ybk=>?01c:1g=tan:;<=>n97`8wla789:;m49m;rkd45678h33n6}fg12345g>1k1xej>?012b=dd<{`m;<=>?a8`a?vo`89:;?0`c3f>uno9:;<=on1c9pmb6789:jm?l4she34567ih9i7~gh01234dg3j2ybk=>?01cb1g=tan:;<=>na7`8wla789:;ml9m;rkd45678hk3n6}fg12345gf1k1xej>?012bedd<{`m;<=>?a``a?vo`89:;?0``3f>uno9:;<=om1c9pmb6789:jn?l4she34567ik9i7~gh01234dd3j2ybk=>?01ca1g=tan:;<=>nb7`8wla789:;mo9m;rkd45678hh3n6}fg12345ge1k1xej>?012bfdd<{`m;<=>?ac`a?vo`89:;?0`a3f>uno9:;<=ol1c9pmb6789:jo?l4she34567ij9i7~gh01234de3j2ybk=>?01c`1g=tan:;<=>nc7`8wla789:;mn9m;rkd45678hi3n6}fg12345gd1k1xej>?012bgdd<{`m;<=>?ab`a?vo`89:;?0`f3f>uno9:;<=ok1c9pmb6789:jh?l4she34567im9i7~gh01234db3j2ybk=>?01cg1g=tan:;<=>nd7`8wla789:;mi9m;rkd45678hn3n6}fg12345gc1k1xej>?012b`dd<{`m;<=>?ae`a?vo`89:;?0`g3f>uno9:;<=oj1c9pmb6789:ji?l4she34567il9i7~gh01234dc3j2ybk=>?01cf1g=tan:;<=>ne7`8wla789:;mh9m;rkd45678ho3n6}fg12345gb1k1xej>?012badd<{`m;<=>?ad`a?vo`89:;?0`d3f>uno9:;<=oi1c9pmb6789:jj?l4she34567io9i7~gh01234d`3j2ybk=>?01ce1g=tan:;<=>nf7`8wla789:;mk9m;rkd45678hl3n6}fg12345ga1k1xej>?012bbdd<{`m;<=>?ag`a?vo`89:;?0c23f>uno9:;<=l?1c9pmb6789:i?01`31g=tan:;<=>m07`8wla789:;n=9m;rkd45678k:3n6}fg12345d71k1xej>?012a4dd<{`m;<=>?b1`a?vo`89:;lb:qjc56789h;ho5|if23456e8lh0di?0123f5`e3zcl<=>?0c33f>uno9:;<=l>1c9pmb6789:i=?l4she34567j89i7~gh01234g73j2ybk=>?01`21g=tan:;<=>m17`8wla789:;n<9m;rkd45678k;3n6}fg12345d61k1xej>?012a5dd<{`m;<=>?b0`a?vo`89:;?0c03f>uno9:;<=l=1c9pmb6789:i>?l4she34567j;9i7~gh01234g43j2ybk=>?01`11g=tan:;<=>m27`8wla789:;n?9m;rkd45678k83n6}fg12345d51k1xej>?012a6dd<{`m;<=>?b3`a?vo`89:;?0c13f>uno9:;<=l<1c9pmb6789:i??l4she34567j:9i7~gh01234g53j2ybk=>?01`01g=tan:;<=>m37`8wla789:;n>9m;rkd45678k93n6}fg12345d41k1xej>?012a7dd<{`m;<=>?b2`a?vo`89:;?0c63f>uno9:;<=l;1c9pmb6789:i8?l4she34567j=9i7~gh01234g23j2ybk=>?01`71g=tan:;<=>m47`8wla789:;n99m;rkd45678k>3n6}fg12345d31k1xej>?012a0dd<{`m;<=>?b5`a?vo`89:;?0c73f>uno9:;<=l:1c9pmb6789:i9?l4she34567j<9i7~gh01234g33j2ybk=>?01`61g=tan:;<=>m57`8wla789:;n89m;rkd45678k?3n6}fg12345d21k1xej>?012a1dd<{`m;<=>?b4`a?vo`89:;ho5|if23456e=lh0di?0123f0`e3zcl<=>?0c43f>uno9:;<=l91c9pmb6789:i:?l4she34567j?9i7~gh01234g03j2ybk=>?01`51g=tan:;<=>m67`8wla789:;n;9m;rkd45678k<3n6}fg12345d11k1xej>?012a2dd<{`m;<=>?b7`a?vo`89:;lh0di?0123f3`e3zcl<=>?0c53f>uno9:;<=l81c9pmb6789:i;?l4she34567j>9i7~gh01234g13j2ybk=>?01`41g=tan:;<=>m77`8wla789:;n:9m;rkd45678k=3n6}fg12345d01k1xej>?012a3dd<{`m;<=>?b6`a?vo`89:;?0c:3f>uno9:;<=l71c9pmb6789:i4?l4she34567j19i7~gh01234g>3j2ybk=>?01`;1g=tan:;<=>m87`8wla789:;n59m;rkd45678k23n6}fg12345d?1k1xej>?012a?b9`a?vo`89:;?0c;3f>uno9:;<=l61c9pmb6789:i5?l4she34567j09i7~gh01234g?3j2ybk=>?01`:1g=tan:;<=>m97`8wla789:;n49m;rkd45678k33n6}fg12345d>1k1xej>?012a=dd<{`m;<=>?b8`a?vo`89:;?0cc3f>uno9:;<=ln1c9pmb6789:im?l4she34567jh9i7~gh01234gg3j2ybk=>?01`b1g=tan:;<=>ma7`8wla789:;nl9m;rkd45678kk3n6}fg12345df1k1xej>?012aedd<{`m;<=>?b``a?vo`89:;?0c`3f>uno9:;<=lm1c9pmb6789:in?l4she34567jk9i7~gh01234gd3j2ybk=>?01`a1g=tan:;<=>mb7`8wla789:;no9m;rkd45678kh3n6}fg12345de1k1xej>?012afdd<{`m;<=>?bc`a?vo`89:;?0ca3f>uno9:;<=ll1c9pmb6789:io?l4she34567jj9i7~gh01234ge3j2ybk=>?01``1g=tan:;<=>mc7`8wla789:;nn9m;rkd45678ki3n6}fg12345dd1k1xej>?012agdd<{`m;<=>?bb`a?vo`89:;?0cf3f>uno9:;<=lk1c9pmb6789:ih?l4she34567jm9i7~gh01234gb3j2ybk=>?01`g1g=tan:;<=>md7`8wla789:;ni9m;rkd45678kn3n6}fg12345dc1k1xej>?012a`dd<{`m;<=>?be`a?vo`89:;?0cg3f>uno9:;<=lj1c9pmb6789:ii?l4she34567jl9i7~gh01234gc3j2ybk=>?01`f1g=tan:;<=>me7`8wla789:;nh9m;rkd45678ko3n6}fg12345db1k1xej>?012aadd<{`m;<=>?bd`a?vo`89:;?0cd3f>uno9:;<=li1c9pmb6789:ij?l4she34567jo9i7~gh01234g`3j2ybk=>?01`e1g=tan:;<=>mf7`8wla789:;nk9m;rkd45678kl3n6}fg12345da1k1xej>?012abdd<{`m;<=>?bg`a?vo`89:;?0b23f>uno9:;<=m?1c9pmb6789:h?01a31g=tan:;<=>l07`8wla789:;o=9m;rkd45678j:3n6}fg12345e71k1xej>?012`4dd<{`m;<=>?c1`a?vo`89:;lb:qjc56789i;ho5|if23456d8lh0di?0123g5`e3zcl<=>?0b33f>uno9:;<=m>1c9pmb6789:h=?l4she34567k89i7~gh01234f73j2ybk=>?01a21g=tan:;<=>l17`8wla789:;o<9m;rkd45678j;3n6}fg12345e61k1xej>?012`5dd<{`m;<=>?c0`a?vo`89:;?0b03f>uno9:;<=m=1c9pmb6789:h>?l4she34567k;9i7~gh01234f43j2ybk=>?01a11g=tan:;<=>l27`8wla789:;o?9m;rkd45678j83n6}fg12345e51k1xej>?012`6dd<{`m;<=>?c3`a?vo`89:;?0b13f>uno9:;<=m<1c9pmb6789:h??l4she34567k:9i7~gh01234f53j2ybk=>?01a01g=tan:;<=>l37`8wla789:;o>9m;rkd45678j93n6}fg12345e41k1xej>?012`7dd<{`m;<=>?c2`a?vo`89:;?0b63f>uno9:;<=m;1c9pmb6789:h8?l4she34567k=9i7~gh01234f23j2ybk=>?01a71g=tan:;<=>l47`8wla789:;o99m;rkd45678j>3n6}fg12345e31k1xej>?012`0dd<{`m;<=>?c5`a?vo`89:;?0b73f>uno9:;<=m:1c9pmb6789:h9?l4she34567k<9i7~gh01234f33j2ybk=>?01a61g=tan:;<=>l57`8wla789:;o89m;rkd45678j?3n6}fg12345e21k1xej>?012`1dd<{`m;<=>?c4`a?vo`89:;ho5|if23456d=lh0di?0123g0`e3zcl<=>?0b43f>uno9:;<=m91c9pmb6789:h:?l4she34567k?9i7~gh01234f03j2ybk=>?01a51g=tan:;<=>l67`8wla789:;o;9m;rkd45678j<3n6}fg12345e11k1xej>?012`2dd<{`m;<=>?c7`a?vo`89:;lh0di?0123g3`e3zcl<=>?0b53f>uno9:;<=m81c9pmb6789:h;?l4she34567k>9i7~gh01234f13j2ybk=>?01a41g=tan:;<=>l77`8wla789:;o:9m;rkd45678j=3n6}fg12345e01k1xej>?012`3dd<{`m;<=>?c6`a?vo`89:;?0b:3f>uno9:;<=m71c9pmb6789:h4?l4she34567k19i7~gh01234f>3j2ybk=>?01a;1g=tan:;<=>l87`8wla789:;o59m;rkd45678j23n6}fg12345e?1k1xej>?012`?c9`a?vo`89:;?0b;3f>uno9:;<=m61c9pmb6789:h5?l4she34567k09i7~gh01234f?3j2ybk=>?01a:1g=tan:;<=>l97`8wla789:;o49m;rkd45678j33n6}fg12345e>1k1xej>?012`=dd<{`m;<=>?c8`a?vo`89:;?0bc3f>uno9:;<=mn1c9pmb6789:hm?l4she34567kh9i7~gh01234fg3j2ybk=>?01ab1g=tan:;<=>la7`8wla789:;ol9m;rkd45678jk3n6}fg12345ef1k1xej>?012`edd<{`m;<=>?c``a?vo`89:;?0b`3f>uno9:;<=mm1c9pmb6789:hn?l4she34567kk9i7~gh01234fd3j2ybk=>?01aa1g=tan:;<=>lb7`8wla789:;oo9m;rkd45678jh3n6}fg12345ee1k1xej>?012`fdd<{`m;<=>?cc`a?vo`89:;?0ba3f>uno9:;<=ml1c9pmb6789:ho?l4she34567kj9i7~gh01234fe3j2ybk=>?01a`1g=tan:;<=>lc7`8wla789:;on9m;rkd45678ji3n6}fg12345ed1k1xej>?012`gdd<{`m;<=>?cb`a?vo`89:;?0bf3f>uno9:;<=mk1c9pmb6789:hh?l4she34567km9i7~gh01234fb3j2ybk=>?01ag1g=tan:;<=>ld7`8wla789:;oi9m;rkd45678jn3n6}fg12345ec1k1xej>?012``dd<{`m;<=>?ce`a?vo`89:;?0bg3f>uno9:;<=mj1c9pmb6789:hi?l4she34567kl9i7~gh01234fc3j2ybk=>?01af1g=tan:;<=>le7`8wla789:;oh9m;rkd45678jo3n6}fg12345eb1k1xej>?012`add<{`m;<=>?cd`a?vo`89:;?0bd3f>uno9:;<=mi1c9pmb6789:hj?l4she34567ko9i7~gh01234f`3j2ybk=>?01ae1g=tan:;<=>lf7`8wla789:;ok9m;rkd45678jl3n6}fg12345ea1k1xej>?012`bdd<{`m;<=>?cg`a?vo`89:;jk0LMv=34d9B?0=9rY:m?4>64695=<6;:8o494<407gk23l3;0b9:j:79'01d=<==0q^?n0;3511<603;8??j74;1750b<[;h36<8:d;295655l1>1?9?:d:Q2e5<6>75183;8??j74;17537<[8k;6>6::3154?74;;n387=;1738`40203:1=7?tS0c1>402<3;36<=<2e:7>626=m1}X9=9:182>4<><4>=9:99h5:53536`>"3<:09n45Y45a96~s5k>0:7xd?=;3k2wE:4c=u->8m7?9599'01g=9??<7d?96083>>oelo0;66a>67294?=h9??m6=44od62>5<#<8l1joh4n53f>5=;6=4+40d9bg`5<#<8l1joh4n53f>7=54od1g>5<#<8l1joh4n53f>1=5<#<8l1joh4n53f>3=5<#<8l1joh4n53f>==5<#<8l1joh4n53f>d=6=4+40d9bg`5<#<8l1joh4n53f>f=5<#<8l1joh4n53f>`=5<#<8l1joh4n53f>46<3fo9i7>5$53e>cda3g>:i7?>;:mf6a<72->:j7hmf:l75`<6:21di?m50;&75c07bk=a;29 17a2ohm7c:>e;36?>ib:00;6):>f;dab>h39l0::65`e3:94?"39o0mnk5a40g952=32en>84?:%62b?`en2d?=h4>a:9la75=83.?=k4ibg9m04c=9k10ch<=:18'04`=nkl0b9?j:0a8?jc593:1(9?i:g`e?k26m3;o76aj2183>!26n3lij6`;1d82a>=hm8l1<7*;1g8efc=i<8o1=k54od3f>5<#<8l1joh4n53f>76<3fo:h7>5$53e>cda3g>:i7<>;:mf5f<72->:j7hmf:l75`<5:21di07bk;6;29 17a2ohm7c:>e;06?>ib<<0;6):>f;dab>h39l09:65`e5694?"39o0mnk5a40g962=86=4+40d9bg`32en?l4?:%62b?`en2d?=h4=a:9la7`=83.?=k4ibg9m04c=:k10ch<;:18'04`=nkl0b9?j:3a8?jc613:1(9?i:g`e?k26m38o76aj1983>!26n3lij6`;1d81a>=hno:1<7*;1g8244c5<#<8l1==?j;o62a?7<3flni7>5$53e>466m2d?=h4=;:meaa<72->:j7??1d9m04c=;21djhm50;&75c<688o0b9?j:598kcce290/8iam10;6):>f;335`=i<8o1;65`fd594?"39o0:<==:i774;ndf1?6=,=;m6<>>e:l75`00g8j17b2j10ckk=:18'04`=99;n7c:>e;f8?j`b93:1(9?i:022a>h39l0n76aie183>!26n3;;=h5a40g9b>=hnml1<7*;1g8244c4;ndg`?6=,=;m6<>>e:l75`<6921djim50;&75c<688o0b9?j:008?j`cj3:1(9?i:022a>h39l0:?65`fec94?"39o0:<42<3flo57>5$53e>466m2d?=h4>5:9lba>=83.?=k4>00g8j17b28<07bhk7;29 17a28::i6`;1d823>=hnm<1<7*;1g8244c>e:l75`<6121dji:50;&75c<688o0b9?j:0c8?j`c:3:1(9?i:022a>h39l0:n65`fe394?"39o0:<4e<3flo<7>5$53e>466m2d?=h4>d:9lbf`=83.?=k4>00g8j17b28o07bhle;29 17a28::i6`;1d82b>=hnjn1<7*;1g8244c4;nd`g?6=,=;m6<>>e:l75`<5921djnl50;&75c<688o0b9?j:308?j`di3:1(9?i:022a>h39l09?65`fb;94?"39o0:<72<3flm97>5$53e>466m2d?=h4=5:9lbc2=83.?=k4>00g8j17b2;<07bhi3;29 17a28::i6`;1d813>=hno81<7*;1g8244c>e:l75`<5121djh750;&75c<688o0b9?j:3c8?j`cm3:1(9?i:022a>h39l09n65`fe194?"39o0:<7e<3flh47>5$53e>466m2d?=h4=d:9lbf1=83.?=k4>00g8j17b2;o07dm<8;29 17a2jln7c:>e;28?le4?3:1(9?i:bdf?k26m3;07dm<6;29 17a2jln7c:>e;08?le4=3:1(9?i:bdf?k26m3907dm<3;29 17a2jln7c:>e;68?le4:3:1(9?i:bdf?k26m3?07dm<1;29 17a2jln7c:>e;48?le483:1(9?i:bdf?k26m3=07dm=f;29 17a2jln7c:>e;:8?le5m3:1(9?i:bdf?k26m3307dm=d;29 17a2jln7c:>e;c8?le5k3:1(9?i:bdf?k26m3h07dm=b;29 17a2jln7c:>e;a8?le5i3:1(9?i:bdf?k26m3n07dme;g8?le4m3:1(9?i:bdf?k26m3l07dme;33?>od;j0;6):>f;aea>h39l0:=65fc2`94?"39o0hjh5a40g957=5:9jg7?=83.?=k4lfd9m04c=9?10en<7:18'04`=koo0b9?j:058?je7m3:17dj>8;29 17a2m8m7c:>e;28?lb6?3:1(9?i:e0e?k26m3;07dj>6;29 17a2m8m7c:>e;08?lb6=3:1(9?i:e0e?k26m3907dj>3;29 17a2m8m7c:>e;68?lb6:3:1(9?i:e0e?k26m3?07dj>1;29 17a2m8m7c:>e;48?lb683:1(9?i:e0e?k26m3=07dj?f;29 17a2m8m7c:>e;:8?lb7m3:1(9?i:e0e?k26m3307dj?d;29 17a2m8m7c:>e;c8?lb7k3:1(9?i:e0e?k26m3h07dj?b;29 17a2m8m7c:>e;a8?lb7i3:1(9?i:e0e?k26m3n07dj>f;29 17a2m8m7c:>e;g8?lb6m3:1(9?i:e0e?k26m3l07dj>d;29 17a2m8m7c:>e;33?>oc9j0;6):>f;f1b>h39l0:=65fd0`94?"39o0o>k5a40g957=5:9j`5?=83.?=k4k2g9m04c=9?10ei>7:18'04`=l;l0b9?j:058?le7l3:17dlje;29 17a2klm7c:>e;28?ldbl3:1(9?i:cde?k26m3;07dljc;29 17a2klm7c:>e;08?ldbj3:1(9?i:cde?k26m3907dlja;29 17a2klm7c:>e;68?ldb13:1(9?i:cde?k26m3?07dlj8;29 17a2klm7c:>e;48?ldb?3:1(9?i:cde?k26m3=07dli6;29 17a2klm7c:>e;:8?lda=3:1(9?i:cde?k26m3307dli4;29 17a2klm7c:>e;c8?lda;3:1(9?i:cde?k26m3h07dli2;29 17a2klm7c:>e;a8?lda93:1(9?i:cde?k26m3n07dli0;29 17a2klm7c:>e;g8?ldbn3:1(9?i:cde?k26m3l07dlj6;29 17a2klm7c:>e;33?>oem<0;6):>f;`eb>h39l0:=65f177`>5<5<:183M24l2.??l4;439l7=0=831vn9;k:182>5<7sA>8h6*;3`81e3=h:h?1<75rb57f>5<>0m0?h646c=;o0?<7:>:50906<3<38h6?j52d81b?572:;1??4=4;42>34=>:0=87=<:26920<4=3<=6>8566803?0?2:21:44<9;1b>6d=>k0=o78k:7g92c<083=:61g=?;0?n79<:5a931<3l3=>6:8576842>k1944=b;05>71=:<0997?j:3696g<4;39?6>;537803?5?2:31?l47b=:l09j7=?:23977<5>38<68753e85e?062=?1;?492;65>25=>:0?;79;:7690=<0=3<>697577852?2f2>=1::4;b;5;>3>=17=>l0>i7:=:7d91c<3;3=;6;>545845?{#<:k1=;;m;%3:`?71=01/=l?5177b?!24=3;0(9=;:56e?!50:39<46g>67394?=hm>:1<7*;1g8f33=i<8o1<65`e7d94?"39o0n;;5a40g95>=hm?o1<7*;1g8f33=i<8o1>65`e7f94?"39o0n;;5a40g97>=hm?i1<7*;1g8f33=i<8o1865`e7`94?"39o0n;;5a40g91>=hm?k1<7*;1g8f33=i<8o1:65`e7:94?"39o0n;;5a40g93>=hm?=1<7*;1g8f33=i<8o1465`e7494?"39o0n;;5a40g9=>=hm??1<7*;1g8f33=i<8o1m65`e7694?"39o0n;;5a40g9f>=hm?91<7*;1g8f33=i<8o1o65`e7094?"39o0n;;5a40g9`>=hm?;1<7*;1g8f33=i<8o1i65`e7294?"39o0n;;5a40g9b>=hm5<#<8l1i:84n53f>47<3fo>o7>5$53e>`113g>:i7?=;:mf1g<72->:j7k86:l75`<6;21di8o50;&75c290/8e;35?>ib=>0;6):>f;g42>h39l0:;65`e4494?"39o0n;;5a40g95==6=4+40d9a202d?=h4>b:9la07=83.?=k4j779m04c=9j10ch;?:18'04`=m><0b9?j:0f8?jc3n3:1(9?i:d55?k26m3;n76aj4d83>!26n3o<:6`;1d82b>=hm=n1<7*;1g8f33=i<8o1>=54od6`>5<#<8l1i:84n53f>77<3fo?n7>5$53e>`113g>:i7<=;:mf0d<72->:j7k86:l75`<5;21di9750;&75ce;05?>ib?:0;6):>f;g42>h39l09;65`e6094?"39o0n;;5a40g96==2d?=h4=b:9la05=83.?=k4j779m04c=:j10ch:7:18'04`=m><0b9?j:3f8?jc3?3:1(9?i:d55?k26m38n76aja183>!26n3oj:6`;1d83?>ib1o0;6):>f;gb2>h39l0:76aj9d83>!26n3oj:6`;1d81?>ib1m0;6):>f;gb2>h39l0876aj9b83>!26n3oj:6`;1d87?>ib1k0;6):>f;gb2>h39l0>76aj9`83>!26n3oj:6`;1d85?>ib110;6):>f;gb2>h39l0<76aj9683>!26n3oj:6`;1d8;?>ib1?0;6):>f;gb2>h39l0276aj9483>!26n3oj:6`;1d8b?>ib1=0;6):>f;gb2>h39l0i76aj9283>!26n3oj:6`;1d8`?>ib1;0;6):>f;gb2>h39l0o76aj9083>!26n3oj:6`;1d8f?>ib190;6):>f;gb2>h39l0m76aj8g83>!26n3oj:6`;1d824>=hm1n1<7*;1g8fe3=i<8o1=<54od:`>5<#<8l1il84n53f>44<3fo3n7>5$53e>`g13g>:i7?<;:mf:j7kn6:l75`<6<21di5750;&75c?290/8e;34?>ib0?0;6):>f;gb2>h39l0:465`e9794?"39o0nm;5a40g95<=2d?=h4>c:9la=6=83.?=k4ja79m04c=9m10ch9i:18'04`=mh<0b9?j:0g8?jc0m3:1(9?i:dc5?k26m3;m76aj7e83>!26n3oj:6`;1d814>=hm>i1<7*;1g8fe3=i<8o1><54od5a>5<#<8l1il84n53f>74<3fo5$53e>`g13g>:i7<<;:mf3<<72->:j7kn6:l75`<5<21dil;50;&75ce;04?>ibi;0;6):>f;gb2>h39l09465`e`394?"39o0nm;5a40g96<=4?:%62b?cf>2d?=h4=c:9la2>=83.?=k4ja79m04c=:m10ch98:18'04`=mh<0b9?j:3g8?jcc83:1(9?i:df5?k26m3:07bklf;29 17a2ln=7c:>e;38?jcdm3:1(9?i:df5?k26m3807bkld;29 17a2ln=7c:>e;18?jcdk3:1(9?i:df5?k26m3>07bklb;29 17a2ln=7c:>e;78?jcdi3:1(9?i:df5?k26m3<07bkl8;29 17a2ln=7c:>e;58?jcd?3:1(9?i:df5?k26m3207bkl6;29 17a2ln=7c:>e;;8?jcd=3:1(9?i:df5?k26m3k07bkl4;29 17a2ln=7c:>e;`8?jcd;3:1(9?i:df5?k26m3i07bkl2;29 17a2ln=7c:>e;f8?jcd93:1(9?i:df5?k26m3o07bkl0;29 17a2ln=7c:>e;d8?jcen3:1(9?i:df5?k26m3;;76ajbe83>!26n3oo:6`;1d825>=hmki1<7*;1g8f`3=i<8o1=?54od`a>5<#<8l1ii84n53f>45<3foim7>5$53e>`b13g>:i7?;;:mff<<72->:j7kk6:l75`<6=21dio650;&75ce;3;?>ibj<0;6):>f;gg2>h39l0:565`ec694?"39o0nh;5a40g95d=2d?=h4>d:9lad`=83.?=k4jd79m04c=9l10choj:18'04`=mm<0b9?j:0d8?jcfl3:1(9?i:df5?k26m38;76ajab83>!26n3oo:6`;1d815>=hmhh1<7*;1g8f`3=i<8o1>?54odcb>5<#<8l1ii84n53f>75<3foj57>5$53e>`b13g>:i7<;;:mf`0<72->:j7kk6:l75`<5=21dii:50;&75ce;0;?>ibl80;6):>f;gg2>h39l09565`eb;94?"39o0nh;5a40g96d=2d?=h4=d:9lad1=83.?=k4jd79m04c=:l10ck>?:18'04`=n9<0b9?j:198k``a290/810chh8:18'04`=n9<0b9?j:998k``1290/8:18'04`=n9<0b9?j:d98k``7290/8!26n3l;:6`;1d826>=hmlh1<7*;1g8e43=i<8o1=>54odgb>5<#<8l1j=84n53f>42<3fon57>5$53e>c613g>:i7?:;:mfa=<72->:j7h?6:l75`<6>21dih950;&75ce;3:?>ibm=0;6):>f;d32>h39l0:m65`ed094?"39o0m<;5a40g95g=9;o62a?7c32enhk4?:%62b?`7>2d?=h4>e:9laac=83.?=k4i079m04c=9o10chjk:18'04`=n9<0b9?j:328?jcck3:1(9?i:g25?k26m38:76ajdc83>!26n3l;:6`;1d816>=hmmk1<7*;1g8e43=i<8o1>>54odf:>5<#<8l1j=84n53f>72<3fl;97>5$53e>c613g>:i7<:;:me41<72->:j7h?6:l75`<5>21dj==50;&75ce;0:?>ibn00;6):>f;d32>h39l09m65`edg94?"39o0m<;5a40g96g=9;o62a?4c32enh:4?:%62b?`7>2d?=h4=e:9lb66=83.?=k4i379m04c=821dj?h50;&75c21dj?650;&75c50;&75c!26n3l8:6`;1d827>=hn8k1<7*;1g8e73=i<8o1=954og3:>5<#<8l1j>84n53f>43<3fl:47>5$53e>c513g>:i7?9;:me52<72->:j7h<6:l75`<6?21dj<850;&75c4;29 17a2o9=7c:>e;3b?>ia9;0;6):>f;d02>h39l0:n65`f0394?"39o0m?;5a40g95f=2d?=h4>f:9lb5b=83.?=k4i379m04c=:910ck>l:18'04`=n:<0b9?j:338?j`7j3:1(9?i:g15?k26m38976ai0`83>!26n3l8:6`;1d817>=hn931<7*;1g8e73=i<8o1>954og16>5<#<8l1j>84n53f>73<3fl887>5$53e>c513g>:i7<9;:me76<72->:j7h<6:l75`<5?21dj><50;&75ce;0b?>ia9l0;6):>f;d02>h39l09n65`f0194?"39o0m?;5a40g96f=2d?=h4?;:me1c<72->:j7h96:l75`<632em9h4?:%62b?`1>2d?=h4=;:me1a<72->:j7h96:l75`<432em9n4?:%62b?`1>2d?=h4;;:me1g<72->:j7h96:l75`<232em9l4?:%62b?`1>2d?=h49;:me1=<72->:j7h96:l75`<032em9:4?:%62b?`1>2d?=h47;:me13<72->:j7h96:l75`<>32em984?:%62b?`1>2d?=h4n;:me11<72->:j7h96:l75`4?:%62b?`1>2d?=h4l;:me17<72->:j7h96:l75`2d?=h4j;:me15<72->:j7h96:l75`2d?=h4>0:9lb1b=83.?=k4i679m04c=9810ck:l:18'04`=n?<0b9?j:008?j`3j3:1(9?i:g45?k26m3;876ai4`83>!26n3l=:6`;1d820>=hn=31<7*;1g8e23=i<8o1=854og6;>5<#<8l1j;84n53f>40<3fl?;7>5$53e>c013g>:i7?8;:me03<72->:j7h96:l75`<6021dj9;50;&75c?1e8e;3a?>ia<80;6):>f;d52>h39l0:o65`f5294?"39o0m:;5a40g95a=2d?=h4=0:9lb6e=83.?=k4i679m04c=:810ck=m:18'04`=n?<0b9?j:308?j`4i3:1(9?i:g45?k26m38876ai3883>!26n3l=:6`;1d810>=hn??1<7*;1g8e23=i<8o1>854og47>5<#<8l1j;84n53f>70<3fl=?7>5$53e>c013g>:i7<8;:me27<72->:j7h96:l75`<5021dj;?50;&75c?1e8290/8e;0a?>ia<:0;6):>f;d52>h39l09o65`f2:94?"39o0m:;5a40g96a=5$53e>c?13g>:i7?4;nd;a?6=,=;m6k79;o62a?4<3fl3h7>5$53e>c?13g>:i7=4;nd;g?6=,=;m6k79;o62a?2<3fl3n7>5$53e>c?13g>:i7;4;nd;e?6=,=;m6k79;o62a?0<3fl347>5$53e>c?13g>:i794;nd;3?6=,=;m6k79;o62a?><3fl3:7>5$53e>c?13g>:i774;nd;1?6=,=;m6k79;o62a?g<3fl387>5$53e>c?13g>:i7l4;nd;7?6=,=;m6k79;o62a?e<3fl3>7>5$53e>c?13g>:i7j4;nd;5?6=,=;m6k79;o62a?c<3fl3<7>5$53e>c?13g>:i7h4;nd4b?6=,=;m6k79;o62a?7732em;i4?:%62b?`>>2d?=h4>1:9lb2e=83.?=k4i979m04c=9;10ck9m:18'04`=n0<0b9?j:018?j`0i3:1(9?i:g;5?k26m3;?76ai7883>!26n3l2:6`;1d821>=hn>21<7*;1g8e=3=i<8o1=;54og54>5<#<8l1j484n53f>41<3fl<:7>5$53e>c?13g>:i7?7;:me30<72->:j7h66:l75`<6121dj::50;&75ce;3`?>ia?90;6):>f;d:2>h39l0:h65`f7d94?"39o0m5;5a40g95`=>2d?=h4=1:9lb3d=83.?=k4i979m04c=:;10ck8n:18'04`=n0<0b9?j:318?j`113:1(9?i:g;5?k26m38?76ai9483>!26n3l2:6`;1d811>=hn0>1<7*;1g8e=3=i<8o1>;54og;0>5<#<8l1j484n53f>71<3fl2>7>5$53e>c?13g>:i7<7;:me=4<72->:j7h66:l75`<5121dj5750;&75ce;0`?>ia>10;6):>f;d:2>h39l09h65`f7594?"39o0m5;5a40g96`=h39l0976a>1c694?"39o0:=oh4n53f>6=7>5$53e>47en2d?=h4;;:m25g7=83.?=k4>1cd8j17b2<10ci69hl1<7*;1g825g`h39l0j76a>1``94?"39o0:=oh4n53f>g=5$53e>47en2d?=h4l;:m25d?=83.?=k4>1cd8j17b2m10ci69kn1<7*;1g825g`e;32?>i69kk1<7*;1g825g`:j7?>bg9m04c=9:10c=h98h86=4+40d954da3g>:i7?:;:m25d>=83.?=k4>1cd8j17b28<07b?>a683>!26n3;:nk5a40g952=5$53e>47c02d?=h4?;:m25f`=83.?=k4>1e:8j17b2810ci69jn1<7*;1g825a>54o03`f?6=,=;m6h39l0=76a>1b:94?"39o0:=i64n53f>2=5$53e>47c02d?=h47;:m25f0=83.?=k4>1e:8j17b2010ci69j>1<7*;1g825a>h39l0n76a>1e494?"39o0:=i64n53f>c=5$53e>47c02d?=h4>0:9l54b3290/81e194?"39o0:=i64n53f>44<3f;:h?4?:%62b?76l11e8h39l0:865`10a`>5<#<8l1=f;32`==i<8o1=:54o03f=?6=,=;m6h39l0976a>1d494?"39o0:=k?4n53f>6=5$53e>47a92d?=h4;;:m25`5=83.?=k4>1g38j17b2<10ci69l;1<7*;1g825c7h39l0j76a>1ef94?"39o0:=k?4n53f>g=5$53e>47a92d?=h4l;:m25ad=83.?=k4>1g38j17b2m10ci69ll1<7*;1g825c7e;32?>i69li1<7*;1g825c7:j7?>f09m04c=9:10c=h98o>6=4+40d954`63g>:i7?:;:m25ag=83.?=k4>1g38j17b28<07b?>d883>!26n3;:j<5a40g952=7>5$53e>447i2d?=h4?;:m2657=83.?=k4>21c8j17b2810c<i69ol1<7*;1g8265g54o03e`?6=,=;m6<h39l0=76a>1gc94?"39o0:>=o4n53f>2=5$53e>447i2d?=h47;:m25c>=83.?=k4>21c8j17b2010ci69o<1<7*;1g8265gh39l0n76a>21:94?"39o0:>=o4n53f>c=5$53e>447i2d?=h4>0:9l5761290/821794?"39o0:>=o4n53f>44<3f;9<94?:%62b?758h1e8h39l0:865`10df>5<#<8l1=?>n;o62a?7232e:=k=50;&75c<6:9k0b9?j:048?j76n;0;6):>f;314d=i<8o1=:54o002f?6=,=;m6<<=3:l75`<732e:>h39l0976a>20:94?"39o0:>?=4n53f>6=5$53e>445;2d?=h4;;:m2643=83.?=k4>2318j17b2<10c<<>4;29 17a2889?6`;1d85?>i6:891<7*;1g82675h39l0j76a>21d94?"39o0:>?=4n53f>g=5$53e>445;2d?=h4l;:m265b=83.?=k4>2318j17b2m10c<<=2;29 17a2889?6`;1d8f?>i6:;;1<7*;1g82675e;32?>i6:8o1<7*;1g82675:j7?=229m04c=9:10c<<>c;29 17a2889?6`;1d820>=h9;;<6=4+40d957443g>:i7?:;:m265e=83.?=k4>2318j17b28<07b?=0c83>!26n3;9>>5a40g952=5$53e>444k2d?=h4?;:m2665=83.?=k4>22a8j17b2810c<<<2;29 17a2888o6`;1d81?>i6::;1<7*;1g8266e54o001b?6=,=;m6<<?k50;&75c<6::i0b9?j:498k445l3:1(9?i:000g>h39l0=76a>23a94?"39o0:>>m4n53f>2=5$53e>444k2d?=h47;:m267g=83.?=k4>22a8j17b2010c<<=9;29 17a2888o6`;1d8b?>i6:;21<7*;1g8266e?850;&75c<6::i0b9?j:e98k444j3:1(9?i:000g>h39l0n76a>22c94?"39o0:>>m4n53f>c=5$53e>444k2d?=h4>0:9l575?290/822594?"39o0:>>m4n53f>44<3f;9?;4?:%62b?75;j1e8h39l0:865`1313>5<#<8l1=?=l;o62a?7232e:>?;50;&75c<6::i0b9?j:048?j75:=0;6):>f;317f=i<8o1=:54o007`?6=,=;m6<<:5:l75`<732e:>9m50;&75c<6:h39l0976a>25c94?"39o0:>8;4n53f>6=5$53e>442=2d?=h4;;:m2611=83.?=k4>2478j17b2<10c<<;6;29 17a288>96`;1d85?>i6:=?1<7*;1g826039=50;&75c<6:h39l0j76a>25394?"39o0:>8;4n53f>g=5$53e>442=2d?=h4l;:m266`=83.?=k4>2478j17b2m10c<<:4;29 17a288>96`;1d8f?>i6:<91<7*;1g82603:18'04`=9;?>7c:>e;32?>i6:<:1<7*;1g82603:j7?=549m04c=9:10c<<;e;29 17a288>96`;1d820>=h9;>26=4+40d957323g>:i7?:;:m266c=83.?=k4>2478j17b28<07b?=3e83>!26n3;9985a40g952=5;ha64?6=,=;m6n;7;o62a?6<3`i?j7>5$53e>f3?3g>:i7?4;ha7a?6=,=;m6n;7;o62a?4<3`i?h7>5$53e>f3?3g>:i7=4;ha7f?6=,=;m6n;7;o62a?2<3`i?m7>5$53e>f3?3g>:i7;4;ha7=?6=,=;m6n;7;o62a?0<3`i?47>5$53e>f3?3g>:i794;ha73?6=,=;m6n;7;o62a?><3`i?:7>5$53e>f3?3g>:i774;ha71?6=,=;m6n;7;o62a?g<3`i?87>5$53e>f3?3g>:i7l4;ha77?6=,=;m6n;7;o62a?e<3`i?>7>5$53e>f3?3g>:i7j4;ha63?6=,=;m6n;7;o62a?c<3`i>:7>5$53e>f3?3g>:i7h4;ha61?6=,=;m6n;7;o62a?7732ch994?:%62b?e202d?=h4>1:9jg05=83.?=k4l599m04c=9;10en;=:18'04`=k<20b9?j:018?le293:1(9?i:b7;?k26m3;?76gl4b83>!26n3i>46`;1d821>=nk=;1<7*;1g8`1==i<8o1=;54ib63>5<#<8l1o864n53f>41<3`i=57>5$53e>f163g>:i7>4;ha5;o62a?7<3`i=;7>5$53e>f163g>:i7<4;ha52?6=,=;m6n9>;o62a?5<3`i=87>5$53e>f163g>:i7:4;ha57?6=,=;m6n9>;o62a?3<3`i=>7>5$53e>f163g>:i784;ha55?6=,=;m6n9>;o62a?1<3`i=<7>5$53e>f163g>:i764;ha6b?6=,=;m6n9>;o62a??<3`i>i7>5$53e>f163g>:i7o4;ha6`?6=,=;m6n9>;o62a?d<3`i>o7>5$53e>f163g>:i7m4;ha6f?6=,=;m6n9>;o62a?b<3`i<<7>5$53e>f163g>:i7k4;ha5b?6=,=;m6n9>;o62a?`<3`i=i7>5$53e>f163g>:i7??;:k`2a<72->:j7m81:l75`<6921bo;m50;&75ce;37?>od><0;6):>f;a45>h39l0:965fc4c94?"39o0h;<5a40g953=5$53e>f>f3g>:i7?4;ha;4?6=,=;m6n6n;o62a?4<3`i5$53e>f>f3g>:i7=4;ha4`?6=,=;m6n6n;o62a?2<3`i5$53e>f>f3g>:i7;4;ha4f?6=,=;m6n6n;o62a?0<3`i5$53e>f>f3g>:i794;ha4=?6=,=;m6n6n;o62a?><3`i<47>5$53e>f>f3g>:i774;ha43?6=,=;m6n6n;o62a?g<3`i<:7>5$53e>f>f3g>:i7l4;ha41?6=,=;m6n6n;o62a?e<3`i<87>5$53e>f>f3g>:i7j4;ha;=?6=,=;m6n6n;o62a?c<3`i347>5$53e>f>f3g>:i7h4;ha;3?6=,=;m6n6n;o62a?7732ch4;4?:%62b?e?i2d?=h4>1:9jg=3=83.?=k4l8`9m04c=9;10en6;:18'04`=k1k0b9?j:018?le?;3:1(9?i:b:b?k26m3;?76gl7d83>!26n3i3m6`;1d821>=nk>91<7*;1g8`5<#<8l1o5o4n53f>41<3`i2n7>5$53e>fg43g>:i7>4;ha:e?6=,=;m6no<;o62a?7<3`i257>5$53e>fg43g>:i7<4;ha:5$53e>fg43g>:i7:4;ha:1?6=,=;m6no<;o62a?3<3`i287>5$53e>fg43g>:i784;ha:7?6=,=;m6no<;o62a?1<3`i2>7>5$53e>fg43g>:i764;ha:5?6=,=;m6no<;o62a??<3`i2<7>5$53e>fg43g>:i7o4;ha;b?6=,=;m6no<;o62a?d<3`i3i7>5$53e>fg43g>:i7m4;ha;`?6=,=;m6no<;o62a?b<3`ij>7>5$53e>fg43g>:i7k4;hab5?6=,=;m6no<;o62a?`<3`ij<7>5$53e>fg43g>:i7??;:k`=c<72->:j7mn3:l75`<6921bo4k50;&75ce;37?>od1>0;6):>f;ab7>h39l0:965fc9a94?"39o0hm>5a40g953=5$53e>fdd3g>:i7?4;haa6?6=,=;m6nll;o62a?4<3`ii=7>5$53e>fdd3g>:i7=4;habb?6=,=;m6nll;o62a?2<3`iji7>5$53e>fdd3g>:i7;4;hab`?6=,=;m6nll;o62a?0<3`ijo7>5$53e>fdd3g>:i794;habf?6=,=;m6nll;o62a?><3`ijm7>5$53e>fdd3g>:i774;hab=?6=,=;m6nll;o62a?g<3`ij47>5$53e>fdd3g>:i7l4;hab3?6=,=;m6nll;o62a?e<3`ij:7>5$53e>fdd3g>:i7j4;haaf?6=,=;m6nll;o62a?c<3`iim7>5$53e>fdd3g>:i7h4;haa=?6=,=;m6nll;o62a?7732chn54?:%62b?eek2d?=h4>1:9jgg1=83.?=k4lbb9m04c=9;10enl9:18'04`=kki0b9?j:018?lee=3:1(9?i:b``?k26m3;?76glb183>!26n3iio6`;1d821>=nkh?1<7*;1g8`ff=i<8o1=;54ibc7>5<#<8l1oom4n53f>41<3f;=9k4?::k`ga<72->:j7mk5:l75`<732chon4?:%62b?ec=2d?=h4>;:k`gg<72->:j7mk5:l75`<532chol4?:%62b?ec=2d?=h4<;:k`g=<72->:j7mk5:l75`<332cho:4?:%62b?ec=2d?=h4:;:k`g3<72->:j7mk5:l75`<132cho84?:%62b?ec=2d?=h48;:k`g1<72->:j7mk5:l75`4?:%62b?ec=2d?=h46;:k`g7<72->:j7mk5:l75`:j7mk5:l75`:j7mk5:l75`4?:%62b?ec=2d?=h4i;:k``7<72->:j7mk5:l75`<6821boi?50;&75c7c:>e;30?>odkl0;6):>f;ag1>h39l0:865fcb;94?"39o0hh85a40g950=:j7mje:l75`<632chi94?:%62b?ebm2d?=h4=;:k`a6<72->:j7mje:l75`<432chi<4?:%62b?ebm2d?=h4;;:k`a5<72->:j7mje:l75`<232chhk4?:%62b?ebm2d?=h49;:k```<72->:j7mje:l75`<032chhi4?:%62b?ebm2d?=h47;:k``f<72->:j7mje:l75`<>32chho4?:%62b?ebm2d?=h4n;:k``d<72->:j7mje:l75`:j7mje:l75`:j7mje:l75`0:9jg`g=83.?=k4led9m04c=9810enk6:18'04`=klo0b9?j:008?leb03:1(9?i:bgf?k26m3;876gle683>!26n3ini6`;1d820>=nkl81<7*;1g8`a`=i<8o1=854ibf4>5<#<8l1ohk4n53f>40<3`io:7>5$53e>fcb3g>:i7?8;:k`4d<72->:j7m?b:l75`<732en8<4?:%62b?`en2d?=h4?;:mf05<72->:j7hmf:l75`<632en?k4?:%62b?`en2d?=h4=;:mf7`<72->:j7hmf:l75`<432en?i4?:%62b?`en2d?=h4;;:mf7f<72->:j7hmf:l75`<232en?o4?:%62b?`en2d?=h49;:mf7<<72->:j7hmf:l75`<032en?54?:%62b?`en2d?=h47;:mf72<72->:j7hmf:l75`<>32en?;4?:%62b?`en2d?=h4n;:mf70<72->:j7hmf:l75`:j7hmf:l75`:j7hmf:l75`0:9la7c=83.?=k4ibg9m04c=9810ch!26n3lij6`;1d820>=hm;k1<7*;1g8efc=i<8o1=854od0:>5<#<8l1joh4n53f>40<3fo947>5$53e>cda3g>:i7?8;:mf62<72->:j7hmf:l75`<6021di?850;&75ce;3a?>ib:;0;6):>f;dab>h39l0:o65`e3394?"39o0mnk5a40g95a=!26n3lij6`;1d810>=hm=<1<7*;1g8efc=i<8o1>854od66>5<#<8l1joh4n53f>70<3fo?87>5$53e>cda3g>:i7<8;:mf06<72->:j7hmf:l75`<5021di9<50;&75ce;0a?>ib:=0;6):>f;dab>h39l09o65`e0;94?"39o0mnk5a40g96a=>e:l75`<732emik4?:%62b?779l1e800g8j17b2;10ckkk:18'04`=99;n7c:>e;18?j`bk3:1(9?i:022a>h39l0?76aiec83>!26n3;;=h5a40g91>=hnlk1<7*;1g8244c5<#<8l1==?j;o62a?1<3fln;7>5$53e>466m2d?=h47;:mea3<72->:j7??1d9m04c=121djh;50;&75c<688o0b9?j:`98kcc3290/8iam;0;6):>f;335`=i<8o1h65`fd394?"39o0:<`=:i7h4;ndgb?6=,=;m6<>>e:l75`<6821djij50;&75c<688o0b9?j:038?j`ck3:1(9?i:022a>h39l0:>65`fe`94?"39o0:<45<3flom7>5$53e>466m2d?=h4>4:9lba?=83.?=k4>00g8j17b28?07bhk8;29 17a28::i6`;1d822>=hnm=1<7*;1g8244c>e:l75`<6021dji;50;&75c<688o0b9?j:0;8?j`c<3:1(9?i:022a>h39l0:m65`fe094?"39o0:<4d<3flo=7>5$53e>466m2d?=h4>c:9lba6=83.?=k4>00g8j17b28n07bhlf;29 17a28::i6`;1d82a>=hnjo1<7*;1g8244c>e:l75`<5821djnm50;&75c<688o0b9?j:338?j`dj3:1(9?i:022a>h39l09>65`fbc94?"39o0:<75<3flh57>5$53e>466m2d?=h4=4:9lbc3=83.?=k4>00g8j17b2;?07bhi4;29 17a28::i6`;1d812>=hno91<7*;1g8244c>e:l75`<5021djk?50;&75c<688o0b9?j:3;8?j`b13:1(9?i:022a>h39l09m65`feg94?"39o0:<7d<3flo?7>5$53e>466m2d?=h4=c:9lbf>=83.?=k4>00g8j17b2;n07bhl7;29 17a28::i6`;1d81a>=h99>m6=4+40d955323g>:i7>4;n330`<72->:j7??549m04c=921d==:k:18'04`=99?>7c:>e;08?j77f;3310=i<8o1?65`116a>5<#<8l1==;:;o62a?2<3f;;8l4?:%62b?77=<1e8290/8!26n3;;985a40g93>=h99>=6=4+40d955323g>:i764;n3300<72->:j7??549m04c=121d==:;:18'04`=99?>7c:>e;c8?j77<:0;6):>f;3310=i<8o1n65`1161>5<#<8l1==;:;o62a?e<3f;;8<4?:%62b?77=<1e8!26n3;;985a40g9b>=h999n6=4+40d955323g>:i7??;:m246e=83.?=k4>0478j17b28;07b??3c83>!26n3;;985a40g957=5$53e>462=2d?=h4>3:9l555>290/802:94?"39o0:<8;4n53f>43<3f;;?:4?:%62b?77=<1e83:1(9?i:0261>h39l0:;65`1116>5<#<8l1==;:;o62a?7?32e:<>:50;&75c<68f;3310=i<8o1=l54o0205?6=,=;m6<>:5:l75`<6j21d===?:18'04`=99?>7c:>e;3`?>i68;l1<7*;1g82403:j7??549m04c=9l10c<>=d;29 17a28:>96`;1d82b>=h998h6=4+40d955323g>:i70478j17b2;;07b??2`83>!26n3;;985a40g967=5$53e>462=2d?=h4=3:9l554?290/804694?"39o0:<8;4n53f>73<3f;;9>4?:%62b?77=<1e8h39l09;65`1172>5<#<8l1==;:;o62a?4?32e:<8>50;&75c<68f;3310=i<8o1>l54o020`?6=,=;m6<>:5:l75`<5j21d====:18'04`=99?>7c:>e;0`?>i68;=1<7*;1g82403:j7??549m04c=:l10cn>j:188k460n3:1(9?i:02;1>h39l0;76a>06g94?"39o0:<5;4n53f>4=5$53e>46?=2d?=h4=;:m242e=83.?=k4>0978j17b2:10c<>8b;29 17a28:396`;1d87?>i68>k1<7*;1g824=375:l75`<132e:<:950;&75c<681?0b9?j:698k460>3:1(9?i:02;1>h39l0376a>06794?"39o0:<5;4n53f><=5$53e>46?=2d?=h4n;:m2425=83.?=k4>0978j17b2k10c<>82;29 17a28:396`;1d8`?>i68>;1<7*;1g824=375:l75`h39l0:<65`114`>5<#<8l1==6:;o62a?7632e:<;l50;&75c<681?0b9?j:008?j77>h0;6):>f;33<0=i<8o1=>54o025=?6=,=;m6<>75:l75`<6<21d==87:18'04`=992>7c:>e;36?>i68?=1<7*;1g824=3:j7??849m04c=9>10c<>95;29 17a28:396`;1d82<>=h9923g>:i7?6;:m2435=83.?=k4>0978j17b28k07b??6083>!26n3;;485a40g95g=5$53e>46?=2d?=h4>c:9l553a290/804g94?"39o0:<5;4n53f>4c<3f;;9i4?:%62b?770<1e8h39l09<65`117a>5<#<8l1==6:;o62a?4632e:<8o50;&75c<681?0b9?j:308?j77=00;6):>f;33<0=i<8o1>>54o02675:l75`<5<21d==6;:18'04`=992>7c:>e;06?>i68191<7*;1g824=3:j7??849m04c=:>10c<>71;29 17a28:396`;1d81<>=h992;6=4+40d955>23g>:i7<6;:m242>=83.?=k4>0978j17b2;k07b??6e83>!26n3;;485a40g96g=7>5$53e>46?=2d?=h4=c:9l5530290/804494?"39o0:<5;4n53f>7c<3`i847>5$53e>f`b3g>:i7>4;ha03?6=,=;m6nhj;o62a?7<3`i8:7>5$53e>f`b3g>:i7<4;ha01?6=,=;m6nhj;o62a?5<3`i8?7>5$53e>f`b3g>:i7:4;ha06?6=,=;m6nhj;o62a?3<3`i8=7>5$53e>f`b3g>:i784;ha04?6=,=;m6nhj;o62a?1<3`i9j7>5$53e>f`b3g>:i764;ha1a?6=,=;m6nhj;o62a??<3`i9h7>5$53e>f`b3g>:i7o4;ha1g?6=,=;m6nhj;o62a?d<3`i9n7>5$53e>f`b3g>:i7m4;ha1e?6=,=;m6nhj;o62a?b<3`i8j7>5$53e>f`b3g>:i7k4;ha0a?6=,=;m6nhj;o62a?`<3`i8h7>5$53e>f`b3g>:i7??;:k`7f<72->:j7mie:l75`<6921bo>l50;&75ce;37?>od;=0;6):>f;aea>h39l0:965fc3;94?"39o0hjh5a40g953=5$53e>a4a3g>:i7?4;hf22?6=,=;m6i5$53e>a4a3g>:i7=4;hf27?6=,=;m6i7>5$53e>a4a3g>:i7;4;hf25?6=,=;m6i5$53e>a4a3g>:i794;hf3b?6=,=;m6i<3`n;i7>5$53e>a4a3g>:i774;hf3`?6=,=;m6i5$53e>a4a3g>:i7l4;hf3f?6=,=;m6i5$53e>a4a3g>:i7j4;hf2b?6=,=;m6i5$53e>a4a3g>:i7h4;hf2`?6=,=;m6i1:9j`4d=83.?=k4k2g9m04c=9;10ei?n:18'04`=l;l0b9?j:018?lb613:1(9?i:e0e?k26m3;?76gk1583>!26n3n9j6`;1d821>=nl931<7*;1g8g6c=i<8o1=;54ie2;>5<#<8l1h?h4n53f>41<3f;;mk4?:%62b?77j<1e8!26n3;;n85a40g96>=h99kh6=4+40d955d23g>:i7=4;n33eg<72->:j7??b49m04c=<21d==on:18'04`=99h>7c:>e;78?j77i00;6):>f;33f0=i<8o1:65`11c4>5<#<8l1==l:;o62a?1<3f;;m;4?:%62b?77j<1e8!26n3;;n85a40g9e>=h99k86=4+40d955d23g>:i7l4;n33e7<72->:j7??b49m04c=k21d==o>:18'04`=99h>7c:>e;f8?j77i90;6):>f;33f0=i<8o1i65`11;e>5<#<8l1==l:;o62a?`<3f;;5h4?:%62b?77j<1e8k3:1(9?i:02a1>h39l0:=65`11;a>5<#<8l1==l:;o62a?7532e:<4o50;&75c<68k?0b9?j:018?j77100;6):>f;33f0=i<8o1=954o02:m5:l75`<6=21d==78:18'04`=99h>7c:>e;35?>i680<1<7*;1g824g3:j7??b49m04c=9110c<>64;29 17a28:i96`;1d82=>=h99386=4+40d955d23g>:i7?n;:m24<7=83.?=k4>0c78j17b28h07b??9183>!26n3;;n85a40g95f=5$53e>46e=2d?=h4>d:9l55>b290/809f94?"39o0:4`<3f;;4n4?:%62b?77j<1e8h39l09=65`11:b>5<#<8l1==l:;o62a?4532e:<5750;&75c<68k?0b9?j:318?j77010;6):>f;33f0=i<8o1>954o02a0?6=,=;m6<>m5:l75`<5=21d==l<:18'04`=99h>7c:>e;05?>i68k81<7*;1g824g3:j7??b49m04c=:110c<>m0;29 17a28:i96`;1d81=>=h99k36=4+40d955d23g>:i70c78j17b2;h07b??9383>!26n3;;n85a40g96f=5$53e>46e=2d?=h4=d:9l55>1290/8!26n3n>=6`;1d83?>oc<10;6):>f;f65>h39l0:76gk4683>!26n3n>=6`;1d81?>ocf;f65>h39l0876gk4583>!26n3n>=6`;1d87?>oc<:0;6):>f;f65>h39l0>76gk4383>!26n3n>=6`;1d85?>oc<80;6):>f;f65>h39l0<76gk4183>!26n3n>=6`;1d8;?>oc;o0;6):>f;f65>h39l0276gk3d83>!26n3n>=6`;1d8b?>oc;m0;6):>f;f65>h39l0i76gk3b83>!26n3n>=6`;1d8`?>oc;k0;6):>f;f65>h39l0o76gk5183>!26n3n>=6`;1d8f?>ocf;f65>h39l0m76gk4d83>!26n3n>=6`;1d824>=nl=n1<7*;1g8g14=i<8o1=<54ie6`>5<#<8l1h8?4n53f>44<3`n?n7>5$53e>a363g>:i7?<;:kg0d<72->:j7j:1:l75`<6<21bh9;50;&75ce;34?>i68ml1<7*;1g824`3j5:l75`<632e:h39l0876a>0e`94?"39o0:1=5$53e>46b=2d?=h4:;:m24a?=83.?=k4>0d78j17b2?10c<>k7;29 17a28:n96`;1d84?>i68m<1<7*;1g824`3j5:l75`<>32e:h39l0i76a>0e094?"39o0:f=5$53e>46b=2d?=h4k;:m24a6=83.?=k4>0d78j17b2l10c<>lf;29 17a28:n96`;1d8e?>i68jo1<7*;1g824`34;n33gf<72->:j7??e49m04c=9810c<>lb;29 17a28:n96`;1d826>=h99ij6=4+40d955c23g>:i7?<;:m24f?=83.?=k4>0d78j17b28>07b??c983>!26n3;;i85a40g950=5$53e>46b=2d?=h4>6:9l55e1290/80b794?"39o0:4><3f;;o94?:%62b?77m<1e8h39l0:m65`11a2>5<#<8l1==k:;o62a?7e32e:50;&75c<68l?0b9?j:0a8?j77jo0;6):>f;33a0=i<8o1=i54o02aa?6=,=;m6<>j5:l75`<6m21d==lk:18'04`=99o>7c:>e;3e?>i68ki1<7*;1g824`34;n33fg<72->:j7??e49m04c=:810c<>ma;29 17a28:n96`;1d816>=h99h26=4+40d955c23g>:i7<<;:m24g>=83.?=k4>0d78j17b2;>07b??e583>!26n3;;i85a40g960=5$53e>46b=2d?=h4=6:9l55c5290/80d394?"39o0:7><3f;;i=4?:%62b?77m<1e8h39l09m65`11ag>5<#<8l1==k:;o62a?4e32e:0;6):>f;33a0=i<8o1>i54o02a2?6=,=;m6<>j5:l75`<5m21bh;<50;&75ch1e850;&75ch1e8h1e8h1e8h1e8=83.?=k4k6`9m04c=121bh8950;&75ch1e8h1e8h1e8=83.?=k4k6`9m04c=n21bh;950;&75ch1e8e;31?>oc>=0;6):>f;f5e>h39l0:?65fd7194?"39o0o:l5a40g951=7:9l546a290/80d83>!26n3;:=85a40g95>=h98:o6=4+40d954723g>:i7<4;n324f<72->:j7?>149m04c=;21d=<>m:18'04`=98;>7c:>e;68?j768h0;6):>f;3250=i<8o1965`102:>5<#<8l1=0483>!26n3;:=85a40g9=>=h98:?6=4+40d954723g>:i7o4;n3246<72->:j7?>149m04c=j21d=<>=:18'04`=98;>7c:>e;a8?j76880;6):>f;3250=i<8o1h65`1023>5<#<8l1=0ga94?"39o0:=<;4n53f>47<3f;;jo4?:%62b?769<1e8h39l0:?65`11d:>5<#<8l1=0;6):>f;3250=i<8o1=;54o02e2?6=,=;m65:l75`<6?21d==h::18'04`=98;>7c:>e;3;?>i68o>1<7*;1g82543:j7?>149m04c=9h10c<>i1;29 17a28;:96`;1d82f>=h99l;6=4+40d954723g>:i7?l;:m24``=83.?=k4>1078j17b28n07b??ed83>!26n3;:=85a40g95`=5$53e>476=2d?=h4>f:9l55cd290/80d`94?"39o0:=<;4n53f>77<3f;;il4?:%62b?769<1e8h39l09?65`11g;>5<#<8l1=f;3250=i<8o1>;54o0326?6=,=;m65:l75`<5?21d=:18'04`=98;>7c:>e;0;?>i698:1<7*;1g82543:j7?>149m04c=:h10c<>id;29 17a28;:96`;1d81f>=h99l96=4+40d954723g>:i71078j17b2;n07b??e783>!26n3;:=85a40g96`=5<#<8l1h5=4n53f>4=5<#<8l1h5=4n53f>6=5<#<8l1h5=4n53f>0=5<#<8l1h5=4n53f>2=5<#<8l1h5=4n53f><=5<#<8l1h5=4n53f>g=5<#<8l1h5=4n53f>a=5<#<8l1h5=4n53f>c=4;hf4b?6=,=;m6i6<;o62a?7632co;h4?:%62b?b?;2d?=h4>2:9j`2b=83.?=k4k829m04c=9:10ei9l:18'04`=l190b9?j:068?lb0?3:1(9?i:e:0?k26m3;>76gk6b83>!26n3n3?6`;1d822>=nl?h1<7*;1g8g<6=i<8o1=:54o030b?6=,=;m6k50;&75c<69=?0b9?j:098k474l3:1(9?i:0371>h39l0976a>12a94?"39o0:=9;4n53f>6=5$53e>473=2d?=h4;;:m256g=83.?=k4>1578j17b2<10ci69:=1<7*;1g82513;50;&75c<69=?0b9?j:898k474<3:1(9?i:0371>h39l0j76a>12194?"39o0:=9;4n53f>g=7>5$53e>473=2d?=h4l;:m2567=83.?=k4>1578j17b2m10ci69;l1<7*;1g82513>7c:>e;32?>i69;h1<7*;1g82513:j7?>449m04c=9:10c=h98836=4+40d954223g>:i7?:;:m2571=83.?=k4>1578j17b28<07b?>2783>!26n3;:885a40g952=5$53e>473=2d?=h4>8:9l5443290/813194?"39o0:=9;4n53f>4g<3f;:><4?:%62b?76<<1e8h39l0:o65`103e>5<#<8l1=<::;o62a?7c32e:=f;3200=i<8o1=k54o032g?6=,=;m6>7c:>e;02?>i698k1<7*;1g82513:j7?>449m04c=::10c8;29 17a28;?96`;1d810>=h98>?6=4+40d954223g>:i7<:;:m2515=83.?=k4>1578j17b2;<07b?>4383>!26n3;:885a40g962=5$53e>473=2d?=h4=8:9l5427290/812:94?"39o0:=9;4n53f>7g<3f;:>i4?:%62b?76<<1e8h39l09o65`1034>5<#<8l1=<::;o62a?4c32e:=<850;&75c<69=?0b9?j:3g8?lb><3:1(9?i:e;`?k26m3:07dj63;29 17a2m3h7c:>e;38?lb>:3:1(9?i:e;`?k26m3807dj61;29 17a2m3h7c:>e;18?lb?n3:1(9?i:e;`?k26m3>07dj7e;29 17a2m3h7c:>e;78?lb?l3:1(9?i:e;`?k26m3<07dj7c;29 17a2m3h7c:>e;58?lb?j3:1(9?i:e;`?k26m3207dj7a;29 17a2m3h7c:>e;;8?lb?13:1(9?i:e;`?k26m3k07dj78;29 17a2m3h7c:>e;`8?lb??3:1(9?i:e;`?k26m3i07dj76;29 17a2m3h7c:>e;f8?lb>j3:1(9?i:e;`?k26m3o07dj6a;29 17a2m3h7c:>e;d8?lb>13:1(9?i:e;`?k26m3;;76gk9983>!26n3n2o6`;1d825>=nl0=1<7*;1g8g=f=i<8o1=?54ie;5>5<#<8l1h4m4n53f>45<3`n297>5$53e>a?d3g>:i7?;;:kg=5<72->:j7j6c:l75`<6=21bh5;50;&75c3290/86g83>!26n3;:;85a40g94>=h98:i7?4;n322a<72->:j7?>749m04c=:21d=<8l:18'04`=98=>7c:>e;18?j76>k0;6):>f;3230=i<8o1865`104b>5<#<8l1=<9:;o62a?3<3f;::44?:%62b?76?<1e86783>!26n3;:;85a40g9<>=h98<>6=4+40d954123g>:i774;n3221<72->:j7?>749m04c=i21d=<8<:18'04`=98=>7c:>e;`8?j76>;0;6):>f;3230=i<8o1o65`1042>5<#<8l1=<9:;o62a?b<3f;::=4?:%62b?76?<1e85d83>!26n3;:;85a40g955=o7>5$53e>470=2d?=h4>1:9l543e290/814c94?"39o0:=:;4n53f>45<3f;:944?:%62b?76?<1e8h39l0:965`1074>5<#<8l1=<9:;o62a?7132e:=8850;&75c<69>?0b9?j:058?j76=<0;6):>f;3230=i<8o1=554o0360?6=,=;m67c:>e;3b?>i69<;1<7*;1g82523:j7?>749m04c=9j10c=h98>n6=4+40d954123g>:i7?j;:m251b=83.?=k4>1678j17b28l07b?>4b83>!26n3;:;85a40g965=5$53e>470=2d?=h4=1:9l542f290/815;94?"39o0:=:;4n53f>75<3f;:854?:%62b?76?<1e8h39l09965`1050>5<#<8l1=<9:;o62a?4132e:=:<50;&75c<69>?0b9?j:358?j76?80;6):>f;3230=i<8o1>554o0344?6=,=;m67c:>e;0b?>i69:j7?>749m04c=:j10c=h98>=6=4+40d954123g>:i7:j7jm5:l75`<732comn4?:%62b?be=2d?=h4>;:kgeg<72->:j7jm5:l75`<532coml4?:%62b?be=2d?=h4<;:kge=<72->:j7jm5:l75`<332com:4?:%62b?be=2d?=h4:;:kge3<72->:j7jm5:l75`<132com84?:%62b?be=2d?=h48;:kge1<72->:j7jm5:l75`4?:%62b?be=2d?=h46;:kge7<72->:j7jm5:l75`:j7jm5:l75`:j7jm5:l75`4?:%62b?be=2d?=h4i;:kgf7<72->:j7jm5:l75`<6821bho?50;&75c7c:>e;30?>ocil0;6):>f;fa1>h39l0:865fd`;94?"39o0on85a40g950=:j7jle:l75`<632coo94?:%62b?bdm2d?=h4=;:kgg6<72->:j7jle:l75`<432coo<4?:%62b?bdm2d?=h4;;:kgg5<72->:j7jle:l75`<232conk4?:%62b?bdm2d?=h49;:kgf`<72->:j7jle:l75`<032coni4?:%62b?bdm2d?=h47;:kgff<72->:j7jle:l75`<>32cono4?:%62b?bdm2d?=h4n;:kgfd<72->:j7jle:l75`:j7jle:l75`:j7jle:l75`0:9j`fg=83.?=k4kcd9m04c=9810eim6:18'04`=ljo0b9?j:008?lbd03:1(9?i:eaf?k26m3;876gkc683>!26n3nhi6`;1d820>=nlj81<7*;1g8gg`=i<8o1=854ie`4>5<#<8l1hnk4n53f>40<3`ni:7>5$53e>aeb3g>:i7?8;:kg`c<72->:j7jj7:l75`<732cohh4?:%62b?bb?2d?=h4>;:kg`a<72->:j7jj7:l75`<532cohn4?:%62b?bb?2d?=h4<;:kg`d<72->:j7jj7:l75`<332coh44?:%62b?bb?2d?=h4:;:kg`=<72->:j7jj7:l75`<132coh:4?:%62b?bb?2d?=h48;:kg`3<72->:j7jj7:l75`:j7jj7:l75`4?:%62b?bb?2d?=h4m;:kg`7<72->:j7jj7:l75`:j7jj7:l75`:j7jj7:l75`<6821bhh=50;&75c1e8e;30?>ocm90;6):>f;ff3>h39l0:865fde`94?"39o0oi:5a40g950=3:1(9?i:01b`>h39l0:76a>3`794?"39o0:?lj4n53f>7=5$53e>45fl2d?=h4<;:m27d5=83.?=k4>3`f8j17b2=10c<=n2;29 17a289jh6`;1d86?>i6;h;1<7*;1g827dbl3:1(9?i:01b`>h39l0276a>38a94?"39o0:?lj4n53f>d=5$53e>45fl2d?=h4m;:m273`f8j17b2j10c<=69;29 17a289jh6`;1d8g?>i6;021<7*;1g827dbf;30ea=i<8o1=<54o01:7?6=,=;m6<=nd:l75`<6:21d=>7=:18'04`=9:ko7c:>e;30?>i6;0;1<7*;1g827db:j7?=h9:2n6=4+40d956gc3g>:i7?8;:m27=b=83.?=k4>3`f8j17b28207b?<8b83>!26n3;8mi5a40g95<=5$53e>45fl2d?=h4>a:9l56>>290/839:94?"39o0:?lj4n53f>4e<3f;84:4?:%62b?74im1e83:1(9?i:01b`>h39l0:i65`12:6>5<#<8l1=>ok;o62a?7a32e:?5:50;&75c<6;hn0b9?j:328?j740:0;6):>f;30ea=i<8o1><54o01;6?6=,=;m6<=nd:l75`<5:21d=>6>:18'04`=9:ko7c:>e;00?>i6;1:1<7*;1g827db:j7?=h9:kj6=4+40d956gc3g>:i7<8;:m27d?=83.?=k4>3`f8j17b2;207b?!26n3;8mi5a40g96<=5$53e>45fl2d?=h4=a:9l56?2290/839c94?"39o0:?lj4n53f>7e<3f;8;k4?:%62b?74im1e8h39l09i65`12f4>5<#<8l1=>jk;o62a?6<3f;8h;4?:%62b?74lm1e8!26n3;8hi5a40g97>=h9:n86=4+40d956bc3g>:i7:4;n30`7<72->:j7?j>:18'04`=9:no7c:>e;48?j74ko0;6):>f;30`a=i<8o1;65`12af>5<#<8l1=>jk;o62a?><3f;8oi4?:%62b?74lm1e8!26n3;8hi5a40g9f>=h9:ij6=4+40d956bc3g>:i7m4;n30g<<72->:j7?m7:18'04`=9:no7c:>e;g8?j74k>0;6):>f;30`a=i<8o1j65`12a5>5<#<8l1=>jk;o62a?7732e:?n:50;&75c<6;mn0b9?j:038?j74k:0;6):>f;30`a=i<8o1=?54o01`6?6=,=;m6<=kd:l75`<6;21d=>m>:18'04`=9:no7c:>e;37?>i6;j:1<7*;1g827ab:j7?=h9:ho6=4+40d956bc3g>:i7?7;:m27ge=83.?=k4>3ef8j17b28307b?!26n3;8hi5a40g95d=5$53e>45cl2d?=h4>b:9l56d?290/83c594?"39o0:?ij4n53f>4b<3f;8n;4?:%62b?74lm1e8h39l0:j65`12`7>5<#<8l1=>jk;o62a?4732e:?o=50;&75c<6;mn0b9?j:338?j74j;0;6):>f;30`a=i<8o1>?54o01a5?6=,=;m6<=kd:l75`<5;21d=>l?:18'04`=9:no7c:>e;07?>i6;mi1<7*;1g827ab:j7?=h9:n26=4+40d956bc3g>:i7<7;:m27a>=83.?=k4>3ef8j17b2;307b?!26n3;8hi5a40g96d=5$53e>45cl2d?=h4=b:9l56df290/83`d94?"39o0:?ij4n53f>7b<3f;8mh4?:%62b?74lm1e8h39l0;76a>41494?"39o0:8=j4n53f>4=;97>5$53e>427l2d?=h4=;:m2052=83.?=k4>41f8j17b2:10c<:?3;29 17a28>;h6`;1d87?>i6<981<7*;1g8205bh39l0376a>3gf94?"39o0:8=j4n53f><=5$53e>427l2d?=h4n;:m27cd=83.?=k4>41f8j17b2k10c<=ia;29 17a28>;h6`;1d8`?>i6;o31<7*;1g8205b3:1(9?i:063`>h39l0:<65`12d7>5<#<8l1=9>k;o62a?7632e:?k=50;&75c<6<9n0b9?j:008?j74n;0;6):>f;374a=i<8o1=>54o01e5?6=,=;m6<:?d:l75`<6<21d=>h?:18'04`=9=:o7c:>e;36?>i6;ll1<7*;1g8205b:j7?;0e9m04c=9>10c<=jd;29 17a28>;h6`;1d82<>=h9:oh6=4+40d9516c3g>:i7?6;:m27`d=83.?=k4>41f8j17b28k07b?!26n3;?5$53e>427l2d?=h4>c:9l56c0290/83d494?"39o0:8=j4n53f>4c<3f;8i84?:%62b?738m1e8h39l09<65`12g0>5<#<8l1=9>k;o62a?4632e:?h<50;&75c<6<9n0b9?j:308?j74m80;6):>f;374a=i<8o1>>54o01f4?6=,=;m6<:?d:l75`<5<21d=9>l:18'04`=9=:o7c:>e;06?>i6<9h1<7*;1g8205b:j7?;0e9m04c=:>10c<:?9;29 17a28>;h6`;1d81<>=h9=:36=4+40d9516c3g>:i7<6;:m2056=83.?=k4>41f8j17b2;k07b?!26n3;?5$53e>427l2d?=h4=c:9l56ba290/83eg94?"39o0:8=j4n53f>7c<3f;??:4?:%62b?73;m1e8!26n3;??i5a40g96>=h9=9?6=4+40d9515c3g>:i7=4;n3776<72->:j7?;3e9m04c=<21d=9==:18'04`=9=9o7c:>e;78?j73;80;6):>f;377a=i<8o1:65`150e>5<#<8l1=9=k;o62a?1<3f;?>h4?:%62b?73;m1e8!26n3;??i5a40g9e>=h9=8i6=4+40d9515c3g>:i7l4;n376d<72->:j7?;3e9m04c=k21d=9<6:18'04`=9=9o7c:>e;f8?j73:10;6):>f;377a=i<8o1i65`1504>5<#<8l1=9=k;o62a?`<3f;?>;4?:%62b?73;m1e8h39l0:=65`1500>5<#<8l1=9=k;o62a?7532e:8?<50;&75c<6<:n0b9?j:018?j73:80;6):>f;377a=i<8o1=954o0614?6=,=;m6<:e;35?>i6<8o1<7*;1g8206b:j7?;3e9m04c=9110c<:>c;29 17a28>8h6`;1d82=>=h9=;i6=4+40d9515c3g>:i7?n;:m204?=83.?=k4>42f8j17b28h07b?;1983>!26n3;??i5a40g95f=:;7>5$53e>424l2d?=h4>d:9l5171290/840794?"39o0:8>j4n53f>4`<3f;?=94?:%62b?73;m1e8h39l09=65`1531>5<#<8l1=9=k;o62a?4532e:8f;377a=i<8o1>954o060g?6=,=;m6<:e;05?>i6<:k1<7*;1g8206b:j7?;3e9m04c=:110c<:<8;29 17a28>8h6`;1d81=>=h9=9;6=4+40d9515c3g>:i742f8j17b2;h07b?;1`83>!26n3;??i5a40g96f=;j7>5$53e>424l2d?=h4=d:9l516b290/847594?"39o0:8;j4n53f>5==:7>5$53e>421l2d?=h4>;:m2033=83.?=k4>47f8j17b2;10c<:94;29 17a28>=h6`;1d80?>i6h39l0<76a>44g94?"39o0:8;j4n53f>==>h7>5$53e>421l2d?=h46;:m200e=83.?=k4>47f8j17b2h10c<::b;29 17a28>=h6`;1d8a?>i6<h39l0m76a>44494?"39o0:8;j4n53f>46<3f;?994?:%62b?73>m1e8h39l0:>65`1571>5<#<8l1=98k;o62a?7432e:88?50;&75c<6f;372a=i<8o1=854o067b?6=,=;m6<:9d:l75`<6>21d=9:j:18'04`=9=e;34?>i6<=n1<7*;1g8203b:j7?;6e9m04c=9010c<:;b;29 17a28>=h6`;1d82e>=h9=>26=4+40d9510c3g>:i7?m;:m201>=83.?=k4>47f8j17b28i07b?;4683>!26n3;?:i5a40g95a=?:7>5$53e>421l2d?=h4>e:9l5122290/845694?"39o0:8;j4n53f>76<3f;?8>4?:%62b?73>m1e8h39l09>65`1562>5<#<8l1=98k;o62a?4432e:89>50;&75c<6j0;6):>f;372a=i<8o1>854o065f?6=,=;m6<:9d:l75`<5>21d=98n:18'04`=9=e;04?>i6:j7?;6e9m04c=:010c<:90;29 17a28>=h6`;1d81e>=h9=?>6=4+40d9510c3g>:i747f8j17b2;i07b?;3g83>!26n3;?:i5a40g96a=8i7>5$53e>421l2d?=h4=e:9jg5b=831d=978:18'04`=9=3o7c:>e;28?j731?0;6):>f;37=a=i<8o1=65`15;6>5<#<8l1=97k;o62a?4<3f;?594?:%62b?731m1e807b?;9383>!26n3;?5i5a40g91>=h9=3:6=4+40d951?c3g>:i784;n37:j7?;9e9m04c=?21d=96j:18'04`=9=3o7c:>e;:8?j730m0;6):>f;37=a=i<8o1565`15:`>5<#<8l1=97k;o62a?g<3f;?4o4?:%62b?731m1e8f290/8!26n3;?5i5a40g9`>=h9=236=4+40d951?c3g>:i7k4;n37<2<72->:j7?;9e9m04c=n21d=969:18'04`=9=3o7c:>e;33?>i6<1>1<7*;1g820:j7?;9e9m04c=9;10c<:72;29 17a28>2h6`;1d827>=h9=2:6=4+40d951?c3g>:i7?;;:m20=6=83.?=k4>48f8j17b28?07b?;7g83>!26n3;?5i5a40g953=5$53e>42>l2d?=h4>7:9l511c290/846a94?"39o0:84j4n53f>4?<3f;?;o4?:%62b?731m1e8h39l0:n65`155;>5<#<8l1=97k;o62a?7d32e:8:950;&75c<6<0n0b9?j:0f8?j73??0;6):>f;37=a=i<8o1=h54o0641?6=,=;m6<:6d:l75`<6n21d=99;:18'04`=9=3o7c:>e;03?>i6<>91<7*;1g820:j7?;9e9m04c=:;10c<:81;29 17a28>2h6`;1d817>=h9==;6=4+40d951?c3g>:i7<;;:m2048f8j17b2;?07b?;9c83>!26n3;?5i5a40g963=2m7>5$53e>42>l2d?=h4=7:9l51?>290/848:94?"39o0:84j4n53f>7?<3f;?5=4?:%62b?731m1e8h39l09n65`155b>5<#<8l1=97k;o62a?4d32e:8;h50;&75c<6<0n0b9?j:3f8?j73>l0;6):>f;37=a=i<8o1>h54o06`3?6=,=;m6<:ld:l75`<732e:8n850;&75c<6h39l0976a>4b694?"39o0:8nj4n53f>6=h?7>5$53e>42dl2d?=h4;;:m20f4=83.?=k4>4bf8j17b2<10c<:l1;29 17a28>hh6`;1d85?>i6h39l0j76a>4c`94?"39o0:8nj4n53f>g=im7>5$53e>42dl2d?=h4l;:m20g?=83.?=k4>4bf8j17b2m10c<:m8;29 17a28>hh6`;1d8f?>i6e;32?>i6:j7?;ce9m04c=9:10c<:m1;29 17a28>hh6`;1d820>=h9=h;6=4+40d951ec3g>:i7?:;:m20d`=83.?=k4>4bf8j17b28<07b?;ad83>!26n3;?oi5a40g952=jh7>5$53e>42dl2d?=h4>8:9l51gd290/84``94?"39o0:8nj4n53f>4g<3f;?m44?:%62b?73km1e8h39l0:o65`15c4>5<#<8l1=9mk;o62a?7c32e:8l850;&75c<6f;37ga=i<8o1=k54o06b0?6=,=;m6<:ld:l75`<5821d=9o<:18'04`=9=io7c:>e;02?>i6:j7?;ce9m04c=::10c<:n0;29 17a28>hh6`;1d810>=h9=ih6=4+40d951ec3g>:i7<:;:m20fd=83.?=k4>4bf8j17b2;<07b?;c`83>!26n3;?oi5a40g962=h57>5$53e>42dl2d?=h4=8:9l51e?290/84b294?"39o0:8nj4n53f>7g<3f;?n84?:%62b?73km1e8h39l09o65`15;e>5<#<8l1=9mk;o62a?4c32e:84k50;&75c<6e;38?ldbk3:1(9?i:cde?k26m3807dljb;29 17a2klm7c:>e;18?ldbi3:1(9?i:cde?k26m3>07dlj9;29 17a2klm7c:>e;78?ldb03:1(9?i:cde?k26m3<07dlj7;29 17a2klm7c:>e;58?lda>3:1(9?i:cde?k26m3207dli5;29 17a2klm7c:>e;;8?lda<3:1(9?i:cde?k26m3k07dli3;29 17a2klm7c:>e;`8?lda:3:1(9?i:cde?k26m3i07dli1;29 17a2klm7c:>e;f8?lda83:1(9?i:cde?k26m3o07dljf;29 17a2klm7c:>e;d8?ldb>3:1(9?i:cde?k26m3;;76gme483>!26n3hmj6`;1d825>=n9??h6=44o03;2?6=,=;m6h39l0976a>19194?"39o0:=5k4n53f>6=5$53e>47?m2d?=h4;;:m25=6=83.?=k4>19g8j17b2<10ci69>o1<7*;1g825=ch39l0j76a>16c94?"39o0:=5k4n53f>g=5$53e>47?m2d?=h4l;:m252>=83.?=k4>19g8j17b2m10ci691i1<7*;1g825=ce;32?>i69131<7*;1g825=c:j7?>8d9m04c=9:10c=h98296=4+40d954>b3g>:i7?:;:m2521=83.?=k4>19g8j17b28<07b?>7783>!26n3;:4h5a40g952=5$53e>453m2d?=h4?;:m2711=83.?=k4>35g8j17b2810c<=;6;29 17a289?i6`;1d81?>i6;=?1<7*;1g8271c54o0170?6=,=;m6<=;e:l75`<332e:?9=50;&75c<6;=o0b9?j:498k453:3:1(9?i:017a>h39l0=76a>35294?"39o0:?9k4n53f>2=5$53e>453m2d?=h47;:m276c=83.?=k4>35g8j17b2010c<=i6;:i1<7*;1g8271co50;&75c<6;=o0b9?j:e98k45413:1(9?i:017a>h39l0n76a>32:94?"39o0:?9k4n53f>c=5$53e>453m2d?=h4>0:9l5652290/832694?"39o0:?9k4n53f>44<3f;8?>4?:%62b?74h39l0:865`1212>5<#<8l1=>:j;o62a?7232e:?>>50;&75c<6;=o0b9?j:048?j74:o0;6):>f;300`=i<8o1=:54o011a?6=,=;m6<=;e:l75`<6021d=>n7c:>e;3:?>i6;;i1<7*;1g8271c:j7?<4d9m04c=9k10c<==9;29 17a289?i6`;1d82g>=h9:836=4+40d9562b3g>:i7?k;:m2771=83.?=k4>35g8j17b28o07b?<2783>!26n3;88h5a40g95c=5$53e>453m2d?=h4=0:9l5643290/833194?"39o0:?9k4n53f>74<3f;8>?4?:%62b?74h39l09865`126g>5<#<8l1=>:j;o62a?4232e:?9m50;&75c<6;=o0b9?j:348?j74f;300`=i<8o1>:54o017e?6=,=;m6<=;e:l75`<5021d=>:6:18'04`=9:>n7c:>e;0:?>i6;=;1<7*;1g8271c:j7?<4d9m04c=:k10c<==b;29 17a289?i6`;1d81g>=h9:8;6=4+40d9562b3g>:i735g8j17b2;o07dlj4;29?j761o0;6):>f;3113=i<8o1<65`10;f>5<#<8l1=?;9;o62a?7<3f;:5i4?:%62b?75=?1e89`83>!26n3;99;5a40g90>=h98326=4+40d957313g>:i7;4;n32==<72->:j7?=579m04c=>21d=<78:18'04`=9;?=7c:>e;58?j761?0;6):>f;3113=i<8o1465`10;6>5<#<8l1=?;9;o62a??<3f;:594?:%62b?75=?1e89383>!26n3;99;5a40g9g>=h983:6=4+40d957313g>:i7j4;n32e3<72->:j7?=579m04c=m21d=e;d8?j76i=0;6):>f;3113=i<8o1==54o03b7?6=,=;m6<<:6:l75`<6921d=e;31?>i69h;1<7*;1g82600:j7?=579m04c=9=10c:6`;1d821>=h983;6=4+40d957313g>:i7?9;:m25=`=83.?=k4>2448j17b28=07b?=6683>!26n3;9:k5a40g94>=h9;<=6=4+40d9570a3g>:i7?4;n3120<72->:j7?=6g9m04c=:21d=?8;:18'04`=9;e;18?j75>;0;6):>f;312c=i<8o1865`1342>5<#<8l1=?8i;o62a?3<3f;9:=4?:%62b?75>o1e8!26n3;9:k5a40g9<>=h9;?o6=4+40d9570a3g>:i774;n311f<72->:j7?=6g9m04c=i21d=?;m:18'04`=9;e;`8?j75=h0;6):>f;312c=i<8o1o65`137:>5<#<8l1=?8i;o62a?b<3f;9:h4?:%62b?75>o1e8!26n3;9:k5a40g955=5$53e>441n2d?=h4>1:9l570f290/827;94?"39o0:>;h4n53f>45<3f;9:54?:%62b?75>o1e8h39l0:965`137;>5<#<8l1=?8i;o62a?7132e:>8950;&75c<6:?l0b9?j:058?j75090;6):>f;31<==i<8o1<65`135e>5<#<8l1=?67;o62a?7<3f;9;h4?:%62b?75011e8!26n3;9455a40g90>=h9;=j6=4+40d957>?3g>:i7;4;n313<<72->:j7?=899m04c=>21d=?97:18'04`=9;237c:>e;58?j75?>0;6):>f;31<==i<8o1465`1355>5<#<8l1=?67;o62a??<3f;9;84?:%62b?75011e8!26n3;9455a40g9g>=h9;=96=4+40d957>?3g>:i7j4;n31<2<72->:j7?=899m04c=m21d=?69:18'04`=9;237c:>e;d8?j750<0;6):>f;31<==i<8o1==54o00;0?6=,=;m6<<78:l75`<6921d=?6<:18'04`=9;237c:>e;31?>i6:181<7*;1g826=>:j7?=899m04c=9=10c<<8c;29 17a288346`;1d821>=h9;=:6=4+40d957>?3g>:i7?9;:m2626=83.?=k4>29:8j17b28=07b?=9883>!26n3;9m<5a40g94>=h9;336=4+40d957g63g>:i7?4;n31=2<72->:j7?=a09m04c=:21d=?79:18'04`=9;k:7c:>e;18?j751=0;6):>f;31e4=i<8o1865`13;0>5<#<8l1=?o>;o62a?3<3f;95?4?:%62b?75i81e8!26n3;9m<5a40g9<>=h9;2m6=4+40d957g63g>:i774;n31<`<72->:j7?=a09m04c=i21d=?6k:18'04`=9;k:7c:>e;`8?j750j0;6):>f;31e4=i<8o1o65`13:a>5<#<8l1=?o>;o62a?b<3f;9m=4?:%62b?75i81e8!26n3;9m<5a40g955=5$53e>44f92d?=h4>1:9l57?d290/828`94?"39o0:>l?4n53f>45<3f;95l4?:%62b?75i81e8=3:1(9?i:00b5>h39l0:965`13:b>5<#<8l1=?o>;o62a?7132e:>5750;&75c<6:h;0b9?j:058?j75j;0;6):>f;31fd=i<8o1<65`13`2>5<#<8l1=?ln;o62a?7<3f;9n=4?:%62b?75jh1e8!26n3;9nl5a40g90>=h9;kh6=4+40d957df3g>:i7;4;n31eg<72->:j7?=b`9m04c=>21d=?on:18'04`=9;hj7c:>e;58?j75i00;6):>f;31fd=i<8o1465`13c;>5<#<8l1=?ln;o62a??<3f;9m:4?:%62b?75jh1e8!26n3;9nl5a40g9g>=h9;k?6=4+40d957df3g>:i7j4;n31f<<72->:j7?=b`9m04c=m21d=?l7:18'04`=9;hj7c:>e;d8?j75j>0;6):>f;31fd=i<8o1==54o00a2?6=,=;m6<e;31?>i6:k>1<7*;1g826gg:j7?=b`9m04c=9=10c<=h9;k86=4+40d957df3g>:i7?9;:m26d4=83.?=k4>2cc8j17b28=07b?=cc83>!26n3;9h>5a40g94>=h9;ij6=4+40d957b43g>:i7?4;n31g<<72->:j7?=d29m04c=:21d=?m7:18'04`=9;n87c:>e;18?j75k?0;6):>f;31`6=i<8o1865`13a6>5<#<8l1=?j<;o62a?3<3f;9o94?:%62b?75l:1e8!26n3;9h>5a40g9<>=h9;i:6=4+40d957b43g>:i774;n31g5<72->:j7?=d29m04c=i21d=?li:18'04`=9;n87c:>e;`8?j75jl0;6):>f;31`6=i<8o1o65`13`g>5<#<8l1=?j<;o62a?b<3f;9h?4?:%62b?75l:1e8!26n3;9h>5a40g955=5$53e>44c;2d?=h4>1:9l57eb290/82bf94?"39o0:>i=4n53f>45<3f;9on4?:%62b?75l:1e8h39l0:965`13``>5<#<8l1=?j<;o62a?7132e:>ol50;&75c<6:m90b9?j:058?j74?10;6):>f;37g`=i<8o1<65`1254>5<#<8l1=9mj;o62a?7<3f;8;;4?:%62b?73kl1e8!26n3;?oh5a40g90>=h9:=86=4+40d951eb3g>:i7;4;n3037<72->:j7?;cd9m04c=>21d=>9?:18'04`=9=in7c:>e;58?j74>o0;6):>f;37g`=i<8o1465`124f>5<#<8l1=9mj;o62a??<3f;8:i4?:%62b?73kl1e8!26n3;?oh5a40g9g>=h9::i7j4;n302<<72->:j7?;cd9m04c=m21d=>87:18'04`=9=in7c:>e;d8?j74>>0;6):>f;37g`=i<8o1==54o0151?6=,=;m6<:le:l75`<6921d=>8;:18'04`=9=in7c:>e;31?>i6;?91<7*;1g820fc:j7?;cd9m04c=9=10c<=91;29 17a28>hi6`;1d821>=h9:<;6=4+40d951eb3g>:i7?9;:m270`=83.?=k4>4bg8j17b28=07b?<5d83>!26n3;?oh5a40g95==h7>5$53e>42dm2d?=h4>9:9l563d290/834c94?"39o0:8nk4n53f>4d<3f;8944?:%62b?73kl1e8h39l0:h65`1274>5<#<8l1=9mj;o62a?7b32e:?8850;&75c<6f;37g`=i<8o1>=54o0160?6=,=;m6<:le:l75`<5921d=>;<:18'04`=9=in7c:>e;01?>i6;<81<7*;1g820fc:j7?;cd9m04c=:=10c<=8d;29 17a28>hi6`;1d811>=h9:=h6=4+40d951eb3g>:i7<9;:m272d=83.?=k4>4bg8j17b2;=07b?<7`83>!26n3;?oh5a40g96==5$53e>42dm2d?=h4=9:9l5616290/837494?"39o0:8nk4n53f>7d<3f;89o4?:%62b?73kl1e8h39l09h65`126e>5<#<8l1=9mj;o62a?4b32e:>h:50;&75c<6:li0b9?j:198k44b;3:1(9?i:00fg>h39l0:76a>2d094?"39o0:>hm4n53f>7=5$53e>44bk2d?=h4<;:m26a`=83.?=k4>2da8j17b2=10c<i6:mn1<7*;1g826`eil50;&75c<6:li0b9?j:998k44ci3:1(9?i:00fg>h39l0276a>2e;94?"39o0:>hm4n53f>d=5$53e>44bk2d?=h4m;:m26a1=83.?=k4>2da8j17b2j10c<i6:lh1<7*;1g826`eh750;&75c<6:li0b9?j:028?j75m10;6):>f;31af=i<8o1=<54o00f3?6=,=;m6<e;30?>i6:l?1<7*;1g826`e:j7?=eb9m04c=9<10c<=h9;n?6=4+40d957cd3g>:i7?8;:m20c>=83.?=k4>4gg8j17b2910c<:i7;29 17a28>mi6`;1d82?>i6h39l0>76a>4g094?"39o0:8kk4n53f>3=m<7>5$53e>42am2d?=h48;:m20``=83.?=k4>4gg8j17b2110c<:je;29 17a28>mi6`;1d8:?>i6h39l0o76a>4d;94?"39o0:8kk4n53f>`=n47>5$53e>42am2d?=h4i;:m20`1=83.?=k4>4gg8j17b28:07b?;e483>!26n3;?jh5a40g954=n87>5$53e>42am2d?=h4>2:9l51c4290/84d094?"39o0:8kk4n53f>42<3f;?i<4?:%62b?73nl1e8h39l0::65`15fe>5<#<8l1=9hj;o62a?7032e:8ik50;&75c<6f;37b`=i<8o1=454o06gg?6=,=;m6<:ie:l75`<6i21d=9jn:18'04`=9=ln7c:>e;3a?>i6:j7?;fd9m04c=9m10c<:k7;29 17a28>mi6`;1d82a>=h9=n=6=4+40d951`b3g>:i7?i;:m20a3=83.?=k4>4gg8j17b2;:07b?;d583>!26n3;?jh5a40g964=o?7>5$53e>42am2d?=h4=2:9l51b5290/84e394?"39o0:8kk4n53f>72<3f;?ji4?:%62b?73nl1e8h39l09:65`15da>5<#<8l1=9hj;o62a?4032e:8ko50;&75c<6f;37b`=i<8o1>454o06e5?6=,=;m6<:ie:l75`<5i21d=9k9:18'04`=9=ln7c:>e;0a?>i6:j7?;fd9m04c=:m10c<:lf;29 17a28>mi6`;1d81a>=h9;lo6=4+40d956623g>:i7>4;n31bf<72->:j7?<049m04c=921d=?hm:18'04`=9::>7c:>e;08?j75nh0;6):>f;3040=i<8o1?65`13d;>5<#<8l1=>>:;o62a?2<3f;9j:4?:%62b?748<1e8!26n3;8<85a40g93>=h9;l?6=4+40d956623g>:i764;n31b6<72->:j7?<049m04c=121d=?h=:18'04`=9::>7c:>e;c8?j75n80;6):>f;3040=i<8o1n65`13d3>5<#<8l1=>>:;o62a?e<3f;9ik4?:%62b?748<1e8!26n3;8<85a40g9b>=h9::96=4+40d956623g>:i7??;:m2757=83.?=k4>3178j17b28;07b?<0183>!26n3;8<85a40g957=5$53e>457=2d?=h4>3:9l57`b290/82g;94?"39o0:?=;4n53f>43<3f;9ih4?:%62b?748<1e8h39l0:;65`140;>5<#<8l1=8>:4?:%62b?72:l1e8!26n3;>>h5a40g97>=h9<8?6=4+40d9504b3g>:i7:4;n3666<72->:j7?:2d9m04c==21d=8<=:18'04`=9<8n7c:>e;48?j72:90;6):>f;366`=i<8o1;65`143e>5<#<8l1=8<3f;>=h4?:%62b?72:l1e8!26n3;>>h5a40g9f>=h9<;i6=4+40d9504b3g>:i7m4;n365d<72->:j7?:2d9m04c=l21d=8?6:18'04`=9<8n7c:>e;g8?j72910;6):>f;366`=i<8o1j65`1434>5<#<8l1=8f;366`=i<8o1=?54o0727?6=,=;m6<;=e:l75`<6;21d=8?=:18'04`=9<8n7c:>e;37?>i6=8;1<7*;1g8217c:j7?:2d9m04c=9?10c<;?f;29 17a28?9i6`;1d823>=h9<:n6=4+40d9504b3g>:i7?7;:m215b=83.?=k4>53g8j17b28307b?:0b83>!26n3;>>h5a40g95d=5$53e>435m2d?=h4>b:9l506>290/851:94?"39o0:9?k4n53f>4b<3f;><:4?:%62b?72:l1e83:1(9?i:071a>h39l0:j65`1426>5<#<8l1=8f;366`=i<8o1>?54o0736?6=,=;m6<;=e:l75`<5;21d=8>>:18'04`=9<8n7c:>e;07?>i6=;n1<7*;1g8217c:j7?:2d9m04c=:?10c<;=b;29 17a28?9i6`;1d813>=h9<8j6=4+40d9504b3g>:i7<7;:m217?=83.?=k4>53g8j17b2;307b?:2083>!26n3;>>h5a40g96d=5$53e>435m2d?=h4=b:9l506e290/851294?"39o0:9?k4n53f>7b<3f;?jk4?:%62b?72:l1e83:1(9?i:012a>h39l0;76a>30794?"39o0:?4=5$53e>456m2d?=h4=;:m2745=83.?=k4>30g8j17b2:10c<=>1;29 17a289:i6`;1d87?>i6;8:1<7*;1g8274ce:l75`<132e:?=k50;&75c<6;8o0b9?j:698k457l3:1(9?i:012a>h39l0376a>31a94?"39o0:?<=5$53e>456m2d?=h4n;:m275g=83.?=k4>30g8j17b2k10c<=?9;29 17a289:i6`;1d8`?>i6;921<7*;1g8274ce:l75`h39l0:<65`123b>5<#<8l1=>?j;o62a?7632e:?<750;&75c<6;8o0b9?j:008?j74910;6):>f;305`=i<8o1=>54o0123?6=,=;m6<=>e:l75`<6<21d=>?=:18'04`=9:;n7c:>e;36?>i6;9=1<7*;1g8274c:j7?<1d9m04c=9>10c<;:8;29 17a28?>i6`;1d83?>i6=<=1<7*;1g8210ch39l0?76a>54194?"39o0:98k4n53f>0=>7>5$53e>432m2d?=h49;:m2106=83.?=k4>54g8j17b2>10c<;;f;29 17a28?>i6`;1d8;?>i6==o1<7*;1g8210ch39l0h76a>55c94?"39o0:98k4n53f>a=5$53e>432m2d?=h4j;:m211>=83.?=k4>54g8j17b2o10c<;;7;29 17a28?>i6`;1d824>=h9<>>6=4+40d9503b3g>:i7?>;:m2112=83.?=k4>54g8j17b28807b?:4283>!26n3;>9h5a40g956=7>5$53e>432m2d?=h4>4:9l5026290/876a>55294?"39o0:98k4n53f>40<3f;>?k4?:%62b?72=l1e8h39l0:465`141g>5<#<8l1=8;j;o62a?7>32e:9>m50;&75c<6=f;361`=i<8o1=o54o070=?6=,=;m6<;:e:l75`<6k21d=8=7:18'04`=9e;3g?>i6=:=1<7*;1g8210c:j7?:5d9m04c=9o10c<;<5;29 17a28?>i6`;1d814>=h9<9?6=4+40d9503b3g>:i7<>;:m2165=83.?=k4>54g8j17b2;807b?:3383>!26n3;>9h5a40g966=5$53e>432m2d?=h4=4:9l503c290/876a>54a94?"39o0:98k4n53f>70<3f;>9o4?:%62b?72=l1e8h39l09465`147:>5<#<8l1=8;j;o62a?4>32e:98?50;&75c<6=f;361`=i<8o1>o54o070f?6=,=;m6<;:e:l75`<5k21d=8=?:18'04`=9e;0g?>i6=;l1<7*;1g8210c:j7?:8d9m04c=821d=868:18'04`=9<2n7c:>e;38?j720?0;6):>f;36<`=i<8o1>65`14:6>5<#<8l1=86j;o62a?5<3f;>494?:%62b?720l1e84290/8!26n3;>4h5a40g92>=h9<2;6=4+40d950>b3g>:i794;n363c<72->:j7?:8d9m04c=021d=89j:18'04`=9<2n7c:>e;;8?j72?m0;6):>f;36<`=i<8o1m65`145`>5<#<8l1=86j;o62a?d<3f;>;o4?:%62b?720l1e8!26n3;>4h5a40g9a>=h9<=36=4+40d950>b3g>:i7h4;n3632<72->:j7?:8d9m04c=9910c<;85;29 17a28?3i6`;1d825>=h9<=?6=4+40d950>b3g>:i7?=;:m2125=83.?=k4>59g8j17b28907b?:7383>!26n3;>4h5a40g951=5$53e>43?m2d?=h4>5:9l5017290/857d94?"39o0:95k4n53f>41<3f;>:h4?:%62b?720l1e8h39l0:565`144`>5<#<8l1=86j;o62a?7f32e:9;o50;&75c<6=1o0b9?j:0`8?j72>00;6):>f;36<`=i<8o1=n54o075e;3f?>i6=?<1<7*;1g821=c:j7?:8d9m04c=:910c<;94;29 17a28?3i6`;1d815>=h9<<86=4+40d950>b3g>:i7<=;:m2134=83.?=k4>59g8j17b2;907b?:6083>!26n3;>4h5a40g961=5$53e>43?m2d?=h4=5:9l50>d290/859`94?"39o0:95k4n53f>71<3f;>4l4?:%62b?720l1e8h39l09565`14:2>5<#<8l1=86j;o62a?4f32e:9:850;&75c<6=1o0b9?j:3`8?j72>k0;6):>f;36<`=i<8o1>n54o0754?6=,=;m6<;7e:l75`<5l21d=8;i:18'04`=9<2n7c:>e;0f?>i6=k21<7*;1g821gch39l0876a>5c694?"39o0:9ok4n53f>1=5$53e>43em2d?=h4:;:m21g4=83.?=k4>5cg8j17b2?10c<;m0;29 17a28?ii6`;1d84?>i6=hl1<7*;1g821gc32e:9lj50;&75c<6=ko0b9?j:`98k43fk3:1(9?i:07aa>h39l0i76a>5``94?"39o0:9ok4n53f>f=5$53e>43em2d?=h4k;:m21d?=83.?=k4>5cg8j17b2l10c<;n8;29 17a28?ii6`;1d8e?>i6=h=1<7*;1g821gc4;n36e0<72->:j7?:bd9m04c=9810c<;n4;29 17a28?ii6`;1d826>=h9:i7?<;:m21d4=83.?=k4>5cg8j17b28>07b?:a083>!26n3;>nh5a40g950=5$53e>43em2d?=h4>6:9l50?a290/858g94?"39o0:9ok4n53f>4><3f;>5i4?:%62b?72jl1e8k3:1(9?i:07aa>h39l0:m65`14;b>5<#<8l1=8lj;o62a?7e32e:94750;&75c<6=ko0b9?j:0a8?j72110;6):>f;36f`=i<8o1=i54o07:3?6=,=;m6<;me:l75`<6m21d=879:18'04`=9e;3e?>i6=0?1<7*;1g821gc4;n36=1<72->:j7?:bd9m04c=:810c<;63;29 17a28?ii6`;1d816>=h9<396=4+40d950db3g>:i7<<;:m21<7=83.?=k4>5cg8j17b2;>07b?:be83>!26n3;>nh5a40g960=5$53e>43em2d?=h4=6:9l50de290/85cc94?"39o0:9ok4n53f>7><3f;>n44?:%62b?72jl1e8h39l09m65`14c5>5<#<8l1=8lj;o62a?4e32e:94l50;&75c<6=ko0b9?j:3a8?j72190;6):>f;36f`=i<8o1>i54o07;b?6=,=;m6<;me:l75`<5m21d=8k7:18'04`=9e;28?j72m>0;6):>f;36a`=i<8o1=65`14g5>5<#<8l1=8kj;o62a?4<3f;>i84?:%62b?72ml1e807b?:e283>!26n3;>ih5a40g91>=h9:i784;n36a5<72->:j7?:ed9m04c=?21d=8ji:18'04`=9e;:8?j72ll0;6):>f;36a`=i<8o1565`14fg>5<#<8l1=8kj;o62a?g<3f;>hn4?:%62b?72ml1e8!26n3;>ih5a40g9`>=h9:i7k4;n36`=<72->:j7?:ed9m04c=n21d=8j8:18'04`=9e;33?>i6=m?1<7*;1g821`c:j7?:ed9m04c=9;10c<;k3;29 17a28?ni6`;1d827>=h9:i7?;;:m21a7=83.?=k4>5dg8j17b28?07b?:d183>!26n3;>ih5a40g953=5$53e>43bm2d?=h4>7:9l50eb290/85bf94?"39o0:9hk4n53f>4?<3f;>on4?:%62b?72ml1e8h39l0:n65`14a:>5<#<8l1=8kj;o62a?7d32e:9n650;&75c<6=lo0b9?j:0f8?j72k>0;6):>f;36a`=i<8o1=h54o07`2?6=,=;m6<;je:l75`<6n21d=8m::18'04`=9e;03?>i6=j>1<7*;1g821`c:j7?:ed9m04c=:;10c<;l2;29 17a28?ni6`;1d817>=h9:i7<;;:m21`b=83.?=k4>5dg8j17b2;?07b?:eb83>!26n3;>ih5a40g963=5$53e>43bm2d?=h4=7:9l50cf290/85d;94?"39o0:9hk4n53f>7?<3f;>i<4?:%62b?72ml1e83:1(9?i:07fa>h39l09n65`14aa>5<#<8l1=8kj;o62a?4d32e:9n>50;&75c<6=lo0b9?j:3f8?j72jo0;6):>f;36a`=i<8o1>h54o042e:l75`<732e::<950;&75c<6>8o0b9?j:098k406>3:1(9?i:042a>h39l0976a>60794?"39o0::6=5$53e>406m2d?=h4;;:m2245=83.?=k4>60g8j17b2<10c<8>2;29 17a28<:i6`;1d85?>i6>8:1<7*;1g8224ce:l75`8o0b9?j:898k407l3:1(9?i:042a>h39l0j76a>61a94?"39o0::g=5$53e>406m2d?=h4l;:m225g=83.?=k4>60g8j17b2m10c<8?9;29 17a28<:i6`;1d8f?>i6>921<7*;1g8224ce:l75`<6821d=;>::18'04`=9?;n7c:>e;32?>i6>9>1<7*;1g8224c:j7?91d9m04c=9:10c<8?2;29 17a28<:i6`;1d820>=h9?::6=4+40d9537b3g>:i7?:;:m2256=83.?=k4>60g8j17b28<07b?:fg83>!26n3;==h5a40g952=5$53e>406m2d?=h4>8:9l50`c290/85ga94?"39o0::4g<3f;>jl4?:%62b?719l1e8h39l0:o65`14d;>5<#<8l1=;?j;o62a?7c32e:9k950;&75c<6>8o0b9?j:0g8?j72n?0;6):>f;355`=i<8o1=k54o07e1?6=,=;m6<8>e:l75`<5821d=8h;:18'04`=9?;n7c:>e;02?>i6=o91<7*;1g8224c:j7?91d9m04c=::10c<;i1;29 17a28<:i6`;1d810>=h9?;o6=4+40d9537b3g>:i7<:;:m224e=83.?=k4>60g8j17b2;<07b?91c83>!26n3;==h5a40g962=5$53e>406m2d?=h4=8:9l537>290/860394?"39o0::7g<3f;=<;4?:%62b?719l1e8h39l09o65`14d3>5<#<8l1=;?j;o62a?4c32e:9hh50;&75c<6>8o0b9?j:3g8?j71<10;6):>f;350`=i<8o1<65`1764>5<#<8l1=;:j;o62a?7<3f;=8;4?:%62b?71!26n3;=8h5a40g90>=h9?>86=4+40d9532b3g>:i7;4;n3507<72->:j7?94d9m04c=>21d=;:?:18'04`=9?>n7c:>e;58?j71;o0;6):>f;350`=i<8o1465`171f>5<#<8l1=;:j;o62a??<3f;=?i4?:%62b?71!26n3;=8h5a40g9g>=h9?9j6=4+40d9532b3g>:i7j4;n357<<72->:j7?94d9m04c=m21d=;=7:18'04`=9?>n7c:>e;d8?j71;>0;6):>f;350`=i<8o1==54o0401?6=,=;m6<8;e:l75`<6921d=;=;:18'04`=9?>n7c:>e;31?>i6>:91<7*;1g8221c:j7?94d9m04c=9=10c<8<1;29 17a28=h9?9;6=4+40d9532b3g>:i7?9;:m227`=83.?=k4>65g8j17b28=07b?92d83>!26n3;=8h5a40g95==5$53e>403m2d?=h4>9:9l534d290/863c94?"39o0::9k4n53f>4d<3f;=>44?:%62b?71h39l0:h65`1704>5<#<8l1=;:j;o62a?7b32e::?850;&75c<6>=o0b9?j:0d8?j71:<0;6):>f;350`=i<8o1>=54o0410?6=,=;m6<8;e:l75`<5921d=;<<:18'04`=9?>n7c:>e;01?>i6>;81<7*;1g8221c:j7?94d9m04c=:=10c<8;d;29 17a28=h9?>h6=4+40d9532b3g>:i7<9;:m221d=83.?=k4>65g8j17b2;=07b?94`83>!26n3;=8h5a40g96==5$53e>403m2d?=h4=9:9l5326290/862494?"39o0::9k4n53f>7d<3f;=>o4?:%62b?71h39l09h65`173e>5<#<8l1=;:j;o62a?4b32en=<4?:%62b?c6?2d?=h4?;:mf55<72->:j7k>7:l75`<632en:j7k>7:l75`<432en:j7k>7:l75`<232en:j7k>7:l75`<032en<54?:%62b?c6?2d?=h47;:mf42<72->:j7k>7:l75`<>32en<;4?:%62b?c6?2d?=h4n;:mf40<72->:j7k>7:l75`:j7k>7:l75`:j7k>7:l75`0:9l`cc=83.?=k4j169m04c=9810cihk:18'04`=m8=0b9?j:008?jbak3:1(9?i:d34?k26m3;876akfc83>!26n3o:;6`;1d820>=hlok1<7*;1g8f52=i<8o1=854oed:>5<#<8l1i<94n53f>40<3fnm47>5$53e>`703g>:i7?8;:mgb2<72->:j7k>7:l75`<6021dhk850;&75c1e8e;3a?>icn;0;6):>f;g23>h39l0:o65`dg394?"39o0n=:5a40g95a=!26n3o:;6`;1d810>=hm8<1<7*;1g8f52=i<8o1>854od36>5<#<8l1i<94n53f>70<3fo:87>5$53e>`703g>:i7<8;:mf56<72->:j7k>7:l75`<5021di<<50;&75c1e8e;0a?>icn=0;6):>f;g23>h39l09o65`dd;94?"39o0n=:5a40g96a=5$53e>f403g>:i7?4;ha2`?6=,=;m6n<8;o62a?4<3`i:o7>5$53e>f403g>:i7=4;ha2e?6=,=;m6n<8;o62a?2<3`i:57>5$53e>f403g>:i7;4;ha25$53e>f403g>:i794;ha22?6=,=;m6n<8;o62a?><3`i:97>5$53e>f403g>:i774;ha20?6=,=;m6n<8;o62a?g<3`i:?7>5$53e>f403g>:i7l4;ha26?6=,=;m6n<8;o62a?e<3`i:=7>5$53e>f403g>:i7j4;ha12?6=,=;m6n<8;o62a?c<3`i997>5$53e>f403g>:i7h4;ha10?6=,=;m6n<8;o62a?7732ch>>4?:%62b?e5?2d?=h4>1:9jg74=83.?=k4l269m04c=9;10en<>:18'04`=k;=0b9?j:018?le583:1(9?i:b04?k26m3;?76gl1c83>!26n3i9;6`;1d821>=nk8:1<7*;1g8`62=i<8o1=;54ib2e>5<#<8l1o?94n53f>41<3fhn<7>5$53e>gc63g>:i7>4;n`f6?6=,=;m6ok<;o62a?6<3`;=984?:%62b?71=?1e8N3;m1d>l;50;9~f107290:6=4?{%60e?23:2B?845G42f8k6>12900qo:91;291?6=8r.??l4=c49K01?<@=9o7)=73;351`=n90o1<75f29`94?=n<::1<75`36594?=h:k=1<75rb541>5<4290;w):N3<01C8>j4$2:0>402m2c:5h4?::k1f3<722e8;:4?::a035=8391<7>t$51b>7?d3A>?56F;3e9'6db=:2c:8h4?::k2e1<722e?>?4?::a032=8391<7>t$51b>7?d3A>?56F;3e9'6db=:2c:8h4?::k2e1<722e?>?4?::a033=8391<7>t$51b>7?d3A>?56F;3e9'6db=:2c:8h4?::k2e1<722e?>?4?::a030=83?1<7>t$51b>7e23A>?56F;3e9'7=5=9??n7d?6e;29?l4?j3:17d:<0;29?j50?3:17b>0;684?:1y'06g=:j?0D9:6;I60`>"40:0::8k4i0;f>5<5<5<=47>53;294~"3;h0?855G45;8L15c3-93?7?95d9j5o850;9l721=831vn986:186>5<7s->8m76<:046a>o61l0;66g=8c83>>o3;90;66a<7683>>i5j>0;66sm47c94?3=83:p(9=n:3a6?M2312B??i5+3919533b3`;2i7>5;h0;f?6=3`>8<7>5;n143?6=3f8i;7>5;|`72g<728k:6=4?{%60e?4><2B?845G42f8^42d28:p9:473;:1>=e=0>0>87?i:9393a<6k3?86:l51c87a?>72<81q)=73;351`=ij1097c??6;28j46d281e==j52:&24`<4??1/==h529a8j4432;1e=9l52:&20a<50j1e=5=51:l2<=<63g;j?7?4n3;0>5=i:k>1<6*=bg81e1=i:j:1=6`<8382?!5e13?0b9<>:09'064=:kl0(9=<:2`:?!24m382n6*;3g81g4=#<=:1?:;4$562>61>3f9im7>5;h61e?6=3`;2?7>5;h3:5?6=3f8h?7>5;h3:1?6=3`>9i7>5;h0`6?6=3`;287>5;h61f?6=3`;2o7>5;n3;5?6=3`>9:7>5;h3:2?6=3f>8n7>5;n1af?6=3`>947>5;h613?6=3`;247>5;h61g?6=3`;2>7>5;h3:4?6=3`;2j7>5;h3;b?6=3`>957>5;n1;0?6=3`;2;7>5;h3:f?6=3`;3>7>5;h3;4?6=3`>9h7>5;h3:e?6=3`>9j7>5;ngf>5<#<8l1ii5a40g94>=hmj0;6):>f;gg?k26m3;07bkm:18'04`=mm1e8:j7kk;o62a?5<3fo26=4+40d9aa=i<8o1865`e983>!26n3oo7c:>e;78?jc0290/821di;4?:%62b?cc3g>:i794;ng6>5<#<8l1ii5a40g9<>=hm=0;6):>f;gg?k26m3307bk=:18'04`=mm1e8:j7kk;o62a?d<3fo;6=4+40d9aa=i<8o1o65`dg83>!26n3oo7c:>e;f8?jbb290/8:i7h4;nf`>5<#<8l1ii5a40g955=h39l0:=65`d`83>!26n3oo7c:>e;31?>ic13:1(9?i:df8j17b28907bh9:18'04`=mm1e8:i7?9;:me7?6=,=;m6hj4n53f>41<3fl96=4+40d9aa=i<8o1=554og394?"39o0nh6`;1d82=>=hn90;6):>f;gg?k26m3;j76ajf;29 17a2ln0b9?j:0`8?jc4290/8d:9j7=7=83.?=k4<819m04c=821b?:h50;&75c<4091e821b?h850;&75c<4m<1e87c:>e;31?>o4l90;6):>f;1f1>h39l0:?65f3bd94?"39o08i85a40g951=k:;o62a?7132c8on4?:%62b?5b=2d?=h4>7:9j7fd=83.?=k4mn:18'04`=;l?0b9?j:0;8?l5d13:1(9?i:2g6?k26m3;j76g!26n39n96`;1d82f>=n;j<1<7*;1g80a0=i<8o1=n54i2a6>5<#<8l1?h;4n53f>4b<3`9h87>5$53e>6c23g>:i7?j;:k0g6<72->:j7=j5:l75`<6n21b?n<50;&75c<4m<1e87c:>e;01?>o4jo0;6):>f;1f1>h39l09?65f3cg94?"39o08i85a40g961=k:;o62a?4132c8io4?:%62b?5b=2d?=h4=7:9j7`g=83.?=k4k6:18'04`=;l?0b9?j:3;8?l5b03:1(9?i:2g6?k26m38j76g!26n39n96`;1d81f>=n;mn1<7*;1g80a0=i<8o1>n54i2f1>5<#<8l1?h;4n53f>7b<3`9h;7>5$53e>6c23g>:i7:j7=j5:l75`<5n21b==l50;&75c<68h1e80`9m04c=921b==650;&75c<68h1e80`9m04c=;21b=:750;&75c<6?11e8799m04c=921b=:850;&75c<6?11e8799m04c=;21b=::50;&75c<6?11e8799m04c==21b=:<50;&75c<6?11e8799m04c=?21b=;h50;&75c<6?11e8799m04c=121b=;j50;&75c<6?11e8799m04c=j21b=;l50;&75c<6?11e8799m04c=l21b=;750;&75c<6?11e8=83.?=k4>799m04c=n21b=;950;&75c<6?11e8e;31?>o6>:0;6):>f;34<>h39l0:?65f17094?"39o0:;55a40g951=7:9j50c=83.?=k4>799m04c=9110e<;k:18'04`=9>20b9?j:0;8?l72k3:1(9?i:05;?k26m3;j76g>5c83>!26n3;<46`;1d82f>=n9<31<7*;1g823==i<8o1=n54i07;>5<#<8l1=:64n53f>4b<3`;>;7>5$53e>41?3g>:i7?j;:k213<72->:j7?88:l75`<6n21b=8;50;&75c<6?11e8e;01?>o6=;0;6):>f;34<>h39l09?65f14394?"39o0:;55a40g961=799m04c=:110e<9l:18'04`=9>20b9?j:3;8?l70j3:1(9?i:05;?k26m38j76g>7`83>!26n3;<46`;1d81f>=n9>:1<7*;1g823==i<8o1>n54i046>5<#<8l1=:64n53f>7b<3`;>m7>5$53e>41?3g>:i7:j7?88:l75`<5n21d=5j50;&75c<60j1e88b9m04c=921d=5o50;&75c<60j1e88b9m04c=;21b>o=50;&75c<5j;1e8o>50;&75c<5j;1e8lk50;&75c<5j;1e82e9m04c=821b=?m50;&75c<6:m1e82e9m04c=:21b=?o50;&75c<6:m1e82e9m04c=<21b=?650;&75c<6:m1e82e9m04c=>21b=?850;&75c<6:m1e82e9m04c=021b=>850;&75c<6:m1e82e9m04c=i21b=>:50;&75c<6:m1e82e9m04c=k21b=><50;&75c<6:m1e82e9m04c=m21b=>>50;&75c<6:m1e82e9m04c=9910e<<::18'04`=9;n0b9?j:038?l7613:1(9?i:03;?k26m3:07d?>7;29 17a28;37c:>e;38?l76>3:1(9?i:03;?k26m3807d?>5;29 17a28;37c:>e;18?l76<3:1(9?i:03;?k26m3>07d?>3;29 17a28;37c:>e;78?l76:3:1(9?i:03;?k26m3<07d?>1;29 17a28;37c:>e;58?l75:3:1(9?i:03;?k26m3207d?=1;29 17a28;37c:>e;;8?l7583:1(9?i:03;?k26m3k07d?>f;29 17a28;37c:>e;`8?l76m3:1(9?i:03;?k26m3i07d?>d;29 17a28;37c:>e;f8?l76k3:1(9?i:03;?k26m3o07d?>b;29 17a28;37c:>e;d8?l76i3:1(9?i:03;?k26m3;;76g>1183>!26n3;:46`;1d825>=h9=;1<7*;1g8205=i<8o1<65`12d94?"39o0:8=5a40g95>=h9:o1<7*;1g8205=i<8o1>65`12f94?"39o0:8=5a40g97>=h9:i1<7*;1g8205=i<8o1865`12`94?"39o0:8=5a40g91>=h9:k1<7*;1g8205=i<8o1:65`12;94?"39o0:8=5a40g93>=h9=k1<7*;1g8205=i<8o1465`15;94?"39o0:8=5a40g9=>=h9=21<7*;1g8205=i<8o1m65`15594?"39o0:8=5a40g9f>=h9=<1<7*;1g8205=i<8o1o65`15794?"39o0:8=5a40g9`>=h9=>1<7*;1g8205=i<8o1i65`15194?"39o0:8=5a40g9b>=h9=81<7*;1g8205=i<8o1==54o01;>5<#<8l1=9>4n53f>47<3`;i;7>5$53e>4d13g>:i7>4;h3a1?6=,=;m65$53e>4d13g>:i7<4;h3a7?6=,=;m67>5$53e>4d13g>:i7:4;h3a4?6=,=;m65$53e>4d13g>:i784;h3ba?6=,=;m65$53e>4d13g>:i764;h3bg?6=,=;m65$53e>4d13g>:i7o4;h3be?6=,=;m65$53e>4d13g>:i7m4;h3b5$53e>4d13g>:i7k4;h3ab?6=,=;m65$53e>4d13g>:i7??;:k2fa<72->:j7?m6:l75`<6921b=om50;&75c<6j?1e8e;37?>o6j00;6):>f;3a2>h39l0:965f1c:94?"39o0:n;5a40g953=:j7=m0:l75`<632e8mh4?:%62b?5e82d?=h4=;:m0ea<72->:j7=m0:l75`<432e8mn4?:%62b?5e82d?=h4;;:m0eg<72->:j7=m0:l75`<232e8ml4?:%62b?5e82d?=h49;:m0e<<72->:j7=m0:l75`<032e8m:4?:%62b?5e82d?=h47;:m0e3<72->:j7=m0:l75`<>32e8m84?:%62b?5e82d?=h4n;:m0e1<72->:j7=m0:l75`4?:%62b?5e82d?=h4l;:m0e7<72->:j7=m0:l75`:j7=m0:l75`0:9l77l:18'04`=;k:0b9?j:008?j5>j3:1(9?i:2`3?k26m3;876a<9`83>!26n39i<6`;1d820>=h;031<7*;1g80f5=i<8o1=854o2;;>5<#<8l1?o>4n53f>40<3f92;7>5$53e>6d73g>:i7?8;:m0=3<72->:j7=m0:l75`<6021d?4;50;&75c<4j91e8e;3a?>i4180;6):>f;1a4>h39l0:o65`38294?"39o08n=5a40g95a=l?;o62a?7a32e84i4?:%62b?5e82d?=h4=0:9l7=e=83.?=k46m:18'04`=;k:0b9?j:308?j5?i3:1(9?i:2`3?k26m38876a<8883>!26n39i<6`;1d810>=h;121<7*;1g80f5=i<8o1>854o2`4>5<#<8l1?o>4n53f>70<3f9i:7>5$53e>6d73g>:i7<8;:m0f0<72->:j7=m0:l75`<5021d?o:50;&75c<4j91e8e;0a?>i4i10;6):>f;1a4>h39l09o65`38f94?"39o08n=5a40g96a=l?;o62a?4a32cjj7>5$53e>dcofk3:1(9?i:`g8j17b2;10ell50;&75c1=h39l0>76gn8;29 17a2ho0b9?j:798md1=83.?=k4ne:l75`<032cj:7>5$53e>dcof;3:1(9?i:`g8j17b2h10el<50;&75cf=h39l0o76g6f;29 17a2ho0b9?j:d98m5$53e>dc4;h;`>5<#<8l1mh5a40g954=h39l0:>65f9`83>!26n3kn7c:>e;30?>oe?3:1(9?i:`g8j17b28>07dl9:18'04`=il1e821bn94?:%62b?gb3g>:i7?8;:ka7?6=,=;m6lk4n53f>4><3`h96=4+40d9e`=i<8o1=454ic394?"39o0ji6`;1d82e>=nj90;6):>f;cf?k26m3;i76gn4;29 17a2ho0b9?j:0a8?l?>290/810c9>k:18'04`=<8<0b9?j:998k16d290/8m:18'04`=<8<0b9?j:`98k16f290/86:18'04`=<8<0b9?j:b98k16?290/88:18'04`=<8<0b9?j:d98k161290/8::18'04`=<8<0b9?j:028?j27<3:1(9?i:535?k26m3;:76a;0383>!26n3>::6`;1d826>=h<9;1<7*;1g8753=i<8o1=>54o523>5<#<8l18<84n53f>42<3f9mj7>5$53e>1713g>:i7?:;:m0b`<72->:j7:>6:l75`<6>21d?kj50;&75c<39?1e8e;3:?>i4nh0;6):>f;622>h39l0:m65`3g;94?"39o0?=;5a40g95g=2d?=h4>e:9l7c2=83.?=k4;179m04c=9o10c>h<:18'04`=<8<0b9?j:328?j5a:3:1(9?i:535?k26m38:76a!26n3>::6`;1d816>=h;o:1<7*;1g8753=i<8o1>>54o2ge>5<#<8l18<84n53f>72<3f9ni7>5$53e>1713g>:i7<:;:m75a<72->:j7:>6:l75`<5>21d8a;29 17a2=;=7c:>e;0:?>i3900;6):>f;622>h39l09m65`40:94?"39o0?=;5a40g96g=2d?=h4=e:9l7`b=83.?=k4;179m04c=:o10enh50;&75c4=h39l0976glb;29 17a2jo0b9?j:298mfg=83.?=k4le:l75`<332ch57>5$53e>fcod?3:1(9?i:bg8j17b2>10en850;&75c<=h39l0j76gl2;29 17a2jo0b9?j:c98mf7=83.?=k4le:l75`5$53e>fcoem3:1(9?i:bg8j17b2o10eoj50;&75c0:9jff<72->:j7mj;o62a?7632cin7>5$53e>fc5<#<8l1oh5a40g956=h39l0:865fd783>!26n3in7c:>e;36?>oc=3:1(9?i:bg8j17b28<07dj;:18'04`=kl1e8:i7?6;:kg5?6=,=;m6nk4n53f>4g<3`n;6=4+40d9g`=i<8o1=o54ib694?"39o0hi6`;1d82g>=nj00;6):>f;af?k26m3;o76g>8683>!26n3;3:6`;1d83?>o60<0;6):>f;3;2>h39l0:76g>8583>!26n3;3:6`;1d81?>{e62>n1=n4:3;5a>4d=7=#99o1?:84$02e>7>d3g;987<4n06a>7=#9=n1>5m4n0:0>4=i9121=6`>a282?k4>;3:0b?l;:19'6g`=:h>0b?m?:09m7=4=92.8n44:;o615?7<,=996?li;%607?5e12.??h4=9c9'06`=:j;0(9:?:256?!23939<56a>o3:h0;66g>9283>>o6180;66a=c283>>o61<0;66g;2d83>>o5k;0;66g>9583>>o3:k0;66g>9b83>>i6080;66g;2783>>o61?0;66a;3c83>>i4jk0;66g;2983>>o3:>0;66g>9983>>o3:j0;66g>9383>>o6190;66g>9g83>>o60o0;66g;2883>>i40=0;66g>9683>>o61k0;66g>8383>>o6090;66g;2e83>>o61h0;66g;2g83>>ibm3:1(9?i:df8j17b2910chm50;&75c;:mff?6=,=;m6hj4n53f>7=h39l0876aj9;29 17a2ln0b9?j:598k`>=83.?=k4jd:l75`<232en;7>5$53e>`bib=3:1(9?i:df8j17b2110ch:50;&75cd=h39l0i76aj0;29 17a2ln0b9?j:b98ka`=83.?=k4jd:l75`5$53e>`bick3:1(9?i:df8j17b28:07bjm:18'04`=mm1e8:i7?<;:me2?6=,=;m6hj4n53f>42<3fl>6=4+40d9aa=i<8o1=854og694?"39o0nh6`;1d822>=hn:0;6):>f;gg?k26m3;<76ai2;29 17a2ln0b9?j:0:8?j`6290/850;&75ca:9lac<72->:j7kk;o62a?7e32en?7>5$53e>`b5<#<8l1ii5a40g95a=5<#<8l1?5>4n53f>4=5<#<8l1?5>4n53f>6=5<#<8l1?5>4n53f>0=5<#<8l1?h;4n53f>5=5<#<8l1?h;4n53f>7=54i2g2>5<#<8l1?h;4n53f>1=5<#<8l1?h;4n53f>3=5<#<8l1?h;4n53f>==5<#<8l1?h;4n53f>d=5<#<8l1?h;4n53f>f=5<#<8l1?h;4n53f>`=6=4+40d97`35<#<8l1?h;4n53f>46<3`9o?7>5$53e>6c23g>:i7?>;:k0`4<72->:j7=j5:l75`<6:21b?i>50;&75c<4m<1e807d=le;29 17a2:o>7c:>e;36?>o4km0;6):>f;1f1>h39l0::65f3ba94?"39o08i85a40g952=k:;o62a?7>32c8o44?:%62b?5b=2d?=h4>a:9j7f>=83.?=k4m9:18'04`=;l?0b9?j:0a8?l5d=3:1(9?i:2g6?k26m3;o76g!26n39n96`;1d82a>=n;j91<7*;1g80a0=i<8o1=k54i2a1>5<#<8l1?h;4n53f>76<3`9h=7>5$53e>6c23g>:i7<>;:k0g5<72->:j7=j5:l75`<5:21b?oh50;&75c<4m<1e807d=md;29 17a2:o>7c:>e;06?>o4mj0;6):>f;1f1>h39l09:65f3d`94?"39o08i85a40g962=k:;o62a?4>32c8i54?:%62b?5b=2d?=h4=a:9j7`1=83.?=k4jk:18'04`=;l?0b9?j:3a8?l5c:3:1(9?i:2g6?k26m38o76g!26n39n96`;1d81a>=n;ki1<7*;1g80a0=i<8o1>k54i02a>5<#<8l1==o4n53f>5=5<#<8l1==o4n53f>7=54i05:>5<#<8l1=:64n53f>5=5<#<8l1=:64n53f>7=6=4+40d952>54i057>5<#<8l1=:64n53f>1=5<#<8l1=:64n53f>3=5<#<8l1=:64n53f>==5<#<8l1=:64n53f>d=5<#<8l1=:64n53f>f=5<#<8l1=:64n53f>`=5<#<8l1=:64n53f>46<3`;=:7>5$53e>41?3g>:i7?>;:k221<72->:j7?88:l75`<6:21b=;=50;&75c<6?11e807d?91;29 17a28=37c:>e;36?>o6>90;6):>f;34<>h39l0::65f14d94?"39o0:;55a40g952=32c:9n4?:%62b?7002d?=h4>a:9j50d=83.?=k4>799m04c=9k10e<;6:18'04`=9>20b9?j:0a8?l7203:1(9?i:05;?k26m3;o76g>5683>!26n3;<46`;1d82a>=n9<<1<7*;1g823==i<8o1=k54i076>5<#<8l1=:64n53f>76<3`;>87>5$53e>41?3g>:i7<>;:k216<72->:j7?88:l75`<5:21b=8<50;&75c<6?11e807d?:0;29 17a28=37c:>e;06?>o6?o0;6):>f;34<>h39l09:65f16g94?"39o0:;55a40g962=32c:;o4?:%62b?7002d?=h4=a:9j52g=83.?=k4>799m04c=:k10e<9?:18'04`=9>20b9?j:3a8?l71=3:1(9?i:05;?k26m38o76g>5`83>!26n3;<46`;1d81a>=n9=l1<7*;1g823==i<8o1>k54o0:g>5<#<8l1=5m4n53f>5=5<#<8l1=5m4n53f>7=54i3`0>5<#<8l1>o<4n53f>5=5<#<8l1>o<4n53f>7=54i3cf>5<#<8l1>o<4n53f>1=5<#<8l1=?j4n53f>4=5<#<8l1=?j4n53f>6=5<#<8l1=?j4n53f>0=5<#<8l1=?j4n53f>2=5<#<8l1=?j4n53f><=6=4+40d957b5<#<8l1=?j4n53f>g=5<#<8l1=?j4n53f>a=5<#<8l1=?j4n53f>c=4;h311?6=,=;m6<:j7?>8:l75`<632c:=;4?:%62b?7602d?=h4=;:k250<72->:j7?>8:l75`<432c:=94?:%62b?7602d?=h4;;:k256<72->:j7?>8:l75`<232c:=?4?:%62b?7602d?=h49;:k254<72->:j7?>8:l75`<032c:>?4?:%62b?7602d?=h47;:k264<72->:j7?>8:l75`<>32c:>=4?:%62b?7602d?=h4n;:k25c<72->:j7?>8:l75`:j7?>8:l75`:j7?>8:l75`0:9j546=83.?=k4>199m04c=9810c<:>:18'04`=9=:0b9?j:198k45a290/8290/810c<:n:18'04`=9=:0b9?j:998k42>290/8b683>!26n3;i:6`;1d83?>o6j<0;6):>f;3a2>h39l0:76g>b583>!26n3;i:6`;1d81?>o6j:0;6):>f;3a2>h39l0876g>b383>!26n3;i:6`;1d87?>o6j90;6):>f;3a2>h39l0>76g>ag83>!26n3;i:6`;1d85?>o6il0;6):>f;3a2>h39l0<76g>ae83>!26n3;i:6`;1d8;?>o6ij0;6):>f;3a2>h39l0276g>ac83>!26n3;i:6`;1d8b?>o6ih0;6):>f;3a2>h39l0i76g>a883>!26n3;i:6`;1d8`?>o6i10;6):>f;3a2>h39l0o76g>a683>!26n3;i:6`;1d8f?>o6jo0;6):>f;3a2>h39l0m76g>bd83>!26n3;i:6`;1d824>=n9kn1<7*;1g82f3=i<8o1=<54i0``>5<#<8l1=o84n53f>44<3`;in7>5$53e>4d13g>:i7?<;:k2fd<72->:j7?m6:l75`<6<21b=o750;&75c<6j?1e8e;34?>o6i?0;6):>f;3a2>h39l0:465`3c394?"39o08n=5a40g94>=h;hl1<7*;1g80f5=i<8o1=65`3`g94?"39o08n=5a40g96>=h;hn1<7*;1g80f5=i<8o1?65`3`a94?"39o08n=5a40g90>=h;hh1<7*;1g80f5=i<8o1965`3`c94?"39o08n=5a40g92>=h;h31<7*;1g80f5=i<8o1;65`3`594?"39o08n=5a40g9<>=h;h<1<7*;1g80f5=i<8o1565`3`794?"39o08n=5a40g9e>=h;h>1<7*;1g80f5=i<8o1n65`3`194?"39o08n=5a40g9g>=h;h81<7*;1g80f5=i<8o1h65`3`394?"39o08n=5a40g9a>=h;h:1<7*;1g80f5=i<8o1j65`38d94?"39o08n=5a40g955=l?;o62a?7532e85o4?:%62b?5e82d?=h4>3:9l776:18'04`=;k:0b9?j:078?j5>03:1(9?i:2`3?k26m3;=76a<9683>!26n39i<6`;1d823>=h;0<1<7*;1g80f5=i<8o1=554o2;6>5<#<8l1?o>4n53f>4?<3f9287>5$53e>6d73g>:i7?n;:m0=6<72->:j7=m0:l75`<6j21d?4?50;&75c<4j91e8e;3f?>i40l0;6):>f;1a4>h39l0:j65`39f94?"39o08n=5a40g965=l?;o62a?4532e84l4?:%62b?5e82d?=h4=3:9l7=?=83.?=k467:18'04`=;k:0b9?j:378?j5e?3:1(9?i:2`3?k26m38=76a!26n39i<6`;1d813>=h;k?1<7*;1g80f5=i<8o1>554o2`7>5<#<8l1?o>4n53f>7?<3f9i?7>5$53e>6d73g>:i7:j7=m0:l75`<5j21d?l650;&75c<4j91e8e;0f?>i40>0;6):>f;1a4>h39l09j65fag83>!26n3kn7c:>e;28?lgc290/8:i7<4;hca>5<#<8l1mh5a40g97>=nih0;6):>f;cf?k26m3>07do6:18'04`=il1e8:j7oj;o62a?0<3`k<6=4+40d9e`=i<8o1;65fa783>!26n3kn7c:>e;:8?lg2290/84?:%62b?gb3g>:i7o4;hc1>5<#<8l1mh5a40g9f>=ni80;6):>f;cf?k26m3i07do?:18'04`=il1e8:j7oj;o62a?c<3`3n6=4+40d9e`=i<8o1j65f9e83>!26n3kn7c:>e;33?>o>k3:1(9?i:`g8j17b28;07d7m:18'04`=il1e8:i7?;;:ka2?6=,=;m6lk4n53f>43<3`h>6=4+40d9e`=i<8o1=;54ic694?"39o0ji6`;1d823>=nj:0;6):>f;cf?k26m3;376gm2;29 17a2ho0b9?j:0;8?ld6290/850;&75cb:9je1<72->:j7oj;o62a?7d32c257>5$53e>dc:97>5$53e>1713g>:i7?4;n620?6=,=;m69?9;o62a?4<3f>:?7>5$53e>1713g>:i7=4;n626?6=,=;m69?9;o62a?2<3f>:=7>5$53e>1713g>:i7;4;n624?6=,=;m69?9;o62a?0<3f>;j7>5$53e>1713g>:i794;n63`?6=,=;m69?9;o62a?><3f>;o7>5$53e>1713g>:i774;n63f?6=,=;m69?9;o62a?g<3f>;m7>5$53e>1713g>:i7l4;n63=?6=,=;m69?9;o62a?e<3f>;47>5$53e>1713g>:i7j4;n633?6=,=;m69?9;o62a?c<3f>;:7>5$53e>1713g>:i7h4;n631?6=,=;m69?9;o62a?7732e?<94?:%62b?26>2d?=h4>1:9l054=83.?=k4;179m04c=9;10c9>>:18'04`=<8<0b9?j:018?j2783:1(9?i:535?k26m3;?76a!26n3>::6`;1d821>=h;oo1<7*;1g8753=i<8o1=;54o2dg>5<#<8l18<84n53f>41<3f9mo7>5$53e>1713g>:i7?7;:m0bg<72->:j7:>6:l75`<6121d?ko50;&75c<39?1e8290/8e;3`?>i4n?0;6):>f;622>h39l0:h65`3g794?"39o0?=;5a40g95`=2d?=h4=1:9l7c7=83.?=k4;179m04c=:;10c>h?:18'04`=<8<0b9?j:318?j5bn3:1(9?i:535?k26m38?76a!26n3>::6`;1d811>=h<8n1<7*;1g8753=i<8o1>;54o53`>5<#<8l18<84n53f>71<3f>:n7>5$53e>1713g>:i7<7;:m75d<72->:j7:>6:l75`<5121d8<750;&75c<39?1e8e;0`?>i38:0;6):>f;622>h39l09h65`3g:94?"39o0?=;5a40g96`=5<#<8l1oh5a40g94>=nkm0;6):>f;af?k26m3;07dml:18'04`=kl1e8:j7mj;o62a?5<3`ij6=4+40d9g`=i<8o1865fc883>!26n3in7c:>e;78?le?290/821bo:4?:%62b?eb3g>:i794;ha5>5<#<8l1oh5a40g9<>=nk<0;6):>f;af?k26m3307dm<:18'04`=kl1e8:j7mj;o62a?d<3`i:6=4+40d9g`=i<8o1o65fc183>!26n3in7c:>e;f8?lda290/8:i7h4;h`g>5<#<8l1oh5a40g955=h39l0:=65fbc83>!26n3in7c:>e;31?>oei3:1(9?i:bg8j17b28907dj8:18'04`=kl1e8:i7?9;:kg0?6=,=;m6nk4n53f>41<3`n86=4+40d9g`=i<8o1=554ie094?"39o0hi6`;1d82=>=nl80;6):>f;af?k26m3;j76gk0;29 17a2jo0b9?j:0`8?le3290/8d:9j5=1=83.?=k4>879m04c=821b=5;50;&75c<60?1e8879m04c=:21vn98k:186>5<7s->8m76<:046a>o61l0;66g=8c83>>o3;90;66a<7683>>i5j>0;66sm47g94?3=83:p(9=n:3a6?M2312B??i5+3919533b3`;2i7>5;h0;f?6=3`>8<7>5;n143?6=3f8i;7>5;|`72c<72=0;6=u+42c96f2<@=>27E:e2900e9=?:188k6102900qo:80;291?6=8r.??l4=a09K01?<@=9o7)=73;351`=#:hn1=:5f15g94?=n91o1<75f1`694?=n<::1<75`43094?=zj==:6=4::183!24i38j<6F;489K06b<,:286<8:e:&1ea<53`;?i7>5;h3;a?6=3`;j87>5;h610?6=3f>9>7>5;|`737<72<0;6=u+42c96d6<@=>27E:5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f113290>6=4?{%60e?4f82B?845G42f8 6>428<>i6*=ae81?l73m3:17d?7e;29?l7f<3:17d:=4;29?j25:3:17pl;7483>0<729q/8>o52`28L12>3A>8h6*<828220c<,;ko6?5f15g94?=n91o1<75f1`694?=n<;>1<75`43094?=zj===6=4::183!24i38j<6F;489K06b<,:286<8:e:&1ea<53`;?i7>5;h3;a?6=3`;j87>5;h610?6=3f>9>7>5;|`732<72<0;6=u+42c96d6<@=>27E:5<7s->8m76<:046a>"5im097d?;e;29?l7?m3:17d?n4;29?l25<3:17b:=2;29?xd3?00;684?:1y'06g=:h:0D9:6;I60`>"40:0::8k4$3cg>7=n9=o1<75f19g94?=n9h>1<75f43694?=h<;81<75rb55b>5<2290;w):N3<01C8>j4$2:0>402m2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3`>987>5;n616?6=3th?;o4?:483>5}#<:k1>l>4H56:?M24l2.84>4>64g8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f11d290>6=4?{%60e?4f92B?845G42f8 6>428<>i6*=ae823>o68d83>>o6i=0;66g;3183>>i3:;0;66sm46f94?3=83:p(9=n:3c3?M2312B??i5f15g94?=n91o1<75f1`694?=n<;>1<75`43094?=zj==n6=4::183!24i38j=6F;489K06bn6=44i0:f>5<5<n6=44i0:f>5<5<5;h3b0?6=3`>987>5;n616?6=3th?4<4?:483>5}#<:k1>l>4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3`>987>5;n616?6=3th?4?4?:483>5}#<:k1>l>4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3`>987>5;n616?6=3th?4>4?:483>5}#<:k1>l>4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3`>987>5;n616?6=3th?494?:583>5}#<:k1>4h4H56:?M24l2.9mi4>7:k20`<722c:m94?::k761<722e?>?4?::a0=3=83?1<7>t$51b>7g73A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a0=0=83336;<598yK06b<,=9j6<8;f:X20f<2s<:1;n4:1;5f>2`=u`lo6=4+40d9bf=i<8o1<65ffc83>!26n3lh7c:>e;38?l46l3:1(9?i:33`?k26m3:07d<>b;29 17a2;;h7c:>e;38?l46i3:1(9?i:33`?k26m3807d<>9;29 17a2;;h7c:>e;18?l4603:1(9?i:33`?k26m3>07d<>7;29 17a2;;h7c:>e;78?l46>3:1(9?i:33`?k26m3<07d<>5;29 17a2;;h7c:>e;58?l46;3:1(9?i:33`?k26m3207d<>2;29 17a2;;h7c:>e;;8?l4693:1(9?i:33`?k26m3k07d<>0;29 17a2;;h7c:>e;`8?l47n3:1(9?i:33`?k26m3i07de;f8?l47l3:1(9?i:33`?k26m3o07de;d8?l47j3:1(9?i:33`?k26m3;;76g=0`83>!26n38:o6`;1d825>=n:921<7*;1g815f=i<8o1=?54i324>5<#<8l1>45<3`8;:7>5$53e>77d3g>:i7?;;:k140<72->:j7<>c:l75`<6=21b>=:50;&75c<59j1e8e;3;?>o5880;6):>f;02g>h39l0:565f21294?"39o09=n5a40g95d=d:9j5cd=83.?=k4=1b9m04c=9l10ef683>!26n38:o6`;1d815>=n9o<1<7*;1g815f=i<8o1>?54i0d6>5<#<8l1>75<3`;m87>5$53e>77d3g>:i7<;;:k2b6<72->:j7<>c:l75`<5=21b>?=50;&75c<59j1e8e;0;?>o5:90;6):>f;02g>h39l09565f20d94?"39o09=n5a40g96d=e;38?l23>3:17d?7e;29?l2493:17d?6f;29?j43n3:1(9?i:36f?k26m3:07b<;d;29 17a2;>n7c:>e;38?j43k3:1(9?i:36f?k26m3807b<;b;29 17a2;>n7c:>e;18?j43i3:1(9?i:36f?k26m3>07b<;9;29 17a2;>n7c:>e;78?j4303:1(9?i:36f?k26m3<07b<;7;29 17a2;>n7c:>e;58?j43=3:1(9?i:36f?k26m3207b<;4;29 17a2;>n7c:>e;;8?j43;3:1(9?i:36f?k26m3k07b<;2;29 17a2;>n7c:>e;`8?j4393:1(9?i:36f?k26m3i07b<;0;29 17a2;>n7c:>e;f8?j44n3:1(9?i:36f?k26m3o07b<n7c:>e;d8?j44l3:1(9?i:36f?k26m3;;76a=3b83>!26n38?i6`;1d825>=h::k1<7*;1g810`=i<8o1=?54o31:>5<#<8l1>9k4n53f>45<3f8847>5$53e>72b3g>:i7?;;:m172<72->:j7<;e:l75`<6=21d>>850;&75c<5n7c:>e;3;?>i5;:0;6):>f;07a>h39l0:565`22094?"39o098h5a40g95d=h4?:%62b?43m2d?=h4>d:9l67b=83.?=k4=4d9m04c=9l10c?!26n38?i6`;1d815>=h:;21<7*;1g810`=i<8o1>?54o304>5<#<8l1>9k4n53f>75<3f89:7>5$53e>72b3g>:i7<;;:m160<72->:j7<;e:l75`<5=21d>8;50;&75c<5n7c:>e;0;?>i5=;0;6):>f;07a>h39l09565`24394?"39o098h5a40g96d=e;38?j40m3:1(9?i:3:3?k26m3807b<8d;29 17a2;2;7c:>e;18?j40k3:1(9?i:3:3?k26m3>07b<8b;29 17a2;2;7c:>e;78?j40i3:1(9?i:3:3?k26m3<07b<89;29 17a2;2;7c:>e;58?j40?3:1(9?i:3:3?k26m3207b<86;29 17a2;2;7c:>e;;8?j40=3:1(9?i:3:3?k26m3k07b<84;29 17a2;2;7c:>e;`8?j40;3:1(9?i:3:3?k26m3i07b<82;29 17a2;2;7c:>e;f8?j4093:1(9?i:3:3?k26m3o07b<80;29 17a2;2;7c:>e;d8?j41n3:1(9?i:3:3?k26m3;;76a=6d83>!26n383<6`;1d825>=h:?i1<7*;1g81<5=i<8o1=?54o34a>5<#<8l1>5>4n53f>45<3f8=m7>5$53e>7>73g>:i7?;;:m12<<72->:j7<70:l75`<6=21d>;650;&75c<5091e8e;3;?>i5><0;6):>f;0;4>h39l0:565`27694?"39o094=5a40g95d=d:9l60`=83.?=k4=819m04c=9l10c?;k:18'04`=:1:0b9?j:0d8?j42k3:1(9?i:3:3?k26m38;76a=5c83>!26n383<6`;1d815>=h:?54o37:>5<#<8l1>5>4n53f>75<3f8>47>5$53e>7>73g>:i7<;;:m112<72->:j7<70:l75`<5=21d>5950;&75c<5091e81290/8e;0;?>i50=0;6):>f;0;4>h39l09565`29194?"39o094=5a40g96d=50z&77d<5i?1C8974H51g?j4f=3:17pl;8983>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3000;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;8`83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd30k0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;8b83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd30m0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;8d83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd30o0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;9183>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3180;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;9383>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd31:0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;9583>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd31<0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;9783>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd31>0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;9983>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3100;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;9`83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd31k0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;9b83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd31m0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;9d83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd31o0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;a183>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3i80;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;a383>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3i:0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;a583>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3i<0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;a783>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3i>0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;a983>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3i00;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;a`83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3ik0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;ab83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3im0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;ad83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3io0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;b183>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3j80;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;b383>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3j:0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;b583>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3j<0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;b783>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3j>0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;b983>c<729q/8>o54378L12>3A>8h6*=ae87?l?32900e4;50;9j=3<722c2;7>5;h;;>5<5<5<5<?6=44i566>5<5<i57>5f;294~"3;h0?>85G45;8L15c3-8jh7:4i8694?=n1<0;66g66;29?l?02900e4650;9j5d2=831b>5k50;9j6=`=831b>4>50;9j6<7=831b>4<50;9j012=831b89;50;9l061=831d>5j50;9~f1df290m6=4?{%60e?25=2B?845G42f8 7gc2=1b594?::k:1?6=3`3=6=44i8594?=n110;66g>a583>>o50l0;66g=8g83>>o5190;66g=9083>>o51;0;66g;4583>>o3<<0;66a;3683>>i50m0;66sm4c`94?`=83:p(9=n:506?M2312B??i5+2`f90>o><3:17d7::188m<0=831b5:4?::k:5;h0;a?6=3`83j7>5;h0:4?6=3`82=7>5;h0:6?6=3`>?87>5;h671?6=3f>8;7>5;n0;`?6=3th?nn4?:g83>5}#<:k18?;4H56:?M24l2.9mi4;;h;7>5<>o>?3:17d77:188m4g32900e?6j:188m7>a2900e?7?:188m7?62900e?7=:188m1232900e9:::188k1502900c?6k:188yg2el3:1j7>50z&77d<3:<1C8974H51g?!4fl3>0e4:50;9j=0<722c2:7>5;h;4>5<5N3<01C8>j4$3cg>1=n1=0;66g65;29?l?12900e4950;9j==<722c:m94?::k1<`<722c94k4?::k1=5<722c95<4?::k1=7<722c?894?::k700<722e??:4?::m1N3;m1/>lj54:k:0?6=3`3>6=44i8494?=n1>0;66g68;29?l7f<3:17d<7e;29?l4?n3:17d<60;29?l4>93:17d<62;29?l23<3:17d:;5;29?j24?3:17b<7d;29?xd3k90;6k4?:1y'06g=<;?0D9:6;I60`>"5im0?7d7;:188m<3=831b5;4?::k:3?6=3`336=44i0c7>5<5<5<5<>6=44o514>5<1<75f9483>>o>>3:17d78:188m<>=831b=l:50;9j6=c=831b>5h50;9j6<6=831b>4?50;9j6<4=831b89:50;9j013=831d8>950;9l6=b=831vn9m=:18e>5<7s->8m7:=5:J70<=O<:n0(?ok:59j=1<722c297>5;h;5>5<>o6i=0;66g=8d83>>o50o0;66g=9183>>o5180;66g=9383>>o3<=0;66g;4483>>i3;>0;66a=8e83>>{e7E:;9:J77a=#:hn186g64;29?l?22900e4850;9j=2<722c247>5;h3b0?6=3`83i7>5;h0;b?6=3`82<7>5;h0:5?6=3`82>7>5;h670?6=3`>?97>5;n603?6=3f83h7>5;|`7g1<72o0;6=u+42c9073<@=>27E:b2900e?6i:188m7?72900e?7>:188m7?52900e9:;:188m1222900c9=8:188k7>c2900qo:l5;29b?6=8r.??l4;249K01?<@=9o7)1<75f29g94?=n:1l1<75f28294?=n:0;1<75f28094?=n<=>1<75f45794?=h<:=1<75`29f94?=zj=i=6=4i:183!24i3>996F;489K06b<,;ko695f9583>>o>=3:17d79:188m<1=831b554?::k2e1<722c94h4?::k1t$51b>1423A>?56F;3e9'6db=<2c287>5;h;6>5<>o>03:17d?n4;29?l4?m3:17d<7f;29?l4>83:17d<61;29?l4>:3:17d:;4;29?l23=3:17b:<7;29?j4?l3:17pl;c983>c<729q/8>o54378L12>3A>8h6*=ae87?l?32900e4;50;9j=3<722c2;7>5;h;;>5<5<5<5<?6=44i566>5<5<h57>5f;294~"3;h0?>85G45;8L15c3-8jh7:4i8694?=n1<0;66g66;29?l?02900e4650;9j5d2=831b>5k50;9j6=`=831b>4>50;9j6<7=831b>4<50;9j012=831b89;50;9l061=831d>5j50;9~f1ef290m6=4?{%60e?25=2B?845G42f8 7gc2=1b594?::k:1?6=3`3=6=44i8594?=n110;66g>a583>>o50l0;66g=8g83>>o5190;66g=9083>>o51;0;66g;4583>>o3<<0;66a;3683>>i50m0;66sm4b`94?`=83:p(9=n:506?M2312B??i5+2`f90>o><3:17d7::188m<0=831b5:4?::k:5;h0;a?6=3`83j7>5;h0:4?6=3`82=7>5;h0:6?6=3`>?87>5;h671?6=3f>8;7>5;n0;`?6=3th?on4?:g83>5}#<:k18?;4H56:?M24l2.9mi4;;h;7>5<>o>?3:17d77:188m4g32900e?6j:188m7>a2900e?7?:188m7?62900e?7=:188m1232900e9:::188k1502900c?6k:188yg2dl3:1j7>50z&77d<3:<1C8974H51g?!4fl3>0e4:50;9j=0<722c2:7>5;h;4>5<5N3<01C8>j4$3cg>1=n1=0;66g65;29?l?12900e4950;9j==<722c:m94?::k1<`<722c94k4?::k1=5<722c95<4?::k1=7<722c?894?::k700<722e??:4?::m1N3;m1/>lj54:k:0?6=3`3>6=44i8494?=n1>0;66g68;29?l7f<3:17d<7e;29?l4?n3:17d<60;29?l4>93:17d<62;29?l23<3:17d:;5;29?j24?3:17b<7d;29?xd3l90;6k4?:1y'06g=<;?0D9:6;I60`>"5im0?7d7;:188m<3=831b5;4?::k:3?6=3`336=44i0c7>5<5<5<5<>6=44o514>5<1<75f9483>>o>>3:17d78:188m<>=831b=l:50;9j6=c=831b>5h50;9j6<6=831b>4?50;9j6<4=831b89:50;9j013=831d8>950;9l6=b=831vn9j=:18e>5<7s->8m7:=5:J70<=O<:n0(?ok:59j=1<722c297>5;h;5>5<>o6i=0;66g=8d83>>o50o0;66g=9183>>o5180;66g=9383>>o3<=0;66g;4483>>i3;>0;66a=8e83>>{e7E:;9:J77a=#:hn186g64;29?l?22900e4850;9j=2<722c247>5;h3b0?6=3`83i7>5;h0;b?6=3`82<7>5;h0:5?6=3`82>7>5;h670?6=3`>?97>5;n603?6=3f83h7>5;|`7`1<72o0;6=u+42c9073<@=>27E:b2900e?6i:188m7?72900e?7>:188m7?52900e9:;:188m1222900c9=8:188k7>c2900qo:k5;29b?6=8r.??l4;249K01?<@=9o7)1<75f29g94?=n:1l1<75f28294?=n:0;1<75f28094?=n<=>1<75f45794?=h<:=1<75`29f94?=zj=n=6=4i:183!24i3>996F;489K06b<,;ko695f9583>>o>=3:17d79:188m<1=831b554?::k2e1<722c94h4?::k1t$51b>1423A>?56F;3e9'6db=<2c287>5;h;6>5<>o>03:17d?n4;29?l4?m3:17d<7f;29?l4>83:17d<61;29?l4>:3:17d:;4;29?l23=3:17b:<7;29?j4?l3:17pl;d983>c<729q/8>o54378L12>3A>8h6*=ae87?l?32900e4;50;9j=3<722c2;7>5;h;;>5<5<5<5<?6=44i566>5<5<o57>5f;294~"3;h0?>85G45;8L15c3-8jh7:4i8694?=n1<0;66g66;29?l?02900e4650;9j5d2=831b>5k50;9j6=`=831b>4>50;9j6<7=831b>4<50;9j012=831b89;50;9l061=831d>5j50;9~f1bf290m6=4?{%60e?25=2B?845G42f8 7gc2=1b594?::k:1?6=3`3=6=44i8594?=n110;66g>a583>>o50l0;66g=8g83>>o5190;66g=9083>>o51;0;66g;4583>>o3<<0;66a;3683>>i50m0;66sm4e`94?`=83:p(9=n:506?M2312B??i5+2`f90>o><3:17d7::188m<0=831b5:4?::k:5;h0;a?6=3`83j7>5;h0:4?6=3`82=7>5;h0:6?6=3`>?87>5;h671?6=3f>8;7>5;n0;`?6=3th?hn4?:g83>5}#<:k18?;4H56:?M24l2.9mi4;;h;7>5<>o>?3:17d77:188m4g32900e?6j:188m7>a2900e?7?:188m7?62900e?7=:188m1232900e9:::188k1502900c?6k:188yg2cl3:1j7>50z&77d<3:<1C8974H51g?!4fl3>0e4:50;9j=0<722c2:7>5;h;4>5<5N3<01C8>j4$3cg>1=n1=0;66g65;29?l?12900e4950;9j==<722c:m94?::k1<`<722c94k4?::k1=5<722c95<4?::k1=7<722c?894?::k700<722e??:4?::m1N3;m1/>lj54:k:0?6=3`3>6=44i8494?=n1>0;66g68;29?l7f<3:17d<7e;29?l4?n3:17d<60;29?l4>93:17d<62;29?l23<3:17d:;5;29?j24?3:17b<7d;29?xd3m90;6k4?:1y'06g=<;?0D9:6;I60`>"5im0?7d7;:188m<3=831b5;4?::k:3?6=3`336=44i0c7>5<5<5<5<>6=44o514>5<1<75f9483>>o>>3:17d78:188m<>=831b=l:50;9j6=c=831b>5h50;9j6<6=831b>4?50;9j6<4=831b89:50;9j013=831d8>950;9l6=b=831vn9k=:18e>5<7s->8m7:=5:J70<=O<:n0(?ok:59j=1<722c297>5;h;5>5<>o6i=0;66g=8d83>>o50o0;66g=9183>>o5180;66g=9383>>o3<=0;66g;4483>>i3;>0;66a=8e83>>{e7E:;9:J77a=#:hn186g64;29?l?22900e4850;9j=2<722c247>5;h3b0?6=3`83i7>5;h0;b?6=3`82<7>5;h0:5?6=3`82>7>5;h670?6=3`>?97>5;n603?6=3f83h7>5;|`7a1<72o0;6=u+42c9073<@=>27E:b2900e?6i:188m7?72900e?7>:188m7?52900e9:;:188m1222900c9=8:188k7>c2900qo:j5;29b?6=8r.??l4;249K01?<@=9o7)1<75f29g94?=n:1l1<75f28294?=n:0;1<75f28094?=n<=>1<75f45794?=h<:=1<75`29f94?=zj=o=6=4i:183!24i3>996F;489K06b<,;ko695f9583>>o>=3:17d79:188m<1=831b554?::k2e1<722c94h4?::k1t$51b>1423A>?56F;3e9'6db=<2c287>5;h;6>5<>o>03:17d?n4;29?l4?m3:17d<7f;29?l4>83:17d<61;29?l4>:3:17d:;4;29?l23=3:17b:<7;29?j4?l3:17pl;e983>6<729q/8>o52c`8L12>3A>8h6*=ae8;b>o5i10;66g=a883>>i4?>0;66s|1ea:>5<49rTmh63;b98:1>;3j002963;b`8:1>;3jk02963;bb8:1>;3jm02963;bd8:1>;3jo02963;c18:1>;3k802963;c38:1>;3k:02963;c58:1>;3k<02963;c78:1>;3k>02963;c98:1>;3k002963;c`8:1>;3kk02963;cb8:1>;3km02963;cd8:1>;3ko02963;d18:1>;3l802963;d38:1>;3l:02963;d58:1>;3l<02963;d78:1>;3l>02963;d98:1>;3l002963;d`8:1>;3lk02963;db8:1>;3lm02963;dd8:1>;3lo02963;e18:1>;3m802963;e38:1>;3m:02963;e58:1>;3m<02963;e78:1>;3m>0296s|1eab>5<49rTmn63;b98:0>;3j002863;b`8:0>;3jk02863;bb8:0>;3jm02863;bd8:0>;3jo02863;c18:0>;3k802863;c38:0>;3k:02863;c58:0>;3k<02863;c78:0>;3k>02863;c98:0>;3k002863;c`8:0>;3kk02863;cb8:0>;3km02863;cd8:0>;3ko02863;d18:0>;3l802863;d38:0>;3l:02863;d58:0>;3l<02863;d78:0>;3l>02863;d98:0>;3l002863;d`8:0>;3lk02863;db8:0>;3lm02863;dd8:0>;3lo02863;e18:0>;3m802863;e38:0>;3m:02863;e58:0>;3m<02863;e78:0>;3m>0286s|1eaa>5<5sW8:h63;e482e1=z{8nho7>52z\15g=:4g33ty:hnk50;0xZ77>34>n>7?n4:p5aea2909wS<>8:?7a1<6i=1v168h=51`68yv7cl80;6?uQ204891ba28k?7p}>de094?4|V;;>70:j1;3b0>{t9mn86=4={_027>;3m90:m95rs0fg0?6=:rT9=?524ea95d2a59~w4bc03:1>vP=0d9>0ad=9h>0q~?kd883>7}Y:9n019jn:0c7?xu6lmk1<73;j86s|1efa>5<5sW8;n63;d982e1=z{8noo7>52z\14d=:=64=5f0>4g33ty:hik50;0xZ76034>o97?n4:p5aba2909wS51`68yv7cm80;6?uQ216891b528k?7p}>dd094?4|V;:870:k1;3b0>{t9mo86=4={_036>;3km0:m95rs0ff0?6=:rT9<<524bd95d2a59~w4bb03:1>vP>fb9>0fd=9h>0q~?ke883>7}Y9oh019m8:0c7?xu6llk1<75<5sW;m463;c982e1=z{8nno7>52z\2b2=:1=l:4}r3gaa<72;qU=k84=5a5>4g33ty:hhk50;0xZ4`234>h97?n4:p5aca2909wS?i4:?7g4<6i=1vdg094?4|V;8970:me;3b0>{t9ml86=4={_015>;3k90:m95rs0fe0?6=:rT9>=524cd95d2a59~w4ba03:1>vP=089>0g>=9h>0q~?kf883>7}Y9oo019ln:0c7?xu6lok1<75<49rT:<>524c:96=`<5=h26?6i;<6ae?4?n27?no4=8g9>0ge=:1l019lk:3:e?82em383j63;bg815h4=5a2>7>a34>h>7<7f:?7g6<50o168n:529d891e22;2m70:l6;0;b>;3k>094k524b:96=`<5=i26?6i;<6`e?4?n27?oo4=8g9>0fe=:1l019mk:3:e?82dm383j63;cg815h4=5f2>7>a34>o>7<7f:?7`6<50o168i:529d891b22;2m70:k6;0;b>;3l>094k524e:96=`<5=n26?6i;<6ge?4?n27?ho4=8g9>0ae=:1l019jk:3:e?82cm383j63;dg815h4=5g2>7>a34>n>7<7f:?7a6<50o168h:529d891c22;2m70:j6;0;b>;3m>094k5rs0feg?6=;8qU==?4=5`;>7>b34>i57<7e:?7fd<50l168ol529g891dd2;2n70:md;0;a>;3jl094h524cd96=c<5=i;6?6j;<6`5?4?m27?o?4=8d9>0f5=:1o019m;:3:f?82d=383i63;c781<`=:5k4=5a;>7>b34>h57<7e:?7gd<50l168nl529g891ed2;2n70:ld;0;a>;3kl094h524bd96=c<5=n;6?6j;<6g5?4?m27?h?4=8d9>0a5=:1o019j;:3:f?82c=383i63;d781<`=:5k4=5f;>7>b34>o57<7e:?7`d<50l168il529g891bd2;2n70:kd;0;a>;3ll094h524ed96=c<5=o;6?6j;<6f5?4?m27?i?4=8d9>0`5=:1o019k;:3:f?82b=383i63;e781<`=:5k4}r3gba<72;qU8984=5g;>7g?3ty:hkk50;11[7?m27?454>8d9>0=?=91o0196n:0:f?82?j3;3i63;8b82<`=:<1n1=5k4=5:f>4>b34>3j7?7e:?7=5<60l1684?519g891?5282n70:63;3;a>;31=0:4h5248795=c<5=3=6<6j;<6:3?7?m27?554>8d9>0j3;3i63;9b82<`=:<0n1=5k4=5;f>4>b34>2j7?7e:?7e5<60l168l?519g891g5282n70:n3;3;a>;3i=0:4h524`795=c<5=k=6<6j;<6b3?7?m27?m54>8d9>0d?=91o019on:0:f?82fj3;3i63;ab82<`=:4>b34>jj7?7e:?7f5<60l168o?519g891d5282n70:m3;3;a>;3j=0:4h524c795=c<5=h=6<6j;<6a3?7?m27?i54=a89~w4ban3:1:?28>n70:79;37a>;30h0:8h5249`951c<5=2h6<:j;<6;`?73m27?4h4>4d9>0=`=9=o0197?:06f?82>93;?i63;93820`=:<091=9k4=5;7>42b34>297?;e:?7=3<6n70:69;37a>;31h0:8h5248`951c<5=3h6<:j;<6:`?73m27?5h4>4d9>0<`=9=o019o?:06f?82f93;?i63;a3820`=:42b34>j97?;e:?7e3<6n70:n9;37a>;3ih0:8h524``951c<5=kh6<:j;<6b`?73m27?mh4>4d9>0d`=9=o019l?:06f?82e93;?i63;b3820`=:42b34>i97?;e:?7f3<6?70:m9;670>;3jh0?89524c`9012<5=hh69:;;<6a`?23<27?nh4;459>0g`=<=>019m?:567?82d93>?863;c38701=:12334>h97:;4:?7g3<3<=168n95456891e?2=>?70:l9;670>;3kh0?89524b`9012<5=ih69:;;<6``?23<27?oh4;459>0f`=<=>019j?:567?82c93>?863;d38701=:12334>o97:;4:?7`3<3<=168i95456891b?2=>?70:k9;670>;3lh0?89524e`9012<5=nh69:;;<6g`?23<27?hh4;459>0a`=<=>019k?:567?82b93>?863;e38701=:12334>n97:;4:?7a3<3<=168h954568yv7b890;6?uQ293891d02=897p}>e1394?4|V;=m70:m6;616>{t9l:96=4={_04a>;3j<0?>?5rs0g37?6=:rT9;i524c690749:181[40i27?n<4;239~w4c7?3:1>vP=789>0g6=<;80q~?j0983>7}Y:>=019oi:501?xu6m931<79>6s|1d2b>5<5sW8<963;ae8767=z{8o;n7>52z\131=::=4=5ca>1453ty:i=j50;0xZ71534>jm7:=2:p5`6b2909wS<81:?7e<<3:;1ve0394?4|V;{t9l;96=4={_05g>;3i<0?>?5rs0g27?6=:rT9:o524`69074vP=669>0d6=<;80q~?j1983>7}Y:?<0197i:501?xu6m831<7m3>9>6s|1d3b>5<5sW8=863;9e8767=z{8o:n7>52z\126=:<0i18?<4}r3f5f<72;qU>;?4=5;a>1453ty:i2m7:=2:p5`7b2909wS<:f:?7=<<3:;1vf;296~X5=m1684654308yv7b:90;6?uQ24a891?02=897p}>e3394?4|V;?i70:66;616>{t9l896=4={_06e>;31<0?>?5rs0g17?6=:rT99452486907494?:3y]60><5=3869<=;|q2a73=838pR?;8;<6:6?25:2wx=h<9:181[4??27?5<4;239~w4c5?3:1>vP=879>0<6=<;80q~?j2983>7}Y:1?0196i:501?xu6m;31<79>6s|1d0b>5<5sW83?63;8e8767=z{8o9n7>52z\1<7=:<1i18?<4}r3f6f<72;qU>:64=5:a>1453ty:i?j50;0xZ70c34>3m7:=2:p5`4b2909wS<92:?7<<<3:;1v{<6;3?4f=27?n5466:?7f=<>?27?n5468:?7f=<519168o65283891d?2;3970:m9;;5?82e133<70:m9;;;?82e1382<63;b881=4=:4<4=5`b><0<5=hj6494=5`b><><5=hj6?7?;<6ae?4>927?nl4=939>0gd=1?168ol5969>0gd=11168ol5282891de2;3:70:mb;0:6>;3jj02:63;bb8:3>;3jj02463;bb81=5=:4?4=5``>7?534>ih779;<6a`??034>ih777;<6a`?4>827?ni4=909>0gb=:08019lj:84891db20=019lj:8:891db2;3;70:me;0:5>;3jl095?524cd9=3=:4>4=5`e>7?634>ij7<62:?7g5<>>27?o=467:?7g5<>027?o=4=919>0f6=:0;019m?:3;1?82d933=70:l1;;4?82d933370:l1;0:4>;3k8095<524b396<4<5=i96484=5a1><1<5=i96464=5a1>7?734>h>7<61:?7g7<51;168n=5979>0f5=1>168n=5999>0f5=:0:019m<:3;2?82d;382>63;c58:2>;3k=02;63;c58:<>;3k=095=524b696<7<5=i?6?7=;<6`1??134>h9778;<6`1???34>h97<60:?7g0<518168n;5280891e120<019m9:85891e1202019m9:3;3?82d>382=63;c781=7=:;<6`3?4>:27?o5466:?7g=<>?27?o5468:?7g=<519168n65283891e?2;3970:l9;;5?82d133<70:l9;;;?82d1382<63;c881=4=:4<4=5ab><0<5=ij6494=5ab><><5=ij6?7?;<6`e?4>927?ol4=939>0fd=1?168nl5969>0fd=11168nl5282891ee2;3:70:lb;0:6>;3kj02:63;cb8:3>;3kj02463;cb81=5=:4?4=5a`>7?534>hh779;<6``??034>hh777;<6``?4>827?oi4=909>0fb=:08019mj:84891eb20=019mj:8:891eb2;3;70:le;0:5>;3kl095?524bd9=3=:4>4=5ae>7?634>hj7<62:?7`5<>>27?h=467:?7`5<>027?h=4=919>0a6=:0;019j?:3;1?82c933=70:k1;;4?82c933370:k1;0:4>;3l8095<524e396<4<5=n96484=5f1><1<5=n96464=5f1>7?734>o>7<61:?7`7<51;168i=5979>0a5=1>168i=5999>0a5=:0:019j<:3;2?82c;382>63;d58:2>;3l=02;63;d58:<>;3l=095=524e696<7<5=n?6?7=;<6g1??134>o9778;<6g1???34>o97<60:?7`0<518168i;5280891b120<019j9:85891b1202019j9:3;3?82c>382=63;d781=7=:;<6g3?4>:27?h5466:?7`=<>?27?h5468:?7`=<519168i65283891b?2;3970:k9;;5?82c133<70:k9;;;?82c1382<63;d881=4=:4<4=5fb><0<5=nj6494=5fb><><5=nj6?7?;<6ge?4>927?hl4=939>0ad=1?168il5969>0ad=11168il5282891be2;3:70:kb;0:6>;3lj02:63;db8:3>;3lj02463;db81=5=:4?4=5f`>7?534>oh779;<6g`??034>oh777;<6g`?4>827?hi4=909>0ab=:08019jj:84891bb20=019jj:8:891bb2;3;70:ke;0:5>;3ll095?524ed9=3=:4>4=5fe>7?634>oj7<62:?7a5<>>27?i=467:?7a5<>027?i=4=919>0`6=:0;019k?:3;1?82b933=70:j1;;4?82b933370:j1;0:4>;3m8095<524d396<4<5=o96484=5g1><1<5=o96464=5g1>7?734>n>7<61:?7a7<51;168h=5979>0`5=1>168h=5999>0`5=:0:019k<:3;2?82b;382>63;e58:2>;3m=02;63;e58:<>;3m=095=524d696<7<5=o?6?7=;<6f1??134>n9778;<6f1???34>n97<60:?7a0<518168h;5280891c120<019k9:85891c1202019k9:3;3?82b>382=63;e781=7=:;<6f3?4>:2wx=h=>:18182?03;j863;b8817>52z?7<<<6i=168oo529f8yv7b;:0;6?u249c95d2<5=h36?6k;|q2a62=838p196m:0c7?82ek383h6s|1d16>5<5s4>3o7?n4:?7fa<50m1v0=c=9h>019li:3:g?xu6m:21<74g334>h<7<7d:p5`5>2909w0:60;3b0>;3jl094i5rs0g0e?6=:r7?5<4>a59>0f4=:1n0q~?j3c83>7}:<081=l:4=5a0>7>c3ty:i>m50;0x91?428k?70:l1;0;`>{t9l9o6=4={<6:0?7f<27?o84=8e9~w4c4m3:1>v3;9482e1=:5j4}r3f7c<72;q684851`6891e32;2o7p}>e5294?4|5=3<6:18182>03;j863;c8817>52z?7=<<6i=168n9529f8yv7b<:0;6?u248c95d2<5=ii6?6k;|q2a12=838p197m:0c7?82dk383h6s|1d66>5<5s4>2o7?n4:?7gd<50m1v0019mi:3:g?xu6m=21<74g334>hh7<7d:p5`2>2909w0:n0;3b0>;3l8094i5rs0g7e?6=:r7?m<4>a59>0a4=:1n0q~?j4c83>7}:7>c3ty:i9m50;0x91g428k?70:k4;0;`>{t9l>o6=4={<6b0?7f<27?h84=8e9~w4c3m3:1>v3;a482e1=:5j4}r3f0c<72;q68l851`6891b02;2o7p}>e4294?4|5=k<6:18182f03;j863;d781>7>52z?7e<<6i=168io529f8yv7b=:0;6?u24`c95d2<5=ni6?6k;|q2a02=838p19om:0c7?82c1383h6s|1d76>5<5s4>jo7?n4:?7`a<50m1v0dc=9h>019jl:3:g?xu6m<21<74g334>n<7<7d:p5`3>2909w0:m0;3b0>;3m8094i5rs0g6e?6=:r7?n<4>a59>0a`=:1n0q~?j5c83>7}:7>c3ty:i8m50;0x91d428k?70:j4;0;`>{t9l?o6=4={<6a0?7f<27?i?4=8e9~w4c2m3:1>v3;b482e1=:5j4}r3f1c<72;q68o851`6891c02;2o7p}>e7294?4|5=h<6:1805~;3j10?88524c;9013<5=hj69::;<6af?23=27?nn4;449>0gb=<=?019lj:566?82en3>?963;c18700=:12234>h?7:;5:?7g1<3<<168n;5457891e12=>>70:l7;671>;3k10?88524b;9013<5=ij69::;<6`f?23=27?on4;449>0fb=<=?019mj:566?82dn3>?963;d18700=:12234>o?7:;5:?7`1<3<<168i;5457891b12=>>70:k7;671>;3l10?88524e;9013<5=nj69::;<6gf?23=27?hn4;449>0ab=<=?019jj:566?82cn3>?963;e18700=:12234>n?7:;5:?7a1<3<<168h;5457891c12=>>70:j7;671>;3m108;:5r}c6f=?6==3:1lj5169j51c=831b=5k50;9j5d2=831b8>>50;9l074=831vn9kn:186>5<7s->8m76<:046a>"5im097d?;e;29?l7?m3:17d?n4;29?l25<3:17b:=2;29?xd3mk0;684?:1y'06g=:j?0D9:6;I60`>"40:0::8k4i0;f>5<5<5<no7>55;294~"3;h09o85G45;8L15c3-93?7?95d9j55l50;9j066=831d?:950;9l6g1=831vn9kk:180>5<7s->8m7:;8:J70<=O<:n0(>6<:046a>o61l0;66g=b783>>i4?>0;66sm4dg94?3=83:p(9=n:3a6?M2312B??i5+3919533b3`;2i7>5;h0;f?6=3`>8<7>5;n143?6=3f8i;7>5;|`7ac<72<0;6=u+42c96f3<@=>27E:e2900e9=?:188k6102900c?l8:188yg2a83:197>50z&77d<5k<1C8974H51g?!5?;3;=9h5f18g94?=n:1h1<75f42294?=h;>=1<75`2c594?=zj=l:6=4::183!24i38h96F;489K06b<,:286<8:e:k2=`<722c94o4?::k775<722e8;:4?::m1f2<722wi8k<50;794?6|,=9j6?m:;I67=>N3;m1/?5=5177f?l7>m3:17d<7b;29?l2483:17b=87;29?j4e?3:17pl;f283>0<729q/8>o52b78L12>3A>8h6*<828220c5<5<n6=44i0:f>5<5<m97>54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wi8k950;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sm4gc94?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<mn7>55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a0ce=83?1<7>t$51b>7g73A>?56F;3e9'7=5=9??n7)50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e3:187>50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<3<11C8974H51g?!5?;3;=9h5f18g94?=n:k<1<75`36594?=zj<:o6=4::183!24i38h96F;489K06b<,:286<8:e:k2=`<722c94o4?::k775<722e8;:4?::m1f2<722wi9=k50;194?6|,=9j69:7;I67=>N3;m1/?5=5177f?l7>m3:17d1<729q/8>o52b48L12>3A>8h6*<828220c5<5<53;294~"3;h0?855G45;8L15c3-93?7?95d9j5o850;9l721=831vn8?>:186>5<7s->8m76<:046a>o61l0;66g=8c83>>o3;90;66a<7683>>i5j>0;66sm50094?5=83:p(9=n:56;?M2312B??i5+3919533b3`;2i7>5;h0a2?6=3f9<;7>5;|`656<72=0;6=u+42c96f0<@=>27E:e2900e9=?:188k7d02900qo;>4;297?6=8r.??l4;499K01?<@=9o7)=73;351`=n90o1<75f2c494?=h;>=1<75rb436>5<4290;w):N3<01C8>j4$2:0>402m2c:5h4?::k1f3<722e8;:4?::a140=83>1<7>t$51b>7e33A>?56F;3e9'7=5=9??n7d?6e;29?l4?j3:17d:<0;29?j50?3:17pl:1683>0<729q/8>o52b78L12>3A>8h6*<828220c5<5<>50;9l6g1=831vn8?6:187>5<7s->8m76<:046a>o61l0;66g=8c83>>o3;90;66a=b683>>{e=8k1<7=50;2x 15f2=>37E:;9:J77a=#;191=;;j;h3:a?6=3`8i:7>5;n143?6=3th>=o4?:483>5}#<:k1>n;4H56:?M24l2.84>4>64g8m4?b2900e?6m:188m1572900c>98:188k7d02900qo;>c;297?6=8r.??l4;499K01?<@=9o7)=73;351`=n90o1<75f2c494?=h;>=1<75rb43g>5<2290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75f43694?=h<;81<75rb43f>5<2290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75f43694?=h<;81<75rb43e>5<3290;w):N3<01C8>j4i06f>5<5<6=4?{%60e?4d=2B?845G42f8 6>428<>i6g>9d83>>o50k0;66g;3183>>i4?>0;66a=b683>>{e=;81<7;50;2x 15f2;i>7E:;9:J77a=#;191=;;j;h3:a?6=3`83n7>5;h604?6=3f9<;7>5;n0a3?6=3th>>>4?:483>5}#<:k1>n;4H56:?M24l2.84>4>64g8m4?b2900e?6m:188m1572900c>98:188k7d02900qo;=4;291?6=8r.??l4=c49K01?<@=9o7)=73;351`=n90o1<75f29`94?=n<::1<75`36594?=h:k=1<75rb406>5<2290;w):N3<01C8>j4$2:0>402m2c:5h4?::k1t$51b>7e23A>?56F;3e9'7=5=9??n7d?6e;29?l4?j3:17d:<0;29?j50?3:17b0;684?:1y'06g=:j?0D9:6;I60`>"40:0::8k4i0;f>5<5<5<55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a17?=83?1<7>t$51b>7g63A>?56F;3e9'7=5=9??n7)6<729q/8>o545:8L12>3A>8h6*<828220c5<>50;9l721=831d>o950;9~f04d290>6=4?{%60e?4d=2B?845G42f8 6>428<>i6g>9d83>>o50k0;66g;3183>>i4?>0;66a=b683>>{e=;n1<7;50;2x 15f2;i>7E:;9:J77a=#;191=;;j;h3:a?6=3`83n7>5;h604?6=3f9<;7>5;n0a3?6=3th>>h4?:483>5}#<:k1>n;4H56:?M24l2.84>4>64g8m4?b2900e?6m:188m1572900c>98:188k7d02900qo;=f;291?6=8r.??l4=c49K01?<@=9o7)=73;351`=n90o1<75f29`94?=n<::1<75`36594?=h:k=1<75rb413>5<2290;w):N3<01C8>j4$2:0>402m2c:5h4?::k1t$51b>7g73A>?56F;3e9'7=5=9??n7)5;h3;a?6=3`;j87>5;h610?6=3f>9>7>5;|`671<72<0;6=u+42c96f3<@=>27E:e2900e9=?:188k6102900c?l8:188yg34=3:197>50z&77d<5k<1C8974H51g?!5?;3;=9h5f18g94?=n:1h1<75f42294?=h;>=1<75`2c594?=zj<9=6=4::183!24i38h96F;489K06b<,:286<8:e:k2=`<722c94o4?::k775<722e8;:4?::m1f2<722wi9>950;794?6|,=9j6?o?;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wi9>650;194?6|,=9j69:7;I67=>N3;m1/?5=5177f?l7>m3:17d6<729q/8>o545:8L12>3A>8h6*<828220c5<>50;9l721=831vn8=m:186>5<7s->8m76<:046a>o61l0;66g=8c83>>o3;90;66a<7683>>i5j>0;66sm52a94?2=83:p(9=n:3a5?M2312B??i5+3919533b3`;2i7>5;h0;f?6=3`>8<7>5;n0a3?6=3th>?i4?:283>5}#<:k18964H56:?M24l2.84>4>64g8m4?b2900e?l9:188k6102900qo;=1<75rb41e>5<2290;w):N3<01C8>j4$2:0>402m2c:5h4?::k11<7>t$51b>7e13A>?56F;3e9'7=5=9??n7d?6e;29?l4?j3:17d:<0;29?j4e?3:17pl:4083>1<729q/8>o528g8L12>3A>8h6g>4d83>>o60l0;66g>a583>>i3:;0;66sm55094?5=83:p(9=n:56;?M2312B??i5+3919533b3`;2i7>5;h0a2?6=3f9<;7>5;|`606<72:0;6=u+42c901><@=>27E:98:188yg33<3:187>50z&77d<5k=1C8974H51g?!5?;3;=9h5f18g94?=n:1h1<75f42294?=h;>=1<75rb466>5<2290;w):N3<01C8>j4$2:0>402m2c:5h4?::k11<7>t$51b>7e13A>?56F;3e9'7=5=9??n7d?6e;29?l4?j3:17d:<0;29?j4e?3:17pl:4683>6<729q/8>o545:8L12>3A>8h6*<828220c5<290>6=4?{%60e?4d=2B?845G42f8 6>428<>i6g>9d83>>o50k0;66g;3183>>i4?>0;66a=b683>>{e==k1<7:50;2x 15f2;i=7E:;9:J77a=#;191=;;j;h3:a?6=3`83n7>5;h604?6=3f8i;7>5;|`60g<72=0;6=u+42c96f0<@=>27E:e2900e9=?:188k7d02900qo;;c;297?6=8r.??l4;499K01?<@=9o7)=73;351`=n90o1<75f2c494?=h;>=1<75rb46g>5<2290;w):N3<01C8>j4$2:0>402m2c:5h4?::k1t$51b>12?3A>?56F;3e9'7=5=9??n7d?6e;29?l4e>3:17b=87;29?xd2"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl:5183>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd2=80;684?:1y'06g=:h:0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?l25<3:17b:=2;29?xd2=;0;6:183!24i38286F;489K06bh6<>t568;7?>521i14:4:4;3e>=7=?m0:o7;<:6`95g<3m32;68<5}%1;7?71=l1en54>;o332?7"68l08;;5+11d96=e"6h6010:7c?n3;38j7?4281e>o:51:&1fc<5i=1e>n>51:l0<7<73-9i57;4n502>4=#<:81>oh4$510>6d>3->8i7:<9:&77c<5k81/89>53678 1262:=27b=ma;29?l25i3:17d?63;29?l7>93:17b=3:17d:=e;29?l4d:3:17d?64;29?l25j3:17d?6c;29?j7?93:17d:=6;29?l7>>3:17b:83:17d?6f;29?l7?n3:17d:=9;29?j5?<3:17d?67;29?l7>j3:17d?72;29?l7?83:17d:=d;29?l7>i3:17d:=f;29?jcb290/8:i7?4;nga>5<#<8l1ii5a40g96>=hmh0;6):>f;gg?k26m3907bk6:18'04`=mm1e8:j7kk;o62a?3<3fo<6=4+40d9aa=i<8o1:65`e783>!26n3oo7c:>e;58?jc2290/8:i774;ng1>5<#<8l1ii5a40g9e>=hm80;6):>f;gg?k26m3h07bk?:18'04`=mm1e8:j7kk;o62a?b<3fnn6=4+40d9aa=i<8o1i65`de83>!26n3oo7c:>e;d8?jbd290/81:9l`d<72->:j7kk;o62a?7532eo57>5$53e>`b5<#<8l1ii5a40g951=h39l0:965`f583>!26n3oo7c:>e;35?>ia;3:1(9?i:df8j17b28=07bh=:18'04`=mm1e8:i7?n;:mfb?6=,=;m6hj4n53f>4d<3fo86=4+40d9aa=i<8o1=n54oe:94?"39o0nh6`;1d82`>=n;1;1<7*;1g80<5=i<8o1<65f36d94?"39o084=5a40g95>=n;>o1<7*;1g80<5=i<8o1>65f36f94?"39o084=5a40g97>=n;>i1<7*;1g80<5=i<8o1865f36`94?"39o084=5a40g91>=n;>k1<7*;1g80<5=i<8o1:65f3d494?"39o08i85a40g94>=n;l>1<7*;1g80a0=i<8o1=65f3d194?"39o08i85a40g96>=n;l81<7*;1g80a0=i<8o1?65f3d394?"39o08i85a40g90>=n;l:1<7*;1g80a0=i<8o1965f3ed94?"39o08i85a40g92>=n;mo1<7*;1g80a0=i<8o1;65f3ea94?"39o08i85a40g9<>=n;mh1<7*;1g80a0=i<8o1565f3ec94?"39o08i85a40g9e>=n;m31<7*;1g80a0=i<8o1n65f3e:94?"39o08i85a40g9g>=n;m=1<7*;1g80a0=i<8o1h65f3e494?"39o08i85a40g9a>=n;m?1<7*;1g80a0=i<8o1j65f3e694?"39o08i85a40g955=k:;o62a?7532c8h=4?:%62b?5b=2d?=h4>3:9j7f`=83.?=k4mj:18'04`=;l?0b9?j:078?l5dl3:1(9?i:2g6?k26m3;=76g!26n39n96`;1d823>=n;jh1<7*;1g80a0=i<8o1=554i2ab>5<#<8l1?h;4n53f>4?<3`9h57>5$53e>6c23g>:i7?n;:k0g=<72->:j7=j5:l75`<6j21b?n850;&75c<4m<1e87c:>e;3f?>o4k:0;6):>f;1f1>h39l0:j65f3b094?"39o08i85a40g965=k:;o62a?4532c8nk4?:%62b?5b=2d?=h4=3:9j7gc=83.?=k4lk:18'04`=;l?0b9?j:378?l5bk3:1(9?i:2g6?k26m38=76g!26n39n96`;1d813>=n;lk1<7*;1g80a0=i<8o1>554i2g:>5<#<8l1?h;4n53f>7?<3`9n47>5$53e>6c23g>:i7:j7=j5:l75`<5j21b?ij50;&75c<4m<1e87c:>e;0f?>o4jj0;6):>f;1f1>h39l09j65f11`94?"39o0:=n9931<7*;1g824d=i<8o1=65f11:94?"39o0:=n99=1<7*;1g824d=i<8o1?65f16;94?"39o0:;55a40g94>=n9>=1<7*;1g823==i<8o1=65f16494?"39o0:;55a40g96>=n9>?1<7*;1g823==i<8o1?65f16694?"39o0:;55a40g90>=n9>91<7*;1g823==i<8o1965f16094?"39o0:;55a40g92>=n9>;1<7*;1g823==i<8o1;65f17d94?"39o0:;55a40g9<>=n9?o1<7*;1g823==i<8o1565f17f94?"39o0:;55a40g9e>=n9?i1<7*;1g823==i<8o1n65f17`94?"39o0:;55a40g9g>=n9?k1<7*;1g823==i<8o1h65f17;94?"39o0:;55a40g9a>=n9?21<7*;1g823==i<8o1j65f17594?"39o0:;55a40g955=4?:%62b?7002d?=h4>3:9j534=83.?=k4>799m04c=9=10e<8>:18'04`=9>20b9?j:078?l7183:1(9?i:05;?k26m3;=76g>5g83>!26n3;<46`;1d823>=n95<#<8l1=:64n53f>4?<3`;>o7>5$53e>41?3g>:i7?n;:k21g<72->:j7?88:l75`<6j21b=8750;&75c<6?11e8e;3f?>o6=?0;6):>f;34<>h39l0:j65f14794?"39o0:;55a40g965=799m04c=:=10e<;?:18'04`=9>20b9?j:378?l70n3:1(9?i:05;?k26m38=76g>7d83>!26n3;<46`;1d813>=n9>n1<7*;1g823==i<8o1>554i05`>5<#<8l1=:64n53f>7?<3`;5$53e>41?3g>:i7:j7?88:l75`<5j21b=:>50;&75c<6?11e8e;0f?>o6f;34<>h39l09j65`19f94?"39o0:4n5a40g94>=h91h1<7*;1g82=h9131<7*;1g82=n:k;1<7*;1g81f7=i<8o1=65f2c294?"39o09n?5a40g96>=n:hl1<7*;1g81f7=i<8o1?65f2`g94?"39o09n?5a40g90>=n9;o1<7*;1g826a=i<8o1<65f13a94?"39o0:>i5a40g95>=n9;h1<7*;1g826a=i<8o1>65f13c94?"39o0:>i5a40g97>=n9;31<7*;1g826a=i<8o1865f13:94?"39o0:>i5a40g91>=n9;=1<7*;1g826a=i<8o1:65f13494?"39o0:>i5a40g93>=n9:=1<7*;1g826a=i<8o1465f12494?"39o0:>i5a40g9=>=n9:?1<7*;1g826a=i<8o1m65f12694?"39o0:>i5a40g9f>=n9:91<7*;1g826a=i<8o1o65f12094?"39o0:>i5a40g9`>=n9:;1<7*;1g826a=i<8o1i65f12294?"39o0:>i5a40g9b>=n9;l1<7*;1g826a=i<8o1==54i006>5<#<8l1=?j4n53f>47<3`;:57>5$53e>47?3g>:i7>4;h323?6=,=;m65$53e>47?3g>:i7<4;h321?6=,=;m65$53e>47?3g>:i7:4;h327?6=,=;m67>5$53e>47?3g>:i784;h325?6=,=;m67>5$53e>47?3g>:i764;h315?6=,=;m65$53e>47?3g>:i7o4;h32b?6=,=;m65$53e>47?3g>:i7m4;h32`?6=,=;m65$53e>47?3g>:i7k4;h32f?6=,=;m65$53e>47?3g>:i7??;:k255<72->:j7?>8:l75`<6921d=9?50;&75c<6<91e8419m04c=921d=>k50;&75c<6<91e8419m04c=;21d=>m50;&75c<6<91e8419m04c==21d=>o50;&75c<6<91e8419m04c=?21d=9o50;&75c<6<91e8419m04c=121d=9650;&75c<6<91e8419m04c=j21d=9850;&75c<6<91e8419m04c=l21d=9:50;&75c<6<91e8419m04c=n21d=9<50;&75c<6<91e8e;28?l7e=3:1(9?i:0`5?k26m3;07d?m4;29 17a28h=7c:>e;08?l7e;3:1(9?i:0`5?k26m3907d?m2;29 17a28h=7c:>e;68?l7e83:1(9?i:0`5?k26m3?07d?nf;29 17a28h=7c:>e;48?l7fm3:1(9?i:0`5?k26m3=07d?nd;29 17a28h=7c:>e;:8?l7fk3:1(9?i:0`5?k26m3307d?nb;29 17a28h=7c:>e;c8?l7fi3:1(9?i:0`5?k26m3h07d?n9;29 17a28h=7c:>e;a8?l7f03:1(9?i:0`5?k26m3n07d?n7;29 17a28h=7c:>e;g8?l7en3:1(9?i:0`5?k26m3l07d?me;29 17a28h=7c:>e;33?>o6jm0;6):>f;3a2>h39l0:=65f1ca94?"39o0:n;5a40g957=2d?=h4>5:9j5g>=83.?=k4>b79m04c=9?10e:18'04`=9k<0b9?j:058?l7f>3:1(9?i:0`5?k26m3;376a!26n39i<6`;1d83?>i4io0;6):>f;1a4>h39l0:76a!26n39i<6`;1d81?>i4im0;6):>f;1a4>h39l0876a!26n39i<6`;1d87?>i4ik0;6):>f;1a4>h39l0>76a!26n39i<6`;1d85?>i4i00;6):>f;1a4>h39l0<76a!26n39i<6`;1d8;?>i4i?0;6):>f;1a4>h39l0276a!26n39i<6`;1d8b?>i4i=0;6):>f;1a4>h39l0i76a!26n39i<6`;1d8`?>i4i;0;6):>f;1a4>h39l0o76a!26n39i<6`;1d8f?>i4i90;6):>f;1a4>h39l0m76a<9g83>!26n39i<6`;1d824>=h;0o1<7*;1g80f5=i<8o1=<54o2;`>5<#<8l1?o>4n53f>44<3f92n7>5$53e>6d73g>:i7?<;:m0=d<72->:j7=m0:l75`<6<21d?4750;&75c<4j91e8e;34?>i41?0;6):>f;1a4>h39l0:465`38794?"39o08n=5a40g95<=l?;o62a?7e32e85<4?:%62b?5e82d?=h4>c:9l7<6=83.?=k46i:18'04`=;k:0b9?j:0g8?j5?m3:1(9?i:2`3?k26m3;m76a<8e83>!26n39i<6`;1d814>=h;1i1<7*;1g80f5=i<8o1><54o2:a>5<#<8l1?o>4n53f>74<3f93m7>5$53e>6d73g>:i7<<;:m0<<<72->:j7=m0:l75`<5<21d?5650;&75c<4j91e8e;04?>i4j<0;6):>f;1a4>h39l09465`3c694?"39o08n=5a40g96<=l?;o62a?4e32e8m54?:%62b?5e82d?=h4=c:9l77=:18'04`=;k:0b9?j:3g8?j5??3:1(9?i:2`3?k26m38m76gnf;29 17a2ho0b9?j:198mdb=83.?=k4ne:l75`<632cjo7>5$53e>dcofi3:1(9?i:`g8j17b2=10el750;&75c3=h39l0<76gn6;29 17a2ho0b9?j:998md3=83.?=k4ne:l75`<>32cj?7>5$53e>dcof93:1(9?i:`g8j17b2j10el>50;&75c`=h39l0m76g6d;29 17a2ho0b9?j:028?l?d290/82:9j=d<72->:j7oj;o62a?7432ci;7>5$53e>dc5<#<8l1mh5a40g950=h39l0::65fb583>!26n3kn7c:>e;34?>oe;3:1(9?i:`g8j17b28207dl=:18'04`=il1e8:i7?m;:kb0?6=,=;m6lk4n53f>4e<3`326=4+40d9e`=i<8o1=i54o534>5<#<8l18<84n53f>5=6=4+40d90405<#<8l18<84n53f>7=54o531>5<#<8l18<84n53f>1=5<#<8l18<84n53f>3=5<#<8l18<84n53f>==5<#<8l18<84n53f>d=5<#<8l18<84n53f>f=5<#<8l18<84n53f>`=5<#<8l18<84n53f>46<3f>;87>5$53e>1713g>:i7?>;:m747<72->:j7:>6:l75`<6:21d8=?50;&75c<39?1e807b=if;29 17a2=;=7c:>e;36?>i4nl0;6):>f;622>h39l0::65`3gf94?"39o0?=;5a40g952=32e8jl4?:%62b?26>2d?=h4>a:9l7c?=83.?=k4;179m04c=9k10c>h8:18'04`=<8<0b9?j:0a8?j5a>3:1(9?i:535?k26m3;o76a!26n3>::6`;1d82a>=h;o>1<7*;1g8753=i<8o1=k54o2d0>5<#<8l18<84n53f>76<3f9m>7>5$53e>1713g>:i7<>;:m0b4<72->:j7:>6:l75`<5:21d?k>50;&75c<39?1e807b=je;29 17a2=;=7c:>e;06?>i39m0;6):>f;622>h39l09:65`40a94?"39o0?=;5a40g962=32e?=44?:%62b?26>2d?=h4=a:9l04>=83.?=k4;179m04c=:k10c9>j:18'04`=<8<0b9?j:3a8?j27;3:1(9?i:535?k26m38o76a!26n3>::6`;1d81a>=h;ln1<7*;1g8753=i<8o1>k54ibd94?"39o0hi6`;1d83?>odl3:1(9?i:bg8j17b2810enm50;&75c6=h39l0?76gl9;29 17a2jo0b9?j:498mf>=83.?=k4le:l75`<132ch;7>5$53e>fcod=3:1(9?i:bg8j17b2010en=50;&75cg=h39l0h76gl0;29 17a2jo0b9?j:e98mg`=83.?=k4le:l75`5$53e>fc=njj0;6):>f;af?k26m3;:76gmb;29 17a2jo0b9?j:008?ldf290/84:9j`3<72->:j7mj;o62a?7232co97>5$53e>fc5<#<8l1oh5a40g952=h39l0:465fd383>!26n3in7c:>e;3:?>oc93:1(9?i:bg8j17b28k07dj?:18'04`=kl1e8:i7?k;:k2<2<72->:j7?76:l75`<732c:484?:%62b?7?>2d?=h4>;:k2<1<72->:j7?76:l75`<532wi98=50;3b5?6=8r.??l4=959K01?<@=9o7W?;c;3301=0:03>76l:95911<6n32:6:j51b867?1e28h18h470;71>x"40:0::8k4nc:95>h68?0:7c??c;28j46c281/==k53648 46a2;2h7c?=4;38j42e281/=9j529a8j4>4281e=5651:l2e6<63g82?7?4n3`7>4=#:kl1>l:4n3a3>4=i;181<6*n?4$563>6123->?=7=89:m0fd<722c?>l4?::k2=6<722c:5<4?::m1g6<722c:584?::k76`<722c9o?4?::k2=1<722c?>o4?::k2=f<722e:4<4?::k763<722c:5;4?::m77g<722e8no4?::k76=<722c?>:4?::k2==<722c?>n4?::k2=7<722c:5=4?::k2=c<722c:4k4?::k76<<722e8494?::k2=2<722c:5o4?::k2<7<722c:4=4?::k76a<722c:5l4?::k76c<722eni7>5$53e>`bibj3:1(9?i:df8j17b2;10cho50;&75c1=h39l0>76aj7;29 17a2ln0b9?j:798k`0=83.?=k4jd:l75`<032en97>5$53e>`bib:3:1(9?i:df8j17b2h10ch?50;&75cf=h39l0o76ake;29 17a2ln0b9?j:d98kab=83.?=k4jd:l75`5$53e>`b4;nfa>5<#<8l1ii5a40g954=h39l0:>65`d883>!26n3oo7c:>e;30?>ia>3:1(9?i:df8j17b28>07bh::18'04`=mm1e821dj>4?:%62b?cc3g>:i7?8;:me6?6=,=;m6hj4n53f>4><3fl:6=4+40d9aa=i<8o1=454og294?"39o0nh6`;1d82e>=hmo0;6):>f;gg?k26m3;i76aj3;29 17a2ln0b9?j:0a8?jb?290/86>:18'04`=;1:0b9?j:198m61a290/89j:18'04`=;1:0b9?j:398m61c290/89l:18'04`=;1:0b9?j:598m61e290/89n:18'04`=;1:0b9?j:798m6c1290/8k;:18'04`=;l?0b9?j:098m6c4290/8k=:18'04`=;l?0b9?j:298m6c6290/8k?:18'04`=;l?0b9?j:498m6ba290/8jj:18'04`=;l?0b9?j:698m6bd290/8jm:18'04`=;l?0b9?j:898m6bf290/8j6:18'04`=;l?0b9?j:c98m6b?290/8j8:18'04`=;l?0b9?j:e98m6b1290/8j::18'04`=;l?0b9?j:g98m6b3290/87c:>e;32?>o4l80;6):>f;1f1>h39l0:>65f3e294?"39o08i85a40g956=k:;o62a?7232c8oi4?:%62b?5b=2d?=h4>6:9j7fe=83.?=k410e>mm:18'04`=;l?0b9?j:0:8?l5di3:1(9?i:2g6?k26m3;276g!26n39n96`;1d82e>=n;j21<7*;1g80a0=i<8o1=o54i2a5>5<#<8l1?h;4n53f>4e<3`9h97>5$53e>6c23g>:i7?k;:k0g1<72->:j7=j5:l75`<6m21b?n=50;&75c<4m<1e87c:>e;02?>o4k90;6):>f;1f1>h39l09>65f3cd94?"39o08i85a40g966=k:;o62a?4232c8in4?:%62b?5b=2d?=h4=6:9j7`d=83.?=k410e>kn:18'04`=;l?0b9?j:3:8?l5b13:1(9?i:2g6?k26m38276g!26n39n96`;1d81e>=n;l=1<7*;1g80a0=i<8o1>o54i2fg>5<#<8l1?h;4n53f>7e<3`9o>7>5$53e>6c23g>:i7:j7=j5:l75`<5m21b?om50;&75c<4m<1e86:18'04`=99k0b9?j:098m46?290/88:18'04`=99k0b9?j:298m41>290/820b9?j:098m411290/820b9?j:298m413290/820b9?j:498m415290/8:18'04`=9>20b9?j:698m40a290/820b9?j:898m40c290/820b9?j:c98m40e290/820b9?j:e98m40>290/820b9?j:g98m400290/8e;32?>o6>=0;6):>f;34<>h39l0:>65f17194?"39o0:;55a40g956=6:9j50`=83.?=k4>799m04c=9>10e<;j:18'04`=9>20b9?j:0:8?l72l3:1(9?i:05;?k26m3;276g>5b83>!26n3;<46`;1d82e>=n95<#<8l1=:64n53f>4e<3`;>47>5$53e>41?3g>:i7?k;:k212<72->:j7?88:l75`<6m21b=8850;&75c<6?11e8e;02?>o6=:0;6):>f;34<>h39l09>65f14094?"39o0:;55a40g966=799m04c=:>10e<9k:18'04`=9>20b9?j:3:8?l70k3:1(9?i:05;?k26m38276g>7c83>!26n3;<46`;1d81e>=n9>k1<7*;1g823==i<8o1>o54i053>5<#<8l1=:64n53f>7e<3`;=97>5$53e>41?3g>:i7:j7?88:l75`<5m21b=9h50;&75c<6?11e8c290/8f290/8:18'04`=:k80b9?j:098m7d7290/810e<=8:18'04`=9;n0b9?j:998m451290/8:18'04`=9;n0b9?j:d98m457290/81883>!26n3;:46`;1d83?>o69>0;6):>f;32<>h39l0:76g>1783>!26n3;:46`;1d81?>o69<0;6):>f;32<>h39l0876g>1583>!26n3;:46`;1d87?>o69:0;6):>f;32<>h39l0>76g>1383>!26n3;:46`;1d85?>o6980;6):>f;32<>h39l0<76g>2383>!26n3;:46`;1d8;?>o6:80;6):>f;32<>h39l0276g>2183>!26n3;:46`;1d8b?>o69o0;6):>f;32<>h39l0i76g>1d83>!26n3;:46`;1d8`?>o69m0;6):>f;32<>h39l0o76g>1b83>!26n3;:46`;1d8f?>o69k0;6):>f;32<>h39l0m76g>1`83>!26n3;:46`;1d824>=n98:1<7*;1g825==i<8o1=<54o062>5<#<8l1=9>4n53f>5=5<#<8l1=9>4n53f>7=54o01`>5<#<8l1=9>4n53f>1=5<#<8l1=9>4n53f>3=5<#<8l1=9>4n53f>==26=4+40d95165<#<8l1=9>4n53f>d=<6=4+40d95165<#<8l1=9>4n53f>f=>6=4+40d95165<#<8l1=9>4n53f>`=86=4+40d95165<#<8l1=9>4n53f>46<3f;847>5$53e>4273g>:i7?>;:k2f2<72->:j7?m6:l75`<732c:n84?:%62b?7e>2d?=h4>;:k2f1<72->:j7?m6:l75`<532c:n>4?:%62b?7e>2d?=h4<;:k2f7<72->:j7?m6:l75`<332c:n=4?:%62b?7e>2d?=h4:;:k2ec<72->:j7?m6:l75`<132c:mh4?:%62b?7e>2d?=h48;:k2ea<72->:j7?m6:l75`2d?=h46;:k2eg<72->:j7?m6:l75`2d?=h4m;:k2e<<72->:j7?m6:l75`2d?=h4k;:k2e2<72->:j7?m6:l75`2d?=h4i;:k2f`<72->:j7?m6:l75`<6821b=oj50;&75c<6j?1e8e;30?>o6jh0;6):>f;3a2>h39l0:865f1c;94?"39o0:n;5a40g950=2d?=h4>8:9l7g7=83.?=k421d?l750;&75c<4j91e850;&75c<4j91e87j:18'04`=;k:0b9?j:038?j5>k3:1(9?i:2`3?k26m3;976a<9c83>!26n39i<6`;1d827>=h;0k1<7*;1g80f5=i<8o1=954o2;:>5<#<8l1?o>4n53f>43<3f9247>5$53e>6d73g>:i7?9;:m0=2<72->:j7=m0:l75`<6?21d?4850;&75c<4j91e8e;3b?>i41:0;6):>f;1a4>h39l0:n65`38394?"39o08n=5a40g95f=l?;o62a?7b32e84h4?:%62b?5e82d?=h4>f:9l7=b=83.?=k46l:18'04`=;k:0b9?j:338?j5?j3:1(9?i:2`3?k26m38976a<8`83>!26n39i<6`;1d817>=h;131<7*;1g80f5=i<8o1>954o2:;>5<#<8l1?o>4n53f>73<3f9i;7>5$53e>6d73g>:i7<9;:m0f3<72->:j7=m0:l75`<5?21d?o;50;&75c<4j91e8e;0b?>i4j;0;6):>f;1a4>h39l09n65`3`:94?"39o08n=5a40g96f=l?;o62a?4b32e84:4?:%62b?5e82d?=h4=f:9jec<72->:j7oj;o62a?6<3`ko6=4+40d9e`=i<8o1=65fab83>!26n3kn7c:>e;08?lge290/8:i7:4;hc:>5<#<8l1mh5a40g91>=ni10;6):>f;cf?k26m3<07do8:18'04`=il1e8:j7oj;o62a?><3`k>6=4+40d9e`=i<8o1565fa283>!26n3kn7c:>e;c8?lg5290/8:i7m4;hc3>5<#<8l1mh5a40g9`>=n1o0;6):>f;cf?k26m3o07d7j:18'04`=il1e8:j7oj;o62a?7732c2o7>5$53e>dc5<#<8l1mh5a40g957=h39l0:?65fb683>!26n3kn7c:>e;37?>oe>3:1(9?i:`g8j17b28?07dl::18'04`=il1e84?:%62b?gb3g>:i7?7;:ka6?6=,=;m6lk4n53f>4?<3`h:6=4+40d9e`=i<8o1=l54ic294?"39o0ji6`;1d82f>=ni=0;6):>f;cf?k26m3;h76g69;29 17a2ho0b9?j:0f8?j26?3:1(9?i:535?k26m3:07b:>5;29 17a2=;=7c:>e;38?j26<3:1(9?i:535?k26m3807b:>3;29 17a2=;=7c:>e;18?j26:3:1(9?i:535?k26m3>07b:>1;29 17a2=;=7c:>e;78?j2683:1(9?i:535?k26m3<07b:?f;29 17a2=;=7c:>e;58?j27l3:1(9?i:535?k26m3207b:?c;29 17a2=;=7c:>e;;8?j27j3:1(9?i:535?k26m3k07b:?a;29 17a2=;=7c:>e;`8?j2713:1(9?i:535?k26m3i07b:?8;29 17a2=;=7c:>e;f8?j27?3:1(9?i:535?k26m3o07b:?6;29 17a2=;=7c:>e;d8?j27=3:1(9?i:535?k26m3;;76a;0583>!26n3>::6`;1d825>=h<981<7*;1g8753=i<8o1=?54o522>5<#<8l18<84n53f>45<3f>;<7>5$53e>1713g>:i7?;;:m0bc<72->:j7:>6:l75`<6=21d?kk50;&75c<39?1e8e;3;?>i4nk0;6):>f;622>h39l0:565`3gc94?"39o0?=;5a40g95d=2d?=h4>d:9l7c3=83.?=k4;179m04c=9l10c>h;:18'04`=<8<0b9?j:0d8?j5a;3:1(9?i:535?k26m38;76a!26n3>::6`;1d815>=h;o;1<7*;1g8753=i<8o1>?54o2d3>5<#<8l18<84n53f>75<3f9nj7>5$53e>1713g>:i7<;;:m0a`<72->:j7:>6:l75`<5=21d8b;29 17a2=;=7c:>e;0;?>i39h0;6):>f;622>h39l09565`40;94?"39o0?=;5a40g96d=4?:%62b?26>2d?=h4=d:9l7c>=83.?=k4;179m04c=:l10c>kk:18'04`=<8<0b9?j:3d8?lea290/8:i7?4;ha`>5<#<8l1oh5a40g96>=nkk0;6):>f;af?k26m3907dmn:18'04`=kl1e8:j7mj;o62a?3<3`i36=4+40d9g`=i<8o1:65fc683>!26n3in7c:>e;58?le1290/8:i774;ha0>5<#<8l1oh5a40g9e>=nk;0;6):>f;af?k26m3h07dm>:18'04`=kl1e8:j7mj;o62a?b<3`hm6=4+40d9g`=i<8o1i65fbd83>!26n3in7c:>e;d8?ldc290/81:9jfg<72->:j7mj;o62a?7532cim7>5$53e>fc5<#<8l1oh5a40g951=h39l0:965fd483>!26n3in7c:>e;35?>oc<3:1(9?i:bg8j17b28=07dj<:18'04`=kl1e8:i7?n;:kg4?6=,=;m6nk4n53f>4d<3`i?6=4+40d9g`=i<8o1=n54ic;94?"39o0hi6`;1d82`>=n91=1<7*;1g82<3=i<8o1<65f19794?"39o0:4;5a40g95>=n91>1<7*;1g82<3=i<8o1>65rb477>5<6i80;6=u+42c96<2<@=>27E:02<>1=k471;5g>4e==:06113-;;j7<7c:l261<63g;?n7?4$06g>7>d3g;3?7?4n0:;>4=i9h91=6`=9282?k4e<3;0(?li:3c7?k4d83;0b>6=:19'7g?==2d?><4>;%606?4en2.??>41<75f43`94?=n90i1<75`19394?=n<;<1<75f18494?=h<:h1<75`3c`94?=n<;21<75f43594?=n9021<75f43a94?=n9081<75f18294?=n90l1<75f19d94?=n<;31<75`39694?=n90=1<75f18`94?=n9181<75f19294?=n<;n1<75f18c94?=n<;l1<75`ed83>!26n3oo7c:>e;28?jcd290/8:i7<4;ngb>5<#<8l1ii5a40g97>=hm00;6):>f;gg?k26m3>07bk7:18'04`=mm1e8:j7kk;o62a?0<3fo=6=4+40d9aa=i<8o1;65`e483>!26n3oo7c:>e;:8?jc3290/8:i7o4;ng2>5<#<8l1ii5a40g9f>=hm90;6):>f;gg?k26m3i07bji:18'04`=mm1e8:j7kk;o62a?c<3fno6=4+40d9aa=i<8o1j65`db83>!26n3oo7c:>e;33?>icj3:1(9?i:df8j17b28;07bjn:18'04`=mm1e8:i7?;;:me1?6=,=;m6hj4n53f>43<3fl?6=4+40d9aa=i<8o1=;54og194?"39o0nh6`;1d823>=hn;0;6):>f;gg?k26m3;376ai1;29 17a2ln0b9?j:0;8?j`7290/8b:9la6<72->:j7kk;o62a?7d32eo47>5$53e>`b6?;o62a?6<3`95$53e>6>73g>:i7?4;h14a?6=,=;m6>6?;o62a?4<3`95$53e>6>73g>:i7=4;h14g?6=,=;m6>6?;o62a?2<3`95$53e>6>73g>:i7;4;h14e?6=,=;m6>6?;o62a?0<3`9n:7>5$53e>6c23g>:i7>4;h1f0?6=,=;m6>k:;o62a?7<3`9n?7>5$53e>6c23g>:i7<4;h1f6?6=,=;m6>k:;o62a?5<3`9n=7>5$53e>6c23g>:i7:4;h1f4?6=,=;m6>k:;o62a?3<3`9oj7>5$53e>6c23g>:i784;h1ga?6=,=;m6>k:;o62a?1<3`9oo7>5$53e>6c23g>:i764;h1gf?6=,=;m6>k:;o62a??<3`9om7>5$53e>6c23g>:i7o4;h1g=?6=,=;m6>k:;o62a?d<3`9o47>5$53e>6c23g>:i7m4;h1g3?6=,=;m6>k:;o62a?b<3`9o:7>5$53e>6c23g>:i7k4;h1g1?6=,=;m6>k:;o62a?`<3`9o87>5$53e>6c23g>:i7??;:k0`6<72->:j7=j5:l75`<6921b?i?50;&75c<4m<1e87c:>e;37?>o4kl0;6):>f;1f1>h39l0:965f3bf94?"39o08i85a40g953=k:;o62a?7?32c8ol4?:%62b?5b=2d?=h4>9:9j7f?=83.?=k4m7:18'04`=;l?0b9?j:0`8?l5d>3:1(9?i:2g6?k26m3;h76g!26n39n96`;1d82`>=n;j>1<7*;1g80a0=i<8o1=h54i2a0>5<#<8l1?h;4n53f>4`<3`9h>7>5$53e>6c23g>:i7:j7=j5:l75`<5921b?n>50;&75c<4m<1e87c:>e;07?>o4jm0;6):>f;1f1>h39l09965f3da94?"39o08i85a40g963=k:;o62a?4?32c8i44?:%62b?5b=2d?=h4=9:9j7`>=83.?=k4k8:18'04`=;l?0b9?j:3`8?l5cl3:1(9?i:2g6?k26m38h76g!26n39n96`;1d81`>=n;j=1<7*;1g80a0=i<8o1>h54i2``>5<#<8l1?h;4n53f>7`<3`;;n7>5$53e>46f3g>:i7>4;h33=?6=,=;m6<>n;o62a?7<3`;;47>5$53e>46f3g>:i7<4;h333?6=,=;m6<>n;o62a?5<3`;<57>5$53e>41?3g>:i7>4;h343?6=,=;m6<97;o62a?7<3`;<:7>5$53e>41?3g>:i7<4;h341?6=,=;m6<97;o62a?5<3`;<87>5$53e>41?3g>:i7:4;h347?6=,=;m6<97;o62a?3<3`;<>7>5$53e>41?3g>:i784;h345?6=,=;m6<97;o62a?1<3`;=j7>5$53e>41?3g>:i764;h35a?6=,=;m6<97;o62a??<3`;=h7>5$53e>41?3g>:i7o4;h35g?6=,=;m6<97;o62a?d<3`;=n7>5$53e>41?3g>:i7m4;h35e?6=,=;m6<97;o62a?b<3`;=57>5$53e>41?3g>:i7k4;h355$53e>41?3g>:i7??;:k223<72->:j7?88:l75`<6921b=;:50;&75c<6?11e8e;37?>o6>80;6):>f;34<>h39l0:965f17294?"39o0:;55a40g953=9:9j50e=83.?=k4>799m04c=9h10e<;m:18'04`=9>20b9?j:0`8?l7213:1(9?i:05;?k26m3;h76g>5983>!26n3;<46`;1d82`>=n9<=1<7*;1g823==i<8o1=h54i075>5<#<8l1=:64n53f>4`<3`;>97>5$53e>41?3g>:i7:j7?88:l75`<5921b=8=50;&75c<6?11e8e;07?>o6=90;6):>f;34<>h39l09965f16d94?"39o0:;55a40g963=799m04c=:h10e<9n:18'04`=9>20b9?j:3`8?l7083:1(9?i:05;?k26m38h76g>6483>!26n3;<46`;1d81`>=n9h54i06e>5<#<8l1=:64n53f>7`<3f;3h7>5$53e>4>d3g>:i7>4;n3;f?6=,=;m6<6l;o62a?7<3f;3m7>5$53e>4>d3g>:i7<4;n3;=?6=,=;m6<6l;o62a?5<3`8i?7>5$53e>7d53g>:i7>4;h0a5?6=,=;m6?l=;o62a?7<3`8i<7>5$53e>7d53g>:i7<4;h0bb?6=,=;m6?l=;o62a?5<3`8ji7>5$53e>7d53g>:i7:4;h31a?6=,=;m6<5$53e>44c3g>:i7?4;h31f?6=,=;m6<5$53e>44c3g>:i7=4;h31=?6=,=;m6<5$53e>44c3g>:i7;4;h313?6=,=;m6<5$53e>44c3g>:i794;h303?6=,=;m6<<3`;8:7>5$53e>44c3g>:i774;h301?6=,=;m6<5$53e>44c3g>:i7l4;h307?6=,=;m6<7>5$53e>44c3g>:i7j4;h305?6=,=;m6<5$53e>44c3g>:i7h4;h31b?6=,=;m6<84?:%62b?75l2d?=h4>1:9j54?=83.?=k4>199m04c=821b=<950;&75c<6911e8199m04c=:21b=<;50;&75c<6911e8199m04c=<21b=<=50;&75c<6911e8199m04c=>21b=199m04c=021b=??50;&75c<6911e8199m04c=i21b=199m04c=k21b=199m04c=m21b=199m04c=9910e;7c:>e;38?j74m3:1(9?i:063?k26m3807b?;7c:>e;18?j74k3:1(9?i:063?k26m3>07b?;7c:>e;78?j74i3:1(9?i:063?k26m3<07b?<9;29 17a28>;7c:>e;58?j73i3:1(9?i:063?k26m3207b?;9;29 17a28>;7c:>e;;8?j7303:1(9?i:063?k26m3k07b?;7;29 17a28>;7c:>e;`8?j73>3:1(9?i:063?k26m3i07b?;5;29 17a28>;7c:>e;f8?j73<3:1(9?i:063?k26m3o07b?;3;29 17a28>;7c:>e;d8?j73:3:1(9?i:063?k26m3;;76a>3983>!26n3;?<6`;1d825>=n9k=1<7*;1g82f3=i<8o1<65f1c794?"39o0:n;5a40g95>=n9k>1<7*;1g82f3=i<8o1>65f1c194?"39o0:n;5a40g97>=n9k81<7*;1g82f3=i<8o1865f1c294?"39o0:n;5a40g91>=n9hl1<7*;1g82f3=i<8o1:65f1`g94?"39o0:n;5a40g93>=n9hn1<7*;1g82f3=i<8o1465f1`a94?"39o0:n;5a40g9=>=n9hh1<7*;1g82f3=i<8o1m65f1`c94?"39o0:n;5a40g9f>=n9h31<7*;1g82f3=i<8o1o65f1`:94?"39o0:n;5a40g9`>=n9h=1<7*;1g82f3=i<8o1i65f1cd94?"39o0:n;5a40g9b>=n9ko1<7*;1g82f3=i<8o1==54i0`g>5<#<8l1=o84n53f>47<3`;io7>5$53e>4d13g>:i7?=;:k2fg<72->:j7?m6:l75`<6;21b=oo50;&75c<6j?1e8290/8e;35?>o6j80;6):>f;3a2>h39l0:;65f1`494?"39o0:n;5a40g95==5<#<8l1?o>4n53f>4=5<#<8l1?o>4n53f>6=5<#<8l1?o>4n53f>0=5<#<8l1?o>4n53f>2=5<#<8l1?o>4n53f><=6=4+40d97g65<#<8l1?o>4n53f>g=5<#<8l1?o>4n53f>a=5<#<8l1?o>4n53f>c=4;n1:a?6=,=;m6>l?;o62a?7632e85n4?:%62b?5e82d?=h4>2:9l77n:18'04`=;k:0b9?j:068?j5>13:1(9?i:2`3?k26m3;>76a<9983>!26n39i<6`;1d822>=h;0=1<7*;1g80f5=i<8o1=:54o2;5>5<#<8l1?o>4n53f>4><3f9297>5$53e>6d73g>:i7?6;:m0=1<72->:j7=m0:l75`<6i21d?4=50;&75c<4j91e8e;3g?>i40o0;6):>f;1a4>h39l0:i65`39g94?"39o08n=5a40g95c=4;n1;g?6=,=;m6>l?;o62a?4632e84o4?:%62b?5e82d?=h4=2:9l7=g=83.?=k466:18'04`=;k:0b9?j:368?j5?03:1(9?i:2`3?k26m38>76a!26n39i<6`;1d812>=h;k<1<7*;1g80f5=i<8o1>:54o2`6>5<#<8l1?o>4n53f>7><3f9i87>5$53e>6d73g>:i7<6;:m0f6<72->:j7=m0:l75`<5i21d?o<50;&75c<4j91e8e;0g?>i41;0;6):>f;1a4>h39l09i65`39594?"39o08n=5a40g96c=h39l0;76gnd;29 17a2ho0b9?j:098mde=83.?=k4ne:l75`<532cjn7>5$53e>dc54i`c94?"39o0ji6`;1d87?>of13:1(9?i:`g8j17b2<10el650;&75c2=h39l0376gn5;29 17a2ho0b9?j:898md5=83.?=k4ne:l75`7>5$53e>dcof83:1(9?i:`g8j17b2m10e4h50;&75cc=h39l0:<65f9b83>!26n3kn7c:>e;32?>o>j3:1(9?i:`g8j17b28807d7n:18'04`=il1e8:i7?:;:ka1?6=,=;m6lk4n53f>40<3`h?6=4+40d9e`=i<8o1=:54ic194?"39o0ji6`;1d82<>=nj;0;6):>f;cf?k26m3;276gm1;29 17a2ho0b9?j:0c8?ld7290/8c:9j=<<72->:j7oj;o62a?7c32e?=:4?:%62b?26>2d?=h4?;:m750<72->:j7:>6:l75`<632e?=94?:%62b?26>2d?=h4=;:m756<72->:j7:>6:l75`<432e?=?4?:%62b?26>2d?=h4;;:m754<72->:j7:>6:l75`<232e?==4?:%62b?26>2d?=h49;:m74c<72->:j7:>6:l75`<032e?2d?=h47;:m74f<72->:j7:>6:l75`<>32e?2d?=h4n;:m74d<72->:j7:>6:l75`2d?=h4l;:m74=<72->:j7:>6:l75`2d?=h4j;:m743<72->:j7:>6:l75`2d?=h4>0:9l052=83.?=k4;179m04c=9810c9>=:18'04`=<8<0b9?j:008?j2793:1(9?i:535?k26m3;876a;0183>!26n3>::6`;1d820>=h;ol1<7*;1g8753=i<8o1=854o2df>5<#<8l18<84n53f>40<3f9mh7>5$53e>1713g>:i7?8;:m0bf<72->:j7:>6:l75`<6021d?kl50;&75c<39?1e8e;3a?>i4n>0;6):>f;622>h39l0:o65`3g494?"39o0?=;5a40g95a=6=4+40d90404?:%62b?26>2d?=h4=0:9l7c4=83.?=k4;179m04c=:810c>h>:18'04`=<8<0b9?j:308?j5a83:1(9?i:535?k26m38876a!26n3>::6`;1d810>=h;lo1<7*;1g8753=i<8o1>854o53g>5<#<8l18<84n53f>70<3f>:o7>5$53e>1713g>:i7<8;:m75g<72->:j7:>6:l75`<5021d8290/88;29 17a2=;=7c:>e;0a?>i38l0;6):>f;622>h39l09o65`41194?"39o0?=;5a40g96a=5$53e>fcodk3:1(9?i:bg8j17b2;10enl50;&75c1=h39l0>76gl8;29 17a2jo0b9?j:798mf1=83.?=k4le:l75`<032ch:7>5$53e>fcod;3:1(9?i:bg8j17b2h10en<50;&75cf=h39l0o76gmf;29 17a2jo0b9?j:d98mgc=83.?=k4le:l75`5$53e>fc4;h``>5<#<8l1oh5a40g954=h39l0:>65fb`83>!26n3in7c:>e;30?>oc?3:1(9?i:bg8j17b28>07dj9:18'04`=kl1e821bh94?:%62b?eb3g>:i7?8;:kg7?6=,=;m6nk4n53f>4><3`n96=4+40d9g`=i<8o1=454ie394?"39o0hi6`;1d82e>=nl90;6):>f;af?k26m3;i76gl4;29 17a2jo0b9?j:0a8?ld>290/82290/8=4=0j03;7;;:0d9<4<0l3;h68=57c82f?2b21:19?4r$2:0>402m2di47?4n025>4=i99i1<6`>0e82?!77m39<:6*>0g811=6`>4c82?!73l383o6`>8282?k7?03;0b;%0ab?4f<2d9o=4>;o1;6?6<,:h2685a43395>"3;;09nk5+42197g?<,=9n69=6;%60b?4d92.?8=4<749'017=;>30c>ln:188m14f2900e<7<:188m4?62900c?m<:188m4?22900e962900e9<9:188m4?12900c9=m:188k6de2900e9<7:188m1402900e<77:188m14d2900e<7=:188m4?72900e<7i:188m4>a2900e9<6:188k6>32900e<78:188m4?e2900e<6=:188m4>72900e95$53e>`bibi3:1(9?i:df8j17b2:10ch750;&75c0=h39l0=76aj6;29 17a2ln0b9?j:698k`3=83.?=k4jd:l75`5$53e>`bib93:1(9?i:df8j17b2k10ch>50;&75ca=h39l0n76akd;29 17a2ln0b9?j:g98kae=83.?=k4jd:l75`<6821dho4?:%62b?cc3g>:i7?>;:mge?6=,=;m6hj4n53f>44<3fn26=4+40d9aa=i<8o1=>54og494?"39o0nh6`;1d820>=hn<0;6):>f;gg?k26m3;>76ai4;29 17a2ln0b9?j:048?j`4290/810ck<50;&75c8:9lb4<72->:j7kk;o62a?7>32em<7>5$53e>`b5<#<8l1ii5a40g95g=h39l0:o65`d983>!26n3oo7c:>e;3g?>o4080;6):>f;1;4>h39l0;76g<7g83>!26n393<6`;1d82?>o4?l0;6):>f;1;4>h39l0976g<7e83>!26n393<6`;1d80?>o4?j0;6):>f;1;4>h39l0?76g<7c83>!26n393<6`;1d86?>o4?h0;6):>f;1;4>h39l0=76g!26n39n96`;1d83?>o4m=0;6):>f;1f1>h39l0:76g!26n39n96`;1d81?>o4m;0;6):>f;1f1>h39l0876g!26n39n96`;1d87?>o4m90;6):>f;1f1>h39l0>76g!26n39n96`;1d85?>o4ll0;6):>f;1f1>h39l0<76g!26n39n96`;1d8;?>o4lk0;6):>f;1f1>h39l0276g!26n39n96`;1d8b?>o4l00;6):>f;1f1>h39l0i76g!26n39n96`;1d8`?>o4l>0;6):>f;1f1>h39l0o76g!26n39n96`;1d8f?>o4l<0;6):>f;1f1>h39l0m76g!26n39n96`;1d824>=n;m91<7*;1g80a0=i<8o1=<54i2f2>5<#<8l1?h;4n53f>44<3`9o<7>5$53e>6c23g>:i7?<;:k0gc<72->:j7=j5:l75`<6<21b?nk50;&75c<4m<1e87c:>e;34?>o4kk0;6):>f;1f1>h39l0:465f3bc94?"39o08i85a40g95<=k:;o62a?7e32c8o;4?:%62b?5b=2d?=h4>c:9j7f3=83.?=k4m;:18'04`=;l?0b9?j:0g8?l5d;3:1(9?i:2g6?k26m3;m76g!26n39n96`;1d814>=n;j;1<7*;1g80a0=i<8o1><54i2a3>5<#<8l1?h;4n53f>74<3`9ij7>5$53e>6c23g>:i7<<;:k0f`<72->:j7=j5:l75`<5<21b?oj50;&75c<4m<1e87c:>e;04?>o4mh0;6):>f;1f1>h39l09465f3d;94?"39o08i85a40g96<=k:;o62a?4e32c8hi4?:%62b?5b=2d?=h4=c:9j7a4=83.?=k4m8:18'04`=;l?0b9?j:3g8?l5ek3:1(9?i:2g6?k26m38m76g>0c83>!26n3;;m6`;1d83?>o6800;6):>f;33e>h39l0:76g>0983>!26n3;;m6`;1d81?>o68>0;6):>f;33e>h39l0876g>7883>!26n3;<46`;1d83?>o6?>0;6):>f;34<>h39l0:76g>7783>!26n3;<46`;1d81?>o6?<0;6):>f;34<>h39l0876g>7583>!26n3;<46`;1d87?>o6?:0;6):>f;34<>h39l0>76g>7383>!26n3;<46`;1d85?>o6?80;6):>f;34<>h39l0<76g>6g83>!26n3;<46`;1d8;?>o6>l0;6):>f;34<>h39l0276g>6e83>!26n3;<46`;1d8b?>o6>j0;6):>f;34<>h39l0i76g>6c83>!26n3;<46`;1d8`?>o6>h0;6):>f;34<>h39l0o76g>6883>!26n3;<46`;1d8f?>o6>10;6):>f;34<>h39l0m76g>6683>!26n3;<46`;1d824>=n9?<1<7*;1g823==i<8o1=<54i047>5<#<8l1=:64n53f>44<3`;=?7>5$53e>41?3g>:i7?<;:k227<72->:j7?88:l75`<6<21b=;?50;&75c<6?11e8e;34?>o6=l0;6):>f;34<>h39l0:465f14f94?"39o0:;55a40g95<=c:9j50>=83.?=k4>799m04c=9m10e<;8:18'04`=9>20b9?j:0g8?l72>3:1(9?i:05;?k26m3;m76g>5483>!26n3;<46`;1d814>=n9<>1<7*;1g823==i<8o1><54i070>5<#<8l1=:64n53f>74<3`;>>7>5$53e>41?3g>:i7<<;:k214<72->:j7?88:l75`<5<21b=8>50;&75c<6?11e8e;04?>o6?m0;6):>f;34<>h39l09465f16a94?"39o0:;55a40g96<=799m04c=:m10e<;n:18'04`=9>20b9?j:3g8?l73n3:1(9?i:05;?k26m38m76a>8e83>!26n3;3o6`;1d83?>i60k0;6):>f;3;g>h39l0:76a>8`83>!26n3;3o6`;1d81?>i6000;6):>f;3;g>h39l0876g=b283>!26n38i>6`;1d83?>o5j80;6):>f;0a6>h39l0:76g=b183>!26n38i>6`;1d81?>o5io0;6):>f;0a6>h39l0876g=ad83>!26n38i>6`;1d87?>o6:l0;6):>f;31`>h39l0;76g>2b83>!26n3;9h6`;1d82?>o6:k0;6):>f;31`>h39l0976g>2`83>!26n3;9h6`;1d80?>o6:00;6):>f;31`>h39l0?76g>2983>!26n3;9h6`;1d86?>o6:>0;6):>f;31`>h39l0=76g>2783>!26n3;9h6`;1d84?>o6;>0;6):>f;31`>h39l0376g>3783>!26n3;9h6`;1d8:?>o6;<0;6):>f;31`>h39l0j76g>3583>!26n3;9h6`;1d8a?>o6;:0;6):>f;31`>h39l0h76g>3383>!26n3;9h6`;1d8g?>o6;80;6):>f;31`>h39l0n76g>3183>!26n3;9h6`;1d8e?>o6:o0;6):>f;31`>h39l0:<65f13794?"39o0:>i5a40g954=5<#<8l1=<64n53f>4=5<#<8l1=<64n53f>6=5<#<8l1=<64n53f>0=5<#<8l1=<64n53f>2=5<#<8l1=<64n53f><=5<#<8l1=<64n53f>g=5<#<8l1=<64n53f>a=5<#<8l1=<64n53f>c=4;h324?6=,=;m6:j7?;0:l75`<632e:?h4?:%62b?7382d?=h4=;:m27a<72->:j7?;0:l75`<432e:?n4?:%62b?7382d?=h4;;:m27g<72->:j7?;0:l75`<232e:?l4?:%62b?7382d?=h49;:m27<<72->:j7?;0:l75`<032e:8l4?:%62b?7382d?=h47;:m20<<72->:j7?;0:l75`<>32e:854?:%62b?7382d?=h4n;:m202<72->:j7?;0:l75`:j7?;0:l75`:j7?;0:l75`0:9l56>=83.?=k4>419m04c=9810e10ebb83>!26n3;i:6`;1d826>=n9kh1<7*;1g82f3=i<8o1=>54i0`b>5<#<8l1=o84n53f>42<3`;i57>5$53e>4d13g>:i7?:;:k2f=<72->:j7?m6:l75`<6>21b=o?50;&75c<6j?1e8e;28?j5fn3:1(9?i:2`3?k26m3;07b=ne;29 17a2:h;7c:>e;08?j5fl3:1(9?i:2`3?k26m3907b=nc;29 17a2:h;7c:>e;68?j5fj3:1(9?i:2`3?k26m3?07b=na;29 17a2:h;7c:>e;48?j5f13:1(9?i:2`3?k26m3=07b=n7;29 17a2:h;7c:>e;:8?j5f>3:1(9?i:2`3?k26m3307b=n5;29 17a2:h;7c:>e;c8?j5f<3:1(9?i:2`3?k26m3h07b=n3;29 17a2:h;7c:>e;a8?j5f:3:1(9?i:2`3?k26m3n07b=n1;29 17a2:h;7c:>e;g8?j5f83:1(9?i:2`3?k26m3l07b=6f;29 17a2:h;7c:>e;33?>i41l0;6):>f;1a4>h39l0:=65`38a94?"39o08n=5a40g957=l?;o62a?7332e8544?:%62b?5e82d?=h4>5:9l7<>=83.?=k478:18'04`=;k:0b9?j:058?j5>>3:1(9?i:2`3?k26m3;376a<9483>!26n39i<6`;1d82=>=h;0>1<7*;1g80f5=i<8o1=l54o2;0>5<#<8l1?o>4n53f>4d<3f92=7>5$53e>6d73g>:i7?l;:m0=5<72->:j7=m0:l75`<6l21d?5h50;&75c<4j91e8b290/8e;03?>i40j0;6):>f;1a4>h39l09=65`39`94?"39o08n=5a40g967=l?;o62a?4332e8454?:%62b?5e82d?=h4=5:9l7g1=83.?=k4l9:18'04`=;k:0b9?j:358?j5e=3:1(9?i:2`3?k26m38376a!26n39i<6`;1d81=>=h;k91<7*;1g80f5=i<8o1>l54o2`1>5<#<8l1?o>4n53f>7d<3f9j47>5$53e>6d73g>:i7:j7=m0:l75`<5l21d?4<50;&75c<4j91e80290/8:j7oj;o62a?7<3`kh6=4+40d9e`=i<8o1>65fac83>!26n3kn7c:>e;18?lgf290/8:i7;4;hc;>5<#<8l1mh5a40g92>=ni>0;6):>f;cf?k26m3=07do9:18'04`=il1e8:j7oj;o62a??<3`k86=4+40d9e`=i<8o1m65fa383>!26n3kn7c:>e;`8?lg6290/8:i7j4;h;e>5<#<8l1mh5a40g9a>=n1l0;6):>f;cf?k26m3l07d7k:18'04`=il1e8:i7?=;:k:e?6=,=;m6lk4n53f>45<3`h<6=4+40d9e`=i<8o1=954ic494?"39o0ji6`;1d821>=nj<0;6):>f;cf?k26m3;=76gm4;29 17a2ho0b9?j:058?ld4290/89:9jf4<72->:j7oj;o62a?7f32ci<7>5$53e>dc5<#<8l1mh5a40g95f=h39l0:h65`40594?"39o0?=;5a40g94>=h<8?1<7*;1g8753=i<8o1=65`40694?"39o0?=;5a40g96>=h<891<7*;1g8753=i<8o1?65`40094?"39o0?=;5a40g90>=h<8;1<7*;1g8753=i<8o1965`40294?"39o0?=;5a40g92>=h<9l1<7*;1g8753=i<8o1;65`41f94?"39o0?=;5a40g9<>=h<9i1<7*;1g8753=i<8o1565`41`94?"39o0?=;5a40g9e>=h<9k1<7*;1g8753=i<8o1n65`41;94?"39o0?=;5a40g9g>=h<921<7*;1g8753=i<8o1h65`41594?"39o0?=;5a40g9a>=h<9<1<7*;1g8753=i<8o1j65`41794?"39o0?=;5a40g955=2d?=h4>3:9l056=83.?=k4;179m04c=9=10c>hi:18'04`=<8<0b9?j:078?j5am3:1(9?i:535?k26m3;=76a!26n3>::6`;1d823>=h;oi1<7*;1g8753=i<8o1=554o2da>5<#<8l18<84n53f>4?<3f9mm7>5$53e>1713g>:i7?n;:m0b<<72->:j7:>6:l75`<6j21d?k950;&75c<39?1e8e;3f?>i4n=0;6):>f;622>h39l0:j65`3g194?"39o0?=;5a40g965=2d?=h4=3:9l7``=83.?=k4;179m04c=:=10c>kj:18'04`=<8<0b9?j:378?j26l3:1(9?i:535?k26m38=76a;1b83>!26n3>::6`;1d813>=h<8h1<7*;1g8753=i<8o1>554o53b>5<#<8l18<84n53f>7?<3f>:57>5$53e>1713g>:i7:j7:>6:l75`<5j21d8=k50;&75c<39?1e8e;0f?>i4mm0;6):>f;622>h39l09j65fcg83>!26n3in7c:>e;28?lec290/8:i7<4;haa>5<#<8l1oh5a40g97>=nkh0;6):>f;af?k26m3>07dm6:18'04`=kl1e8:j7mj;o62a?0<3`i<6=4+40d9g`=i<8o1;65fc783>!26n3in7c:>e;:8?le2290/84?:%62b?eb3g>:i7o4;ha1>5<#<8l1oh5a40g9f>=nk80;6):>f;af?k26m3i07dm?:18'04`=kl1e8:j7mj;o62a?c<3`hn6=4+40d9g`=i<8o1j65fbe83>!26n3in7c:>e;33?>oek3:1(9?i:bg8j17b28;07dlm:18'04`=kl1e8:i7?;;:kg2?6=,=;m6nk4n53f>43<3`n>6=4+40d9g`=i<8o1=;54ie694?"39o0hi6`;1d823>=nl:0;6):>f;af?k26m3;376gk2;29 17a2jo0b9?j:0;8?lb6290/850;&75cb:9jg1<72->:j7mj;o62a?7d32ci57>5$53e>fc5$53e>4>13g>:i7?4;h3;0?6=,=;m6<69;o62a?4<3th>9;4?:0c2>5<7s->8m7<64:J70<=O<:n0V<:l:02x12c;70>2d=9k0?i76?:409y!5?;3;=9h5ab982?k77>3;0b<>l:19m55b=92.:;o3b7?7"5jo09m95a2b295>h40;0;7)=m9;78j146281/8><52cd8 1542:h27):"3;o09o<5+4529723<,=>:6>96;n1ae?6=3`>9m7>5;h3:7?6=3`;2=7>5;n0`7?6=3`;297>5;h61a?6=3`8h>7>5;h3:0?6=3`>9n7>5;h3:g?6=3f;3=7>5;h612?6=3`;2:7>5;n60f?6=3f9in7>5;h619;7>5;h3:9o7>5;h3:6?6=3`;2<7>5;h3:b?6=3`;3j7>5;h61=?6=3f9387>5;h3:3?6=3`;2n7>5;h3;6?6=3`;3<7>5;h61`?6=3`;2m7>5;h61b?6=3fon6=4+40d9aa=i<8o1<65`eb83>!26n3oo7c:>e;38?jce290/8:i7=4;ng:>5<#<8l1ii5a40g90>=hm10;6):>f;gg?k26m3?07bk8:18'04`=mm1e8:j7kk;o62a?1<3fo>6=4+40d9aa=i<8o1465`e583>!26n3oo7c:>e;;8?jc5290/8:i7l4;ng3>5<#<8l1ii5a40g9g>=hlo0;6):>f;gg?k26m3n07bjj:18'04`=mm1e8:j7kk;o62a?`<3fnh6=4+40d9aa=i<8o1==54oe`94?"39o0nh6`;1d825>=hlh0;6):>f;gg?k26m3;976ak9;29 17a2ln0b9?j:018?j`1290/85:9lb1<72->:j7kk;o62a?7132em?7>5$53e>`b5<#<8l1ii5a40g95==h39l0:565`f183>!26n3oo7c:>e;3b?>ibn3:1(9?i:df8j17b28h07bk<:18'04`=mm1e8=83.?=k4jd:l75`<6l21b?5?50;&75c<4091e850;&75c<4m<1e821b?ik50;&75c<4m<1e8=83.?=k4j<:18'04`=;l?0b9?j:038?l5c93:1(9?i:2g6?k26m3;976g!26n39n96`;1d827>=n;jl1<7*;1g80a0=i<8o1=954i2af>5<#<8l1?h;4n53f>43<3`9hh7>5$53e>6c23g>:i7?9;:k0gf<72->:j7=j5:l75`<6?21b?nl50;&75c<4m<1e87c:>e;3b?>o4k10;6):>f;1f1>h39l0:n65f3b494?"39o08i85a40g95f=6=4+40d97`3k:;o62a?7b32c8o>4?:%62b?5b=2d?=h4>f:9j7f4=83.?=k4m>:18'04`=;l?0b9?j:338?l5d83:1(9?i:2g6?k26m38976g!26n39n96`;1d817>=n;ko1<7*;1g80a0=i<8o1>954i2`g>5<#<8l1?h;4n53f>73<3`9no7>5$53e>6c23g>:i7<9;:k0ag<72->:j7=j5:l75`<5?21b?ho50;&75c<4m<1e8290/87c:>e;0b?>o4m>0;6):>f;1f1>h39l09n65f3ef94?"39o08i85a40g96f=k:;o62a?4b32c8nn4?:%62b?5b=2d?=h4=f:9j55d=83.?=k4>0`9m04c=821b==750;&75c<68h1e8=83.?=k4>0`9m04c=:21b==950;&75c<68h1e8799m04c=821b=:950;&75c<6?11e8799m04c=:21b=:;50;&75c<6?11e8799m04c=<21b=:=50;&75c<6?11e8799m04c=>21b=:?50;&75c<6?11e8799m04c=021b=;k50;&75c<6?11e8799m04c=i21b=;m50;&75c<6?11e8799m04c=k21b=;o50;&75c<6?11e8799m04c=m21b=;650;&75c<6?11e8799m04c=9910e<89:18'04`=9>20b9?j:038?l71<3:1(9?i:05;?k26m3;976g>6283>!26n3;<46`;1d827>=n9?81<7*;1g823==i<8o1=954i042>5<#<8l1=:64n53f>43<3`;=<7>5$53e>41?3g>:i7?9;:k21c<72->:j7?88:l75`<6?21b=8k50;&75c<6?11e8e;3b?>o6=k0;6):>f;34<>h39l0:n65f14;94?"39o0:;55a40g95f=f:9j503=83.?=k4>799m04c=:910e<;;:18'04`=9>20b9?j:338?l72;3:1(9?i:05;?k26m38976g>5383>!26n3;<46`;1d817>=n9<;1<7*;1g823==i<8o1>954i073>5<#<8l1=:64n53f>73<3`;5$53e>41?3g>:i7<9;:k23`<72->:j7?88:l75`<5?21b=:j50;&75c<6?11e8e;0b?>o6?h0;6):>f;34<>h39l09n65f16294?"39o0:;55a40g96f=6=4+40d952>8b9m04c=821d=5l50;&75c<60j1e88b9m04c=:21d=5750;&75c<60j1e8o?50;&75c<5j;1e8lh50;&75c<5j;1e82e9m04c=921b=?l50;&75c<6:m1e82e9m04c=;21b=?750;&75c<6:m1e8=83.?=k4>2e9m04c==21b=?950;&75c<6:m1e82e9m04c=?21b=>950;&75c<6:m1e82e9m04c=121b=>;50;&75c<6:m1e82e9m04c=j21b=>=50;&75c<6:m1e82e9m04c=l21b=>?50;&75c<6:m1e82e9m04c=n21b=?h50;&75c<6:m1e89;29 17a28;37c:>e;28?l76?3:1(9?i:03;?k26m3;07d?>6;29 17a28;37c:>e;08?l76=3:1(9?i:03;?k26m3907d?>4;29 17a28;37c:>e;68?l76;3:1(9?i:03;?k26m3?07d?>2;29 17a28;37c:>e;48?l7693:1(9?i:03;?k26m3=07d?=2;29 17a28;37c:>e;:8?l7593:1(9?i:03;?k26m3307d?=0;29 17a28;37c:>e;c8?l76n3:1(9?i:03;?k26m3h07d?>e;29 17a28;37c:>e;a8?l76l3:1(9?i:03;?k26m3n07d?>c;29 17a28;37c:>e;g8?l76j3:1(9?i:03;?k26m3l07d?>a;29 17a28;37c:>e;33?>o6990;6):>f;32<>h39l0:=65`15394?"39o0:8=5a40g94>=h9:l1<7*;1g8205=i<8o1=65`12g94?"39o0:8=5a40g96>=h9:n1<7*;1g8205=i<8o1?65`12a94?"39o0:8=5a40g90>=h9:h1<7*;1g8205=i<8o1965`12c94?"39o0:8=5a40g92>=h9:31<7*;1g8205=i<8o1;65`15c94?"39o0:8=5a40g9<>=h9=31<7*;1g8205=i<8o1565`15:94?"39o0:8=5a40g9e>=h9==1<7*;1g8205=i<8o1n65`15494?"39o0:8=5a40g9g>=h9=?1<7*;1g8205=i<8o1h65`15694?"39o0:8=5a40g9a>=h9=91<7*;1g8205=i<8o1j65`15094?"39o0:8=5a40g955=5$53e>4d13g>:i7?4;h3a0?6=,=;m65$53e>4d13g>:i7=4;h3a6?6=,=;m65$53e>4d13g>:i7;4;h3bb?6=,=;m65$53e>4d13g>:i794;h3b`?6=,=;m6<3`;jo7>5$53e>4d13g>:i774;h3bf?6=,=;m65$53e>4d13g>:i7l4;h3b=?6=,=;m65$53e>4d13g>:i7j4;h3b3?6=,=;m65$53e>4d13g>:i7h4;h3aa?6=,=;m62d?=h4>1:9j5ge=83.?=k4>b79m04c=9;10eb883>!26n3;i:6`;1d821>=n9k21<7*;1g82f3=i<8o1=;54i0`2>5<#<8l1=o84n53f>41<3`;j:7>5$53e>4d13g>:i7?7;:m0f4<72->:j7=m0:l75`<732e8mk4?:%62b?5e82d?=h4>;:m0e`<72->:j7=m0:l75`<532e8mi4?:%62b?5e82d?=h4<;:m0ef<72->:j7=m0:l75`<332e8mo4?:%62b?5e82d?=h4:;:m0ed<72->:j7=m0:l75`<132e8m44?:%62b?5e82d?=h48;:m0e2<72->:j7=m0:l75`:j7=m0:l75`:j7=m0:l75`:j7=m0:l75`:j7=m0:l75`<6821d?4k50;&75c<4j91e8e;30?>i41h0;6):>f;1a4>h39l0:865`38;94?"39o08n=5a40g950=l?;o62a?7032e85;4?:%62b?5e82d?=h4>8:9l7<3=83.?=k47;:18'04`=;k:0b9?j:0c8?j5>;3:1(9?i:2`3?k26m3;i76a<9083>!26n39i<6`;1d82g>=h;0:1<7*;1g80f5=i<8o1=i54o2:e>5<#<8l1?o>4n53f>4c<3f93i7>5$53e>6d73g>:i7?i;:m0:j7=m0:l75`<5821d?5m50;&75c<4j91e8e290/8e;00?>i4000;6):>f;1a4>h39l09865`39:94?"39o08n=5a40g960=l?;o62a?4032e8n84?:%62b?5e82d?=h4=8:9l7g2=83.?=k4l<:18'04`=;k:0b9?j:3c8?j5e:3:1(9?i:2`3?k26m38i76a!26n39i<6`;1d81g>=h;0n1<7*;1g80f5=i<8o1>i54o2;1>5<#<8l1?o>4n53f>7c<3f93;7>5$53e>6d73g>:i75=h39l0:76gnc;29 17a2ho0b9?j:398mdd=83.?=k4ne:l75`<432cjm7>5$53e>dcof03:1(9?i:`g8j17b2?10el950;&75c==h39l0276gn3;29 17a2ho0b9?j:`98md4=83.?=k4ne:l75`5$53e>dco>n3:1(9?i:`g8j17b2l10e4k50;&75c46<3`3h6=4+40d9e`=i<8o1=<54i8`94?"39o0ji6`;1d826>=n1h0;6):>f;cf?k26m3;876gm7;29 17a2ho0b9?j:068?ld1290/86:9jf1<72->:j7oj;o62a?7032ci?7>5$53e>dc5<#<8l1mh5a40g95<=h39l0:m65fb183>!26n3kn7c:>e;3a?>of<3:1(9?i:`g8j17b28i07d76:18'04`=il1e8:18'04`=<8<0b9?j:498k177290/8i:18'04`=<8<0b9?j:698k16c290/8l:18'04`=<8<0b9?j:898k16e290/8n:18'04`=<8<0b9?j:c98k16>290/87:18'04`=<8<0b9?j:e98k160290/89:18'04`=<8<0b9?j:g98k162290/8e;32?>i38;0;6):>f;622>h39l0:>65`41394?"39o0?=;5a40g956=2d?=h4>6:9l7cb=83.?=k4;179m04c=9>10c>hl:18'04`=<8<0b9?j:0:8?j5aj3:1(9?i:535?k26m3;276a!26n3>::6`;1d82e>=h;o31<7*;1g8753=i<8o1=o54o2d4>5<#<8l18<84n53f>4e<3f9m:7>5$53e>1713g>:i7?k;:m0b0<72->:j7:>6:l75`<6m21d?k:50;&75c<39?1e8e;02?>i4n80;6):>f;622>h39l09>65`3g294?"39o0?=;5a40g966=2d?=h4=6:9l04e=83.?=k4;179m04c=:>10c9?m:18'04`=<8<0b9?j:3:8?j26i3:1(9?i:535?k26m38276a;1883>!26n3>::6`;1d81e>=h<821<7*;1g8753=i<8o1>o54o52f>5<#<8l18<84n53f>7e<3f>;?7>5$53e>1713g>:i7:j7:>6:l75`<5m21d?hj50;&75c<39?1e85$53e>fcodj3:1(9?i:bg8j17b2:10eno50;&75c0=h39l0=76gl7;29 17a2jo0b9?j:698mf0=83.?=k4le:l75`5$53e>fcod:3:1(9?i:bg8j17b2k10en?50;&75ca=h39l0n76gme;29 17a2jo0b9?j:g98mgb=83.?=k4le:l75`<6821bnn4?:%62b?eb3g>:i7?>;:kaf?6=,=;m6nk4n53f>44<3`hj6=4+40d9g`=i<8o1=>54ie594?"39o0hi6`;1d820>=nl?0;6):>f;af?k26m3;>76gk5;29 17a2jo0b9?j:048?lb3290/810ei=50;&75c8:9j`7<72->:j7mj;o62a?7>32co=7>5$53e>fc5<#<8l1oh5a40g95g=1<7*;1g8`a>h39l0:o65fb883>!26n3in7c:>e;3g?>o60>0;6):>f;3;2>h39l0;76g>8483>!26n3;3:6`;1d82?>o60=0;6):>f;3;2>h39l0976sm54594?7f93:1472;:`>=1===0:j76>:6f95f<2;3=i64>64g8jg>=92d:<;4>;o33g?6i6<5+15f96=eh6i:0:7c<63;38j7d3281/>oh52`68j7e7281e?5<50:&0f<<23g>9=7?4$511>7da3->8?7=m9:&77`<3;01/8>h52b38 1272:=>7):;1;14=>i4jh0;66g;2`83>>o61:0;66g>9083>>i5k:0;66g>9483>>o3:l0;66g=c383>>o61=0;66g;2c83>>o61j0;66a>8083>>o3:?0;66g>9783>>i3;k0;66a>o3:10;66g;2683>>o6110;66g;2b83>>o61;0;66g>9183>>o61o0;66g>8g83>>o3:00;66a<8583>>o61>0;66g>9c83>>o60;0;66g>8183>>o3:m0;66g>9`83>>o3:o0;66aje;29 17a2ln0b9?j:198k`e=83.?=k4jd:l75`<632enn7>5$53e>`bib13:1(9?i:df8j17b2=10ch650;&75c3=h39l0<76aj5;29 17a2ln0b9?j:998k`2=83.?=k4jd:l75`<>32en>7>5$53e>`bib83:1(9?i:df8j17b2j10cih50;&75c`=h39l0m76akc;29 17a2ln0b9?j:028?jbe290/82:9l`<<72->:j7kk;o62a?7432em:7>5$53e>`b5<#<8l1ii5a40g950=1<7*;1g8f`>h39l0::65`f283>!26n3oo7c:>e;34?>ia:3:1(9?i:df8j17b28207bh>:18'04`=mm1e8:i7?m;:mf7?6=,=;m6hj4n53f>4e<3fn36=4+40d9aa=i<8o1=i54i2:2>5<#<8l1?5>4n53f>5=5<#<8l1?5>4n53f>7=54i25`>5<#<8l1?5>4n53f>1=5<#<8l1?5>4n53f>3=5<#<8l1?h;4n53f>4=5<#<8l1?h;4n53f>6=5<#<8l1?h;4n53f>0=5<#<8l1?h;4n53f>2=5<#<8l1?h;4n53f><=5<#<8l1?h;4n53f>g=5<#<8l1?h;4n53f>a=5<#<8l1?h;4n53f>c=4;h1g7?6=,=;m6>k:;o62a?7632c8h<4?:%62b?5b=2d?=h4>2:9j7a6=83.?=k4mi:18'04`=;l?0b9?j:068?l5dm3:1(9?i:2g6?k26m3;>76g!26n39n96`;1d822>=n;ji1<7*;1g80a0=i<8o1=:54i2aa>5<#<8l1?h;4n53f>4><3`9hm7>5$53e>6c23g>:i7?6;:k0g<<72->:j7=j5:l75`<6i21b?n650;&75c<4m<1e87c:>e;3g?>o4k=0;6):>f;1f1>h39l0:i65f3b194?"39o08i85a40g95c=4;h1`5?6=,=;m6>k:;o62a?4632c8o=4?:%62b?5b=2d?=h4=2:9j7g`=83.?=k4lj:18'04`=;l?0b9?j:368?l5el3:1(9?i:2g6?k26m38>76g!26n39n96`;1d812>=n;lh1<7*;1g80a0=i<8o1>:54i2gb>5<#<8l1?h;4n53f>7><3`9n57>5$53e>6c23g>:i7<6;:k0a=<72->:j7=j5:l75`<5i21b?h950;&75c<4m<1e87c:>e;0g?>o4k>0;6):>f;1f1>h39l09i65f3ca94?"39o08i85a40g96c=5<#<8l1==o4n53f>4=5<#<8l1==o4n53f>6=5<#<8l1=:64n53f>4=5<#<8l1=:64n53f>6=5<#<8l1=:64n53f>0=5<#<8l1=:64n53f>2=5<#<8l1=:64n53f><=5<#<8l1=:64n53f>g=5<#<8l1=:64n53f>a=5<#<8l1=:64n53f>c=4;h352?6=,=;m6<97;o62a?7632c::94?:%62b?7002d?=h4>2:9j535=83.?=k4>799m04c=9:10e<8=:18'04`=9>20b9?j:068?l7193:1(9?i:05;?k26m3;>76g>6183>!26n3;<46`;1d822>=n95<#<8l1=:64n53f>4><3`;>h7>5$53e>41?3g>:i7?6;:k21f<72->:j7?88:l75`<6i21b=8l50;&75c<6?11e8290/8e;3g?>o6=>0;6):>f;34<>h39l0:i65f14494?"39o0:;55a40g95c=6=4+40d952>4;h360?6=,=;m6<97;o62a?4632c:9>4?:%62b?7002d?=h4=2:9j504=83.?=k4>799m04c=::10e<;>:18'04`=9>20b9?j:368?l7283:1(9?i:05;?k26m38>76g>7g83>!26n3;<46`;1d812>=n9>o1<7*;1g823==i<8o1>:54i05g>5<#<8l1=:64n53f>7><3`;5$53e>41?3g>:i7<6;:k23g<72->:j7?88:l75`<5i21b=:o50;&75c<6?11e8e;0g?>o6=h0;6):>f;34<>h39l09i65f15d94?"39o0:;55a40g96c=5<#<8l1=5m4n53f>4=5<#<8l1=5m4n53f>6=5<#<8l1>o<4n53f>4=5<#<8l1>o<4n53f>6=5<#<8l1=?j4n53f>5=5<#<8l1=?j4n53f>7=54i00:>5<#<8l1=?j4n53f>1=5<#<8l1=?j4n53f>3=5<#<8l1=?j4n53f>==5<#<8l1=?j4n53f>d=5<#<8l1=?j4n53f>f=5<#<8l1=?j4n53f>`=5<#<8l1=?j4n53f>46<3`;997>5$53e>44c3g>:i7?>;:k25<<72->:j7?>8:l75`<732c:=:4?:%62b?7602d?=h4>;:k253<72->:j7?>8:l75`<532c:=84?:%62b?7602d?=h4<;:k251<72->:j7?>8:l75`<332c:=>4?:%62b?7602d?=h4:;:k257<72->:j7?>8:l75`<132c:=<4?:%62b?7602d?=h48;:k267<72->:j7?>8:l75`<4?:%62b?7602d?=h46;:k265<72->:j7?>8:l75`:j7?>8:l75`:j7?>8:l75`:j7?>8:l75`<6821b=<>50;&75c<6911e8;7c:>e;32?>o6j>0;6):>f;3a2>h39l0;76g>b483>!26n3;i:6`;1d82?>o6j=0;6):>f;3a2>h39l0976g>b283>!26n3;i:6`;1d80?>o6j;0;6):>f;3a2>h39l0?76g>b183>!26n3;i:6`;1d86?>o6io0;6):>f;3a2>h39l0=76g>ad83>!26n3;i:6`;1d84?>o6im0;6):>f;3a2>h39l0376g>ab83>!26n3;i:6`;1d8:?>o6ik0;6):>f;3a2>h39l0j76g>a`83>!26n3;i:6`;1d8a?>o6i00;6):>f;3a2>h39l0h76g>a983>!26n3;i:6`;1d8g?>o6i>0;6):>f;3a2>h39l0n76g>bg83>!26n3;i:6`;1d8e?>o6jl0;6):>f;3a2>h39l0:<65f1cf94?"39o0:n;5a40g954=2d?=h4>4:9j5g?=83.?=k4>b79m04c=9<10ea783>!26n3;i:6`;1d82<>=h;k;1<7*;1g80f5=i<8o1<65`3`d94?"39o08n=5a40g95>=h;ho1<7*;1g80f5=i<8o1>65`3`f94?"39o08n=5a40g97>=h;hi1<7*;1g80f5=i<8o1865`3``94?"39o08n=5a40g91>=h;hk1<7*;1g80f5=i<8o1:65`3`;94?"39o08n=5a40g93>=h;h=1<7*;1g80f5=i<8o1465`3`494?"39o08n=5a40g9=>=h;h?1<7*;1g80f5=i<8o1m65`3`694?"39o08n=5a40g9f>=h;h91<7*;1g80f5=i<8o1o65`3`094?"39o08n=5a40g9`>=h;h;1<7*;1g80f5=i<8o1i65`3`294?"39o08n=5a40g9b>=h;0l1<7*;1g80f5=i<8o1==54o2;f>5<#<8l1?o>4n53f>47<3f92o7>5$53e>6d73g>:i7?=;:m0=g<72->:j7=m0:l75`<6;21d?4o50;&75c<4j91e8290/8e;35?>i41>0;6):>f;1a4>h39l0:;65`38494?"39o08n=5a40g95==6=4+40d97g6l?;o62a?7f32e85>4?:%62b?5e82d?=h4>b:9l7<7=83.?=k47?:18'04`=;k:0b9?j:0f8?j5?n3:1(9?i:2`3?k26m3;n76a<8d83>!26n39i<6`;1d82b>=h;1n1<7*;1g80f5=i<8o1>=54o2:`>5<#<8l1?o>4n53f>77<3f93n7>5$53e>6d73g>:i7<=;:m0:j7=m0:l75`<5;21d?5750;&75c<4j91e8?290/8e;05?>i4j?0;6):>f;1a4>h39l09;65`3c794?"39o08n=5a40g96==l?;o62a?4f32e8n?4?:%62b?5e82d?=h4=b:9l7d>=83.?=k47k:18'04`=;k:0b9?j:3f8?j5>:3:1(9?i:2`3?k26m38n76a<8683>!26n39i<6`;1d81b>=nio0;6):>f;cf?k26m3:07dok:18'04`=il1e8:j7oj;o62a?4<3`ki6=4+40d9e`=i<8o1?65fa`83>!26n3kn7c:>e;68?lg>290/8:i784;hc4>5<#<8l1mh5a40g93>=ni?0;6):>f;cf?k26m3207do::18'04`=il1e8:j7oj;o62a?g<3`k96=4+40d9e`=i<8o1n65fa083>!26n3kn7c:>e;a8?lg7290/8:i7k4;h;f>5<#<8l1mh5a40g9b>=n1m0;6):>f;cf?k26m3;;76g6c;29 17a2ho0b9?j:038?l?e290/83:9jf2<72->:j7oj;o62a?7332ci:7>5$53e>dc5<#<8l1mh5a40g953=1<7*;1g8ba>h39l0:;65fb283>!26n3kn7c:>e;3;?>oe:3:1(9?i:`g8j17b28307dl>:18'04`=il1e8:i7?l;:k:=?6=,=;m6lk4n53f>4b<3f>:;7>5$53e>1713g>:i7>4;n621?6=,=;m69?9;o62a?7<3f>:87>5$53e>1713g>:i7<4;n627?6=,=;m69?9;o62a?5<3f>:>7>5$53e>1713g>:i7:4;n625?6=,=;m69?9;o62a?3<3f>:<7>5$53e>1713g>:i784;n63b?6=,=;m69?9;o62a?1<3f>;h7>5$53e>1713g>:i764;n63g?6=,=;m69?9;o62a??<3f>;n7>5$53e>1713g>:i7o4;n63e?6=,=;m69?9;o62a?d<3f>;57>5$53e>1713g>:i7m4;n63;;7>5$53e>1713g>:i7k4;n632?6=,=;m69?9;o62a?`<3f>;97>5$53e>1713g>:i7??;:m741<72->:j7:>6:l75`<6921d8=<50;&75c<39?1e8e;37?>i4no0;6):>f;622>h39l0:965`3gg94?"39o0?=;5a40g953=2d?=h4>9:9l7cg=83.?=k4;179m04c=9h10c>h6:18'04`=<8<0b9?j:0`8?j5a?3:1(9?i:535?k26m3;h76a!26n3>::6`;1d82`>=h;o?1<7*;1g8753=i<8o1=h54o2d7>5<#<8l18<84n53f>4`<3f9m?7>5$53e>1713g>:i7:j7:>6:l75`<5921d?k?50;&75c<39?1e8e;07?>i4ml0;6):>f;622>h39l09965`40f94?"39o0?=;5a40g963=2d?=h4=9:9l04?=83.?=k4;179m04c=:h10c9?7:18'04`=<8<0b9?j:3`8?j27m3:1(9?i:535?k26m38h76a;0283>!26n3>::6`;1d81`>=h;o21<7*;1g8753=i<8o1>h54o2gg>5<#<8l18<84n53f>7`<3`im6=4+40d9g`=i<8o1<65fce83>!26n3in7c:>e;38?led290/8:i7=4;hab>5<#<8l1oh5a40g90>=nk00;6):>f;af?k26m3?07dm7:18'04`=kl1e8:j7mj;o62a?1<3`i=6=4+40d9g`=i<8o1465fc483>!26n3in7c:>e;;8?le4290/8:i7l4;ha2>5<#<8l1oh5a40g9g>=nk90;6):>f;af?k26m3n07dli:18'04`=kl1e8:j7mj;o62a?`<3`ho6=4+40d9g`=i<8o1==54ica94?"39o0hi6`;1d825>=njk0;6):>f;af?k26m3;976gma;29 17a2jo0b9?j:018?lb0290/85:9j`0<72->:j7mj;o62a?7132co87>5$53e>fc5<#<8l1oh5a40g95==h39l0:565fd083>!26n3in7c:>e;3b?>oc83:1(9?i:bg8j17b28h07dm;:18'04`=kl1e8879m04c=921b=5:50;&75c<60?1e86=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f03>290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f03f290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f03e290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f03d290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f03c290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f03b290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f03a290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f007290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f006290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f005290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f004290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f003290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f002290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f001290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f000290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f00?290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f00>290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f00f290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f00e290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f00d290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f00c290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f00b290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f00a290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f017290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f016290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f0152908:7==:5dxL15c3->8m7<<5d9Y51e=;r>m6?o53b8~mc?=83.?=k4i8:l75`<732cm;7>5$53e>c>5<#<8l1=nh4n53f>5=5<#<8l1=nh4n53f>7=54i0ab>5<#<8l1=nh4n53f>1=5<#<8l1=nh4n53f>3=5<#<8l1=nh4n53f>==6=4+40d95f`5<#<8l1=nh4n53f>d=5<#<8l1=nh4n53f>f=5<#<8l1=nh4n53f>`=5<#<8l1=nh4n53f>46<3`;o97>5$53e>4ea3g>:i7?>;:k2`1<72->:j7?lf:l75`<6:21b=i=50;&75c<6ko1e807d?k1;29 17a28im7c:>e;36?>o6kk0;6):>f;3`b>h39l0::65f1b294?"39o0:ok5a40g952=>6=44i0:f>5<5<5<#<8l1=h64n53f>4=5<#<8l1=h64n53f>6=5<#<8l1=h64n53f>0=5<#<8l1=h64n53f>2=5<#<8l1=h64n53f><=5<#<8l1=h64n53f>g=5<#<8l1=h64n53f>a=5<#<8l1=h64n53f>c=4;n3fa?6=,=;m62:9l5`e=83.?=k4>e99m04c=9:10c76a>e583>!26n3;n46`;1d822>=h9m31<7*;1g82a==i<8o1=:54b450>5<6290;w):N3<01C8>j4o3c6>5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<7>54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo;7f;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e93:157>50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo;63;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e=3:157>50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo;67;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e13:157>50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo;6b;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900el3:157>50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo;6f;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo;n3;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<53;294~"3;h09no5G45;8L15c3-8jh76i;h0b5;n143?6=3ty:i;<50;3:[`>34?3o77:;<7;`??234?3i77:;<7;b??234?2<77:;<7:5??234?2>77:;<7:7??234?2877:;<7:1??234?2:77:;<7:3??234?2477:;<7:=??234?2m77:;<7:f??234?2o77:;<7:`??234?2i77:;<7:b??234?j<77:;<7b5??234?j>77:;<7b7??23ty:i;=50;3:[`034?3o77;;<7;`??334?3i77;;<7;b??334?2<77;;<7:5??334?2>77;;<7:7??334?2877;;<7:1??334?2:77;;<7:3??334?2477;;<7:=??334?2m77;;<7:f??334?2o77;;<7:`??334?2i77;;<7:b??334?j<77;;<7b5??334?j>77;;<7b7??33ty:i;:50;0xZ4b734?j=7?n4:p5`022909wS?le:?6e6<6i=1v>0;6?uQ1ba890?b28k?7p}>e7:94?4|V8ij70;n0;3b0>{t9l<26=4={_3`=>;21o0:m95rs0g5e?6=:rT:o55258`95d2554>a59~w4c1m3:1>vP>c59>10q~?j6g83>7}Y9j901876:0c7?xu6m>:1<7=3;j86s|1d52>5<5sW;h=63:9682e1=z{8o<>7>52z\2`==:=0<1=l:4}r3f36<72;qU=i94=4;1>4g33ty:i::50;0xZ4b134?287?n4:p5`122909wS?k5:?6=6<6i=1v0;6?uQ1e1890?628k?7p}>e6:94?4|V8n970;60;3b0>{t9l=26=4={_3g5>;20j0:m95rs0g4e?6=:rT:oo5259g95d2;2?>0:4h5256:95=c<5<=26<6j;<74e?7?m27>;o4>8d9>12e=91o0189k:0:f?830m3;3i63:7g82<`=:=1:1=5k4=4:2>4>b34?3>7?7e:?6<6<60l1695:519g890>2282n70;76;3;a>;20>0:4h5259:95=c<5<226<6j;<7;e?7?m27>4o4>8d9>1d2=:h20q~?j7d83>67|V83m70;84;37a>;2?<0:8h52564951c<5<=<6<:j;<74;44>4d9>12g=9=o0189m:06f?830k3;?i63:7e820`=:=>o1=9k4=45e>42b34?3<7?;e:?6<4<6428>n70;74;37a>;20<0:8h52594951c<5<2<6<:j;<7;444>4d9>1=g=9=o0186m:06f?83?k3;2j63:8e82=c=:=1o1=4h4=4:e>4?a34?2<7?6f:?6=4<61o1694<518d890?4283m70;64;3:b>;21<0:5k5258495<`<5<3<6<7i;<7:n27>544>9g9>1k3;2j63:9e82=c=:=0o1=4h4=4;e>4?a34?j<7?6f:?6e4<61o169l<518d890g4283m7p}>e6d94?4|V8o270;7b;616>{t9l2;6=4={_3f3>;20h0?>?5rs0g;5?6=:rT:i;5259;90744;4;239~w4c?=3:1>vP>e09>1=3=<;80q~?j8783>7}Y9l:0186;:501?xu6m1=1<79>6s|1d:;>5<5sW;oi63:838767=z{8o357>52z\2`a=:=1;18?<4}r3f1453ty:i5l50;0xZ4be34?d2909wS?ka:?63`<3:;1ve9d94?4|V8om70;8b;616>{t9l3;6=4={_3fa>;2?h0?>?5rs0g:5?6=:rT:ii5256;9074;;4;239~w4c>=3:1>vP>e59>123=<;80q~?j9783>7}Y9m30189;:501?xu6m0=1<7=>{<747?4f=27>4n466:?6?27>4i466:?6?27>4h466:?6<`<>?27>4k466:?6?27>5=466:?6=5<>?27>5<466:?6=4<>?27>5?466:?6=7<>?27>5>466:?6=6<>?27>59466:?6=1<>?27>58466:?6=0<>?27>5;466:?6=3<>?27>5:466:?6=2<>?27>55466:?6==<>?27>54466:?6=<<>?27>5l466:?6=d<>?27>5o466:?6=g<>?27>5n466:?6=f<>?27>5i466:?6=a<>?27>5h466:?6=`<>?27>5k466:?6=c<>?27>m=466:?6e5<>?27>m<466:?6e4<>?27>m?466:?6e7<>?27>m>466:?6e6<>?2wx=h77:181830<3;j863:8e8767=z{8o257>52z?630<6i=1695k54308yv7b1h0;6?u256495d2<5<2h69<=;|q2a83>9>6s|1d;`>5<5s4?<47?n4:?6=4<3:;1v12g=9h>0187<:501?xu6m0l1<74g334?287:=2:p5`g72909w0;8c;3b0>;21;0?>?5rs0gb5?6=:r7>;i4>a59>1<0=<;80q~?ja383>7}:=>o1=l:4=4;4>1453ty:il=50;0x901a28k?70;65;616>{t9lk?6=4={<7;4?7f<27>544;239~w4cf=3:1>v3:8082e1=:=0k18?<4}r3fe3<72;q695<51`6890??2=897p}>e`594?4|5<28652z?6<0<6i=1694l54308yv7bih0;6?u259495d2<5<3m69<=;|q2add=838p1868:0c7?83f83>9>6s|1dc`>5<5s4?347?n4:?6=`<3:;1v1=g=9h>018o<:501?xu6mhl1<74g334?j=7:=2:p5`d7290:5v3:8b82<`=:=1n1=5k4=4:f>4>b34?3j7?7e:?6=5<60l1694?519g890?5282n70;63;3;a>;21=0:4h5258795=c<5<3=6<6j;<7:3?7?m27>554>8d9>1j3;3i63:9b82<`=:=0n1=5k4=4;f>4>b34?2j7?7e:?6e5<60l169l?519g890g5282n70;n3;3;a>;2i=08;:5r}c7b1?6=;?08>7:i{I60`>"3;h09?8k4Z06`>6}3n38j6>m5}hd:>5<#<8l1j55a40g94>=nn>0;6):>f;d;?k26m3;07d?k0;29 17a28im7c:>e;28?l7dm3:1(9?i:0ae?k26m3;07d?ld;29 17a28im7c:>e;08?l7dk3:1(9?i:0ae?k26m3907d?la;29 17a28im7c:>e;68?l7d13:1(9?i:0ae?k26m3?07d?l8;29 17a28im7c:>e;48?l7d?3:1(9?i:0ae?k26m3=07d?l6;29 17a28im7c:>e;:8?l7d=3:1(9?i:0ae?k26m3307d?l4;29 17a28im7c:>e;c8?l7d;3:1(9?i:0ae?k26m3h07d?l2;29 17a28im7c:>e;a8?l7d93:1(9?i:0ae?k26m3n07d?k8;29 17a28im7c:>e;g8?l7c?3:1(9?i:0ae?k26m3l07d?k6;29 17a28im7c:>e;33?>o6l<0;6):>f;3`b>h39l0:=65f1e694?"39o0:ok5a40g957=5:9j5fd=83.?=k4>cg9m04c=9?10ee;38?j7b>3:1(9?i:0g;?k26m3807b?j5;29 17a28o37c:>e;18?j7b;3:1(9?i:0g;?k26m3>07b?j2;29 17a28o37c:>e;78?j7b93:1(9?i:0g;?k26m3<07b?j0;29 17a28o37c:>e;58?j7cn3:1(9?i:0g;?k26m3207b?ke;29 17a28o37c:>e;;8?j7cl3:1(9?i:0g;?k26m3k07b?kc;29 17a28o37c:>e;`8?j7cj3:1(9?i:0g;?k26m3i07b?ka;29 17a28o37c:>e;f8?j7a93:1(9?i:0g;?k26m3o07b?i0;29 17a28o37c:>e;d8?j7bn3:1(9?i:0g;?k26m3;;76a>ed83>!26n3;n46`;1d825>=h9ln1<7*;1g82a==i<8o1=?54o0g`>5<#<8l1=h64n53f>45<3f;nn7>5$53e>4c?3g>:i7?;;:m2ad<72->:j7?j8:l75`<6=21d=h:50;&75c<6m11e8290/80;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl:a983>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd2i00;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl:a`83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd2ik0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl:ab83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd2im0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl:ad83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd2io0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl:b183>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd2j80;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl:b383>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd2j:0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl:b583>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd2j<0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl:b783>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd2j>0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl:b983>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd2j00;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl:b`83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd2jk0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl:bb83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd2jm0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl:bd83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd2jo0;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`6g5<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th>o?4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd2k:0;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`6g1<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th>o;4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd2k>0;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`6g=<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th>ol4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd2kk0;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`6gf<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th>oh4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd2ko0;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`6`5<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th>h?4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd2l:0;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`6`1<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th>h;4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd2l>0;6>4?:1y'06g=:kh0D9:6;I60`>"5im03j6g=a983>>o5i00;66a<7683>>{t9lh:6=4>9z\e=>;2jo02963:c18:1>;2k802963:c38:1>;2k:02963:c58:1>;2k<02963:c78:1>;2k>02963:c98:1>;2k002963:c`8:1>;2kk02963:cb8:1>;2km02963:cd8:1>;2ko02963:d18:1>;2l802963:d38:1>;2l:02963:d58:1>;2l<02963:d78:1>{t9lh96=4>9z\e3>;2jo02863:c18:0>;2k802863:c38:0>;2k:02863:c58:0>;2k<02863:c78:0>;2k>02863:c98:0>;2k002863:c`8:0>;2kk02863:cb8:0>;2km02863:cd8:0>;2ko02863:d18:0>;2l802863:d38:0>;2l:02863:d58:0>;2l<02863:d78:0>{t9lh86=4={_3g4>;2l=0:m95rs0ga0?6=:rT:oh525e495d26h>4>a59~w4ce03:1>vP>c89>1a4=9h>0q~?jb883>7}Y9j2018mj:0c7?xu6mkk1<75<5sW;h:63:cg82e1=z{8oio7>52z\2g0=:=jh1=l:4}r3ffa<72;qU=n:4=4ag>4g33ty:iok50;0xZ4e434?ho7?n4:p5`da2909wS?l2:?6g=<6i=1v28k?7p}>eb094?4|V8n<70;l5;3b0>{t9li86=4={_3g2>;2k>0:m95rs0g`0?6=:rT:h8525b495d2o>4>a59~w4cd03:1>vP>d09>1g`=9h>0q~?jc883>7}Y9jh018m>:0c7?xu6mjk1<75<5sW>?963:d681e<=z{8oho7>51`y]5=c<5m44>8d9>1dg=91o018om:0:f?83fk3;3i63:ae82<`=:=ho1=5k4=4ce>4>b34?i<7?7e:?6f4<60l169o<519g890d4282n70;m4;3;a>;2j<0:4h525c495=c<5n44>8d9>1gg=91o018lm:0:f?83ek3;3i63:be82<`=:=ko1=5k4=4f4>7g?3ty:inj50;12[7>n27>m:4>4d9>1d>=9=o018o6:06f?83fi3;?i63:ac820`=:=hi1=9k4=4cg>42b34?ji7?;e:?6ec<6515g890d628>n70;m2;37a>;2j:0:8h525c6951c<56<:j;<7a2?73m27>n:4>4d9>1g>=9=o018l6:06f?83ei3;?i63:bc820`=:=ki1=9k4=4`g>42b34?ii7?;e:?6fc<61o169n>518d890e6283m70;l2;3:b>;2k:0:5k525b695<`<56<7i;<7`2?7>n27>o:4>9g9>1f>=90l018m6:0;e?83di3;2j63:cc82=c=:=ji1=4h4=4ag>4?a34?hi7?6f:?6gc<61o169i>518d890b6283m70;k2;3:b>;2l:0:5k525e695<`<56<7i;<7g2?7>n2wx=hmj:181[7b127>nh4;239~w4cdn3:1>vP>e69>1gb=<;80q~?jd183>7}Y9l<018ll:501?xu6mm;1<79>6s|1df1>5<5sW;n?63:b`8767=z{8oo?7>52z\2a7=:=k318?<4}r3f`1<72;qU=h?4=4`;>1453ty:ii;50;0xZ4c734?i;7:=2:p5`b12909wS?kf:?6f3<3:;1vee;94?4|V8nh70;m3;616>{t9lnj6=4={_3gf>;2j;0?>?5rs0ggf?6=:rT:hl525c39074mh4;239~w4ccn3:1>vP>ed9>1db=<;80q~?je183>7}Y9ln018ol:501?xu6ml;1<79>6s|1dg1>5<5sW;nn63:a`8767=z{8on?7>52z\2ad=:=h318?<4}r3fa1<72;qU=h:4=4c;>1453ty:ih;50;0xZ4b>34?j;7:=2:p5`c12908=v3:a781e0=:=kl15;525cd9=2=:=j:15;525b29=2=:=j;15;525b39=2=:=j815;525b09=2=:=j915;525b19=2=:=j>15;525b69=2=:=j?15;525b79=2=:=j<15;525b49=2=:=j=15;525b59=2=:=j215;525b:9=2=:=j315;525b;9=2=:=jk15;525bc9=2=:=jh15;525b`9=2=:=ji15;525ba9=2=:=jn15;525bf9=2=:=jo15;525bg9=2=:=jl15;525bd9=2=:=m:15;525e29=2=:=m;15;525e39=2=:=m815;525e09=2=:=m915;525e19=2=:=m>15;525e69=2=:=m?15;525e79=2=:=m<15;525e49=2=z{8on;7>52z?6e2<6i=169n>54308yv7bm10;6?u25`:95d2<59>6s|1dgb>5<5s4?jm7?n4:?6g6<3:;1v1de=9h>018m=:501?xu6mln1<74g334?h:7:=2:p5`cb2909w0;ne;3b0>;2k>0?>?5rs0gfb?6=:r7>mk4>a59>1f3=<;80q~?jf183>7}:=k:1=l:4=4a:>1453ty:ik?50;0x90d628k?70;la;616>{t9ll96=4={<7a6?7f<27>o54;239~w4ca;3:1>v3:b282e1=:=ji18?<4}r3fb1<72;q69o:51`6890ec2=897p}>eg794?4|563;j863:cg8767=z{8om;7>52z?6f2<6i=169i>54308yv7bn10;6?u25c:95d2<59>6s|1ddb>5<5s4?im7?n4:?6`6<3:;1v1ge=9h>018j::501?xu6mon1<74g334?o:7:=2:p5``b2909w0;me;3b0>;2l=0?>?5rs0geb?6=90q69oh519g890e7282n70;l1;3;a>;2k;0:4h525b195=c<5o;4>8d9>1f1=91o018m7:0:f?83d13;3i63:c`82<`=:=jh1=5k4=4a`>4>b34?hh7?7e:?6g`<60l169nh519g890b7282n70;k1;3;a>;2l;0:4h525e195=c<5h;4>8d9>1a1=;>=0qpl:d983>60=;;0?jvF;3e9'06g=::?n7W?;c;1x0c<5i39h6pgi9;29 17a2o20b9?j:198mc1=83.?=k4i8:l75`<632c:h=4?:%62b?7dn2d?=h4?;:k2g`<72->:j7?lf:l75`<632c:oi4?:%62b?7dn2d?=h4=;:k2gf<72->:j7?lf:l75`<432c:ol4?:%62b?7dn2d?=h4;;:k2g<<72->:j7?lf:l75`<232c:o54?:%62b?7dn2d?=h49;:k2g2<72->:j7?lf:l75`<032c:o;4?:%62b?7dn2d?=h47;:k2g0<72->:j7?lf:l75`<>32c:o94?:%62b?7dn2d?=h4n;:k2g6<72->:j7?lf:l75`:j7?lf:l75`:j7?lf:l75`0:9j5a3=83.?=k4>cg9m04c=9810ed383>!26n3;hj6`;1d820>=n9m;1<7*;1g82gc=i<8o1=854i0aa>5<#<8l1=nh4n53f>40<3`;h<7>5$53e>4ea3g>:i7?8;:k700<722c:4h4?::k774<722c:5k4?::m2a<<72->:j7?j8:l75`<732e:i:4?:%62b?7b02d?=h4>;:m2a3<72->:j7?j8:l75`<532e:i84?:%62b?7b02d?=h4<;:m2a6<72->:j7?j8:l75`<332e:i?4?:%62b?7b02d?=h4:;:m2a4<72->:j7?j8:l75`<132e:i=4?:%62b?7b02d?=h48;:m2`c<72->:j7?j8:l75`:j7?j8:l75`:j7?j8:l75`:j7?j8:l75`:j7?j8:l75`<6821d=hk50;&75c<6m11e8e;30?>i6mk0;6):>f;3f<>h39l0:865`1dc94?"39o0:i55a40g950=h44?:083>5}#<:k1>l84H56:?M24l2e9m84?::a1ag=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi9il50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a1ae=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi9ij50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a1ac=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi9ih50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a1`6=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi9h?50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a1`4=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi9h=50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a1`2=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi9h;50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a1`0=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi9h950;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a1`>=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi9h750;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a1`g=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi9hl50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a1`e=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi9hj50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a1`c=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi9hh50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a1c6=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi9k?50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a1c4=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm5g194??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi9k:50;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e=o?1<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a1c0=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm5g594??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi9k650;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e=o31<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a1cg=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm5g`94??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi9km50;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e=on1<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a1cc=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm5gd94??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi:=>50;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e>9;1<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a254=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm61194??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi:=:50;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e>9?1<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a250=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm61594??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi:=650;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e>931<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a25g=8391<7>t$51b>7de3A>?56F;3e9'6db=0o1b>l650;9j6d?=831d?:950;9~w4`783:1=4uQf89>1c4=1<169k=5949>1c2=1<169k;5949>1c0=1<169k95949>1c>=1<169k75949>1cg=1<169kl5949>1ce=1<169kj5949>1cc=1<169kh5949>256=1<16:=?5949>254=1<16:==5949>252=1<16:=;5949>250=1<16:=95949>25>=1<16:=75949~w4`793:1=4uQf69>1c4=1=169k=5959>1c2=1=169k;5959>1c0=1=169k95959>1c>=1=169k75959>1cg=1=169kl5959>1ce=1=169kj5959>1cc=1=169kh5959>256=1=16:=?5959>254=1=16:==5959>252=1=16:=;5959>250=1=16:=95959>25>=1=16:=75959~w4`7:3:1>vP>d19>251=9h>0q~?i0283>7}Y9jo01;>6:0c7?xu6n9>1<75<5sW;ho6390582e1=z{8l;:7>52z\2gd=:>9<1=l:4}r3e42<72;qU=n74=726>4g33ty:j=650;0xZ4e?34<;=7?n4:p5c6>2909wS?l7:?546<6i=1vf1a94?4|V8i?708?0;3b0>{t9o:o6=4={_3`7>;2no0:m95rs0d3a?6=:rT:o?525g`95d2:181[7c?27>j54>a59~w4`6:3:1>vP>d79>1cg=9h>0q~?i1283>7}Y9m?018h6:0c7?xu6n8>1<75<5sW;o?63:f682e1=z{8l::7>52z\2`7=:=o<1=l:4}r3e52<72;qU=i?4=4d1>4g33ty:j<650;0xZ4ee34?m87?n4:p5c7>2909wS?l0:?6b6<6i=1va;296~X3<<16:=o52`;8yv7a9k0;64>b34?oi7?7e:?6`c<60l169h>519g890c6282n70;j2;3;a>;2m:0:4h525d695=c<56<6j;<7f2?7?m27>i:4>8d9>1`>=91o018k6:0:f?83bi3;3i63:ec82<`=:=li1=5k4=4gg>4>b34?ni7?7e:?6ac<60l169k>519g890`6282n708?a;0b<>{t9o;h6=4<1z\2=c=:=mk1=9k4=4fa>42b34?oo7?;e:?6`a<6n70;j0;37a>;2m80:8h525d0951c<5i84>4d9>1`0=9=o018k8:06f?83b03;?i63:e8820`=:=lk1=9k4=4ga>42b34?no7?;e:?6aa<6n70;i0;37a>;2n80:8h525g095<`<5n27>j84>9g9>1c0=90l018h8:0;e?83a03;2j63:f882=c=:=ok1=4h4=4da>4?a34?mo7?6f:?6ba<61o169kk518d890`a283m708?0;3:b>;1880:5k5261095<`<5?:86<7i;<430?7>n27=<84>9g9>250=90l01;>8:0;e?80703;2j6390882=c=z{8l:h7>52z\2a<=:=o;18?<4}r3e5`<72;qU=h94=4d3>1453ty:jf3194?4|V8o:70;jb;616>{t9o8?6=4={_3f4>;2mh0?>?5rs0d11?6=:rT:hk525d;9074;4?:3y]5ac<5i;4;239~w4`513:1>vP>dc9>1`3=<;80q~?i2`83>7}Y9mk018k;:501?xu6n;h1<79>6s|1g0`>5<5sW;m<63:e38767=z{8l9h7>52z\2ac=:=l;18?<4}r3e6`<72;qU=hk4=4g3>1453ty:j?h50;0xZ4cc34?oj7:=2:p5c572909wS?jc:?6``<3:;1vf2194?4|V8o?70;kb;616>{t9o9?6=4={_3g=>;2lh0?>?5rs0d01?6=;8q69i752`7890`520<018h=:85890`420<018h<:85890`320<018h;:85890`220<018h::85890`120<018h9:85890`020<018h8:85890`?20<018h7:85890`>20<018h6:85890`f20<018hn:85890`e20<018hm:85890`d20<018hl:85890`c20<018hk:85890`b20<018hj:85890`a20<018hi:858936720<01;>?:858936620<01;>>:858936520<01;>=:858936420<01;><:858936320<01;>;:858936220<01;>::858936120<01;>9:858936020<01;>8:858936?20<01;>7:858936>20<01;>6:858yv7a;?0;6?u25ec95d2<59>6s|1g1;>5<5s4?oo7?n4:?6b7<3:;1v1ac=9h>018h8:501?xu6n:h1<74g334?m97:=2:p5c5d2909w0;j0;3b0>;2n00?>?5rs0d0`?6=:r7>i<4>a59>1cg=<;80q~?i3d83>7}:=l81=l:4=4d;>1453ty:j>h50;0x90c428k?70;ic;616>{t9o>;6=4={<7f0?7f<27>ji4;239~w4`393:1>v3:e482e1=:=oh18?<4}r3e07<72;q69h851`6890`a2=897p}>f5194?4|552z?6a<<6i=16:=<54308yv7a9>6s|1g6;>5<5s4?no7?n4:?540<3:;1v1`c=9h>01;>;:501?xu6n=h1<74g334<;47:=2:p5c2d2909w0;i0;3b0>;1800?>?5rs0d7`?6=:r7>j<4>a59>251=<;80q~?i4d83>4?|5j94>8d9>1c3=91o018h9:0:f?83a?3;3i63:f982<`=:=o31=5k4=4db>4>b34?mn7?7e:?6bf<60l169kj519g890`b282n70;if;3;a>;1890:4h5261395=c<5?:96<6j;<437?7?m27=<94>8d9>253=91o01;>9:0:f?807?3;3i6390982<`=:>931=5k4=72b>6103twi:=l50;15>64=j4$51b>752m2P:8n4<{5d96d<4k3wbj44?:%62b?`?3g>:i7>4;hd4>5<#<8l1j55a40g95>=n9m:1<7*;1g82gc=i<8o1<65f1bg94?"39o0:ok5a40g95>=n9jn1<7*;1g82gc=i<8o1>65f1ba94?"39o0:ok5a40g97>=n9jk1<7*;1g82gc=i<8o1865f1b;94?"39o0:ok5a40g91>=n9j21<7*;1g82gc=i<8o1:65f1b594?"39o0:ok5a40g93>=n9j<1<7*;1g82gc=i<8o1465f1b794?"39o0:ok5a40g9=>=n9j>1<7*;1g82gc=i<8o1m65f1b194?"39o0:ok5a40g9f>=n9j81<7*;1g82gc=i<8o1o65f1b394?"39o0:ok5a40g9`>=n9m21<7*;1g82gc=i<8o1i65f1e594?"39o0:ok5a40g9b>=n9m<1<7*;1g82gc=i<8o1==54i0f6>5<#<8l1=nh4n53f>47<3`;o87>5$53e>4ea3g>:i7?=;:k2`6<72->:j7?lf:l75`<6;21b=i<50;&75c<6ko1e8e;35?>o6k90;6):>f;3`b>h39l0:;65f45794?=n91o1<75f42394?=n90l1<75`1d;94?"39o0:i55a40g94>=h9l=1<7*;1g82a==i<8o1=65`1d494?"39o0:i55a40g96>=h9l?1<7*;1g82a==i<8o1?65`1d194?"39o0:i55a40g90>=h9l81<7*;1g82a==i<8o1965`1d394?"39o0:i55a40g92>=h9l:1<7*;1g82a==i<8o1;65`1ed94?"39o0:i55a40g9<>=h9mo1<7*;1g82a==i<8o1565`1ef94?"39o0:i55a40g9e>=h9mi1<7*;1g82a==i<8o1n65`1e`94?"39o0:i55a40g9g>=h9mk1<7*;1g82a==i<8o1h65`1g394?"39o0:i55a40g9a>=h9o:1<7*;1g82a==i<8o1j65`1dd94?"39o0:i55a40g955=3:9l5`d=83.?=k4>e99m04c=9=10cd883>!26n3;n46`;1d823>=e>9i1<7?50;2x 15f2;k=7E:;9:J77a=h:h?1<75rb72g>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj?:n6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb72e>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj?;;6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb732>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj?;96=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb730>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj?;?6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb736>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj?;=6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb734>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj?;36=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb73:>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj?;j6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb73a>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj?;h6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb73g>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj?;n6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb73e>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj?8;6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb702>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj?896=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb700>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj?8?6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb706>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn;<9:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f34?29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb70:>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn;5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f34d29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb70g>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn;5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f35729026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb712>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn;==:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f35329026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb716>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn;=9:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f35?29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb71:>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn;=n:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f35d29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb71g>5<4290;w):N3<01C8>j4$3cg>=`5<<3<5?8=64;4=704><3<5?8364;4=70:><3<5?8j64;4=70a><3<5?8h64;4=70g><3<5?8n64;4=70e><3<5?9;64;4=712><3<5?9964;4=710><3<5?9?64;4=716><3<5?9=64;4=714><3<5?9364;4=71:><3<5?9j64;4=71a><3<5?9h64;4}r3e15<7283pRk94=706><2<5?8=64:4=704><2<5?8364:4=70:><2<5?8j64:4=70a><2<5?8h64:4=70g><2<5?8n64:4=70e><2<5?9;64:4=712><2<5?9964:4=710><2<5?9?64:4=716><2<5?9=64:4=714><2<5?9364:4=71:><2<5?9j64:4=71a><2<5?9h64:4}r3e14<72;qU=i>4=71b>4g33ty:j8<50;0xZ4eb34<8o7?n4:p5c342909wS?ld:?57g<6i=1v951`68yv7a=<0;6?uQ1bc8935>28k?7p}>f4494?4|V8i2708<8;3b0>{t9o?<6=4={_3`<>;1;=0:m95rs0d664>a59~w4`2k3:1>vP>c29>264=9h>0q~?i5e83>7}Y9j801;5<5sW;o46392g82e1=z{8l=<7>52z\2`2=:>;h1=l:4}r3e24<72;qU=i84=70g>4g33ty:j;<50;0xZ4b234<9o7?n4:p5c042909wS?k4:?56=<6i=1v<0;6?uQ1e08934>28k?7p}>f7494?4|V8n:708=5;3b0>{t9o<<6=4={_3`f>;1:>0:m95rs0d50;3;a>;1980:4h5260095=c<5?;86<6j;<420?7?m27==84>8d9>240=91o01;?8:0:f?80603;3i6391882<`=:>8k1=5k4=73a>4>b34<:o7?7e:?55a<60l16:;1:80:4h5263095=c<5?886<6j;<410?7?m27=?i4=a99~w4`1j3:1?n708?e;37a>;18o0:8h52602951c<5?;:6<:j;<426?73m27==>4>4d9>242=9=o01;?::06f?806>3;?i63916820`=:>821=9k4=73:>42b34<:m7?;e:?55g<6n708>e;37a>;19o0:8h52632951c<5?8:6<:j;<416?73m27=>>4>4d9>272=9=o01;<::0;e?805>3;2j6392682=c=:>;21=4h4=70:>4?a34<9m7?6f:?56g<61o16:?m518d8934c283m708=e;3:b>;1:o0:5k5262295<`<5?9:6<7i;<406?7>n27=?>4>9g9>262=90l01;=::0;e?804>3;2j6393682=c=:>:21=4h4=71:>4?a34<8m7?6f:?57g<61o16:>m518d8yv7a>j0;6?uQ1d;893432=897p}>f7f94?4|V8o<708=3;616>{t9o;1:;0?>?5rs0d5b?6=:rT:i8526339074vP>e19>24b=<;80q~?i7583>7}Y9ml01;?l:501?xu6n>?1<79>6s|1g55>5<5sW;oh6391`8767=z{8l<;7>52z\2`f=:>8318?<4}r3e3=<72;qU=il4=73;>1453ty:j:750;0xZ4bf34<:;7:=2:p5c1f2909wS?i1:?553<3:;1vf6f94?4|V8on708>3;616>{t9o=n6=4={_3f`>;19;0?>?5rs0d4b?6=:rT:in526039074vP>d89>25b=<;80q~?i8583>67|5?:h6?o:;<411??134<99778;<412??134<9:778;<413??134<9;778;<41778;<407??134<8?778;<400??134<88778;<401??134<89778;<402??134<8:778;<403??134<8;778;<40k:0c7?805>3>9>6s|1g:5>5<5s4<;i7?n4:?562<3:;1v246=9h>01;<6:501?xu6n131<74g334<9m7:=2:p5c>f2909w08>2;3b0>;1:10?>?5rs0d;f?6=:r7==>4>a59>27e=<;80q~?i8b83>7}:>8>1=l:4=70g>1453ty:j5j50;0x937228k?708=b;616>{t9o2n6=4={<422?7f<27=>k4;239~w4`?n3:1>v391682e1=:>::18?<4}r3e=5<72;q6:<651`68934b2=897p}>f8394?4|5?;2652z?55g<6i=16:>?54308yv7a1=0;6?u260a95d2<5?9>69<=;|q2b<3=838p1;?k:0c7?804>3>9>6s|1g;5>5<5s4<:i7?n4:?571<3:;1v276=9h>01;=6:501?xu6n031<74g334<8;7:=2:p5c?f2909w08=2;3b0>;1;k0?>?5rs0d:f?6=:r7=>>4>a59>26e=<;80q~?i9b83>7}:>;>1=l:4=71b>1453ty:j4j50;3:805=3;3i6392782<`=:>;=1=5k4=70;>4>b34<957?7e:?56d<60l16:?l519g8934d282n708=d;3;a>;1:l0:4h5263d95=c<5?9;6<6j;<405?7?m27=??4>8d9>265=91o01;=;:0:f?804=3;3i6393782<`=:>:=1=5k4=71;>4>b34<857?7e:?57d<60l16:>l519g8935d282n708{zj?9n6=4<6;11>1`|@=9o7):h39l0;76gi7;29 17a2o20b9?j:098m4b7290/8:18'04`=9jl0b9?j:e98m4b?290/8e;32?>o6l=0;6):>f;3`b>h39l0:>65f1e194?"39o0:ok5a40g956=6:9j5f6=83.?=k4>cg9m04c=9>10e9:::188m4>b2900e9=>:188m4?a2900c:18'04`=9l20b9?j:798k4c7290/810c:18'04`=9l20b9?j:d98k4`7290/8ee83>!26n3;n46`;1d826>=h9li1<7*;1g82a==i<8o1=>54o0ga>5<#<8l1=h64n53f>42<3f;nm7>5$53e>4c?3g>:i7?:;:m2a1<72->:j7?j8:l75`<6>21d=i750;&75c<6m11e82B?845G42f8k7g22900qo8;0;290?6=8r.??l4=9d9K01?<@=9o7)50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e5<5<5<57>59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo8:c;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<h7>59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo890;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo894;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e3:157>50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo898;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo89c;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo880;297?6=8r.??l4=bc9K01?<@=9o7)f8g94?7>sWl2708:8;;6?802133>708:a;;6?802j33>708:c;;6?802l33>708:e;;6?802n33>70890;;6?801933>70892;;6?801;33>70894;;6?801=33>70896;;6?801?33>70898;;6?801133>7089a;;6?801j33>7089c;;6?801l33>7089e;;6?801n33>7p}>f8d94?7>sWl<708:8;;7?802133?708:a;;7?802j33?708:c;;7?802l33?708:e;;7?802n33?70890;;7?801933?70892;;7?801;33?70894;;7?801=33?70896;;7?801?33?70898;;7?801133?7089a;;7?801j33?7089c;;7?801l33?7089e;;7?801n33?7p}>f`294?4|V8n;7089d;3b0>{t9ok:6=4={_3`a>;1>o0:m95rs0db6?6=:rT:oi5267g95d24?:3y]5fe<5?a59~w4`f>3:1>vP>c99>231=9h>0q~?ia683>7}Y9j=01;86:0c7?xu6nh21<75<5sW;h96396582e1=z{8ljm7>52z\2g1=:>?<1=l:4}r3eeg<72;qU=n=4=746>4g33ty:jlm50;0xZ4e534<==7?n4:p5cgc2909wS?l1:?526<6i=1vfc294?4|V8n=70890;3b0>{t9oh:6=4={_3g1>;1=o0:m95rs0da6?6=:rT:h95264`95d24?:3y]5a5<5??o6a59~w4`e>3:1>vP>cc9>20g=9h>0q~?ib683>7}Y9j:01;;6:0c7?xu6nk21<75<6irT:4h5265295=c<5?>:6<6j;<476?7?m27=8>4>8d9>212=91o01;:::0:f?803>3;3i6394682<`=:>=21=5k4=76:>4>b34;14>8d9>202=91o01;;::0:f?802>3;3i6395682<`=:>>:1>l64}r3efd<72:;pR<7i;<474?73m27=8<4>4d9>214=9=o01;:<:06f?803<3;?i63944820`=:>=<1=9k4=764>42b34n708;c;37a>;1m6<:j;<464?73m27=9<4>4d9>204=9=o01;;<:06f?802<3;?i63954820`=:><<1=9k4=774>42b34<>47?6f:?51<<61o16:8o518d8933e283m708:c;3:b>;1=m0:5k5264g95<`<5??m6<7i;<454?7>n27=:<4>9g9>234=90l01;8<:0;e?801<3;2j6396482=c=:>?<1=4h4=744>4?a34<=47?6f:?52<<61o16:;o518d8930e283m7089c;3:b>;1>m0:5k5267g95<`<5?vP>e79>203=<;80q~?ibd83>7}Y9l?01;;;:501?xu6nkl1<79>6s|1ga3>5<5sW;n>639538767=z{8lh=7>52z\2a4=:><;18?<4}r3eg7<72;qU=h>4=773>1453ty:jn=50;0xZ4ba34fb594?4|V8ni708;b;616>{t9oi36=4={_3ge>;1?5rs0d`=?6=:rT:j<5265;9074369<=;|q2bfd=838pRvP>ee9>213=<;80q~?icd83>7}Y9li01;:;:501?xu6njl1<79>6s|1gf3>5<5sW;nm639438767=z{8lo=7>52z\2a1=:>=;18?<4}r3e`7<72;qU=i74=763>1453ty:ji=50;12804n38j9639598:2>;1=102;639588:2>;1=002;6395`8:2>;1=h02;6395c8:2>;1=k02;6395b8:2>;1=j02;6395e8:2>;1=m02;6395d8:2>;1=l02;6395g8:2>;1=o02;639618:2>;1>902;639608:2>;1>802;639638:2>;1>;02;639628:2>;1>:02;639658:2>;1>=02;639648:2>;1><02;639678:2>;1>?02;639668:2>;1>>02;639698:2>;1>102;639688:2>;1>002;6396`8:2>;1>h02;6396c8:2>;1>k02;6396b8:2>;1>j02;6396e8:2>;1>m02;6396d8:2>;1>l02;6396g8:2>;1>o02;6s|1gf7>5<5s4214=9h>01;;7:501?xu6nm=1<74g334<>o7:=2:p5cb?2909w08;4;3b0>;1=m0?>?5rs0dg=?6=:r7=884>a59>20d=<;80q~?id`83>7}:>=<1=l:4=77e>1453ty:jil50;0x932028k?70890;616>{t9onh6=4={<47v394882e1=:>?818?<4}r3e``<72;q6:9o51`6893042=897p}>fed94?4|5?>i652z?50a<6i=16:;854308yv7am;0;6?u265g95d2<5?9>6s|1gg7>5<5s4<><7?n4:?52<<3:;1v204=9h>01;8m:501?xu6nl=1<74g334<=o7:=2:p5cc?2909w08:4;3b0>;1>h0?>?5rs0df=?6=:r7=984>a59>23c=<;80q~?ie`83>7}:><<1=l:4=74e>1453ty:jhl50;0x933028k?7089d;616>{t9ooh6=4>9z?51=<60l16:87519g8933f282n708:b;3;a>;1=j0:4h5264f95=c<5??n6<6j;<46b?7?m27=:=4>8d9>237=91o01;8=:0:f?801;3;3i6396582<`=:>??1=5k4=745>4>b34<=;7?7e:?52=<60l16:;7519g8930f282n7089b;3;a>;1>j0:4h5267f95=c<5?290/8:i7?4;h3g4?6=,=;m65$53e>4ea3g>:i7?4;h3``?6=,=;m65$53e>4ea3g>:i7=4;h3`e?6=,=;m65$53e>4ea3g>:i7;4;h3`5$53e>4ea3g>:i794;h3`2?6=,=;m6<3`;h97>5$53e>4ea3g>:i774;h3`0?6=,=;m65$53e>4ea3g>:i7l4;h3`6?6=,=;m65$53e>4ea3g>:i7j4;h3g5$53e>4ea3g>:i7h4;h3g2?6=,=;m61:9j5a2=83.?=k4>cg9m04c=9;10ed083>!26n3;hj6`;1d821>=n9jh1<7*;1g82gc=i<8o1=;54i0a3>5<#<8l1=nh4n53f>41<3`>?97>5;h3;a?6=3`>8=7>5;h3:b?6=3f;n57>5$53e>4c?3g>:i7>4;n3f3?6=,=;m65$53e>4c?3g>:i7<4;n3f1?6=,=;m65$53e>4c?3g>:i7:4;n3f6?6=,=;m65$53e>4c?3g>:i784;n3f4?6=,=;m65$53e>4c?3g>:i764;n3ga?6=,=;m65$53e>4c?3g>:i7o4;n3gg?6=,=;m65$53e>4c?3g>:i7m4;n3ge?6=,=;m65$53e>4c?3g>:i7k4;n3e4?6=,=;m65$53e>4c?3g>:i7??;:m2a`<72->:j7?j8:l75`<6921d=hj50;&75c<6m11e8e;37?>i6mh0;6):>f;3f<>h39l0:965`1d694?"39o0:i55a40g953=4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`531<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th=;84?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`533<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th=;:4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`53=<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th=;44?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`53d<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th=;o4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`53f<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th=;i4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`53`<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th=;k4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`5<5<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th=4<4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`5<7<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th=4>4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`5<1<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th=484?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`5<3<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th=4:4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`5<=<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th=444?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`527E:5;h3;a?6=3`;j87>5;n616?6=3th=4o4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd10j0;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`5<@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th=4k4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd1190;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`5=4<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th=5>4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd11=0;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`5=0<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th=5:4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd1110;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`5=<<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th=5o4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd11j0;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`5=a<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th=5k4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd1i90;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`5e4<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th=m>4?:283>5}#<:k1>ol4H56:?M24l2.9mi47f:k1e=<722c9m44?::m032<722wx=kkk:182=~Xa127=4o465:?5=27=4i465:?5<`<>=27=4k465:?5=5<>=27=5<465:?5=7<>=27=5>465:?5=1<>=27=58465:?5=3<>=27=5:465:?5==<>=27=54465:?5=d<>=27=5o465:?5=f<>=27=5i465:?5=`<>=27=5k465:?5e5<>=27=m<465:?5e7<>=2wx=kkj:182=~Xa?27=4o464:?5<27=4i464:?5<`<><27=4k464:?5=5<><27=5<464:?5=7<><27=5>464:?5=1<><27=58464:?5=3<><27=5:464:?5==<><27=54464:?5=d<><27=5o464:?5=f<><27=5i464:?5=`<><27=5k464:?5e5<><27=m<464:?5e7<><2wx=kki:181[7c827=m=4>a59~w4`a83:1>vP>cd9>2d4=9h>0q~?if083>7}Y9jn01;o>:0c7?xu6no81<7l3;j86s|1gd0>5<5sW;hm6399g82e1=z{8lm87>52z\2g<=:>0o1=l:4}r3eb0<72;qU=n64=7;b>4g33ty:jk850;0xZ4e034<2o7?n4:p5c`02909wS?l6:?5=g<6i=1v28k?7p}>fgc94?4|V8i870868;3b0>{t9oli6=4={_3`6>;11=0:m95rs0deg?6=:rT:o<5268495d2<5?3>627=5>4>a59~w76783:1>vP>d49>2<4=9h>0q~7}Y9m>01;6j:0c7?xu58981<783;j86s|2120>5<5sW;o>6398g82e1=z{;:;87>52z\2`4=:>1h1=l:4}r0340<72;qU=nl4=7:g>4g33ty9<=850;0xZ4e734<3o7?n4:p65602909wS:;5:?5e6<5i01v?>?8;295d}Y91o01;9<:0:f?800<3;3i6397482<`=:>><1=5k4=754>4>b34<<47?7e:?53<<60l16::o519g8931e282n7088c;3;a>;1?m0:4h5266g95=c<5?=m6<6j;<4;4?7?m27=4<4>8d9>2=4=91o01;6<:0:f?80?<3;3i6398482<`=:>1<1=5k4=7:4>4>b34<347?7e:?5<<<60l16:5o519g893g42;k37p}=01;94?56sW;2j63972820`=:>>>1=9k4=756>42b34<<:7?;e:?532<628>n7088a;37a>;1?k0:8h5266a951c<5?=o6<:j;<44a?73m27=;k4>4d9>2=6=9=o01;6>:06f?80?:3;?i63982820`=:>1>1=9k4=7:6>42b34<3:7?;e:?5<2<6>28>n7087a;37a>;10k0:5k5269a95<`<5?2o6<7i;<4;a?7>n27=4k4>9g9>2<6=90l01;7>:0;e?80>:3;2j6399282=c=:>0>1=4h4=7;6>4?a34<2:7?6f:?5=2<61o16:46518d893?>283m7086a;3:b>;11k0:5k5268a95<`<5?3o6<7i;<4:a?7>n27=5k4>9g9>2d6=90l01;o>:0;e?80f:3;2j6s|212b>5<5sW;n56398`8767=z{;:;n7>52z\2a2=:>1318?<4}r034f<72;qU=h84=7:;>1453ty9<=j50;0xZ4c234<3;7:=2:p656b2909wS?j3:?5<3<3:;1v?>?f;296~X6m;16:5;54308yv47990;6?uQ1d3893>32=897p}=00394?4|V8o;70873;616>{t:9;96=4={_3gb>;10;0?>?5rs3227?6=:rT:hh526939074=?9:181[7cj27=;h4;239~w766?3:1>vP>d`9>22b=<;80q~7}Y9o;01;9l:501?xu58831<79>6s|213b>5<5sW;nj6397`8767=z{;::n7>52z\2a`=:>>318?<4}r035f<72;qU=hj4=75;>1453ty9<>f;296~X6mh16::;54308yv47:90;6?uQ1d6893132=897p}=03394?4|V8n270883;616>{t:9896=4<1z?537<5i<16:5l5979>2=d=1>16:5m5979>2=e=1>16:5j5979>2=b=1>16:5k5979>2=c=1>16:5h5979>2=`=1>16:4>5979>2<6=1>16:4?5979>2<7=1>16:4<5979>2<4=1>16:4=5979>2<5=1>16:4:5979>2<2=1>16:4;5979>2<3=1>16:485979>2<0=1>16:495979>2<1=1>16:465979>2<>=1>16:475979>216:4o5979>216:4l5979>216:4m5979>216:4j5979>216:4k5979>216:4h5979>2<`=1>16:l>5979>2d6=1>16:l?5979>2d7=1>16:l<5979>2d4=1>1v?>=3;296~;1?:0:m95269a907494?:3y>222=9h>01;6k:501?xu58;?1<74g334<3n7:=2:p65412909w0886;3b0>;10o0?>?5rs3213?6=:r7=;:4>a59>2<6=<;80q~7}:>>21=l:4=7:f>1453ty928k?70862;616>{t:98j6=4={<44e?7f<27=5>4;239~w765j3:1>v397c82e1=:>0;18?<4}r036f<72;q6::m51`6893?22=897p}=03f94?4|5?=o6=52z?53c<6i=16:4654308yv47;90;6?u269295d2<5?3269<=;|q1467=838p1;6>:0c7?80>?3>9>6s|2111>5<5s4<3>7?n4:?5=g<3:;1v?><3;296~;10:0:m95268a90742=2=9h>01;7n:501?xu58:?1<74g334<2i7:=2:p65512909w0876;3b0>;11o0?>?5rs3203?6=:r7=4:4>a59>27}:>121=l:4=7c2>1453ty9<>750;0x93>>28k?708n2;616>{t:99j6=4={<4;e?7f<27=m=4;239~w764j3:1=4u269`95=c<5?2h6<6j;<4;`?7?m27=4h4>8d9>2=`=91o01;7?:0:f?80>93;3i6399382<`=:>091=5k4=7;7>4>b34<297?7e:?5=3<60l16:49519g893??282n70869;3;a>;11h0:4h5268`95=c<5?3h6<6j;<4:`?7?m27=5h4>8d9>2<`=91o01;o?:0:f?80f93;3i639a382<`=:>h91?:94}|`5e1<72:<1??4;fzJ77a=#<:k1>>;j;[37g?5|5=h39l0:76g>d183>!26n3;hj6`;1d83?>o6kl0;6):>f;3`b>h39l0:76g>ce83>!26n3;hj6`;1d81?>o6kj0;6):>f;3`b>h39l0876g>c`83>!26n3;hj6`;1d87?>o6k00;6):>f;3`b>h39l0>76g>c983>!26n3;hj6`;1d85?>o6k>0;6):>f;3`b>h39l0<76g>c783>!26n3;hj6`;1d8;?>o6k<0;6):>f;3`b>h39l0276g>c583>!26n3;hj6`;1d8b?>o6k:0;6):>f;3`b>h39l0i76g>c383>!26n3;hj6`;1d8`?>o6k80;6):>f;3`b>h39l0o76g>d983>!26n3;hj6`;1d8f?>o6l>0;6):>f;3`b>h39l0m76g>d783>!26n3;hj6`;1d824>=n9m?1<7*;1g82gc=i<8o1=<54i0f7>5<#<8l1=nh4n53f>44<3`;o?7>5$53e>4ea3g>:i7?<;:k2`7<72->:j7?lf:l75`<6<21b=i?50;&75c<6ko1e8e;34?>o3<<0;66g>8d83>>o3;80;66g>9g83>>i6m00;6):>f;3f<>h39l0;76a>e683>!26n3;n46`;1d82?>i6m?0;6):>f;3f<>h39l0976a>e483>!26n3;n46`;1d80?>i6m:0;6):>f;3f<>h39l0?76a>e383>!26n3;n46`;1d86?>i6m80;6):>f;3f<>h39l0=76a>e183>!26n3;n46`;1d84?>i6lo0;6):>f;3f<>h39l0376a>dd83>!26n3;n46`;1d8:?>i6lm0;6):>f;3f<>h39l0j76a>db83>!26n3;n46`;1d8a?>i6lk0;6):>f;3f<>h39l0h76a>d`83>!26n3;n46`;1d8g?>i6n80;6):>f;3f<>h39l0n76a>f183>!26n3;n46`;1d8e?>i6mo0;6):>f;3f<>h39l0:<65`1dg94?"39o0:i55a40g954=4:9l5`g=83.?=k4>e99m04c=9<10c4<729q/8>o52`48L12>3A>8h6a=a483>>{e>h<1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm6`594?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e>h21<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm6`;94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e>hk1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm6``94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e>hi1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm6`f94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e>ho1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm6`d94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e>k:1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm6c394?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e>k81<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm6c194?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e>k>1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm6c794?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e>k<1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm6c594?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e>k21<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm6c;94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e>kk1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm6c`94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e>ki1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm6cf94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e>ko1<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a2g`=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm6b294??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi:n?50;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e>j81<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a2f5=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm6b694??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi:n;50;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e>j<1<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a2f1=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm6b:94??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi:n750;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e>jk1<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a2fd=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm6ba94??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi:nj50;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e>jo1<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a2f`=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm6e294??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi:i?50;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e>m81<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a2a5=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm6e694??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi:i;50;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e>m<1<7=50;2x 15f2;hi7E:;9:J77a=#:hn14k5f2`:94?=n:h31<75`36594?=z{;:8o7>518y]b<=:>ko158526cd9=0=:>j:158526b39=0=:>j8158526b19=0=:>j>158526b79=0=:>j<158526b59=0=:>j2158526b;9=0=:>jk158526b`9=0=:>ji158526bf9=0=:>jo158526bd9=0=:>m:158526e39=0=:>m8158526e19=0=:>m>158526e79=0=z{;:8h7>518y]b2=:>ko159526cd9=1=:>j:159526b39=1=:>j8159526b19=1=:>j>159526b79=1=:>j<159526b59=1=:>j2159526b;9=1=:>jk159526b`9=1=:>ji159526bf9=1=:>jo159526bd9=1=:>m:159526e39=1=:>m8159526e19=1=:>m>159526e79=1=z{;:8i7>52z\2`5=:>m91=l:4}r037c<72;qU=nk4=7f6>4g33ty9<9>50;0xZ4ec34;2;296~X6kh16:i<51`68yv47<:0;6?uQ1b;893b628k?7p}=05694?4|V8i3708ld;3b0>{t:9>>6=4={_3`3>;1ko0:m95rs3272?6=:rT:o;526bg95d2=838pR=:6:181[7d;27=oo4>a59~w763i3:1>vP>c39>2f1=9h>0q~7}Y9j;01;m6:0c7?xu58=i1<75<5sW;o;639c582e1=z{;:?i7>52z\2`3=:>j<1=l:4}r030c<72;qU=i;4=7a6>4g33ty9<8>50;0xZ4b334:2;296~X6l;16:n<51`68yv47=:0;6?uQ1e3893db28k?7p}=04694?4|V8ii708l0;3b0>{t:9?>6=4={_3`4>;1jo0:m95rs3262?6=:rT?88526e496d?b34282n708na;3;a>;1ik0:4h526`a95=c<5?ko6<6j;<4ba?7?m27=mk4>8d9>2g6=91o01;l>:0:f?80e:3;3i639b282<`=:>k>1=5k4=7`6>4>b34282n708ma;3;a>;1jk0:4h526ca95=c<5?ho6<6j;<4g2?4f02wx>=;7:1805~X61o16:l8515g893g028>n708n8;37a>;1i00:8h526`c951c<5?ki6<:j;<4bg?73m27=mi4>4d9>2dc=9=o01;oi:06f?80e83;?i639b0820`=:>k81=9k4=7`0>42b34n708m8;37a>;1j00:8h526cc951c<5?hi6<:j;<4ag?73m27=ni4>4d9>2gc=90l01;li:0;e?80d83;2j639c082=c=:>j81=4h4=7a0>4?a34;1k00:5k526bc95<`<5?ii6<7i;<4`g?7>n27=oi4>9g9>2fc=90l01;mi:0;e?80c83;2j639d082=c=:>m81=4h4=7f0>4?a34:9;296~X6m016:oj54308yv47=h0;6?uQ1d5893dd2=897p}=04`94?4|V8o=708mb;616>{t:9?h6=4={_3f1>;1jh0?>?5rs326`?6=:rT:i>526c;9074;<4a3?25:2wx>=8?:181[7b827=n;4;239~w76193:1>vP>dg9>2g3=<;80q~7}Y9mo01;l;:501?xu58?91<79>6s|2147>5<5sW;oo639b38767=z{;:=97>52z\2`g=:>k;18?<4}r0323<72;qU=io4=7`3>1453ty9<;950;0xZ4`63499;296~X6mo16:lj54308yv47>h0;6?uQ1dg893gd2=897p}=07`94?4|V8oo708nb;616>{t:9;1ih0?>?5rs325`?6=:rT:io526`;9074=9?:181[7c127=m;4;239~w76093:1?<1<5?hm6484=7`e><1<5?i;6484=7a3><1<5?i:6484=7a2><1<5?i96484=7a1><1<5?i86484=7a0><1<5?i?6484=7a7><1<5?i>6484=7a6><1<5?i=6484=7a5><1<5?i<6484=7a4><1<5?i36484=7a;><1<5?i26484=7a:><1<5?ij6484=7ab><1<5?ii6484=7aa><1<5?ih6484=7a`><1<5?io6484=7ag><1<5?in6484=7af><1<5?im6484=7ae><1<5?n;6484=7f3><1<5?n:6484=7f2><1<5?n96484=7f1><1<5?n86484=7f0><1<5?n?6484=7f7><1<5?n>6484=7f6><12d0=9h>01;li:501?xu58>91<74g334;1jl0?>?5rs3241?6=:r7=m44>a59>2f4=<;80q~7}:>hk1=l:4=7a0>1453ty9<:950;0x93ge28k?708l1;616>{t:9=36=4={<4bg?7f<27=o84;239~w76013:1>v39ae82e1=:>j<18?<4}r033d<72;q6:lk51`6893e32=897p}=06`94?4|5?km6=9l:18180e83;j8639c88767=z{;:52z?5f4<6i=16:n954308yv47?l0;6?u26c095d2<5?ii69<=;|q142`=838p1;l<:0c7?80dk3>9>6s|21:3>5<5s471;296~;1j<0:m9526bg90742g0=9h>01;mi:501?xu58191<74g33432909w08m8;3b0>;1l80?>?5rs32;1?6=:r7=n44>a59>2a4=<;80q~7}:>kk1=l:4=7f3>1453ty9<5950;0x93de28k?708k4;616>{t:9236=4={<4ag?7f<27=h84;239~w76?13:1>v39be82e1=:>m918?<4}r03j;1=5k4=7a1>4>b34;1k10:4h526b;95=c<5?ij6<6j;<4`f?7?m27=on4>8d9>2fb=91o01;mj:0:f?80dn3;3i639d182<`=:>m;1=5k4=7f1>4>b34i6T>4b801`=:h08o7sff883>!26n3l37c:>e;28?l`0290/850;&75c<6ko1e8cg9m04c=921b=nj50;&75c<6ko1e8cg9m04c=;21b=no50;&75c<6ko1e8cg9m04c==21b=n650;&75c<6ko1e8cg9m04c=?21b=n850;&75c<6ko1e8cg9m04c=121b=n:50;&75c<6ko1e8cg9m04c=j21b=n<50;&75c<6ko1e8cg9m04c=l21b=i650;&75c<6ko1e8cg9m04c=n21b=i850;&75c<6ko1e8e;31?>o6l:0;6):>f;3`b>h39l0:?65f1e094?"39o0:ok5a40g951=7:9j013=831b=5k50;9j067=831b=4h50;9l5`?=83.?=k4>e99m04c=821d=h950;&75c<6m11e8e99m04c=:21d=h;50;&75c<6m11e8e99m04c=<21d=h<50;&75c<6m11e8e99m04c=>21d=h>50;&75c<6m11e8e99m04c=021d=ik50;&75c<6m11e8e99m04c=i21d=im50;&75c<6m11e8e99m04c=k21d=io50;&75c<6m11e8e99m04c=m21d=k>50;&75c<6m11e8e99m04c=9910ceb83>!26n3;n46`;1d827>=h9lh1<7*;1g82a==i<8o1=954o0gb>5<#<8l1=h64n53f>43<3f;n87>5$53e>4c?3g>:i7?9;:m2`<<72->:j7?j8:l75`<6?21i:i650;394?6|,=9j6?o9;I67=>N3;m1d>l;50;9~f3b>290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn;jn:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f3be290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn;jl:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f3bc290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn;jj:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f3ba290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn;k?:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f3c6290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn;k=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f3c4290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn;k;:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f3c2290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn;k9:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f3c0290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn;k7:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f3c>290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn;kn:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f3ce290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn;kl:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f3cc290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn;kj:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f3ca290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn;h?:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f3`629026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb7d1>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn;h<:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f3`229026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb7d5>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn;h8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f3`>29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb7db>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn;hm:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f3`c29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb7df>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn;hi:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<:;6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f26629026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb621>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn:><:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<:?6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f26229026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb625>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn:>8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<:36=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f26>29086=4?{%60e?4ej2B?845G42f8 7gc21l0e?o7:188m7g>2900c>98:188yv470k0;6<7t^g;893`620?01;h=:87893`420?01;h;:87893`220?01;h9:87893`020?01;h7:87893`>20?01;hn:87893`e20?01;hl:87893`c20?01;hj:87893`a20?01:>?:878926620?01:>=:878926420?01:>;:878926220?01:>9:878926020?01:>7:878yv470j0;6<7t^g5893`620>01;h=:86893`420>01;h;:86893`220>01;h9:86893`020>01;h7:86893`>20>01;hn:86893`e20>01;hl:86893`c20>01;hj:86893`a20>01:>?:868926620>01:>=:868926420>01:>;:868926220>01:>9:868926020>01:>7:868yv470m0;6?uQ1e28926128k?7p}=09g94?4|V8in709?8;3b0>{t:92m6=4={_3``>;08>0:m95rs32:4?6=:rT:on5271195d2:>6=7<:181[7d027<<=4>a59~w76><3:1>vP>c69>354=9h>0q~7}Y9j<01:>>:0c7?xu580<1<75<5sW;h8639fg82e1=z{;:247>52z\2g6=:>oo1=l:4}r03=<<72;qU=n<4=7db>4g33ty9<4o50;0xZ4e6346c;296~X6l>16:k951`68yv471m0;6?uQ1e4893`>28k?7p}=08g94?4|V8n>708i8;3b0>{t:93m6=4={_3g0>;1n=0:m95rs32b4?6=:rT:h>526g495d26;<4e5?7f<2wx>=o<:181[7dj27=j>4>a59~w76f<3:1>vP>c19>2c4=9h>0q~7}Y<=?01:>6:3c:?xu58h<1<7?n{_3;a>;1l00:4h526ec95=c<5?ni6<6j;<4gg?7?m27=hi4>8d9>2ac=91o01;ji:0:f?80b83;3i639e082<`=:>l81=5k4=7g0>4>b34;1m00:4h526dc95=c<5?oi6<6j;<4fg?7?m27=ii4>8d9>2`c=91o01;ki:0:f?80a83;3i6380881e==z{;:j;7>530y]5<`<5?n26<:j;<4ge?73m27=ho4>4d9>2ae=9=o01;jk:06f?80cm3;?i639dg820`=:>l:1=9k4=7g2>42b347?;e:?5a6<6n708j6;37a>;1m>0:8h526d:951c<5?o26<:j;<4fe?73m27=io4>4d9>2`e=9=o01;kk:06f?80bm3;?i639eg820`=:>o:1=9k4=7d2>4?a347?6f:?5b6<61o16:k:518d893`2283m708i6;3:b>;1n>0:5k526g:95<`<5?l26<7i;<4ee?7>n27=jo4>9g9>2ce=90l01;hk:0;e?80am3;2j639fg82=c=:?9:1=4h4=622>4?a34=;>7?6f:?446<61o16;=:518d89262283m709?6;3:b>;08>0:5k5271:95<`=on:181[7b>27=ih4;239~w76fj3:1>vP>e49>2`b=<;80q~7}Y9l901;kl:501?xu58hn1<79>6s|21cf>5<5sW;n=639e`8767=z{;:jj7>52z\2a5=:>l318?<4}r03f5<72;qU=ih4=7g;>1453ty9m3;296~X6lj16:h;54308yv47j=0;6?uQ1e`893c32=897p}=0c794?4|V8nj708j3;616>{t:9h=6=4={_3e5>;1m;0?>?5rs32a3?6=:rT:j=526d39074=ln:181[7bl27=hh4;239~w76ej3:1>vP>eb9>2ab=<;80q~7}Y9lh01;jl:501?xu58kn1<79>6s|21`f>5<5sW;n8639d`8767=z{;:ij7>52z\2`<=:>m318?<4}r03g5<72:;p1;j7:3c6?80a933=708i1;;4?80a:33=708i2;;4?80a;33=708i3;;4?80a<33=708i4;;4?80a=33=708i5;;4?80a>33=708i6;;4?80a?33=708i7;;4?80a033=708i8;;4?80a133=708i9;;4?80ai33=708ia;;4?80aj33=708ib;;4?80ak33=708ic;;4?80al33=708id;;4?80am33=708ie;;4?80an33=708if;;4?817833=709?0;;4?817933=709?1;;4?817:33=709?2;;4?817;33=709?3;;4?817<33=709?4;;4?817=33=709?5;;4?817>33=709?6;;4?817?33=709?7;;4?817033=709?8;;4?xu58j;1<74g3347:=2:p65e52909w08ka;3b0>;1n:0?>?5rs32`7?6=:r7=ho4>a59>2c7=<;80q~7}:>mi1=l:4=7d6>1453ty9{t:9i=6=4={<4ga?7f<27=j94;239~w76d?3:1>v39dg82e1=:>o218?<4}r03g=<72;q6:h>51`6893`>2=897p}=0b;94?4|5?o:6=mn:18180b:3;j8639fc8767=z{;:hn7>52z?5a6<6i=16:km54308yv47kj0;6?u26d695d2<5?lj69<=;|q14fb=838p1;k::0c7?80am3>9>6s|21af>5<5s4lf;296~;1m>0:m9526gf90742`>=9h>01:>>:501?xu58m;1<74g334=;>7:=2:p65b52909w08ja;3b0>;0890?>?5rs32g7?6=:r7=io4>a59>352=<;80q~7}:>li1=l:4=626>1453ty9{t:9n=6=4={<4fa?7f<27<<:4;239~w76c?3:1>v39eg82e1=:?9218?<4}r03`=<72;q6:k>51`6892612=897p}=0e;94?7>s4;1n?0:4h526g595=c<5?l36<6j;<4e=?7?m27=jl4>8d9>2cd=91o01;hl:0:f?80al3;3i639fd82<`=:>ol1=5k4=623>4>b34=;=7?7e:?447<60l16;==519g89263282n709?5;3;a>;08?0:4h5271595=c<5>:36<6j;<53=?50?2wvn:>n:1802?552=lpD9=k;%60e?44=l1Q=9m53z6e>7g=;j0vek750;&75c4=5<#<8l1=nh4n53f>4=5<#<8l1=nh4n53f>6=5<#<8l1=nh4n53f>0=5<#<8l1=nh4n53f>2=5<#<8l1=nh4n53f><=5<#<8l1=nh4n53f>g=5<#<8l1=nh4n53f>a=5<#<8l1=nh4n53f>c=4;h3g1?6=,=;m62:9j5a5=83.?=k4>cg9m04c=9:10e76g>cc83>!26n3;hj6`;1d822>=n9j:1<7*;1g82gc=i<8o1=:54i566>5<5<5<#<8l1=h64n53f>5=5<#<8l1=h64n53f>7=6=4+40d95`>54o0g0>5<#<8l1=h64n53f>1=5<#<8l1=h64n53f>3=5<#<8l1=h64n53f>==5<#<8l1=h64n53f>d=5<#<8l1=h64n53f>f=5<#<8l1=h64n53f>`=5<#<8l1=h64n53f>46<3f;ni7>5$53e>4c?3g>:i7?>;:m2aa<72->:j7?j8:l75`<6:21d=hm50;&75c<6m11e807b?ja;29 17a28o37c:>e;36?>i6m=0;6):>f;3f<>h39l0::65`1e;94?"39o0:i55a40g952=:i6=4>:183!24i38j:6F;489K06b6=44}c53g?6=<3:1n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo9=5;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo9=9;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo9=d;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo9<1;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<7>59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo9<5;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo9<9;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<a3`8j47>5;h0b=?6=3f9<;7>5;|q14ag=83;2wSh6;<510??234=9977:;<512??234=9;77:;<51=jk:181[7dm27a59~w76cm3:1>vP>ce9>36g=9h>0q~7}Y9ji01:=9:0c7?xu58l:1<75<5sW;h56383682e1=z{;:n>7>52z\2g==:?:91=l:4}r03a6<72;qU=n94=616>4g33ty9j6;296~X6k=16;><51`68yv47m>0;6?uQ1b18925628k?7p}=0d:94?4|V8i9709=d;3b0>{t:9o26=4={_3`5>;0:o0:m95rs32fe?6=:rT:h55273g95d28j6=kk:181[7c=27<>o4>a59~w76bm3:1>vP>d59>371=9h>0q~7}Y9m901:<6:0c7?xu58o:1<75<5sW;o=6382582e1=z{;:m>7>52z\2gg=:?;<1=l:4}r03b6<72;qU=n>4=606>4g33ty98d9>35e=91o01:>k:0:f?817m3;3i6380g82<`=:?8:1=5k4=632>4>b34=:>7?7e:?456<60l16;<:519g89272282n709>6;3;a>;09>0:4h5270:95=c<5>;26<6j;<52e?7?m27<=o4>8d9>34e=91o01:?k:0:f?816m3;3i6381g82<`=:?;:1=5k4=602>4>b34=9>7?7e:?466<60l16;>m52`:8yv47n?0;6>?t^0;e?817k3;?i6380e820`=:?9o1=9k4=62e>42b34=:<7?;e:?454<6n709>4;37a>;09<0:8h52704951c<5>;<6<:j;<524d9>34g=9=o01:?m:06f?816k3;?i6381e820`=:?8o1=9k4=63e>42b34=9<7?;e:?464<6n709=4;3:b>;0:<0:5k5273495<`<5>8<6<7i;<51n27<>44>9g9>37g=90l01:4?a34=8<7?6f:?474<61o16;><518d89254283m709<4;3:b>;0;<0:5k5272495<`<5>9<6<7i;<50n279g9>36g=90l01:=m:0;e?xu58o=1<79>6s|21d;>5<5sW;n;638238767=z{;:m57>52z\2a3=:?;;18?<4}r03bd<72;qU=h;4=603>1453ty9id;296~X6m816;b;616>{t:8:;6=4={_3ga>;09h0?>?5rs3335?6=:rT:hi5270;9074;369<=;|q1555=838pR<>;:181[7ci27<=;4;239~w777=3:1>vP>f09>343=<;80q~<>0783>7}Y9o:01:?;:501?xu599=1<79>6s|202;>5<5sW;ni638138767=z{;;;57>52z\2aa=:?8;18?<4}r024d<72;qU=hm4=633>1453ty9==l50;0xZ4ce34=;j7:=2:p646d2909wS?ja:?44`<3:;1v???d;296~X6m=16;=j54308yv468l0;6?uQ1e;8926d2=897p}=11d94?56s4=;n7>27<>9467:?460<>>27<>8467:?463<>>27<>;467:?462<>>27<>:467:?46=<>>27<>5467:?46<<>>27<>4467:?46d<>>27<>l467:?46g<>>27<>o467:?46f<>>27<>n467:?46a<>>27<>i467:?46`<>>27<>h467:?46c<>>27<>k467:?475<>>27>27>27>27467:?471<>>27>27>27>27>27>27>27>27;0:<0?>?5rs3325?6=:r7<a59>370=<;80q~<>1383>7}:?9o1=l:4=607>1453ty9=<=50;0x926a28k?709=8;616>{t:8;?6=4={<524?7f<27<>44;239~w776=3:1>v381082e1=:?;=18?<4}r0253<72;q6;<<51`68924e2=897p}=10594?4|5>;8652z?450<6i=16;?k54308yv469h0;6?u270495d2<5>8m69<=;|q154d=838p1:?8:0c7?815l3>9>6s|203`>5<5s4=:47?n4:?474<3:;1v??>d;296~;0900:m952720907434g=9h>01:=?:501?xu598l1<74g334=887:=2:p64472909w09>c;3b0>;0;<0?>?5rs3315?6=:r7<=i4>a59>365=<;80q~<>2383>7}:?8o1=l:4=614>1453ty9=?=50;0x927a28k?709<8;616>{t:88?6=4={<514?7f<27v382082e1=:?:k18?<4}r0263<72;q6;?<51`68925e2=897p}=13594?4|5>886<<7:182=~;0:=0:4h5273795=c<5>8=6<6j;<513?7?m27<>54>8d9>37?=91o01:4>b34=9j7?7e:?475<60l16;>?519g89255282n709<3;3;a>;0;=0:4h5272795=c<5>9=6<6j;<503?7?m278d9>36?=91o01:=n:0:f?814j3;3i6383b8032=zuk=8h7>537806?2asA>8h6*;3`8170ch6>u;f;0b>6e=u`l26=4+40d9b==i<8o1<65ff683>!26n3l37c:>e;38?l7c83:1(9?i:0ae?k26m3:07d?le;29 17a28im7c:>e;38?l7dl3:1(9?i:0ae?k26m3807d?lc;29 17a28im7c:>e;18?l7di3:1(9?i:0ae?k26m3>07d?l9;29 17a28im7c:>e;78?l7d03:1(9?i:0ae?k26m3<07d?l7;29 17a28im7c:>e;58?l7d>3:1(9?i:0ae?k26m3207d?l5;29 17a28im7c:>e;;8?l7d<3:1(9?i:0ae?k26m3k07d?l3;29 17a28im7c:>e;`8?l7d:3:1(9?i:0ae?k26m3i07d?l1;29 17a28im7c:>e;f8?l7c03:1(9?i:0ae?k26m3o07d?k7;29 17a28im7c:>e;d8?l7c>3:1(9?i:0ae?k26m3;;76g>d483>!26n3;hj6`;1d825>=n9m>1<7*;1g82gc=i<8o1=?54i0f0>5<#<8l1=nh4n53f>45<3`;o>7>5$53e>4ea3g>:i7?;;:k2`4<72->:j7?lf:l75`<6=21b=nl50;&75c<6ko1e8n3:17b?j9;29 17a28o37c:>e;28?j7b?3:1(9?i:0g;?k26m3;07b?j6;29 17a28o37c:>e;08?j7b=3:1(9?i:0g;?k26m3907b?j3;29 17a28o37c:>e;68?j7b:3:1(9?i:0g;?k26m3?07b?j1;29 17a28o37c:>e;48?j7b83:1(9?i:0g;?k26m3=07b?kf;29 17a28o37c:>e;:8?j7cm3:1(9?i:0g;?k26m3307b?kd;29 17a28o37c:>e;c8?j7ck3:1(9?i:0g;?k26m3h07b?kb;29 17a28o37c:>e;a8?j7ci3:1(9?i:0g;?k26m3n07b?i1;29 17a28o37c:>e;g8?j7a83:1(9?i:0g;?k26m3l07b?jf;29 17a28o37c:>e;33?>i6ml0;6):>f;3f<>h39l0:=65`1df94?"39o0:i55a40g957=5:9l5`2=83.?=k4>e99m04c=9?10c50z&77d<5i?1C8974H51g?j4f=3:17pl83g83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd0<90;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl84083>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd0<;0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl84283>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd0<=0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl84483>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd0"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl84683>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd0<10;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl84883>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd0"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl84c83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd0"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl84e83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd0"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl84g83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd0=90;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl85083>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd0=;0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl85283>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd0==0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl85483>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd0=?0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl85683><<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th<954?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd0=00;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`41d<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th<9n4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd0=m0;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`41`<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th<:=4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd0>80;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`427<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th<:94?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd0><0;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`423<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th<:54?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd0>00;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`42d<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th<:n4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd0>m0;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`42`<7200;6=u+42c906><@=>27E:6<729q/8>o52c`8L12>3A>8h6*=ae8;b>o5i10;66g=a883>>i4?>0;66s|200:>5<61rTm5638568:1>;0=1029638588:1>;0=h0296385c8:1>;0=j0296385e8:1>;0=l0296385g8:1>;0>9029638608:1>;0>;029638628:1>;0>=029638648:1>;0>?029638668:1>;0>1029638688:1>;0>h0296386c8:1>;0>j0296386e8:1>;0>l0296s|200b>5<61rTm;638568:0>;0=1028638588:0>;0=h0286385c8:0>;0=j0286385e8:0>;0=l0286385g8:0>;0>9028638608:0>;0>;028638628:0>;0>=028638648:0>;0>?028638668:0>;0>1028638688:0>;0>h0286386c8:0>;0>j0286386e8:0>;0>l0286s|200a>5<5sW;o<6386b82e1=z{;;9o7>52z\2g`=:??o1=l:4}r026a<72;qU=nj4=64g>4g33ty9=?k50;0xZ4ed34==57?n4:p644a2909wS?la:?42g<6i=1v??<0;296~X6k016;;o51`68yv46;80;6?uQ1b:8920128k?7p}=12094?4|V8i<70998;3b0>{t:8986=4={_3`2>;0>>0:m95rs3300?6=:rT:o85277195d2<>6<=8:181[7d:27<:=4>a59~w77403:1>vP>c09>334=9h>0q~<>3883>7}Y9m201:8>:0c7?xu59:k1<75<5sW;o:6385g82e1=z{;;8o7>52z\2`0=:?4g33ty9=>k50;0xZ4b434=>o7?n4:p645a2909wS?k2:?41g<6i=1v??;0;296~X6l816;8951`68yv46<80;6?uQ1b`8923>28k?7p}=15094?4|V8i;709:8;3b0>{t:8>86=4={_671>;0>o09m45rs3370?6=9hqU=5k4=61e>4>b34=?<7?7e:?404<60l16;9<519g89224282n709;4;3;a>;0<<0:4h5275495=c<5>><6<6j;<578d9>31g=91o01::m:0:f?813k3;3i6384e82<`=:?=o1=5k4=66e>4>b34=><7?7e:?414<60l16;8<519g89234282n709:4;3;a>;0=<0:4h5274495=c<5>515g8922628>n709;2;37a>;0<:0:8h52756951c<5>>>6<:j;<572?73m27<8:4>4d9>31>=9=o01::6:06f?813i3;?i6384c820`=:?=i1=9k4=66g>42b34=?i7?;e:?40c<6515g8923628>n709:2;37a>;0=:0:8h52746951c<5>?>6<:j;<562?73m27<9:4>9g9>30>=90l01:;6:0;e?812i3;2j6385c82=c=:?4?a34=>i7?6f:?41c<61o16;;>518d89206283m70992;3:b>;0>:0:5k5277695<`<5><>6<7i;<552?7>n27<::4>9g9>33>=90l01:86:0;e?811i3;2j6386c82=c=:??i1=4h4=64g>4?a34==i7?6f:p64212909wS?j9:?413<3:;1v??;7;296~X6m>16;8;54308yv46<10;6?uQ1d4892332=897p}=15;94?4|V8o>709:3;616>{t:8>j6=4={_3f7>;0=;0?>?5rs337f?6=:rT:i?527439074?;69<=;|q151b=838pR<:j:181[7cn27<8h4;239~w773n3:1>vP>dd9>31b=<;80q~<>5183>7}Y9mn01::l:501?xu59<;1<79>6s|2071>5<5sW;on6384`8767=z{;;>?7>52z\2`d=:?=318?<4}r0211<72;qU=k?4=66;>1453ty9=8;50;0xZ4`734=?;7:=2:p64312909wS?jf:?403<3:;1v??:7;296~X6ml16;9;54308yv46=10;6?uQ1df892232=897p}=14;94?4|V8oh709;3;616>{t:8?j6=4={_3ff>;0<;0?>?5rs336f?6=:rT:il527539074>;69<=;|q150b=838pR<;j:1805~;0;l09m8527459=3=:?<=15:5274:9=3=:?<215:5274;9=3=:?<315:5274c9=3=:?15:527779=3=:???15:527749=3=:??<15:527759=3=:??=15:5277:9=3=:??215:5277;9=3=:??315:5277c9=3=:??k15:5277`9=3=:??h15:5277a9=3=:??i15:5277f9=3=:??n15:5277g9=3=:??o15:5rs336b?6=:r7a59>30>=<;80q~<>6183>7}:?=:1=l:4=67:>1453ty9=;?50;0x922628k?709:7;616>{t:8<96=4={<576?7f<27<9o4;239~w771;3:1>v384282e1=:?>>6<89:181813>3;j86385g8767=z{;;=;7>52z?402<6i=16;8j54308yv46>10;6?u275:95d2<5><:69<=;|q153?=838p1::6:0c7?811:3>9>6s|204b>5<5s4=?m7?n4:?425<3:;1v??9b;296~;031e=9h>01:8::501?xu59?n1<74g334==?7:=2:p640b2909w09;e;3b0>;0>>0?>?5rs335b?6=:r7<8k4>a59>33>=<;80q~<>7183>7}:?<:1=l:4=645>1453ty9=:?50;0x923628k?7099a;616>{t:8=96=4={<566?7f<27<:o4;239~w770;3:1>v385282e1=:??318?<4}r0231<72;q6;8:51`68920c2=897p}=16794?4|5>?>6<99:181812>3;j86386b8767=z{;;<;7>518y>301=91o01:;7:0:f?81213;3i6385`82<`=:?4>b34=>h7?7e:?41`<60l16;8h519g89207282n70991;3;a>;0>;0:4h5277195=c<5>8d9>331=91o01:87:0:f?81113;3i6386`82<`=:??h1=5k4=64`>4>b34==h7?7e:?42`<60l16;;h53658yxd0?90;6>853387b~N3;m1/8>o5227f?_73k39p8k4=a;1`>xoa13:1(9?i:g:8j17b2910ek950;&75c;:k2`5<72->:j7?lf:l75`<732c:oh4?:%62b?7dn2d?=h4>;:k2ga<72->:j7?lf:l75`<532c:on4?:%62b?7dn2d?=h4<;:k2gd<72->:j7?lf:l75`<332c:o44?:%62b?7dn2d?=h4:;:k2g=<72->:j7?lf:l75`<132c:o:4?:%62b?7dn2d?=h48;:k2g3<72->:j7?lf:l75`:j7?lf:l75`4?:%62b?7dn2d?=h4m;:k2g7<72->:j7?lf:l75`:j7?lf:l75`:j7?lf:l75`<6821b=i;50;&75c<6ko1e8e;30?>o6l;0;6):>f;3`b>h39l0:865f1e394?"39o0:ok5a40g950=:j7?j8:l75`<632e:i;4?:%62b?7b02d?=h4=;:m2a0<72->:j7?j8:l75`<432e:i>4?:%62b?7b02d?=h4;;:m2a7<72->:j7?j8:l75`<232e:i<4?:%62b?7b02d?=h49;:m2a5<72->:j7?j8:l75`<032e:hk4?:%62b?7b02d?=h47;:m2``<72->:j7?j8:l75`<>32e:hi4?:%62b?7b02d?=h4n;:m2`f<72->:j7?j8:l75`:j7?j8:l75`:j7?j8:l75`0:9l5`c=83.?=k4>e99m04c=9810cec83>!26n3;n46`;1d820>=h9lk1<7*;1g82a==i<8o1=854o0g7>5<#<8l1=h64n53f>40<3f;o57>5$53e>4c?3g>:i7?8;:`434<7280;6=u+42c96d0<@=>27E:N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a325=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi;::50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a323=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi;:850;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a321=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi;:650;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a32?=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi;:o50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a32d=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi;:m50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a32b=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi;:k50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a32`=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi;5>50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a3=7=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi;5<50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a3=5=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi;5:50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a3=3=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi;5850;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a3=1=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi;5650;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a3=?=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi;5o50;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e?1h1<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a3=e=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm79f94??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi;5k50;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e?1l1<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a3<6=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm78394??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi;4<50;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e?091<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a3<2=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm78794??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi;4850;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e?0=1<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a3<>=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm78;94??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi;4o50;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e?0h1<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a3t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm78f94??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi;4k50;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e?0l1<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a3d6=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm7`394??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi;l<50;194?6|,=9j6?lm;I67=>N3;m1/>lj58g9j6d>=831b>l750;9l721=831v??88;295<}Yn016;5o5949>3=d=1<16;5m5949>3=b=1<16;5k5949>3=`=1<16;4>5949>3<7=1<16;4<5949>3<5=1<16;4:5949>3<3=1<16;485949>3<1=1<16;465949>3333<`=1<16;l>5949>3d7=1<1v??89;295<}Yn>16;5o5959>3=d=1=16;5m5959>3=b=1=16;5k5959>3=`=1=16;4>5959>3<7=1=16;4<5959>3<5=1=16;4:5959>3<3=1=16;485959>3<1=1=16;465959>3333<`=1=16;l>5959>3d7=1=1v??8a;296~X6l916;4h51`68yv46?k0;6?uQ1bg892g628k?7p}=16a94?4|V8io709n0;3b0>{t:8=o6=4={_3`g>;01j0:m95rs334a?6=:rT:ol5278g95d23o6<6>:181[7d?27<5o4>a59~w77?:3:1>vP>c79>30q~<>8283>7}Y9j?01:79:0c7?xu591>1<703;j86s|20:6>5<5sW;h?6389682e1=z{;;3:7>52z\2g7=:?091=l:4}r02<2<72;qU=n?4=6;6>4g33ty9=5650;0xZ4b?34=287?n4:p64>>2909wS?k7:?4=5<6i=1v??7a;296~X6l?16;4<51`68yv460k0;6?uQ1e7892?628k?7p}=19a94?4|V8n?7097d;3b0>{t:82o6=4={_3g7>;00o0:m95rs33;a?6=:rT:h?5279g95d22j6<7>:181[7d827<4o4>a59~w77>:3:1>vP;449>3d4=:h30q~<>9283>4g|V82n70982;3;a>;0?:0:4h5276695=c<5>=>6<6j;<542?7?m27<;:4>8d9>32>=91o01:96:0:f?810i3;3i6387c82<`=:?>i1=5k4=65g>4>b34=519g892>6282n70972;3;a>;00:0:4h5279695=c<5>2>6<6j;<5;2?7?m27<4:4>8d9>3=>=91o01:66:0:f?81f:38j46s|20;7>5<49rT:5k52760951c<5>=86<:j;<540?73m27<;84>4d9>320=9=o01:98:06f?81003;?i63878820`=:?>k1=9k4=65a>42b34=n70970;37a>;0080:8h52790951c<5>286<:j;<5;0?73m27<484>4d9>3=0=9=o01:68:06f?81?03;?i63888820`=:?1k1=4h4=6:a>4?a34=3o7?6f:?4a283m70960;3:b>;0180:5k5278095<`<5>386<7i;<5:0?7>n27<584>9g9>3<0=90l01:78:0;e?81>03;2j6389882=c=:?0k1=4h4=6;a>4?a34=2o7?6f:?4=a<61o16;4k518d892?a283m709n0;3:b>;0i80:5k5rs33:1?6=:rT:i45279;90742369<=;|q15<1=838pR<77:181[7b=27<4;4;239~w77>13:1>vP>e29>3=3=<;80q~<>9`83>7}Y9l801:6;:501?xu590h1<79>6s|20;`>5<5sW;n<638838767=z{;;2h7>52z\2`c=:?1;18?<4}r02=`<72;qU=ik4=6:3>1453ty9=4h50;0xZ4bc34={t:8k?6=4={_3e4>;0?h0?>?5rs33b1?6=:rT:ik5276;9074=369<=;|q15d1=838pRvP>ec9>323=<;80q~<>a`83>7}Y9lk01:9;:501?xu59hh1<79>6s|20c`>5<5sW;o5638738767=z{;;jh7>530y>327=:h?01:6n:84892>f20=01:6m:84892>e20=01:6l:84892>d20=01:6k:84892>c20=01:6j:84892>b20=01:6i:84892>a20=01:7?:84892?720=01:7>:84892?620=01:7=:84892?520=01:7<:84892?420=01:7;:84892?320=01:7::84892?220=01:79:84892?120=01:78:84892?020=01:77:84892??20=01:76:84892?>20=01:7n:84892?f20=01:7m:84892?e20=01:7l:84892?d20=01:7k:84892?c20=01:7j:84892?b20=01:7i:84892?a20=01:o?:84892g720=01:o>:84892g620=0q~<>ad83>7}:?>81=l:4=6:a>1453ty9=lh50;0x921428k?7097c;616>{t:8h;6=4={<540?7f<27<4l4;239~w77e93:1>v387482e1=:?1o18?<4}r02f7<72;q6;:851`6892>a2=897p}=1c194?4|5>=<652z?43<<6i=16;4<54308yv46j?0;6?u276c95d2<5>3;69<=;|q15g1=838p1:9m:0c7?81><3>9>6s|20`;>5<5s4=32c=9h>01:78:501?xu59kh1<74g334=247:=2:p64dd2909w0970;3b0>;01?0?>?5rs33a`?6=:r7<4<4>a59>3bd83>7}:?181=l:4=6;a>1453ty9=oh50;0x92>428k?70969;616>{t:8i;6=4={<5;0?7f<27<5i4;239~w77d93:1>v388482e1=:?0o18?<4}r02g7<72;q6;5851`6892?d2=897p}=1b194?4|5>2<652z?4<<<6i=16;4h54308yv46k?0;6<7t=6:b>4>b34=3n7?7e:?4b282n7097f;3;a>;0190:4h5278395=c<5>396<6j;<5:7?7?m27<594>8d9>3<3=91o01:79:0:f?81>?3;3i6389982<`=:?031=5k4=6;b>4>b34=2n7?7e:?4=f<60l16;4j519g892?b282n7096f;3;a>;0i90:4h527`395=c<5>k96>98;|a3d5=839=6><54gyK06b<,=9j6?=:e:X20f<4s=l1>l4:j7h7;o62a?6<3`l<6=4+40d9b==i<8o1=65f1e294?"39o0:ok5a40g94>=n9jo1<7*;1g82gc=i<8o1=65f1bf94?"39o0:ok5a40g96>=n9ji1<7*;1g82gc=i<8o1?65f1bc94?"39o0:ok5a40g90>=n9j31<7*;1g82gc=i<8o1965f1b:94?"39o0:ok5a40g92>=n9j=1<7*;1g82gc=i<8o1;65f1b494?"39o0:ok5a40g9<>=n9j?1<7*;1g82gc=i<8o1565f1b694?"39o0:ok5a40g9e>=n9j91<7*;1g82gc=i<8o1n65f1b094?"39o0:ok5a40g9g>=n9j;1<7*;1g82gc=i<8o1h65f1e:94?"39o0:ok5a40g9a>=n9m=1<7*;1g82gc=i<8o1j65f1e494?"39o0:ok5a40g955=6=4+40d95f`4?:%62b?7dn2d?=h4>3:9j5a4=83.?=k4>cg9m04c=9=10e:18'04`=9jl0b9?j:078?l7dj3:1(9?i:0ae?k26m3;=76g>c183>!26n3;hj6`;1d823>=n<=?1<75f19g94?=n<:;1<75f18d94?=h9l31<7*;1g82a==i<8o1<65`1d594?"39o0:i55a40g95>=h9l<1<7*;1g82a==i<8o1>65`1d794?"39o0:i55a40g97>=h9l91<7*;1g82a==i<8o1865`1d094?"39o0:i55a40g91>=h9l;1<7*;1g82a==i<8o1:65`1d294?"39o0:i55a40g93>=h9ml1<7*;1g82a==i<8o1465`1eg94?"39o0:i55a40g9=>=h9mn1<7*;1g82a==i<8o1m65`1ea94?"39o0:i55a40g9f>=h9mh1<7*;1g82a==i<8o1o65`1ec94?"39o0:i55a40g9`>=h9o;1<7*;1g82a==i<8o1i65`1g294?"39o0:i55a40g9b>=h9ll1<7*;1g82a==i<8o1==54o0gf>5<#<8l1=h64n53f>47<3f;nh7>5$53e>4c?3g>:i7?=;:m2af<72->:j7?j8:l75`<6;21d=hl50;&75c<6m11e8e;35?>i6l00;6):>f;3f<>h39l0:;65m7`694?7=83:p(9=n:3c5?M2312B??i5`2`794?=zj>k>6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb6c5>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj>k<6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb6c;>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj>k26=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb6cb>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj>ki6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb6c`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj>ko6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb6cf>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj>km6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb6`3>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj>h:6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb6`1>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj>h86=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb6`7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj>h>6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb6`5>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj>h<6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb6`;>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj>h26=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb6`b>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj>hi6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb6``>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj>ho6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f2db29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb6`e>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn:m?:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<i:6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f2e529026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb6a0>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn:m;:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<i>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f2e129026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb6a4>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn:m7:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<i26=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f2ef29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb6aa>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn:ml:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<io6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f2eb29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb6ae>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn:j?:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<n:6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f2b529026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb6f0>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn:j;:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<n>6=4<:183!24i38in6F;489K06b<,;ko65h4i3c;>5<5<ho64;4=6`f><3<5>hm64;4=6a3><3<5>i:64;4=6a1><3<5>i864;4=6a7><3<5>i>64;4=6a5><3<5>i<64;4=6a;><3<5>i264;4=6ab><3<5>ii64;4=6a`><3<5>io64;4=6af><3<5>im64;4=6f3><3<5>n:64;4=6f1><3<5>n864;4=6f7><3ho64:4=6`f><2<5>hm64:4=6a3><2<5>i:64:4=6a1><2<5>i864:4=6a7><2<5>i>64:4=6a5><2<5>i<64:4=6a;><2<5>i264:4=6ab><2<5>ii64:4=6a`><2<5>io64:4=6af><2<5>im64:4=6f3><2<5>n:64:4=6f1><2<5>n864:4=6f7><2n964>a59~w77dk3:1>vP>cb9>3f`=9h>0q~<>ce83>7}Y9jk01:j>:0c7?xu59jo1<75<5sW;h4638cb82e1=z{;;o<7>52z\2g2=:?jo1=l:4}r02`4<72;qU=n84=6ag>4g33ty9=i<50;0xZ4e234=h57?n4:p64b42909wS?l4:?4gg<6i=1v??k4;296~X6k:16;no51`68yv46l<0;6?uQ1b0892e128k?7p}=1e494?4|V8i:709l8;3b0>{t:8n<6=4={_3g<>;0k>0:m95rs33gi>6a59~w77ck3:1>vP>d29>3f4=9h>0q~<>de83>7}Y9m801:m>:0c7?xu59mo1<75<5sW;hn638bg82e1=z{;;n<7>52z\2g5=:?ko1=l:4}r02a4<72;qU89;4=6f6>7g>3ty9=h<50;3b[7?m278d9>3d0=91o01:o8:0:f?81f03;3i638a882<`=:?hk1=5k4=6ca>4>b34=jo7?7e:?4ea<60l16;lk519g892ga282n709m0;3;a>;0j80:4h527c095=c<5>h86<6j;<5a0?7?m278d9>3g0=91o01:l8:0:f?81e03;3i638b882<`=:?kk1=5k4=6`a>4>b34=io7?7e:?4`0<5i11v??j3;2974}Y90l01:o::06f?81f>3;?i638a6820`=:?h21=9k4=6c:>42b34=jm7?;e:?4eg<6n709ne;37a>;0io0:8h527c2951c<5>h:6<:j;<5a6?73m274>4d9>3g2=9=o01:l::06f?81e>3;?i638b6820`=:?k21=9k4=6`:>42b34=im7?;e:?4fg<6;0jo0:5k527b295<`<5>i:6<7i;<5`6?7>n274>9g9>3f2=90l01:m::0;e?81d>3;2j638c682=c=:?j21=4h4=6a:>4?a34=hm7?6f:?4gg<61o16;nm518d892ec283m709le;3:b>;0ko0:5k527e295<`<5>n:6<7i;<5g6?7>n274>9g9>3a2=90l0q~<>e583>7}Y9l301:ll:501?xu59l?1<79>6s|20g5>5<5sW;n:638b`8767=z{;;n;7>52z\2a0=:?k318?<4}r02a=<72;qU=h=4=6`;>1453ty9=h750;0xZ4c534=i;7:=2:p64cf2909wS?j1:?4f3<3:;1v??jb;296~X6m916;o;54308yv46mj0;6?uQ1ed892d32=897p}=1df94?4|V8nn709m3;616>{t:8on6=4={_3g`>;0j;0?>?5rs33fb?6=:rT:hn527c39074h;69<=;|q15c7=838pRvP>f19>3db=<;80q~<>f583>7}Y9ll01:ol:501?xu59o?1<79>6s|20d5>5<5sW;nh638a`8767=z{;;m;7>52z\2af=:?h318?<4}r02b=<72;qU=hl4=6c;>1453ty9=k750;0xZ4cf34=j;7:=2:p64`f2909wS?j4:?4e3<3:;1v??ib;296~X6l016;l;54308yv46nj0;6>?t=6c7>7g234=ih779;<5a`??034=ii779;<5aa??034=ij779;<5ab??034=h<779;<5`4??034=h=779;<5`5??034=h>779;<5`6??034=h?779;<5`7??034=h8779;<5`0??034=h9779;<5`1??034=h:779;<5`2??034=h;779;<5`3??034=h4779;<5`779;<5g6??034=o?779;<5g7??034=o8779;<5g0??03ty9=kj50;0x92g228k?709me;616>{t:8ln6=4={<5b2?7f<27v38a682e1=:?kn18?<4}r0145<72;q6;l651`6892e62=897p}=21394?4|5>k26?>=:18181fi3;j8638c18767=z{;8;?7>52z?4eg<6i=16;n:54308yv458=0;6?u27`a95d2<5>i>69<=;|q1653=838p1:ok:0c7?81d;3>9>6s|2325>5<5s4=ji7?n4:?4g2<3:;1v?3g6=9h>01:m9:501?xu5:931<74g334=hm7:=2:p676f2909w09m2;3b0>;0kk0?>?5rs303f?6=:r74>a59>3f?=<;80q~<=0b83>7}:?k>1=l:4=6ag>1453ty9>=j50;0x92d228k?709le;616>{t:;:n6=4={<5a2?7f<27v38b682e1=:?m:18?<4}r0155<72;q6;o651`6892b62=897p}=20394?4|5>h26??=:18181ei3;j8638d28767=z{;8:?7>52z?4fg<6i=16;i:54308yv459=0;6?u27ca95d2<5>n969<=;|q1643=83;2w09md;3;a>;0jl0:4h527cd95=c<5>i;6<6j;<5`5?7?m278d9>3f5=91o01:m;:0:f?81d=3;3i638c782<`=:?j=1=5k4=6a;>4>b34=h57?7e:?4gd<60l16;nl519g892ed282n709ld;3;a>;0kl0:4h527bd95=c<5>n;6<6j;<5g5?7?m278d9>3a5=91o01:j;:0:f?81c=39<;6srb6f5>5<383936;;tH51g?!24i3;=9=5U15a91~3228n19;4=0;02>xoan3:1(9?i:gg8j17b2910ekj50;&75c;:kef?6=,=;m6kk4n53f>7=5<#<8l1=ko4n53f>4=5<#<8l1=ko4n53f>6=5<#<8l1=ko4n53f>0=5<#<8l1=ko4n53f>2=5<#<8l1=ko4n53f><=5<#<8l1=ko4n53f>g=5<#<8l1=ko4n53f>a=5<#<8l1=ko4n53f>c=4;h3e6?6=,=;m6:j7??4:l75`<632c:<<4?:%62b?77<2d?=h4=;:k703<722c:4h4?::k774<722c:5k4?::m16a<72->:j7<=c:l75`<732e9>o4?:%62b?45k2d?=h4>;:m16d<72->:j7<=c:l75`<532e9>44?:%62b?45k2d?=h4<;:m16=<72->:j7<=c:l75`<332e9>:4?:%62b?45k2d?=h4:;:m163<72->:j7<=c:l75`<132e9>84?:%62b?45k2d?=h48;:m110<72->:j7<=c:l75`:j7<=c:l75`:j7<=c:l75`:j7<=c:l75`:j7<=c:l75`<6821d>?:50;&75c<5:j1e80290/82290/84290/8e;32?>d0l>0;6<4?:1y'06g=:h<0D9:6;I60`>i5i<0;66sm7e:94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?m31<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7ec94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?mh1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7ea94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?mn1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7eg94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?ml1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7d294?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?l;1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7d094?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?l91<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7d694?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?l?1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7d494?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?l=1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7d:94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?l31<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7dc94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?lh1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7da94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?ln1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7dg94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?ll1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7g294?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?o;1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7g094?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?o91<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7g694?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?o?1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7g494?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?o=1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7g:94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?o31<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7gc94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?oh1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7ga94?`=83:p(9=n:506?M2312B??i5+2`f9`>o><3:17d7::188m<0=831b5:4?::k:5;h0;a?6=3`83j7>5;h0:4?6=3`82=7>5;h0:6?6=3`>?87>5;h671?6=3f>8;7>5;n0;`?6=3th5}#<:k18?;4H56:?M24l2.9mi4k;h;7>5<>o>?3:17d77:188m4g32900e?6j:188m7>a2900e?7?:188m7?62900e?7=:188m1232900e9:::188k1502900c?6k:188yg1am3:1j7>50z&77d<3:<1C8974H51g?!4fl3;;7d7;:188m<3=831b5;4?::k:3?6=3`336=44i0c7>5<5<5<5<>6=44o514>5<1<75f9483>>o>>3:17d78:188m<>=831b=l:50;9j6=c=831b>5h50;9j6<6=831b>4?50;9j6<4=831b89:50;9j013=831d8>950;9l6=b=831vn5>?:18e>5<7s->8m7:=5:J70<=O<:n0(?ok:e9j=1<722c297>5;h;5>5<>o6i=0;66g=8d83>>o50o0;66g=9183>>o5180;66g=9383>>o3<=0;66g;4483>>i3;>0;66a=8e83>>{e09;1<7h50;2x 15f2=8>7E:;9:J77a=#:hn186g64;29?l?22900e4850;9j=2<722c247>5;h3b0?6=3`83i7>5;h0;b?6=3`82<7>5;h0:5?6=3`82>7>5;h670?6=3`>?97>5;n603?6=3f83h7>5;|`;47<72o0;6=u+42c9073<@=>27E:5;h;6>5<>o>03:17d?n4;29?l4?m3:17d<7f;29?l4>83:17d<61;29?l4>:3:17d:;4;29?l23=3:17b:<7;29?j4?l3:17pl70283>c<729q/8>o54378L12>3A>8h6*=ae8;?l?32900e4;50;9j=3<722c2;7>5;h;;>5<5<5<5<?6=44i566>5<5<5f;294~"3;h0?>85G45;8L15c3-8jh7k4i8694?=n1<0;66g66;29?l?02900e4650;9j5d2=831b>5k50;9j6=`=831b>4>50;9j6<7=831b>4<50;9j012=831b89;50;9l061=831d>5j50;9~f=62290m6=4?{%60e?25=2B?845G42f8 7gc2h1b594?::k:1?6=3`3=6=44i8594?=n110;66g>a583>>o50l0;66g=8g83>>o5190;66g=9083>>o51;0;66g;4583>>o3<<0;66a;3683>>i50m0;66sm81494?`=83:p(9=n:506?M2312B??i5+2`f957=n1=0;66g65;29?l?12900e4950;9j==<722c:m94?::k1<`<722c94k4?::k1=5<722c95<4?::k1=7<722c?894?::k700<722e??:4?::m1N3;m1/>lj5a:k:0?6=3`3>6=44i8494?=n1>0;66g68;29?l7f<3:17d<7e;29?l4?n3:17d<60;29?l4>93:17d<62;29?l23<3:17d:;5;29?j24?3:17b<7d;29?xd?810;6k4?:1y'06g=<;?0D9:6;I60`>"5im0j7d7;:188m<3=831b5;4?::k:3?6=3`336=44i0c7>5<5<5<5<>6=44o514>5<1<75f9483>>o>>3:17d78:188m<>=831b=l:50;9j6=c=831b>5h50;9j6<6=831b>4?50;9j6<4=831b89:50;9j013=831d8>950;9l6=b=831vn5>n:18e>5<7s->8m7:=5:J70<=O<:n0(?ok:`9j=1<722c297>5;h;5>5<>o6i=0;66g=8d83>>o50o0;66g=9183>>o5180;66g=9383>>o3<=0;66g;4483>>i3;>0;66a=8e83>>{e09h1<7h50;2x 15f2=8>7E:;9:J77a=#:hn1m6g64;29?l?22900e4850;9j=2<722c247>5;h3b0?6=3`83i7>5;h0;b?6=3`82<7>5;h0:5?6=3`82>7>5;h670?6=3`>?97>5;n603?6=3f83h7>5;|`;4f<72o0;6=u+42c9073<@=>27E:b2900e?6i:188m7?72900e?7>:188m7?52900e9:;:188m1222900c9=8:188k7>c2900qo6?d;29b?6=8r.??l4;249K01?<@=9o7)1<75f29g94?=n:1l1<75f28294?=n:0;1<75f28094?=n<=>1<75f45794?=h<:=1<75`29f94?=zj1:n6=4<:183!24i38in6F;489K06b<,;ko65h4i3c;>5<5<lh6484=6dg><0<5>ln6484=6de><0<51:;6484=922><0<51:96484=920><0<51:?6484=926><0<51:=6484=924><0<51:36484=92:><0<51:j6484=92a><0<51:h6484=92g><0lh64;4=6dg><3<5>ln64;4=6de><3<51:;64;4=922><3<51:964;4=920><3<51:?64;4=926><3<51:=64;4=924><3<51:364;4=92:><3<51:j64;4=92a><3<51:h64;4=92g><3lh64:4=6dg><2<5>ln64:4=6de><2<51:;64:4=922><2<51:964:4=920><2<51:?64:4=926><2<51:=64:4=924><2<51:364:4=92:><2<51:j64:4=92a><2<51:h64:4=92g><2??m:181[7a0273a59~w746k3:1>vP>f69><5g=9h>0q~<=1e83>7}Y9o<015>6:0c7?xu5:8o1<7703;j86s|233e>5<5sW;m86370482e1=z{;89<7>52z\2b6=:09=1=l:4}r0164<72;qU>?=4=925>4g33ty9>?<50;0xZ745342;87?n4:p67442909wS<=1:?;46<6i=1v?<=4;296~X5:9164=<51`68yv45:<0;6?uQ20d89=6628k?7p}=23494?4|V;;n706?0;3b0>{t:;8<6=4={_020>;0no0:m95rs30144?:3y]5cc<5>ln6?;0no095=5281296<6<51::6?7?;<:36?4>8273<>4=919><52=:0:015>::3;3?8>7>382<6370681=5=:0921>4>4=92:>7?7342;m7<60:?;4g<519164=m528289=6c2;3;7p}=23a94?74sW;;?638fb815h4=6df>7>a34=mj7<7f:?;45<50o164=?529d89=652;2m706?3;0;b>;?8=094k5281796=`<51:=6?6i;<:33?4?n273<54=8g9><5?=:1l015>n:3:e?8>7j383j6370b815h4}r016a<7289pR<>>;<5eg?4?m273cc=:1o01:hi:3:f?8>78383i6370081<`=:0981>5k4=920>7>b342;87<7e:?;40<50l164=8529g89=602;2n706?8;0;a>;?80094h5281c96=c<51:i6?6j;<:3g?4?m273vP;479><5c=:h30q~<=2g83>70|V82n709k8;3;a>;0l00:4h527ec95=c<5>ni6<6j;<5gg?7?m278d9>3ac=91o01:ji:0:f?81b83;3i638e082<`=:?l81=5k4=6g0>4>b34=n87?7e:?4a0<60l16;h8519g892c0282n709j8;3;a>;0m00:4h527dc95=c<5>oi6<6j;<5fg?7?m278d9>3`c=91o01:ki:0:f?81a83;3i638f082<`=:?o81=5k4=6d0>4>b34=m87?7e:?4b0<60l16;k8519g892`0282n709i8;3;a>;0n00:4h527gc95=c<5>li6<6j;<:3a?4f02wx>?=?:1803~X61o16;i6515g892b>28>n709ka;37a>;0lk0:8h527ea951c<5>no6<:j;<5ga?73m274d9>3`6=9=o01:k>:06f?81b:3;?i638e2820`=:?l>1=9k4=6g6>42b34=n:7?;e:?4a2<628>n709ja;37a>;0mk0:8h527da951c<5>oo6<:j;<5fa?73m274d9>3c6=9=o01:h>:06f?81a:3;?i638f2820`=:?o>1=9k4=6d6>42b34=m:7?;e:?4b2<628>n709ia;37a>;0nk0:8h527ga9012<5>lo69:;;<5ea?23<27<56=<=>015>>:567?8>7:3>?8637028701=:09>189:4=926>123342;:7:;4:?;42<3<=164=6545689=6>2=>?706?a;670>;?8k0?895281a9012<51:o69:;;|q1667=838pR??==:181[45j27vP=2`9>3`1=<;80q~<=3583>7}Y:;301:k9:501?xu5::?1<79>6s|2315>5<5sW89;638e58767=z{;88;7>52z\163=:?l918?<4}r017=<72;qU>?;4=6g1>1453ty9>>750;0xZ73234=n=7:=2:p675f2909wS<:4:?4a5<3:;1v?<{t:;9n6=4={_064>;0lj0?>?5rs300b?6=:rT98;527e`9074nj69<=;|q1617=838pR?=?;<5g=?25:2wx>?:=:181[45<27vP=5g9>3cd=<;80q~<=4583>7}Y:9>6s|2365>5<5sW8>n638f98767=z{;8?;7>52z\11d=:?o=18?<4}r010=<72;qU>874=6d5>1453ty9>9750;0xZ73?34=m97:=2:p672f2909wS<:7:?4b1<3:;1v?<;b;296~X50>16;k=54308yv45709i1;616>{t:;>n6=4={_0;0>;0n90?>?5rs307b?6=:rT94>527dd9074on69<=;|q1607=838pR?97;<5f`?25:2wx>?;=:181[41l27vP=639>3`d=<;80q~<=5583>7}Y:<<01:kn:501?xu5:0273ce=:0801:hk:85892`c20201:hk:3;2?81al382>638fd8:3>;0nl024638fd81=4=:?oo1>4<4=6de><1<5>lm6464=6de>7?634=mj7<62:?;45<>?273<=468:?;45<518164=>528089=6620=015>>:8:89=662;3:706?1;0:6>;?8;02;637038:<>;?8;095<5281096<4<51:86494=920><><51:86?7>;<:37?4>:273<9467:?;41<>0273<94=909><52=:08015>::8589=62202015>::3;2?8>7=382>637078:3>;?8?0246370781=4=:09<1>4<4=924><1<51:<6464=924>7?6342;;7<62:?;4=<>?273<5468:?;4=<518164=6528089=6>20=015>6:8:89=6>2;3:706?9;0:6>;?8h02;6370`8:<>;?8h095<5281c96<4<51:i6494=92a><><51:i6?7>;<:3f?4>:2730273<5e=:08015>k:8589=6c202015>k:3;2?8>7l382>6s|2375>5<5s4=o47?n4:?4ba<3;>1v?<:7;296~;0l00:m9527gg90613ag=9h>01:hl:514?xu5:<31<74g334=mj7:<7:p673f2909w09kc;3b0>;?890??:5rs306f?6=:r7a59><57=<:=0q~<=5b83>7}:?mo1=l:4=921>1503ty9>8j50;0x92ba28k?706?3;603>{t:;?n6=4={<5f4?7f<273<94;369~w742n3:1>v38e082e1=:09<18>94}r0125<72;q6;h<51`689=602=9<7p}=27394?4|5>o86?8=:18181b<3;j8637098772=z{;8=?7>52z?4a0<6i=164=754258yv45>=0;6?u27d495d2<51:j69=8;|q1633=838p1:k8:0c7?8>7j3>8;6s|2345>5<5s4=n47?n4:?;4f<3;>1v?<97;296~;0m00:m95281f90613`g=9h>01:hk:3:g?xu5:?31<74g334=mi7<7d:p670f2909w09jc;3b0>;0nj094i5rs305f?6=:r7a59>3c`=:1n0q~<=6b83>7}:?lo1=l:4=923>7>c3ty9>;j50;0x92ca28k?706?1;0;`>{t:;v38f082e1=:0991>5j4}r0135<72;q6;k<51`689=632;2o7p}=26394?4|5>l86?9=:18181a<3;j8637068152z?4b0<6i=164=;529f8yv45?=0;6?u27g495d2<51:36?6k;|q1623=838p1:h8:0c7?8>71383h6s|2355>5<5s4=m47?n4:?;4d<50m1v?<87;296~;0n00:m95281`96=b3cg=9h>015>l:3:g?xu5:>31<74g3342;h7<7d:p671f290:?v38fb8700=:?on189;4=6df>12234=mj7:;5:?;45<3<<164=?545789=652=>>706?3;671>;?8=0?88528179013<51:=69::;<:33?23=273<54;449><5?=<=?015>n:566?8>7j3>?96370b8700=:09n189;4=92f>6103twi4=h50;63>6>=>j4$51b>40292P:8n4:{4795a<2>38;6??5}hde>5<#<8l1jh5a40g94>=nnm0;6):>f;df?k26m3;07dhm:18'04`=nl1e8f`9m04c=821b=k750;&75c<6nh1e8=83.?=k4>f`9m04c=:21b=k950;&75c<6nh1e8f`9m04c=<21b=k;50;&75c<6nh1e8f`9m04c=>21b=k=50;&75c<6nh1e8f`9m04c=021b>?<50;&75c<6nh1e8f`9m04c=i21b>?>50;&75c<6nh1e8f`9m04c=k21b>f`9m04c=m21b>=750;&75c<6nh1e8f`9m04c=9910ee;38?l7793:1(9?i:027?k26m3807d:;6;29?l7?m3:17d:<1;29?l7>n3:17b<=d;29 17a2;8h7c:>e;28?j45j3:1(9?i:30`?k26m3;07b<=a;29 17a2;8h7c:>e;08?j4513:1(9?i:30`?k26m3907b<=8;29 17a2;8h7c:>e;68?j45?3:1(9?i:30`?k26m3?07b<=6;29 17a2;8h7c:>e;48?j45=3:1(9?i:30`?k26m3=07b<:5;29 17a2;8h7c:>e;:8?j42<3:1(9?i:30`?k26m3307b<:3;29 17a2;8h7c:>e;c8?j42:3:1(9?i:30`?k26m3h07b<:1;29 17a2;8h7c:>e;a8?j4283:1(9?i:30`?k26m3n07b<;6;29 17a2;8h7c:>e;g8?j44j3:1(9?i:30`?k26m3l07b<<0;29 17a2;8h7c:>e;33?>i5:=0;6):>f;01g>h39l0:=65`24d94?"39o099h5a40g94>=h:=h:=h:<31<7*;1g811`=i<8o1965`24:94?"39o099h5a40g92>=h:<=1<7*;1g811`=i<8o1;65`29594?"39o099h5a40g9<>=h:1<1<7*;1g811`=i<8o1565`29794?"39o099h5a40g9e>=h:1>1<7*;1g811`=i<8o1n65`29194?"39o099h5a40g9g>=h:181<7*;1g811`=i<8o1h65`26:94?"39o099h5a40g9a>=h:?n1<7*;1g811`=i<8o1j65`27094?"39o099h5a40g955=5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;57<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3=>4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;51<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3=84?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;53<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3=:4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;5=<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3=44?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;5d<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3=o4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;5f<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3=i4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;5`<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3=k4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;65<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3><4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;67<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3>>4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;61<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3>84?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;63<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3>:4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;6=<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3>44?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;6d<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3>o4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;6f<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3>i4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;6`<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3>k4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;75<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3?<4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;77<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3?>4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;71<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3?84?:g83>5}#<:k18?;4H56:?M24l2.9mi4>3:k:0?6=3`3>6=44i8494?=n1>0;66g68;29?l7f<3:17d<7e;29?l4?n3:17d<60;29?l4>93:17d<62;29?l23<3:17d:;5;29?j24?3:17b<7d;29?xd?;?0;6k4?:1y'06g=<;?0D9:6;I60`>"5im0=7d7;:188m<3=831b5;4?::k:3?6=3`336=44i0c7>5<5<5<5<>6=44o514>5<b2900e?6i:188m7?72900e?7>:188m7?52900e9:;:188m1222900c9=8:188k7>c2900qo6<8;29b?6=8r.??l4;249K01?<@=9o7)1<75f29g94?=n:1l1<75f28294?=n:0;1<75f28094?=n<=>1<75f45794?=h<:=1<75`29f94?=zj1926=4i:183!24i3>996F;489K06b<,;ko6;5f9583>>o>=3:17d79:188m<1=831b554?::k2e1<722c94h4?::k1t$51b>1423A>?56F;3e9'6db=n2c287>5;h;6>5<>o>03:17d?n4;29?l4?m3:17d<7f;29?l4>83:17d<61;29?l4>:3:17d:;4;29?l23=3:17b:<7;29?j4?l3:17pl73c83>c<729q/8>o54378L12>3A>8h6*=ae825>o><3:17d7::188m<0=831b5:4?::k:5;h0;a?6=3`83j7>5;h0:4?6=3`82=7>5;h0:6?6=3`>?87>5;h671?6=3f>8;7>5;n0;`?6=3th3?n4?:g83>5}#<:k18?;4H56:?M24l2.9mi4m;h;7>5<>o>?3:17d77:188m4g32900e?6j:188m7>a2900e?7?:188m7?62900e?7=:188m1232900e9:::188k1502900c?6k:188yg>4l3:1j7>50z&77d<3:<1C8974H51g?!4fl3l0e4:50;9j=0<722c2:7>5;h;4>5<5N3<01C8>j4$3cg>==n1=0;66g65;29?l?12900e4950;9j==<722c:m94?::k1<`<722c94k4?::k1=5<722c95<4?::k1=7<722c?894?::k700<722e??:4?::m1h50;d94?6|,=9j69<:;I67=>N3;m1/>lj5e:k:0?6=3`3>6=44i8494?=n1>0;66g68;29?l7f<3:17d<7e;29?l4?n3:17d<60;29?l4>93:17d<62;29?l23<3:17d:;5;29?j24?3:17b<7d;29?xd?<90;6k4?:1y'06g=<;?0D9:6;I60`>"5im0o7d7;:188m<3=831b5;4?::k:3?6=3`336=44i0c7>5<5<5<5<>6=44o514>5<b2900e?6i:188m7?72900e?7>:188m7?52900e9:;:188m1222900c9=8:188k7>c2900qo6;2;29b?6=8r.??l4;249K01?<@=9o7)1<75f29g94?=n:1l1<75f28294?=n:0;1<75f28094?=n<=>1<75f45794?=h<:=1<75`29f94?=zj1>86=4i:183!24i3>996F;489K06b<,;ko6l5f9583>>o>=3:17d79:188m<1=831b554?::k2e1<722c94h4?::k1t$51b>1423A>?56F;3e9'6db=i2c287>5;h;6>5<>o>03:17d?n4;29?l4?m3:17d<7f;29?l4>83:17d<61;29?l4>:3:17d:;4;29?l23=3:17b:<7;29?j4?l3:17pl74483>c<729q/8>o54378L12>3A>8h6*=ae8b?l?32900e4;50;9j=3<722c2;7>5;h;;>5<5<5<5<?6=44i566>5<5<5f;294~"3;h0?>85G45;8L15c3-8jh7o4i8694?=n1<0;66g66;29?l?02900e4650;9j5d2=831b>5k50;9j6=`=831b>4>50;9j6<7=831b>4<50;9j012=831b89;50;9l061=831d>5j50;9~f=2029086=4?{%60e?4ej2B?845G42f8 7gc21l0e?o7:188m7g>2900c>98:188yv45?k0;6<=t^gd89=5220<015=9:8489=5020<015=7:8489=5>20<015=n:8489=5e20<015=l:8489=5c20<015=j:8489=5a20<015:?:8489=2620<015:=:8489=2420<015:;:8489=2220<015:9:848yv45?j0;6<=t^gf89=5220?015=9:8789=5020?015=7:8789=5>20?015=n:8789=5e20?015=l:8789=5c20?015=j:8789=5a20?015:?:8789=2620?015:=:8789=2420?015:;:8789=2220?015:9:878yv45?m0;6<=t^g`89=5220>015=9:8689=5020>015=7:8689=5>20>015=n:8689=5e20>015=l:8689=5c20>015=j:8689=5a20>015:?:8689=2620>015:=:8689=2420>015:;:8689=2220>015:9:868yv45?l0;6?uQ1g`89=2128k?7p}=26d94?4|V8l2706;5;3b0>{t:;2;6=4={_3e<>;?<=0:m95rs30;5?6=:rT:j:5285195d296?6;:181[7a<273?h4>a59~w74?=3:1>vP>f29><16=9h>0q~<=8783>7}Y:;9015=i:0c7?xu5:1=1<74l3;j86s|23:;>5<5sW89=6373b82e1=z{;8357>52z\165=:0:h1=l:4}r014g33ty9>5l50;0xZ77b342857?n4:p67>d2909wS<>4:?;7=<6i=1v?<7d;296~X580164>;51`68yv450l0;6?uQ1gg89=5028k?7p}=29d94?4|V8l9706<6;3b0>{t:;3;6=4>3z\240=:0:?1>4>4=915>7?73428;7<60:?;7=<519164>7528289=5f2;3;706;?;j095=5282f96<6<519n6?7?;<:0b?4>82738=4=919><17=:0:015:=:3;3?8>3;382<6374581=5=:0=?1>4>4=965>7?73ty9>4?50;30[77;273?84=8g9><60=:1l015=8:3:e?8>40383j63738815h4=91a>7>a3428o7<7f:?;7a<50o164>k529d89=5a2;2m706;0;0;b>;?<8094k5285096=`<51>86?6i;<:70?4?n273884=8g9><10=:1l0q~<=9383>45|V8::706<5;0;a>;?;?094h5282596=c<51936?6j;<:0=?4?m273?l4=8d9><6d=:1o015=l:3:f?8>4l383i6373d81<`=:0:l1>5k4=963>7>b342?=7<7e:?;07<50l1649=529g89=232;2n706;5;0;a>;?b342:=7?7e:?;57<60l164<=519g89=73282n706>5;3;a>;?9?0:4h5280595=c<51;36<6j;<:2=?7?m273=l4>8d9><4d=91o015?l:0:f?8>6l3;3i6371d82<`=:08l1=5k4=903>4>b3429=7?7e:?;67<60l164?=519g89=43282n706=5;3;a>;?:?0:4h5283595=c<51836<6j;<:1=?7?m273>l4>8d9><7d=91o0155l3;3i6372d82<`=:0;l1=5k4=913>4>b3428=7?7e:?;77<60l164>=519g89=53282n706;7;0b<>{t:;3>6=4<7z\2=c=:08;1=9k4=931>42b342:?7?;e:?;51<6n706>7;37a>;?910:8h5280;951c<51;j6<:j;<:2f?73m273=n4>4d9><4b=9=o015?j:06f?8>6n3;?i63721820`=:0;;1=9k4=901>42b3429?7?;e:?;61<6n706=7;37a>;?:10:8h5283;951c<518j6<:j;<:1f?73m273>n4>4d9><7b=9=o0155n3;?i63731820`=:0:;1=9k4=911>42b3428?7?;e:?;71<6;545689=512=>?706<7;670>;?;10?895282;9012<519j69:;;<:0f?23<273?n4;459><6b=<=>015=j:567?8>4n3>?8637418701=:0=;189:4=961>123342??7:;4:?;01<3<=1649;545689=212=>?7p}=28494?4|V;8o706=2;616>{t:;3<6=4={_01f>;?:80?>?5rs30:l528329074?7m:181[45?273=i4;239~w74>k3:1>vP=279><4e=<;80q~<=9e83>7}Y:;?015?m:501?xu5:0o1<76i3>9>6s|23;e>5<5sW8>8637188767=z{;8j<7>52z\116=:08218?<4}r01e4<72;qU>8<4=934>1453ty9>l<50;0xZ736342::7:=2:p67g42909wS<:0:?;50<3:;1v?2;616>{t:;k<6=4={_010>;?980?>?5rs30b?om:181[42j273?<4;239~w74fk3:1>vP=5`9><66=<;80q~<=ae83>7}Y:<30155m3>9>6s|23ce>5<5sW8>;6372e8767=z{;8i<7>52z\1<2=:0;i18?<4}r01f4<72;qU>584=90a>1453ty9>o<50;0xZ7>23429m7:=2:p67d42909wS<74:?;6<<3:;1v?{t:;h<6=4={_05`>;?:<0?>?5rs30a2w06>0;0b1>;?;<02;637348:<>;?;<095<5282796<4<519=6494=915><><519=6?7>;<:02?4>:273?:467:?;72<>0273?:4=909><61=:08015=7:8589=5?202015=7:3;2?8>40382>637388:3>;?;00246373881=4=:0:31>4<4=91b><1<519j6464=91b>7?63428m7<62:?;7g<>?273?o468:?;7g<518164>l528089=5d20=015=l:8:89=5d2;3:706;?;m02;6373e8:<>;?;m095<5282f96<4<519n6494=91f><><519n6?7>;<:0a?4>:273?k467:?;7c<>0273?k4=909><6`=:08015:?:8589=27202015:?:3;2?8>38382>637408:3>;?<80246374081=4=:0=;1>4<4=961><1<51>96464=961>7?6342?>7<62:?;06<>?2738>468:?;06<5181649=528089=2320=015:;:8:89=232;3:706;4;0:6>;?<<02;637448:<>;?<<095<5285796<4<51>=6494=965><><51>=6?7>;<:72?4>:2wx>?lm:1818>693;j8637378772=z{;8io7>52z?;57<6i=164>954258yv45jm0;6?u280195d2<519>69=8;|q16gc=838p15?;:0c7?8>403>8;6s|23`e>5<5s42:97?n4:?;7<<3;>1v?<41=9h>015=m:514?xu5:j81<74g33428o7:<7:p67e42909w06>9;3b0>;?;m0??:5rs30`0?6=:r73=l4>a59><6`=<:=0q~<=c483>7}:08h1=l:4=963>1503ty9>n850;0x9=7d28k?706{t:;i<6=4={<:2`?7f<2738<4;369~w74d03:1>v371d82e1=:0=818>94}r01g<<72;q64?mm:1818>593;j8637448772=z{;8ho7>52z?;67<6i=1649854258yv45km0;6?u283195d2<519=6?6k;|q16fc=838p15<;:0c7?8>4?383h6s|23ae>5<5s42997?n4:?;70<50m1v?<71=9h>015=6:3:g?xu5:m81<74g33428m7<7d:p67b42909w06=9;3b0>;?;k094i5rs30g0?6=:r73>l4>a59><6e=:1n0q~<=d483>7}:0;h1=l:4=91g>7>c3ty9>i850;0x9=4d28k?706{t:;n<6=4={<:1`?7f<2738=4=8e9~w74c03:1>v372d82e1=:0:o1>5j4}r01`<<72;q64?h51`689=262;2o7p}=2ec94?4|519;6?jm:1818>493;j8637428152z?;77<6i=1649:529f8yv45lm0;6?u282195d2<51>>6?6k;|q16ac=838p15=;:0c7?8>3>383h6s|23fe>5<6;r73?84;449><60=<=?015=8:566?8>403>?9637388700=:0:k189;4=91a>1223428o7:;5:?;7a<3<<164>k545789=5a2=>>706;0;671>;?<80?88528509013<51>869::;<:70?23=273884;449><10=<=?015:8:254?x{e0=21<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0=31<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0=k1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0=h1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0=i1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0=n1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0=o1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0=l1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0<:1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0<;1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0<81<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0<91<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0<>1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e06g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0<<1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0<=1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0<21<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0<31<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e06g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e06g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e06g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e06g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e06g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e06g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?:1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?;1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?81<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?91<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?>1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0??1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?<1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?=1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?21<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?31<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?k1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?h1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?i1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?n1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?o1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?l1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>:1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>;1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>81<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>91<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>>1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>?1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0><1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>=1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>21<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>31<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>k1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>h1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>i1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>n1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>o1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>l1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e01:1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e01;1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0181<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0191<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e01>1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e01?1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e01<1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e01=1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0121<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0131<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e01k1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e01h1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e01i1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e01n1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e01o1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e01l1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e00:1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e00;1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0081<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0091<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e00>1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e00?1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e00<1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e00=1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0021<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0031<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e00k1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e00h1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e00i1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e00n1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e00o1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e00l1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0h:1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0h;1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0h81<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0h91<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0h>1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0h?1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0h<1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0h=1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0h21<7:?:34904}O<:n0(9=n:0466>\6w8;51e862?472;;1qdhi:18'04`=nl1e8:j7hj;o62a?7<3`li6=4+40d9b`=i<8o1>65f1g`94?"39o0:jl5a40g94>=n9o31<7*;1g82bd=i<8o1=65f1g:94?"39o0:jl5a40g96>=n9o=1<7*;1g82bd=i<8o1?65f1g494?"39o0:jl5a40g90>=n9o?1<7*;1g82bd=i<8o1965f1g694?"39o0:jl5a40g92>=n9o91<7*;1g82bd=i<8o1;65f23194?"39o0:jl5a40g9<>=n:;81<7*;1g82bd=i<8o1565f23394?"39o0:jl5a40g9e>=n:;:1<7*;1g82bd=i<8o1n65f20d94?"39o0:jl5a40g9g>=n:8o1<7*;1g82bd=i<8o1h65f20694?"39o0:jl5a40g9a>=n:931<7*;1g82bd=i<8o1j65f1gg94?"39o0:jl5a40g955=;;o62a?6<3`;;?7>5$53e>4633g>:i7?4;h335?6=,=;m6<>;;o62a?4<3`>?:7>5;h3;a?6=3`>8=7>5;h3:b?6=3f89h7>5$53e>74d3g>:i7>4;n01f?6=,=;m6?5$53e>74d3g>:i7<4;n01=?6=,=;m6?5$53e>74d3g>:i7:4;n013?6=,=;m6?5$53e>74d3g>:i784;n011?6=,=;m6?97>5$53e>74d3g>:i764;n060?6=,=;m6??7>5$53e>74d3g>:i7o4;n066?6=,=;m6?=7>5$53e>74d3g>:i7m4;n064?6=,=;m6?5$53e>74d3g>:i7k4;n00f?6=,=;m6?5$53e>74d3g>:i7??;:m161<72->:j7<=c:l75`<6921d>8h50;&75c<5=l1e88m50;&75c<5=l1e88o50;&75c<5=l1e88650;&75c<5=l1e85950;&75c<5=l1e85;50;&75c<5=l1e85=50;&75c<5=l1e8:650;&75c<5=l1e8;<50;&75c<5=l1e8"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl7ac83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd?ij0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl7ae83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd?il0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl7ag83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd?j90;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl7b083>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd?j;0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl7b283>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd?j=0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl7b483>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd?j?0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl7b683>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd?j10;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl7b883>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd?jh0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl7bc83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd?jj0;6k4?:1y'06g=<;?0D9:6;I60`>"5im0=7d7;:188m<3=831b5;4?::k:3?6=3`336=44i0c7>5<5<5<5<>6=44o514>5<1<75f9483>>o>>3:17d78:188m<>=831b=l:50;9j6=c=831b>5h50;9j6<6=831b>4?50;9j6<4=831b89:50;9j013=831d8>950;9l6=b=831vn5lj:18e>5<7s->8m7:=5:J70<=O<:n0(?ok:59j=1<722c297>5;h;5>5<>o6i=0;66g=8d83>>o50o0;66g=9183>>o5180;66g=9383>>o3<=0;66g;4483>>i3;>0;66a=8e83>>{e0kl1<7h50;2x 15f2=8>7E:;9:J77a=#:hn1o6g64;29?l?22900e4850;9j=2<722c247>5;h3b0?6=3`83i7>5;h0;b?6=3`82<7>5;h0:5?6=3`82>7>5;h670?6=3`>?97>5;n603?6=3f83h7>5;|`;g5<72o0;6=u+42c9073<@=>27E:b2900e?6i:188m7?72900e?7>:188m7?52900e9:;:188m1222900c9=8:188k7>c2900qo6l1;29b?6=8r.??l4;249K01?<@=9o7)1<75f29g94?=n:1l1<75f28294?=n:0;1<75f28094?=n<=>1<75f45794?=h<:=1<75`29f94?=zj1i96=4i:183!24i3>996F;489K06b<,;ko65k50;9j6=`=831b>4>50;9j6<7=831b>4<50;9j012=831b89;50;9l061=831d>5j50;9~f=e4290m6=4?{%60e?25=2B?845G42f8 7gc2=1b594?::k:1?6=3`3=6=44i8594?=n110;66g>a583>>o50l0;66g=8g83>>o5190;66g=9083>>o51;0;66g;4583>>o3<<0;66a;3683>>i50m0;66sm8b694?`=83:p(9=n:506?M2312B??i5+2`f93>o><3:17d7::188m<0=831b5:4?::k:5;h0;a?6=3`83j7>5;h0:4?6=3`82=7>5;h0:6?6=3`>?87>5;h671?6=3f>8;7>5;n0;`?6=3th3o84?:g83>5}#<:k18?;4H56:?M24l2.9mi4l;h;7>5<>o>?3:17d77:188m4g32900e?6j:188m7>a2900e?7?:188m7?62900e?7=:188m1232900e9:::188k1502900c?6k:188yg>d>3:1j7>50z&77d<3:<1C8974H51g?!4fl3>0e4:50;9j=0<722c2:7>5;h;4>5<5N3<01C8>j4$3cg>`=n1=0;66g65;29?l?12900e4950;9j==<722c:m94?::k1<`<722c94k4?::k1=5<722c95<4?::k1=7<722c?894?::k700<722e??:4?::m1N3;m1/>lj5c:k:0?6=3`3>6=44i8494?=n1>0;66g68;29?l7f<3:17d<7e;29?l4?n3:17d<60;29?l4>93:17d<62;29?l23<3:17d:;5;29?j24?3:17b<7d;29?xd?k00;6k4?:1y'06g=<;?0D9:6;I60`>"5im0<7d7;:188m<3=831b5;4?::k:3?6=3`336=44i0c7>5<5<5<5<>6=44o514>5<1<75f9483>>o>>3:17d78:188m<>=831b=l:50;9j6=c=831b>5h50;9j6<6=831b>4?50;9j6<4=831b89:50;9j013=831d8>950;9l6=b=831vn5mm:18e>5<7s->8m7:=5:J70<=O<:n0(?ok:`9j=1<722c297>5;h;5>5<>o6i=0;66g=8d83>>o50o0;66g=9183>>o5180;66g=9383>>o3<=0;66g;4483>>i3;>0;66a=8e83>>{e0ji1<7h50;2x 15f2=8>7E:;9:J77a=#:hn1=?5f9583>>o>=3:17d79:188m<1=831b554?::k2e1<722c94h4?::k1t$51b>1423A>?56F;3e9'6db=i2c287>5;h;6>5<>o>03:17d?n4;29?l4?m3:17d<7f;29?l4>83:17d<61;29?l4>:3:17d:;4;29?l23=3:17b:<7;29?j4?l3:17pl7cd83>6<729q/8>o52c`8L12>3A>8h6*=ae8;b>o5i10;66g=a883>>i4?>0;66s|23g3>5<6;rTmj637bb8:2>;?jm02:637bd8:2>;?jo02:637c18:2>;?k802:637c38:2>;?k:02:637c58:2>;?k<02:637c78:2>;?k>02:637c98:2>;?k002:637c`8:2>;?kk02:637cb8:2>;?km02:6s|23g2>5<6;rTmh637bb8:1>;?jm029637bd8:1>;?jo029637c18:1>;?k8029637c38:1>;?k:029637c58:1>;?k<029637c78:1>;?k>029637c98:1>;?k0029637c`8:1>;?kk029637cb8:1>;?km0296s|23g1>5<6;rTmn637bb8:0>;?jm028637bd8:0>;?jo028637c18:0>;?k8028637c38:0>;?k:028637c58:0>;?k<028637c78:0>;?k>028637c98:0>;?k0028637c`8:0>;?kk028637cb8:0>;?km0286s|23g0>5<5sW;mn637ce82e1=z{;8n87>52z\2b<=:0ji1=l:4}r01a0<72;qU=k64=9aa>4g33ty9>h850;0xZ4`0342hm7?n4:p67c02909wS?i6:?;g<<6i=1v?{t:;oi6=4={_017>;?k?0:m95rs30fg?6=:rT9>?528b695d2?ki:181[46n273o<4>a59~w74a83:1>vP=1d9>0q~<=f083>7}Y:8>015li:0c7?xu5:o81<7ek3;j86s|23d0>5<5sW;mi637bd82e1=z{;8m87>52z\2b7=:0kn1=l:4}r01b0<7289pR<>:;<:ag?4>8273ni4=919>d8382<637c081=5=:0j81>4>4=9a0>7?7342h87<60:?;g0<519164n8528289=e02;3;706l8;0:4>;?k0095=528bc96<6<51ii6?7?;<:`g?4>8273oi4=919~w74a>3:1=>uQ11189=dd2;2m706md;0;b>;?jl094k528cd96=`<51i;6?6i;<:`5?4?n273o?4=8g9>d=383j637c7815h4=9a;>7>a342h57<7f:?;gd<50o164nl529d89=ed2;2m706ld;0;b>{t:;l<6=4>3z\244=:0ki1>5k4=9`g>7>b342ii7<7e:?;fc<50l164n>529g89=e62;2n706l2;0;a>;?k:094h528b696=c<51i>6?6j;<:`2?4?m273o:4=8d9>=:1o015m6:3:f?8>di383i637cc81<`=:0ji1>5k4=9ag>7>b3ty9>k650;0xZ121342hi7290:8vP>8d9>fk3;3i637ae82<`=:0ho1=5k4=9ce>4>b342i<7?7e:?;f4<60l164o<519g89=d4282n706m4;3;a>;?j<0:4h528c495=c<51h<6<6j;<:a8d9>dm38j46s|23db>5<5=rT:5k528`c951c<51ki6<:j;<:bg?73m273mi4>4d9>e83;?i637b0820`=:0k81=9k4=9`0>42b342i87?;e:?;f0<6n706m8;37a>;?j00:8h528cc951c<51hi6<:j;<:ag?23<273ni4;459>015li:567?8>d83>?8637c08701=:0j8189:4=9a0>123342h87:;4:?;g0<3<=164n8545689=e02=>?706l8;670>;?k00?89528bc9012<51ii69:;;<:`g?23<273oi4;459~w74aj3:1>vP=5g9>7}Y:e13>9>6s|23df>5<5sW8>n637b98767=z{;8mj7>52z\11d=:0k=18?<4}r0045<72;qU>874=9`5>1453ty9?=?50;0xZ73?342i97:=2:p66652909wS<:7:?;f1<3:;1v?=?3;296~X50>164o=54308yv448=0;6?uQ29489=d52=897p}=31794?4|V;2>706m1;616>{t:::=6=4={_0;0>;?j90?>?5rs3133?6=:rT94>528`d9074>>n:181[41l273mn4;239~w757j3:1>vP=639>7}Y:<<015on:501?xu5;9n1<7:6{<:b=?4f=273nn467:?;ff<>0273nn4=909>el382>637bd8:3>;?jl024637bd81=4=:0ko1>4<4=9`e><1<51hm6464=9`e>7?6342ij7<62:?;g5<>?273o=468:?;g5<518164n>528089=e620=015m>:8:89=e62;3:706l1;0:6>;?k;02;637c38:<>;?k;095<528b096<4<51i86494=9a0><><51i86?7>;<:`7?4>:273o9467:?;g1<>0273o94=909>d=382>637c78:3>;?k?024637c781=4=:0j<1>4<4=9a4><1<51i<6464=9a4>7?6342h;7<62:?;g=<>?273o5468:?;g=<518164n6528089=e>20=015m6:8:89=e>2;3:706l9;0:6>;?kh02;637c`8:<>;?kh095<528bc96<4<51ii6494=9aa><><51ii6?7>;<:`f?4>:273on467:?;gf<>0273on4=909>dl382>6s|222f>5<5s42jm7?n4:?;fa<50m1v?=?f;296~;?ik0:m9528cg96=b015ll:3:g?xu5;8;1<74g3342ij7<7d:p66752909w06ne;3b0>;?k9094i5rs3127?6=:r73mk4>a59>7}:0k:1=l:4=9a1>7>c3ty9?<;50;0x9=d628k?706l3;0;`>{t::;=6=4={<:a6?7f<273o94=8e9~w756?3:1>v37b282e1=:0j<1>5j4}r005=<72;q64o:51`689=e02;2o7p}=30;94?4|51h>6>?n:1818>e>3;j8637c98152z?;f2<6i=164n7529f8yv449j0;6?u28c:95d2<51ij6?6k;|q174b=838p15l6:0c7?8>dj383h6s|223f>5<5s42im7?n4:?;gf<50m1v?=>f;296~;?jk0:m9528bf96=b=4?:01x9=dd2=>>706md;671>;?jl0?88528cd9013<51i;69::;<:`5?23=273o?4;449>d=3>?9637c78700=:0j=189;4=9a;>122342h57:;5:?;gd<3<<164nl545789=ed2=>>706ld;671>;?kl08;:5r}c:`b?6==3:1n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<"3;h0::8=4Z06`>0}5:3;o6?=521815?{nnk0;6):>f;db?k26m3:07d?ib;29 17a28lj7c:>e;28?l7a13:1(9?i:0db?k26m3;07d?i8;29 17a28lj7c:>e;08?l7a?3:1(9?i:0db?k26m3907d?i6;29 17a28lj7c:>e;68?l7a=3:1(9?i:0db?k26m3?07d?i4;29 17a28lj7c:>e;48?l7a;3:1(9?i:0db?k26m3=07d<=3;29 17a28lj7c:>e;:8?l45:3:1(9?i:0db?k26m3307d<=1;29 17a28lj7c:>e;c8?l4583:1(9?i:0db?k26m3h07d<>f;29 17a28lj7c:>e;a8?l46m3:1(9?i:0db?k26m3n07d<>4;29 17a28lj7c:>e;g8?l4713:1(9?i:0db?k26m3l07d?ie;29 17a28lj7c:>e;33?>o6n;0;6):>f;3ee>h39l0:=65f11394?"39o0:<=5a40g94>=n<=<1<75f19g94?=n<:;1<75f18d94?=h:;n1<7*;1g816f=i<8o1<65`23`94?"39o09>n5a40g95>=h:;k1<7*;1g816f=i<8o1>65`23;94?"39o09>n5a40g97>=h:;21<7*;1g816f=i<8o1865`23594?"39o09>n5a40g91>=h:;<1<7*;1g816f=i<8o1:65`23794?"39o09>n5a40g93>=h:n5a40g9=>=h:<91<7*;1g816f=i<8o1m65`24094?"39o09>n5a40g9f>=h:<;1<7*;1g816f=i<8o1o65`24294?"39o09>n5a40g9`>=h:=<1<7*;1g816f=i<8o1i65`22`94?"39o09>n5a40g9b>=h:::1<7*;1g816f=i<8o1==54o307>5<#<8l1>?m4n53f>47<3f8>j7>5$53e>73b3g>:i7>4;n06`?6=,=;m6?;j;o62a?7<3f8>o7>5$53e>73b3g>:i7<4;n06f?6=,=;m6?;j;o62a?5<3f8>m7>5$53e>73b3g>:i7:4;n06=?6=,=;m6?;j;o62a?3<3f8>47>5$53e>73b3g>:i784;n063?6=,=;m6?;j;o62a?1<3f83;7>5$53e>73b3g>:i764;n0;2?6=,=;m6?;j;o62a??<3f8397>5$53e>73b3g>:i7o4;n0;0?6=,=;m6?;j;o62a?d<3f83?7>5$53e>73b3g>:i7m4;n0;6?6=,=;m6?;j;o62a?b<3f8<47>5$53e>73b3g>:i7k4;n05`?6=,=;m6?;j;o62a?`<3f8=>7>5$53e>73b3g>:i7??;:m113<72->:j7<:e:l75`<6921i5=>50;394?6|,=9j6?o9;I67=>N3;m1d>l;50;9~f<66290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn4>=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f<64290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn4>;:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f<62290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn4>9:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f<60290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn4>7:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f<6>290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn4>n:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f<6e290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn4>l:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f<6c290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn4>j:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f<6a290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn4??:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f<76290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn4?=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f<74290m6=4?{%60e?25=2B?845G42f8 7gc2?1b594?::k:1?6=3`3=6=44i8594?=n110;66g>a583>>o50l0;66g=8g83>>o5190;66g=9083>>o51;0;66g;4583>>o3<<0;66a;3683>>i50m0;66sm90694?`=83:p(9=n:506?M2312B??i5+2`f92>o><3:17d7::188m<0=831b5:4?::k:5;h0;a?6=3`83j7>5;h0:4?6=3`82=7>5;h0:6?6=3`>?87>5;h671?6=3f>8;7>5;n0;`?6=3th2=84?:g83>5}#<:k18?;4H56:?M24l2.9mi49;h;7>5<>o>?3:17d77:188m4g32900e?6j:188m7>a2900e?7?:188m7?62900e?7=:188m1232900e9:::188k1502900c?6k:188yg?6>3:1j7>50z&77d<3:<1C8974H51g?!4fl3<0e4:50;9j=0<722c2:7>5;h;4>5<5N3<01C8>j4$3cg>3=n1=0;66g65;29?l?12900e4950;9j==<722c:m94?::k1<`<722c94k4?::k1=5<722c95<4?::k1=7<722c?894?::k700<722e??:4?::m1N3;m1/>lj56:k:0?6=3`3>6=44i8494?=n1>0;66g68;29?l7f<3:17d<7e;29?l4?n3:17d<60;29?l4>93:17d<62;29?l23<3:17d:;5;29?j24?3:17b<7d;29?xd>900;6k4?:1y'06g=<;?0D9:6;I60`>"5im0=7d7;:188m<3=831b5;4?::k:3?6=3`336=44i0c7>5<5<5<5<>6=44o514>5<1<75f9483>>o>>3:17d78:188m<>=831b=l:50;9j6=c=831b>5h50;9j6<6=831b>4?50;9j6<4=831b89:50;9j013=831d8>950;9l6=b=831vn4?m:18e>5<7s->8m7:=5:J70<=O<:n0(?ok:79j=1<722c297>5;h;5>5<>o6i=0;66g=8d83>>o50o0;66g=9183>>o5180;66g=9383>>o3<=0;66g;4483>>i3;>0;66a=8e83>>{e18i1<7h50;2x 15f2=8>7E:;9:J77a=#:hn1:6g64;29?l?22900e4850;9j=2<722c247>5;h3b0?6=3`83i7>5;h0;b?6=3`82<7>5;h0:5?6=3`82>7>5;h670?6=3`>?97>5;n603?6=3f83h7>5;|`:5a<72o0;6=u+42c9073<@=>27E:b2900e?6i:188m7?72900e?7>:188m7?52900e9:;:188m1222900c9=8:188k7>c2900qo7>e;29b?6=8r.??l4;249K01?<@=9o7)1<75f29g94?=n:1l1<75f28294?=n:0;1<75f28094?=n<=>1<75f45794?=h<:=1<75`29f94?=zj0;m6=4i:183!24i3>996F;489K06b<,;ko6;5f9583>>o>=3:17d79:188m<1=831b554?::k2e1<722c94h4?::k1t$51b>1423A>?56F;3e9'6db=>2c287>5;h;6>5<>o>03:17d?n4;29?l4?m3:17d<7f;29?l4>83:17d<61;29?l4>:3:17d:;4;29?l23=3:17b:<7;29?j4?l3:17pl62083>c<729q/8>o54378L12>3A>8h6*=ae85?l?32900e4;50;9j=3<722c2;7>5;h;;>5<5<5<5<?6=44i566>5<5<7>5f;294~"3;h0?>85G45;8L15c3-8jh784i8694?=n1<0;66g66;29?l?02900e4650;9j5d2=831b>5k50;9j6=`=831b>4>50;9j6<7=831b>4<50;9j012=831b89;50;9l061=831d>5j50;9~f<44290m6=4?{%60e?25=2B?845G42f8 7gc2?1b594?::k:1?6=3`3=6=44i8594?=n110;66g>a583>>o50l0;66g=8g83>>o5190;66g=9083>>o51;0;66g;4583>>o3<<0;66a;3683>>i50m0;66sm93694?`=83:p(9=n:506?M2312B??i5+2`f90>o><3:17d7::188m<0=831b5:4?::k:5;h0;a?6=3`83j7>5;h0:4?6=3`82=7>5;h0:6?6=3`>?87>5;h671?6=3f>8;7>5;n0;`?6=3th2>84?:283>5}#<:k1>ol4H56:?M24l2.9mi47f:k1e=<722c9m44?::m032<722wx>><>:1827~Xaj272=>464:?:51<><272=8464:?:53<><272=:464:?:5=<><272=4464:?:5d<><272=o464:?:5f<><272=i464:?:5`<><272=k464:?:65<><272><464:?:67<><272>>464:?:61<><2wx>><=:181[7aj272>94>a59~w755;3:1>vP>f89>=75=9h>0q~<<2583>7}Y9o2014<=:0c7?xu5;;?1<75<5sW;m:6362182e1=z{;99;7>52z\2b0=:18l1=l:4}r006=<72;qU=k:4=83`>4g33ty9??750;0xZ4`4343:i7?n4:p664f2909wS<=3:?:5a<6i=1v?==b;296~X5:;1659;3b0>{t::8n6=4={_02b>;>910:m95rs311b?6=:rT9=h5290595d26;<;27?7f<2wx>>==:181[7am272=84>a59~w754;3:1>vP>f39>=42=9h>0q~<<3583>45|V8::707>3;0;a>;>9=094h5290796=c<50;=6?6j;<;23?4?m272=54=8d9>=4?=:1o014?n:3:f?8?6j383i6361b81<`=:18n1>5k4=83f>7>b343:j7<7e:?:65<50l165??529g89<452;2n707=3;0;a>;>:=094h5rs3101?6=:rT?8;5293796d?b343;=7?7e:?:47<60l165==519g89<63282n707?5;3;a>;>8?0:4h5291595=c<50:36<6j;<;3=?7?m2728d9>=5d=91o014>l:0:f?8?7l3;3i6360d82<`=:19l1=5k4=833>4>b343:=7?7e:?:57<60l165?;52`:8yv44;>0;6?;t^0;e?8?793;?i63603820`=:1991=9k4=827>42b343;97?;e:?:43<6n707?9;37a>;>8h0:8h5291`951c<50:h6<:j;<;3`?73m272

4d9>=5`=9=o014??:06f?8?693;?i63613820`=:189189:4=837>123343:97:;4:?:53<3<=165<9545689<7?2=>?707>9;670>;>9h0?895290`9012<50;h69:;;<;2`?23<272=h4;459>=4`=<=>014?8636238701=:1;9189:4=807>1233ty9?>650;0xZ73a343:>7:=2:p665>2909wS<:d:?:54<3:;1v?=54308yv44;k0;6?uQ24`89<6a2=897p}=32a94?4|V;?j707?e;616>{t::9o6=4={_06=>;>8m0?>?5rs310a?6=:rT9955291a9074>:>:181[4?>272<44;239~w753:3:1>vP=849>=5>=<;80q~<<4283>7}Y:1>014>8:501?xu5;=>1<73>9>6s|2266>5<5sW83>636048767=z{;9?:7>52z\13==:19>18?<4}r0002<72;qU>;j4=820>1453ty9?9650;0xZ705343;>7:=2:p662>2909wS<:6:?:44<3:;1v?=;a;29=4}:19:1>l;4=830><3<50;86484=830><1<50;86464=830>7>a343:?7<60:?:56<518165<=528089<7320?014?;:8489<7320=014?;:8:89<732;2m707>4;0:4>;>9=095<5290696<4<50;>64;4=836><0<50;>6494=836><><50;>6?6i;<;21?4>8272=84=909>=43=:08014?9:8789<7120<014?9:8589<71202014?9:3:e?8?6>382<6361781=4=:18<1>4<4=834><3<50;<6484=834><1<50;<6464=834>7>a343:;7<60:?:52<518165<9528089<7?20?014?7:8489<7?20=014?7:8:89<7?2;2m707>8;0:4>;>91095<5290:96<4<50;264;4=83:><0<50;26494=83:><><50;26?6i;<;2=?4>8272=44=909>=4?=:08014?n:8789<7f20<014?n:8589<7f202014?n:3:e?8?6i382<6361`81=4=:18k1>4<4=83a><3<50;i6484=83a><1<50;i6464=83a>7>a343:n7<60:?:5g<518165c;0:4>;>9j095<5290a96<4<50;o64;4=83g><0<50;o6494=83g><><50;o6?6i;<;2`?4>8272=i4=909>=4b=:08014?j:8789<7b20<014?j:8589<7b202014?j:3:e?8?6m382<6361d81=4=:18o1>4<4=83e><3<50;m6484=83e><1<50;m6464=83e>7>a343:j7<60:?:5c<518165;>:9095<5293296<4<508:64;4=802><0<508:6494=802><><508:6?6i;<;15?4>8272><4=909>=77=:08014<=:8789<4520<014<=:8589<45202014<=:3:e?8?5:382<6362381=4=:1;81>4<4=800><3<50886484=800><1<50886464=800>7>a3439?7<60:?:66<518165?=528089<4320?014<;:8489<4320=014<;:8:89<432;2m707=4;0:4>;>:=095<5293696<4=57=9h>014?;:3:g?xu5;=i1<74g3343:97<7d:p662c2909w07?3;3b0>;>9:094i5rs317a?6=:r72<94>a59>=40=:1n0q~<<4g83>7}:19?1=l:4=834>7>c3ty9?8>50;0x9<6128k?707>8;0;`>{t::?:6=4={<;33?7f<272=44=8e9~w752:3:1>v360982e1=:18k1>5j4}r0016<72;q65=751`689<7e2;2o7p}=34694?4|50:j6>;::1818?7j3;j86361d81:7>52z?:4f<6i=1650;6?u291f95d2<50;m6?6k;|q170>=838p14>j:0c7?8?58383h6s|227:>5<5s43;j7?n4:?:64<50m1v?=:a;296~;>990:m95293096=b=47=9h>014<<:3:g?xu5;4g3343987<7d:p663c290:?v36128700=:18>189;4=836>122343::7:;5:?:52<3<<165<6545789<7>2=>>707>a;671>;>9k0?885290a9013<50;o69::;<;2a?23=272=k4;449>=76=<=?014<>:566?8?5:3>?9636228700=:1;>189;4=806>6103twi5?850;194?6|,=9j69:7;I67=>N3;m1/?5=5177f?l7>m3:17d6<729q/8>o545:8L12>3A>8h6*<828220c5<29086=4?{%60e?2302B?845G42f8 6>428<>i6g>9d83>>o5j?0;66a<7683>>{e1;k1<7=50;2x 15f2=>37E:;9:J77a=#;191=;;j;h3:a?6=3`8i:7>5;n143?6=3th2>o4?:483>5}#<:k1>n;4H56:?M24l2.84>4>64g8m4?b2900e?6m:188m1572900c>98:188k7d02900qo7=c;290?6=8r.??l4=c79K01?<@=9o7)=73;351`=n90o1<75f29`94?=n<::1<75`2c594?=zj08o6=4;:183!24i38h:6F;489K06b<,:286<8:e:k2=`<722c94o4?::k775<722e9n:4?::a=7c=83>1<7>t$51b>7e13A>?56F;3e9'7=5=9??n7d?6e;29?l4?j3:17d:<0;29?j4e?3:17pl62g83>1<729q/8>o52b48L12>3A>8h6*<828220c5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wi5><50;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sm92694?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a=60=83?1<7>t$51b>7g73A>?56F;3e9'7=5=9??n7)6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e1:21<7;50;2x 15f2;k;7E:;9:J77a=#;191=;;j;%0b`?4n6=44i0:f>5<5<lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wi5>o50;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sm92a94?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a=6c=83?1<7>t$51b>7g73A>?56F;3e9'7=5=9??n7)6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e1=:1<7;50;2x 15f2;k;7E:;9:J77a=#;191=;;j;%0b`?4n6=44i0:f>5<5<lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wi59<50;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sm95694?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a=10=83?1<7>t$51b>7g73A>?56F;3e9'7=5=9??n7)6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e1=21<7;50;2x 15f2;k;7E:;9:J77a=#;191=;;j;%0b`?4n6=44i0:f>5<5<lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wi59o50;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sm95a94?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a=1c=83?1<7>t$51b>7g73A>?56F;3e9'7=5=9??n7)6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e1<:1<7;50;2x 15f2;k;7E:;9:J77a=#;191=;;j;%0b`?4n6=44i0:f>5<5<lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wi58<50;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sm94694?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<97>55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a=00=83?1<7>t$51b>7g73A>?56F;3e9'7=5=9??n7)6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e1<21<7;50;2x 15f2;k;7E:;9:J77a=#;191=;;j;%0b`?4n6=44i0:f>5<5<lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wi58o50;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sm94a94?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<h7>55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a=0c=83?1<7>t$51b>7g73A>?56F;3e9'7=5=9??n7)6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e1?:1<7;50;2x 15f2;k;7E:;9:J77a=#;191=;;j;%0b`?4n6=44i0:f>5<5<lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wi5;<50;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sm97694?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a=30=83?1<7>t$51b>7g73A>?56F;3e9'7=5=9??n7)6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e1?21<7;50;2x 15f2;k;7E:;9:J77a=#;191=;;j;%0b`?4n6=44i0:f>5<5<lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wi5;o50;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sm97a94?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a=3c=83?1<7>t$51b>7g73A>?56F;3e9'7=5=9??n7)6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e1>:1<7;50;2x 15f2;k;7E:;9:J77a=#;191=;;j;%0b`?4n6=44i0:f>5<5<lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wi5:<50;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sm96694?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a=20=83?1<7>t$51b>7g73A>?56F;3e9'7=5=9??n7)6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e1>21<7;50;2x 15f2;k;7E:;9:J77a=#;191=;;j;%0b`?4n6=44i0:f>5<5<lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wi5:o50;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sm96a94?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a=2c=83?1<7>t$51b>7g73A>?56F;3e9'7=5=9??n7)6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e11:1<7;50;2x 15f2;k;7E:;9:J77a=#;191=;;j;%0b`?4n6=44i0:f>5<5<lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wi55<50;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sm99694?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a==0=83?1<7>t$51b>7g73A>?56F;3e9'7=5=9??n7)6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e1121<7;50;2x 15f2;k;7E:;9:J77a=#;191=;;j;%0b`?4n6=44i0:f>5<5<lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wi55o50;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sm99a94?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a==c=83?1<7>t$51b>7g73A>?56F;3e9'7=5=9??n7)6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e10:1<7=50;2x 15f2=>37E:;9:J77a=#;191=;;j;h3:a?6=3`8i:7>5;n143?6=3th25<4?:283>5}#<:k18964H56:?M24l2.84>4>64g8m4?b2900e?l9:188k6102900qo762;297?6=8r.??l4;499K01?<@=9o7)=73;351`=n90o1<75f2c494?=h;>=1<75rb8;0>5<4290;w):N3<01C8>j4$2:0>402m2c:5h4?::k1f3<722e8;:4?::a=<2=8391<7>t$51b>12?3A>?56F;3e9'7=5=9??n7d?6e;29?l4e>3:17b=87;29?xd>1<0;684?:1y'06g=:j?0D9:6;I60`>"40:0::8k4i0;f>5<5<5<54;294~"3;h09o;5G45;8L15c3-93?7?95d9j55l50;9j066=831d>o950;9~f2B?845G42f8 6>428<>i6g>9d83>>o50k0;66g;3183>>i5j>0;66sm98:94?2=83:p(9=n:3a5?M2312B??i5+3919533b3`;2i7>5;h0;f?6=3`>8<7>5;n0a3?6=3th2544?:583>5}#<:k1>n84H56:?M24l2.84>4>64g8m4?b2900e?6m:188m1572900c?l8:188yg?>i3:187>50z&77d<5k=1C8974H51g?!5?;3;=9h5f18g94?=n:1h1<75f42294?=h;>=1<75rb8;a>5<2290;w):N3<01C8>j4$3cg>7?c3->9<7::8:k1e=<722c9m44?::k1ed<722c9mo4?::m032<722wi54m50;694?6|,=9j6?ll;I67=>N3;m1/>lj5929j6d>=831b>l750;9j6dg=831d?:950;9~f6=4?{%60e?4el2B?845G42f8 7gc21<0(90<729q/8>o52cf8L12>3A>8h6*=ae8:5>"3:90?985f2`:94?=n:h31<75f2`c94?=n:hh1<75`36594?=zj03m6=49:183!24i38ii6F;489K06b<,;ko6<76;%614?22;2c9m54?::k1e<<722c9ml4?::k1eg<722c9mn4?::m032<722wi5l>50;194?6|,=9j6?lm;I67=>N3;m1/>lj5899j6d>=831b>l750;9l721=831vn4o>:186>5<7s->8m7i;0;694?:1y'06g=:ki0D9:6;I60`>"5im03n6g=a983>>o5i00;66g=a`83>>i4?>0;66sm9`194?2=83:p(9=n:3``?M2312B??i5+2`f95d3<,=8;69;7;h0b5;h0be?6=3f9<;7>5;|`:e1<72:0;6=u+42c96gd<@=>27E:1<7>t$51b>7dd3A>?56F;3e9'6db=:0k0(9i?0;6;4?:1y'06g=:ko0D9:6;I60`>"5im0:86g=a983>>o5i00;66g=a`83>>o5ik0;66g=ab83>>i4?>0;66sm9`594?5=83:p(9=n:3`a?M2312B??i5+2`f96==n:h21<75f2`;94?=h;>=1<75rb8c;>5<4290;w):N3<01C8>j4$3cg>4g33`8j47>5;h0b=?6=3f9<;7>5;|`:e<<72=0;6=u+42c96ge<@=>27E:1/8?>54458m7g?2900e?o6:188m7gf2900c>98:188yg?fi3:187>50z&77d<5jj1C8974H51g?!4fl3;27d6<729q/8>o52c`8L12>3A>8h6*=ae8;b>o5i10;66g=a883>>i4?>0;66sm9`a94?2=83:p(9=n:3``?M2312B??i5+2`f9=5=#<;:18884i3c;>5<5<t$51b>7db3A>?56F;3e9'6db=:h90e?o7:188m7g>2900e?on:188m7ge2900e?ol:188k6102900qo7nf;290?6=8r.??l4=bb9K01?<@=9o7)o5i10;66g=a883>>o5ih0;66a<7683>>{e1k:1<7:50;2x 15f2;hh7E:;9:J77a=#:hn1>574i3c;>5<5<5;h0b=?6=3f9<;7>5;|`:f7<72:0;6=u+42c96gd<@=>27E:t$51b>7de3A>?56F;3e9'6db=:11b>l650;9j6d?=831d?:950;9~f2900e?on:188k6102900qo7m5;297?6=8r.??l4=bc9K01?<@=9o7)6<729q/8>o52c`8L12>3A>8h6*=ae81<>"3:90?945f2`:94?=n:h31<75`36594?=zj0h<6=4<:183!24i38in6F;489K06b<,;ko6564i3c;>5<5<53;294~"3;h09no5G45;8L15c3-8jh767;h0b5;n143?6=3th2n44?:483>5}#<:k1>oj4H56:?M24l2.9mi4=979j6d>=831b>l750;9j6dg=831b>ll50;9l721=831vn4ln:186>5<7s->8m71<729q/8>o52ca8L12>3A>8h6*=ae8;`>o5i10;66g=a883>>o5ih0;66a<7683>>{e1ki1<7=50;2x 15f2;hi7E:;9:J77a=#:hn1>4;4$503>13>3`8j47>5;h0b=?6=3f9<;7>5;|`:fa<72=0;6=u+42c96ge<@=>27E:N3;m1/>lj58`9j6d>=831b>l750;9l721=831vn4li:187>5<7s->8m7k90;6>4?:1y'06g=:kh0D9:6;I60`>"5im03m6g=a983>>o5i00;66a<7683>>{e1j;1<7=50;2x 15f2;hi7E:;9:J77a=#:hn14l5f2`:94?=n:h31<75`36594?=zj0i96=4;:183!24i38io6F;489K06b<,;ko65j4i3c;>5<5<c3`8j47>5;h0b=?6=3`8jm7>5;n143?6=3th2o94?:283>5}#<:k1>ol4H56:?M24l2.9mi47a:k1e=<722c9m44?::m032<722wi5n;50;194?6|,=9j6?lm;I67=>N3;m1/>lj58`9j6d>=831b>l750;9l721=831vn4m9:180>5<7s->8m7k10;6>4?:1y'06g=:kh0D9:6;I60`>"5im03j6g=a983>>o5i00;66a<7683>>{e1j31<7;50;2x 15f2;ho7E:;9:J77a=#:hn1>5o4i3c;>5<5<5<55;294~"3;h09ni5G45;8L15c3-8jh7<69:k1e=<722c9m44?::k1ed<722c9mo4?::m032<722wi5nl50;694?6|,=9j6?ll;I67=>N3;m1/>lj58e9j6d>=831b>l750;9j6dg=831d?:950;9~f2900c>98:188yg?dl3:1?7>50z&77d<5jk1C8974H51g?!4fl38296g=a983>>o5i00;66a<7683>>{e1jo1<7:50;2x 15f2;hh7E:;9:J77a=#:hn1=?=4i3c;>5<5<?2c9m54?::k1e<<722c9ml4?::m032<722wi5i>50;194?6|,=9j6?lm;I67=>N3;m1/>lj58`9j6d>=831b>l750;9l721=831vn4j>:180>5<7s->8m76<729q/8>o52c`8L12>3A>8h6*=ae8;b>"3:90?9<5f2`:94?=n:h31<75`36594?=zj0n?6=4<:183!24i38in6F;489K06b<,;ko65h4$503>13e3`8j47>5;h0b=?6=3f9<;7>5;|`:`0<72:0;6=u+42c96gd<@=>27E:=4;539j6d>=831b>l750;9l721=831vn4j9:180>5<7s->8m7l>0;6>4?:1y'06g=:kh0D9:6;I60`>"5im0346g=a983>>o5i00;66a<7683>>{e1m21<7;50;2x 15f2;ho7E:;9:J77a=#:hn1485f2`:94?=n:h31<75f2`c94?=n:hh1<75`36594?=zj0n26=4::183!24i38ih6F;489K06b<,;ko65;4i3c;>5<5<5<55;294~"3;h09ni5G45;8L15c3-8jh76:;h0b5;h0be?6=3`8jn7>5;n143?6=3th2ho4?:483>5}#<:k1>oj4H56:?M24l2.9mi475:k1e=<722c9m44?::k1ed<722c9mo4?::m032<722wi5im50;794?6|,=9j6?lk;I67=>N3;m1/>lj5849j6d>=831b>l750;9j6dg=831b>ll50;9l721=831vn4jk:186>5<7s->8m70<729q/8>o52cf8L12>3A>8h6*=ae8;1>o5i10;66g=a883>>o5ih0;66g=ac83>>i4?>0;66sm9d294?3=83:p(9=n:3`g?M2312B??i5+2`f9<0=n:h21<75f2`;94?=n:hk1<75f2``94?=h;>=1<75rb8g2>5<2290;w):N3<01C8>j4$3cg>=35<5<23`8j47>5;h0b=?6=3`8jm7>5;h0bf?6=3f9<;7>5;|`:a6<72<0;6=u+42c96gb<@=>27E:t$51b>7dc3A>?56F;3e9'6db=0<1b>l650;9j6d?=831b>lo50;9j6dd=831d?:950;9~f6=4?{%60e?4el2B?845G42f8 7gc21?0e?o7:188m7g>2900e?on:188m7ge2900c>98:188yg?b>3:197>50z&77d<5jm1C8974H51g?!4fl32>7dm>0;684?:1y'06g=:kn0D9:6;I60`>"5im0396g=a983>>o5i00;66g=a`83>>o5ik0;66a<7683>>{e1l21<7;50;2x 15f2;ho7E:;9:J77a=#:hn1485f2`:94?=n:h31<75f2`c94?=n:hh1<75`36594?=zj0o26=4::183!24i38ih6F;489K06b<,;ko65;4i3c;>5<5<5<55;294~"3;h09ni5G45;8L15c3-8jh76:;h0b5;h0be?6=3`8jn7>5;n143?6=3th2io4?:483>5}#<:k1>oj4H56:?M24l2.9mi475:k1e=<722c9m44?::k1ed<722c9mo4?::m032<722wi5hm50;794?6|,=9j6?lk;I67=>N3;m1/>lj5849j6d>=831b>l750;9j6dg=831b>ll50;9l721=831vn4kk:186>5<7s->8m70<729q/8>o52cf8L12>3A>8h6*=ae8;1>o5i10;66g=a883>>o5ih0;66g=ac83>>i4?>0;66sm9g294?3=83:p(9=n:3`g?M2312B??i5+2`f9<0=n:h21<75f2`;94?=n:hk1<75f2``94?=h;>=1<75rb8d2>5<2290;w):N3<01C8>j4$3cg>=35<5<23`8j47>5;h0b=?6=3`8jm7>5;h0bf?6=3f9<;7>5;|`:b6<72<0;6=u+42c96gb<@=>27E:t$51b>7dc3A>?56F;3e9'6db=0<1b>l650;9j6d?=831b>lo50;9j6dd=831d?:950;9~f<`2290>6=4?{%60e?4el2B?845G42f8 7gc21?0e?o7:188m7g>2900e?on:188m7ge2900c>98:188yg?a>3:197>50z&77d<5jm1C8974H51g?!4fl32>7dn>0;684?:1y'06g=:kn0D9:6;I60`>"5im0396g=a983>>o5i00;66g=a`83>>o5ik0;66a<7683>>{e1o21<7;50;2x 15f2;ho7E:;9:J77a=#:hn1485f2`:94?=n:h31<75f2`c94?=n:hh1<75`36594?=zj0l26=4::183!24i38ih6F;489K06b<,;ko65;4i3c;>5<5<5<55;294~"3;h09ni5G45;8L15c3-8jh76:;h0b5;h0be?6=3`8jn7>5;n143?6=3th2jo4?:483>5}#<:k1>oj4H56:?M24l2.9mi475:k1e=<722c9m44?::k1ed<722c9mo4?::m032<722wi5km50;794?6|,=9j6?lk;I67=>N3;m1/>lj5849j6d>=831b>l750;9j6dg=831b>ll50;9l721=831vn4hk:186>5<7s->8m70<729q/8>o52cf8L12>3A>8h6*=ae8;1>o5i10;66g=a883>>o5ih0;66g=ac83>>i4?>0;66sma1294?3=83:p(9=n:3`g?M2312B??i5+2`f9<0=n:h21<75f2`;94?=n:hk1<75f2``94?=h;>=1<75rb`22>5<2290;w):N3<01C8>j4$3cg>=35<5<23`8j47>5;h0b=?6=3`8jm7>5;h0bf?6=3f9<;7>5;|`b46<72<0;6=u+42c96gb<@=>27E:t$51b>7dc3A>?56F;3e9'6db=0<1b>l650;9j6d?=831b>lo50;9j6dd=831d?:950;9~fd62290>6=4?{%60e?4el2B?845G42f8 7gc21?0e?o7:188m7g>2900e?on:188m7ge2900c>98:188ygg7>3:197>50z&77d<5jm1C8974H51g?!4fl32>7d0;684?:1y'06g=:kn0D9:6;I60`>"5im0396g=a983>>o5i00;66g=a`83>>o5ik0;66a<7683>>{ei921<7;50;2x 15f2;ho7E:;9:J77a=#:hn1485f2`:94?=n:h31<75f2`c94?=n:hh1<75`36594?=zjh:26=4::183!24i38ih6F;489K06b<,;ko65;4i3c;>5<5<5<55;294~"3;h09ni5G45;8L15c3-8jh76:;h0b5;h0be?6=3`8jn7>5;n143?6=3thj5}#<:k1>oj4H56:?M24l2.9mi475:k1e=<722c9m44?::k1ed<722c9mo4?::m032<722wim=m50;794?6|,=9j6?lk;I67=>N3;m1/>lj5849j6d>=831b>l750;9j6dg=831b>ll50;9l721=831vnl>k:186>5<7s->8m70<729q/8>o52cf8L12>3A>8h6*=ae8;1>o5i10;66g=a883>>o5ih0;66g=ac83>>i4?>0;66sma0294?3=83:p(9=n:3`g?M2312B??i5+2`f9<0=n:h21<75f2`;94?=n:hk1<75f2``94?=h;>=1<75rb`32>5<2290;w):N3<01C8>j4$3cg>=35<5<23`8j47>5;h0b=?6=3`8jm7>5;h0bf?6=3f9<;7>5;|`b56<72<0;6=u+42c96gb<@=>27E:t$51b>7dc3A>?56F;3e9'6db=0<1b>l650;9j6d?=831b>lo50;9j6dd=831d?:950;9~fd72290>6=4?{%60e?4el2B?845G42f8 7gc21?0e?o7:188m7g>2900e?on:188m7ge2900c>98:188ygg6>3:197>50z&77d<5jm1C8974H51g?!4fl32>7d0;684?:1y'06g=:kn0D9:6;I60`>"5im0396g=a983>>o5i00;66g=a`83>>o5ik0;66a<7683>>{ei821<7;50;2x 15f2;ho7E:;9:J77a=#:hn1485f2`:94?=n:h31<75f2`c94?=n:hh1<75`36594?=zjh;26=4::183!24i38ih6F;489K06b<,;ko65;4i3c;>5<5<5<55;294~"3;h09ni5G45;8L15c3-8jh76:;h0b5;h0be?6=3`8jn7>5;n143?6=3thj=o4?:483>5}#<:k1>oj4H56:?M24l2.9mi475:k1e=<722c9m44?::k1ed<722c9mo4?::m032<722wimN3;m1/>lj5849j6d>=831b>l750;9j6dg=831b>ll50;9l721=831vnl?k:186>5<7s->8m7e;291?6=8r.??l4=be9K01?<@=9o7)0<729q/8>o52cf8L12>3A>8h6*=ae8;1>o5i10;66g=a883>>o5ih0;66g=ac83>>i4?>0;66sma3294?3=83:p(9=n:3`g?M2312B??i5+2`f9<0=n:h21<75f2`;94?=n:hk1<75f2``94?=h;>=1<75rb`02>5<2290;w):N3<01C8>j4$3cg>=35<5<23`8j47>5;h0b=?6=3`8jm7>5;h0bf?6=3f9<;7>5;|`b66<72<0;6=u+42c96gb<@=>27E:t$51b>7dc3A>?56F;3e9'6db=0<1b>l650;9j6d?=831b>lo50;9j6dd=831d?:950;9~fd42290>6=4?{%60e?4el2B?845G42f8 7gc21?0e?o7:188m7g>2900e?on:188m7ge2900c>98:188ygg5>3:197>50z&77d<5jm1C8974H51g?!4fl32>7d0;684?:1y'06g=:kn0D9:6;I60`>"5im0396g=a983>>o5i00;66g=a`83>>o5ik0;66a<7683>>{ei;21<7;50;2x 15f2;ho7E:;9:J77a=#:hn1485f2`:94?=n:h31<75f2`c94?=n:hh1<75`36594?=zjh826=4::183!24i38ih6F;489K06b<,;ko65;4i3c;>5<5<5<55;294~"3;h09ni5G45;8L15c3-8jh76:;h0b5;h0be?6=3`8jn7>5;n143?6=3thj>o4?:483>5}#<:k1>oj4H56:?M24l2.9mi475:k1e=<722c9m44?::k1ed<722c9mo4?::m032<722wim?m50;794?6|,=9j6?lk;I67=>N3;m1/>lj5849j6d>=831b>l750;9j6dg=831b>ll50;9l721=831vnl5<7s->8m70<729q/8>o52cf8L12>3A>8h6*=ae8;1>o5i10;66g=a883>>o5ih0;66g=ac83>>i4?>0;66sma2294?3=83:p(9=n:3`g?M2312B??i5+2`f9<0=n:h21<75f2`;94?=n:hk1<75f2``94?=h;>=1<75rb`12>5<2290;w):N3<01C8>j4$3cg>=35<5<23`8j47>5;h0b=?6=3`8jm7>5;h0bf?6=3f9<;7>5;|`b76<72<0;6=u+42c96gb<@=>27E:t$51b>7dc3A>?56F;3e9'6db=0<1b>l650;9j6d?=831b>lo50;9j6dd=831d?:950;9~fd52290>6=4?{%60e?4el2B?845G42f8 7gc21?0e?o7:188m7g>2900e?on:188m7ge2900c>98:188ygg4>3:187>50z&77d<5jj1C8974H51g?!4fl3;>7d1<729q/8>o52ca8L12>3A>8h6*=ae81<==n:h21<75f2`;94?=n:hk1<75`36594?=zjh936=4<:183!24i38in6F;489K06b<,;ko6?7:;h0b5;n143?6=3thj?44?:283>5}#<:k1>ol4H56:?M24l2.9mi4=949j6d>=831b>l750;9l721=831vnl=n:180>5<7s->8m76<729q/8>o52c`8L12>3A>8h6*=ae81=0=n:h21<75f2`;94?=h;>=1<75rb`1`>5<2290;w):N3<01C8>j4$2:0>402m2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3`>987>5;n616?6=3thj?i4?:483>5}#<:k1>l>4H56:?M24l2.84>4>64g8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~fd5b290>6=4?{%60e?4f82B?845G42f8 6>428<>i6*=ae81?l73m3:17d?7e;29?l7f<3:17d:=4;29?j25:3:17pln3g83>0<729q/8>o52`28L12>3A>8h6*<828220c<,;ko6?5f15g94?=n91o1<75f1`694?=n<;>1<75`43094?=zjh>;6=4::183!24i38j<6F;489K06b<,:286<8:e:&1ea<53`;?i7>5;h3;a?6=3`;j87>5;h610?6=3f>9>7>5;|`b04<72<0;6=u+42c96d6<@=>27E:5<7s->8m76<:046a>"5im097d?;e;29?l7?m3:17d?n4;29?l25<3:17b:=2;29?xdf<:0;684?:1y'06g=:h:0D9:6;I60`>"40:0::8k4$3cg>7=n9=o1<75f19g94?=n9h>1<75f43694?=h<;81<75rb`67>5<2290;w):N3<01C8>j4$2:0>402m2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3`>987>5;n616?6=3thj884?:583>5}#<:k1>4h4H56:?M24l2c:8h4?::k2e1<722c?>94?::m767<722wim9850;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sma5:94?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<55;294~"3;h09m<5G45;8L15c3-93?7?95d9'6db=9>1b=9k50;9j5=c=831b=l:50;9j066=831d8?<50;9~fd2f290?6=4?{%60e?4>n2B?845G42f8m42b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sma5a94?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<55;294~"3;h09m<5G45;8L15c3-93?7?95d9'6db=9>1b=9k50;9j5=c=831b=l:50;9j066=831d8?<50;9~fd2b290>6=4?{%60e?4f92B?845G42f8 6>428<>i6*=ae823>o68d83>>o6i=0;66g;3183>>i3:;0;66sma5d94?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<<7>55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::ae07=83?1<7>t$51b>7g63A>?56F;3e9'7=5=9??n7)0<729q/8>o52`28L12>3A>8h6*<828220c<,;ko6?5f15g94?=n91o1<75f1`694?=n<;>1<75`43094?=zjh?86=4::183!24i38j<6F;489K06b<,:286<8:e:&1ea<53`;?i7>5;h3;a?6=3`;j87>5;h610?6=3f>9>7>5;|`b11<72<0;6=u+42c96d7<@=>27E:6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{ei<<1<7;50;2x 15f2;k;7E:;9:J77a=#;191=;;j;%0b`?4n6=44i0:f>5<5<lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wim8650;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sma4c94?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<n7>52;294~"3;h09nl5G45;8L15c3-8jh7<7;h0b5;|`b1f<72:0;6=u+42c96gd<@=>27E:t$51b>7df3A>?56F;3e9'6db=:11b>l650;9l721=831vnl;j:181>5<7s->8m798:188ygg2n3:1>7>50z&77d<5jh1C8974H51g?!4fl3837d7<729q/8>o52cc8L12>3A>8h6*=ae81<>o5i10;66a<7683>>{ei?;1<7<50;2x 15f2;hj7E:;9:J77a=#:hn1>55f2`:94?=h;>=1<75rb`41>5<5290;w):N3<01C8>j4$3cg>7>5<52;294~"3;h09nl5G45;8L15c3-8jh7<7;h0b5;|`b21<72;0;6=u+42c96gg<@=>27E:N3;m1/>lj5299j6d>=831d?:950;9~fd0129096=4?{%60e?4ei2B?845G42f8 7gc2;20e?o7:188k6102900qoo97;296?6=8r.??l4=b`9K01?<@=9o7)10;6?4?:1y'06g=:kk0D9:6;I60`>"5im0946g=a983>>i4?>0;66sma7;94?2=83:p(9=n:3;e?M2312B??i5+2`f96>o6a583>>o3:=0;66a;2383>>{ei?k1<7:50;2x 15f2;3m7E:;9:J77a=#:hn1>6g>4d83>>o6i=0;66g;2583>>i3:;0;66sma7`94?5=83:p(9=n:3;`?M2312B??i5+2`f952=n9=o1<75f1`694?=h<;81<75rb`4`>5<4290;w):N3<01C8>j4$3cg>41n6=44i0c7>5<3:1>3`8j47>5;h0b=?6=3`8jm7>5;h0bf?6=3`8jo7>5;n143?6=3thj:h4?:583>5}#<:k1>om4H56:?M24l2.9mi4=9`9'076=<<;0e?o7:188m7g>2900e?on:188k6102900qoo9f;290?6=8r.??l4=bb9K01?<@=9o7)"3:90?9:5f2`:94?=n:h31<75f2`c94?=h;>=1<75rb`53>5<2290;w):N3<01C8>j4$3cg>7??3->9<7::2:k1e=<722c9m44?::k1ed<722c9mo4?::m032<722wim:?50;794?6|,=9j6?lk;I67=>N3;m1/>lj528:8 1472=?i7d"5im09555+432900g5<5<3:13->9<7::0:k1e=<722c9m44?::k1ed<722c9mo4?::k1ef<722e8;:4?::ae22=83?1<7>t$51b>7dc3A>?56F;3e9'6db=9h1/8?>54428m7g?2900e?o6:188m7gf2900e?om:188k6102900qoo85;292?6=8r.??l4=bd9K01?<@=9o7)>86g=a983>>o5i00;66g=a`83>>o5ik0;66g=ab83>>i4?>0;66sma6494?2=83:p(9=n:3``?M2312B??i5+2`f91==#<;:188:4i3c;>5<5<n6=44i0:f>5<5<52;294~"3;h09n85G45;8L15c3`8j;7>5;n143?6=3thj;44?:383>5}#<:k1>o;4H56:?M24l2c9m:4?::m032<722wim:o50;094?6|,=9j6?l:;I67=>N3;m1b>l950;9l721=831vnl9m:181>5<7s->8m77<729q/8>o52c78L12>3A>8h6g=a683>>i4?>0;66sma6g94?4=83:p(9=n:3`6?M2312B??i5f2`594?=h;>=1<75rb`5e>5<5290;w):N3<01C8>j4i3c4>5<5;|`b<4<72;0;6=u+42c96g3<@=>27E:t$51b>7d23A>?56F;3e9j6d1=831d?:950;9~fd>429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb`:7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjh2>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fd>1290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnl68:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnl6n:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fd>e29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb`:`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjh2o6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fd>b290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnl6i:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnl7=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fd?429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb`;7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjh3>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fd?1290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnl78:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnl7n:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fd?e29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb`;`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjh3o6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fd?b290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnl7i:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnlo=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fdg429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb`c7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjhk>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fdg1290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnlo8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnlon:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fdge29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb`c`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjhko6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fdgb290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnloi:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnll=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fdd429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb``7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjhh>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fdd1290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnll8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnlln:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fdde29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb```>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjhho6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fddb290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnlli:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnlm=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fde429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb`a7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjhi>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fde1290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnlm8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnlmn:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fdee29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb`a`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjhio6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fdeb290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnlmi:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnlj=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fdb429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb`f7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjhn>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fdb1290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnlj8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnljn:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fdbe29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb`f`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjhno6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fdbb290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnlji:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnlk=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fdc429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb`g7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjho>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fdc1290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnlk8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnlkn:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fdce29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb`g`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjhoo6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fdcb290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnlki:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnlh=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fd`429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb`d7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjhl>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fd`1290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnlh8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnlhn:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fd`e29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb`d`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjhlo6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fd`b290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnlhi:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno>=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg6429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc27>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk:>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg61290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno>8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno>n:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg6e29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc2`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk:o6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg6b290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno>i:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno?=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg7429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc37>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk;>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg71290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno?8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno?n:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg7e29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc3`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk;o6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg7b290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno?i:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno<=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg4429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc07>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk8>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg41290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno<8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg4e29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc0`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk8o6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg4b290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno==:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg5429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc17>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk9>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg51290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno=8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno=n:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg5e29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc1`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk9o6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg5b290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno=i:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<;6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rbc62>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno:=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg2429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc67>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk>>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg21290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno:8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<36=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rbc6:>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno:n:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg2e29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc6`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk>o6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg2b290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno:i:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno;=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg3429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc77>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk?>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg31290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno;8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno;n:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg3e29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc7`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk?o6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg3b290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno;i:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno8=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg0429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc47>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk<>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg01290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno88:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno8n:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg0e29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc4`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg0b290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno8i:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno9=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg1429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc57>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk=>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg11290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno98:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno9n:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg1e29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc5`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk=o6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg1b290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno9i:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno6=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg>429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc:7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk2>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg>1290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno68:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno6n:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg>e29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc:`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk2o6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg>b290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno6i:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno7=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg?429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc;7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk3>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg?1290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno78:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno7n:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg?e29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc;`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk3o6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg?b290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno7i:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnoo=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fgg429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbcc7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjkk>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fgg1290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnoo8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnoon:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fgge29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbcc`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjkko6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fggb290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnooi:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnol=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fgd429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc`7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjkh>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fgd1290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnol8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnoln:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fgde29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc``>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjkho6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fgdb290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnoli:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnom=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fge429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbca7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjki>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fge1290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnom8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnomn:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fgee29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbca`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjkio6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fgeb290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnomi:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnoj=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fgb429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbcf7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjkn>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fgb1290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnoj8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnojn:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fgbe29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbcf`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjkno6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fgbb290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831v<892;296~Xeml16m5l51`68yv71>:0;6?uQbdf89d>>28k?7p}>67694?4|Vkoh70o7f;3b0>{t9?<>6=4={_`ff>;f180:m95rs0452?6=:rTiil52a9f95d2=838pRok7;a59~w401i3:1>vPmf79>e0q~?96c83>7}Yjo?01l78:0c7?xu6>?i1<7n3;j86s|174g>5<5sWhm?63na082e1=z{8<=i7>52z\ab7=:i0n1=l:4}r352c<72;qUnk?4=`c6>4g33ty:::>50;0xZg`734kj;7?n4:p53162909wSljf:?be6<6i=1v<882;296~Xem?16ml751`68yv71?:0;6?uQbd789dge28k?7p}>66694?4|Vj9370:76;036>{t9?=>6=4={_a03>;30?09<<5rs0442?6=:rTh?;524949656=838pRn=<;<6;2?7al2wx=;96:181[e4:27?4;4>fb9~w400i3:1>vPl309>0=0=9oh0q~?97c83>7}Yk::01969:0d:?xu6>>i1<73;m46s|175g>5<5sWi9i63;8782b2=z{8<52z\`6a=:<1<1=k84}r353c<72;qUo?m4=5:5>4`23ty::5>50;0xZf4e34>3:7?i4:p53>62909wSm=a:?7<3<6n:1v<872;296~Xd;o1685852318yv710:0;6?uQc2g891>12;897p}>69694?4|Vj9o70:76;015>{t9?2>6=4={_a0g>;30?09>=5rs04;2?6=:rTh?o52494964`=838pRn=6;<6;2?46<2wx=;66:181[e4<27?4;4=089~w40?i3:1>vPl289>0=0=9oo0q~?98c83>7}Yk;201969:0d1?xu6>1i1<738:h6s|17:g>5<5sWn:;63;87815g=z{8<3i7>52z\g53=:<1<1>77>3ty::4>50;0xZa7434>3:7<>8:p53?62909wSj>2:?7<3<59>1v<862;296~Xc981685852048yv711:0;6?uQd02891>12;;>7p}>68694?4|Vm:m70:76;027>{t9?3>6=4={_f3a>;30?09=?5rs04:2?6=:rTo=838pRi>m;<6;2?47n2wx=;76:181[b7i27?4;4=0d9~w40>i3:1>vPk1g9>0=0=:9n0q~?99c83>7}Yl8o01969:32`?xu6>0i1<738;n6s|17;g>5<5sWn:o63;87814d=z{8<2i7>52z\g5g=:<1<1>=64}r35=c<72;qUh7603ty::l>50;0xZa7>34>3:74:?7<3<58<1v<8n2;296~Xc801685852168yv71i:0;6?uQd1:891>12;:87p}>6`694?74mrT::;?4=553>15734><=7:=4:?737<3:=168::5436891122=8?70:86;610>;3?>0?>95246:9072<5==269<;;<64e?25<27?;o4;259>02e=<::0199k:507?820m3>8<63;818761=:<1;18?:4=5:0>14334>387:=4:?7<0<3:=168585423890542=8?70;<7;610>;2>90?>9525739072<5<<969<;;<757?25<27>:94;259>133=<;>01889:507?831?3>9863:698761=:=?318?:4=44b>14334?=n7:=4:?62f<3:=169;j54368900b2=8?70;9f;610>;2?90?>9525639072<5<=969=>;<7b1?24927>h54;309>25d=<:;01;=j:512?80093>8=639a58774=:>m=18>?4=62b>15634=8h7:<1:?435<3;816;l=5423892b12=9:706?f;605>;?<10?>95285;9072<51>j69<;;<:7f?25<2738n4;259><1b=<;>015:j:507?8>3n3>98637518761=:0<;18?:4=971>143342>?7:=4:?;11<3:=1648;543689=312=8?706:7;610>;?=10?>95284;9072<51?j69<;;<:6f?25<2739n4;259><0b=<;>015;j:507?8>2n3>98637618761=:0?;18?:4=941>143342=?7:=4:?;21<3:=164;;543689=012=8?70697;610>;?>10?>95287;9072<51<3b=<;>0158j:507?8>1n3>98637718761=:0>;18?:4=951>143342;??10?>95286;9072<51=j69<;;<:4f?25<273;n4;259><2b=<;>0159j:507?8>0n3>98637818761=:01;18?:4=9:1>1433423?7:=4:?;<1<3:=1645;543689=>12=8?70677;610>;?010?>95289;9072<512j69<;;<:;f?25<2734n4;259><=b=<;>0156j:507?8>?n3>98637918761=:00;18?:4=9;1>1433422?7:=4:?;=1<3:=1644;543689=?12=8?70667;610>;?110?>95288;9072<513j69<;;<::f?25<2735n4;259><0157j:507?8>>n3>98637a18761=:0h;18?:4=9c1>143342j?7:=4:?;e1<3:=164l;543689=g12=8?706n7;610>;?i10??<528bd9072<51n;69<;;<:g5?25<273h?4;259>015j;:507?8>c=3>98637d78761=:0m=18?:4=9f;>143342o57:=4:?;`d<3:=164il543689=bd2=8?706kd;610>;?ll0?>9528ed9072<51o;69<;;<:f5?25<273i?4;259><`5=<;>015k;:507?8>b=3>98637e78761=:0l=18?:4=9g;>143342n57:=4:?;ad<3:=164hl543689=cd2=8?706jd;610>;?ml0?>9528dd9072<51l;69<;;<:e5?25<273j?4;259>015h;:507?8>a=3>98637f78761=:0o=18?:4=9d;>143342m57:=4:?;bd<3:=164kl543689=`d2=8?706id;610>;?nl0?>9528gd9067<509:69<;;<;06?25<272?>4;259>=62=<;>014=::507?8?4>3>98636368761=:1:218?:4=81:>1433438m7:=4:?:7g<3:=165>m543689<5c2=8?707;>;o0?>9529529072<50>:69<;;<;76?25<2728>4;259>=12=<;>014:::507?8?3>3>98636468761=:1=218?:4=86:>143343?m7:=4:?:0g<3:=1659m543689<2c2=8?707;e;610>;>9529429072<50?:69<;;<;66?25<2729>4;259>=02=<;>014;::507?8?2>3>98636568761=:1<218?:4=87:>143343>m7:=4:?:1g<3:=1658m543689<3c2=8?707:e;610>;>=o0?>9529729072<50<:69<;;<;56?25<272:>4;259>=32=<;>0148::507?8?1>3>98636668761=:1?218?:4=84:>143343=m7:=4:?:2g<3:=165;m543689<0c2=8?7079e;610>;>>o0?>9529629072<50=:69<;;<;46?25<272;>4;259>=22=<;>0149::507?8?0>3>98636768761=:1>218?:4=85:>143343;>?o0?>9529929072<502:69<;;<;;6?25<2724>4;259>==2=<;>0146::507?8??>3>98636868761=:11218?:4=8::>1433433m7:=4:?:c2=8?7077e;610>;>0o0?>9529`;96d><50io6?o7;e16=<;>01l:9:507?8g3?3>9863n498761=:i=318>>4=`72>15734k>>7:=4:?b16<3:=16m8:542289d322=8?70o:6;610>;f=>0?>952a4:9072<5h?269<;;e3g=<;>01l8i:3c:?xu6>h?1<742b34>=97?;e:?72g<61o168;m518d8911728>n70:81;37a>;3?;0:8h52461951c<5==?6<:j;<641?73m27?;;4>4d9>021=9=o01997:06f?82013;?i63;7`820`=:<>h1=9k4=55`>42b34>728>n70:71;37a>;30;0:8h52491951c<5=2?6<:j;<6;1?73m27?4;4>9g9>0`?=9=o019kn:06f?82a<3;?i63;f4820`=:42b34>m47?;e:?7b<<6n70:ic;37a>;3nm0:8h524gg951c<5=lm6<:j;<734?73m27><<4>4d9>154=9=o018><:06f?837<3;?i63:04820`=:=9<1=9k4=424>42b34?;47?;e:?64<<6n70;>d;37a>;29l0:8h5250d951c<5<836<:j;<71=?73m27>?<4>4d9>164=9=o018=<:06f?834?3;?i63:40820`=:==l1=9k4=473>42b34?>=7?;e:?617<61o1698=518d89033283m70;:5;3:b>;2=?0:5k5254595<`<59l4>4d9>10d=9=o018;l:06f?832l3;?i63:5d820`=:=42b34?==7?;e:?627<6n70;95;37a>;2>?0:8h52575951c<5<<36<:j;<75=?73m27>:l4>4d9>13d=9=o0188l:06f?831l3;?i63:6d820`=:=?l1=9k4=453>42b34?<=7?;e:?637<61o169l;518d890b?283m708?b;3:b>;1;l0:5k5266395<`<5?k?6<7i;<4g3?7>n27<9g9>36b=90l01:9?:0;e?81f;3;2j638d782=c=:09l1=4h4=96;>42b342?57?;e:?;0d<6n706;d;37a>;?4d9><05=9=o015;;:06f?8>2=3;?i63757820`=:0<=1=9k4=97;>42b342>57?;e:?;1d<6n706:d;37a>;?=l0:8h5284d951c<51<;6<:j;<:55?73m273:?4>4d9><35=9=o0158;:06f?8>1=3;?i63767820`=:0?=1=9k4=94;>42b342=57?;e:?;2d<6n7069d;37a>;?>l0:8h5287d951c<51=;6<:j;<:45?73m273;?4>4d9><25=9=o0159;:06f?8>0=3;?i63777820`=:0>=1=9k4=95;>42b342<57?;e:?;3d<6n7068d;37a>;??l0:8h5286d951c<512;6<:j;<:;5?73m2734?4>4d9><=5=9=o0156;:06f?8>?=3;?i63787820`=:01=1=9k4=9:;>42b342357?;e:?;d28>n7067d;37a>;?0l0:8h5289d951c<513;6<:j;<::5?73m2735?4>4d9><<5=9=o0157;:06f?8>>=3;?i63797820`=:00=1=9k4=9;;>42b342257?;e:?;=d<6n7066d;37a>;?1l0:8h5288d951c<51k;6<:j;<:b5?73m273m?4>4d9>f=3;?i637a7820`=:0h=1=9k4=9c;>4?a342hj7?;e:?;`5<6n706k3;37a>;?l=0:8h528e7951c<51n=6<:j;<:g3?73m273h54>4d9>cj3;?i637db820`=:0mn1=9k4=9ff>42b342oj7?;e:?;a5<6n706j3;37a>;?m=0:8h528d7951c<51o=6<:j;<:f3?73m273i54>4d9><`?=9=o015kn:06f?8>bj3;?i637eb820`=:0ln1=9k4=9gf>42b342nj7?;e:?;b5<6n706i3;37a>;?n=0:8h528g7951c<51l=6<:j;<:e3?73m273j54>4d9>aj3;?i637fb820`=:0on1=9k4=9df>42b342mj7?6f:?:75<6?515g89<5528>n707<3;37a>;>;=0:8h52927951c<509=6<:j;<;03?73m272?54>4d9>=6?=9=o014=n:06f?8?4j3;?i6363b820`=:1:n1=9k4=81f>42b3438j7?;e:?:05<6n707;3;37a>;><=0:8h52957951c<50>=6<:j;<;73?73m272854>4d9>=1?=9=o014:n:06f?8?3j3;?i6364b820`=:1=n1=9k4=86f>42b343?j7?;e:?:15<6n707:3;37a>;>==0:8h52947951c<50?=6<:j;<;63?73m272954>4d9>=0?=9=o014;n:06f?8?2j3;?i6365b820`=:142b343>j7?;e:?:25<6n70793;37a>;>>=0:8h52977951c<50<=6<:j;<;53?73m272:54>4d9>=3?=9=o0148n:06f?8?1j3;?i6366b820`=:1?n1=9k4=84f>42b343=j7?;e:?:35<6n70783;37a>;>?=0:8h52967951c<50==6<:j;<;43?73m272;54>4d9>=2?=9=o0149n:06f?8?0j3;?i6367b820`=:1>n1=9k4=85f>42b343528>n70773;37a>;>0=0:8h52997951c<502=6<:j;<;;3?73m272454>4d9>==?=9=o0146n:06f?8??j3;?i6368b820`=:11n1=9k4=8:f>42b3433j7?;e:?b7f<6j515g89d5b28>n70o;f<90:8h52a53951c<5h>96<:j;4d9>e13=9=o01l:9:06f?8g3?3;?i63n49820`=:i=31=9k4=`6b>42b34k?n7?;e:?b0f<6n70o;f;37a>;f=90:8h52a43951c<5h?96<:j;4d9>e03=9=o01l;9:06f?8g2?3;?i63n59820`=:i<31=9k4=`7b>42b34k=57?;e:?b2d<6n70o87;37a>;f0:0:5k52a96951c<5h2>6<7i;9g9>e=>=9=o01l66:0;e?8g?i3;?i63n8c82=c=:i1i1=9k4=`:g>4?a34k3i7?;e:?b515g89d?6283m70o62;37a>;f1:0:5k52a86951c<5h3>6<7i;9g9>e<>=9=o01l76:0;e?8g>i3;?i63n9c82=c=:i0i1=9k4=`;g>4?a34k2i7?;e:?b=c<61o16ml>515g89dg6283m70on2;37a>;fi:0:5k52a`6951c<5hk>6<7i;9g9>ed>=9=o01lo6:0;e?8gfi3;?i63nac82=c=:ihi1=9k4=`cg>4?a34kji7?;e:?bec<61o16mo>515g89dd6283m70om2;37a>;fj:0:5k52ac6951c<5hh>6<7i;9g9>eg>=9=o01ll6:0;e?8gei3;?i63nbc82=c=:iki1=9k4=``g>4?a34kii7?;e:?bfc<61o16mn>515g89de6283m70ol2;37a>;fk:0:5k52ab6951c<5hi>6<7i;9g9>ef>=9=o01lm6:0;e?8gdi3;?i63ncc82=c=:iji1=9k4=`ag>4?a34khi7?;e:?bgc<61o16mi>515g89db6283m70ok2;37a>;fl:0:5k52ae6951c<5hn>6<7i;9g9>ea>=9=o01lj6:0;e?8gci3;?i63ndc82=c=:imi1=9k4=`fg>4?a34koi7?;e:?b`c<61o16mh>515g89dc6283m70oj2;37a>;fm:0:5k52ad6951c<5ho>6<7i;9g9>e`>=9=o01lk6:0;e?8gbi3;?i63nec82=c=:ili1=9k4=`gg>4?a34kni7?;e:?bac<61o16mk>515g89d`6283m70oi2;37a>;fn:0:5k52ag6951c<5hl>6<7i;9g9>ec>=9=o01lh6:0;e?8gai3;?i63nfc82=c=:ioi1=9k4=`dg>4?a34kmi7?;e:?bbc<61o16n=>515g89g66283m70l?2;37a>;e8:0:5k52b16951c<5k:>6<7i;<`32?73m27i<:4>9g9>f5>=9=o01o>6:0;e?8d7i3;?i63m0c82=c=:j9i1=9k4=c2g>4?a34h;i7?;e:?a4c<61o16n<>515g89g76283m70l>2;37a>;e9:0:5k52b06951c<5k;>6<7i;<`22?73m27i=:4>9g9>f4>=9=o01o?6:0;e?8d6i3;?i63m1c82=c=:j8i1=9k4=c3g>4?a34h:i7?;e:?a5c<61o16n?>515g89g46283m70l=2;37a>;e::0:5k52b36951c<5k8>6<7i;<`12?73m27i>:4>9g9>f7>=9=o01o<6:0;e?8d5i3;?i63m2c82=c=:j;i1=9k4=c0g>4?a34h9i7?;e:?a6c<61o16n>>515g89g56283m70l<2;37a>;e;:0:5k52b26951c<5k9>6<7i;<`02?73m27i?:4>9g9>f6>=9=o01o=6:0;e?8d4i3;?i63m3c82=c=:j:i1=9k4=c1g>4?a34h8i7?;e:?a7c<61o16n9>515g89g26283m70l;2;37a>;e<:0:5k52b56951c<5k>>6<7i;<`72?73m27i8:4>9g9>f1>=9=o01o:6:0;e?8d3i3;?i63m4c82=c=:j=i1=9k4=c6g>4?a34h?i7?;e:?a0c<61o16n8>515g89g36283m70l:2;37a>;e=:0:5k52b46951c<5k?>6<7i;<`62?73m27i9:4>9g9>f0>=9=o01o;6:0;e?8d2i3;?i63m5c82=c=:j4?a34h>i7?;e:?a1c<61o16n;>515g89g06283m70l92;37a>;e>:0:5k52b76951c<5k<>6<7i;<`52?73m27i::4>9g9>f3>=9=o01o86:0;e?8d1i3;?i63m6c82=c=:j?i1=9k4=c4g>4?a34h=i7?;e:?a2c<61o16n:>515g89g16283m70l82;37a>;e?:0:5k52b66951c<5k=>6<7i;<`42?73m27i;:4>9g9>f2>=9=o01o96:0;e?8d0i3;?i63m7c82=c=:j>i1=9k4=c5g>4?a34h515g89g>6283m70l72;37a>;e0:0:5k52b96951c<5k2>6<7i;<`;2?73m27i4:4>9g9>f=>=9=o01o66:0;e?8d?i3;?i63m8c82=c=:j1i1=9k4=c:g>4?a34h3i7?;e:?a515g89g?6283m70l62;37a>;e1:0:5k52b86951c<5k3>6<7i;<`:2?73m27i5:4>9g9>f<>=9=o01o76:0;e?8d>i3;?i63m9c82=c=:j0i1=9k4=c;g>4?a34h2i7?;e:?a=c<61o16nl>515g89gg6283m70ln2;37a>;ei:0:5k52b`6951c<5kk>6<7i;<`b2?73m27im:4>9g9>fd>=9=o01oo6:0;e?8dfi3;?i63mac82=c=:jhi1=9k4=ccg>4?a34hji7?;e:?aec<61o16no>515g89gd6283m70lm2;37a>;ej:0:5k52bc6951c<5kh>6<7i;<`a2?73m27in:4>9g9>fg>=9=o01ol6:0;e?8dei3;?i63mbc82=c=:jki1=9k4=c`g>4?a34hii7?;e:?afc<61o16nn>515g89ge6283m70ll2;37a>;ek:0:5k52bb6951c<5ki>6<7i;<``2?73m27io:4>9g9>ff>=9=o01om6:0;e?8ddi3;?i63mcc82=c=:jji1=9k4=cag>4?a34hhi7?;e:?agc<61o16ni>515g89gb6283m70lk2;37a>;el:0:5k52be6951c<5kn>6<7i;<`g2?73m27ih:4>9g9>fa>=9=o01oj6:0;e?8dci3;?i63mdc82=c=:jmi1=9k4=cfg>4?a34hoi7?;e:p53g129098luQbed8910e283870:9b;3:1>;3>k0:595247`95:27?:o4>919>03d=91l0198m:0;4?821j3;2n63;6c82=d=:4?234>=o7?64:?72f<61j168;m51848910d283970:9c;3:4>;3>j0:4k5247a95<1<5=i27?;=4>8d9>027=91o0199=:0:f?820;3;3i63;7582<`=:<>?1=5k4=555>4>b34><;7?7e:?73=<60l168:7519g8911f282n70:8b;3;a>;3?j0:4h5246f95=c<5==n6<6j;<64b?7?m27?4=4>8d9>0=7=91o0196=:0:f?82?;3;3i63;8482<`=:<1<1=5k4=5g:>4>b34>nm7?7e:?7b1<60l168k;519g891`1282n70:i7;3;a>;3n10:4h524g;95=c<5=lj6<6j;<6ef?7?m27?jn4>8d9>0cb=91o019hj:0:f?82an3;3i63:0182<`=:=9;1=5k4=421>4>b34?;?7?7e:?641<60l169=;519g89061282n70;?7;3;a>;2810:4h5251;95=c<5<:j6<6j;<73f?7?m27>=i4>8d9>14c=91o018?i:0:f?83503;3i63:2882<`=:=:;1=5k4=411>4>b34?8?7?7e:?672<60l1699?519g8902a282n70;:0;3;a>;2=80:4h5254095<7<5<27>9?4>9b9>104=90<018;=:0;;?832:3;2>63:5382=5=:=<81=494=471>4?e34?>>7?6a:?616<6181698=518789034283?70;:3;3:g>;2=:0:5;5254195<><5827>9>4>969>105=90h018;<:0;b?832<3;2=63:5582=0=:=<>1=4:4=477>4?d34?>87?66:?611<6111698:518089033283;70;:4;3:3>;2==0:5o52546956<7>;<761?7>=27>984>959>103=90i018;::0;5?832=3;2463:5482=7=:=4=476>4?034?>97?6b:?610<61h16988518389031283>70;:6;3:0>;2=?0:5n5254495<0<5:27>9;4>919>100=90=018;9:0;a?832>3;2m63:5682=4=:=<=1=4;4=474>4?334?>;7?6c:?612<61?16989518:89030283970;:7;3:4>;2=>0:5:5254595944>8d9>10g=91o018;m:0:f?832k3;3i63:5e82<`=:=4>b34?=<7?7e:?624<60l169;<519g89004282n70;94;3;a>;2><0:4h5257495=c<5<<<6<6j;<75:44>8d9>13g=91o0188m:0:f?831k3;3i63:6e82<`=:=?o1=5k4=44e>4>b34?<<7?7e:?634<60l169:<519g890g2282n70;k8;3;a>;18k0:4h5262g95=c<5?=:6<6j;<4b0?7?m27=h:4>8d9>35g=91o01:=k:0:f?81083;3i638a282<`=:?m<1=5k4=92e>4>b342j47?7e:?;bc<60l165>>519g89<56282n707<2;3;a>;>;:0:4h5292695=c<509>6<6j;<;02?7?m272?:4>8d9>=6>=91o014=6:0:f?8?4i3;3i6363c82<`=:1:i1=5k4=81g>4>b3438i7?7e:?:7c<60l1659>519g89<26282n707;2;3;a>;><:0:4h5295695=c<50>>6<6j;<;72?7?m2728:4>8d9>=1>=91o014:6:0:f?8?3i3;3i6364c82<`=:1=i1=5k4=86g>4>b343?i7?7e:?:0c<60l1658>519g89<36282n707:2;3;a>;>=:0:4h5294695=c<50?>6<6j;<;62?7?m2729:4>8d9>=0>=91o014;6:0:f?8?2i3;3i6365c82<`=:14>b343>i7?7e:?:1c<60l165;>519g89<06282n70792;3;a>;>>:0:4h5297695=c<50<>6<6j;<;52?7?m272::4>8d9>=3>=91o01486:0:f?8?1i3;3i6366c82<`=:1?i1=5k4=84g>4>b343=i7?7e:?:2c<60l165:>519g89<16282n70782;3;a>;>?:0:4h5296695=c<50=>6<6j;<;42?7?m272;:4>8d9>=2>=91o01496:0:f?8?0i3;3i6367c82<`=:1>i1=5k4=85g>4>b343519g89<>6282n70772;3;a>;>0:0:4h5299695=c<502>6<6j;<;;2?7?m2724:4>8d9>==>=91o01466:0:f?8??i3;3i6368c82<`=:11i1=5k4=8:g>4>b3433i7?7e:?:;>i009m4529e196d><50n?6?o7;<;g1?4f0272h;4=a99>e6e=91o01l=k:0:f?8g4m3;3i63n3g82<`=:i=:1=5k4=`62>4>b34k?>7?7e:?b06<60l16m9:519g89d222=8?70o;6;3;a>;f<>0:4h52a5:95=c<5h>26<6j;8d9>e1e=91o01l:k:0:f?8g3m3;3i63n4g82<`=:i<:1=5k4=`72>4>b34k>>7?7e:?b16<60l16m8:519g89d32282n70o:6;3;a>;f=>0:4h52a4:95=c<5h?26<6j;e3c=:h201l8i:3c;?8g0838j563n7081e<=:i>81>l74=`54>4>b34k3?7?7e:?b<1<60l16m5;519g89d>1282n70o77;3;a>;f010:4h52a9;95=c<5h2j6<6j;8d9>e=b=91o01l6j:0:f?8g?n3;3i63n9182<`=:i0;1=5k4=`;1>4>b34k2?7?7e:?b=1<60l16m4;519g89d?1282n70o67;3;a>;f110:4h52a8;95=c<5h3j6<6j;8d9>en3;3i63na182<`=:ih;1=5k4=`c1>4>b34kj?7?7e:?be1<60l16ml;519g89dg1282n70on7;3;a>;fi10:4h52a`;95=c<5hkj6<6j;8d9>edb=91o01loj:0:f?8gfn3;3i63nb182<`=:ik;1=5k4=``1>4>b34ki?7?7e:?bf1<60l16mo;519g89dd1282n70om7;3;a>;fj10:4h52ac;95=c<5hhj6<6j;8d9>egb=91o01llj:0:f?8gen3;3i63nc182<`=:ij;1=5k4=`a1>4>b34kh?7?7e:?bg1<60l16mn;519g89de1282n70ol7;3;a>;fk10:4h52ab;95=c<5hij6<6j;8d9>efb=91o01lmj:0:f?8gdn3;3i63nd182<`=:im;1=5k4=`f1>4>b34ko?7?7e:?b`1<60l16mi;519g89db1282n70ok7;3;a>;fl10:4h52ae;95=c<5hnj6<6j;8d9>eab=91o01ljj:0:f?8gcn3;3i63ne182<`=:il;1=5k4=`g1>4>b34kn?7?7e:?ba1<60l16mh;519g89dc1282n70oj7;3;a>;fm10:4h52ad;95=c<5hoj6<6j;8d9>e`b=91o01lkj:0:f?8gbn3;3i63nf182<`=:io;1=5k4=`d1>4>b34km?7?7e:?bb1<60l16mk;519g89d`1282n70oi7;3;a>;fn10:4h52ag;95=c<5hlj6<6j;8d9>ecb=91o01lhj:0:f?8gan3;3i63m0182<`=:j9;1=5k4=c21>4>b34h;?7?7e:?a41<60l16n=;519g89g61282n70l?7;3;a>;e810:4h52b1;95=c<5k:j6<6j;<`3f?7?m27i8d9>f5b=91o01o>j:0:f?8d7n3;3i63m1182<`=:j8;1=5k4=c31>4>b34h:?7?7e:?a51<60l16n<;519g89g71282n70l87;3;a>;e?10:4h52b6;95=c<5k=j6<6j;<`4f?7?m27i;n4>8d9>f2b=91o01o9j:0:f?8d0n3;3i63m8182<`=:j1;1=5k4=c:1>4>b34h3?7?7e:?a<1<60l16n5;519g89g>1282n70l77;3;a>;e010:4h52b9;95=c<5k2j6<6j;<`;f?7?m27i4n4>8d9>f=b=91o01o6j:0:f?8d?n3;3i63m9182<`=:j0;1=5k4=c;1>4>b34h2?7?7e:?a=1<60l16n4;519g89g?1282n70l67;3;a>;e110:4h52b8;95=c<5k3j6<6j;<`:f?7?m27i5n4>8d9>fn3;3i63ma182<`=:jh;1=5k4=cc1>4>b34hj?7?7e:?ae1<60l16nl;519g89gg1282n70ln7;3;a>;ei10:4h52b`;95=c<5kkj6<6j;<`bf?7?m27imn4>8d9>fdb=91o01ooj:0:f?8dfn3;3i63mb182<`=:jk;1=5k4=c`1>4>b34hi?7?7e:?af1<60l16no;519g89gd1282n70lm7;3;a>;ej10:4h52bc;95=c<5khj6<6j;<`af?7?m27inn4>8d9>fgb=91o01olj:0:f?8den3;3i63mc182<`=:jj;1=5k4=ca1>4>b34hh?7?7e:?ag1<60l16nn;519g89ge1282n70ll7;3;a>;ek10:4h52bb;95=c<5kij6<6j;<``f?7?m27ion4>8d9>ffb=91o01omj:0:f?8ddn3;3i63md182<`=:jm;1=5k4=cf1>4>b34ho?7?7e:?a`1<60l16ni;519g89gb1282n70lk7;3;a>;el10:4h52be;95=c<5knj6<6j;<`gf?7?m27ihn4>8d9>fab=91o01ojj:0:f?xu6>h=1<7ot^046g>;30?0?8;529`396d?<50k36?o6;<;bg?4f0272o54=a99>=f?=:h2014mn:3c:?8?dj38j463n6e81eg=z{8512y]g5e<5=l269<;;<6ee?25<27?jo4;259>0ce=<;>018>m:0c7?8?fl38jn636ad81ef=:1k:1>l64=8`7>7g?343i:7k543689d262=8?70o;2;610>;f?:09mn52a6696d><5h=>6?om;0c4=90o018>n:0c7?8?fl38jm636ad81e<=:1hl1>l64=8`7>7g>343i97;f?:09ml52a6696dgX690n0R18:8Z47>?2T:=484^03:1>X690>0R1`48Z47f=2T:=l:4^03b7>X69h80R1828Z47?n2T:?:64^0143>X6;><0R<=85:\27223608Z45082T:?;h4^015a>X6;?n0R<=9c:\273d37;8Z45102T:?;94^0151>X6;?>0R<=93:\27343728Z452n2T:?8k4^016`>X6;46P>3458Z452>2T:?8;4^0160>X6;<90R<=:2:\270736a8Z450j2T:?:o4^014=>X6;>;0R<=96:\270d<6P>35d8Z441?2T:>;84^0051>X6:?>0R<<92:\263724d8Z442m2T:>8j4^006g>X6:27f8Z441k2T:>;l4^005e>X6:?30R<<98:\263546P>2458Z42a02T:8k94^06e2>X6m>6P>4g28Z42bn2T:8hk4^06f`>X6n56P>4d:8Z42b?2T:8h;4^06f0>X6n<6P>4ed8Z42cm2T:8ij4^06gg>X6o;6P>4e48Z42c=2T:8i:4^06g7>X6mo6P>4g`8Z42ai2T:8k74^06e5>X6hj63;5g81e0=:4?b34>=m7<7b:?72g<3:h168;l51838910e2=8n70:9b;0`6>;3>k0?>o5247`9070<5=999>03d=<;i0198m:50:?821j3;3>63;6c82<5=:14a34>=n7=71:?72g<4?o168;l536f8910e28:i70:9b;33=>;3>k0:<55247`9551<5=779>03d=9>?0198m:057?821j3;40a34>=n7?9e:?72g<6>m168;l517a8910e28;3>k0::45247`953><5=27?:o4>659>03d=9?90198m:041?821j3;==63;6c8225=:43b34>=n7?:d:?72g<6=j168;l514`8910e28?270:9b;36<>;3>k0:9:5247`9500<5=529>03d=9<80198m:072?821j3;><63;6c823c=:41c34>=n7?8c:?72g<6?k168;l516c8910e28=;70:9b;351>;3>k0:9l5247`951`<5=03d=:ho0198m:00f?821j3;9o63;6c826g=:44>34>=n7?=8:?72g<6:>168;l51348910e289<70:9b;302>;3>k0:?85247`9562<5=309>03d=9::0198m:00e?821j3;9963;6c82f2=:4d334>=n7?m3:?72g<6j;168;l51c28910e28km70:9b;3ba>;3>k0:mi5247`95de<5=a89>03d=9h20198m:0c4?821j3;ij63;6c82f`=:4dd34>=n7?mb:?72g<6jh168;l51c;8910e28h370:9b;3a5>;3>k0:m;5247`9gc=:03e=90;0198l:50f?821k38h>63;6b876g=:14?34>=o7:=7:?72f<611168;m543a8910d2=8270:9c;3;6>;3>j0:4=5247a907b<5=03e=;>n0198l:02a?821k3;;563;6b824==:41>34>=o7?87:?72f<6??168;m51678910d28=?70:9c;347>;3>j0:;?5247a9527<5=6e9>03e=9?i0198l:04a?821k3;=m63;6b822<=:40034>=o7?96:?72f<6>=168;m51718910d28<970:9c;355>;3>j0::=5247a950`<5=5b9>03e=9463;6b8212=:43234>=o7?:4:?72f<6=:168;m51408910d28?:70:9c;364>;3>j0:;k5247a952c<5=7c9>03e=9>k0198l:053?821k3;=963;6b821d=:7d434>=o7;3>j0:>o5247a957g<5=269>03e=9;<0198l:014?821k3;8:63;6b8270=::4=54`>45434>=o7?<2:?72f<6;8168;m51228910d288m70:9c;311>;3>j0:n:5247a95g3<5=b39>03e=9k:0198l:0ce?821k3;ji63;6b82ea=:4ge34>=o7?na:?72f<6i0168;m51`:8910d28k<70:9c;3ab>;3>j0:nh5247a95gb<5=b`9>03e=9k30198l:0`;?821k3;i=63;6b82e3=:5247a9g7=:5247a9`7=:4>234>=o7?74:?72a<50k168;k529`891c>2=9;70:ja;610>;3mo094o524g396=d<5=l96?6m;<6e7?4?j27?j;4;259>0c1=<;>019h7:507?837m3;2i63:0g82=`=:=881=4k4=430>4?b34?::7?6e:?65a<3:=169;2;80?>9525209066<5<9>6?6m;<702?4?j27>?l4>9d9>16b=90o018:?:0;f?833<3;2i63:4682=`=:==k1=4k4=472>14334?>>7:=a:?617<61:1698<543g890352;i970;:2;61f>;2=;0?>;52540907><59?4>8g9>104=<;3018;=:0:1?832:3;3<63:53876a=:=<818?h4=471>6>634?>>7=8d:?617<4?k1698<53d4890352:o?70;:2;1f7>;2=;08i?5254097`7<5k?;<766?5cn27>9?4104=;mi018;=:2fa?832:39om63:5380`<=:=<81?i64=471>6b034?>>7=k6:?617<4l<1698<53e6890352:n870;:2;1g5>;2=;08h=5254097f`<5mj;<766?5dl27>9?4104=;jh018;=:2ab?832:39h563:5380g==:=<81?n84=471>6e234?>>7=l4:?617<4k:1698<53b0890352:i:70;:2;1`4>;2=;08nk5254097gc<5lk;<766?5bk27>9?4104=;lk018;=:2g:?832:39n463:5380a2=:=<81?ij4=471>6b534?>>7=l7:?617<4jj1698<511`8903528:270;:2;33<>;2=;0:<:52540952?<527>9?4>749>104=9>>018;=:050?832:3;<>63:538234=:=<81=;h4=471>40b34?>>7?9d:?617<6>j1698<517`8903528;2=;0::5525409531<59?4>629>104=9?8018;=:042?832:3;=<63:53821c=:=<81=8k4=471>43c34?>>7?:c:?617<6=k1698<514;8903528?370;:2;363>;2=;0:9;525409503<59?4>539>104=9<;018;=:073?832:3;41d34?>>7?8b:?617<6?h1698<51628903528<>70;:2;36e>;2=;0:8k5254096g5<5;<766?4fn27>9?4=ad9>104=9;o018;=:00`?832:3;9n63:53826d=:=<81=?74=471>44?34?>>7?=7:?617<6:?1698<512589035289=70;:2;301>;2=;0:?9525409565<59?4>319>104=9;l018;=:006?832:3im70;:2;ag?832:3ih70;:2;aa?832:3ij70;:2;a:?832:3i370;:2;a4?832:3i=70;:2;a6?832:3i870;:2;a1?832:3i:70;:2;a3?832:3hm70;:2;`f?832:3ho70;:2;``?832:3hi70;:2;`b?832:3n<70;:2;f5?832:3n>70;:2;f7?832:3n870;:2;f1?832:3n:70;:2;f3?832:3i?70;:2;`:?832:3;3;63:5382<0=:=<81=5:4=470>14f34?>?7?63:?616<3:l1698=52b0890342=8i70;:3;612>;2=:0?>5525419071<59>4;289>105=918018;<:0:3?832;3>9h63:52876c=:=<91?5?4=470>61c34?>?7=8b:?616<4m?1698=53d6890342:o870;:3;1f6>;2=:08i<5254197`6<5ji;<767?5cm27>9>4105=;mh018;<:2fb?832;39o563:5280`==:=<91?i94=470>6b134?>?7=k5:?616<4l=1698=53e1890342:n:70;:3;1g4>;2=:08ok5254197fc<5mk;<767?5dk27>9>4105=;jk018;<:2a:?832;39h463:5280g3=:=<91?n;4=470>6e334?>?7=l3:?616<4k;1698=53b3890342:i;70;:3;1ab>;2=:08nh5254197gb<5kl;<767?5bj27>9>4105=;l3018;<:2g;?832;39n;63:5280`a=:=<91?i<4=470>6e034?>?7=mc:?616<68k1698=511;8903428:370;:3;333>;2=:0:;4525419521<59>4>759>105=9>9018;<:051?832;3;<=63:52822c=:=<91=;k4=470>40c34?>?7?9c:?616<6>k1698=517c8903428<270;:3;35<>;2=:0:::525419530<59>4>639>105=9?;018;<:043?832;3;>j63:52821`=:=<91=8j4=470>43d34?>?7?:b:?616<6=01698=514:8903428?<70;:3;362>;2=:0:98525419502<59>4>509>105=9<:018;<:05e?832;3;41e34?>?7?8a:?616<6?91698=51778903428?j70;:3;37b>;2=:09n>5254196g7<59>4>2d9>105=9;i018;<:00a?832;3;9m63:52826<=:=<91=?64=470>44034?>?7?=6:?616<6;>1698=512489034289>70;:3;300>;2=:0:?>525419564<5;<767?74827>9>4>2g9>105=9;?018;<:bd890342jn018;<:ba890342jh018;<:bc890342j3018;<:b:890342j=018;<:b4890342j?018;<:b1890342j8018;<:b3890342j:018;<:cd890342ko018;<:cf890342ki018;<:c`890342kk018;<:e5890342m<018;<:e7890342m>018;<:e1890342m8018;<:e3890342m:018;<:b6890342k3018;<:0:4?832;3;3963:5282<1=:=<>18?o4=477>4?434?>87:=e:?611<5k;1698:543`890332=8=70;:4;61<>;2==0?>:52546907e<5994>839>102=91:018;;:50g?832<3>9j63:5580<4=:=<>1?:h4=477>61c34?>87=8b:?611<68k1698:511;8903328:370;:4;333>;2==0:;4525469521<5994>759>102=9>9018;;:051?832<3;<=63:55822c=:=<>1=;k4=477>40c34?>87?9c:?611<6>k1698:517c8903328<270;:4;35<>;2==0:::525469530<5994>639>102=9?;018;;:043?832<3;>j63:55821`=:=<>1=8j4=477>43d34?>87?:b:?611<6=01698:514:8903328?<70;:4;362>;2==0:98525469502<5994>509>102=9<:018;;:05e?832<3;1=:m4=477>41e34?>87?8a:?611<6?91698:51778903328?j70;:4;37b>;2==09n>5254696g7<5994>2d9>102=9;i018;;:00a?832<3;9m63:55826<=:=<>1=?64=477>44034?>87?=6:?611<6;>1698:512489033289>70;:4;300>;2==0:?>525469564<5;<760?74827>994>2g9>102=9;?018;;:bd890332jn018;;:ba890332jh018;;:bc890332j3018;;:b:890332j=018;;:b4890332j?018;;:b1890332j8018;;:b3890332j:018;;:cd890332ko018;;:cf890332ki018;;:c`890332kk018;;:e5890332m<018;;:e7890332m>018;;:e1890332m8018;;:e3890332m:018;;:b6890332k3018;;:0:4?832<3;3963:5582<1=:=4?434?>97:=e:?610<5k;1698;543`890322=8=70;:5;61<>;2=<0?>:52547907e<56<6i;<761?25127>984>839>103=91:018;::50g?832=3>9j63:5480<4=:=61c34?>97=8b:?610<68k1698;511;8903228:370;:5;333>;2=<0:;4525479521<56<99;<761?70=27>984>759>103=9>9018;::051?832=3;<=63:54822c=:=40c34?>97?9c:?610<6>k1698;517c8903228<270;:5;35<>;2=<0:::525479530<56<8;;<761?71;27>984>639>103=9?;018;::043?832=3;>j63:54821`=:=43d34?>97?:b:?610<6=01698;514:8903228?<70;:5;362>;2=<0:98525479502<56<;<;<761?72:27>984>509>103=9<:018;::05e?832=3;41e34?>97?8a:?610<6?91698;51778903228?j70;:5;37b>;2=<09n>5254796g7<56?oi;<761?4fm27>984>2d9>103=9;i018;::00a?832=3;9m63:54826<=:=44034?>97?=6:?610<6;>1698;512489032289>70;:5;300>;2=<0:?>525479564<56<=>;<761?74827>984>2g9>103=9;?018;::bd890322jn018;::ba890322jh018;::bc890322j3018;::b:890322j=018;::b4890322j?018;::b1890322j8018;::b3890322j:018;::cd890322ko018;::cf890322ki018;::c`890322kk018;::e5890322m<018;::e7890322m>018;::e1890322m8018;::e3890322m:018;::b6890322k3018;::0:4?832=3;3963:5482<1=:=<<18?o4=475>4?434?>:7:=e:?613<5k;16988543`890312=8=70;:6;61<>;2=?0?>:52544907e<59;4>839>100=91:018;9:50g?832>3>9j63:5780<4=:=<<1?:h4=475>61c34?>:7=8b:?613<68k16988511;8903128:370;:6;333>;2=?0:;4525449521<59;4>759>100=9>9018;9:051?832>3;<=63:57822c=:=<<1=;k4=475>40c34?>:7?9c:?613<6>k16988517c8903128<270;:6;35<>;2=?0:::525449530<59;4>639>100=9?;018;9:043?832>3;>j63:57821`=:=<<1=8j4=475>43d34?>:7?:b:?613<6=016988514:8903128?<70;:6;362>;2=?0:98525449502<59;4>509>100=9<:018;9:05e?832>3;41e34?>:7?8a:?613<6?91698851778903128?j70;:6;37b>;2=?09n>5254496g7<59;4>2d9>100=9;i018;9:00a?832>3;9m63:57826<=:=<<1=?64=475>44034?>:7?=6:?613<6;>16988512489031289>70;:6;300>;2=?0:?>525449564<5;<762?74827>9;4>2g9>100=9;?018;9:bd890312jn018;9:ba890312jh018;9:bc890312j3018;9:b:890312j=018;9:b4890312j?018;9:b1890312j8018;9:b3890312j:018;9:cd890312ko018;9:cf890312ki018;9:c`890312kk018;9:e5890312m<018;9:e7890312m>018;9:e1890312m8018;9:e3890312m:018;9:b6890312k3018;9:0:4?832>3;3963:5782<1=:=<=18?o4=474>4?434?>;7:=e:?612<5k;16989543`890302=8=70;:7;61<>;2=>0?>:52545907e<59:4>839>101=91:018;8:50g?832?3>9j63:5680<4=:=<=1?:h4=474>61c34?>;7=8b:?612<68k16989511;8903028:370;:7;333>;2=>0:;4525459521<59:4>759>101=9>9018;8:051?832?3;<=63:56822c=:=<=1=;k4=474>40c34?>;7?9c:?612<6>k16989517c8903028<270;:7;35<>;2=>0:::525459530<59:4>639>101=9?;018;8:043?832?3;>j63:56821`=:=<=1=8j4=474>43d34?>;7?:b:?612<6=016989514:8903028?<70;:7;362>;2=>0:98525459502<59:4>509>101=9<:018;8:05e?832?3;41e34?>;7?8a:?612<6?91698951778903028?j70;:7;37b>;2=>09n>5254596g7<59:4>2d9>101=9;i018;8:00a?832?3;9m63:56826<=:=<=1=?64=474>44034?>;7?=6:?612<6;>16989512489030289>70;:7;300>;2=>0:?>525459564<5;<763?74827>9:4>2g9>101=9;?018;8:bd890302jn018;8:ba890302jh018;8:bc890302j3018;8:b:890302j=018;8:b4890302j?018;8:b1890302j8018;8:b3890302j:018;8:cd890302ko018;8:cf890302ki018;8:c`890302kk018;8:e5890302m<018;8:e7890302m>018;8:e1890302m8018;8:e3890302m:018;8:b6890302k3018;8:0:4?832?3;3963:5682<1=:=<218?:4=47:>14334?>m7:=4:?61g<3:=1698m54368903c2=8?70;:e;610>;2=o0?>95293495m27j4>465:?b<6<>>27j4>467:?b<0<><27j48465:?b<0<>>27j48467:?b<2<><27j4:465:?b<2<>?27j44464:?b<<<>=27j44466:?b<<<>?27j4o464:?b=27j4o466:?b?27j4i464:?b=27j4i466:?b?27j4k464:?b=27j4k466:?b?27j5<464:?b=4<>=27j5<466:?b=4<>?27j5>464:?b=6<>=27j5>466:?b=6<>?27j58464:?b=0<>=27j58466:?b=0<>?27j5:464:?b=2<>=27j5:466:?b=2<>?27j54464:?b=<<>=27j54466:?b=<<>?27j5o464:?b=g<>=27j5o466:?b=g<>?27j5i464:?b=a<>=27j5i466:?b=a<>?27j5k464:?b=c<>=27j5k466:?b=c<>?27jm<464:?be4<>=27jm<466:?be4<>?27jm>464:?be6<>=27jm>466:?be6<>?27jm8464:?be0<>=27jm8466:?be0<>?27jm:464:?be2<>=27jm:466:?be2<>?27jm4464:?be<<>=27jm4466:?be<<>?27jmo464:?beg<>=27jmo466:?beg<>?27jmi466:?bea<>?27jmk464:?bec<>>27jmk467:?bf4<><27jn<466:?bf4<>?27jn>465:?bf6<>>27jn>467:?bf0<>=27jn8466:?bf0<>?27jn:465:?bf2<>>27jn:467:?bf<<>=27jn4466:?bf<<>?27jno465:?bfg<>>27jno467:?bfa<>=27jni466:?bfa<>?27jnk465:?bfc<>>27jnk467:?bg4<>=27jo<466:?bg4<>?27jo>465:?bg6<>>27jo>467:?bg0<>=27jo8466:?bg0<>?27jo:465:?bg2<>>27jo:467:?bg<<>=27jo4466:?bg<<>?27joo465:?bgg<>>27joo467:?bga<>=27joi466:?bga<>?27jok465:?bgc<>>27jok467:?b`4<>=27jh<466:?b`4<>?27jh>465:?b`6<>>27jh>467:?b`0<>=27jh8466:?b`0<>?27jh:465:?b`2<>>27jh:467:?b`<<>=27jh4466:?b`<<>?27jho465:?b`g<>>27jho467:?b`a<>=27jhi466:?b`a<>?27jhk465:?b`c<>>27jhk467:?ba4<>=27ji<466:?ba4<>?27ji>465:?ba6<>>27ji>467:?ba0<>=27ji8466:?ba0<>?27ji:465:?ba2<>>27ji:467:?ba<<>=27ji4466:?ba<<>?27jio465:?bag<>>27jio467:?baa<>=27jii466:?baa<>?27jik465:?bac<>>27jik467:?bb4<>=27jj<466:?bb4<>?27jj>465:?bb6<>>27jj>467:?bb0<>=27jj8466:?bb0<>?27jj:465:?bb2<>>27jj:467:?bb<<>=27jj4466:?bb<<>?27jjo465:?bbg<>>27jjo467:?bba<>=27jji466:?bba<>?27jjk465:?bbc<>>27jjk467:?a44<>=27i<<466:?a44<>?27i<>465:?a46<>>27i<>467:?a40<>=27i<8466:?a40<>?27i<:465:?a42<>>27i<:467:?a4<<>=27i<4466:?a4<<>?27i>27i=27i?27i>27i<27i=<465:?a54<>>27i=<467:?a56<>=27i=>466:?a56<>?27i=8464:?a50<>=27i=8466:?a50<>?27i=:464:?a52<>>27i=4464:?a5<<>>27i=o464:?a5g<>>27i=i464:?a5a<>>27i=k464:?a5c<>>27i><464:?a64<>>27i>>464:?a66<>>27i>8464:?a60<>>27i>:464:?a62<>>27i>4464:?a6<<>>27i>o464:?a6g<>>27i>i464:?a6a<>>27i>k464:?a6c<>>27i?<464:?a74<>>27i?>464:?a76<>>27i?8464:?a70<>>27i?:464:?a72<>>27i?4464:?a7<<>>27i?o464:?a7g<>>27i?i464:?a7a<>>27i?k464:?a7c<>>27i8<464:?a04<>>27i8>464:?a06<>>27i88464:?a00<>>27i8:464:?a02<>>27i84464:?a0<<>>27i8o464:?a0g<>>27i8i464:?a0a<>>27i8k464:?a0c<>>27i9<464:?a14<>>27i9>464:?a16<>>27i98464:?a10<>>27i9:464:?a12<>>27i94464:?a1<<>>27i9o464:?a1g<>>27i9i464:?a1a<>>27i9k464:?a1c<>>27i:<464:?a24<>>27i:>464:?a26<>>27i:8464:?a20<>>27i::464:?a22<>>27i:4464:?a2<<>>27i:o464:?a2g<>>27i:i464:?a2a<>>27i:k464:?a2c<>>27i;<464:?a34<>>27i;>464:?a36<>>27i;8464:?a30<>>27i;:465:?a32<>>27i;4464:?a3<<>=27i;4466:?a3<<>?27i;o464:?a3g<>=27i;o467:?a3a<><27i;i465:?a3a<>?27i;k464:?a3c<>=27i;k467:?a<4<><27i4<465:?a<4<>?27i4>464:?a<6<>=27i4>467:?a<0<><27i48465:?a<0<>?27i4:464:?a<2<>=27i4:467:?a<<<><27i44465:?a<<<>?27i4o464:?a=27i4o467:?a<27i4i465:?a?27i4k464:?a=27i4k467:?a=4<><27i5<465:?a=4<>?27i5>464:?a=6<>=27i5>467:?a=0<><27i58465:?a=0<>?27i5:464:?a=2<>=27i5:467:?a=<<><27i54465:?a=<<>?27i5o464:?a=g<>=27i5o467:?a=a<><27i5i465:?a=a<>?27i5k464:?a=c<>=27i5k467:?ae4<><27im<465:?ae4<>?27im>464:?ae6<>=27im>467:?ae0<><27im8465:?ae0<>?27im:464:?ae2<>=27im:467:?ae<<><27im4465:?ae<<>?27imo464:?aeg<>=27imo467:?aea<><27imi465:?aea<>?27imk464:?aec<>=27imk467:?af4<><27in<465:?af4<>?27in>464:?af6<>=27in>467:?af0<><27in8465:?af0<>?27in:464:?af2<>=27in:467:?af<<><27in4465:?af<<>?27ino464:?afg<>=27ino467:?afa<><27ini465:?afa<>?27ink464:?afc<>=27ink467:?ag4<><27io<465:?ag4<>?27io>464:?ag6<>=27io>467:?ag0<><27io8465:?ag0<>?27io:464:?ag2<>=27io:467:?ag<<><27io4465:?ag<<>?27ioo464:?agg<>=27ioo467:?aga<><27ioi465:?aga<>?27iok464:?agc<>=27iok467:?a`4<><27ih<465:?a`4<>?27ih>464:?a`6<>=27ih>467:?a`0<><27ih8465:?a`0<>?27ih:464:?a`2<>=27ih:467:?a`<<><27ih4465:?a`<<>?27iho465:?a`g<>?27ihi464:?a`a<>=27ihi467:p53ge2908wSlj2:?:852`;8yv71ij0;6>uQe5389<3a2=8970o?7;0bf>{t9?ko6=4<{_g74>;>=l0?>?52a1796dde52=:hh0q~?9b183>6}Ym:n014;m:501?8g7:38jn6s|17`2>5<4sWo8o6365`8767=:i9:1>ll4}r35f7<72:qUi>l4=87:>14534k;=7uQe2:89<302=89707id;0bf>{t9?h>6=4<{_g03>;>=?0?>?529gg96dd69<=;<;eg?4fj2wx=;l8:180[c4=272994;239>=cg=:hh0q~?9b983>6}Ym:>014;<:501?8?aj38jn6s|17`:>5<4sWo8?636538767=:1o31>ll4}r35fd<72:qUi><4=872>145343m;7uQe2289<2a2=89707i6;0bf>{t9?ho6=4<{_g1a>;>?529g696ddo69<=;<;e1?4fj2wx=;li:180[c5k2728n4;239>=c5=:hh0q~?9c183>6}Ym;h014:m:501?8?a938jn6s|17a2>5<4sWo9m6364`8767=:1o81>ll4}r35g7<72:qUi?74=86:>145343m<7uQe3589<202=89707jf;0bf>{t9?i>6=4<{_g12>;>?529df96dd>69<=;<;ff?4fj2wx=;m8:180[c5;272894;239>=`e=:hh0q~?9c983>6}Ym;8014:<:501?8?bi38jn6s|17a:>5<4sWo9=636438767=:1l21>ll4}r35gd<72:qUi?>4=862>145343n57f:?:05<3:;165h952``8yv71kj0;6>uQe0g89<5a2=89707j5;0bf>{t9?io6=4<{_g2`>;>;l0?>?529d496dd=`4=:hh0q~?9d183>6}Ym8k014=m:501?8?b;38jn6s|17f2>5<4sWo?:6363`8767=:1l;1>ll4}r35`7<72:qUi9;4=81:>145343oj752``8yv71l=0;6>uQe5189<502=89707ke;0bf>{t9?n>6=4<{_g76>;>;?0?>?529ea96dd69<=;<;g`?4fj2wx=;j8:180[c5n272?94;239>=ad=:hh0q~?9d983>6}Ym;>014=<:501?8?c138jn6s|17f:>5<4sWo:5636338767=:1mk1>ll4}r35`d<72:qUi<64=812>145343o47:52``8yv71lj0;6>uQfdd89<>c2=8970o<5;0bf>{t9?no6=4<{_dfa>;>0j0?>?52a2196dde64=:hh0q~?9e183>6}Ynlh01466:501?8g4838jn6s|17g2>5<4sWlnm636898767=:i;o1>ll4}r35a7<72:qUjh64=8:4>14534k9j7uQfd489<>22=8970o=b;0bf>{t9?o>6=4<{_df1>;>0=0?>?52a3a96dde7>=:hh0q~?9e983>6}Ynl80146>:501?8g5138jn6s|17g:>5<4sWln=636818767=:i;=1>ll4}r35ad<72:qUjh>4=85e>14534k997uQfef89<1c2=8970o=4;0bf>{t9?oo6=4<{_dgg>;>?j0?>?52a3096dde77=:hh0q~?9f183>6}Ynm301496:501?8g6n38jn6s|17d2>5<4sWlo4636798767=:i;:1>ll4}r35b7<72:qUji94=854>14534k:i7uQfe789<122=8970o>d;0bf>{t9?l>6=4<{_dg0>;>?=0?>?52a0`96dde4g=:hh0q~?9f983>6}Ynm:0149>:501?8g6038jn6s|17d:>5<4sWlhj636718767=:i8<1>ll4}r35bd<72:qUjnk4=84e>14534k:;7uQfba89<0c2=8970o>3;0bf>{t9?lo6=4<{_d`f>;>>j0?>?52a0696dde46=:hh0q~?80183>6}Yno?01486:501?8g6938jn6s|1622>5<4sWlm8636698767=:i9l1>ll4}r3447<72:qUjk=4=844>14534k;h7uQfg389<022=8970o?c;0bf>{t9>:>6=4<{_df=>;>>=0?>?52a1c96dd8:180[`c;272:?4;239>e5?=:hh0q~?80983>6}Ynj20148>:501?8g7038jn6s|162:>5<4sWlh;636618767=:i9<1>ll4}r344d<72hqU=;8?;<64g?25:272m<4=a99>=d>=:h2014ol:3cb?8?d038j5636c881ed=:1jk1>lo4=8aa>7gf34k=h702`=<;80q~?80b83>7}Yk9o0196=:501?xu6?9n1<7h:{<654?5?>27?:54=b79>03g=90o0198m:3`3?821k38i<63;6g81o84=5ge>4?b34>m=7?6e:?653<50k169?>52c48904f2;h=70;<5;3:a>;2;h094o5255696=d<59i;<766?50m27>9?4<7b9>104=;>k018;=:3`3?832;3961f34?>?7;2=<08;h52547972e<56>9n;<761?4e827>9;4<7d9>100=;>i018;9:25b?832>38i<63:56803`=:=<=1?:m4=474>61f34?>;7e=1=1?16mlj5959>edb=1<16mlh5949>eg7=1<16mo=5959>eg3=1=16mo95959>eg?=1=16mol5959>egb=1=16moh5959>ef7=1=16mn=5959>ef3=1=16mn95959>ef?=1=16mnl5959>efb=1=16mnh5959>ea7=1=16mi=5959>ea3=1=16mi95959>ea?=1=16mil5959>eab=1=16mih5959>e`7=1=16mh=5959>e`3=1=16mh95959>e`?=1=16mhl5959>e`b=1=16mhh5959>ec7=1=16mk=5959>ec3=1=16mk95959>ec?=1=16mkl5959>ecb=1=16mkh5959>f57=1=16n==5959>f53=1=16n=95959>f5?=1=16n=l5959>f5b=1=16n=h5959>f45=1=16n<95949>f41=1>16n<75949>f4?=1>16nf4d=1>16nf4b=1>16nf4`=1>16n??5949>f77=1>16n?=5949>f75=1>16n?;5949>f73=1>16n?95949>f71=1>16n?75949>f7?=1>16n?l5949>f7d=1>16n?j5949>f7b=1>16n?h5949>f7`=1>16n>?5949>f67=1>16n>=5949>f65=1>16n>;5949>f63=1>16n>95949>f61=1>16n>75949>f6?=1>16n>l5949>f6d=1>16n>j5949>f6b=1>16n>h5949>f6`=1>16n9?5949>f17=1>16n9=5949>f15=1>16n9;5949>f13=1>16n995949>f11=1>16n975949>f1?=1>16n9l5949>f1d=1>16n9j5949>f1b=1>16n9h5949>f1`=1>16n8?5949>f07=1>16n8=5949>f05=1>16n8;5949>f03=1>16n895949>f01=1>16n875949>f0?=1>16n8l5949>f0d=1>16n8j5949>f0b=1>16n8h5949>f0`=1>16n;?5949>f37=1>16n;=5949>f35=1>16n;;5949>f33=1>16n;95949>f31=1>16n;75949>f3?=1>16n;l5949>f3d=1>16n;j5949>f3b=1>16n;h5949>f3`=1>16n:?5949>f27=1>16n:=5949>f25=1>16n:;5949>f23=1>16n:95959>f21=1>16n:l5979>f2b=1?16n:h5979>f=7=1?16n5=5979>f=3=1?16n595979>f=?=1?16n5l5979>f=b=1?16n5h5979>f<7=1?16n4=5979>f<3=1?16n495979>fffd7=1?16nl=5979>fd3=1?16nl95979>fd?=1?16nll5979>fdb=1?16nlh5979>fg7=1?16no=5979>fg3=1?16no95979>fg?=1?16nol5979>fgb=1?16noh5979>ff7=1?16nn=5979>ff3=1?16nn95979>ff?=1?16nnl5979>ffb=1?16nnh5979>fa7=1?16ni=5979>fa3=1?16ni95979>fa?=1?16nil5959>fad=1?16nij5979~w417m3:18v3;6081l64=`1`>14534k<57;3>;09n;529`29721034=;>=01l=l:0c7?xu6?8;1<74g33432n7=87:p5275290?w0:93;616>;3>k08;h5247a972c<503i6?om;|q2345=838p198;:0c7?8?f:39<;6s|1637>5<3s4>=87:=2:?72g<4?k168;m536`8970794?4|5=<>69>63;6c803f=:61d34>=o7=8a:?:e6<5ih1v<9>7;296~;3>?0:5h5247;9721030=:1h0196;:0c7?82?<3>9>63n7981e2=z{8=:57>52z?723<3;916m:653658yv709h0;6?u24749721<5=<<6<7j;|q234d=838p1988:3:a?8g0i39<;6s|163`>5<5s4>=;7:<0:?b1g<4?>1v<9>d;297~;3>>09n:5247:955<5s4>=57?6e:?72d<4?>1v<9=0;290~;3>0094o524609074<50kj6?o7;<;bf?4f02wx=:<>:18182113>8<636a`8032=z{8=9>7>52z?72<<5j>168:<51`68yv70::0;6?u247c9066<50k=6>98;|q2372=838p198m:2g5?832=3>:;6s|1606>5<5s4>=n7=j4:?610<39<1v<9=6;296~;3>k08i>525479042:4?:3y>03d=;l8018;::530?xu6?;21<76c634?>97:>2:p524>2909w0:9b;1f4>;2=<0?=<5rs051e?6=:r7?:o4103=<8:0q~?82c83>7}:16a3ty:;?m50;0x910e2:nh70;:5;63`>{t9>8o6=4={<65f?5cj27>984;0b9~w415m3:1>v3;6c80`d=:=72294?4|5=j7;<761?2712wx=:=>:181821j39o;63:54874==z{8=8>7>52z?72g<4l?1698;54158yv70;:0;6?u247`97a3<569>9;|q2362=838p198m:2f7?832=3>;96s|1616>5<5s4>=n7=k3:?610<38=1v<9<6;296~;3>k08h<52547905403d=;m:018;::522?xu6?:21<76ea34?>97:?0:p525>2909w0:9b;1`a>;2=<08jk5rs050e?6=:r7?:o4103=;oo0q~?83c83>7}:6`c3ty:;>m50;0x910e2:ii70;:5;1eg>{t9>9o6=4={<65f?5di27>984v3;6c80g<=:=75294?4|5=m9;<761?5a?2wx=::>:181821j39h963:5480b3=z{8=?>7>52z?72g<4k=1698;53g78yv70<:0;6?u247`97f5<56>h;;|q2312=838p198m:2a1?832=39m?6s|1666>5<5s4>=n7=l1:?610<4n;1v<9;6;296~;3>k08o=5254797c703d=;kl018;::2d3?xu6?=21<76db34?>97=jf:p522>2909w0:9b;1a`>;2=<08ih5rs057e?6=:r7?:o4103=<8n0q~?84c83>7}:17d3ty:;9m50;0x910e2:oj70;:5;62f>{t9>>o6=4={<65f?5b127>984;1`9~w413m3:1>v3;6c80a==:=74294?4|5=jk;<761?27m2wx=:;>:181821j39o>63:548746=z{8=>>7>52z?72g<4k>1698;53g:8yv70=:0;6?u247`97ge<56>kk;|q2302=839p198m:03:?821k3;:5637fg811c=z{8=>97>53z?72g<69>168;m510589=`a2;?o7p}>74494?5|5=273jk4=5b9~w412?3:1?v3;6c8250=:73e3ty:;8650;1x910e28;?70:9c;320>;?no099l5rs056=?6=;r7?:o4>129>03e=989015hi:37:?xu6?47534>=o7?>2:?;bc<5=11v<9:b;297~;3>k0:=<5247a9547<51lm6?;8;|q230e=839p198m:001?821k3;9>637fg81<2=z{8=>h7>53z?72g<6:8168;m513389=`a2;2=7p}>74g94?5|5=7>33ty:;;>50;1x910e28;n70:9c;32a>;?no094>5rs0555?6=;r7?:o4>1e9>03e=98n015hi:3:1?xu6??81<7=t=54a>47d34>=o7?>c:?;bc<5?11v<993;297~;3>k0:=o5247a954d<51lm6?8k;|q2332=839p198m:03b?821k3;:m637fg8127=z{8==97>53z?72g<699168;m510289=`a2;?=7p}>77494?4|5=oi;52z?72g<4im16m>=52`c8yv70>00;6?u247`97de<5h9:6?on;|q233g=838p198m:2ca?8g4:38jm6s|164a>5<5s4>=n7=na:?b75<5ih1v<99c;296~;3>k08m452a3g96dg03d=;h=01l6g134k9h7;f:k09ml5rs0544?6=:r7?:o4e7e=:hk0q~?87083>7}:7gf3ty:;:<50;0x910e2:k970o=8;0be>{t9>=86=4={<65f?5f927j>44=a`9~w410<3:1>v3;6c80e5=:i;=1>lo4}r3430<72;q68;l538d89d422;kj7p}>76494?4|5=7j;52z?72g<41k16m?<52`c8yv70?00;6?u247`975<5s4>=n7=68:?b5c<5ih1v<98c;296~;3>k085:52a3296dg03d=;0<01l?j:3cb?xu6?>o1<76?234k:o7;f9m09ml5rs05;4?6=:r7?:o4<929>e4d=:hk0q~?88083>7}:7gf3ty:;5<50;0x910e2:3;70o>a;0be>{t9>286=4={<65f?5?n27j=54=a`9~w41?<3:1>v3;6c80<`=:i8<1>lo4}r34<0<72;q68;l539f89d702;kj7p}>79494?4|5=6l;52z?72g<40h16m<:52`c8yv70000;6?u247`97=?<5h;96?on;|q23=g=838p198m:2:;?8g6838jm6s|16:a>5<5s4>=n7=m7:?b54<5ih1v<97c;296~;3>k08n;52a1d96dg03d=;k?01l>k:3cb?xu6?1o1<76d334k;i7a2909w0:9b;1a7>;f8j09ml5rs05:4?6=:r7?:o4e5g=:hk0q~?89083>7}:7gf3ty:;4<50;0x910e2:3o70o?9;0be>{t9>386=4={<65f?5>:27j<54=a`9~w41><3:1>v3;6c80<2=:i9<1>lo4}r34=0<721q68;l5ag9>03d=im168;l5ab9>03d=ik168;l5a`9>03d=i0168;l5a99>f2c=<;80q~?89783>7}:03d=i?16n:m54308yv70110;6?u247`9e0=:j1>18?<4}r34=<<72;q68;l5a29>f=0=<;80q~?89`83>7}:03d=i816n5654308yv701j0;6?u247`9e5=:j1k18?<4}r34=a<72;q68;l59g9>f=c=<;80q~?89d83>7}:03d=1m16n5m54308yv70i90;6?u247`9=f=:j0>18?<4}r34e4<72;q68;l59c9>f<0=<;80q~?8a383>7}:4?:3y>03d=j>16n4o54308yv70i=0;6?u247`9f3=:j0i18?<4}r34e0<72;q68;l5b49>f<>=<;80q~?8a783>7}:03d=j:16nl>54308yv70i10;6?u247`9f7=:jh>18?<4}r34e<<72;q68;l5b09>fd0=<;80q~?8a`83>7}:03d=i=16nlo54308yv70ij0;6?u247`9=<=:jhi18?<4}r34ea<72;q68;m53d4890332=;<7p}>7`g94?4|5=
k;;<760?26=2wx=:oi:181821k39n?63:558751=z{8=i<7>52z?72f<4m;1698:54018yv70j80;6?u247a97`7<5:=6s|16`0>5<5s4>=o7=kf:?611<3991v<9m4;296~;3>j08hh52546905`03e=;mi018;;:52g?xu6?k<1<76be34?>87:?c:p52d02909w0:9c;1ge>;2==0?102=<9k0q~?8b883>7}:16>3ty:;oo50;0x910d2:n<70;:4;63<>{t9>hi6=4={<65g?5c>27>994;069~w41ek3:1>v3;6b80`0=:=<>18=84}r34fa<72;q68;m53e6890332=:>7p}>7cg94?4|5=
j<;<760?27<2wx=:li:181821k39o=63:558747=z{8=h<7>52z?72f<4l91698:54138yv70k80;6?u247a97f`<5?;|q23f4=838p198l:2af?832<39mj6s|16a0>5<5s4>=o7=ld:?611<4nl1v<9l4;296~;3>j08on5254697cb03e=;jh018;;:2d`?xu6?j<1<76ef34?>87=ib:p52e02909w0:9c;1`=>;2==08jl5rs05`102=;o30q~?8c883>7}:6`03ty:;no50;0x910d2:i>70;:4;1e2>{t9>ii6=4={<65g?5d<27>994v3;6b80g6=:=<>1?k:4}r34ga<72;q68;m53b0890332:l87p}>7bg94?4|5=
m>;<760?5a:2wx=:mi:181821k39h<63:5580b4=z{8=o<7>52z?72f<4jo1698:53g28yv70l80;6?u247a97gc<5ki;|q23a4=838p198l:2`g?832<39ni6s|16f0>5<5s4>=o7=jc:?611<39m1v<9k4;296~;3>j08io52546904e03e=;lk018;;:53a?xu6?m<1<76c>34?>87:>a:p52b02909w0:9c;1f<>;2==0?=45rs05g102=<820q~?8d883>7}:16b3ty:;io50;0x910d2:n970;:4;637>{t9>ni6=4={<65g?5d?27>994v3;6b80ff=:=<>1?hj4}r34`a<72;q68;m53`d89d602;kj7p}>7eg94?4|5=
oj;52z?72f<4ij16m=:52`c8yv70m80;6?u247a97dd<5h:96?on;|q23`4=838p198l:2cb?8g7838jm6s|16g0>5<5s4>=o7=n9:?b44<5ih1v<9j4;296~;3>j08m:529gd96dg03e=;h<014hk:3cb?xu6?l<1<76g2343mi7;>nj09ml5rs05f=cg=:hk0q~?8e883>7}:7gf3ty:;ho50;0x910d2:k:707i9;0be>{t9>oi6=4={<65g?5f8272j:4=a`9~w41bk3:1>v3;6b80=c=:1o21>lo4}r34aa<72;q68;m538g89<`12;kj7p}>7dg94?4|5=
7l;<;e0?4fi2wx=:ki:181821k392n636f481ed=z{8=m<7>52z?72f<41h165k=52`c8yv70n80;6?u247a975<5s4>=o7=67:?:b5<5ih1v<9i4;296~;3>j085;529dg96dg03e=;0?014ki:3cb?xu6?o<1<76?3343nh7;>mk09ml5rs05e=`e=:hk0q~?8f883>7}:4=8gb>7gf3ty:;ko50;0x910d2:2m707j8;0be>{t9>li6=4={<65g?5?m272i44=a`9~w41ak3:1>v3;6b80lo4}r34ba<72;q68;m539a897gg94?4|5=
6m;<;f2?4fi2wx=:hi:181821k393m636e581ed=z{82;<7>52z?72f<400165h<52`c8yv7?880;6?u247a97=><50o86?on;|q2<54=838p198l:2`4?8?b938jm6s|1920>5<5s4>=o7=m6:?:`c<5ih1v<6?4;296~;3>j08n8529d296dg03e=;k>014jj:3cb?xu609<1<76d4343oo7;>lm09ml5rs0:3=ad=:hk0q~?70883>7}:7gf3ty:4=o50;0x910d2:39707ka;0be>{t91:i6=4={<65g?5??272h54=a`9~w4>7k3:14v3;6b8bb>;3>j0jh63;6b8bg>;3>j0jn63;6b8be>;3>j0j563;6b8b<>;ei10?>?5rs0:3`?6=:r7?:n4n7:?af5<3:;1v<6?e;296~;3>j0j:63mb38767=z{82;j7>52z?72f683:1>v3;6b8b7>;ej=0?>?5rs0:25?6=:r7?:n4n2:?af3<3:;1v<6>2;296~;3>j0j=63mb`8767=z{82:?7>52z?72f6<3:1>v3;6b8:b>;ej10?>?5rs0:21?6=:r7?:n46e:?ag5<3:;1v<6>6;296~;3>j02h63mc38767=z{82:;7>52z?72f<>k27inh4;239~w4>603:1>v3;6b8:f>;ek?0?>?5rs0:2=?6=:r7?:n46a:?ag=<3:;1v<6>a;296~;3>j0i;63mc58767=z{82:n7>52z?72f27iol4;239~w4>6k3:1>v3;6b8a1>;ekj0?>?5rs0:2`?6=:r7?:n4m4:?a`5<3:;1v<6>e;296~;3>j0i?63md38767=z{82:j7>52z?72f583:1>v3;6b8a5>;el=0?>?5rs0:15?6=:r7?:n4m0:?a`3<3:;1v<6=2;296~;3>j0j863md98767=z{829?7>52z?72f<>127ihl4;239~w4>5<3:1:v3;6e82=`=:<>218?<4=8;e>7g?343j9798;|q2<70=838p198k:3`4?82013;j86s|1904>5<3s4>=i7?6e:?73d<3:;165l>52`;8983:94?4|5=52z?72`<5j>168:l51`68yv7?:k0;6?u247d9066<50k36>98;|q2<7e=838p198i:254?820k3;j86s|190g>5<19r7?;=4;239>=a>=:h2014j6:3c;?8?ci38j4636dc81e==:1mi1>l64=8fg>7g?343oi752`:89;>m:09m5529d696d><50o>6?o7;<;f2?4f0272i:4=a99>=`>=:h2014k6:3c;?8?bi38j4636ec81e==:1li1>l64=8gg>7g?343ni752`:89<`62;k3707i2;0b<>;>n:09m5529g696d><50l>6?o7;<;e2?4f0272j:4=a99>=c>=:h2014h6:3c;?8?ai38j4636fc81e==:1oi1>l64=8dg>7g?343mi752`:89d662;k370o?2;0b<>;f8:09m552a1696d><5h:>6?o7;e5>=:h201l>6:3c;?8g7i38j463n0c81e==:i9i1>l64=`2g>7g?34k;i752`:89d762;k370o>2;0b<>;f9:09m552a0696d><5h;>6?o7;e4>=:h201l?6:3c;?8g6i38j463n1c81e==:i8i1>l64=`3g>7g?34k:i752`:89d462;k370o=2;0b<>;f::09m552a3696d><5h8>6?o7;:4=a99>e7>=:h201l<6:3c;?8g5i38j463n2c81e==:i;i1>l64=`0g>7g?34k9i7>52`:89d562;k370o<2;0b<>;f;:09m552a2696d><5h9>6?o7;5m3:19v3;7282e1=:=;?1>5l4=404>4?b34?947:=2:?614<6i=1v<6=f;290~;3?:0?>?5253496=d<5h2;6?o8;82094?4|5===63>9>6369e81eg=:10l1>lm4}r3;71<72;q68:951`68982794?0|5==<69<=;<;:`?4f12725h4=a99>=<`=:hk01l9?:3cb?8gfl3;j86s|1915>5<5s4><47?n4:?:e0<4?>1v<6<7;29<~;3?00?>?5298f96dg<503n6?on;<;:b?4fj272m84=a99>=fe=:h301l9?:3ca?8g??3;j86s|191;>5<5s4>1v<6<9;296~;3?k0?>?529`396dg02b=9h>0147l:254?xu60:h1<714534>3:7??3:p5=5d2909w0:8e;3b0>;>i=08;:5rs0:0`?6=:r7?;h4;239>0=0=99;0q~?73d83>35|5==m6=a>=:h3014j6:3c:?8?ci38j5636dc81e<=:1mi1>l74=8fg>7g>343oi752`;89;>m:09m4529d696d?<50o>6?o6;<;f2?4f1272i:4=a89>=`>=:h3014k6:3c:?8?bi38j5636ec81e<=:1li1>l74=8gg>7g>343ni752`;89<`62;k2707i2;0b=>;>n:09m4529g696d?<50l>6?o6;<;e2?4f1272j:4=a89>=c>=:h3014h6:3c:?8?ai38j5636fc81e<=:1oi1>l74=8dg>7g>343mi752`;89d662;k270o?2;0b=>;f8:09m452a1696d?<5h:>6?o6;e5>=:h301l>6:3c:?8g7i38j563n0c81e<=:i9i1>l74=`2g>7g>34k;i752`;89d762;k270o>2;0b=>;f9:09m452a0696d?<5h;>6?o6;e4>=:h301l?6:3c:?8g6i38j563n1c81e<=:i8i1>l74=`3g>7g>34k:i752`;89d462;k270o=2;0b=>;f::09m452a3696d?<5h8>6?o6;:4=a89>e7>=:h301l<6:3c:?8g5i38j563n2c81e<=:i;i1>l74=`0g>7g>34k9i7>52`;89d562;k270o<2;0b=>;f;:09m452a2696d?<5h9>6?o6;4n3:1?v3;7g8761=:<1818?:4=8c:>6103ty:49>50;6x91>728k?707k6;0b=>;f0=0?>?52b6;95d20=6=<;8018>6:0c7?xu60=81<7=t=5:2>4g334>3?7:=2:?b<6<6i=1v<6;3;297~;3080?>?5298a96dg<50k?6?o6;|q2<12=838p196=:0c7?8?fj39<;6s|1966>5<1s4>3?7?n4:?7<0<3:;1654j52`:89;>i<09ml5rs0:72?6=:r7?484>a59>=de=;>=0q~?74683>0}:<1<1ji5252`96=d<50i<6?o6;<;`e?4f027j8;4;239~w4>303:19v3;878ef>;2;j094o529b596dg<50i26?om;383=63nb482e1=:j8=1=l:4}r3;0d<72:q6858526d89dd028k?70l>9;3b0>{t91>i6=4<{<6;2?40m27jn>4>a59>f4b=9h>0q~?74b83>6}:<1<1>:j4=``a>4g334h:j7?n4:p5=2c2908w0:76;04g>;fjm0:m952b0`95d20=0=:>h01ll6:0c7?8d593;j86s|196e>5<4s4>3:7<8a:?bfc<6i=16n?=51`68yv7?=90;6>u2494962?<5hi:6:18082?>38<;63nc482e1=:j;31=l:4}r3;17<72:q6858526489de028k?70l=5;3b0>{t91?86=4<{<6;2?40=27jo>4>a59>f7d=9h>0q~?75583>6}:<1<1>::4=`aa>4g334h9h7?n4:p5=322908w0:76;047>;fkm0:m952b2395d20=0=:>801lm6:0c7?8d4;3;j86s|1974>5<4s4>3:7<81:?b`4<6i=16n?h51`68yv7?=10;6>u24949626<5hn8638=j63ncg82e1=:j:31=l:4}r3;1d<72:q6858527g89db228k?70l<5;3b0>{t91?i6=4<{<6;2?41k27jh:4>a59>f6b=9h>0q~?75b83>6}:<1<1>;l4=`fa>4g334h8j7?n4:p5=3c2908w0:76;05e>;flm0:m952b2`95d20=0=:?301lj6:0c7?8d393;j86s|197e>5<4s4>3:7<98:?ba4<6i=16n9=51`68yv7?>90;6>u24949631<5ho86:18082?>38=:63ndg82e1=:j=31=l:4}r3;27<72:q6858527789dc028k?70l;5;3b0>{t91<86=4<{<6;2?41<27ji44>a59>f1b=9h>0q~?76583>6}:<1<1>;=4=`g6>4g334h?j7?n4:p5=022908w0:76;055>;fmk0:m952b5`95d20=0=:?:01lkk:0c7?8d2;3;j86s|1944>5<4s4>3:7<:f:?bb4<6i=16n8;51`68yv7?>10;6>u2494960b<5hl8638>o63neg82e1=:j<=1=l:4}r3;2d<72:q6858524`89d`028k?70l:9;3b0>{t91a59>f0b=9h>0q~?76b83>6}:<1<1>874=`d6>4g334h>j7?n4:p5=0c2908w0:76;06<>;fnm0:m952b4`95d20=0=:<=01lhi:0c7?8d1;3;j86s|194e>5<4s4>3:7<77:?bbg<6i=16n;;51`68yv7??90;6>u249496=0<5k::6:18082?>383963m0282e1=:j?31=l:4}r3;37<72:q6858529689g6028k?70l9b;3b0>{t91=86=4<{<6;2?4?;27i<44>a59>f31=9h>0q~?77583>6}:<1<1>5<4=c26>4g334h=h7?n4:p5=122908w0:76;04<>;e8m0:m952b7d95d20=0=:?n01o>i:0c7?8d0;3;j86s|1954>5<4s4>3:7<92:?a4g<6i=16n:;51`68yv7??10;6>u24949600<5k;8653z?7a<<3:;169?l529`89d152;ki7p}>86`94?5|5=oj69d9~w4>0k3:18v3;e`8767=:4g334kw0:jb;0;f>;3n10:m95250g95d2<5<9;6<7j;<705?25:2wx=59j:18182bj3>8<636ag8032=z{8252z?7ag<4?>168hm518g8yv7?090;69u24da96=d<5<896?6m;<717?4?j27>??4;239~w4>?93:1>v3;eb8775=:1k:1?:94}r3;<7<72;q68hk518g891ca2:=<7p}>89194?0|5=on6?6m;<6e=?25:272mh4=ac9>=g?=:hk014ln:3ca?8?ej38j56s|19:7>5<5s4>ni7:<0:?:f0<4?>1v<675;296~;3ml09n:524g;95d20``=<::014l>:254?xu601=1<74?b34>m=7=87:p5=>?290;3nh0?>?529`g96dg<50h>6?o6;<;a=?4fj272nl4=a`9>=gd=:hk0q~?78883>7}:o94=5db>4g33ty:45o50;0x91`62=9;707m2;143>{t912i6=4={<6e6?24827j9n4<769~w4>?k3:1>v3;f38032=:89g94?4|5=l869=?;<;a7?50?2wx=56i:18182a;38i;63;fb82e1=z{822<7>52z?7b1<6i=169=854308yv7?180;6?u24g69074<51lm69:9;|q2<<4=838p19h::0c7?83703>9>6s|19;0>5<4s4>m97:=2:?61`<6i=16;i854548yv7?1=0;6?u24g495d2<50ko6>98;|q2<<3=833p19h9:501?82al3;j8636ae81e==:1hl1>lo4=8`3>7gf34k88494?4|5=l<69>636ae81e<=:1ho1>l64=8ce>7g>343i8788c94?4|5=ln69<=;<715?4?j2wx=57m:18682an3;j863:008767=:1j:1>l64=8a6>7g>34k<=7;>k809m5529b496d>156=9h>018>::501?8?el38j463n7381ed=:i>?1>l74}r3;=`<72;q69=>54308988d94?d|5<::6=gc=:h3014li:3cb?8?d838j5636c081e<=:1j81>l74=8a7>7g>34k1v<6n0;296~;28;0:m952a679721154=<;8014m=:3c;?8?d;38j46s|19c1>5<5s4?;?7?n4:?:f3<4?>1v<6n3;29<~;28:0?>?529cd96d?<50i96?on;<;`7?4fi272o94=a99>=f3=:h2014m9:3c:?8g0l38j;6s|19c7>5<5s4?;87?n4:?b3g<4?>1v<6n5;296~;28=0?>?5253a96=d153=9h>01l9<:254?xu60h=1<74g334k<87=87:p5=g?2909w0;?7;3b0>;f??08;:5rs0:b=?6=<:4;239>=gb=:hk014m<:3c:?8g0=38j46s|19cb>5<5s4?;47?n4:?:f1<4?>1v<6nb;296~;28h0?>?5250f95d215d=<;8014l?:3c:?8?e>38j5636bb81e==:i?o1>l74=`50>7ge34k<97l:3`5?837l3>8<63n5e8032=z{82jj7>52z?64f<4?>16m>j51`68yv7?j90;68u251f96=d<50h96?o7;e0b=:h20q~?7b083>6}:=9o1>o84=42e>157343i;7=87:p5=d52909w0;?e;143>;f;l0:m95rs0:a7?6==r7>=g4=:h3014l8:3c;?8g4m3>9>63n5b81e<=z{82i87>53z?655<61l1698c794?5|5<;;6?l9;<725?24827j9h4<769~w4>e>3:1>v3:118032=:i:l1=l:4}r3;f2<72=q69;f=l09m55rs0:a=?4=b79>145=<::014l7:254?xu60k31<761034k?<7?n4:p5=df290?w0;>3;0;f>;>i>09m4529c:96d><5h>;69<=;|q239<;63:1982=`=z{82io7>53z?651<5j?169<65422892:=<7p}>8cf94?4|5<;?6>98;o94}r3;fc<72:q69<;52c4890702=9;707ma;143>{t91i;6=4={<721?50?27j8<4>a59~w4>d93:1>v3:178775=:1kh1?:94}r3;g7<72;>jh09m552a5390744?:4y>14>=:1h014l>:3c;?8?e;38j5636b881e<=:i=818?<4}r3;g1<72:q69<7518g8907f283n70;>d;616>{t91i>6=4:{<72=?4?j27>954>a59>3a0=nk16m9=543089d3a2;k37p}>8b494?5|5<;269=?;<72e?4e>27j9k4<769~w4>d?3:1?v3:1881f2=:=8h1=4k4=43`>4?b3ty:4n650;0x907f2:=<70o;3;3b0>{t91i26=4:{<72f?4?j27>944>a59>3a0=nm16m9:543089d072;k37p}>8bc94?5|5<;i69=?;<72g?4e>27j:=4<769~w4>dj3:1>v3:1b8032=:i=>1=l:4}r3;gf<72:q694g3343io7=87:p5=eb2908w0;>f;616>;f<:0?>952a569072176=90o018<=:3`4?83503;j86s|19f3>5<5s4?9<7=87:?66<<6i=1v<6k1;296~;2:80:5h525319721177=<::014lj:254?xu60m91<761034?9>7?6e:p5=b32909w0;=2;604>;>jm08;:5rs0:g1?6=:r7>>>4>9d9>172=;>=0q~?7d783>7}:=;918>>4=8`e>6103ty:4i950;0x9043283n70;=5;143>{t91n36=4={<710?4?j27j4<4<769~w4>c13:1>v3:258775=:i>i1?:94}r3;`d<72;q69?;518g890412:=<7p}>8e`94?4|5<8>69=?;<;`4?50?2wx=5jl:181835>3;2i63:268032=z{82oh7>52z?663<3;9165n?53658yv7?ll0;6?u253596=d<5h=m6>98;|q25<4s4?957:=2:?66`<50k16m:?52``8yv7?m80;6>u253c9552z?66g<61l169?m53658yv7?m=0;6?u253`9066<50i86>98;|q2<`3=838p185<5s4?9o7:<0:?:g7<4?>1v<6j7;296~;2:m0:5h5253g972117b=:1h01l8l:501?8g0:38j463n8081e2=z{82n57>52z?66a<3;9165n:53658yv7?mh0;6?u253g9598;|q2<`d=838p185<5s4?9j7?6e:?675<4?>1v<6jd;296~;2:o094o52a92972117`=<::014m9:254?xu60ll1<7:t=413>7>e34k=n7:=2:?b34<5i116m:h52`58yv7?n90;6?u25229066<5h=o6>98;|q25<3s4?8?7:=2:?671<50k165lm52`;89d0c2;k27p}>8g194?4|5<9?6<7j;<701?50?2wx=5h;:181834<3>8<636c98032=z{82m97>52z?671<4?>169>8518g8yv7?n?0;6?u25279066<50i<6>98;|q25<5s4?8:70?>?529b;96d?<50ij6?om;<;`f?4f12wx=5hn:18083403;2i63:3`8032=:=:i1=4k4}r3;bg<72:q69>652c48905d2=9;707l9;143>{t91lh6=4={<70a59~w4>al3:1?v3:3882=`=:=:h1=4k4=41`>7d03ty:4kk50;1x905>2;h=70;;>kh08;:5rs0:eb?6=:r7>?44<769>e10=9h>0q~?60183>7}:=:k18>>4=8aa>6103ty:5=?50;1x905c2;h=70;;0;604>;f>;08;:5rs0;36?6=:r7>?i4<769>e1?=9h>0q~?60283>6}:=:o1=4k4=41e>4?b34??<7;2;o0??=529ba972116c=;>=01l:7:0c7?xu619<1<7:t=41e>7>e3432o78>0;68u255296=d<503h6?o6;<;b0?4f027j844;239>e34=:h20q~?60983>7}:==;1=l:4=8ag>6103ty:5=750;0x90262=8970om1;3b0>{t90:j6=4<{<776?7>m27>894<769>110=90o0q~?60c83>6}:==81>o84=465>157343hi7=87:p5<6d2909w0;;2;143>;f8>4>9d9>113=90o018:9:3`4?xu619o1<7=t=460>7d134??97:<0:?:gc<4?>1v<7?f;296~;2<:08;:52a5`95d2112=<::014j?:254?xu618;1<78t=466>7>e34?=57?n4:?44d9;0;6;u255496=d<5<>4=8f2>6103ty:5<:50;0x90202:=<70o;e;3b0>{t90;>6=4<{<77m27>844>9d9>11g=:k=0q~?61783>6}:==21>o84=46:>157343o>7=87:p5<702909w0;;8;143>;fr7>844=8c9>126=9h>01:=k:g;892g42o3014j=:3c;?8g3l3>9>6s|183:>5<1s4??m7<7b:?634<6i=16;>j5f69>3d5=n>165i?52`;89d2b2=897p}>90c94?5|5<>i6<7j;<77g?7>m27>8k4;239~w4?6j3:19v3:4c8146634k?j7:=2:?b26<5i11v<7>c;297~;298;|q2=4b=839p18:m:3`4?833l3;2i63:4d82=`=z{83:i7>52z?60f<4?>16m9h51`68yv7>9o0;68u255f96=d<5<e32=:h20q~?62183>6}:==n18>>4=46f>7d134k=87=87:p5<462909w0;;e;143>;f=90:m95rs0;16?6=?r7>8k4>a59>=fc=:h3014mi:3c:?8?c838j4636d081e==:1m81>l74=`cf>1453ty:5?=50;4x903728k?70o;b;610>;f952a5f9066<5h>n69=?;9>63n4g8761=:i<:18?:4}r3:60<72:q698?54308900d28k?709k6;331>{t908=6=4<{<766?76127>9>4>189>3a0=:6}:=<81=<94=470>47034=o:7<:d:p5<4?2908w0;:2;322>;2=:0:=;527e4960e44?:2y>104=98?018;<:036?81c>38>n6s|180b>5<4s4?>>7?>4:?616<69=16;i8524c8yv7>:k0;6>u25409545<563:528257=:?m<1>864}r3:6a<72:q698<51038903428;:709k6;063>{t908n6=4<{<766?75:27>9>4>239>3a0=:1=0q~?62g83>6}:=<81=??4=470>44634=o:7<76:p5<572908w0;:2;314>;2=:0:>=527e496=3104=98l018;<:03e?81c>38386s|1811>5<4s4?>>7?>e:?616<69l16;i852918yv7>;:0;6>u2540954b<5:64}r3:70<72:q698<510`8903428;i709k6;05`>{t909=6=4<{<766?76i27>9>4>1`9>3a0=:?80q~?63683>6}:=<81=<>4=470>47734=o:7<:6:p5<5?290?w0;:2;3a3>;2=;0:n85262g95a6<5>:j653z?617<6j:16:>k51bf8926f28o=7p}>92`94?5|5e49~w4?4k3:1?v3:5382f5=:>:o1=no4=62b>4c43ty:5>j50;1x903528km708;08h0:i?5rs0;0a?6=;r7>9?4>ad9>26c=9j201:>n:0g2?xu61:l1<7=t=471>4gc34<8i7?l7:?44d<6m91v<7;0;297~;2=;0:mn5262g95f0<5>:j67>53z?617<6ih16:>k51b68926f28no7p}>95194?5|5db9~w4?3<3:1?v3:5382e==:>:o1=n<4=62b>4be3ty:59;50;1x903528k<708;08h0:hl5rs0;72?6=;r7>9?4>bg9>26c=9m201:>n:0d2?xu61==1<7=t=471>4db34<8i7?k7:?44d<6n91v<7;8;297~;2=;0:ni5262g95a0<5>:j653z?617<6jk16:>k51e68926f28oo7p}>95`94?5|5eb9~w4?3k3:1?v3:5382f<=:>:o1=i<4=62b>4ce3ty:59j50;1x903528h3708;08h0:il5rs0;7a?6=;r7>9?4>b09>26c=9jh01:>n:0g7?xu61=l1<7=t=471>4g134<8i7?l0:?44d<6l01v<7:0;29<~;2=;0jj63:538b`>;2=;0jo63:538bf>;2=;0jm63:538b=>;2=;0j46383e82a<=z{83>=7>52z?617e69~w4?2:3:1>v3:538b2>;0;m0:i;5rs0;67?6=:r7>9?4n5:?47a<6m<1v<7:4;296~;2=;0j?6383e82a6=z{83>97>52z?617e39~w4?2>3:1>v3:538b5>;0;m0:i<5rs0;63?6=:r7>9?4n0:?47a<6m91v<7:8;296~;2=;02j6383e82`c=z{83>57>52z?617<>m27dd9~w4?2i3:1>v3:538:`>;0;m0:hi5rs0;6f?6=:r7>9?46c:?47a<6lj1v<7:c;296~;2=;02n6383e82`g=z{83>h7>52z?617<>i27d`9~w4?2m3:1>v3:538a3>;0;m0:j<5rs0;6b?6=:r7>9?4m6:?47a<6n91v<790;296~;2=;0i96383e82ac=z{83==7>52z?617ed9~w4?1:3:1>v3:538a7>;0;m0:ii5rs0;57?6=:r7>9?4m2:?47a<6mj1v<794;296~;2=;0i=6383e82ag=z{83=97>52z?617e`9~w4?1>3:1>v3:538b0>;0;m0:i95rs0;53?6=:r7>9?469:?47a<6l01v<798;296~;2=;0?=:5254497`0104=<8?018;9:2g7?xu61?k1<717334?>:7=j3:p5<0e2909w0;:2;627>;2=?08i?5rs0;5g?6=:r7>9?4;139>100=;l;0q~?66e83>7}:=<8186c73ty:5;k50;0x90352=;;70;:6;1gb>{t909;4v3:53874a=:=<<1?im4}r3:34<72;q698<541a890312:ni7p}>96094?4|5m;<762?5ci2wx=49<:181832:3>;m63:5780`<=z{83<87>52z?617<3801698853e:8yv7>?<0;6?u2540905><5j8;|q2=20=838p18;=:524?832>39o:6s|1854>5<5s4?>>7:?6:?613<4l<1v<788;296~;2=;0?<85254497a2104=<9>018;9:2f0?xu61>k1<716534?>:7=k1:p5<1e2909w0;:2;635>;2=?08h=5rs0;4g?6=:r7>9?4;019>100=;jl0q~?67e83>7}:=<81?kh4=475>6eb3ty:5:k50;0x90352:ln70;:6;1``>{t90=m6=4={<766?5al27>9;4v3:5380bf=:=<<1?nl4}r3:<4<72;q698<53g`890312:ij7p}>99094?4|5hn;<762?5d12wx=46<:181832:39m563:5780g==z{83387>52z?617<4n>1698853b48yv7>0<0;6?u254097c0<5m:;|q2==0=838p18;=:2d6?832>39h86s|18:4>5<5s4?>>7=i4:?613<4k:1v<778;296~;2=;08j>5254497f4104=;o8018;9:2a2?xu611k1<76`634?>:7=l0:p5<>e2909w0;:2;1e4>;2=?08nk5rs0;;g?6=:r7>9?4100=;ko0q~?68e83>7}:=<81?hk4=475>6dc3ty:55k50;0x90352=;o70;:6;1fg>{t902m6=4={<766?26k27>9;483:1>v3:53875g=:=<<1?ho4}r3:=4<72;q698<540c890312:o27p}>98094?4|5:463:5780a2=z{83287>52z?617<38l1698853ef8yv7>1<0;6?u25409055<5j=;|q2=<0=838p18;=:2d;?832>39h;6s|18;4>5<5s4?>>7=jd:?613<4jj1v<768;290~;2=:0:n:5254195g3<5?k?6:1=h94}r3:=d<72:q698=51c1893g328io70980;3f2>{t903i6=4<{<767?7e:27=m94>cb9>326=9l?0q~?69b83>6}:=<91=o>4=7c7>4ef34=<<7?j3:p5;1i=0:o45276295`4105=9ho01;o;:0a;?81083;n=6s|18;e>5<4s4?>?7?nd:?5e1<6k>16;:>51d28yv7>i90;6>u254195de<5?k?6:180832;3;jn639a582g0=:?>:1=ik4}r3:e7<72:q698=51`c893g328i?70980;3g`>{t90k86=4<{<767?7f127=m94>c29>326=9mi0q~?6a583>6}:=<91=l64=7c7>4e534=<<7?kb:p5;1i=0:o<5276295ag105=9kl01;o;:0f;?81083;m=6s|18c4>5<4s4?>?7?me:?5e1<6l>16;:>51g28yv7>i10;6>u254195gb<5?k?6:1=hk4}r3:ed<72:q698=51c`893g328n?70980;3f`>{t90ki6=4<{<767?7ei27=m94>d29>326=9li0q~?6ab83>6}:=<91=o74=7c7>4b534=<<7?jb:p5;1i=0:h<5276295`g105=9k;01;o;:0aa?81083;n86s|18ce>5<4s4?>?7?n6:?5e1<6k916;:>51e;8yv7>j90;65u25419ec=:=<91mi525419ef=:=<91mo525419ed=:=<91m4525419e==:?h91=h74}r3:f4<72;q698=5a69>3d5=9l=0q~?6b383>7}:=<91m;527`195`04?:3y>105=i<16;l=51d78yv7>j=0;6?u25419e6=:?h91=h=4}r3:f0<72;q698=5a39>3d5=9l80q~?6b783>7}:=<91m<527`195`7105=i916;l=51d28yv7>j10;6?u25419=c=:?h91=ih4}r3:f<<72;q698=59d9>3d5=9mo0q~?6b`83>7}:=<915i527`195ab105=1j16;l=51ea8yv7>jj0;6?u25419=g=:?h91=il4}r3:fa<72;q698=59`9>3d5=9mk0q~?6bd83>7}:=<91n:527`195c7105=j?16;l=51g28yv7>k90;6?u25419f0=:?h91=hh4}r3:g4<72;q698=5b59>3d5=9lo0q~?6c383>7}:=<91n>527`195`b4?:3y>105=j;16;l=51da8yv7>k=0;6?u25419f4=:?h91=hl4}r3:g0<72;q698=5b19>3d5=9lk0q~?6c783>7}:=<91m9527`195`2105=1016;l=51e;8yv7>k10;6?u25419041<5k9;|q2=f?=838p18;<:536?832?39n86s|18ab>5<5s4?>?7:>4:?612<4m:1v<7lb;296~;2=:0?=>5254597`4105=<88018;8:2g2?xu61jn1<717634?>;7=j0:p5;2=>08hk5rs0;`b?6=:r7>9>4;0g9>101=;mo0q~?6d183>7}:=<918=j4=474>6bd3ty:5i?50;0x90342=:h70;:7;1gf>{t90n96=4={<767?27j27>9:4v3:52874d=:=<=1?i74}r3:`1<72;q698=541;890302:n37p}>9e794?4|57;<763?5c?2wx=4j9:181832;3>;;63:5680`3=z{83o;7>52z?616<38?1698953e78yv7>l10;6?u25419053<5j;;|q2=a?=838p18;<:527?832?39o?6s|18fb>5<5s4?>?7:?2:?612<4l81v<7kb;296~;2=:0?<<5254597a6105=<9:018;8:2ae?xu61mn1<76`a34?>;7=le:p5;2=>08oi5rs0;gb?6=:r7>9>4101=;ji0q~?6e183>7}:=<91?km4=474>6ee3ty:5h?50;0x90342:li70;:7;1`e>{t90o96=4={<767?5ai27>9:4v3:5280b<=:=<=1?n64}r3:a1<72;q698=53g5890302:i=7p}>9d794?4|5h9;<763?5d=2wx=4k9:181832;39m963:5680g1=z{83n;7>52z?616<4n=1698953b18yv7>m10;6?u254197c5<5m=;|q2=`?=838p18;<:2d1?832?39h=6s|18gb>5<5s4?>?7=i1:?612<4k91v<7jb;296~;2=:08j=5254597g`105=;ll018;8:2`f?xu61ln1<76cb34?>;7=md:p5;2=>08in5rs0;fb?6=:r7>9>4;1b9>101=;lh0q~?6f183>7}:=<9186cf3ty:5k?50;0x90342=;j70;:7;1f=>{t90l96=4={<767?26127>9:4v3:52875==:=<=1?h94}r3:b1<72;q698=541g890302:no7p}>9g794?4|5<;<763?5c:2wx=4h9:181832;39m463:5680g2=z{83m;7>52z?616<4mm1698953ca8yv7>n10;6?u254697`0<53>:96s|18db>5<5s4?>87=j3:?613<39=1v<7ib;296~;2==08i?525449045102=;l;018;9:531?xu61on1<76c734?>:7:>1:p5<`b2909w0;:4;1gb>;2=?0?==5rs0;eb?6=:r7>994100=<9l0q~?n0183>7}:=<>1?im4=475>16c3ty:m=?50;0x90332:ni70;:6;63g>{t9h:96=4={<760?5ci27>9;4;0c9~w4g7;3:1>v3:5580`<=:=<<18=o4}r3b41<72;q698:53e:890312=:27p}>a1794?4|5j8;<762?2702wx=l>9:181832<39o:63:578742=z{8k;;7>52z?611<4l<1698854148yv7f810;6?u254697a2<5:;|q2e5?=838p18;;:2f0?832>3>;86s|1`2b>5<5s4?>87=k1:?613<38;1v102=;jl018;9:523?xu6i9n1<76eb34?>:7=if:p5d6b2909w0;:4;1``>;2=?08jh5rs0c3b?6=:r7>994100=;on0q~?n1183>7}:=<>1?nl4=475>6`d3ty:m{t9h;96=4={<760?5d127>9;4v3:5580g==:=<<1?k74}r3b51<72;q698:53b4890312:l<7p}>a0794?4|5m:;<762?5a>2wx=l?9:181832<39h863:5780b0=z{8k:;7>52z?611<4k:1698853g68yv7f910;6?u254697f4<5h<;|q2e4?=838p18;;:2a2?832>39m>6s|1`3b>5<5s4?>87=l0:?613<4n81vb;296~;2==08nk5254497c6102=;ko018;9:2ge?xu6i8n1<76dc34?>:7=je:p5d7b2909w0;:4;1fg>;2=?0?=i5rs0c2b?6=:r7>994100=<8i0q~?n2183>7}:=<>1?ho4=475>17e3ty:m??50;0x90332:o270;:6;62e>{t9h896=4={<760?5b027>9;4;189~w4g5;3:1>v3:5580a2=:=<<18<64}r3b61<72;q698:53ef890312=:n7p}>a3794?4|5j=;<762?27;2wx=l<9:181832<39h;63:5780b==z{8k9;7>52z?611<4jj1698853df8yv7f:10;6>u2546954?<568j4}r3b6d<72:q698:51048903228;=706n8;06g>{t9h8i6=4<{<760?76=27>984>149>=:6}:=<>1=<:4=476>473342j47<:a:p5d4c2908w0;:4;327>;2=<0:=>528`:960?h4?:2y>102=988018;::031?8>f038>46s|1`0e>5<4s4?>87?>1:?610<698164l652458yv7f;90;6>u25469574<56<<=;<:b:180832<3;9=63:548264=:0h21>584}r3b77<72:q698:513289032288;706n8;0;1>{t9h986=4<{<760?76n27>984>1g9>=:1>0q~?n3583>6}:=<>1=47b342j47<73:p5d522908w0;:4;32`>;2=<0:=i528`:96=4102=98i018;::03`?8>f038<46s|1`14>5<4s4?>87?>b:?610<69k164l6527f8yv7f;10;6>u2546954g<56884}r3b7d<72=q698:51c58903328h>70;82;3f=>;?m>0:m95rs0c0f?6=;r7>994>b59>124=9l=015k7:0c7?xu6i:i1<7=t=477>4d434?<>7?j6:?;a<<6i=1v53z?611<6io169:<51d089=cd28k?7p}>a5294?5|5a59~w4g393:1?v3:5582ea=:=>81=h>4=9gf>4g33ty:m9<50;1x903328kh70;82;3gb>;?mo0:m95rs0c77?6=;r7>994>ac9>124=9mo015h?:0c7?xu6i=>1<7=t=477>4gf34?<>7?kd:?;b4<6i=1v53z?611<6i>169:<51ec89=`328k?7p}>a5:94?5|5a59~w4g313:1?v3:5582f`=:=>81=k>4=9d5>4g33ty:m9o50;1x903328ho70;82;3fb>;?n>0:m95rs0c7f?6=;r7>994>bb9>124=9lo015h7:0c7?xu6i=i1<7=t=477>4de34?<>7?jd:?;b<<6i=1v53z?611<6j1169:<51dc89=`d28k?7p}>a4294?5|5;<746?7b<273ji4>a59~w4g293:1?v3:5582e3=:=>81=i74=9df>4g33ty:m8<50;;x90332hl018;;:`f890332hi018;;:``890332hk018;;:`;890332h2018o::0g:?8>003;j86s|1`70>5<4s4?>87o8;<7b1?7b?273;44>a59~w4g2<3:1?v3:558b2>;2i<0:i;5286c95d2102=i<169l;51d789=1e28k?7p}>a4494?5|54c43426377e82e1=z{8k>47>53z?611m84>e09><2c=9h>0q~?n5883>6}:=<>1m=525`795`6<51=m6{t9h?i6=4<{<760??b34?j97?ke:?;<4<6i=1v1d3=9mi0156<:0c7?xu6i6;?0<0:m95rs0c54?6=;r7>994m7:?6e0<6n81645851`68yv7f>80;6>u25469f3=:=h?1=k>4=9:4>4g33ty:m;<50;1x90332k?018o::0ge?8>?03;j86s|1`40>5<4s4?>87l;;<7b1?7bm273444>a59~w4g1<3:1?v3:558a7>;2i<0:ii5289c95d2102=j;169l;51da89=>e28k?7p}>a7494?5|54ce3423o7?n4:p5d002908w0;:4;`3?83f=3;nm6378e82e1=z{8k=47>53z?611m84>e59><=c=9h>0q~?n6883>6}:=<>154525`795a?<512m6:;6s|1`4a>5<5s4?>97=j4:?612<39<1v525459042103=;l8018;8:530?xu6i?o1<76c634?>;7:>2:p5d0a2909w0;:5;1f4>;2=>0?=<5rs0c44?6=:r7>984101=<8:0q~?n7083>7}:=16a3ty:m:<50;0x90322:nh70;:7;63`>{t9h=86=4={<761?5cj27>9:4;0b9~w4g0<3:1>v3:5480`d=:=<=18=l4}r3b30<72;q698;53e;890302=:j7p}>a6494?4|56>j7;<763?2712wx=l98:181832=39o;63:56874==z{8k<47>52z?610<4l?1698954158yv7f?00;6?u254797a3<59;|q2e2g=838p18;::2f7?832?3>;96s|1`5a>5<5s4?>97=k3:?612<38=1v103=;m:018;8:522?xu6i>o1<76ea34?>;7:?0:p5d1a2909w0;:5;1`a>;2=>08jk5rs0c;4?6=:r7>984101=;oo0q~?n8083>7}:=6`c3ty:m5<50;0x90322:ii70;:7;1eg>{t9h286=4={<761?5di27>9:4v3:5480g<=:=<=1?ko4}r3b<0<72;q698;53b:890302:l27p}>a9494?4|56>m9;<763?5a?2wx=l68:181832=39h963:5680b3=z{8k347>52z?610<4k=1698953g78yv7f000;6?u254797f5<5h;;|q2e=g=838p18;::2a1?832?39m?6s|1`:a>5<5s4?>97=l1:?612<4n;1v103=;kl018;8:2d3?xu6i1o1<76db34?>;7=jf:p5d>a2909w0;:5;1a`>;2=>08ih5rs0c:4?6=:r7>984101=<8n0q~?n9083>7}:=17d3ty:m4<50;0x90322:oj70;:7;62f>{t9h386=4={<761?5b127>9:4;1`9~w4g><3:1>v3:5480a==:=<=18<74}r3b=0<72;q698;53d5890302=;37p}>a8494?4|56>jk;<763?27m2wx=l78:181832=39o>63:568746=z{8k247>52z?610<4k>1698953g:8yv7f100;6?u254797ge<5kk;|q2ep18;::0`4?832=3;i963:d982a<=:0jl1=l:4}r3b=g<72:q698;51c6890b?28o<706k0;3b0>{t9h3h6=4<{<761?7e;27>h54>e79>0q~?n9e83>6}:=4c2342o>7?n4:p5d?b2908w0;:5;3a4>;2l10:i>528e195d2103=9hl018j7:0g1?8>c<3;j86s|1`c3>5<4s4?>97?ne:?6`=<6m8164i;51`68yv7fi80;6>u254795db<5{t9hk?6=4<{<761?7fi27>h54>de9>0q~?na483>6}:=4bd342om7?n4:p5dg12908w0;:5;3b<>;2l10:ho528e`95d2103=9h=018j7:0fb?8>ck3;j86s|1`c;>5<4s4?>97?mf:?6`=<6n8164ij51`68yv7fi00;6>u254795gc<5{t9hkh6=4<{<761?7ej27>h54>ee9><`7=9h>0q~?nae83>6}:=4cd342n>7?n4:p5dgb2908w0;:5;3a=>;2l10:io528d195d2103=9k2018j7:0gb?8>b<3;j86s|1``3>5<4s4?>97?m1:?6`=<6m=164h;51`68yv7fj80;6>u254795d0<5;?<10:m95rs0ca7?6=;r7>984n7:?54g<6m>1649751`68yv7fj=0;6>u25479e3=:>9h1=h84=96b>4g33ty:mo;50;1x90322h?01;>m:0g6?8>3j3;j86s|1``5>5<4s4?>97o<;<43f?7b;2738n4>a59~w4ge?3:1?v3:548b6>;18k0:i?5285f95d2103=i816:=l51d389=2b28k?7p}>ac;94?5|56l>4=72a>4c7342?j7?n4:p5ddf2908w0;:5;;e?807j3;oj6375182e1=z{8kin7>53z?610<>m27=dd9><07=9h>0q~?nbb83>6}:={t9hhn6=4<{<761??e34<;n7?kb:?;11<6i=1v25d=9o;015;9:0c7?xu6ij;1<7=t=476>g0<5?:i6708?b;3fb>;?=10:m95rs0c`7?6=;r7>984m4:?54g<6ml1648751`68yv7fk=0;6>u25479f6=:>9h1=hj4=97b>4g33ty:mn;50;1x90322k801;>m:0g`?8>2j3;j86s|1`a5>5<4s4?>97l>;<43f?7bj2739n4>a59~w4gd?3:1?v3:548a4>;18k0:il5284f95d2103=i=16:=l51d689=3b28k?7p}>ab;94?5|56474=72a>4b>342>j7?n4:p5def2908w0;:6;32=>;2=>0:=45281d960`100=98=018;8:034?8>7n38>h6s|1`a`>5<4s4?>:7?>6:?612<69?164=h524a8yv7fkm0;6>u25449543<53;:863:568251=:09l1>8o4}r3bgc<72:q698851018903028;8706?f;06=>{t9hn;6=4<{<762?76:27>9:4>139><5`=:<20q~?nd083>6}:=<<1=476342;j7<:7:p5db52908w0;:6;316>;2=>0:>?5281d96=14?:2y>100=9;;018;8:002?8>7n383:6s|1`f7>5<4s4?>:7?=0:?612<6:9164=h52978yv7fl<0;6>u2544954`<53;:i63:56825`=:09l1>5=4}r3b`2<72:q6988510f8903028;o706?f;0;6>{t9hn36=4<{<762?76k27>9:4>1b9><5`=:>20q~?nd883>6}:=<<1=47e342;j7<9d:p5dbf2908w0;:6;32e>;2=>0:=l5281d9634100=98:018;8:033?8>7n38>:6s|1`f`>5<3s4?>:7?m7:?613<6j<169:<51e28935b28o27p}>aef94?5|5e69~w4gcm3:1?v3:5782f6=:=>81=nj4=71f>4c13ty:mih50;1x903128h970;82;3`g>;1;l0:i85rs0cf4?6=;r7>9;4>b19>124=9jk01;=j:0g0?xu6il;1<7=t=475>4ga34?<>7?l9:?57`<6m;1v<5?9n6;|q2e`5=839p18;9:0cg?830:3;h;6393d82a5=z{8kn87>53z?613<6ij169:<51b48935b28nm7p}>ad794?5|5dd9~w4gb>3:1?v3:5782ed=:=>81=n:4=71f>4bc3ty:mh950;1x903128k270;82;3`7>;1;l0:hn5rs0cf9;4>a99>124=9j801;=j:0fa?xu6il31<7=t=475>4g034?<>7?l1:?57`<6lh1v<5?9n6;|q2e`d=839p18;9:0`f?830:3;o;6393d82b5=z{8kno7>53z?613<6jm169:<51e48935b28om7p}>adf94?5|5ed9~w4gbm3:1?v3:5782fg=:=>81=i:4=71f>4cc3ty:mhh50;1x903128hj70;82;3g7>;1;l0:in5rs0ce4?6=;r7>9;4>b89>124=9m801;=j:0ga?xu6io;1<7=t=475>4d?34?<>7?k1:?57`<6mh1v59z?6139;4nd:?6139;4nb:?6139;4n9:?613e89><<6=9h>0q~?nf483>6}:=<<1m:5266395`1<513:6{t9hl<6=4<{<762?g234<<=7?j5:?;=6<6i=1v1=l:4}r3bb<<72:q69885a39>227=9l80157::0c7?xu6iok1<7=t=475>d7<5?=:6;<::2?7f<2wx=lhm:180832>3k;70881;3f4>;?1>0:m95rs0ceg?6=;r7>9;46f:?534<6lo1644651`68yv7fnm0;6>u25449=`=:>>;1=ik4=9;:>4g33ty:mkk50;1x903120n01;9>:0fg?8>>i3;j86s|1`de>5<4s4?>:77l;<445?7ck2735o4>a59~w4d783:1?v3:578:f>;1?80:ho5288a95d2100=1h16::?51ec89=?c28k?7p}>b1094?5|54`63422i7?n4:p5g642908w0;:6;`5?80093;m<6379g82e1=z{8h;87>53z?613eg9>0q~?m0483>6}:=<<1n95266395`c<51k:6{t9k:<6=4<{<762?d534<<=7?jc:?;e6<6i=1v1=l:4}r3a4<<72:q69885b19>227=9lk015o::0c7?xu6j9k1<7=t=475>d2<5?=:6m:180832>33270881;3g=>;?i>0:m95rs0`3g?6=9:4>b69>101=9k?018j7:0f3?80f<3;n56s|1c2g>5<4s4?>;7?m4:?6`=<6kl16:l:51d58yv7e8l0;6>u254595g5<52wx=o>i:180832?3;i>63:d982gf=:>h>1=h;4}r3a55<72:q698951c2890b?28ij708n4;3f7>{t9k;:6=4<{<763?7fn27>h54>c89>2d2=9l80q~?m1383>6}:=<=1=lk4=4f;>4e?34;2l10:o:526`695`6101=9hi018j7:0a5?80f<3;oj6s|1c36>5<4s4?>;7?nb:?6`=<6k<16:l:51eg8yv7e9?0;6>u254595dg<5h>1=im4}r3a5=<72:q698951`:890b?28i9708n4;3gf>{t9k;26=4<{<763?7f?27>h54>c09>2d2=9mk0q~?m1`83>6}:=<=1=oh4=4f;>4b?34;2l10:h:526`695c6101=9kn018j7:0f5?80f<3;nj6s|1c3g>5<4s4?>;7?mc:?6`=<6l<16:l:51dg8yv7e9l0;6>u254595gd<5h>1=hm4}r3a65<72:q698951c;890b?28n9708n4;3ff>{t9k8:6=4<{<763?7e027>h54>d09>2d2=9lk0q~?m2383>6}:=<=1=o?4=4f;>4ee34;2l10:o=526`695a?94?:8y>101=io169895ae9>101=ij169895ac9>101=ih169895a89>101=i116:i951d;89=0728k?7p}>b3794?5|54c0342==7?n4:p5g412908w0;:7;c5?80c?3;n:6376382e1=z{8h9;7>53z?612e49><35=9h>0q~?m2983>6}:=<=1m>526e595`5<51{t9k8j6=4<{<763?g6340j<639d682a5=:0?=1=l:4}r3a6f<72:q698959g9>2a1=9ml01587:0c7?xu6j;n1<7=t=474>;?>h0:m95rs0`1b?6=;r7>9:46c:?5`2<6lj164;l51`68yv7e;90;6>u25459=g=:>m=1=il4=94`>4g33ty:n>?50;1x903020k01;j8:0fb?8>1l3;j86s|1c11>5<4s4?>;7l8;<4g3?7a9273:h4>a59~w4d4;3:1?v3:568a2>;1l>0:j=5287d95d2101=j<16:i951dd89=1728k?7p}>b2794?5|54cb342<=7?n4:p5g512908w0;:7;`0?80c?3;nh6377382e1=z{8h8;7>53z?612eb9><25=9h>0q~?m3983>6}:=<=1n<526e595`d<51=?6{t9k9j6=4<{<763?g334025639d682`<=:0>=1=l:4}r3a7f<72:q698654308903e28k?706?f;da?xu6j:n1<7=t=47:>14534?>o7?n4:?;4c9>63:5e82e1=:09l1jk5rs0`0b?6=:r7>9o4;239>=nk1v?528`:9ba=z{8h?=7>52z?61a<3:;164l65fg9~w4d3:3:1?v3:5d8767=:=1213ty:n9=50;0x903a2=89706n8;672>{t9k>?6=4;{<754?7f<27>:o4;239>227=<=?01;j8:566?xu6j=?1<7:t=443>14534?j97:;5:?54g<3<<165i;52`;8yv7ef43=9h>0q~?m4683>1}:=?;18?<4=451>12234?o47:;5:?a54<6i=1v;0:m95257a9074<51:m6<>:;|q2f1?=838p188=:501?8>f03;;96s|1c6b>5<4s4?=?7?n4:?62a<3:;164=h51118yv7e<;|q2f1e=839p188;:0c7?831m3>9>6370g8244=z{8h?h7>52z?621<3:;164l651138yv7e344g334?<=7:=2:?534;2i<0m;6390c8e3>{t9k?96=4;{<753?7f<27>:44;239>26c=n016:l:5f89~w4d2;3:1?v3:668767=:=>81j4525e:9b<=z{8h>87>54z?62=<6i=169;o54308935b2o=01;o;:g58yv7e=<0;6>u257:9074<5<=96k94=4f;>c113d=9h>01:=k:566?81f;3>?963n898767=:jmh1=l:4}r3a12<72>70980;671>;e?h0?>?52bef95d21d3=9m:015k8:501?xu6j<31<74eb342n47:=2:p5g3f2909w0;n5;3``>;?m00?>?5rs0`6f?6=:r7>m84>cb9><`g=<;80q~?m5b83>7}:=h?1=no4=9ga>1453ty:n8j50;0x90g228i2706jc;616>{t9k?n6=4={<7b1?7d0273ii4;239~w4d2n3:1>v3:a482g2=:0lo18?<4}r3a25<72;q69l;51b489=ca2=897p}>b7394?4|5652z?6e0<6k:164k<54308yv7e>=0;6?u25`795f4<51l869<=;|q2f33=838p18o::0a2?8>a<3>9>6s|1c45>5<5s4?j97?k8:?;b0<3:;1v1d3=9m<015h8:501?xu6j?31<74b2342m47:=2:p5g0f2909w0;n5;3g0>;?n00?>?5rs0`5f?6=:r7>m84>d29>7}:=h?1=i<4=9da>1453ty:n;j50;0x90g228n:706ic;616>{t9kv3:a482g5=:0oo18?<4}r3a35<72;q6:=l51e289=ea2=897p}>b6394?4|5?:i652z?54g<6kj164i<54308yv7e?=0;6?u261`95fg<51n869<=;|q2f23=838p1;>m:0a:?8>c<3>9>6s|1c55>5<5s4<;n7?l8:?;`0<3:;1v25d=9j<015j8:501?xu6j>31<74e2342o47:=2:p5g1f2909w08?b;3`0>;?l00?>?5rs0`4f?6=:r7=c29>7}:>9h1=n<4=9fa>1453ty:n:j50;0x936e28i:706kc;616>{t9k=n6=4={<43f?7c0273hi4;239~w4d0n3:1>v390c82`2=:0mo18?<4}r3a<5<72;q6:=l51e489=ba2=897p}>b9394?4|5?:i652z?54g<6l:164h<54308yv7e0=0;6?u261`95a4<51o869<=;|q2f=3=838p1;>m:0f2?8>b<3>9>6s|1c:5>5<5s4<;n7?lb:?;a0<3:;1v227=9m:01597:501?xu6j131<74eb342<57:=2:p5g>f2909w0881;3``>;??h0?>?5rs0`;f?6=:r7=;<4>cb9><2d=<;80q~?m8b83>7}:>>;1=no4=95`>1453ty:n5j50;0x931628i27068d;616>{t9k2n6=4={<445?7d0273;h4;239~w4d?n3:1>v397082g2=:0>l18?<4}r3a=5<72;q6::?51b489=>72=897p}>b8394?4|5?=:652z?534<6k:1645=54308yv7e1=0;6?u266395f4<512?69<=;|q2f<3=838p1;9>:0a2?8>?=3>9>6s|1c;5>5<5s4<<=7?k8:?;<3<3:;1v227=9m<01567:501?xu6j031<74b2342357:=2:p5g?f2909w0881;3g0>;?0h0?>?5rs0`:f?6=:r7=;<4>d29><=d=<;80q~?m9b83>7}:>>;1=i<4=9:`>1453ty:n4j50;0x931628n:7067d;616>{t9k3n6=4={<445?7dj2734h4;239~w4d>n3:1>v397082g5=:01l18?<4}r3ae5<72;q6:i951e289=2?2=897p}>b`394?4|5?n<652z?5`2<6kj1649l54308yv7ei=0;6?u26e595fg<51>h69<=;|q2fd3=838p1;j8:0a:?8>3l3>9>6s|1cc5>5<5s40:o:5285d90742a1=9j<015;?:501?xu6jh31<74e2342>=7:=2:p5ggf2909w08k7;3`0>;?=;0?>?5rs0`bf?6=:r7=h:4>c29><05=<;80q~?mab83>7}:>m=1=n<4=977>1453ty:nlj50;0x93b028i:706:5;616>{t9kkn6=4={<4g3?7c02739;4;239~w4dfn3:1>v39d682`2=:0<=18?<4}r3af5<72;q6:i951e489=3?2=897p}>bc394?4|5?n<652z?5`2<6l:1648l54308yv7ej=0;6?u26e595a4<51?h69<=;|q2fg3=838p1;j8:0f2?8>2l3>9>6s|1c`5>5<5s40:o=5284d907435g=9m:01lk?:501?xu6jk31<74eb34kn47:=2:p5gdf2909w09?a;3``>;fmh0?>?5rs0`af?6=:r7<cb9>e`0=<;80q~?mbb83>7}:?9k1=no4=`g`>1453ty:noj50;0x926f28i270oje;616>{t9khn6=4={<53e?7d027jj?4;239~w4den3:1>v380`82g2=:io>18?<4}r3ag5<72;q6;=o51b489d`72=897p}>bb394?4|5>:j652z?44d<6k:16mk854308yv7ek=0;6?u271c95f4<5hln69<=;|q2ff3=838p1:>n:0a2?8d783>9>6s|1ca5>5<5s4=;m7?k8:?bbf<3:;1v35g=9m<01o>;:501?xu6jj31<74b234h;47:=2:p5gef2909w09?a;3g0>;e8h0?>?5rs0``f?6=:r7<d29>f50=<;80q~?mcb83>7}:?9k1=i<4=c2f>1453ty:nnj50;0x926f28n:70l>0;616>{t9kin6=4={<53e?7dj27iv380`82g5=:j8>18?<4}r3a`5<72;q6;>j51e289=?72=897p}>be394?4|5>9o652z?47a<6kj1644=54308yv7el=0;6?u272f95fg<513?69<=;|q2fa3=838p1:=k:0a:?8>>=3>9>6s|1cf5>5<5s4=8h7?l8:?;=3<3:;1v36b=9j<01577:501?xu6jm31<74e2342257:=2:p5gbf2909w09;?1h0?>?5rs0`gf?6=:r7c29><7}:?:n1=n<4=9;`>1453ty:nij50;0x925c28i:7066d;616>{t9knn6=4={<50`?7c02735h4;239~w4dcn3:1>v383e82`2=:00l18?<4}r3aa5<72;q6;>j51e489=g72=897p}>bd394?4|5>9o652z?47a<6l:164l=54308yv7em=0;6?u272f95a4<51k?69<=;|q2f`3=838p1:=k:0f2?8>f=3>9>6s|1cg5>5<5s4=8h7?lb:?;e3<3:;1v326=9m:01ll9:501?xu6jl31<74eb34ki47:=2:p5gcf2909w0980;3``>;fj=0?>?5rs0`ff?6=:r7<;=4>cb9>ege=<;80q~?meb83>7}:?>:1=no4=``f>1453ty:nhj50;0x921728i270oma;616>{t9kon6=4={<544?7d027jo=4;239~w4dbn3:1>v387182g2=:ij818?<4}r3ab5<72;q6;:>51b489de12=897p}>bg394?4|5>=;652z?435<6k:16mnm54308yv7en=0;6?u276295f4<5hin69<=;|q2fc3=838p1:9?:0a2?8gdi3>9>6s|1cd5>5<5s4=<<7?k8:?b`7<3:;1v326=9m<01lj?:501?xu6jo31<74b234ko:7:=2:p5g`f2909w0980;3g0>;fl10?>?5rs0`ef?6=:r7<;=4>d29>eae=<;80q~?mfb83>7}:?>:1=i<4=`ff>1453ty:nkj50;0x921728n:70oka;616>{t9kln6=4={<544?7dj27ji?4;239~w4dan3:1>v387182g5=:il>18?<4}r3`45<72;q6;l=51e289=072=897p}>c1394?4|5>k86=:18181f;3;hh637638767=z{8i;?7>52z?4e6<6kj164;=54308yv7d8=0;6?u27`195fg<511=3>9>6s|1b25>5<5s4=j?7?l8:?;23<3:;1v3d5=9j<01587:501?xu6k931<74e2342=57:=2:p5f6f2909w09n3;3`0>;?>h0?>?5rs0a3f?6=:r74>c29><3d=<;80q~?l0b83>7}:?h91=n<4=94`>1453ty:o=j50;0x92g428i:7069d;616>{t9j:n6=4={<5b7?7c0273:h4;239~w4e7n3:1>v38a282`2=:0?l18?<4}r3`55<72;q6;l=51e489=172=897p}>c0394?4|5>k8652z?4e6<6l:164:=54308yv7d9=0;6?u27`195a4<51=?69<=;|q2g43=838p1:o<:0f2?8>0=3>9>6s|1b35>5<5s4=j?7?lb:?;33<3:;1v7;296~;0i:0:o=5286590743a0=9oh015hi:0da?8g?k3>9>6s|1b3:>5<4s4=o:7?i9:?;bc<6n016m5o54308yv7d9h0;6>u27e495c><51lm63;m;637fg82b2=:i0818?<4}r3`5f<72:q6;i851g489=`a28l=70o7e;616>{t9j;o6=4<{<5g2?7a=273jk4>f49>e<2=<;80q~?l1d83>6}:?m<1=k:4=9de>4`334k2:7:=2:p5f7a2908w09k6;3e7>;?no0:j>52a8c9074=4?:2y>3a0=:;9015hi:300?8g>k3>9>6s|1b02>5<4s4=o:7<=2:?;bc<5:;16m4654308yv7d:;0;6>u27e49677<51lm6?<>;389<637fg8165=:ih818?<4}r3`61<72:q6;i8520d89=`a2;;m70o6e;616>{t9j8>6=4<{<5g2?46m273jk4=1d9>ed0=<;80q~?l2783>6}:?m<1><:4=9de>77334kj47:=2:p5f402908w09k6;03=>;?no09<452a`6907454?:2y>3a0=9oo015hi:0df?8gfi3>9>6s|1b0:>5<4s4=o:7?i2:?;bc<6n;16mlm54308yv7d:h0;6?u27e4967b<51:m67n3;m56s|1b0`>5<5s4=o:7<=a:?;4c<6n11v45281d95c1h4?:3y>3a0=:;2015>i:0d5?xu6k;l1<7740342;j7?i5:p5f572909w09k6;012>;?8o0:j95rs0a05?6=:r7<5`=9o90q~?l3383>7}:?m<1>8;4=92e>7443ty:o>=50;0x92b12;??706?f;016>{t9j9?6=4={<5g2?42;273v38d78117=:09l1>?>4}r3`73<72;q6;i8524389=6a2;;m7p}>c2594?4|5>n=6?;?;<:3b?46m2wx=n=7:18181c>38?:6370g8151=z{8i857>52z?4`3<5;k164=h521;8yv7d;h0;6?u27e49666<51:m67n3;m>6s|1b1`>5<5s42;j7<=d:?;e=<6nk1vo528`:95c?<5`=:;k015o7:0d;?xu6k:l1<774>342j47?i7:p5f272909w06?f;01<>;?i10:j;5rs0a75?6=:r73=9o?0q~?l4383>7}:09l1>?84=9c;>4`33ty:o9=50;0x9=6a2;8>706n8;3e7>{t9j>?6=4={<:3b?42=273m54=229~w4e3=3:1>v370g8111=:0h21>?<4}r3`03<72;q64=h524189=g?2;8:7p}>c5594?4|51:m6?;=;<:b7n38>=637a9815c=z{8i?57>52z?;4c<5=9164l6520g8yv7di:31a?8>f038;56s|1b6`>5<5s42;j7<<0:?;e=<6nl1v9528`:95c4;?h6<6j;<:7`?7?m2738h4>8d9><1`=91o015;?:0:f?8>293;3i6375382<`=:0<91=5k4=977>4>b342>97?7e:?;13<60l16489519g89=3?282n706:9;3;a>;?=h0:4h5284`95=c<51?h6<6j;<:6`?7?m2739h4>8d9><0`=91o01597:0:f?8>013;3i6377`82<`=:0>h1=5k4=95`>4>b3427282n70671;3;a>;?0;0:4h5289195=c<512?6<6j;<:;1?7?m2734;4>8d9><=1=91o01567:0:f?8>?13;3i6378`82<`=:01h1=5k4=9:`>4>b3423h7?7e:?;<`<60l1645h519g89c5d94?56s42=<7?7e:?;24<60l164;<519g89=04282n70694;3;a>;?><0:4h5287495=c<51<<6<6j;<:58d9><3g=91o0158m:0:f?8>1k3;3i6376e82<`=:0?o1=5k4=94e>4>b342<<7?7e:?;34<60l164:<519g89=14282n70684;3;a>;??<0:4h5286495=c<51=<6<6j;<::4?7?m2735<4>8d9><<4=91o0157<:0:f?8>><3;3i6379482<`=:00<1=5k4=9;4>4>b342247?7e:?;=<<60l1644o519g89=?e282n7066c;3;a>;?1m0:4h5288g95=c<513m6<6j;<:b4?7?m273m<4>8d9>f<3;3i637a482<`=:0h<1=5k4=9c4>4>b343o87=87:p5f372908=v37cg82<`=:0m:1=5k4=9f2>4>b342o>7?7e:?;`6<60l164i:519g89=b2282n706k6;3;a>;?l>0:4h528e:95=c<51n26<6j;<:ge?7?m273ho4>8d9>cm3;3i637dg82<`=:0l:1=5k4=9g2>4>b342n>7?7e:?;a6<60l164h:519g89=c2282n706j6;3;a>;?m>0:4h528d:95=c<51o26<6j;<:fe?7?m273io4>8d9><`e=91o015kk:0:f?8>bm3;3i637eg82<`=:0o:1=5k4=9d2>4>b342m>7?7e:?;b6<60l164k:519g89=`2282n706i6;3;a>;?n>0:4h528g:95=c<51l26<6j;<:ee?7?m273jo4>8d9>am3;3i636d48032=z{8i>=7>52z?;bc<68816m5854308yv7d=;0;6>u293496g0<508m69=?;<;g3?50?2wx=n;<:1818?5>39<;63n5482e1=z{8i>87>53z?:62<61l165?l518g89<4d2;h<7p}>c4794?5|508<6?l9;<;1f?24827j:84<769~w4e2>3:1>v36268032=:i<;1=l:4}r3`12<72:q65?6518g89<4d283n707=d;0a3>{t9j?36=4<{<;1272>n4;319>e30=;>=0q~?l5883>7}:1;21?:94=`71>4g33ty:o8o50;1x9<4>283n707=d;3:a>;>:l09n:5rs0a6f?6=;r72>44=b79>=7b=<::01l88:254?xu6k61034k>?7?n4:p5f3c2908w07=a;3:a>;>:l0:5h5293d96g1=7g=:k<0145<5s439m7=87:?b11<6i=1v:k094o529`;96dg<50kj6?o6;<;g3?4f127j?;4=a`9>e61=:h301l=7:3c:?8g4138j563n3`81e<=:i:h1>l74=`72>14534k=971v:j094o52a409074<5h<=6?o7;|q2g34=839p149>63n6681e==z{8i=?7>53z?:6`<50k16m8:543089d0?2;k37p}>c7694?5|508m6?6m;<;g3?4f027j984;239~w4e1=3:1?v363182e1=:i>=1=l:4=cf`>1453ty:o;850;0x9<5628k?707k8;143>{t9j<<6=4={<;06?7f<272hl4<769~w4e103:1>v363282e1=:1m31?:94}r3`2<<72;q65>:51`689c7c94?4|509>63;j8636db8032=z{8i=o7>52z?:72<6i=165ik53658yv7d>m0;6?u292:95d2<50o;6>98;|q2g3c=838p14=6:0c7?8?cn39<;6s|1b4e>5<5s438m7?n4:?:a4<4?>1v;k0:m9529d19721=6e=9h>014k=:254?xu6k>81<74g3343n87=87:p5f142909w07;>m?08;:5rs0a40?6=:r72?k4>a59>=`3=;>=0q~?l7483>7}:1=:1=l:4=8g4>6103ty:o:850;0x9<2628k?707j9;143>{t9j=<6=4={<;76?7f<272i54<769~w4e003:1>v364282e1=:1lk1?:94}r3`3<<72;q659:51`689c6c94?4|50>>63;j8636ee8032=z{8i52z?:02<6i=165hh53658yv7d?m0;6?u295:95d2<50on6>98;|q2g2c=838p14:6:0c7?8?a839<;6s|1b5e>5<5s43?m7?n4:?:b7<4?>1v=1e=9h>014h<:254?xu6k181<74g3343m97=87:p5f>42909w07;e;3b0>;>n=08;:5rs0a;0?6=:r728k4>a59>=c0=;>=0q~?l8483>7}:1<:1=l:4=8d;>6103ty:o5850;0x9<3628k?707i7;143>{t9j2<6=4={<;66?7f<272j44<769~w4e?03:1>v365282e1=:1oh1?:94}r3`<<<72;q658:51`689<`f2:=<7p}>c9c94?4|50?>63;j8636fd8032=z{8i3o7>52z?:12<6i=165kj53658yv7d0m0;6?u294:95d2<50lm6>98;|q2g=c=838p14;6:0c7?8g7939<;6s|1b:e>5<5s43>m7?n4:?b45<4?>1v=k0:m952a109721=0e=9h>01l>;:254?xu6k081<74g334k;?7=87:p5f?42909w07:e;3b0>;f8<08;:5rs0a:0?6=:r729k4>a59>e51=;>=0q~?l9483>7}:1?:1=l:4=`25>6103ty:o4850;0x9<0628k?70o?8;143>{t9j3<6=4={<;56?7f<27j<44<769~w4e>03:1>v366282e1=:i9h1?:94}r3`=<<72;q65;:51`689d6f2:=<7p}>c8c94?4|50<>63;j863n0d8032=z{8i2o7>52z?:22<6i=16m=j53658yv7d1m0;6?u297:95d2<5h:m6>98;|q2g5<5s43=m7?n4:?b55<4?>1v>k0:m952a009721=3e=9h>01l?;:254?xu6kh81<74g334k:?7=87:p5fg42909w079e;3b0>;f9<08;:5rs0ab0?6=:r72:k4>a59>e41=;>=0q~?la483>7}:1>:1=l:4=`35>6103ty:ol850;0x9<1628k?70o>8;143>{t9jk<6=4={<;46?7f<27j=l4<769~w4ef03:1>v367282e1=:i831?:94}r3`e<<72;q65::51`689d7e2:=<7p}>c`c94?4|50=>63;j863n1b8032=z{8ijo7>52z?:32<6i=16m98;|q2gdc=838p1496:0c7?8g6n39<;6s|1bce>5<5s431v?k0:m952a319721=2e=9h>01l<=:254?xu6kk81<74g334k987=87:p5fd42909w078e;3b0>;f:?08;:5rs0aa0?6=:r72;k4>a59>e73=;>=0q~?lb483>7}:11:1=l:4=`04>6103ty:oo850;0x9<>628k?70o=9;143>{t9jh<6=4={<;;6?7f<27j>54<769~w4ee03:1>v368282e1=:i;k1?:94}r3`f<<72;q655:51`689d4d2:=<7p}>ccc94?4|502>63;j863n2e8032=z{8iio7>52z?:<2<6i=16m?h53658yv7djm0;6?u299:95d2<5h8n6>98;|q2ggc=838p1466:0c7?8g4839<;6s|1b`e>5<5s433m7?n4:?b77<4?>1v0k0:m952a239721==e=9h>01l=<:254?xu6kj81<74g334k897=87:p5fe42909w077e;3b0>;f;=08;:5rs0a`0?6=:r724k4>a59>e60=;>=0q~?lc483>6}:10:1=4k4=8;6>4?b3432:7;>1<0??=52a2`9721=<6=;>=01l;9:0c7?xu6kj21<7=t=8;2>4?b3432:7?6e:?:=2<5j>1v1809n;529849066<5h9j6>98;|q2gfg=838p147>:254?8g2?3;j86s|1baa>5<4s432>7?6e:?:=2<61l1654652c58yv7dkj0;6>u298096g0<503<69=?;:39<;63n5982e1=z{8ihi7>53z?:=6<61l16546518g892;h<7p}>cbd94?5|50386?l9;<;:v36928032=:i<31=l:4}r3``4<72:q654:518g89283n7076a;143>{t9jn96=4<{<;:0?4e>272544;319>e61=;>=0q~?ld283>7}:10>1?:94=`7b>4g33ty:oi:50;6x9;f;k09m552a449074=<0=:1h014o9:3c:?8g4i38j463n568767=z{8io:7>54z?:=2<50k165l852`c89d5>2;k370o:8;616>{t9jn<6=4;{<;:e6>=:h201l;6:501?xu6km21<7:t=8;:>7>e343j:798;|q2gag=83>p147m:3c:?8?f:38j5636a281e<=:jmo18?<4}r3``g<72;q654l52`c89g1?2=897p}>cea94?5|503n6?o6;v36a`81ed=:i>=18?<4}r3```<72:q65om52`;89d222=8970o9e;0be>{t9jnm6=4;{<;`3?4f027j:<4=a99>e3g=<;801l8k:3c;?xu6kl:1<7=t=8ag>7g>34k?m7:=2:?b2c<5ih1vkl09m5529bd96dg<50n;6?o6;52z?:`1<5i016n<854308yv7dm=0;6;?t=8f5>61034h:;7?7e:?a5=<60l16n<7519g89g7f282n70l>b;3;a>;e9j0:4h52b0f95=c<5k;n6<6j;<`2b?7?m27i>=4>8d9>f77=91o01o<=:0:f?8d5;3;3i63m2582<`=:j;?1=5k4=c05>4>b34h9;7?7e:?a6=<60l16n?7519g89g4f282n70l=b;3;a>;e:j0:4h52b3f95=c<5k8n6<6j;<`1b?7?m27i?=4>8d9>f67=91o01o==:0:f?8d4;3;3i63m3582<`=:j:?1=5k4=c15>4>b34h8;7?7e:?a7=<60l16n>7519g89g5f282n70l;e;j0:4h52b2f95=c<5k9n6<6j;<`0b?7?m27i8=4>8d9>f17=91o01o:=:0:f?8d3;3;3i63m4582<`=:j=?1=5k4=c65>4>b34h?;7?7e:?a0=<60l16n97519g89g2f282n70l;b;3;a>;en6<6j;<`7b?7?m27i9=4>8d9>f07=91o01o;=:0:f?8d2;3;3i63m5582<`=:j4>b34h>;7?7e:?a1=<60l16n87519g89g3f282n70l:b;3;a>;e=j0:4h52b4f95=c<5k?n6<6j;<`6b?7?m27i:=4>8d9>f37=91o01o8=:0:f?8d1;3;3i63m6582<`=:j??1=5k4=c45>4>b34h=;7?7e:?a2=<60l16n;7519g89g0f282n70l9b;3;a>;e>j0:4h52b7f95=c<5k8d9>f27=91o01o9=:0:f?8d0;3;3i63m7582<`=:j>?1=5k4=c55>4>b3ty:oh;50;0x9d2228k?70o9e;143>{t9jo=6=4={v3n6882e1=:i>:1?:94}r3`a=<72;q6m;o51`689d0c2:=<7p}>cd;94?4|5h52z?b<6<3:;16m5:51`68yv7dmj0;6?u2a979074<5h2=65<5s4k357:=2:?b?52a9a95d2e=b=<;801l6j:0c7?xu6ko;1<714534k2<7?n4:p5f`52909w0o61;616>;f1;0:m95rs0ae7?6=:r7j5>4;239>e<2=9h>0q~?lf583>7}:i0?18?<4=`;5>4g33ty:ok;50;0x9d?02=8970o68;3b0>{t9jl=6=4={a59~w4ea?3:1>v3n9c8767=:i0i1=l:4}r3`b=<72;q6m4j543089d?b28k?7p}>cg;94?4|5h3m69<=;9>63na382e1=z{8imn7>52z?be6<3:;16ml:51`68yv7dnj0;6?u2a`79074<5hk=65<5s4kj57:=2:?bed<6i=1v?52a`a95d2edb=<;801loj:0c7?xu6l9;1<714534ki<7?n4:p5a652909w0om1;616>;fj;0:m95rs0f37?6=:r7jn>4;239>eg2=9h>0q~?k0583>7}:ik?18?<4=``5>4g33ty:h=;50;0x9dd02=8970om8;3b0>{t9m:=6=4={a59~w4b7?3:1>v3nbc8767=:iki1=l:4}r3g4=<72;q6moj543089ddb28k?7p}>d1;94?4|5hhm69<=;n:1818gd93>9>63nc382e1=z{8n;n7>52z?bg6<3:;16mn:51`68yv7c8j0;6?u2ab79074<5hi=65<5s4kh57:=2:?bgd<6i=1v?52aba95d2efb=<;801lmj:0c7?xu6l8;1<714534ko<7?n4:p5a752909w0ok1;616>;fl;0:m95rs0f27?6=:r7jh>4;239>ea2=9h>0q~?k1583>7}:im?18?<4=`f5>4g33ty:h<;50;0x9db02=8970ok8;3b0>{t9m;=6=4={a59~w4b6?3:1>v3ndc8767=:imi1=l:4}r3g5=<72;q6mij543089dbb28k?7p}>d0;94?4|5hnm69<=;9>63ne382e1=z{8n:n7>52z?ba6<3:;16mh:51`68yv7c9j0;6?u2ad79074<5ho=65<5s4kn57:=2:?bad<6i=1vf;296~;fmk0?>?52ada95d2=4?:3y>e`b=<;801lkj:0c7?xu6l;;1<714534km<7?n4:p5a452909w0oi1;616>;fn;0:m95rs0f17?6=:r7jj>4;239>ec2=9h>0q~?k2583>7}:io?18?<4=`d5>4g33ty:h?;50;0x9d`02=8970oi8;3b0>{t9m8=6=4={a59~w4b5?3:1>v3nfc8767=:ioi1=l:4}r3g6=<72;q6mkj543089d`b28k?7p}>d3;94?4|5hlm69<=;<`34?7f<2wx=i9>63m0382e1=z{8n9n7>52z?a46<3:;16n=:51`68yv7c:j0;6?u2b179074<5k:=68:501?8d703;j86s|1e0f>5<5s4h;57:=2:?a4d<6i=1v?52b1a95d2f5b=<;801o>j:0c7?xu6l:;1<714534h:<7?n4:p5a552909w0l>1;616>;e9;0:m95rs0f07?6=:r7i=>4;239>f42=9h>0q~?k3583>7}:j8?18?<4=c35>4g33ty:h>;50;0x9g702=8970l>8;3b0>{t9m9=6=4={<`2a59~w4b4?3:1>v3m188767=:j8k1=l:4}r3g7=<72;q6nd2;94?4|5k;i69<=;<`2g?7f<2wx=i=n:1818d6k3>9>63m8482e1=z{8n8n7>52z?a5a<3:;16n5<5s4h9<7:=2:?a<6<6i=1v?52b3095d2f74=<;801o6>:0c7?xu6l=;1<714534h987?n4:p5a252909w0l=4;616>;e0>0:m95rs0f77?6=:r7i>84;239>f70=9h>0q~?k4583>7}:j;<18?<4=c:e>4g33ty:h9;50;0x9g402=8970l=8;3b0>{t9m>=6=4={<`1a59~w4b3?3:1>v3m288767=:j;k1=l:4}r3g0=<72;q6n?o543089g>c28k?7p}>d5;94?4|5k8i69<=;<`1g?7f<2wx=i:n:1818d5k3>9>63m8c82e1=z{8n?n7>52z?a6a<3:;16n?k51`68yv7c5<5s4h8<7:=2:?a=<<6i=1v?52b2095d2f64=<;801o7::0c7?xu6l<;1<714534h887?n4:p5a352909w0l<4;616>;e180:m95rs0f67?6=:r7i?84;239>f60=9h>0q~?k5583>7}:j:<18?<4=c;g>4g33ty:h8;50;0x9g502=8970l<8;3b0>{t9m?=6=4={<`0a59~w4b2?3:1>v3m388767=:j:k1=l:4}r3g1=<72;q6n>o543089g?028k?7p}>d4;94?4|5k9i69<=;<`0g?7f<2wx=i;n:1818d4k3>9>63ma482e1=z{8n>n7>52z?a7a<3:;16n>k51`68yv7c=j0;6?u2b2g9074<5k3m65<5s4h?<7:=2:?ae6<6i=1v?52b5095d2f14=<;801oo>:0c7?xu6l?;1<714534h?87?n4:p5a052909w0l;4;616>;ei00:m95rs0f57?6=:r7i884;239>f10=9h>0q~?k6583>7}:j=<18?<4=cce>4g33ty:h;;50;0x9g202=8970l;8;3b0>{t9m<=6=4={<`7a59~w4b1?3:1>v3m488767=:j=k1=l:4}r3g2=<72;q6n9o543089gg028k?7p}>d7;94?4|5k>i69<=;<`7g?7f<2wx=i8n:1818d3k3>9>63mb282e1=z{8n=n7>52z?a0a<3:;16n9k51`68yv7c>j0;6?u2b5g9074<5kh:65<5s4h><7:=2:?aea<6i=1v?52b4095d2f04=<;801olm:0c7?xu6l>;1<714534h>87?n4:p5a152909w0l:4;616>;ej<0:m95rs0f47?6=:r7i984;239>f00=9h>0q~?k7583>7}:j<<18?<4=c`:>4g33ty:h:;50;0x9g302=8970l:8;3b0>{t9m==6=4={<`6a59~w4b0?3:1>v3m588767=:jd6;94?4|5k?i69<=;<`6g?7f<2wx=i9n:1818d2k3>9>63mc482e1=z{8n52z?a1a<3:;16n8k51`68yv7c?j0;6?u2b4g9074<5ki:65<5s4h=<7:=2:?afa<6i=1v80?>?52b7095d2f34=<;801om6:0c7?xu6l1;1<714534h=87?n4:p5a>52909w0l94;616>;ek>0:m95rs0f;7?6=:r7i:84;239>f30=9h>0q~?k8583>7}:j?<18?<4=ca0>4g33ty:h5;50;0x9g002=8970l98;3b0>{t9m2=6=4={<`5a59~w4b??3:1>v3m688767=:j?k1=l:4}r3g<=<72;q6n;o543089gee28k?7p}>d9;94?4|5k9>63mcg82e1=z{8n3n7>52z?a2a<3:;16n;k51`68yv7c0j0;6?u2b7g9074<5kio65<5s4h<<7:=2:?a`6<6i=1v?52b6095d2f24=<;801oj6:0c7?xu6l0;1<714534h<87?n4:p5a?52909w0l84;616>;el<0:m95rs0f:7?6=:r7i;84;239>f20=9h>0q~?k9583>7}:j><18?<4=cf4>4g33ty:h4;50;0x9g102=8970l88;3b0>{t9m3=6=4={<`4=?25:27i;l4>a59~w4b>?3:1>v3m7c8767=:j>i1=l:4}r3g==<72;q6n:j543089g1b28k?7p}>d8;94?4|5k=m69<=;<`;4?7f<2wx=i7n:1818d?93>9>63m8382e1=z{8n2n7>52z?a<6<3:;16n5:51`68yv7c1j0;6?u2b979074<5k2=65<5s4h357:=2:?a?52b9a95d2f=b=<;801o6j:0c7?xu6lh;1<714534h2<7?n4:p5ag52909w0l61;616>;e1;0:m95rs0fb7?6=:r7i5>4;239>f<2=9h>0q~?ka583>7}:j0?18?<4=c;5>4g33ty:hl;50;0x9g?02=8970l68;3b0>{t9mk=6=4={<`:=?25:27i5l4>a59~w4bf?3:1>v3m9c8767=:j0i1=l:4}r3ge=<72;q6n4j543089g?b28k?7p}>d`;94?4|5k3m69<=;<`b4?7f<2wx=ion:1818df93>9>63ma382e1=z{8njn7>52z?ae6<3:;16nl:51`68yv7cij0;6?u2b`79074<5kk=65<5s4hj57:=2:?aed<6i=1v?52b`a95d2fdb=<;801ooj:0c7?xu6lk;1<714534hi<7?n4:p5ad52909w0lm1;616>;ej;0:m95rs0fa7?6=:r7in>4;239>fg2=9h>0q~?kb583>7}:jk?18?<4=c`5>4g33ty:ho;50;0x9gd02=8970lm8;3b0>{t9mh=6=4={<`a=?25:27inl4>a59~w4be?3:1>v3mbc8767=:jki1=l:4}r3gf=<72;q6noj543089gdb28k?7p}>dc;94?4|5khm69<=;<``4?7f<2wx=iln:1818dd93>9>63mc382e1=z{8nin7>52z?ag6<3:;16nn:51`68yv7cjj0;6?u2bb79074<5ki=65<5s4hh57:=2:?agd<6i=1v?52bba95d2ffb=<;801omj:0c7?xu6lj;1<714534ho<7?n4:p5ae52909w0lk1;616>;el;0:m95rs0f`7?6=:r7ih>4;239>fa2=9h>0q~?kc583>7}:jm?18?<4=cf5>4g33ty:hn;50;0x9gb02=8970lk8;3b0>{t9mi=6=4={<`g=?25:27ihl4>a59~w4bd?3:1>v3mdc8767=:jmi1=l:4}r3gg=<72;q6nij543089gbb28k?7ps|1742>5<5sW;=:<5244g953063->8o7m531d8yv71=j0;6?uQ177`?822m3;=9n5+42a974652z\`4f=:<6303tyhk;%60g?5082wxo=>50;0xZgcb34>>i7lje:&77f<4?81vohj:181[dbl27?9h4mee9'06e=:j30q~lid;296~Xemj1688k5bda8 15d2;ij7p}mfb83>7}Yjlh019;j:cga?!24k38hn6s|bg`94?4|Vkoj70::e;`fe>"3;j09on5rscdb>5<5sWhn563;5d8aa<=#<:i1>nj4}r`e=?6=:rTii55244g9f`><,=9h6?mj;|qab=<72;qUnh94=57f>gc03->8o72.??n4=d19~wf6?2909wSli5:?71`m52e48yve7?3:1>vPmf59>00c=jo>0(9=l:3g2?xud8?0;6?uQbg18913b2kl87):{tk9?1<76*;3b81b==z{j:?6=4={_`e5>;3=l0ij<5+42a975552z\ab5=:<4$51`>6603tyh>i7lj6:&77f<4801voh8:181[db=27?9h4me49'06e=;9k0q~?96183>7}Y9?<;70::e;3525=#<:i1?=l4}r351c<72;qU=;;i;<66a?71=o1/8>m531a8yve7m3:1>vPl0d9>00c=k9o0(9=l:22f?xudno0;6?uQc2:8913b2j937):{tkon1<7;3=l0h?;5+42a96a552z\`70=:<;4$51`>7b33tyhj44?:3y]g65<5=?n6n=<;%60g?4c=2wxok650;0xZf5534>>i7m<2:&77f<5l>1vnh8:181[e4927?9h4l309'06e=:m20q~mi6;296~Xd;91688k5c228 15d2;n27p}lf483>7}Yk;l019;j:b0e?!24k38om6s|cg694?4|Vj8n70::e;a1a>"3;j09ho5rsbd0>5<5sWi9h63;5d8`6a=#<:i1>im4}rae6?6=:rTh>n5244g9g7e<,=9h6?jk;|q`b4<72;qUo?l4=57f>f4e3->8o7m52d28yvb7>3:1>vPl3d9>00c=k:o0(9=l:3g1?xuc8<0;6?uQc2f8913b2j9o7):{tl9>1<7;3=l0h?o5+42a96`37>52z\`7d=:<o4$51`>7c13tyo<<4?:3y]g6?<5=?n6n=6;%60g?4b?2wxh=>50;0xZf5334>>i7m<4:&77f<5m11vnhn:181[e5127?9h4l289'06e=:l30q~mjf;296~Xd:11688k5c3:8 15d2;oj7p}k3183>7}Yl82019;j:e3;?!24k38nn6s|d3g94?4|Vm;<70::e;f23>"3;j09ih5rse0g>5<5sWn::63;5d8g53=#<:i1>hh4}rf1g?6=:rTo=85244g9`43<,=9h6?h?;|qg6d<72;qUh<=4=57f>a743->8o71:?71`m52g18yvb5?3:1>vPk119>00c=l8:0(9=l:3d7?xuc:?0;6?uQd1d8913b2m:m7):{tl;?1<7;3=l0o52z\g4f=:<7`>3tyo>?4?:3y]`5d<5=?n6i>m;%60g?4ai2wxh??50;0xZa6f34>>i7j?a:&77f<5nk1vi=7:181[b6n27?9h4k1g9'06e=:oi0q~j<7;296~Xc9l1688k5d0g8 15d2;lo7p}k3783>7}Yl8n019;j:e3g?!24k38mi6s|d2794?4|Vm;h70::e;f2g>"3;j09jk5rse17>5<5sWn:n63;5d8g5g=#<:i1?=>4}rf07?6=:rTo=l5244g9`4g<,=9h6>>>;|qg77<72;qUh<74=57f>a7>3->8o7=?2:p`67=838pRi?;;<66a?b6<2.??n4<059~wa4e2909wSj?9:?71`m53178yvb583:1>vPk099>00c=l920(9=l:225?xuak90;6?uQe538913b2l>:7):{tnko1<7;3=l0n?k5+42a974552z\f7`=:<k4$51`>6733tymno4?:3y]a6b<5=?n6h=k;%60g?56=2wxjoo50;0xZ`5d34>>i7k7}Ym:2019;j:d1;?!24k39:56s|fc794?4|Vl9<70::e;g03>"3;j08=l5rsg`7>5<5sWo8:63;5d8f73=#<:i1??l;|qef7<72;qUi>:4=57f>`533->8o7=>d:pbg7=838pRh=<;<66a?c4;2.??n4<1d9~wcd72909wSk<2:?71`m530d8yv`fn3:1>vPj309>00c=m:;0(9=l:203?xuail0;6?uQe228913b2l9;7):{tnhi1<7;3=l0n>i5+42a977552z\f6f=:<6433tymm44?:3y]a7d<5=?n6h>i7k=a:&77f<4:?1vko8:181[c5127?9h4j289'06e=;;=0q~hn6;296~Xb:11688k5e3:8 15d2:837p}ia483>7}Ym;=019;j:d04?!24k39956s|f`694?4|Vl8=70::e;g12>"3;j08>l5rsgc0>5<5sWo9963;5d8f60=#<:i1??l4}rdb5?6=:rTn>>5244g9a75<,=9h6>`453->8o7==d:pb<`=838pRh<>;<66a?c592.??n4<2d9~wc?b2909wSk=0:?71`m533d8yv`>l3:1>vPj1g9>00c=m8l0(9=l:213?xua1j0;6?uQe0g8913b2l;n7):{tn0h1<7;3=l0n=n5+42a976552z\f5g=:<6533tym554?:3y]a4g<5=?n6h?n;%60g?54=2wxjn850;0xZ`2134>>i7k;6:&77f<4;?1vkm::181[c3=27?9h4j449'06e=;:=0q~hl4;296~Xb<=1688k5e568 15d2:937p}ic283>7}Ym=9019;j:d60?!24k39856s|fb094?4|Vl>970::e;g76>"3;j08?l5rsga2>5<5sWo8m63;5d8f7d=#<:i1?>l4}rdak5244g9a7`<,=9h6>=l;|qeea<72;qUi?:4=57f>`433->8o7=8:?71`m532d8yv779o0;6?uQfg28913b2ol;7):{t99;o6=4={_dfb>;3=l0mik5+42a97177}Ynli019;j:gg`?!24k39?86s|113:>5<5sWlnn63;5d8eag=#<:i1?9;4}r335=<72;qUjho4=57f>ccf3->8o7=;6:p55712909wShj8:?71`m53558yv779<0;6?uQfd58913b2oo<7):{t99;?6=4={_df2>;3=l0mi;5+42a971?4?:3y]b`3<5=?n6kk:;%60g?53i2wx==?=:181[`b<27?9h4ie59'06e=;=h0q~??1083>7}Ynl9019;j:gg0?!24k39?o6s|1133>5<5sWln>63;5d8ea7=#<:i1?9j4}r334c<72;qUjh?4=57f>cc63->8o7=;e:p556b2909wShj0:?71`m535d8yv778m0;6?uQfed8913b2onm7):{t99:i6=4={_dg`>;3=l0mhi5+42a97076:181[`cj27?9h4idc9'06e=;<90q~??0983>7}Ynmk019;j:gfb?!24k39>86s|1124>5<5sWlo563;5d8e`<=#<:i1?8;4}r3343<72;qUji64=57f>cb?3->8o7=:6:p55622909wShk7:?71`1/8>m534:8yv778=0;6?uQfe48913b2on=7):{t99:86=4={_dg1>;3=l0mh85+42a970g?:181[`c:27?9h4id39'06e=;7}Ynm:019;j:gf3?!24k39>i6s|fgf94?4|Voim70::e;d`b>"3;j089k5rsgd`>5<5sWlhi63;5d8eg`=#<:i1?;>4}rdef?6=:rTmoi5244g9bfb<,=9h6>8>;|qebd<72;qUjnm4=57f>ced3->8o7=92:pbc?=838pRkmm;<66a?`dj2.??n4<629~wc`?2909wShla:?71`m53768yv`a?3:1>vPic89>00c=nj30(9=l:246?xu68;?1<752z\eb1=:<6003ty:>i7hi3:&77f<4>11v<>=2;296~Xan;1688k5fg08 15d2:<27p}>03394?4|Vol:70::e;de5>"3;j08:l5rs0214?6=:rTmi45244g9b`?<,=9h6>8m;|q2441=838pRkjj;<66a?`cm2.??n4<6b9~w467k3:1>vPid29>00c=nm90(9=l:24g?xu689;1<7;3=l0mo:5+42a973`oim50;0xL15c3td>oij50;30M24l2we9njj:1827~N3;m1vb8mkf;297~N3;m1vb8mj0;296~N3;m1vb8mj1;296~N3;m1vb8mj2;296~N3;m1vb8mj3;296~N3;m1vb8mj4;296~N3;m1vb8mj5;296~N3;m1vb8mj6;296~N3;m1vb8mj7;296~N3;m1vb8mj8;296~N3;m1vb8mj9;296~N3;m1vb8mja;296~N3;m1vb8mjb;296~N3;m1vb8mjc;296~N3;m1vb8mjd;296~N3;m1vb8mje;296~N3;m1vb8mjf;296~N3;m1vb8mi0;296~N3;m1vb8mi1;296~N3;m1vb8mi2;296~N3;m1vb8mi3;296~N3;m1vb8mi4;296~N3;m1vb8mi5;296~N3;m1vb8mi6;296~N3;m1vb8mi7;297~N3;m1vb8mi8;297~N3;m1vb8mi9;297~N3;m1vb8mia;297~N3;m1vb8mib;297~N3;m1vb8mic;297~N3;m1vb8mid;297~N3;m1vb8mie;297~N3;m1vb8mif;297~N3;m1vb8j?0;297~N3;m1vb8j?1;297~N3;m1vb8j?2;297~N3;m1vb8j?3;297~N3;m1vb8j?4;297~N3;m1vb8j?5;297~N3;m1vb8j?6;297~N3;m1vb8j?7;297~N3;m1vb8j?8;297~N3;m1vb8j?9;297~N3;m1vb8j?a;297~N3;m1vb8j?b;297~N3;m1vb8j?c;297~N3;m1vb8j?d;297~N3;m1vb8j?e;297~N3;m1vb8j?f;297~N3;m1vb8j>0;297~N3;m1vb8j>1;297~N3;m1vb8j>2;297~N3;m1vb8j>3;297~N3;m1vb8j>4;297~N3;m1vb8j>5;297~N3;m1vb8j>6;297~N3;m1vb8j>7;297~N3;m1vb8j>8;297~N3;m1vb8j>9;297~N3;m1vb8j>a;297~N3;m1vb8j>b;297~N3;m1vb8j>c;297~N3;m1vb8j>d;297~N3;m1vb8j>e;297~N3;m1vb8j>f;297~N3;m1vb8j=0;297~N3;m1vb8j=1;297~N3;m1vb8j=2;297~N3;m1vb8j=3;297~N3;m1vb8j=4;297~N3;m1vb8j=5;297~N3;m1vb8j=6;297~N3;m1vb8j=7;296~N3;m1vb8j=8;296~N3;m1vb8j=9;296~N3;m1vb8j=a;296~N3;m1vb8j=b;296~N3;m1vb8j=c;296~N3;m1vb8j=d;296~N3;m1vb8j=e;296~N3;m1vb8j=f;296~N3;m1vb8j<0;296~N3;m1vb8j<1;296~N3;m1vb8j<2;296~N3;m1vb8j<3;296~N3;m1vb8j<4;296~N3;m1vb8j<5;296~N3;m1vb8j<6;296~N3;m1vb8j<7;296~N3;m1vb8j<8;296~N3;m1vb8j<9;296~N3;m1vb8j0;295~N3;m1vb89>1;295~N3;m1vb89>2;295~N3;m1vb89>3;295~N3;m1vb89>4;295~N3;m1vb89>5;295~N3;m1vb89>6;295~N3;m1vb89>7;295~N3;m1vb89>8;295~N3;m1vb89>9;295~N3;m1vb89>a;295~N3;m1vb89>b;295~N3;m1vb89>c;295~N3;m1vb89>d;295~N3;m1vb89>e;295~N3;m1vb89>f;295~N3;m1vb89=0;295~N3;m1vb89=1;295~N3;m1vb89=2;295~N3;m1vb89=3;295~N3;m1vb89=4;295~N3;m1vb89=5;295~N3;m1vb89=6;295~N3;m1vb89=7;295~N3;m1vb89=8;295~N3;m1vb89=9;295~N3;m1vb89=a;295~N3;m1vb89=b;295~N3;m1vb89=c;295~N3;m1vb89=d;295~N3;m1vb89=e;295~N3;m1vb89=f;295~N3;m1vb89<0;295~N3;m1vb89<1;295~N3;m1vb89<2;295~N3;m1vb89<3;295~N3;m1vb89<4;295~N3;m1vb89<5;295~N3;m1vb89<6;295~N3;m1vb89<7;295~N3;m1vb89<8;295~N3;m1vb89<9;295~N3;m1vb89j4}o74e1<72;qC8>j4}o74e0<728qC?::4H51g?xh2?h<1<71<7?tH51g?xh2?k?1<7?tH51g?xh2?k<1<7?tH51g?xh2?k=1<7?tH51g?xh2?k21<7?tH51g?xh2?k31<7?tH51g?xh2?kk1<7?tH51g?xh2?kh1<7?tH51g?xh2?ki1<7?tH51g?xh2?kn1<7?tH51g?xh2?ko1<7?tH51g?xh2?kl1<7?tH51g?xh2?j:1<7?tH51g?xh2?j;1<7?tH51g?xh2?j81<7?tH51g?xh2?j91<7?tH51g?xh2?j>1<7?tH51g?xh2?j?1<7?tH51g?xh2?j<1<7?tH51g?xh2?j=1<7?tH51g?xh2?j21<7?tH51g?xh2?j31<7?tH51g?xh2?jk1<7?tH51g?xh2?jh1<7?tH51g?xh2?ji1<7?tH51g?xh2?jn1<7?tH51g?xh2?jo1<7?tH51g?xh2?jl1<7?tH51g?xh2?m:1<7?tH51g?xh2?m;1<7?tH51g?xh2?m81<7?tH51g?xh2?m91<7?tH51g?xh2?m>1<7?tH51g?xh2?m?1<7?tH51g?xh2?m<1<7?tH51g?xh2?m=1<7?tH51g?xh2?m21<70;60;60;60;6uG42f8yk3?:<0;6>uG42f8yk3?:?0;60;6uG42f8yk3?:j0;6>uG42f8yk3?:m0;64?h50;3xL15c3td>4>>50;3xL15c3td>4>?50;3xL15c3td>4><50;0xL6133A>8h6sa5910>5<6sA>8h6sa5917>5<6sA>8h6sa5916>5<6sA>8h6sa5915>5<6sA>8h6sa5914>5<6sA>8h6sa591;>5<5sA9<86F;3e9~j0>413:1>vF;3e9~j0>4i3:1>vF;3e9~j0>4j3:1>vF;3e9~j0>4k3:1>vF;3e9~j0>4l3:1>vF;3e9~j0>4m3:1>vF;3e9~j0>4n3:1>vF;3e9~j0>383:1>vF;3e9~j0>393:1>vF;3e9~j0>3:3:1>vF;3e9~j0>3;3:1>vF;3e9~j0>3<3:1>vF;3e9~j0>3=3:1>vF;3e9~j0>3>3:1>vF;3e9~j0>3?3:1>vF;3e9~j0>303:1>vF;3e9~j0>313:1>vF;3e9~j0>3i3:1>vF;3e9~j0>3j3:1?vF;3e9~j0>3k3:1?vF;3e9~j0>3l3:1?vF;3e9~j0>3m3:1?vF;3e9~j0>3n3:1?vF;3e9~j0>283:1?vF;3e9~j0>293:1?vF;3e9~j0>2:3:1?vF;3e9~j0>2;3:1?vF;3e9~j0>2<3:1?vF;3e9~j0>2=3:1?vF;3e9~j0>2>3:1?vF;3e9~j0>2?3:1?vF;3e9~j0>203:1?vF;3e9~j0>213:1?vF;3e9~j0>2i3:1?vF;3e9~j0>2j3:1?vF;3e9~j0>2k3:1?vF;3e9~j0>2l3:1?vF;3e9~j0>2m3:1?vF;3e9~j0>2n3:1?vF;3e9~j0>183:1?vF;3e9~j0>193:1?vF;3e9~j0>1:3:1?vF;3e9~j0>1;3:1>vF;3e9~j0>1<3:1>vF;3e9~j0>1=3:1>vF;3e9~j0>1>3:1>vF;3e9~j0>1?3:1>vF;3e9~j0>103:1>vF;3e9~j0>113:1>vF;3e9~j0>1i3:1>vF;3e9~j0>1j3:1>vF;3e9~j0>1k3:1>vF;3e9~j0>1l3:1>vF;3e9~j0>1m3:1>vF;3e9~j0>1n3:1>vF;3e9~j0>083:1>vF;3e9~j0>093:1>vF;3e9~j0>0:3:1>vF;3e9~j0>0;3:1>vF;3e9~j0>0<3:1>vF;3e9~j0>0=3:1>vF;3e9~j0>0>3:1>vF;3e9~j0>0?3:1>vF;3e9~j0>003:1>vF;3e9~j0>013:1>vF;3e9~j0>0i3:1>vF;3e9~j0>0j3:1=vF;3e9~j0>0k3:1=vF;3e9~j0>0l3:1=vF;3e9~j0>0m3:1=vF;3e9~j0>0n3:1=vF;3e9~j0>?83:1=vF;3e9~j0>?93:1=vF;3e9~j0>?:3:1=vF;3e9~j0>?;3:1=vF;3e9~j0>?<3:1=vF;3e9~j0>?=3:1=vF;3e9~j0>?>3:1=vF;3e9~j0>??3:1=vF;3e9~j0>?03:1=vF;3e9~j0>?13:1=vF;3e9~j0>?i3:1=vF;3e9~j0>?j3:1=vF;3e9~j0>?k3:1=vF;3e9~j0>?l3:1=vF;3e9~j0>?m3:1=vF;3e9~j0>?n3:1=vF;3e9~j0>>83:1=vF;3e9~j0>>93:1=vF;3e9~j0>>:3:1=vF;3e9~j0>>;3:1=vF;3e9~j0>><3:1=vF;3e9~j0>>=3:1=vF;3e9~j0>>>3:1=vF;3e9~j0>>?3:1=vF;3e9~j0>>03:1=vF;3e9~j0>>13:1=vF;3e9~j0>>i3:1=vF;3e9~j0>>j3:1=vF;3e9~j0>>k3:1=vF;3e9~j0>>l3:1=vF;3e9~j0>>m3:1=vF;3e9~j0>>n3:1=vF;3e9~j0>f83:1=vF;3e9~j0>f93:1=vF;3e9~j0>f:3:1=vF;3e9~j0>f;3:1=vF;3e9~j0>f<3:1=vF;3e9~j0>f=3:1=vF;3e9~j0>f>3:1=vF;3e9~j0>f?3:1=vF;3e9~j0>f03:1=vF;3e9~j0>f13:1=vF;3e9~j0>fi3:1=vF;3e9~j0>fj3:1?vF;3e9~j0>fk3:1?vF;3e9~j0>fl3:1?vF;3e9~j0>fm3:1?vF;3e9~j0>fn3:1?vF;3e9~j0>e83:1?vF;3e9~j0>e93:1?vF;3e9~j0>e:3:1?vF;3e9~j0>e;3:1?vF;3e9~j0>e<3:1?vF;3e9~j0>e=3:1?vF;3e9~j0>e>3:1?vF;3e9~j0>e?3:1?vF;3e9~j0>e03:1?vF;3e9~j0>e13:1?vF;3e9~j0>ei3:1?vF;3e9~j0>ej3:1?vF;3e9~j0>ek3:1?vF;3e9~j0>el3:1?vF;3e9~j0>em3:1?vF;3e9~j0>en3:1?vF;3e9~j0>d83:1?vF;3e9~j0>d93:1?vF;3e9~j0>d:3:1?vF;3e9~j0>d;3:1>vF;3e9~j0>d<3:1>vF;3e9~j0>d=3:1>vF;3e9~j0>d>3:1>vF;3e9~j0>d?3:1>vF;3e9~j0>d03:1>vF;3e9~j0>d13:1>vF;3e9~j0>di3:1>vF;3e9~j0>dj3:1>vF;3e9~j0>dk3:1>vF;3e9~j0>dl3:1>vF;3e9~j0>dm3:1>vF;3e9~j0>dn3:1>vF;3e9~j0>c83:1>vF;3e9~j0>c93:1>vF;3e9~j0>c:3:1>vF;3e9~j0>c;3:1>vF;3e9~j0>c<3:1>vF;3e9~j0>c=3:1>vF;3e9~j0>c>3:1>vF;3e9~j0>c?3:1>vF;3e9~j0>c03:1>vF;3e9~j0>c13:1>vF;3e9~j0>ci3:1>vF;3e9~j0>cj3:1=vF;3e9~j0>ck3:1=vF;3e9~j0>cl3:1=vF;3e9~j0>cm3:1=vF;3e9~j0>cn3:1=vF;3e9~j0>b83:1=vF;3e9~j0>b93:1=vF;3e9~j0>b:3:1=vF;3e9~j0>b;3:1=vF;3e9~j0>b<3:1=vF;3e9~j0>b=3:1=vF;3e9~j0>b>3:1=vF;3e9~j0>b?3:1=vF;3e9~j0>b03:1=vF;3e9~j0>b13:1=vF;3e9~j0>bi3:1=vF;3e9~j0>bj3:1=vF;3e9~j0>bk3:1=vF;3e9~j0>bl3:1=vF;3e9~j0>bm3:1=vF;3e9~j0>bn3:1=vF;3e9~j0>a83:1=vF;3e9~j0>a93:1=vF;3e9~j0>a:3:1=vF;3e9~j0>a;3:1=vF;3e9~j0>a<3:1=vF;3e9~j0>a=3:1=vF;3e9~j0>a>3:1=vF;3e9~j0>a?3:1=vF;3e9~j0>a03:1=vF;3e9~j0>a13:1=vF;3e9~j0>ai3:1=vF;3e9~j0>aj3:1=vF;3e9~j0>ak3:1=vF;3e9~j0>al3:1=vF;3e9~j0>am3:1=vF;3e9~j0>an3:1=vF;3e9~j0?783:1=vF;3e9~j0?793:1=vF;3e9~j0?7:3:1=vF;3e9~j0?7;3:1=vF;3e9~j0?7<3:1=vF;3e9~j0?7=3:1=vF;3e9~j0?7>3:1=vF;3e9~j0?7?3:1=vF;3e9~j0?703:1=vF;3e9~j0?713:1=vF;3e9~j0?7i3:1=vF;3e9~j0?7j3:1=vF;3e9~j0?7k3:1=vF;3e9~j0?7l3:1=vF;3e9~j0?7m3:1=vF;3e9~j0?7n3:1=vF;3e9~j0?683:1=vF;3e9~j0?693:1=vF;3e9~j0?6:3:1=vF;3e9~j0?6;3:1=vF;3e9~j0?6<3:1=vF;3e9~j0?6=3:1=vF;3e9~j0?6>3:1=vF;3e9~j0?6?3:1=vF;3e9~j0?603:1=vF;3e9~j0?613:1=vF;3e9~j0?6i3:1=vF;3e9~j0?6j3:1=vF;3e9~j0?6k3:1=vF;3e9~j0?6l3:1=vF;3e9~j0?6m3:1=vF;3e9~j0?6n3:1=vF;3e9~j0?583:1=vF;3e9~j0?593:1=vF;3e9~j0?5:3:1=vF;3e9~j0?5;3:1=vF;3e9~j0?5<3:1=vF;3e9~j0?5=3:1=vF;3e9~j0?5>3:1=vF;3e9~j0?5?3:1=vF;3e9~j0?503:1=vF;3e9~j0?513:1=vF;3e9~j0?5i3:1=vF;3e9~j0?5j3:1=vF;3e9~j0?5k3:1=vF;3e9~j0?5l3:1=vF;3e9~j0?5m3:1=vF;3e9~j0?5n3:1=vF;3e9~j0?483:1=vF;3e9~j0?493:1=vF;3e9~j0?4:3:1=vF;3e9~j0?4;3:1=vF;3e9~j0?4<3:1=vF;3e9~j0?4=3:1=vF;3e9~j0?4>3:1=vF;3e9~j0?4?3:1=vF;3e9~j0?403:1=vF;3e9~j0?413:1=vF;3e9~j0?4i3:1=vF;3e9~j0?4j3:1>vF;3e9~j0?4k3:1>vF;3e9~j0?4l3:1>vF;3e9~j0?4m3:1>vF;3e9~j0?4n3:1>vF;3e9~j0?383:1>vF;3e9~j0?393:1>vF;3e9~j0?3:3:1>vF;3e9~j0?3;3:1>vF;3e9~j0?3<3:1>vF;3e9~j0?3=3:1>vF;3e9~j0?3>3:1>vF;3e9~j0?3?3:1>vF;3e9~j0?303:1>vF;3e9~j0?313:1>vF;3e9~j0?3i3:1>vF;3e9~j0?3j3:1>vF;3e9~j0?3k3:1>vF;3e9~j0?3l3:1>vF;3e9~j0?3m3:1>vF;3e9~j0?3n3:1>vF;3e9~j0?283:1>vF;3e9~j0?293:1>vF;3e9~j0?2:3:1>vF;3e9~j0?2;3:1>vF;3e9~j0?2<3:1>vF;3e9~j0?2=3:1>vF;3e9~j0?2>3:1>vF;3e9~j0?2?3:1>vF;3e9~j0?203:1>vF;3e9~j0?213:1>vF;3e9~j0?2i3:1>vF;3e9~j0?2j3:1>vF;3e9~j0?2k3:1>vF;3e9~j0?2l3:1>vF;3e9~j0?2m3:1>vF;3e9~j0?2n3:1>vF;3e9~j0?183:1>vF;3e9~j0?193:1>vF;3e9~j0?1:3:1>vF;3e9~j0?1;3:1>vF;3e9~j0?1<3:1>vF;3e9~j0?1=3:1>vF;3e9~j0?1>3:1>vF;3e9~j0?1?3:1>vF;3e9~j0?103:1>vF;3e9~j0?113:1>vF;3e9~j0?1i3:1>vF;3e9~j0?1j3:1>vF;3e9~j0?1k3:1>vF;3e9~j0?1l3:1>vF;3e9~j0?1m3:1>vF;3e9~j0?1n3:1>vF;3e9~j0?083:1>vF;3e9~j0?093:1>vF;3e9~j0?0:3:1>vF;3e9~j0?0;3:1>vF;3e9~j0?0<3:1>vF;3e9~j0?0=3:1>vF;3e9~j0?0>3:1>vF;3e9~j0?0?3:1>vF;3e9~j0?003:1>vF;3e9~j0?013:1>vF;3e9~j0?0i3:1>vF;3e9~j0?0j3:1>vF;3e9~j0?0k3:1>vF;3e9~j0?0l3:1=vF;3e9~j0?0m3:1=vF;3e9~j0?0n3:1=vF;3e9~j0??83:1=vF;3e9~j0??93:1=vF;3e9~j0??:3:1=vF;3e9~j0??;3:1=vF;3e9~j0??<3:1=vF;3e9~j0??=3:1=vF;3e9~j0??>3:1=vF;3e9~j0???3:1=vF;3e9~j0??03:1=vF;3e9~j0??13:1=vF;3e9~j0??i3:1=vF;3e9~j0??j3:1=vF;3e9~j0??k3:1=vF;3e9~j0??l3:1=vF;3e9~j0??m3:1=vF;3e9~j0??n3:1=vF;3e9~j0?>83:1=vF;3e9~j0?>93:1=vF;3e9~j0?>:3:1=vF;3e9~j0?>;3:1=vF;3e9~j0?><3:1=vF;3e9~j0?>=3:1=vF;3e9~j0?>>3:1=vF;3e9~j0?>?3:1=vF;3e9~j0?>03:1=vF;3e9~j0?>13:1=vF;3e9~j0?>i3:1=vF;3e9~j0?>j3:1=vF;3e9~j0?>k3:1=vF;3e9~j0?>l3:1=vF;3e9~j0?>m3:1=vF;3e9~j0?>n3:1=vF;3e9~j0?f83:1=vF;3e9~j0?f93:1=vF;3e9~j0?f:3:1=vF;3e9~j0?f;3:1=vF;3e9~j0?f<3:1=vF;3e9~j0?f=3:1=vF;3e9~j0?f>3:1=vF;3e9~j0?f?3:1=vF;3e9~j0?f03:1=vF;3e9~j0?f13:1=vF;3e9~j0?fi3:1=vF;3e9~j0?fj3:1=vF;3e9~j0?fk3:1=vF;3e9~j0?fl3:1>vF;3e9~j0?fm3:1>vF;3e9~j0?fn3:1>vF;3e9~j0?e83:1>vF;3e9~j0?e93:1>vF;3e9~j0?e:3:1>vF;3e9~j0?e;3:1>vF;3e9~j0?e<3:1>vF;3e9~j0?e=3:1>vF;3e9~j0?e>3:1>vF;3e9~j0?e?3:1>vF;3e9~j0?e03:1>vF;3e9~j0?e13:1>vF;3e9~j0?ei3:1>vF;3e9~j0?ej3:1>vF;3e9~j0?ek3:1>vF;3e9~j0?el3:1>vF;3e9~j0?em3:1>vF;3e9~j0?en3:1>vF;3e9~j0?d83:1>vF;3e9~j0?d93:1>vF;3e9~j0?d:3:1>vF;3e9~j0?d;3:1>vF;3e9~j0?d<3:1>vF;3e9~j0?d=3:1>vF;3e9~j0?d>3:1>vF;3e9~j0?d?3:1>vF;3e9~j0?d03:1>vF;3e9~j0?d13:1>vF;3e9~j0?di3:1>vF;3e9~j0?dj3:1>vF;3e9~j0?dk3:1>vF;3e9~j0?dl3:1>vF;3e9~j0?dm3:1>vF;3e9~j0?dn3:1>vF;3e9~j0?c83:1>vF;3e9~j0?c93:1>vF;3e9~j0?c:3:1>vF;3e9~j0?c;3:1>vF;3e9~j0?c<3:1>vF;3e9~j0?c=3:1>vF;3e9~j0?c>3:1>vF;3e9~j0?c?3:1>vF;3e9~j0?c03:1>vF;3e9~j0?c13:1>vF;3e9~j0?ci3:1>vF;3e9~j0?cj3:1>vF;3e9~j0?ck3:1>vF;3e9~j0?cl3:1>vF;3e9~j0?cm3:1>vF;3e9~j0?cn3:1>vF;3e9~j0?b83:1>vF;3e9~j0?b93:1>vF;3e9~j0?b:3:1>vF;3e9~j0?b;3:1>vF;3e9~j0?b<3:1>vF;3e9~j0?b=3:1>vF;3e9~j0?b>3:1>vF;3e9~j0?b?3:1>vF;3e9~j0?b03:1>vF;3e9~j0?b13:1>vF;3e9~j0?bi3:1>vF;3e9~j0?bj3:1>vF;3e9~j0?bk3:1>vF;3e9~j0?bl3:1>vF;3e9~j0?bm3:1>vF;3e9~j0?bn3:1?vF;3e9~j0?a83:1?vF;3e9~j0?a93:1?vF;3e9~j0?a:3:1?vF;3e9~j0?a;3:1?vF;3e9~j0?a<3:1?vF;3e9~j0?a=3:1?vF;3e9~j0?a>3:1?vF;3e9~j0?a?3:1?vF;3e9~j0?a03:1?vF;3e9~j0?a13:1?vF;3e9~j0?ai3:1?vF;3e9~j0?aj3:1?vF;3e9~j0?ak3:1?vF;3e9~j0?al3:1?vF;3e9~j0?am3:1?vF;3e9~j0?an3:1?vF;3e9~j0g783:1?vF;3e9~j0g793:1?vF;3e9~j0g7:3:1?vF;3e9~j0g7;3:1?vF;3e9~j0g7<3:1?vF;3e9~j0g7=3:1?vF;3e9~j0g7>3:1?vF;3e9~j0g7?3:1>vF;3e9~j0g703:1>vF;3e9~j0g713:1>vF;3e9~j0g7i3:1>vF;3e9~j0g7j3:1>vF;3e9~j0g7k3:1>vF;3e9~j0g7l3:1>vF;3e9~j0g7m3:1>vF;3e9~j0g7n3:1>vF;3e9~j0g683:1>vF;3e9~j0g693:1>vF;3e9~j0g6:3:1>vF;3e9~j0g6;3:1>vF;3e9~j0g6<3:1>vF;3e9~j0g6=3:1>vF;3e9~j0g6>3:1>vF;3e9~j0g6?3:1>vF;3e9~j0g603:1>vF;3e9~j0g613:1>vF;3e9~j0g6i3:1>vF;3e9~j0g6j3:1>vF;3e9~j0g6k3:1>vF;3e9~j0g6l3:1>vF;3e9~j0g6m3:1>vF;3e9~j0g6n3:1?vF;3e9~j0g583:1?vF;3e9~j0g593:1?vF;3e9~j0g5:3:1?vF;3e9~j0g5;3:1?vF;3e9~j0g5<3:1?vF;3e9~j0g5=3:1?vF;3e9~j0g5>3:1?vF;3e9~j0g5?3:1?vF;3e9~j0g503:1?vF;3e9~j0g513:1?vF;3e9~j0g5i3:1?vF;3e9~j0g5j3:1?vF;3e9~j0g5k3:1?vF;3e9~j0g5l3:1?vF;3e9~j0g5m3:1?vF;3e9~j0g5n3:1?vF;3e9~j0g483:1?vF;3e9~j0g493:1?vF;3e9~j0g4:3:1?vF;3e9~j0g4;3:1?vF;3e9~j0g4<3:1?vF;3e9~j0g4=3:1?vF;3e9~j0g4>3:1?vF;3e9~j0g4?3:1>vF;3e9~j0g403:1>vF;3e9~j0g413:1>vF;3e9~j0g4i3:1>vF;3e9~j0g4j3:1>vF;3e9~j0g4k3:1>vF;3e9~j0g4l3:1>vF;3e9~j0g4m3:1>vF;3e9~j0g4n3:1>vF;3e9~j0g383:1>vF;3e9~j0g393:1>vF;3e9~j0g3:3:1>vF;3e9~j0g3;3:1>vF;3e9~j0g3<3:1>vF;3e9~j0g3=3:1>vF;3e9~j0g3>3:1>vF;3e9~j0g3?3:1>vF;3e9~j0g303:1>vF;3e9~j0g313:1>vF;3e9~j0g3i3:1>vF;3e9~j0g3j3:1>vF;3e9~j0g3k3:1>vF;3e9~j0g3l3:1>vF;3e9~j0g3m3:1>vF;3e9~j0g3n3:1>vF;3e9~j0g283:1>vF;3e9~j0g293:1>vF;3e9~j0g2:3:1>vF;3e9~j0g2;3:1>vF;3e9~j0g2<3:1>vF;3e9~j0g2=3:1>vF;3e9~j0g2>3:1>vF;3e9~j0g2?3:1?vF;3e9~j0g203:1?vF;3e9~j0g213:1?vF;3e9~j0g2i3:1?vF;3e9~j0g2j3:1>vF;3e9~j0g2k3:1>vF;3e9~j0g2l3:1>vF;3e9~j0g2m3:1>vF;3e9~j0g2n3:1>vF;3e9~j0g183:1>vF;3e9~j0g193:1?vF;3e9~j0g1:3:1?vF;3e9~j0g1;3:1?vF;3e9~j0g1<3:1?vF;3e9~j0g1=3:1?vF;3e9~j0g1>3:1?vF;3e9~j0g1?3:1?vF;3e9~j0g103:1?vF;3e9~j0g113:1?vF;3e9~j0g1i3:1?vF;3e9~j0g1j3:1>vF;3e9~j0g1k3:1>vF;3e9~j0g1l3:1>vF;3e9~j0g1m3:1>vF;3e9~j0g1n3:1>vF;3e9~j0g083:1>vF;3e9~j0g093:1>vF;3e9~j0g0:3:1>vF;3e9~j0g0;3:1>vF;3e9~j0g0<3:1>vF;3e9~j0g0=3:1>vF;3e9~j0g0>3:1>vF;3e9~j0g0?3:1>vF;3e9~j0g003:1>vF;3e9~j0g013:1>vF;3e9~j0g0i3:1>vF;3e9~j0g0j3:1>vF;3e9~j0g0k3:1>vF;3e9~j0g0l3:1>vF;3e9~j0g0m3:1>vF;3e9~j0g0n3:1>vF;3e9~j0g?83:1>vF;3e9~j0g?93:1>vF;3e9~j0g?:3:1>vF;3e9~j0g?;3:1>vF;3e9~j0g?<3:1>vF;3e9~j0g?=3:1>vF;3e9~j0g?>3:1>vF;3e9~j0g??3:1>vF;3e9~j0g?03:1>vF;3e9~j0g?13:1>vF;3e9~j0g?i3:1>vF;3e9~j0g?j3:1>vF;3e9~j0g?k3:1>vF;3e9~j0g?l3:1>vF;3e9~j0g?m3:1>vF;3e9~j0g?n3:1>vF;3e9~j0g>83:1>vF;3e9~j0g>93:1>vF;3e9~j0g>:3:1>vF;3e9~j0g>;3:1>vF;3e9~j0g><3:1>vF;3e9~j0g>=3:1>vF;3e9~j0g>>3:1>vF;3e9~j0g>?3:1>vF;3e9~j0g>03:1>vF;3e9~j0g>13:1>vF;3e9~j0g>i3:1>vF;3e9~j0g>j3:1>vF;3e9~j0g>k3:1>vF;3e9~j0g>l3:1>vF;3e9~j0g>m3:1>vF;3e9~j0g>n3:1>vF;3e9~j0gf83:1>vF;3e9~j0gf93:1>vF;3e9~j0gf:3:1>vF;3e9~j0gf;3:1>vF;3e9~j0gf<3:1>vF;3e9~j0gf=3:1>vF;3e9~j0gf>3:1>vF;3e9~j0gf?3:1>vF;3e9~j0gf03:1>vF;3e9~j0gf13:1>vF;3e9~j0gfi3:1>vF;3e9~j0gfj3:1>vF;3e9~j0gfk3:1>vF;3e9~j0gfl3:1>vF;3e9~j0gfm3:1>vF;3e9~j0gfn3:1>vF;3e9~j0ge83:1>vF;3e9~j0ge93:1>vF;3e9~j0ge:3:1>vF;3e9~j0ge;3:1>vF;3e9~j0ge<3:1>vF;3e9~j0ge=3:1>vF;3e9~j0ge>3:1>vF;3e9~j0ge?3:1>vF;3e9~j0ge03:1>vF;3e9~j0ge13:1>vF;3e9~j0gei3:1>vF;3e9~j0gej3:1>vF;3e9~j0gek3:1>vF;3e9~j0gel3:1>vF;3e9~j0gem3:1>vF;3e9~j0gen3:1>vF;3e9~j0gd83:1>vF;3e9~j0gd93:1>vF;3e9~j0gd:3:1>vF;3e9~j0gd;3:1>vF;3e9~j0gd<3:1>vF;3e9~j0gd=3:1>vF;3e9~j0gd>3:1>vF;3e9~j0gd?3:1>vF;3e9~j0gd03:1>vF;3e9~j0gd13:1>vF;3e9~j0gdi3:1>vF;3e9~j0gdj3:1>vF;3e9~j0gdk3:1>vF;3e9~j0gdl3:1>vF;3e9~j0gdm3:1>vF;3e9~j0gdn3:1>vF;3e9~j0gc83:1>vF;3e9~j0gc93:1>vF;3e9~j0gc:3:1>vF;3e9~j0gc;3:1>vF;3e9~j0gc<3:1>vF;3e9~j0gc=3:1>vF;3e9~j0gc>3:1>vF;3e9~j0gc?3:1>vF;3e9~j0gc03:1>vF;3e9~j0gc13:1>vF;3e9~j0gci3:1>vF;3e9~j0gcj3:1>vF;3e9~j0gck3:1>vF;3e9~j0gcl3:1>vF;3e9~j0gcm3:1>vF;3e9~j0gcn3:1>vF;3e9~j0gb83:1>vF;3e9~j0gb93:1>vF;3e9~j0gb:3:1>vF;3e9~j0gb;3:1>vF;3e9~j0gb<3:1>vF;3e9~j0gb=3:1>vF;3e9~j0gb>3:1>vF;3e9~j0gb?3:1>vF;3e9~j0gb03:1>vF;3e9~j0gb13:1>vF;3e9~j0gbi3:1>vF;3e9~j0gbj3:1>vF;3e9~j0gbk3:1>vF;3e9~j0gbl3:1>vF;3e9~j0gbm3:1>vF;3e9~j0gbn3:1>vF;3e9~j0ga83:1>vF;3e9~j0ga93:1>vF;3e9~j0ga:3:1>vF;3e9~j0ga;3:1>vF;3e9~j0ga<3:1>vF;3e9~j0ga=3:1>vF;3e9~j0ga>3:1>vF;3e9~j0ga?3:1>vF;3e9~j0ga03:1>vF;3e9~j0ga13:1>vF;3e9~j0gai3:1>vF;3e9~j0gaj3:1>vF;3e9~j0gak3:1>vF;3e9~j0gal3:1>vF;3e9~j0gam3:1>vF;3e9~j0gan3:1>vF;3e9~j0d783:1>vF;3e9~j0d793:1>vF;3e9~j0d7:3:1>vF;3e9~j0d7;3:1>vF;3e9~j0d7<3:1>vF;3e9~j0d7=3:1>vF;3e9~j0d7>3:1>vF;3e9~j0d7?3:1>vF;3e9~j0d703:1>vF;3e9~j0d713:1>vF;3e9~j0d7i3:1>vF;3e9~j0d7j3:1>vF;3e9~j0d7k3:1>vF;3e9~j0d7l3:1>vF;3e9~j0d7m3:1>vF;3e9~j0d7n3:1>vF;3e9~j0d683:1>vF;3e9~j0d693:1>vF;3e9~j0d6:3:1>vF;3e9~j0d6;3:1>vF;3e9~j0d6<3:1>vF;3e9~j0d6=3:1>vF;3e9~j0d6>3:1>vF;3e9~j0d6?3:1>vF;3e9~j0d603:1>vF;3e9~j0d613:1>vF;3e9~j0d6i3:1>vF;3e9~j0d6j3:1>vF;3e9~j0d6k3:1>vF;3e9~j0d6l3:1>vF;3e9~j0d6m3:1>vF;3e9~j0d6n3:1>vF;3e9~j0d583:1>vF;3e9~j0d593:1>vF;3e9~j0d5:3:1>vF;3e9~j0d5;3:1>vF;3e9~j0d5<3:1>vF;3e9~j0d5=3:1>vF;3e9~j0d5>3:1>vF;3e9~j0d5?3:1>vF;3e9~j0d503:1>vF;3e9~j0d513:1>vF;3e9~j0d5i3:1>vF;3e9~j0d5j3:1?vF;3e9~j0d5k3:1?vF;3e9~j0d5l3:1?vF;3e9~j0d5m3:1?vF;3e9~j0d5n3:1?vF;3e9~j0d483:1?vF;3e9~j0d493:1?vF;3e9~j0d4:3:1?vF;3e9~j0d4;3:1?vF;3e9~j0d4<3:1?vF;3e9~j0d4=3:1?vF;3e9~j0d4>3:1?vF;3e9~j0d4?3:1?vF;3e9~j0d403:1?vF;3e9~j0d413:1?vF;3e9~j0d4i3:1?vF;3e9~j0d4j3:1?vF;3e9~j0d4k3:1?vF;3e9~j0d4l3:1?vF;3e9~j0d4m3:1?vF;3e9~j0d4n3:1?vF;3e9~j0d383:1?vF;3e9~j0d393:1?vF;3e9~j0d3:3:1?vF;3e9~j0d3;3:1?vF;3e9~j0d3<3:1?vF;3e9~j0d3=3:1?vF;3e9~j0d3>3:1?vF;3e9~j0d3?3:1?vF;3e9~j0d303:1?vF;3e9~j0d313:1?vF;3e9~j0d3i3:1?vF;3e9~j0d3j3:1?vF;3e9~j0d3k3:1?vF;3e9~j0d3l3:1?vF;3e9~j0d3m3:1?vF;3e9~j0d3n3:1?vF;3e9~j0d283:1?vF;3e9~j0d293:1?vF;3e9~j0d2:3:1?vF;3e9~j0d2;3:1?vF;3e9~j0d2<3:1?vF;3e9~j0d2=3:1?vF;3e9~j0d2>3:1?vF;3e9~j0d2?3:1?vF;3e9~j0d203:1?vF;3e9~j0d213:1?vF;3e9~j0d2i3:1?vF;3e9~j0d2j3:1?vF;3e9~j0d2k3:1?vF;3e9~j0d2l3:1?vF;3e9~j0d2m3:1?vF;3e9~j0d2n3:1?vF;3e9~j0d183:1?vF;3e9~j0d193:1=vF;3e9~j0d1:3:1=vF;3e9~j0d1;3:1=vF;3e9~j0d1<3:1>vF;3e9~j0d1=3:1=vF;3e9~j0d1>3:1=vF;3e9~j0d1?3:1=vF;3e9~j0d103:1=vF;3e9~j0d113:1=vF;3e9~j0d1i3:1=vF;3e9~j0d1j3:1=vF;3e9~j0d1k3:1=vF;3e9~j0d1l3:1=vF;3e9~j0d1m3:1=vF;3e9~j0d1n3:1=vF;3e9~j0d083:1=vF;3e9~j0d093:1=vF;3e9~j0d0:3:1=vF;3e9~j0d0;3:1=vF;3e9~j0d0<3:1=vF;3e9~j0d0=3:1=vF;3e9~j0d0>3:1=vF;3e9~j0d0?3:1=vF;3e9~j0d003:1=vF;3e9~j0d013:1=vF;3e9~j0d0i3:1=vF;3e9~j0d0j3:1=vF;3e9~j0d0k3:1=vF;3e9~j0d0l3:1=vF;3e9~j0d0m3:1=vF;3e9~j0d0n3:1=vF;3e9~j0d?83:1=vF;3e9~j0d?93:1=vF;3e9~j0d?:3:1=vF;3e9~j0d?;3:1=vF;3e9~j0d?<3:1=vF;3e9~j0d?=3:1=vF;3e9~j0d?>3:1=vF;3e9~j0d??3:1=vF;3e9~j0d?03:1=vF;3e9~j0d?13:1=vF;3e9~j0d?i3:1=vF;3e9~j0d?j3:1=vF;3e9~j0d?k3:1=vF;3e9~j0d?l3:1=vF;3e9~j0d?m3:1=vF;3e9~j0d?n3:1=vF;3e9~j0d>83:1=vF;3e9~j0d>93:1=vF;3e9~j0d>:3:1=vF;3e9~j0d>;3:1=vF;3e9~j0d><3:1=vF;3e9~j0d>=3:1=vF;3e9~j0d>>3:1=vF;3e9~j0d>?3:1=vF;3e9~j0d>03:1=vF;3e9~j0d>13:1=vF;3e9~j0d>i3:1=vF;3e9~j0d>j3:1=vF;3e9~j0d>k3:1=vF;3e9~j0d>l3:1=vF;3e9~j0d>m3:1=vF;3e9~j0d>n3:1=vF;3e9~j0df83:1=vF;3e9~j0df93:1=vF;3e9~j0df:3:1=vF;3e9~j0df;3:1=vF;3e9~j0df<3:1=vF;3e9~j0df=3:1=vF;3e9~j0df>3:1=vF;3e9~j0df?3:1=vF;3e9~j0df03:1=vF;3e9~j0df13:1=vF;3e9~j0dfi3:1=vF;3e9~j0dfj3:1=vF;3e9~j0dfk3:1=vF;3e9~j0dfl3:1=vF;3e9~j0dfm3:1=vF;3e9~j0dfn3:1=vF;3e9~j0de83:1=vF;3e9~j0de93:1=vF;3e9~j0de:3:1=vF;3e9~j0de;3:1=vF;3e9~j0de<3:1=vF;3e9~j0de=3:1=vF;3e9~j0de>3:1=vF;3e9~j0de?3:1=vF;3e9~j0de03:1=vF;3e9~j0de13:1=vF;3e9~j0dei3:1=vF;3e9~j0dej3:1=vF;3e9~j0dek3:1=vF;3e9~j0del3:1=vF;3e9~j0dem3:1=vF;3e9~j0den3:1=vF;3e9~j0dd83:1=vF;3e9~j0dd93:1=vF;3e9~j0dd:3:1=vF;3e9~j0dd;3:1=vF;3e9~j0dd<3:1=vF;3e9~j0dd=3:1=vF;3e9~j0dd>3:1=vF;3e9~j0dd?3:1=vF;3e9~j0dd03:1=vF;3e9~j0dd13:1=vF;3e9~j0ddi3:1=vF;3e9~j0ddj3:1=vF;3e9~j0ddk3:1=vF;3e9~j0ddl3:1=vF;3e9~j0ddm3:1=vF;3e9~j0ddn3:1=vF;3e9~j0dc83:1=vF;3e9~j0dc93:1=vF;3e9~j0dc:3:1=vF;3e9~j0dc;3:1=vF;3e9~j0dc<3:1=vF;3e9~j0dc=3:1=vF;3e9~j0dc>3:1=vF;3e9~j0dc?3:1=vF;3e9~j0dc03:1=vF;3e9~j0dc13:1=vF;3e9~j0dci3:1=vF;3e9~j0dcj3:1=vF;3e9~j0dck3:1=vF;3e9~j0dcl3:1=vF;3e9~j0dcm3:1=vF;3e9~j0dcn3:1=vF;3e9~j0db83:1=vF;3e9~j0db93:1=vF;3e9~j0db:3:1=vF;3e9~j0db;3:1=vF;3e9~j0db<3:1=vF;3e9~j0db=3:1=vF;3e9~j0db>3:1=vF;3e9~j0db?3:1=vF;3e9~j0db03:1=vF;3e9~j0db13:1=vF;3e9~j0dbi3:1=vF;3e9~j0dbj3:1=vF;3e9~j0dbk3:1=vF;3e9~j0dbl3:1=vF;3e9~j0dbm3:1=vF;3e9~j0dbn3:1=vF;3e9~j0da83:1=vF;3e9~j0da93:1=vF;3e9~j0da:3:1=vF;3e9~j0da;3:1=vF;3e9~j0da<3:1=vF;3e9~j0da=3:1=vF;3e9~j0da>3:1=vF;3e9~j0da?3:1=vF;3e9~j0da03:1=vF;3e9~j0da13:1=vF;3e9~j0dai3:1=vF;3e9~j0daj3:1=vF;3e9~j0dak3:1=vF;3e9~j0dal3:1=vF;3e9~j0dam3:1=vF;3e9~j0dan3:1=vF;3e9~j0e783:1=vF;3e9~j0e793:1=vF;3e9~j0e7:3:1=vF;3e9~j0e7;3:1=vF;3e9~j0e7<3:1=vF;3e9~j0e7=3:1=vF;3e9~j0e7>3:1=vF;3e9~j0e7?3:1=vF;3e9~j0e703:1=vF;3e9~j0e713:1=vF;3e9~j0e7i3:1=vF;3e9~j0e7j3:1=vF;3e9~j0e7k3:1=vF;3e9~j0e7l3:1=vF;3e9~j0e7m3:1=vF;3e9~j0e7n3:1=vF;3e9~j0e683:1=vF;3e9~j0e693:1=vF;3e9~j0e6:3:1=vF;3e9~j0e6;3:1=vF;3e9~j0e6<3:1=vF;3e9~j0e6=3:1=vF;3e9~j0e6>3:1=vF;3e9~j0e6?3:1=vF;3e9~j0e603:1=vF;3e9~j0e613:1=vF;3e9~j0e6i3:1=vF;3e9~j0e6j3:1=vF;3e9~j0e6k3:1=vF;3e9~j0e6l3:1=vF;3e9~j0e6m3:1=vF;3e9~j0e6n3:1=vF;3e9~j0e583:1=vF;3e9~j0e593:1=vF;3e9~j0e5:3:1=vF;3e9~j0e5;3:1=vF;3e9~j0e5<3:1=vF;3e9~j0e5=3:1=vF;3e9~j0e5>3:1=vF;3e9~j0e5?3:1=vF;3e9~j0e503:1=vF;3e9~j0e513:1=vF;3e9~j0e5i3:1=vF;3e9~j0e5j3:1=vF;3e9~j0e5k3:1=vF;3e9~j0e5l3:1=vF;3e9~j0e5m3:1=vF;3e9~j0e5n3:1=vF;3e9~j0e483:1=vF;3e9~j0e493:1=vF;3e9~j0e4:3:1=vF;3e9~j0e4;3:1=vF;3e9~j0e4<3:1=vF;3e9~j0e4=3:1=vF;3e9~j0e4>3:1=vF;3e9~j0e4?3:1=vF;3e9~j0e403:1=vF;3e9~j0e413:1=vF;3e9~j0e4i3:1=vF;3e9~j0e4j3:1=vF;3e9~j0e4k3:1=vF;3e9~j0e4l3:1=vF;3e9~j0e4m3:1=vF;3e9~j0e4n3:1=vF;3e9~j0e383:1=vF;3e9~j0e393:1=vF;3e9~j0e3:3:1=vF;3e9~j0e3;3:1=vF;3e9~j0e3<3:1=vF;3e9~j0e3=3:1=vF;3e9~j0e3>3:1=vF;3e9~j0e3?3:1=vF;3e9~j0e303:1=vF;3e9~j0e313:1=vF;3e9~j0e3i3:1=vF;3e9~j0e3j3:1=vF;3e9~j0e3k3:1=vF;3e9~j0e3l3:1=vF;3e9~j0e3m3:1=vF;3e9~j0e3n3:1=vF;3e9~j0e283:1=vF;3e9~j0e293:1=vF;3e9~j0e2:3:1=vF;3e9~j0e2;3:1=vF;3e9~j0e2<3:1=vF;3e9~j0e2=3:1=vF;3e9~j0e2>3:1=vF;3e9~j0e2?3:1=vF;3e9~j0e203:1=vF;3e9~j0e213:1=vF;3e9~j0e2i3:1=vF;3e9~j0e2j3:1=vF;3e9~j0e2k3:1=vF;3e9~j0e2l3:1=vF;3e9~j0e2m3:1=vF;3e9~j0e2n3:1=vF;3e9~j0e183:1=vF;3e9~j0e193:1=vF;3e9~j0e1:3:1=vF;3e9~j0e1;3:1=vF;3e9~j0e1<3:1=vF;3e9~j0e1=3:1=vF;3e9~j0e1>3:1=vF;3e9~j0e1?3:1=vF;3e9~j0e103:1=vF;3e9~j0e113:1=vF;3e9~j0e1i3:1=vF;3e9~j0e1j3:1=vF;3e9~j0e1k3:1=vF;3e9~j0e1l3:1=vF;3e9~j0e1m3:1=vF;3e9~j0e1n3:1=vF;3e9~j0e083:1=vF;3e9~j0e093:1=vF;3e9~j0e0:3:1=vF;3e9~j0e0;3:1=vF;3e9~j0e0<3:1=vF;3e9~j0e0=3:1=vF;3e9~j0e0>3:1=vF;3e9~j0e0?3:1=vF;3e9~j0e003:1=vF;3e9~j0e013:1=vF;3e9~j0e0i3:1=vF;3e9~j0e0j3:1=vF;3e9~j0e0k3:1=vF;3e9~j0e0l3:1=vF;3e9~j0e0m3:1=vF;3e9~j0e0n3:1=vF;3e9~j0e?83:1=vF;3e9~j0e?93:1=vF;3e9~j0e?:3:1=vF;3e9~j0e?;3:1=vF;3e9~j0e?<3:1=vF;3e9~j0e?=3:1=vF;3e9~j0e?>3:1=vF;3e9~j0e??3:1=vF;3e9~j0e?03:1=vF;3e9~j0e?13:1=vF;3e9~j0e?i3:1=vF;3e9~j0e?j3:1=vF;3e9~j0e?k3:1=vF;3e9~j0e?l3:1=vF;3e9~j0e?m3:1=vF;3e9~j0e?n3:1=vF;3e9~j0e>83:1=vF;3e9~j0e>93:1=vF;3e9~j0e>:3:1=vF;3e9~j0e>;3:1=vF;3e9~j0e><3:1=vF;3e9~j0e>=3:1=vF;3e9~j0e>>3:1=vF;3e9~j0e>?3:1=vF;3e9~j0e>03:1=vF;3e9~j0e>13:1=vF;3e9~j0e>i3:1=vF;3e9~j0e>j3:1=vF;3e9~j0e>k3:1=vF;3e9~j0e>l3:1=vF;3e9~j0e>m3:1=vF;3e9~j0e>n3:1=vF;3e9~j0ef83:1=vF;3e9~j0ef93:1=vF;3e9~j0ef:3:1=vF;3e9~j0ef;3:1=vF;3e9~j0ef<3:1=vF;3e9~j0ef=3:1=vF;3e9~j0ef>3:1=vF;3e9~j0ef?3:1=vF;3e9~j0ef03:1=vF;3e9~j0ef13:1=vF;3e9~j0efi3:1=vF;3e9~j0efj3:1=vF;3e9~j0efk3:1=vF;3e9~j0efl3:1=vF;3e9~j0efm3:1=vF;3e9~j0efn3:1=vF;3e9~j0ee83:1=vF;3e9~j0ee93:1=vF;3e9~j0ee:3:1=vF;3e9~j0ee;3:1=vF;3e9~j0ee<3:1=vF;3e9~j0ee=3:1=vF;3e9~j0ee>3:1=vF;3e9~j0ee?3:1=vF;3e9~j0ee03:1=vF;3e9~j0ee13:1=vF;3e9~j0eei3:1=vF;3e9~j0eej3:1=vF;3e9~j0eek3:1=vF;3e9~j0eel3:1=vF;3e9~j0eem3:1=vF;3e9~j0een3:1=vF;3e9~j0ed83:1=vF;3e9~j0ed93:1=vF;3e9~j0ed:3:1=vF;3e9~j0ed;3:1=vF;3e9~j0ed<3:1=vF;3e9~j0ed=3:1=vF;3e9~j0ed>3:1=vF;3e9~j0ed?3:1=vF;3e9~j0ed03:1=vF;3e9~j0ed13:1=vF;3e9~j0edi3:1=vF;3e9~j0edj3:1=vF;3e9~j0edk3:1=vF;3e9~j0edl3:1=vF;3e9~j0edm3:1=vF;3e9~j0edn3:1=vF;3e9~j0ec83:1=vF;3e9~j0ec93:1=vF;3e9~j0ec:3:1=vF;3e9~j0ec;3:1=vF;3e9~j0ec<3:1=vF;3e9~j0ec=3:1=vF;3e9~j0ec>3:1=vF;3e9~j0ec?3:1=vF;3e9~j0ec03:1=vF;3e9~j0ec13:1=vF;3e9~j0eci3:1=vF;3e9~j0ecj3:1=vF;3e9~j453j3:1=vF;3e9~j453k3:1=vF;3e9~j453l3:1=vF;3e9~j453m3:1=vF;3e9~j453n3:1=vF;3e9~j45283:1=vF;3e9~j45293:1=vF;3e9~j452:3:1=vF;3e9~j452;3:1=vF;3e9~j452<3:1=vF;3e9~j452=3:1=vF;3e9~j452>3:1=vF;3e9~j452?3:1=vF;3e9~j45203:1=vF;3e9~j45213:1=vF;3e9~j452i3:1=vF;3e9~j452j3:1=vF;3e9~j452k3:1=vF;3e9~j452l3:1=vF;3e9~j452m3:1=vF;3e9~j452n3:1=vF;3e9~j45183:1=vF;3e9~j45193:1=vF;3e9~j451:3:1=vF;3e9~j451;3:1=vF;3e9~j451<3:1=vF;3e9~j451=3:1=vF;3e9~j451>3:1=vF;3e9~j451?3:1=vF;3e9~j45103:1=vF;3e9~j45113:1=vF;3e9~j451i3:1=vF;3e9~j451j3:1=vF;3e9~j451k3:1=vF;3e9~j451l3:1=vF;3e9~j451m3:1=vF;3e9~j451n3:1=vF;3e9~j45083:1=vF;3e9~j45093:1=vF;3e9~j450:3:1=vF;3e9~j450;3:1=vF;3e9~j450<3:1=vF;3e9~j450=3:1=vF;3e9~j450>3:1=vF;3e9~j450?3:1=vF;3e9~j45003:1=vF;3e9~j45013:1=vF;3e9~j450i3:1=vF;3e9~j450j3:1=vF;3e9~j450k3:1=vF;3e9~j77bi3:1=vF;3e9~j77bj3:1=vF;3e9~j77bk3:1=vF;3e9~j77bl3:1=vF;3e9~j77bm3:1=vF;3e9~j77bn3:1=vF;3e9~j77a83:1=vF;3e9~j77a93:1=vF;3e9~j77a:3:1=vF;3e9~j77a;3:1=vF;3e9~j77a<3:1=vF;3e9~j77a=3:1=vF;3e9~j77a>3:1=vF;3e9~j77a?3:1=vF;3e9~j77a03:1=vF;3e9~j77a13:1=vF;3e9~j77ai3:1=vF;3e9~j77aj3:1=vF;3e9~j77ak3:1=vF;3e9~j77al3:1=vF;3e9~j77am3:1=vF;3e9~j77an3:1=vF;3e9~j74783:1=vF;3e9~j74793:1=vF;3e9~j747:3:1=vF;3e9~j747;3:1=vF;3e9~j75cm3:1=vF;3e9~j75cn3:1=vF;3e9~j75b83:1=vF;3e9~j75b93:1=vF;3e9~j75b:3:1=vF;3e9~j75b;3:1=vF;3e9~j75b<3:1=vF;3e9~j75b=3:1=vF;3e9~j75b>3:1=vF;3e9~j75b?3:1=vF;3e9~j75b03:1=vF;3e9~j75b13:1=vF;3e9~j75bi3:1=vF;3e9~j75bj3:1=vF;3e9~j75bk3:1=vF;3e9~j75bl3:1=vF;3e9~j75bm3:1=vF;3e9~j75bn3:1=vF;3e9~j75a83:1=vF;3e9~j75a93:1=vF;3e9~j75a:3:1=vF;3e9~j75a;3:1=vF;3e9~j75a<3:1=vF;3e9~j75a=3:1=vF;3e9~j75a>3:1=vF;3e9~j75a?3:1=vF;3e9~j73c:3:1=vF;3e9~j73c;3:1=vF;3e9~j73c<3:1=vF;3e9~j73c=3:1=vF;3e9~j73c>3:1=vF;3e9~j73c?3:1=vF;3e9~j73c03:1=vF;3e9~j73c13:1=vF;3e9~j73ci3:1=vF;3e9~j73cj3:1=vF;3e9~j73ck3:1=vF;3e9~j73cl3:1=vF;3e9~j73cm3:1=vF;3e9~j73cn3:1=vF;3e9~j73b83:1=vF;3e9~j73b93:1=vF;3e9~j73b:3:1=vF;3e9~j73b;3:1=vF;3e9~j73b<3:1=vF;3e9~j73b=3:1=vF;3e9~j73b>3:1=vF;3e9~j73b?3:1=vF;3e9~j73b03:1=vF;3e9~j73b13:1=vF;3e9~j73bi3:1=vF;3e9~j73bj3:1=vF;3e9~j71d>3:1=vF;3e9~j71d?3:1=vF;3e9~j71d03:1=vF;3e9~j71d13:1=vF;3e9~j71di3:1=vF;3e9~j71dj3:1=vF;3e9~j71dk3:1=vF;3e9~j71dl3:1=vF;3e9~j71dm3:1=vF;3e9~j71dn3:1=vF;3e9~j71c83:1=vF;3e9~j71c93:1=vF;3e9~j71c:3:1=vF;3e9~j71c;3:1=vF;3e9~j71c<3:1=vF;3e9~j71c=3:1=vF;3e9~j71c>3:1=vF;3e9~j71c?3:1=vF;3e9~j71c03:1=vF;3e9~j71c13:1=vF;3e9~j71ci3:1=vF;3e9~j71cj3:1=vF;3e9~j71ck3:1=vF;3e9~j71cl3:1=vF;3e9~j71cm3:1=vF;3e9~j71cn3:1=vF;3e9~j7?ei3:1=vF;3e9~j7?ej3:1=vF;3e9~j7?ek3:1=vF;3e9~j7?el3:1=vF;3e9~j7?em3:1=vF;3e9~j7?en3:1=vF;3e9~j7?d83:1=vF;3e9~j7?d93:1=vF;3e9~j7?d:3:1=vF;3e9~j7?d;3:1=vF;3e9~j7?d<3:1=vF;3e9~j7?d=3:1=vF;3e9~j7?d>3:1=vF;3e9~j7?d?3:1=vF;3e9~j7?d03:1=vF;3e9~j7?d13:1=vF;3e9~j7?di3:1=vF;3e9~j7?dj3:1=vF;3e9~j7?dk3:1=vF;3e9~j7?dl3:1=vF;3e9~j7?dm3:1=vF;3e9~j7?dn3:1=vF;3e9~j7?c83:1=vF;3e9~j7?c93:1=vF;3e9~j7?c:3:1=vF;3e9~j7?c;3:1=vF;3e9~j7dfm3:1=vF;3e9~j7dfn3:1=vF;3e9~j7de83:1=vF;3e9~j7de93:1=vF;3e9~j7de:3:1=vF;3e9~j7de;3:1=vF;3e9~j7de<3:1=vF;3e9~j7de=3:1=vF;3e9~j7de>3:1=vF;3e9~j7de?3:1=vF;3e9~j7de03:1=vF;3e9~j7de13:1=vF;3e9~j7dei3:1=vF;3e9~j7dej3:1=vF;3e9~j7dek3:1=vF;3e9~j7del3:1=vF;3e9~j7dem3:1=vF;3e9~j7den3:1=vF;3e9~j7dd83:1=vF;3e9~j7dd93:1=vF;3e9~j7dd:3:1=vF;3e9~j7dd;3:1=vF;3e9~j7dd<3:1=vF;3e9~j7dd=3:1=vF;3e9~j7dd>3:1=vF;3e9~j7dd?3:1=vF;3e9~j7bf:3:1=vF;3e9~j7bf;3:1=vF;3e9~j7bf<3:1=vF;3e9~j7bf=3:1=vF;3e9~j7bf>3:1=vF;3e9~j7bf?3:1=vF;3e9~j7bf03:1=vF;3e9~j7bf13:1=vF;3e9~j7bfi3:1=vF;3e9~j7bfj3:1=vF;3e9~j7bfk3:1=vF;3e9~j7bfl3:1=vF;3e9~j7bfm3:1=vF;3e9~j7bfn3:1=vF;3e9~j7be83:1=vF;3e9~j7be93:1=vF;3e9~j7be:3:1=vF;3e9~j7be;3:1=vF;3e9~j7be<3:1=vF;3e9~j7be=3:1=vF;3e9~j7be>3:1=vF;3e9~j7be?3:1=vF;3e9~j7be03:1=vF;3e9~j7be13:1=vF;3e9~j7bei3:1=vF;3e9~j7bej3:1=vF;3e9~j7`>>3:1=vF;3e9~j7`>?3:1=vF;3e9~j7`>03:1=vF;3e9~j7`>13:1=vF;3e9~j7`>i3:1=vF;3e9~j7`>j3:1=vF;3e9~j7`>k3:1=vF;3e9~j7`>l3:1=vF;3e9~j7`>m3:1=vF;3e9~j7`>n3:1=vF;3e9~j7`f83:1=vF;3e9~j7`f93:1=vF;3e9~j7`f:3:1=vF;3e9~j7`f;3:1=vF;3e9~j7`f<3:1=vF;3e9~j7`f=3:1=vF;3e9~j7`f>3:1=vF;3e9~j7`f?3:1=vF;3e9~j7`f03:1=vF;3e9~j7`f13:1=vF;3e9~j7`fi3:1=vF;3e9~j7`fj3:1=vF;3e9~j7`fk3:1=vF;3e9~j7`fl3:1=vF;3e9~j7`fm3:1=vF;3e9~j7`fn3:1=vF;3e9~j67?i3:1=vF;3e9~j67?j3:1=vF;3e9~j67?k3:1=vF;3e9~j67?l3:1=vF;3e9~j67?m3:1=vF;3e9~j67?n3:1=vF;3e9~j67>83:1=vF;3e9~j67>93:1=vF;3e9~j67>:3:1=vF;3e9~j67>;3:1=vF;3e9~j67><3:1=vF;3e9~j67>=3:1=vF;3e9~j67>>3:1=vF;3e9~j67>?3:1=vF;3e9~j67>03:1=vF;3e9~j67>13:1=vF;3e9~j67>i3:1=vF;3e9~j67>j3:1=vF;3e9~j67>k3:1=vF;3e9~j67>l3:1=vF;3e9~j67>m3:1=vF;3e9~j67>n3:1=vF;3e9~j67f83:1=vF;3e9~j67f93:1=vF;3e9~j67f:3:1=vF;3e9~j67f;3:1=vF;3e9~j650m3:1=vF;3e9~j650n3:1=vF;3e9~j65?83:1=vF;3e9~j65?93:1=vF;3e9~j65?:3:1=vF;3e9~j65?;3:1=vF;3e9~j65?<3:1=vF;3e9~j65?=3:1=vF;3e9~j65?>3:1=vF;3e9~j65??3:1=vF;3e9~j65?03:1=vF;3e9~j65?13:1=vF;3e9~j65?i3:1=vF;3e9~j65?j3:1=vF;3e9~j65?k3:1=vF;3e9~j65?l3:1=vF;3e9~j65?m3:1=vF;3e9~j65?n3:1=vF;3e9~j65>83:1=vF;3e9~j65>93:1=vF;3e9~j65>:3:1=vF;3e9~j65>;3:1=vF;3e9~j65><3:1=vF;3e9~j65>=3:1=vF;3e9~j65>>3:1=vF;3e9~j65>?3:1=vF;3e9~j630:3:1=vF;3e9~j630;3:1=vF;3e9~j630<3:1=vF;3e9~j630=3:1=vF;3e9~j630>3:1=vF;3e9~j630?3:1=vF;3e9~j63003:1=vF;3e9~j63013:1=vF;3e9~j630i3:1=vF;3e9~j630j3:1=vF;3e9~j630k3:1=vF;3e9~j630l3:1=vF;3e9~j630m3:1=vF;3e9~j630n3:1=vF;3e9~j63?83:1=vF;3e9~j63?93:1=vF;3e9~j63?:3:1=vF;3e9~j63?;3:1=vF;3e9~j63?<3:1=vF;3e9~j63?=3:1=vF;3e9~j63?>3:1=vF;3e9~j63??3:1=vF;3e9~j63?03:1=vF;3e9~j63?13:1=vF;3e9~j63?i3:1=vF;3e9~j63?j3:1=vF;3e9~j611>3:1=vF;3e9~j611?3:1=vF;3e9~j61103:1=vF;3e9~j61113:1=vF;3e9~j611i3:1=vF;3e9~j611j3:1=vF;3e9~j611k3:1=vF;3e9~j611l3:1=vF;3e9~j611m3:1=vF;3e9~j611n3:1=vF;3e9~j61083:1=vF;3e9~j61093:1=vF;3e9~j610:3:1=vF;3e9~j610;3:1=vF;3e9~j610<3:1=vF;3e9~j610=3:1=vF;3e9~j610>3:1=vF;3e9~j610?3:1=vF;3e9~j61003:1=vF;3e9~j61013:1=vF;3e9~j610i3:1=vF;3e9~j610j3:1=vF;3e9~j610k3:1=vF;3e9~j610l3:1=vF;3e9~j610m3:1=vF;3e9~j610n3:1=vF;3e9~j6?ci3:1=vF;3e9~j6?cj3:1=vF;3e9~j6?ck3:1=vF;3e9~j6?cl3:1=vF;3e9~j6?cm3:1=vF;3e9~j6?cn3:1=vF;3e9~j6?b83:1=vF;3e9~j6?b93:1=vF;3e9~j6?b:3:1=vF;3e9~j6?b;3:1=vF;3e9~j6?b<3:1=vF;3e9~j6?b=3:1=vF;3e9~j6?b>3:1=vF;3e9~j6?b?3:1=vF;3e9~j6?b03:1=vF;3e9~j6?b13:1=vF;3e9~j6?bi3:1=vF;3e9~j6?bj3:1=vF;3e9~j6?bk3:1=vF;3e9~j6?bl3:1=vF;3e9~j6?bm3:1=vF;3e9~j6?bn3:1=vF;3e9~j6?a83:1=vF;3e9~j6?a93:1=vF;3e9~j6?a:3:1=vF;3e9~j6?a;3:1=vF;3e9~j6?a<3:1=vF;3e9~j6?a=3:1=vF;3e9~j6?a>3:1=vF;3e9~j6?a?3:1=vF;3e9~j6?a03:1=vF;3e9~j6?a13:1=vF;3e9~j6?ai3:1=vF;3e9~j6?aj3:1=vF;3e9~j6?ak3:1=vF;3e9~j6?al3:1=vF;3e9~j6?am3:1=vF;3e9~j6?an3:1=vF;3e9~j6e2i3:1=vF;3e9~j6e2j3:1=vF;3e9~j6e2k3:1=vF;3e9~j6e2l3:1=vF;3e9~j6e2m3:1=vF;3e9~j6e2n3:1=vF;3e9~j6e183:1=vF;3e9~j6e193:1=vF;3e9~j6e1:3:1=vF;3e9~j6e1;3:1=vF;3e9~j6e1<3:1=vF;3e9~j6e1=3:1=vF;3e9~j6e1>3:1=vF;3e9~j6e1?3:1=vF;3e9~j6e103:1=vF;3e9~j6e113:1=vF;3e9~j6e1i3:1=vF;3e9~j6e1j3:1=vF;3e9~j6e1k3:1=vF;3e9~j6e1l3:1=vF;3e9~j6e1m3:1=vF;3e9~j6e1n3:1=vF;3e9~j6e083:1=vF;3e9~j6e093:1=vF;3e9~j6e0:3:1=vF;3e9~j6e0;3:1=vF;3e9~j6e0<3:1=vF;3e9~j6e0=3:1=vF;3e9~j6e0>3:1=vF;3e9~j6e0?3:1=vF;3e9~j6e003:1=vF;3e9~j6e013:1=vF;3e9~j6e0i3:1=vF;3e9~j6e0j3:1=vF;3e9~j6e0k3:1=vF;3e9~j6e0l3:1=vF;3e9~j6e0m3:1=vF;3e9~j6e0n3:1=vF;3e9~j16fm3:1=vF;3e9~j16fn3:1=vF;3e9~j16e83:1=vF;3e9~j16e93:1=vF;3e9~j16e:3:1=vF;3e9~j16e;3:1=vF;3e9~j16e<3:1=vF;3e9~j16e=3:1=vF;3e9~j16e>3:1=vF;3e9~j16e?3:1=vF;3e9~j16e03:1=vF;3e9~j16e13:1=vF;3e9~j16ei3:1=vF;3e9~j16ej3:1=vF;3e9~j16ek3:1=vF;3e9~j16el3:1=vF;3e9~j16em3:1=vF;3e9~j16en3:1=vF;3e9~j16d83:1=vF;3e9~j16d93:1=vF;3e9~j15d03:1=vF;3e9~j15d13:1=vF;3e9~j15di3:1=vF;3e9~j15dj3:1=vF;3e9~j15dk3:1=vF;3e9~j15dl3:1=vF;3e9~j15dm3:1=vF;3e9~j15dn3:1=vF;3e9~j15c83:1=vF;3e9~j15c93:1=vF;3e9~j15c:3:1=vF;3e9~j15c;3:1=vF;3e9~j15c<3:1=vF;3e9~j15c=3:1=vF;3e9~j15c>3:1=vF;3e9~j15c?3:1=vF;3e9~j15c03:1=vF;3e9~j15c13:1=vF;3e9~j15ci3:1=vF;3e9~j15cj3:1=vF;3e9~yx{GHJq=:no591f4<3c0uIJIw=sO@Qy~DE \ No newline at end of file diff --git a/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.v b/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.v new file mode 100644 index 000000000..e5423434c --- /dev/null +++ b/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.v @@ -0,0 +1,24109 @@ +//////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. +//////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor: Xilinx +// \ \ \/ Version: P.49d +// \ \ Application: netgen +// / / Filename: hbdec2.v +// /___/ /\ Timestamp: Wed Dec 4 13:33:47 2013 +// \ \ / \ +// \___\/\___\ +// +// Command : -intstyle ise -w -sim -ofmt verilog ./tmp/_cg/hbdec2.ngc ./tmp/_cg/hbdec2.v +// Device : 7k325tffg900-2 +// Input file : ./tmp/_cg/hbdec2.ngc +// Output file : ./tmp/_cg/hbdec2.v +// # of Modules : 1 +// Design Name : hbdec2 +// Xilinx : /opt/Xilinx/14.4/ISE_DS/ISE/ +// +// Purpose: +// This verilog netlist is a verification model and uses simulation +// primitives which may not represent the true implementation of the +// device, however the netlist is functionally correct and should not +// be modified. This file cannot be synthesized and should only be used +// with supported simulation tools. +// +// Reference: +// Command Line Tools User Guide, Chapter 23 and Synthesis and Simulation Design Guide, Chapter 6 +// +//////////////////////////////////////////////////////////////////////////////// + +`timescale 1 ns/1 ps + +module hbdec2 ( + sclr, ce, rfd, rdy, data_valid, coef_we, nd, clk, coef_ld, dout_1, dout_2, din_1, din_2, coef_din +)/* synthesis syn_black_box syn_noprune=1 */; + input sclr; + input ce; + output rfd; + output rdy; + output data_valid; + input coef_we; + input nd; + input clk; + input coef_ld; + output [46 : 0] dout_1; + output [46 : 0] dout_2; + input [23 : 0] din_1; + input [23 : 0] din_2; + input [17 : 0] coef_din; + + // synthesis translate_off + + wire NlwRenamedSig_OI_rfd; + wire \blk00000003/sig00000767 ; + wire \blk00000003/sig00000766 ; + wire \blk00000003/sig00000765 ; + wire \blk00000003/sig00000764 ; + wire \blk00000003/sig00000763 ; + wire \blk00000003/sig00000762 ; + wire \blk00000003/sig00000761 ; + wire \blk00000003/sig00000760 ; + wire \blk00000003/sig0000075f ; + wire \blk00000003/sig0000075e ; + wire \blk00000003/sig0000075d ; + wire \blk00000003/sig0000075c ; + wire \blk00000003/sig0000075b ; + wire \blk00000003/sig0000075a ; + wire \blk00000003/sig00000759 ; + wire \blk00000003/sig00000758 ; + wire \blk00000003/sig00000757 ; + wire \blk00000003/sig00000756 ; + wire \blk00000003/sig00000755 ; + wire \blk00000003/sig00000754 ; + wire \blk00000003/sig00000753 ; + wire \blk00000003/sig00000752 ; + wire \blk00000003/sig00000751 ; + wire \blk00000003/sig00000750 ; + wire \blk00000003/sig0000074f ; + wire \blk00000003/sig0000074e ; + wire \blk00000003/sig0000074d ; + wire \blk00000003/sig0000074c ; + wire \blk00000003/sig0000074b ; + wire \blk00000003/sig0000074a ; + wire \blk00000003/sig00000749 ; + wire \blk00000003/sig00000748 ; + wire \blk00000003/sig00000747 ; + wire \blk00000003/sig00000746 ; + wire \blk00000003/sig00000745 ; + wire \blk00000003/sig00000744 ; + wire \blk00000003/sig00000743 ; + wire \blk00000003/sig00000742 ; + wire \blk00000003/sig00000741 ; + wire \blk00000003/sig00000740 ; + wire \blk00000003/sig0000073f ; + wire \blk00000003/sig0000073e ; + wire \blk00000003/sig0000073d ; + wire \blk00000003/sig0000073c ; + wire \blk00000003/sig0000073b ; + wire \blk00000003/sig0000073a ; + wire \blk00000003/sig00000739 ; + wire \blk00000003/sig00000738 ; + wire \blk00000003/sig00000737 ; + wire \blk00000003/sig00000736 ; + wire \blk00000003/sig00000735 ; + wire \blk00000003/sig00000734 ; + wire \blk00000003/sig00000733 ; + wire \blk00000003/sig00000732 ; + wire \blk00000003/sig00000731 ; + wire \blk00000003/sig00000730 ; + wire \blk00000003/sig0000072f ; + wire \blk00000003/sig0000072e ; + wire \blk00000003/sig0000072d ; + wire \blk00000003/sig0000072c ; + wire \blk00000003/sig0000072b ; + wire \blk00000003/sig0000072a ; + wire \blk00000003/sig00000729 ; + wire \blk00000003/sig00000728 ; + wire \blk00000003/sig00000727 ; + wire \blk00000003/sig00000726 ; + wire \blk00000003/sig00000725 ; + wire \blk00000003/sig00000724 ; + wire \blk00000003/sig00000723 ; + wire \blk00000003/sig00000722 ; + wire \blk00000003/sig00000721 ; + wire \blk00000003/sig00000720 ; + wire \blk00000003/sig0000071f ; + wire \blk00000003/sig0000071e ; + wire \blk00000003/sig0000071d ; + wire \blk00000003/sig0000071c ; + wire \blk00000003/sig0000071b ; + wire \blk00000003/sig0000071a ; + wire \blk00000003/sig00000719 ; + wire \blk00000003/sig00000718 ; + wire \blk00000003/sig00000717 ; + wire \blk00000003/sig00000716 ; + wire \blk00000003/sig00000715 ; + wire \blk00000003/sig00000714 ; + wire \blk00000003/sig00000713 ; + wire \blk00000003/sig00000712 ; + wire \blk00000003/sig00000711 ; + wire \blk00000003/sig00000710 ; + wire \blk00000003/sig0000070f ; + wire \blk00000003/sig0000070e ; + wire \blk00000003/sig0000070d ; + wire \blk00000003/sig0000070c ; + wire \blk00000003/sig0000070b ; + wire \blk00000003/sig0000070a ; + wire \blk00000003/sig00000709 ; + wire \blk00000003/sig00000708 ; + wire \blk00000003/sig00000707 ; + wire \blk00000003/sig00000706 ; + wire \blk00000003/sig00000705 ; + wire \blk00000003/sig00000704 ; + wire \blk00000003/sig00000703 ; + wire \blk00000003/sig00000702 ; + wire \blk00000003/sig00000701 ; + wire \blk00000003/sig00000700 ; + wire \blk00000003/sig000006ff ; + wire \blk00000003/sig000006fe ; + wire \blk00000003/sig000006fd ; + wire \blk00000003/sig000006fc ; + wire \blk00000003/sig000006fb ; + wire \blk00000003/sig000006fa ; + wire \blk00000003/sig000006f9 ; + wire \blk00000003/sig000006f8 ; + wire \blk00000003/sig000006f7 ; + wire \blk00000003/sig000006f6 ; + wire \blk00000003/sig000006f5 ; + wire \blk00000003/sig000006f4 ; + wire \blk00000003/sig000006f3 ; + wire \blk00000003/sig000006f2 ; + wire \blk00000003/sig000006f1 ; + wire \blk00000003/sig000006f0 ; + wire \blk00000003/sig000006ef ; + wire \blk00000003/sig000006ee ; + wire \blk00000003/sig000006ed ; + wire \blk00000003/sig000006ec ; + wire \blk00000003/sig000006eb ; + wire \blk00000003/sig000006ea ; + wire \blk00000003/sig000006e9 ; + wire \blk00000003/sig000006e8 ; + wire \blk00000003/sig000006e7 ; + wire \blk00000003/sig000006e6 ; + wire \blk00000003/sig000006e5 ; + wire \blk00000003/sig000006e4 ; + wire \blk00000003/sig000006e3 ; + wire \blk00000003/sig000006e2 ; + wire \blk00000003/sig000006e1 ; + wire \blk00000003/sig000006e0 ; + wire \blk00000003/sig000006df ; + wire \blk00000003/sig000006de ; + wire \blk00000003/sig000006dd ; + wire \blk00000003/sig000006dc ; + wire \blk00000003/sig000006db ; + wire \blk00000003/sig000006da ; + wire \blk00000003/sig000006d9 ; + wire \blk00000003/sig000006d8 ; + wire \blk00000003/sig000006d7 ; + wire \blk00000003/sig000006d6 ; + wire \blk00000003/sig000006d5 ; + wire \blk00000003/sig000006d4 ; + wire \blk00000003/sig000006d3 ; + wire \blk00000003/sig000006d2 ; + wire \blk00000003/sig000006d1 ; + wire \blk00000003/sig000006d0 ; + wire \blk00000003/sig000006cf ; + wire \blk00000003/sig000006ce ; + wire \blk00000003/sig000006cd ; + wire \blk00000003/sig000006cc ; + wire \blk00000003/sig000006cb ; + wire \blk00000003/sig000006ca ; + wire \blk00000003/sig000006c9 ; + wire \blk00000003/sig000006c8 ; + wire \blk00000003/sig000006c7 ; + wire \blk00000003/sig000006c6 ; + wire \blk00000003/sig000006c5 ; + wire \blk00000003/sig000006c4 ; + wire \blk00000003/sig000006c3 ; + wire \blk00000003/sig000006c2 ; + wire \blk00000003/sig000006c1 ; + wire \blk00000003/sig000006c0 ; + wire \blk00000003/sig000006bf ; + wire \blk00000003/sig000006be ; + wire \blk00000003/sig000006bd ; + wire \blk00000003/sig000006bc ; + wire \blk00000003/sig000006bb ; + wire \blk00000003/sig000006ba ; + wire \blk00000003/sig000006b9 ; + wire \blk00000003/sig000006b8 ; + wire \blk00000003/sig000006b7 ; + wire \blk00000003/sig000006b6 ; + wire \blk00000003/sig000006b5 ; + wire \blk00000003/sig000006b4 ; + wire \blk00000003/sig000006b3 ; + wire \blk00000003/sig000006b2 ; + wire \blk00000003/sig000006b1 ; + wire \blk00000003/sig000006b0 ; + wire \blk00000003/sig000006af ; + wire \blk00000003/sig000006ae ; + wire \blk00000003/sig000006ad ; + wire \blk00000003/sig000006ac ; + wire \blk00000003/sig000006ab ; + wire \blk00000003/sig000006aa ; + wire \blk00000003/sig000006a9 ; + wire \blk00000003/sig000006a8 ; + wire \blk00000003/sig000006a7 ; + wire \blk00000003/sig000006a6 ; + wire \blk00000003/sig000006a5 ; + wire \blk00000003/sig000006a4 ; + wire \blk00000003/sig000006a3 ; + wire \blk00000003/sig000006a2 ; + wire \blk00000003/sig000006a1 ; + wire \blk00000003/sig000006a0 ; + wire \blk00000003/sig0000069f ; + wire \blk00000003/sig0000069e ; + wire \blk00000003/sig0000069d ; + wire \blk00000003/sig0000069c ; + wire \blk00000003/sig0000069b ; + wire \blk00000003/sig0000069a ; + wire \blk00000003/sig00000699 ; + wire \blk00000003/sig00000698 ; + wire \blk00000003/sig00000697 ; + wire \blk00000003/sig00000696 ; + wire \blk00000003/sig00000695 ; + wire \blk00000003/sig00000694 ; + wire \blk00000003/sig00000693 ; + wire \blk00000003/sig00000692 ; + wire \blk00000003/sig00000691 ; + wire \blk00000003/sig00000690 ; + wire \blk00000003/sig0000068f ; + wire \blk00000003/sig0000068e ; + wire \blk00000003/sig0000068d ; + wire \blk00000003/sig0000068c ; + wire \blk00000003/sig0000068b ; + wire \blk00000003/sig0000068a ; + wire \blk00000003/sig00000689 ; + wire \blk00000003/sig00000688 ; + wire \blk00000003/sig00000687 ; + wire \blk00000003/sig00000686 ; + wire \blk00000003/sig00000685 ; + wire \blk00000003/sig00000684 ; + wire \blk00000003/sig00000683 ; + wire \blk00000003/sig00000682 ; + wire \blk00000003/sig00000681 ; + wire \blk00000003/sig00000680 ; + wire \blk00000003/sig0000067f ; + wire \blk00000003/sig0000067e ; + wire \blk00000003/sig0000067d ; + wire \blk00000003/sig0000067c ; + wire \blk00000003/sig0000067b ; + wire \blk00000003/sig0000067a ; + wire \blk00000003/sig00000679 ; + wire \blk00000003/sig00000678 ; + wire \blk00000003/sig00000677 ; + wire \blk00000003/sig00000676 ; + wire \blk00000003/sig00000675 ; + wire \blk00000003/sig00000674 ; + wire \blk00000003/sig00000673 ; + wire \blk00000003/sig00000672 ; + wire \blk00000003/sig00000671 ; + wire \blk00000003/sig00000670 ; + wire \blk00000003/sig0000066f ; + wire \blk00000003/sig0000066e ; + wire \blk00000003/sig0000066d ; + wire \blk00000003/sig0000066c ; + wire \blk00000003/sig0000066b ; + wire \blk00000003/sig0000066a ; + wire \blk00000003/sig00000669 ; + wire \blk00000003/sig00000668 ; + wire \blk00000003/sig00000667 ; + wire \blk00000003/sig00000666 ; + wire \blk00000003/sig00000665 ; + wire \blk00000003/sig00000664 ; + wire \blk00000003/sig00000663 ; + wire \blk00000003/sig00000662 ; + wire \blk00000003/sig00000661 ; + wire \blk00000003/sig00000660 ; + wire \blk00000003/sig0000065f ; + wire \blk00000003/sig0000065e ; + wire \blk00000003/sig0000065d ; + wire \blk00000003/sig0000065c ; + wire \blk00000003/sig0000065b ; + wire \blk00000003/sig0000065a ; + wire \blk00000003/sig00000659 ; + wire \blk00000003/sig00000658 ; + wire \blk00000003/sig00000657 ; + wire \blk00000003/sig00000656 ; + wire \blk00000003/sig00000655 ; + wire \blk00000003/sig00000654 ; + wire \blk00000003/sig00000653 ; + wire \blk00000003/sig00000652 ; + wire \blk00000003/sig00000651 ; + wire \blk00000003/sig00000650 ; + wire \blk00000003/sig0000064f ; + wire \blk00000003/sig0000064e ; + wire \blk00000003/sig0000064d ; + wire \blk00000003/sig0000064c ; + wire \blk00000003/sig0000064b ; + wire \blk00000003/sig0000064a ; + wire \blk00000003/sig00000649 ; + wire \blk00000003/sig00000648 ; + wire \blk00000003/sig00000647 ; + wire \blk00000003/sig00000646 ; + wire \blk00000003/sig00000645 ; + wire \blk00000003/sig00000644 ; + wire \blk00000003/sig00000643 ; + wire \blk00000003/sig00000642 ; + wire \blk00000003/sig00000641 ; + wire \blk00000003/sig00000640 ; + wire \blk00000003/sig0000063f ; + wire \blk00000003/sig0000063e ; + wire \blk00000003/sig0000063d ; + wire \blk00000003/sig0000063c ; + wire \blk00000003/sig0000063b ; + wire \blk00000003/sig0000063a ; + wire \blk00000003/sig00000639 ; + wire \blk00000003/sig00000638 ; + wire \blk00000003/sig00000637 ; + wire \blk00000003/sig00000636 ; + wire \blk00000003/sig00000635 ; + wire \blk00000003/sig00000634 ; + wire \blk00000003/sig00000633 ; + wire \blk00000003/sig00000632 ; + wire \blk00000003/sig00000631 ; + wire \blk00000003/sig00000630 ; + wire \blk00000003/sig0000062f ; + wire \blk00000003/sig0000062e ; + wire \blk00000003/sig0000062d ; + wire \blk00000003/sig0000062c ; + wire \blk00000003/sig0000062b ; + wire \blk00000003/sig0000062a ; + wire \blk00000003/sig00000629 ; + wire \blk00000003/sig00000628 ; + wire \blk00000003/sig00000627 ; + wire \blk00000003/sig00000626 ; + wire \blk00000003/sig00000625 ; + wire \blk00000003/sig00000624 ; + wire \blk00000003/sig00000623 ; + wire \blk00000003/sig00000622 ; + wire \blk00000003/sig00000621 ; + wire \blk00000003/sig00000620 ; + wire \blk00000003/sig0000061f ; + wire \blk00000003/sig0000061e ; + wire \blk00000003/sig0000061d ; + wire \blk00000003/sig0000061c ; + wire \blk00000003/sig0000061b ; + wire \blk00000003/sig0000061a ; + wire \blk00000003/sig00000619 ; + wire \blk00000003/sig00000618 ; + wire \blk00000003/sig00000617 ; + wire \blk00000003/sig00000616 ; + wire \blk00000003/sig00000615 ; + wire \blk00000003/sig00000614 ; + wire \blk00000003/sig00000613 ; + wire \blk00000003/sig00000612 ; + wire \blk00000003/sig00000611 ; + wire \blk00000003/sig00000610 ; + wire \blk00000003/sig0000060f ; + wire \blk00000003/sig0000060e ; + wire \blk00000003/sig0000060d ; + wire \blk00000003/sig0000060c ; + wire \blk00000003/sig0000060b ; + wire \blk00000003/sig0000060a ; + wire \blk00000003/sig00000609 ; + wire \blk00000003/sig00000608 ; + wire \blk00000003/sig00000607 ; + wire \blk00000003/sig00000606 ; + wire \blk00000003/sig00000605 ; + wire \blk00000003/sig00000604 ; + wire \blk00000003/sig00000603 ; + wire \blk00000003/sig00000602 ; + wire \blk00000003/sig00000601 ; + wire \blk00000003/sig00000600 ; + wire \blk00000003/sig000005ff ; + wire \blk00000003/sig000005fe ; + wire \blk00000003/sig000005fd ; + wire \blk00000003/sig000005fc ; + wire \blk00000003/sig000005fb ; + wire \blk00000003/sig000005fa ; + wire \blk00000003/sig000005f9 ; + wire \blk00000003/sig000005f8 ; + wire \blk00000003/sig000005f7 ; + wire \blk00000003/sig000005f6 ; + wire \blk00000003/sig000005f5 ; + wire \blk00000003/sig000005f4 ; + wire \blk00000003/sig000005f3 ; + wire \blk00000003/sig000005f2 ; + wire \blk00000003/sig000005f1 ; + wire \blk00000003/sig000005f0 ; + wire \blk00000003/sig000005ef ; + wire \blk00000003/sig000005ee ; + wire \blk00000003/sig000005ed ; + wire \blk00000003/sig000005ec ; + wire \blk00000003/sig000005eb ; + wire \blk00000003/sig000005ea ; + wire \blk00000003/sig000005e9 ; + wire \blk00000003/sig000005e8 ; + wire \blk00000003/sig000005e7 ; + wire \blk00000003/sig000005e6 ; + wire \blk00000003/sig000005e5 ; + wire \blk00000003/sig000005e4 ; + wire \blk00000003/sig000005e3 ; + wire \blk00000003/sig000005e2 ; + wire \blk00000003/sig000005e1 ; + wire \blk00000003/sig000005e0 ; + wire \blk00000003/sig000005df ; + wire \blk00000003/sig000005de ; + wire \blk00000003/sig000005dd ; + wire \blk00000003/sig000005dc ; + wire \blk00000003/sig000005db ; + wire \blk00000003/sig000005da ; + wire \blk00000003/sig000005d9 ; + wire \blk00000003/sig000005d8 ; + wire \blk00000003/sig000005d7 ; + wire \blk00000003/sig000005d6 ; + wire \blk00000003/sig000005d5 ; + wire \blk00000003/sig000005d4 ; + wire \blk00000003/sig000005d3 ; + wire \blk00000003/sig000005d2 ; + wire \blk00000003/sig000005d1 ; + wire \blk00000003/sig000005d0 ; + wire \blk00000003/sig000005cf ; + wire \blk00000003/sig000005ce ; + wire \blk00000003/sig000005cd ; + wire \blk00000003/sig000005cc ; + wire \blk00000003/sig000005cb ; + wire \blk00000003/sig000005ca ; + wire \blk00000003/sig000005c9 ; + wire \blk00000003/sig000005c8 ; + wire \blk00000003/sig000005c7 ; + wire \blk00000003/sig000005c6 ; + wire \blk00000003/sig000005c5 ; + wire \blk00000003/sig000005c4 ; + wire \blk00000003/sig000005c3 ; + wire \blk00000003/sig000005c2 ; + wire \blk00000003/sig000005c1 ; + wire \blk00000003/sig000005c0 ; + wire \blk00000003/sig000005bf ; + wire \blk00000003/sig000005be ; + wire \blk00000003/sig000005bd ; + wire \blk00000003/sig000005bc ; + wire \blk00000003/sig000005bb ; + wire \blk00000003/sig000005ba ; + wire \blk00000003/sig000005b9 ; + wire \blk00000003/sig000005b8 ; + wire \blk00000003/sig000005b7 ; + wire \blk00000003/sig000005b6 ; + wire \blk00000003/sig000005b5 ; + wire \blk00000003/sig000005b4 ; + wire \blk00000003/sig000005b3 ; + wire \blk00000003/sig000005b2 ; + wire \blk00000003/sig000005b1 ; + wire \blk00000003/sig000005b0 ; + wire \blk00000003/sig000005af ; + wire \blk00000003/sig000005ae ; + wire \blk00000003/sig000005ad ; + wire \blk00000003/sig000005ac ; + wire \blk00000003/sig000005ab ; + wire \blk00000003/sig000005aa ; + wire \blk00000003/sig000005a9 ; + wire \blk00000003/sig000005a8 ; + wire \blk00000003/sig000005a7 ; + wire \blk00000003/sig000005a6 ; + wire \blk00000003/sig000005a5 ; + wire \blk00000003/sig000005a4 ; + wire \blk00000003/sig000005a3 ; + wire \blk00000003/sig000005a2 ; + wire \blk00000003/sig000005a1 ; + wire \blk00000003/sig000005a0 ; + wire \blk00000003/sig0000059f ; + wire \blk00000003/sig0000059e ; + wire \blk00000003/sig0000059d ; + wire \blk00000003/sig0000059c ; + wire \blk00000003/sig0000059b ; + wire \blk00000003/sig0000059a ; + wire \blk00000003/sig00000599 ; + wire \blk00000003/sig00000598 ; + wire \blk00000003/sig00000597 ; + wire \blk00000003/sig00000596 ; + wire \blk00000003/sig00000595 ; + wire \blk00000003/sig00000594 ; + wire \blk00000003/sig00000593 ; + wire \blk00000003/sig00000592 ; + wire \blk00000003/sig00000591 ; + wire \blk00000003/sig00000590 ; + wire \blk00000003/sig0000058f ; + wire \blk00000003/sig0000058e ; + wire \blk00000003/sig0000058d ; + wire \blk00000003/sig0000058c ; + wire \blk00000003/sig0000058b ; + wire \blk00000003/sig0000058a ; + wire \blk00000003/sig00000589 ; + wire \blk00000003/sig00000588 ; + wire \blk00000003/sig00000587 ; + wire \blk00000003/sig00000586 ; + wire \blk00000003/sig00000585 ; + wire \blk00000003/sig00000584 ; + wire \blk00000003/sig00000583 ; + wire \blk00000003/sig00000582 ; + wire \blk00000003/sig00000581 ; + wire \blk00000003/sig00000580 ; + wire \blk00000003/sig0000057f ; + wire \blk00000003/sig0000057e ; + wire \blk00000003/sig0000057d ; + wire \blk00000003/sig0000057c ; + wire \blk00000003/sig0000057b ; + wire \blk00000003/sig0000057a ; + wire \blk00000003/sig00000579 ; + wire \blk00000003/sig00000578 ; + wire \blk00000003/sig00000577 ; + wire \blk00000003/sig00000576 ; + wire \blk00000003/sig00000575 ; + wire \blk00000003/sig00000574 ; + wire \blk00000003/sig00000573 ; + wire \blk00000003/sig00000572 ; + wire \blk00000003/sig00000571 ; + wire \blk00000003/sig00000570 ; + wire \blk00000003/sig0000056f ; + wire \blk00000003/sig0000056e ; + wire \blk00000003/sig0000056d ; + wire \blk00000003/sig0000056c ; + wire \blk00000003/sig0000056b ; + wire \blk00000003/sig0000056a ; + wire \blk00000003/sig00000569 ; + wire \blk00000003/sig00000568 ; + wire \blk00000003/sig00000567 ; + wire \blk00000003/sig00000566 ; + wire \blk00000003/sig00000565 ; + wire \blk00000003/sig00000564 ; + wire \blk00000003/sig00000563 ; + wire \blk00000003/sig00000562 ; + wire \blk00000003/sig00000561 ; + wire \blk00000003/sig00000560 ; + wire \blk00000003/sig0000055f ; + wire \blk00000003/sig0000055e ; + wire \blk00000003/sig0000055d ; + wire \blk00000003/sig0000055c ; + wire \blk00000003/sig0000055b ; + wire \blk00000003/sig0000055a ; + wire \blk00000003/sig00000559 ; + wire \blk00000003/sig00000558 ; + wire \blk00000003/sig00000557 ; + wire \blk00000003/sig00000556 ; + wire \blk00000003/sig00000555 ; + wire \blk00000003/sig00000554 ; + wire \blk00000003/sig00000553 ; + wire \blk00000003/sig00000552 ; + wire \blk00000003/sig00000551 ; + wire \blk00000003/sig00000550 ; + wire \blk00000003/sig0000054f ; + wire \blk00000003/sig0000054e ; + wire \blk00000003/sig0000054d ; + wire \blk00000003/sig0000054c ; + wire \blk00000003/sig0000054b ; + wire \blk00000003/sig0000054a ; + wire \blk00000003/sig00000549 ; + wire \blk00000003/sig00000548 ; + wire \blk00000003/sig00000547 ; + wire \blk00000003/sig00000546 ; + wire \blk00000003/sig00000545 ; + wire \blk00000003/sig00000544 ; + wire \blk00000003/sig00000543 ; + wire \blk00000003/sig00000542 ; + wire \blk00000003/sig00000541 ; + wire \blk00000003/sig00000540 ; + wire \blk00000003/sig0000053f ; + wire \blk00000003/sig0000053e ; + wire \blk00000003/sig0000053d ; + wire \blk00000003/sig0000053c ; + wire \blk00000003/sig0000053b ; + wire \blk00000003/sig0000053a ; + wire \blk00000003/sig00000539 ; + wire \blk00000003/sig00000538 ; + wire \blk00000003/sig00000537 ; + wire \blk00000003/sig00000536 ; + wire \blk00000003/sig00000535 ; + wire \blk00000003/sig00000534 ; + wire \blk00000003/sig00000533 ; + wire \blk00000003/sig00000532 ; + wire \blk00000003/sig00000531 ; + wire \blk00000003/sig00000530 ; + wire \blk00000003/sig0000052f ; + wire \blk00000003/sig0000052e ; + wire \blk00000003/sig0000052d ; + wire \blk00000003/sig0000052c ; + wire \blk00000003/sig0000052b ; + wire \blk00000003/sig0000052a ; + wire \blk00000003/sig00000529 ; + wire \blk00000003/sig00000528 ; + wire \blk00000003/sig00000527 ; + wire \blk00000003/sig00000526 ; + wire \blk00000003/sig00000525 ; + wire \blk00000003/sig00000524 ; + wire \blk00000003/sig00000523 ; + wire \blk00000003/sig00000522 ; + wire \blk00000003/sig00000521 ; + wire \blk00000003/sig00000520 ; + wire \blk00000003/sig0000051f ; + wire \blk00000003/sig0000051e ; + wire \blk00000003/sig0000051d ; + wire \blk00000003/sig0000051c ; + wire \blk00000003/sig0000051b ; + wire \blk00000003/sig0000051a ; + wire \blk00000003/sig00000519 ; + wire \blk00000003/sig00000518 ; + wire \blk00000003/sig00000517 ; + wire \blk00000003/sig00000516 ; + wire \blk00000003/sig00000515 ; + wire \blk00000003/sig00000514 ; + wire \blk00000003/sig00000513 ; + wire \blk00000003/sig00000512 ; + wire \blk00000003/sig00000511 ; + wire \blk00000003/sig00000510 ; + wire \blk00000003/sig0000050f ; + wire \blk00000003/sig0000050e ; + wire \blk00000003/sig0000050d ; + wire \blk00000003/sig0000050c ; + wire \blk00000003/sig0000050b ; + wire \blk00000003/sig0000050a ; + wire \blk00000003/sig00000509 ; + wire \blk00000003/sig00000508 ; + wire \blk00000003/sig00000507 ; + wire \blk00000003/sig00000506 ; + wire \blk00000003/sig00000505 ; + wire \blk00000003/sig00000504 ; + wire \blk00000003/sig00000503 ; + wire \blk00000003/sig00000502 ; + wire \blk00000003/sig00000501 ; + wire \blk00000003/sig00000500 ; + wire \blk00000003/sig000004ff ; + wire \blk00000003/sig000004fe ; + wire \blk00000003/sig000004fd ; + wire \blk00000003/sig000004fc ; + wire \blk00000003/sig000004fb ; + wire \blk00000003/sig000004fa ; + wire \blk00000003/sig000004f9 ; + wire \blk00000003/sig000004f8 ; + wire \blk00000003/sig000004f7 ; + wire \blk00000003/sig000004f6 ; + wire \blk00000003/sig000004f5 ; + wire \blk00000003/sig000004f4 ; + wire \blk00000003/sig000004f3 ; + wire \blk00000003/sig000004f2 ; + wire \blk00000003/sig000004f1 ; + wire \blk00000003/sig000004f0 ; + wire \blk00000003/sig000004ef ; + wire \blk00000003/sig000004ee ; + wire \blk00000003/sig000004ed ; + wire \blk00000003/sig000004ec ; + wire \blk00000003/sig000004eb ; + wire \blk00000003/sig000004ea ; + wire \blk00000003/sig000004e9 ; + wire \blk00000003/sig000004e8 ; + wire \blk00000003/sig000004e7 ; + wire \blk00000003/sig000004e6 ; + wire \blk00000003/sig000004e5 ; + wire \blk00000003/sig000004e4 ; + wire \blk00000003/sig000004e3 ; + wire \blk00000003/sig000004e2 ; + wire \blk00000003/sig000004e1 ; + wire \blk00000003/sig000004e0 ; + wire \blk00000003/sig000004df ; + wire \blk00000003/sig000004de ; + wire \blk00000003/sig000004dd ; + wire \blk00000003/sig000004dc ; + wire \blk00000003/sig000004db ; + wire \blk00000003/sig000004da ; + wire \blk00000003/sig000004d9 ; + wire \blk00000003/sig000004d8 ; + wire \blk00000003/sig000004d7 ; + wire \blk00000003/sig000004d6 ; + wire \blk00000003/sig000004d5 ; + wire \blk00000003/sig000004d4 ; + wire \blk00000003/sig000004d3 ; + wire \blk00000003/sig000004d2 ; + wire \blk00000003/sig000004d1 ; + wire \blk00000003/sig000004d0 ; + wire \blk00000003/sig000004cf ; + wire \blk00000003/sig000004ce ; + wire \blk00000003/sig000004cd ; + wire \blk00000003/sig000004cc ; + wire \blk00000003/sig000004cb ; + wire \blk00000003/sig000004ca ; + wire \blk00000003/sig000004c9 ; + wire \blk00000003/sig000004c8 ; + wire \blk00000003/sig000004c7 ; + wire \blk00000003/sig000004c6 ; + wire \blk00000003/sig000004c5 ; + wire \blk00000003/sig000004c4 ; + wire \blk00000003/sig000004c3 ; + wire \blk00000003/sig000004c2 ; + wire \blk00000003/sig000004c1 ; + wire \blk00000003/sig000004c0 ; + wire \blk00000003/sig000004bf ; + wire \blk00000003/sig000004be ; + wire \blk00000003/sig000004bd ; + wire \blk00000003/sig000004bc ; + wire \blk00000003/sig000004bb ; + wire \blk00000003/sig000004ba ; + wire \blk00000003/sig000004b9 ; + wire \blk00000003/sig000004b8 ; + wire \blk00000003/sig000004b7 ; + wire \blk00000003/sig000004b6 ; + wire \blk00000003/sig000004b5 ; + wire \blk00000003/sig000004b4 ; + wire \blk00000003/sig000004b3 ; + wire \blk00000003/sig000004b2 ; + wire \blk00000003/sig000004b1 ; + wire \blk00000003/sig000004b0 ; + wire \blk00000003/sig000004af ; + wire \blk00000003/sig000004ae ; + wire \blk00000003/sig000004ad ; + wire \blk00000003/sig000004ac ; + wire \blk00000003/sig000004ab ; + wire \blk00000003/sig000004aa ; + wire \blk00000003/sig000004a9 ; + wire \blk00000003/sig000004a8 ; + wire \blk00000003/sig000004a7 ; + wire \blk00000003/sig000004a6 ; + wire \blk00000003/sig000004a5 ; + wire \blk00000003/sig000004a4 ; + wire \blk00000003/sig000004a3 ; + wire \blk00000003/sig000004a2 ; + wire \blk00000003/sig000004a1 ; + wire \blk00000003/sig000004a0 ; + wire \blk00000003/sig0000049f ; + wire \blk00000003/sig0000049e ; + wire \blk00000003/sig0000049d ; + wire \blk00000003/sig0000049c ; + wire \blk00000003/sig0000049b ; + wire \blk00000003/sig0000049a ; + wire \blk00000003/sig00000499 ; + wire \blk00000003/sig00000498 ; + wire \blk00000003/sig00000497 ; + wire \blk00000003/sig00000496 ; + wire \blk00000003/sig00000495 ; + wire \blk00000003/sig00000494 ; + wire \blk00000003/sig00000493 ; + wire \blk00000003/sig00000492 ; + wire \blk00000003/sig00000491 ; + wire \blk00000003/sig00000490 ; + wire \blk00000003/sig0000048f ; + wire \blk00000003/sig0000048e ; + wire \blk00000003/sig0000048d ; + wire \blk00000003/sig0000048c ; + wire \blk00000003/sig0000048b ; + wire \blk00000003/sig0000048a ; + wire \blk00000003/sig00000489 ; + wire \blk00000003/sig00000488 ; + wire \blk00000003/sig00000487 ; + wire \blk00000003/sig00000486 ; + wire \blk00000003/sig00000485 ; + wire \blk00000003/sig00000484 ; + wire \blk00000003/sig00000483 ; + wire \blk00000003/sig00000482 ; + wire \blk00000003/sig00000481 ; + wire \blk00000003/sig00000480 ; + wire \blk00000003/sig0000047f ; + wire \blk00000003/sig0000047e ; + wire \blk00000003/sig0000047d ; + wire \blk00000003/sig0000047c ; + wire \blk00000003/sig0000047b ; + wire \blk00000003/sig0000047a ; + wire \blk00000003/sig00000479 ; + wire \blk00000003/sig00000478 ; + wire \blk00000003/sig00000477 ; + wire \blk00000003/sig00000476 ; + wire \blk00000003/sig00000475 ; + wire \blk00000003/sig00000474 ; + wire \blk00000003/sig00000473 ; + wire \blk00000003/sig00000472 ; + wire \blk00000003/sig00000471 ; + wire \blk00000003/sig00000470 ; + wire \blk00000003/sig0000046f ; + wire \blk00000003/sig0000046e ; + wire \blk00000003/sig0000046d ; + wire \blk00000003/sig0000046c ; + wire \blk00000003/sig0000046b ; + wire \blk00000003/sig0000046a ; + wire \blk00000003/sig00000469 ; + wire \blk00000003/sig00000468 ; + wire \blk00000003/sig00000467 ; + wire \blk00000003/sig00000466 ; + wire \blk00000003/sig00000465 ; + wire \blk00000003/sig00000464 ; + wire \blk00000003/sig00000463 ; + wire \blk00000003/sig00000462 ; + wire \blk00000003/sig00000461 ; + wire \blk00000003/sig00000460 ; + wire \blk00000003/sig0000045f ; + wire \blk00000003/sig0000045e ; + wire \blk00000003/sig0000045d ; + wire \blk00000003/sig0000045c ; + wire \blk00000003/sig0000045b ; + wire \blk00000003/sig0000045a ; + wire \blk00000003/sig00000459 ; + wire \blk00000003/sig00000458 ; + wire \blk00000003/sig00000457 ; + wire \blk00000003/sig00000456 ; + wire \blk00000003/sig00000455 ; + wire \blk00000003/sig00000454 ; + wire \blk00000003/sig00000453 ; + wire \blk00000003/sig00000452 ; + wire \blk00000003/sig00000451 ; + wire \blk00000003/sig00000450 ; + wire \blk00000003/sig0000044f ; + wire \blk00000003/sig0000044e ; + wire \blk00000003/sig0000044d ; + wire \blk00000003/sig0000044c ; + wire \blk00000003/sig0000044b ; + wire \blk00000003/sig0000044a ; + wire \blk00000003/sig00000449 ; + wire \blk00000003/sig00000448 ; + wire \blk00000003/sig00000447 ; + wire \blk00000003/sig00000446 ; + wire \blk00000003/sig00000445 ; + wire \blk00000003/sig00000444 ; + wire \blk00000003/sig00000443 ; + wire \blk00000003/sig00000442 ; + wire \blk00000003/sig00000441 ; + wire \blk00000003/sig00000440 ; + wire \blk00000003/sig0000043f ; + wire \blk00000003/sig0000043e ; + wire \blk00000003/sig0000043d ; + wire \blk00000003/sig0000043c ; + wire \blk00000003/sig0000043b ; + wire \blk00000003/sig0000043a ; + wire \blk00000003/sig00000439 ; + wire \blk00000003/sig00000438 ; + wire \blk00000003/sig00000437 ; + wire \blk00000003/sig00000436 ; + wire \blk00000003/sig00000435 ; + wire \blk00000003/sig00000434 ; + wire \blk00000003/sig00000433 ; + wire \blk00000003/sig00000432 ; + wire \blk00000003/sig00000431 ; + wire \blk00000003/sig00000430 ; + wire \blk00000003/sig0000042f ; + wire \blk00000003/sig0000042e ; + wire \blk00000003/sig0000042d ; + wire \blk00000003/sig0000042c ; + wire \blk00000003/sig0000042b ; + wire \blk00000003/sig0000042a ; + wire \blk00000003/sig00000429 ; + wire \blk00000003/sig00000428 ; + wire \blk00000003/sig00000427 ; + wire \blk00000003/sig00000426 ; + wire \blk00000003/sig00000425 ; + wire \blk00000003/sig00000424 ; + wire \blk00000003/sig00000423 ; + wire \blk00000003/sig00000422 ; + wire \blk00000003/sig00000421 ; + wire \blk00000003/sig00000420 ; + wire \blk00000003/sig0000041f ; + wire \blk00000003/sig0000041e ; + wire \blk00000003/sig0000041d ; + wire \blk00000003/sig0000041c ; + wire \blk00000003/sig0000041b ; + wire \blk00000003/sig0000041a ; + wire \blk00000003/sig00000419 ; + wire \blk00000003/sig00000418 ; + wire \blk00000003/sig00000417 ; + wire \blk00000003/sig00000416 ; + wire \blk00000003/sig00000415 ; + wire \blk00000003/sig00000414 ; + wire \blk00000003/sig00000413 ; + wire \blk00000003/sig00000412 ; + wire \blk00000003/sig00000411 ; + wire \blk00000003/sig00000410 ; + wire \blk00000003/sig0000040f ; + wire \blk00000003/sig0000040e ; + wire \blk00000003/sig0000040d ; + wire \blk00000003/sig0000040c ; + wire \blk00000003/sig0000040b ; + wire \blk00000003/sig0000040a ; + wire \blk00000003/sig00000409 ; + wire \blk00000003/sig00000408 ; + wire \blk00000003/sig00000407 ; + wire \blk00000003/sig00000406 ; + wire \blk00000003/sig00000405 ; + wire \blk00000003/sig00000404 ; + wire \blk00000003/sig00000403 ; + wire \blk00000003/sig00000402 ; + wire \blk00000003/sig00000401 ; + wire \blk00000003/sig00000400 ; + wire \blk00000003/sig000003ff ; + wire \blk00000003/sig000003fe ; + wire \blk00000003/sig000003fd ; + wire \blk00000003/sig000003fc ; + wire \blk00000003/sig000003fb ; + wire \blk00000003/sig000003fa ; + wire \blk00000003/sig000003f9 ; + wire \blk00000003/sig000003f8 ; + wire \blk00000003/sig000003f7 ; + wire \blk00000003/sig000003f6 ; + wire \blk00000003/sig000003f5 ; + wire \blk00000003/sig000003f4 ; + wire \blk00000003/sig000003f3 ; + wire \blk00000003/sig000003f2 ; + wire \blk00000003/sig000003f1 ; + wire \blk00000003/sig000003f0 ; + wire \blk00000003/sig000003ef ; + wire \blk00000003/sig000003ee ; + wire \blk00000003/sig000003ed ; + wire \blk00000003/sig000003ec ; + wire \blk00000003/sig000003eb ; + wire \blk00000003/sig000003ea ; + wire \blk00000003/sig000003e9 ; + wire \blk00000003/sig000003e8 ; + wire \blk00000003/sig000003e7 ; + wire \blk00000003/sig000003e6 ; + wire \blk00000003/sig000003e5 ; + wire \blk00000003/sig000003e4 ; + wire \blk00000003/sig000003e3 ; + wire \blk00000003/sig000003e2 ; + wire \blk00000003/sig000003e1 ; + wire \blk00000003/sig000003e0 ; + wire \blk00000003/sig000003df ; + wire \blk00000003/sig000003de ; + wire \blk00000003/sig000003dd ; + wire \blk00000003/sig000003dc ; + wire \blk00000003/sig000003db ; + wire \blk00000003/sig000003da ; + wire \blk00000003/sig000003d9 ; + wire \blk00000003/sig000003d8 ; + wire \blk00000003/sig000003d7 ; + wire \blk00000003/sig000003d6 ; + wire \blk00000003/sig000003d5 ; + wire \blk00000003/sig000003d4 ; + wire \blk00000003/sig000003d3 ; + wire \blk00000003/sig000003d2 ; + wire \blk00000003/sig000003d1 ; + wire \blk00000003/sig000003d0 ; + wire \blk00000003/sig000003cf ; + wire \blk00000003/sig000003ce ; + wire \blk00000003/sig000003cd ; + wire \blk00000003/sig000003cc ; + wire \blk00000003/sig000003cb ; + wire \blk00000003/sig000003ca ; + wire \blk00000003/sig000003c9 ; + wire \blk00000003/sig000003c8 ; + wire \blk00000003/sig000003c7 ; + wire \blk00000003/sig000003c6 ; + wire \blk00000003/sig000003c5 ; + wire \blk00000003/sig000003c4 ; + wire \blk00000003/sig000003c3 ; + wire \blk00000003/sig000003c2 ; + wire \blk00000003/sig000003c1 ; + wire \blk00000003/sig000003c0 ; + wire \blk00000003/sig000003bf ; + wire \blk00000003/sig000003be ; + wire \blk00000003/sig000003bd ; + wire \blk00000003/sig000003bc ; + wire \blk00000003/sig000003bb ; + wire \blk00000003/sig000003ba ; + wire \blk00000003/sig000003b9 ; + wire \blk00000003/sig000003b8 ; + wire \blk00000003/sig000003b7 ; + wire \blk00000003/sig000003b6 ; + wire \blk00000003/sig000003b5 ; + wire \blk00000003/sig000003b4 ; + wire \blk00000003/sig000003b3 ; + wire \blk00000003/sig000003b2 ; + wire \blk00000003/sig000003b1 ; + wire \blk00000003/sig000003b0 ; + wire \blk00000003/sig000003af ; + wire \blk00000003/sig000003ae ; + wire \blk00000003/sig000003ad ; + wire \blk00000003/sig000003ac ; + wire \blk00000003/sig000003ab ; + wire \blk00000003/sig000003aa ; + wire \blk00000003/sig000003a9 ; + wire \blk00000003/sig000003a8 ; + wire \blk00000003/sig000003a7 ; + wire \blk00000003/sig000003a6 ; + wire \blk00000003/sig000003a5 ; + wire \blk00000003/sig000003a4 ; + wire \blk00000003/sig000003a3 ; + wire \blk00000003/sig000003a2 ; + wire \blk00000003/sig000003a1 ; + wire \blk00000003/sig000003a0 ; + wire \blk00000003/sig0000039f ; + wire \blk00000003/sig0000039e ; + wire \blk00000003/sig0000039d ; + wire \blk00000003/sig0000039c ; + wire \blk00000003/sig0000039b ; + wire \blk00000003/sig0000039a ; + wire \blk00000003/sig00000399 ; + wire \blk00000003/sig00000398 ; + wire \blk00000003/sig00000397 ; + wire \blk00000003/sig00000396 ; + wire \blk00000003/sig00000395 ; + wire \blk00000003/sig00000394 ; + wire \blk00000003/sig00000393 ; + wire \blk00000003/sig00000392 ; + wire \blk00000003/sig00000391 ; + wire \blk00000003/sig00000390 ; + wire \blk00000003/sig0000038f ; + wire \blk00000003/sig0000038e ; + wire \blk00000003/sig0000038d ; + wire \blk00000003/sig0000038c ; + wire \blk00000003/sig0000038b ; + wire \blk00000003/sig0000038a ; + wire \blk00000003/sig00000389 ; + wire \blk00000003/sig00000388 ; + wire \blk00000003/sig00000387 ; + wire \blk00000003/sig00000386 ; + wire \blk00000003/sig00000385 ; + wire \blk00000003/sig00000384 ; + wire \blk00000003/sig00000383 ; + wire \blk00000003/sig00000382 ; + wire \blk00000003/sig00000381 ; + wire \blk00000003/sig00000380 ; + wire \blk00000003/sig0000037f ; + wire \blk00000003/sig0000037e ; + wire \blk00000003/sig0000037d ; + wire \blk00000003/sig0000037c ; + wire \blk00000003/sig0000037b ; + wire \blk00000003/sig0000037a ; + wire \blk00000003/sig00000379 ; + wire \blk00000003/sig00000378 ; + wire \blk00000003/sig00000377 ; + wire \blk00000003/sig00000376 ; + wire \blk00000003/sig00000375 ; + wire \blk00000003/sig00000374 ; + wire \blk00000003/sig00000373 ; + wire \blk00000003/sig00000372 ; + wire \blk00000003/sig00000371 ; + wire \blk00000003/sig00000370 ; + wire \blk00000003/sig0000036f ; + wire \blk00000003/sig0000036e ; + wire \blk00000003/sig0000036d ; + wire \blk00000003/sig0000036c ; + wire \blk00000003/sig0000036b ; + wire \blk00000003/sig0000036a ; + wire \blk00000003/sig00000369 ; + wire \blk00000003/sig00000368 ; + wire \blk00000003/sig00000367 ; + wire \blk00000003/sig00000366 ; + wire \blk00000003/sig00000365 ; + wire \blk00000003/sig00000364 ; + wire \blk00000003/sig00000363 ; + wire \blk00000003/sig00000362 ; + wire \blk00000003/sig00000361 ; + wire \blk00000003/sig00000360 ; + wire \blk00000003/sig0000035f ; + wire \blk00000003/sig0000035e ; + wire \blk00000003/sig0000035d ; + wire \blk00000003/sig0000035c ; + wire \blk00000003/sig0000035b ; + wire \blk00000003/sig0000035a ; + wire \blk00000003/sig00000359 ; + wire \blk00000003/sig00000358 ; + wire \blk00000003/sig00000357 ; + wire \blk00000003/sig00000356 ; + wire \blk00000003/sig00000355 ; + wire \blk00000003/sig00000354 ; + wire \blk00000003/sig00000353 ; + wire \blk00000003/sig00000352 ; + wire \blk00000003/sig00000351 ; + wire \blk00000003/sig00000350 ; + wire \blk00000003/sig0000034f ; + wire \blk00000003/sig0000034e ; + wire \blk00000003/sig0000034d ; + wire \blk00000003/sig0000034c ; + wire \blk00000003/sig0000034b ; + wire \blk00000003/sig0000034a ; + wire \blk00000003/sig00000349 ; + wire \blk00000003/sig00000348 ; + wire \blk00000003/sig00000347 ; + wire \blk00000003/sig00000346 ; + wire \blk00000003/sig00000345 ; + wire \blk00000003/sig00000344 ; + wire \blk00000003/sig00000343 ; + wire \blk00000003/sig00000342 ; + wire \blk00000003/sig00000341 ; + wire \blk00000003/sig00000340 ; + wire \blk00000003/sig0000033f ; + wire \blk00000003/sig0000033e ; + wire \blk00000003/sig0000033d ; + wire \blk00000003/sig0000033c ; + wire \blk00000003/sig0000033b ; + wire \blk00000003/sig0000033a ; + wire \blk00000003/sig00000339 ; + wire \blk00000003/sig00000338 ; + wire \blk00000003/sig00000337 ; + wire \blk00000003/sig00000336 ; + wire \blk00000003/sig00000335 ; + wire \blk00000003/sig00000334 ; + wire \blk00000003/sig00000333 ; + wire \blk00000003/sig00000332 ; + wire \blk00000003/sig00000331 ; + wire \blk00000003/sig00000330 ; + wire \blk00000003/sig0000032f ; + wire \blk00000003/sig0000032e ; + wire \blk00000003/sig0000032d ; + wire \blk00000003/sig0000032c ; + wire \blk00000003/sig0000032b ; + wire \blk00000003/sig0000032a ; + wire \blk00000003/sig00000329 ; + wire \blk00000003/sig00000328 ; + wire \blk00000003/sig00000327 ; + wire \blk00000003/sig00000326 ; + wire \blk00000003/sig00000325 ; + wire \blk00000003/sig00000324 ; + wire \blk00000003/sig00000323 ; + wire \blk00000003/sig00000322 ; + wire \blk00000003/sig00000321 ; + wire \blk00000003/sig00000320 ; + wire \blk00000003/sig0000031f ; + wire \blk00000003/sig0000031e ; + wire \blk00000003/sig0000031d ; + wire \blk00000003/sig0000031c ; + wire \blk00000003/sig0000031b ; + wire \blk00000003/sig0000031a ; + wire \blk00000003/sig00000319 ; + wire \blk00000003/sig00000318 ; + wire \blk00000003/sig00000317 ; + wire \blk00000003/sig00000316 ; + wire \blk00000003/sig00000315 ; + wire \blk00000003/sig00000314 ; + wire \blk00000003/sig00000313 ; + wire \blk00000003/sig00000312 ; + wire \blk00000003/sig00000311 ; + wire \blk00000003/sig00000310 ; + wire \blk00000003/sig0000030f ; + wire \blk00000003/sig0000030e ; + wire \blk00000003/sig0000030d ; + wire \blk00000003/sig0000030c ; + wire \blk00000003/sig0000030b ; + wire \blk00000003/sig0000030a ; + wire \blk00000003/sig00000309 ; + wire \blk00000003/sig00000308 ; + wire \blk00000003/sig00000307 ; + wire \blk00000003/sig00000306 ; + wire \blk00000003/sig00000305 ; + wire \blk00000003/sig00000304 ; + wire \blk00000003/sig00000303 ; + wire \blk00000003/sig00000302 ; + wire \blk00000003/sig00000301 ; + wire \blk00000003/sig00000300 ; + wire \blk00000003/sig000002ff ; + wire \blk00000003/sig000002fe ; + wire \blk00000003/sig000002fd ; + wire \blk00000003/sig000002fc ; + wire \blk00000003/sig000002fb ; + wire \blk00000003/sig000002fa ; + wire \blk00000003/sig000002f9 ; + wire \blk00000003/sig000002f8 ; + wire \blk00000003/sig000002f7 ; + wire \blk00000003/sig000002f6 ; + wire \blk00000003/sig000002f5 ; + wire \blk00000003/sig000002f4 ; + wire \blk00000003/sig000002f3 ; + wire \blk00000003/sig000002f2 ; + wire \blk00000003/sig000002f1 ; + wire \blk00000003/sig000002f0 ; + wire \blk00000003/sig000002ef ; + wire \blk00000003/sig000002ee ; + wire \blk00000003/sig000002ed ; + wire \blk00000003/sig000002ec ; + wire \blk00000003/sig000002eb ; + wire \blk00000003/sig000002ea ; + wire \blk00000003/sig000002e9 ; + wire \blk00000003/sig000002e8 ; + wire \blk00000003/sig000002e7 ; + wire \blk00000003/sig000002e6 ; + wire \blk00000003/sig000002e5 ; + wire \blk00000003/sig000002e4 ; + wire \blk00000003/sig000002e3 ; + wire \blk00000003/sig000002e2 ; + wire \blk00000003/sig000002e1 ; + wire \blk00000003/sig000002e0 ; + wire \blk00000003/sig000002df ; + wire \blk00000003/sig000002de ; + wire \blk00000003/sig000002dd ; + wire \blk00000003/sig000002dc ; + wire \blk00000003/sig000002db ; + wire \blk00000003/sig000002da ; + wire \blk00000003/sig000002d9 ; + wire \blk00000003/sig000002d8 ; + wire \blk00000003/sig000002d7 ; + wire \blk00000003/sig000002d6 ; + wire \blk00000003/sig000002d5 ; + wire \blk00000003/sig000002d4 ; + wire \blk00000003/sig000002d3 ; + wire \blk00000003/sig000002d2 ; + wire \blk00000003/sig000002d1 ; + wire \blk00000003/sig000002d0 ; + wire \blk00000003/sig000002cf ; + wire \blk00000003/sig000002ce ; + wire \blk00000003/sig000002cd ; + wire \blk00000003/sig000002cc ; + wire \blk00000003/sig000002cb ; + wire \blk00000003/sig000002ca ; + wire \blk00000003/sig000002c9 ; + wire \blk00000003/sig000002c8 ; + wire \blk00000003/sig000002c7 ; + wire \blk00000003/sig000002c6 ; + wire \blk00000003/sig000002c5 ; + wire \blk00000003/sig000002c4 ; + wire \blk00000003/sig000002c3 ; + wire \blk00000003/sig000002c2 ; + wire \blk00000003/sig000002c1 ; + wire \blk00000003/sig000002c0 ; + wire \blk00000003/sig000002bf ; + wire \blk00000003/sig000002be ; + wire \blk00000003/sig000002bd ; + wire \blk00000003/sig000002bc ; + wire \blk00000003/sig000002bb ; + wire \blk00000003/sig000002ba ; + wire \blk00000003/sig000002b9 ; + wire \blk00000003/sig000002b8 ; + wire \blk00000003/sig000002b7 ; + wire \blk00000003/sig000002b6 ; + wire \blk00000003/sig000002b5 ; + wire \blk00000003/sig000002b4 ; + wire \blk00000003/sig000002b3 ; + wire \blk00000003/sig000002b2 ; + wire \blk00000003/sig000002b1 ; + wire \blk00000003/sig000002b0 ; + wire \blk00000003/sig000002af ; + wire \blk00000003/sig000002ae ; + wire \blk00000003/sig000002ad ; + wire \blk00000003/sig000002ac ; + wire \blk00000003/sig000002ab ; + wire \blk00000003/sig000002aa ; + wire \blk00000003/sig000002a9 ; + wire \blk00000003/sig000002a8 ; + wire \blk00000003/sig000002a7 ; + wire \blk00000003/sig000002a6 ; + wire \blk00000003/sig000002a5 ; + wire \blk00000003/sig000002a4 ; + wire \blk00000003/sig000002a3 ; + wire \blk00000003/sig000002a2 ; + wire \blk00000003/sig000002a1 ; + wire \blk00000003/sig000002a0 ; + wire \blk00000003/sig0000029f ; + wire \blk00000003/sig0000029e ; + wire \blk00000003/sig0000029d ; + wire \blk00000003/sig0000029c ; + wire \blk00000003/sig0000029b ; + wire \blk00000003/sig0000029a ; + wire \blk00000003/sig00000299 ; + wire \blk00000003/sig00000298 ; + wire \blk00000003/sig00000297 ; + wire \blk00000003/sig00000296 ; + wire \blk00000003/sig00000295 ; + wire \blk00000003/sig00000294 ; + wire \blk00000003/sig00000293 ; + wire \blk00000003/sig00000292 ; + wire \blk00000003/sig00000291 ; + wire \blk00000003/sig00000290 ; + wire \blk00000003/sig0000028f ; + wire \blk00000003/sig0000028e ; + wire \blk00000003/sig0000028d ; + wire \blk00000003/sig0000028c ; + wire \blk00000003/sig0000028b ; + wire \blk00000003/sig0000028a ; + wire \blk00000003/sig00000289 ; + wire \blk00000003/sig00000288 ; + wire \blk00000003/sig00000287 ; + wire \blk00000003/sig00000286 ; + wire \blk00000003/sig00000285 ; + wire \blk00000003/sig00000284 ; + wire \blk00000003/sig00000283 ; + wire \blk00000003/sig00000282 ; + wire \blk00000003/sig00000281 ; + wire \blk00000003/sig00000280 ; + wire \blk00000003/sig0000027f ; + wire \blk00000003/sig0000027e ; + wire \blk00000003/sig0000027d ; + wire \blk00000003/sig0000027c ; + wire \blk00000003/sig0000027b ; + wire \blk00000003/sig0000027a ; + wire \blk00000003/sig00000279 ; + wire \blk00000003/sig00000278 ; + wire \blk00000003/sig00000277 ; + wire \blk00000003/sig00000276 ; + wire \blk00000003/sig00000275 ; + wire \blk00000003/sig00000274 ; + wire \blk00000003/sig00000273 ; + wire \blk00000003/sig00000272 ; + wire \blk00000003/sig00000271 ; + wire \blk00000003/sig00000270 ; + wire \blk00000003/sig0000026f ; + wire \blk00000003/sig0000026e ; + wire \blk00000003/sig0000026d ; + wire \blk00000003/sig0000026c ; + wire \blk00000003/sig0000026b ; + wire \blk00000003/sig0000026a ; + wire \blk00000003/sig00000269 ; + wire \blk00000003/sig00000268 ; + wire \blk00000003/sig00000267 ; + wire \blk00000003/sig00000266 ; + wire \blk00000003/sig00000265 ; + wire \blk00000003/sig00000264 ; + wire \blk00000003/sig00000263 ; + wire \blk00000003/sig00000262 ; + wire \blk00000003/sig00000261 ; + wire \blk00000003/sig00000260 ; + wire \blk00000003/sig0000025f ; + wire \blk00000003/sig0000025e ; + wire \blk00000003/sig0000025d ; + wire \blk00000003/sig0000025c ; + wire \blk00000003/sig0000025b ; + wire \blk00000003/sig0000025a ; + wire \blk00000003/sig00000259 ; + wire \blk00000003/sig00000258 ; + wire \blk00000003/sig00000257 ; + wire \blk00000003/sig00000256 ; + wire \blk00000003/sig00000255 ; + wire \blk00000003/sig00000254 ; + wire \blk00000003/sig00000253 ; + wire \blk00000003/sig00000252 ; + wire \blk00000003/sig00000251 ; + wire \blk00000003/sig00000250 ; + wire \blk00000003/sig0000024f ; + wire \blk00000003/sig0000024e ; + wire \blk00000003/sig0000024d ; + wire \blk00000003/sig0000024c ; + wire \blk00000003/sig0000024b ; + wire \blk00000003/sig0000024a ; + wire \blk00000003/sig00000249 ; + wire \blk00000003/sig00000248 ; + wire \blk00000003/sig00000247 ; + wire \blk00000003/sig00000246 ; + wire \blk00000003/sig00000245 ; + wire \blk00000003/sig00000244 ; + wire \blk00000003/sig00000243 ; + wire \blk00000003/sig00000242 ; + wire \blk00000003/sig00000241 ; + wire \blk00000003/sig00000240 ; + wire \blk00000003/sig0000023f ; + wire \blk00000003/sig0000023e ; + wire \blk00000003/sig0000023d ; + wire \blk00000003/sig0000023c ; + wire \blk00000003/sig0000023b ; + wire \blk00000003/sig0000023a ; + wire \blk00000003/sig00000239 ; + wire \blk00000003/sig00000238 ; + wire \blk00000003/sig00000237 ; + wire \blk00000003/sig00000236 ; + wire \blk00000003/sig00000235 ; + wire \blk00000003/sig00000234 ; + wire \blk00000003/sig00000233 ; + wire \blk00000003/sig00000232 ; + wire \blk00000003/sig00000231 ; + wire \blk00000003/sig00000230 ; + wire \blk00000003/sig0000022f ; + wire \blk00000003/sig0000022e ; + wire \blk00000003/sig0000022d ; + wire \blk00000003/sig0000022c ; + wire \blk00000003/sig0000022b ; + wire \blk00000003/sig0000022a ; + wire \blk00000003/sig00000229 ; + wire \blk00000003/sig00000228 ; + wire \blk00000003/sig00000227 ; + wire \blk00000003/sig00000226 ; + wire \blk00000003/sig00000225 ; + wire \blk00000003/sig00000224 ; + wire \blk00000003/sig00000223 ; + wire \blk00000003/sig00000222 ; + wire \blk00000003/sig00000221 ; + wire \blk00000003/sig00000220 ; + wire \blk00000003/sig0000021f ; + wire \blk00000003/sig0000021e ; + wire \blk00000003/sig0000021d ; + wire \blk00000003/sig0000021c ; + wire \blk00000003/sig0000021b ; + wire \blk00000003/sig0000021a ; + wire \blk00000003/sig00000219 ; + wire \blk00000003/sig00000218 ; + wire \blk00000003/sig00000217 ; + wire \blk00000003/sig00000216 ; + wire \blk00000003/sig00000215 ; + wire \blk00000003/sig00000214 ; + wire \blk00000003/sig00000213 ; + wire \blk00000003/sig00000212 ; + wire \blk00000003/sig00000211 ; + wire \blk00000003/sig00000210 ; + wire \blk00000003/sig0000020f ; + wire \blk00000003/sig0000020e ; + wire \blk00000003/sig0000020d ; + wire \blk00000003/sig0000020c ; + wire \blk00000003/sig0000020b ; + wire \blk00000003/sig0000020a ; + wire \blk00000003/sig00000209 ; + wire \blk00000003/sig00000208 ; + wire \blk00000003/sig00000207 ; + wire \blk00000003/sig00000206 ; + wire \blk00000003/sig00000205 ; + wire \blk00000003/sig00000204 ; + wire \blk00000003/sig00000203 ; + wire \blk00000003/sig00000202 ; + wire \blk00000003/sig00000201 ; + wire \blk00000003/sig00000200 ; + wire \blk00000003/sig000001ff ; + wire \blk00000003/sig000001fe ; + wire \blk00000003/sig000001fd ; + wire \blk00000003/sig000001fc ; + wire \blk00000003/sig000001fb ; + wire \blk00000003/sig000001fa ; + wire \blk00000003/sig000001f9 ; + wire \blk00000003/sig000001f8 ; + wire \blk00000003/sig000001f7 ; + wire \blk00000003/sig000001f6 ; + wire \blk00000003/sig000001f5 ; + wire \blk00000003/sig000001f4 ; + wire \blk00000003/sig000001f3 ; + wire \blk00000003/sig000001f2 ; + wire \blk00000003/sig000001f1 ; + wire \blk00000003/sig000001f0 ; + wire \blk00000003/sig000001ef ; + wire \blk00000003/sig000001ee ; + wire \blk00000003/sig000001ed ; + wire \blk00000003/sig000001ec ; + wire \blk00000003/sig000001eb ; + wire \blk00000003/sig000001ea ; + wire \blk00000003/sig000001e9 ; + wire \blk00000003/sig000001e8 ; + wire \blk00000003/sig000001e7 ; + wire \blk00000003/sig000001e6 ; + wire \blk00000003/sig000001e5 ; + wire \blk00000003/sig000001e4 ; + wire \blk00000003/sig000001e3 ; + wire \blk00000003/sig000001e2 ; + wire \blk00000003/sig000001e1 ; + wire \blk00000003/sig000001e0 ; + wire \blk00000003/sig000001df ; + wire \blk00000003/sig000001de ; + wire \blk00000003/sig000001dd ; + wire \blk00000003/sig000001dc ; + wire \blk00000003/sig000001db ; + wire \blk00000003/sig000001da ; + wire \blk00000003/sig000001d9 ; + wire \blk00000003/sig000001d8 ; + wire \blk00000003/sig000001d7 ; + wire \blk00000003/sig000001d6 ; + wire \blk00000003/sig000001d5 ; + wire \blk00000003/sig000001d4 ; + wire \blk00000003/sig000001d3 ; + wire \blk00000003/sig000001d2 ; + wire \blk00000003/sig000001d1 ; + wire \blk00000003/sig000001d0 ; + wire \blk00000003/sig000001cf ; + wire \blk00000003/sig000001ce ; + wire \blk00000003/sig000001cd ; + wire \blk00000003/sig000001cc ; + wire \blk00000003/sig000001cb ; + wire \blk00000003/sig000001ca ; + wire \blk00000003/sig000001c9 ; + wire \blk00000003/sig000001c8 ; + wire \blk00000003/sig000001c7 ; + wire \blk00000003/sig000001c6 ; + wire \blk00000003/sig000001c5 ; + wire \blk00000003/sig000001c4 ; + wire \blk00000003/sig000001c3 ; + wire \blk00000003/sig000001c2 ; + wire \blk00000003/sig000001c1 ; + wire \blk00000003/sig000001c0 ; + wire \blk00000003/sig000001bf ; + wire \blk00000003/sig000001be ; + wire \blk00000003/sig000001bd ; + wire \blk00000003/sig000001bc ; + wire \blk00000003/sig000001bb ; + wire \blk00000003/sig000001ba ; + wire \blk00000003/sig000001b9 ; + wire \blk00000003/sig000001b8 ; + wire \blk00000003/sig000001b7 ; + wire \blk00000003/sig000001b6 ; + wire \blk00000003/sig000001b5 ; + wire \blk00000003/sig000001b4 ; + wire \blk00000003/sig000001b3 ; + wire \blk00000003/sig000001b2 ; + wire \blk00000003/sig000001b1 ; + wire \blk00000003/sig000001b0 ; + wire \blk00000003/sig000001af ; + wire \blk00000003/sig000001ae ; + wire \blk00000003/sig000001ad ; + wire \blk00000003/sig000001ac ; + wire \blk00000003/sig000001ab ; + wire \blk00000003/sig000001aa ; + wire \blk00000003/sig000001a9 ; + wire \blk00000003/sig000001a8 ; + wire \blk00000003/sig000001a7 ; + wire \blk00000003/sig000001a6 ; + wire \blk00000003/sig000001a5 ; + wire \blk00000003/sig000001a4 ; + wire \blk00000003/sig000001a3 ; + wire \blk00000003/sig000001a2 ; + wire \blk00000003/sig000001a1 ; + wire \blk00000003/sig000001a0 ; + wire \blk00000003/sig0000019f ; + wire \blk00000003/sig0000019e ; + wire \blk00000003/sig0000019d ; + wire \blk00000003/sig0000019c ; + wire \blk00000003/sig0000019b ; + wire \blk00000003/sig0000019a ; + wire \blk00000003/sig00000199 ; + wire \blk00000003/sig00000198 ; + wire \blk00000003/sig00000197 ; + wire \blk00000003/sig00000196 ; + wire \blk00000003/sig00000195 ; + wire \blk00000003/sig00000194 ; + wire \blk00000003/sig00000193 ; + wire \blk00000003/sig00000192 ; + wire \blk00000003/sig00000191 ; + wire \blk00000003/sig00000190 ; + wire \blk00000003/sig0000018f ; + wire \blk00000003/sig0000018e ; + wire \blk00000003/sig0000018d ; + wire \blk00000003/sig0000018c ; + wire \blk00000003/sig0000018b ; + wire \blk00000003/sig0000018a ; + wire \blk00000003/sig00000189 ; + wire \blk00000003/sig00000188 ; + wire \blk00000003/sig00000187 ; + wire \blk00000003/sig00000186 ; + wire \blk00000003/sig00000185 ; + wire \blk00000003/sig00000184 ; + wire \blk00000003/sig00000183 ; + wire \blk00000003/sig00000182 ; + wire \blk00000003/sig00000181 ; + wire \blk00000003/sig00000180 ; + wire \blk00000003/sig0000017f ; + wire \blk00000003/sig0000017e ; + wire \blk00000003/sig0000017d ; + wire \blk00000003/sig0000017c ; + wire \blk00000003/sig0000017b ; + wire \blk00000003/sig0000017a ; + wire \blk00000003/sig00000179 ; + wire \blk00000003/sig00000178 ; + wire \blk00000003/sig00000177 ; + wire \blk00000003/sig00000176 ; + wire \blk00000003/sig00000175 ; + wire \blk00000003/sig00000174 ; + wire \blk00000003/sig00000173 ; + wire \blk00000003/sig00000172 ; + wire \blk00000003/sig00000171 ; + wire \blk00000003/sig00000170 ; + wire \blk00000003/sig0000016f ; + wire \blk00000003/sig0000016e ; + wire \blk00000003/sig0000016d ; + wire \blk00000003/sig0000016c ; + wire \blk00000003/sig0000016b ; + wire \blk00000003/sig0000016a ; + wire \blk00000003/sig00000169 ; + wire \blk00000003/sig00000168 ; + wire \blk00000003/sig00000167 ; + wire \blk00000003/sig00000166 ; + wire \blk00000003/sig00000165 ; + wire \blk00000003/sig00000164 ; + wire \blk00000003/sig00000163 ; + wire \blk00000003/sig00000162 ; + wire \blk00000003/sig00000161 ; + wire \blk00000003/sig00000160 ; + wire \blk00000003/sig0000015f ; + wire \blk00000003/sig0000015e ; + wire \blk00000003/sig0000015d ; + wire \blk00000003/sig0000015c ; + wire \blk00000003/sig0000015b ; + wire \blk00000003/sig0000015a ; + wire \blk00000003/sig00000159 ; + wire \blk00000003/sig00000158 ; + wire \blk00000003/sig00000157 ; + wire \blk00000003/sig00000156 ; + wire \blk00000003/sig00000155 ; + wire \blk00000003/sig00000154 ; + wire \blk00000003/sig00000153 ; + wire \blk00000003/sig00000152 ; + wire \blk00000003/sig00000151 ; + wire \blk00000003/sig00000150 ; + wire \blk00000003/sig0000014f ; + wire \blk00000003/sig0000014e ; + wire \blk00000003/sig0000014d ; + wire \blk00000003/sig0000014c ; + wire \blk00000003/sig0000014b ; + wire \blk00000003/sig0000014a ; + wire \blk00000003/sig00000149 ; + wire \blk00000003/sig00000148 ; + wire \blk00000003/sig00000147 ; + wire \blk00000003/sig00000146 ; + wire \blk00000003/sig00000145 ; + wire \blk00000003/sig00000144 ; + wire \blk00000003/sig00000143 ; + wire \blk00000003/sig00000142 ; + wire \blk00000003/sig00000141 ; + wire \blk00000003/sig00000140 ; + wire \blk00000003/sig0000013f ; + wire \blk00000003/sig0000013e ; + wire \blk00000003/sig0000013d ; + wire \blk00000003/sig0000013c ; + wire \blk00000003/sig0000013b ; + wire \blk00000003/sig0000013a ; + wire \blk00000003/sig00000139 ; + wire \blk00000003/sig00000138 ; + wire \blk00000003/sig00000137 ; + wire \blk00000003/sig00000136 ; + wire \blk00000003/sig00000135 ; + wire \blk00000003/sig00000134 ; + wire \blk00000003/sig00000133 ; + wire \blk00000003/sig00000132 ; + wire \blk00000003/sig00000131 ; + wire \blk00000003/sig00000130 ; + wire \blk00000003/sig0000012f ; + wire \blk00000003/sig0000012e ; + wire \blk00000003/sig0000012d ; + wire \blk00000003/sig0000012c ; + wire \blk00000003/sig0000012b ; + wire \blk00000003/sig0000012a ; + wire \blk00000003/sig00000129 ; + wire \blk00000003/sig00000128 ; + wire \blk00000003/sig00000127 ; + wire \blk00000003/sig00000126 ; + wire \blk00000003/sig00000125 ; + wire \blk00000003/sig00000124 ; + wire \blk00000003/sig00000123 ; + wire \blk00000003/sig00000122 ; + wire \blk00000003/sig00000121 ; + wire \blk00000003/sig00000120 ; + wire \blk00000003/sig0000011f ; + wire \blk00000003/sig0000011e ; + wire \blk00000003/sig0000011d ; + wire \blk00000003/sig0000011c ; + wire \blk00000003/sig0000011b ; + wire \blk00000003/sig0000011a ; + wire \blk00000003/sig00000119 ; + wire \blk00000003/sig00000118 ; + wire \blk00000003/sig00000117 ; + wire \blk00000003/sig00000116 ; + wire \blk00000003/sig00000115 ; + wire \blk00000003/sig00000114 ; + wire \blk00000003/sig00000113 ; + wire \blk00000003/sig00000112 ; + wire \blk00000003/sig00000111 ; + wire \blk00000003/sig00000110 ; + wire \blk00000003/sig0000010f ; + wire \blk00000003/sig0000010e ; + wire \blk00000003/sig0000010d ; + wire \blk00000003/sig0000010c ; + wire \blk00000003/sig0000010b ; + wire \blk00000003/sig0000010a ; + wire \blk00000003/sig00000109 ; + wire \blk00000003/sig00000108 ; + wire \blk00000003/sig00000107 ; + wire \blk00000003/sig00000106 ; + wire \blk00000003/sig00000105 ; + wire \blk00000003/sig00000104 ; + wire \blk00000003/sig00000103 ; + wire \blk00000003/sig00000102 ; + wire \blk00000003/sig00000101 ; + wire \blk00000003/sig00000100 ; + wire \blk00000003/sig000000ff ; + wire \blk00000003/sig000000fe ; + wire \blk00000003/sig000000fd ; + wire \blk00000003/sig000000fc ; + wire \blk00000003/sig000000fb ; + wire \blk00000003/sig000000fa ; + wire \blk00000003/sig000000f9 ; + wire \blk00000003/sig000000f8 ; + wire \blk00000003/sig000000f7 ; + wire \blk00000003/sig000000f6 ; + wire \blk00000003/sig000000f5 ; + wire \blk00000003/sig000000f4 ; + wire \blk00000003/sig000000f3 ; + wire \blk00000003/sig000000f2 ; + wire \blk00000003/sig000000f1 ; + wire \blk00000003/sig000000f0 ; + wire \blk00000003/sig000000ef ; + wire \blk00000003/sig000000ee ; + wire \blk00000003/sig000000ed ; + wire \blk00000003/sig000000ec ; + wire \blk00000003/sig000000eb ; + wire \blk00000003/sig000000ea ; + wire \blk00000003/sig000000e9 ; + wire \blk00000003/sig000000e8 ; + wire \blk00000003/sig000000e7 ; + wire \blk00000003/sig000000e6 ; + wire \blk00000003/sig000000e5 ; + wire \blk00000003/sig000000e4 ; + wire \blk00000003/sig000000e3 ; + wire \blk00000003/sig000000e2 ; + wire \blk00000003/sig000000e1 ; + wire \blk00000003/sig000000e0 ; + wire \blk00000003/sig000000df ; + wire \blk00000003/sig000000de ; + wire \blk00000003/sig000000dd ; + wire \blk00000003/sig000000dc ; + wire \blk00000003/sig000000db ; + wire \blk00000003/sig000000da ; + wire \blk00000003/sig000000d9 ; + wire \blk00000003/sig000000d8 ; + wire \blk00000003/sig000000d7 ; + wire \blk00000003/sig000000d6 ; + wire \blk00000003/sig000000d5 ; + wire \blk00000003/sig000000d4 ; + wire \blk00000003/sig000000d3 ; + wire \blk00000003/sig000000d2 ; + wire \blk00000003/sig000000d1 ; + wire \blk00000003/sig000000d0 ; + wire \blk00000003/sig000000cf ; + wire \blk00000003/sig000000ce ; + wire \blk00000003/sig000000cd ; + wire \blk00000003/sig000000cc ; + wire \blk00000003/sig000000cb ; + wire \blk00000003/sig000000ca ; + wire \blk00000003/sig000000c9 ; + wire \blk00000003/sig000000c8 ; + wire \blk00000003/sig000000c7 ; + wire \blk00000003/sig000000c6 ; + wire \blk00000003/sig000000c5 ; + wire \blk00000003/sig000000c4 ; + wire \blk00000003/sig000000c3 ; + wire \blk00000003/sig000000c2 ; + wire \blk00000003/sig000000c1 ; + wire \blk00000003/sig000000c0 ; + wire \blk00000003/sig000000bf ; + wire \blk00000003/sig000000be ; + wire \blk00000003/sig000000bd ; + wire \blk00000003/sig000000bc ; + wire \blk00000003/sig000000bb ; + wire \blk00000003/sig000000ba ; + wire \blk00000003/sig000000b9 ; + wire \blk00000003/sig000000b8 ; + wire \blk00000003/sig000000b7 ; + wire \blk00000003/sig000000b6 ; + wire \blk00000003/sig000000b5 ; + wire \blk00000003/sig000000b4 ; + wire \blk00000003/sig000000b3 ; + wire \blk00000003/sig000000b2 ; + wire \blk00000003/sig000000b1 ; + wire \blk00000003/sig000000b0 ; + wire \blk00000003/sig000000af ; + wire \blk00000003/sig000000ae ; + wire \blk00000003/sig000000ad ; + wire \blk00000003/sig000000ac ; + wire \blk00000003/sig0000004a ; + wire \blk00000003/sig00000049 ; + wire \blk00000003/blk0000002b/sig00000800 ; + wire \blk00000003/blk0000002b/sig000007ff ; + wire \blk00000003/blk0000002b/sig000007fe ; + wire \blk00000003/blk0000002b/sig000007fd ; + wire \blk00000003/blk0000002b/sig000007fc ; + wire \blk00000003/blk0000002b/sig000007fb ; + wire \blk00000003/blk0000002b/sig000007fa ; + wire \blk00000003/blk0000002b/sig000007f9 ; + wire \blk00000003/blk0000002b/sig000007f8 ; + wire \blk00000003/blk0000002b/sig000007f7 ; + wire \blk00000003/blk0000002b/sig000007f6 ; + wire \blk00000003/blk0000002b/sig000007f5 ; + wire \blk00000003/blk0000002b/sig000007f4 ; + wire \blk00000003/blk0000002b/sig000007f3 ; + wire \blk00000003/blk0000002b/sig000007f2 ; + wire \blk00000003/blk0000002b/sig000007f1 ; + wire \blk00000003/blk0000002b/sig000007f0 ; + wire \blk00000003/blk0000002b/sig000007ef ; + wire \blk00000003/blk0000002b/sig000007ee ; + wire \blk00000003/blk0000002b/sig000007ed ; + wire \blk00000003/blk0000002b/sig000007ec ; + wire \blk00000003/blk0000002b/sig000007eb ; + wire \blk00000003/blk0000002b/sig000007ea ; + wire \blk00000003/blk0000002b/sig000007e9 ; + wire \blk00000003/blk0000002b/sig000007e8 ; + wire \blk00000003/blk0000002b/sig000007e7 ; + wire \blk00000003/blk0000002b/sig000007e6 ; + wire \blk00000003/blk0000002b/sig000007e5 ; + wire \blk00000003/blk0000002b/sig000007e4 ; + wire \blk00000003/blk0000002b/sig000007e3 ; + wire \blk00000003/blk0000002b/sig000007e2 ; + wire \blk00000003/blk0000002b/sig000007e1 ; + wire \blk00000003/blk0000002b/sig000007e0 ; + wire \blk00000003/blk0000002b/sig000007df ; + wire \blk00000003/blk0000002b/sig000007de ; + wire \blk00000003/blk0000002b/sig000007dd ; + wire \blk00000003/blk0000002b/sig000007dc ; + wire \blk00000003/blk0000002b/sig000007db ; + wire \blk00000003/blk0000002b/sig000007da ; + wire \blk00000003/blk0000002b/sig000007d9 ; + wire \blk00000003/blk0000002b/sig000007d8 ; + wire \blk00000003/blk0000002b/sig000007d7 ; + wire \blk00000003/blk0000002b/sig000007d6 ; + wire \blk00000003/blk0000002b/sig000007d5 ; + wire \blk00000003/blk0000002b/sig000007d4 ; + wire \blk00000003/blk0000002b/sig000007d3 ; + wire \blk00000003/blk0000002b/sig000007d2 ; + wire \blk00000003/blk0000002b/sig000007d1 ; + wire \blk00000003/blk0000002b/sig000007d0 ; + wire \blk00000003/blk0000002b/sig000007cf ; + wire \blk00000003/blk00000117/sig0000084f ; + wire \blk00000003/blk00000117/sig0000084e ; + wire \blk00000003/blk00000117/sig0000084d ; + wire \blk00000003/blk00000117/sig0000084c ; + wire \blk00000003/blk00000117/sig0000084b ; + wire \blk00000003/blk00000117/sig0000084a ; + wire \blk00000003/blk00000117/sig00000849 ; + wire \blk00000003/blk00000117/sig00000848 ; + wire \blk00000003/blk00000117/sig00000847 ; + wire \blk00000003/blk00000117/sig00000846 ; + wire \blk00000003/blk00000117/sig00000845 ; + wire \blk00000003/blk00000117/sig00000844 ; + wire \blk00000003/blk00000117/sig00000843 ; + wire \blk00000003/blk00000117/sig00000842 ; + wire \blk00000003/blk00000117/sig00000841 ; + wire \blk00000003/blk00000117/sig00000840 ; + wire \blk00000003/blk00000117/sig0000083f ; + wire \blk00000003/blk00000117/sig0000083e ; + wire \blk00000003/blk00000117/sig0000083d ; + wire \blk00000003/blk00000117/sig0000083c ; + wire \blk00000003/blk00000117/sig0000083b ; + wire \blk00000003/blk00000117/sig0000083a ; + wire \blk00000003/blk00000117/sig00000839 ; + wire \blk00000003/blk00000117/sig00000838 ; + wire \blk00000003/blk00000117/sig00000837 ; + wire \blk00000003/blk00000117/sig00000836 ; + wire \blk00000003/blk0000014a/sig0000089e ; + wire \blk00000003/blk0000014a/sig0000089d ; + wire \blk00000003/blk0000014a/sig0000089c ; + wire \blk00000003/blk0000014a/sig0000089b ; + wire \blk00000003/blk0000014a/sig0000089a ; + wire \blk00000003/blk0000014a/sig00000899 ; + wire \blk00000003/blk0000014a/sig00000898 ; + wire \blk00000003/blk0000014a/sig00000897 ; + wire \blk00000003/blk0000014a/sig00000896 ; + wire \blk00000003/blk0000014a/sig00000895 ; + wire \blk00000003/blk0000014a/sig00000894 ; + wire \blk00000003/blk0000014a/sig00000893 ; + wire \blk00000003/blk0000014a/sig00000892 ; + wire \blk00000003/blk0000014a/sig00000891 ; + wire \blk00000003/blk0000014a/sig00000890 ; + wire \blk00000003/blk0000014a/sig0000088f ; + wire \blk00000003/blk0000014a/sig0000088e ; + wire \blk00000003/blk0000014a/sig0000088d ; + wire \blk00000003/blk0000014a/sig0000088c ; + wire \blk00000003/blk0000014a/sig0000088b ; + wire \blk00000003/blk0000014a/sig0000088a ; + wire \blk00000003/blk0000014a/sig00000889 ; + wire \blk00000003/blk0000014a/sig00000888 ; + wire \blk00000003/blk0000014a/sig00000887 ; + wire \blk00000003/blk0000014a/sig00000886 ; + wire \blk00000003/blk0000014a/sig00000885 ; + wire \blk00000003/blk0000017d/sig000008ed ; + wire \blk00000003/blk0000017d/sig000008ec ; + wire \blk00000003/blk0000017d/sig000008eb ; + wire \blk00000003/blk0000017d/sig000008ea ; + wire \blk00000003/blk0000017d/sig000008e9 ; + wire \blk00000003/blk0000017d/sig000008e8 ; + wire \blk00000003/blk0000017d/sig000008e7 ; + wire \blk00000003/blk0000017d/sig000008e6 ; + wire \blk00000003/blk0000017d/sig000008e5 ; + wire \blk00000003/blk0000017d/sig000008e4 ; + wire \blk00000003/blk0000017d/sig000008e3 ; + wire \blk00000003/blk0000017d/sig000008e2 ; + wire \blk00000003/blk0000017d/sig000008e1 ; + wire \blk00000003/blk0000017d/sig000008e0 ; + wire \blk00000003/blk0000017d/sig000008df ; + wire \blk00000003/blk0000017d/sig000008de ; + wire \blk00000003/blk0000017d/sig000008dd ; + wire \blk00000003/blk0000017d/sig000008dc ; + wire \blk00000003/blk0000017d/sig000008db ; + wire \blk00000003/blk0000017d/sig000008da ; + wire \blk00000003/blk0000017d/sig000008d9 ; + wire \blk00000003/blk0000017d/sig000008d8 ; + wire \blk00000003/blk0000017d/sig000008d7 ; + wire \blk00000003/blk0000017d/sig000008d6 ; + wire \blk00000003/blk0000017d/sig000008d5 ; + wire \blk00000003/blk0000017d/sig000008d4 ; + wire \blk00000003/blk000001b0/sig0000093c ; + wire \blk00000003/blk000001b0/sig0000093b ; + wire \blk00000003/blk000001b0/sig0000093a ; + wire \blk00000003/blk000001b0/sig00000939 ; + wire \blk00000003/blk000001b0/sig00000938 ; + wire \blk00000003/blk000001b0/sig00000937 ; + wire \blk00000003/blk000001b0/sig00000936 ; + wire \blk00000003/blk000001b0/sig00000935 ; + wire \blk00000003/blk000001b0/sig00000934 ; + wire \blk00000003/blk000001b0/sig00000933 ; + wire \blk00000003/blk000001b0/sig00000932 ; + wire \blk00000003/blk000001b0/sig00000931 ; + wire \blk00000003/blk000001b0/sig00000930 ; + wire \blk00000003/blk000001b0/sig0000092f ; + wire \blk00000003/blk000001b0/sig0000092e ; + wire \blk00000003/blk000001b0/sig0000092d ; + wire \blk00000003/blk000001b0/sig0000092c ; + wire \blk00000003/blk000001b0/sig0000092b ; + wire \blk00000003/blk000001b0/sig0000092a ; + wire \blk00000003/blk000001b0/sig00000929 ; + wire \blk00000003/blk000001b0/sig00000928 ; + wire \blk00000003/blk000001b0/sig00000927 ; + wire \blk00000003/blk000001b0/sig00000926 ; + wire \blk00000003/blk000001b0/sig00000925 ; + wire \blk00000003/blk000001b0/sig00000924 ; + wire \blk00000003/blk000001b0/sig00000923 ; + wire \blk00000003/blk000001e3/sig0000098b ; + wire \blk00000003/blk000001e3/sig0000098a ; + wire \blk00000003/blk000001e3/sig00000989 ; + wire \blk00000003/blk000001e3/sig00000988 ; + wire \blk00000003/blk000001e3/sig00000987 ; + wire \blk00000003/blk000001e3/sig00000986 ; + wire \blk00000003/blk000001e3/sig00000985 ; + wire \blk00000003/blk000001e3/sig00000984 ; + wire \blk00000003/blk000001e3/sig00000983 ; + wire \blk00000003/blk000001e3/sig00000982 ; + wire \blk00000003/blk000001e3/sig00000981 ; + wire \blk00000003/blk000001e3/sig00000980 ; + wire \blk00000003/blk000001e3/sig0000097f ; + wire \blk00000003/blk000001e3/sig0000097e ; + wire \blk00000003/blk000001e3/sig0000097d ; + wire \blk00000003/blk000001e3/sig0000097c ; + wire \blk00000003/blk000001e3/sig0000097b ; + wire \blk00000003/blk000001e3/sig0000097a ; + wire \blk00000003/blk000001e3/sig00000979 ; + wire \blk00000003/blk000001e3/sig00000978 ; + wire \blk00000003/blk000001e3/sig00000977 ; + wire \blk00000003/blk000001e3/sig00000976 ; + wire \blk00000003/blk000001e3/sig00000975 ; + wire \blk00000003/blk000001e3/sig00000974 ; + wire \blk00000003/blk000001e3/sig00000973 ; + wire \blk00000003/blk000001e3/sig00000972 ; + wire \blk00000003/blk00000216/sig000009da ; + wire \blk00000003/blk00000216/sig000009d9 ; + wire \blk00000003/blk00000216/sig000009d8 ; + wire \blk00000003/blk00000216/sig000009d7 ; + wire \blk00000003/blk00000216/sig000009d6 ; + wire \blk00000003/blk00000216/sig000009d5 ; + wire \blk00000003/blk00000216/sig000009d4 ; + wire \blk00000003/blk00000216/sig000009d3 ; + wire \blk00000003/blk00000216/sig000009d2 ; + wire \blk00000003/blk00000216/sig000009d1 ; + wire \blk00000003/blk00000216/sig000009d0 ; + wire \blk00000003/blk00000216/sig000009cf ; + wire \blk00000003/blk00000216/sig000009ce ; + wire \blk00000003/blk00000216/sig000009cd ; + wire \blk00000003/blk00000216/sig000009cc ; + wire \blk00000003/blk00000216/sig000009cb ; + wire \blk00000003/blk00000216/sig000009ca ; + wire \blk00000003/blk00000216/sig000009c9 ; + wire \blk00000003/blk00000216/sig000009c8 ; + wire \blk00000003/blk00000216/sig000009c7 ; + wire \blk00000003/blk00000216/sig000009c6 ; + wire \blk00000003/blk00000216/sig000009c5 ; + wire \blk00000003/blk00000216/sig000009c4 ; + wire \blk00000003/blk00000216/sig000009c3 ; + wire \blk00000003/blk00000216/sig000009c2 ; + wire \blk00000003/blk00000216/sig000009c1 ; + wire \blk00000003/blk00000249/sig00000a29 ; + wire \blk00000003/blk00000249/sig00000a28 ; + wire \blk00000003/blk00000249/sig00000a27 ; + wire \blk00000003/blk00000249/sig00000a26 ; + wire \blk00000003/blk00000249/sig00000a25 ; + wire \blk00000003/blk00000249/sig00000a24 ; + wire \blk00000003/blk00000249/sig00000a23 ; + wire \blk00000003/blk00000249/sig00000a22 ; + wire \blk00000003/blk00000249/sig00000a21 ; + wire \blk00000003/blk00000249/sig00000a20 ; + wire \blk00000003/blk00000249/sig00000a1f ; + wire \blk00000003/blk00000249/sig00000a1e ; + wire \blk00000003/blk00000249/sig00000a1d ; + wire \blk00000003/blk00000249/sig00000a1c ; + wire \blk00000003/blk00000249/sig00000a1b ; + wire \blk00000003/blk00000249/sig00000a1a ; + wire \blk00000003/blk00000249/sig00000a19 ; + wire \blk00000003/blk00000249/sig00000a18 ; + wire \blk00000003/blk00000249/sig00000a17 ; + wire \blk00000003/blk00000249/sig00000a16 ; + wire \blk00000003/blk00000249/sig00000a15 ; + wire \blk00000003/blk00000249/sig00000a14 ; + wire \blk00000003/blk00000249/sig00000a13 ; + wire \blk00000003/blk00000249/sig00000a12 ; + wire \blk00000003/blk00000249/sig00000a11 ; + wire \blk00000003/blk00000249/sig00000a10 ; + wire \blk00000003/blk0000027c/sig00000a78 ; + wire \blk00000003/blk0000027c/sig00000a77 ; + wire \blk00000003/blk0000027c/sig00000a76 ; + wire \blk00000003/blk0000027c/sig00000a75 ; + wire \blk00000003/blk0000027c/sig00000a74 ; + wire \blk00000003/blk0000027c/sig00000a73 ; + wire \blk00000003/blk0000027c/sig00000a72 ; + wire \blk00000003/blk0000027c/sig00000a71 ; + wire \blk00000003/blk0000027c/sig00000a70 ; + wire \blk00000003/blk0000027c/sig00000a6f ; + wire \blk00000003/blk0000027c/sig00000a6e ; + wire \blk00000003/blk0000027c/sig00000a6d ; + wire \blk00000003/blk0000027c/sig00000a6c ; + wire \blk00000003/blk0000027c/sig00000a6b ; + wire \blk00000003/blk0000027c/sig00000a6a ; + wire \blk00000003/blk0000027c/sig00000a69 ; + wire \blk00000003/blk0000027c/sig00000a68 ; + wire \blk00000003/blk0000027c/sig00000a67 ; + wire \blk00000003/blk0000027c/sig00000a66 ; + wire \blk00000003/blk0000027c/sig00000a65 ; + wire \blk00000003/blk0000027c/sig00000a64 ; + wire \blk00000003/blk0000027c/sig00000a63 ; + wire \blk00000003/blk0000027c/sig00000a62 ; + wire \blk00000003/blk0000027c/sig00000a61 ; + wire \blk00000003/blk0000027c/sig00000a60 ; + wire \blk00000003/blk0000027c/sig00000a5f ; + wire \blk00000003/blk000002af/sig00000ac7 ; + wire \blk00000003/blk000002af/sig00000ac6 ; + wire \blk00000003/blk000002af/sig00000ac5 ; + wire \blk00000003/blk000002af/sig00000ac4 ; + wire \blk00000003/blk000002af/sig00000ac3 ; + wire \blk00000003/blk000002af/sig00000ac2 ; + wire \blk00000003/blk000002af/sig00000ac1 ; + wire \blk00000003/blk000002af/sig00000ac0 ; + wire \blk00000003/blk000002af/sig00000abf ; + wire \blk00000003/blk000002af/sig00000abe ; + wire \blk00000003/blk000002af/sig00000abd ; + wire \blk00000003/blk000002af/sig00000abc ; + wire \blk00000003/blk000002af/sig00000abb ; + wire \blk00000003/blk000002af/sig00000aba ; + wire \blk00000003/blk000002af/sig00000ab9 ; + wire \blk00000003/blk000002af/sig00000ab8 ; + wire \blk00000003/blk000002af/sig00000ab7 ; + wire \blk00000003/blk000002af/sig00000ab6 ; + wire \blk00000003/blk000002af/sig00000ab5 ; + wire \blk00000003/blk000002af/sig00000ab4 ; + wire \blk00000003/blk000002af/sig00000ab3 ; + wire \blk00000003/blk000002af/sig00000ab2 ; + wire \blk00000003/blk000002af/sig00000ab1 ; + wire \blk00000003/blk000002af/sig00000ab0 ; + wire \blk00000003/blk000002af/sig00000aaf ; + wire \blk00000003/blk000002af/sig00000aae ; + wire \blk00000003/blk000002e2/sig00000b16 ; + wire \blk00000003/blk000002e2/sig00000b15 ; + wire \blk00000003/blk000002e2/sig00000b14 ; + wire \blk00000003/blk000002e2/sig00000b13 ; + wire \blk00000003/blk000002e2/sig00000b12 ; + wire \blk00000003/blk000002e2/sig00000b11 ; + wire \blk00000003/blk000002e2/sig00000b10 ; + wire \blk00000003/blk000002e2/sig00000b0f ; + wire \blk00000003/blk000002e2/sig00000b0e ; + wire \blk00000003/blk000002e2/sig00000b0d ; + wire \blk00000003/blk000002e2/sig00000b0c ; + wire \blk00000003/blk000002e2/sig00000b0b ; + wire \blk00000003/blk000002e2/sig00000b0a ; + wire \blk00000003/blk000002e2/sig00000b09 ; + wire \blk00000003/blk000002e2/sig00000b08 ; + wire \blk00000003/blk000002e2/sig00000b07 ; + wire \blk00000003/blk000002e2/sig00000b06 ; + wire \blk00000003/blk000002e2/sig00000b05 ; + wire \blk00000003/blk000002e2/sig00000b04 ; + wire \blk00000003/blk000002e2/sig00000b03 ; + wire \blk00000003/blk000002e2/sig00000b02 ; + wire \blk00000003/blk000002e2/sig00000b01 ; + wire \blk00000003/blk000002e2/sig00000b00 ; + wire \blk00000003/blk000002e2/sig00000aff ; + wire \blk00000003/blk000002e2/sig00000afe ; + wire \blk00000003/blk000002e2/sig00000afd ; + wire \blk00000003/blk00000315/sig00000b65 ; + wire \blk00000003/blk00000315/sig00000b64 ; + wire \blk00000003/blk00000315/sig00000b63 ; + wire \blk00000003/blk00000315/sig00000b62 ; + wire \blk00000003/blk00000315/sig00000b61 ; + wire \blk00000003/blk00000315/sig00000b60 ; + wire \blk00000003/blk00000315/sig00000b5f ; + wire \blk00000003/blk00000315/sig00000b5e ; + wire \blk00000003/blk00000315/sig00000b5d ; + wire \blk00000003/blk00000315/sig00000b5c ; + wire \blk00000003/blk00000315/sig00000b5b ; + wire \blk00000003/blk00000315/sig00000b5a ; + wire \blk00000003/blk00000315/sig00000b59 ; + wire \blk00000003/blk00000315/sig00000b58 ; + wire \blk00000003/blk00000315/sig00000b57 ; + wire \blk00000003/blk00000315/sig00000b56 ; + wire \blk00000003/blk00000315/sig00000b55 ; + wire \blk00000003/blk00000315/sig00000b54 ; + wire \blk00000003/blk00000315/sig00000b53 ; + wire \blk00000003/blk00000315/sig00000b52 ; + wire \blk00000003/blk00000315/sig00000b51 ; + wire \blk00000003/blk00000315/sig00000b50 ; + wire \blk00000003/blk00000315/sig00000b4f ; + wire \blk00000003/blk00000315/sig00000b4e ; + wire \blk00000003/blk00000315/sig00000b4d ; + wire \blk00000003/blk00000315/sig00000b4c ; + wire \blk00000003/blk00000348/sig00000bb4 ; + wire \blk00000003/blk00000348/sig00000bb3 ; + wire \blk00000003/blk00000348/sig00000bb2 ; + wire \blk00000003/blk00000348/sig00000bb1 ; + wire \blk00000003/blk00000348/sig00000bb0 ; + wire \blk00000003/blk00000348/sig00000baf ; + wire \blk00000003/blk00000348/sig00000bae ; + wire \blk00000003/blk00000348/sig00000bad ; + wire \blk00000003/blk00000348/sig00000bac ; + wire \blk00000003/blk00000348/sig00000bab ; + wire \blk00000003/blk00000348/sig00000baa ; + wire \blk00000003/blk00000348/sig00000ba9 ; + wire \blk00000003/blk00000348/sig00000ba8 ; + wire \blk00000003/blk00000348/sig00000ba7 ; + wire \blk00000003/blk00000348/sig00000ba6 ; + wire \blk00000003/blk00000348/sig00000ba5 ; + wire \blk00000003/blk00000348/sig00000ba4 ; + wire \blk00000003/blk00000348/sig00000ba3 ; + wire \blk00000003/blk00000348/sig00000ba2 ; + wire \blk00000003/blk00000348/sig00000ba1 ; + wire \blk00000003/blk00000348/sig00000ba0 ; + wire \blk00000003/blk00000348/sig00000b9f ; + wire \blk00000003/blk00000348/sig00000b9e ; + wire \blk00000003/blk00000348/sig00000b9d ; + wire \blk00000003/blk00000348/sig00000b9c ; + wire \blk00000003/blk00000348/sig00000b9b ; + wire \blk00000003/blk0000037b/sig00000c19 ; + wire \blk00000003/blk0000037b/sig00000c18 ; + wire \blk00000003/blk0000037b/sig00000c17 ; + wire \blk00000003/blk0000037b/sig00000c16 ; + wire \blk00000003/blk0000037b/sig00000c15 ; + wire \blk00000003/blk0000037b/sig00000c14 ; + wire \blk00000003/blk0000037b/sig00000c13 ; + wire \blk00000003/blk0000037b/sig00000c12 ; + wire \blk00000003/blk0000037b/sig00000c11 ; + wire \blk00000003/blk0000037b/sig00000c10 ; + wire \blk00000003/blk0000037b/sig00000c0f ; + wire \blk00000003/blk0000037b/sig00000c0e ; + wire \blk00000003/blk0000037b/sig00000c0d ; + wire \blk00000003/blk0000037b/sig00000c0c ; + wire \blk00000003/blk0000037b/sig00000c0b ; + wire \blk00000003/blk0000037b/sig00000c0a ; + wire \blk00000003/blk0000037b/sig00000c09 ; + wire \blk00000003/blk0000037b/sig00000c08 ; + wire \blk00000003/blk0000037b/sig00000c07 ; + wire \blk00000003/blk0000037b/sig00000c06 ; + wire \blk00000003/blk0000037b/sig00000c05 ; + wire \blk00000003/blk0000037b/sig00000c04 ; + wire \blk00000003/blk0000037b/sig00000c03 ; + wire \blk00000003/blk0000037b/sig00000c02 ; + wire \blk00000003/blk0000037b/sig00000c01 ; + wire \blk00000003/blk0000037b/sig00000c00 ; + wire \blk00000003/blk0000037b/sig00000bff ; + wire \blk00000003/blk0000037b/sig00000bfe ; + wire \blk00000003/blk0000037b/sig00000bfd ; + wire \blk00000003/blk0000037b/sig00000bfc ; + wire \blk00000003/blk0000037b/sig00000bfb ; + wire \blk00000003/blk0000037b/sig00000bfa ; + wire \blk00000003/blk0000037b/sig00000bf9 ; + wire \blk00000003/blk0000037b/sig00000bf8 ; + wire \blk00000003/blk0000037b/sig00000bf7 ; + wire \blk00000003/blk0000037b/sig00000bf6 ; + wire \blk00000003/blk0000037b/sig00000bf5 ; + wire \blk00000003/blk0000037b/sig00000bf4 ; + wire \blk00000003/blk000003b4/sig00000c7e ; + wire \blk00000003/blk000003b4/sig00000c7d ; + wire \blk00000003/blk000003b4/sig00000c7c ; + wire \blk00000003/blk000003b4/sig00000c7b ; + wire \blk00000003/blk000003b4/sig00000c7a ; + wire \blk00000003/blk000003b4/sig00000c79 ; + wire \blk00000003/blk000003b4/sig00000c78 ; + wire \blk00000003/blk000003b4/sig00000c77 ; + wire \blk00000003/blk000003b4/sig00000c76 ; + wire \blk00000003/blk000003b4/sig00000c75 ; + wire \blk00000003/blk000003b4/sig00000c74 ; + wire \blk00000003/blk000003b4/sig00000c73 ; + wire \blk00000003/blk000003b4/sig00000c72 ; + wire \blk00000003/blk000003b4/sig00000c71 ; + wire \blk00000003/blk000003b4/sig00000c70 ; + wire \blk00000003/blk000003b4/sig00000c6f ; + wire \blk00000003/blk000003b4/sig00000c6e ; + wire \blk00000003/blk000003b4/sig00000c6d ; + wire \blk00000003/blk000003b4/sig00000c6c ; + wire \blk00000003/blk000003b4/sig00000c6b ; + wire \blk00000003/blk000003b4/sig00000c6a ; + wire \blk00000003/blk000003b4/sig00000c69 ; + wire \blk00000003/blk000003b4/sig00000c68 ; + wire \blk00000003/blk000003b4/sig00000c67 ; + wire \blk00000003/blk000003b4/sig00000c66 ; + wire \blk00000003/blk000003b4/sig00000c65 ; + wire \blk00000003/blk000003b4/sig00000c64 ; + wire \blk00000003/blk000003b4/sig00000c63 ; + wire \blk00000003/blk000003b4/sig00000c62 ; + wire \blk00000003/blk000003b4/sig00000c61 ; + wire \blk00000003/blk000003b4/sig00000c60 ; + wire \blk00000003/blk000003b4/sig00000c5f ; + wire \blk00000003/blk000003b4/sig00000c5e ; + wire \blk00000003/blk000003b4/sig00000c5d ; + wire \blk00000003/blk000003b4/sig00000c5c ; + wire \blk00000003/blk000003b4/sig00000c5b ; + wire \blk00000003/blk000003b4/sig00000c5a ; + wire \blk00000003/blk000003b4/sig00000c59 ; + wire \blk00000003/blk0000044d/sig00000cbf ; + wire \blk00000003/blk0000044d/sig00000cbe ; + wire \blk00000003/blk0000044d/sig00000cbd ; + wire \blk00000003/blk0000044d/sig00000cbc ; + wire \blk00000003/blk0000044d/sig00000cbb ; + wire \blk00000003/blk0000044d/sig00000cba ; + wire \blk00000003/blk0000044d/sig00000cb9 ; + wire \blk00000003/blk0000044d/sig00000cb8 ; + wire \blk00000003/blk0000044d/sig00000cb7 ; + wire \blk00000003/blk0000044d/sig00000cb6 ; + wire \blk00000003/blk0000044d/sig00000cb5 ; + wire \blk00000003/blk0000044d/sig00000cb4 ; + wire \blk00000003/blk0000044d/sig00000cb3 ; + wire \blk00000003/blk0000044d/sig00000cb2 ; + wire \blk00000003/blk0000044d/sig00000cb1 ; + wire \blk00000003/blk0000044d/sig00000cb0 ; + wire \blk00000003/blk0000044d/sig00000caf ; + wire \blk00000003/blk0000044d/sig00000cae ; + wire \blk00000003/blk0000044d/sig00000cad ; + wire \blk00000003/blk0000044d/sig00000cac ; + wire \blk00000003/blk000004a4/sig00000cfc ; + wire \blk00000003/blk000004a4/sig00000cfb ; + wire \blk00000003/blk000004a4/sig00000cfa ; + wire \blk00000003/blk000004a4/sig00000cf9 ; + wire \blk00000003/blk000004a4/sig00000cf8 ; + wire \blk00000003/blk000004a4/sig00000cf7 ; + wire \blk00000003/blk000004a4/sig00000cf6 ; + wire \blk00000003/blk000004a4/sig00000cf5 ; + wire \blk00000003/blk000004a4/sig00000cf4 ; + wire \blk00000003/blk000004a4/sig00000cf3 ; + wire \blk00000003/blk000004a4/sig00000cf2 ; + wire \blk00000003/blk000004a4/sig00000cf1 ; + wire \blk00000003/blk000004a4/sig00000cf0 ; + wire \blk00000003/blk000004a4/sig00000cef ; + wire \blk00000003/blk000004a4/sig00000cee ; + wire \blk00000003/blk000004a4/sig00000ced ; + wire \blk00000003/blk000004a4/sig00000cec ; + wire \blk00000003/blk000004a4/sig00000ceb ; + wire \blk00000003/blk000004a4/sig00000cea ; + wire \blk00000003/blk000004a4/sig00000ce9 ; + wire NLW_blk00000001_P_UNCONNECTED; + wire NLW_blk00000002_G_UNCONNECTED; + wire \NLW_blk00000003/blk00000782_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000780_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000778_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000776_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000774_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000772_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000770_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000768_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000766_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000764_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000762_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000760_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000758_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000756_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000754_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000752_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000750_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000074e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000074c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000074a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000748_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000746_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000744_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000742_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000740_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000073e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000073c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000073a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000738_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000736_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000734_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000732_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000730_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000072e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000072c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000072a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000728_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000726_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000724_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000722_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000720_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000718_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000716_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000714_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000712_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000710_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000708_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000706_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000704_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000702_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000700_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006fe_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006fc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006fa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ee_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ec_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ea_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ce_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006cc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ca_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006be_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006bc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ba_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006b8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006b6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006b4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006b2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006b0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006a0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000698_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000696_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000694_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000692_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000690_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000068e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000068c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000068a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000688_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000686_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000684_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000682_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000680_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000067e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000067c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000067a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000678_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000676_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000674_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000672_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000670_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000066e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000066c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000066a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000668_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000666_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000664_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000662_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000660_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000065e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000065c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000065a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000658_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000656_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000654_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000652_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000650_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000648_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000646_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000644_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000642_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000640_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000638_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000636_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000634_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000632_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000630_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000062e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000062c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000062a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000628_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000053a_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000053a_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004d0_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000004d0_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e4_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e4_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e0_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e0_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000db_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000da_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d5_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d4_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d3_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d2_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d1_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d0_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cc_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cb_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ca_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c9_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c8_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c7_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c6_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c0_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c0_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000bc_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000bc_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b6_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b6_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b2_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b2_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a1_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a0_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk00000098_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000096_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000095_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000094_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000093_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000091_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000090_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001a_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk00000012_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000c_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000b_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000008c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000008b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000008a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000089_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000088_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000087_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000086_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000085_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000084_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000083_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000082_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000081_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000080_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000007f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000007e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000007d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000007c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000007b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000007a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000079_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000078_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000077_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000076_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000075_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000074_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000073_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000072_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000071_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000070_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000006f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000006e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000006d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000006c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000006b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000006a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000069_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000068_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000067_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000066_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000065_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000064_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000063_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000062_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000061_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000060_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000005f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000005e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000005d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000148_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000147_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000146_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000145_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000144_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000143_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000142_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000141_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000140_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk0000013f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk0000013e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk0000013d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk0000013c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk0000013b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk0000013a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000139_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000138_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000137_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000136_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000135_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000134_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000133_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000132_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000131_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk0000017b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk0000017a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000179_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000178_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000177_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000176_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000175_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000174_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000173_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000172_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000171_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000170_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk0000016f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk0000016e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk0000016d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk0000016c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk0000016b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk0000016a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000169_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000168_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000167_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000166_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000165_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000164_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001a0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk0000019f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk0000019e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk0000019d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk0000019c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk0000019b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk0000019a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk00000199_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk00000198_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk00000197_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001ce_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001cd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001cc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001cb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001ca_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000214_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000213_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000212_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000211_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000210_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk0000020f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk0000020e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk0000020d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk0000020c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk0000020b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk0000020a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000209_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000208_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000207_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000206_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000205_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000204_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000203_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000202_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000201_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000200_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk000001ff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk000001fe_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk000001fd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000247_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000246_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000245_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000244_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000243_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000242_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000241_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000240_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk0000023f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk0000023e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk0000023d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk0000023c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk0000023b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk0000023a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000239_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000238_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000237_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000236_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000235_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000234_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000233_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000232_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000231_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000230_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk0000027a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000279_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000278_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000277_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000276_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000275_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000274_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000273_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000272_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000271_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000270_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk0000026f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk0000026e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk0000026d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk0000026c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk0000026b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk0000026a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000269_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000268_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000267_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000266_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000265_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000264_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000263_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk000002ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk000002ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk000002ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk000002aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk000002a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk000002a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk000002a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk000002a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk000002a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk000002a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk000002a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk000002a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk000002a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk000002a0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk0000029f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk0000029e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk0000029d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk0000029c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk0000029b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk0000029a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk00000299_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk00000298_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk00000297_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk00000296_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002ce_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002cd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002cc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002cb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002ca_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002c9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk00000313_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk00000312_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk00000311_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk00000310_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk0000030f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk0000030e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk0000030d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk0000030c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk0000030b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk0000030a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk00000309_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk00000308_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk00000307_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk00000306_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk00000305_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk00000304_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk00000303_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk00000302_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk00000301_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk00000300_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk000002ff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk000002fe_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk000002fd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk000002fc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000346_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000345_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000344_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000343_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000342_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000341_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000340_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk0000033f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk0000033e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk0000033d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk0000033c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk0000033b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk0000033a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000339_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000338_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000337_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000336_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000335_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000334_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000333_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000332_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000331_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000330_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk0000032f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000379_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000378_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000377_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000376_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000375_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000374_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000373_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000372_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000371_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000370_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk0000036f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk0000036e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk0000036d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk0000036c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk0000036b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk0000036a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000369_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000368_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000367_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000366_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000365_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000364_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000363_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000362_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk00000472_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk00000471_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk00000470_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk0000046f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk0000046e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk0000046d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk0000046c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk0000046b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk0000046a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk00000469_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk00000468_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk00000467_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk00000466_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk00000465_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk00000464_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk00000463_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk00000462_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk00000461_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004c9_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004c8_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004c7_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004c6_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004c5_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004c4_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004c3_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004c2_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004c1_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004c0_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004bf_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004be_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004bd_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004bc_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004bb_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004ba_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004b9_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004b8_SPO_UNCONNECTED ; + wire [17 : 0] coef_din_0; + wire [23 : 0] din_1_1; + wire [23 : 0] din_2_2; + wire [46 : 0] NlwRenamedSig_OI_dout_1; + wire [46 : 0] NlwRenamedSig_OI_dout_2; + assign + rfd = NlwRenamedSig_OI_rfd, + dout_1[46] = NlwRenamedSig_OI_dout_1[46], + dout_1[45] = NlwRenamedSig_OI_dout_1[45], + dout_1[44] = NlwRenamedSig_OI_dout_1[44], + dout_1[43] = NlwRenamedSig_OI_dout_1[43], + dout_1[42] = NlwRenamedSig_OI_dout_1[42], + dout_1[41] = NlwRenamedSig_OI_dout_1[41], + dout_1[40] = NlwRenamedSig_OI_dout_1[40], + dout_1[39] = NlwRenamedSig_OI_dout_1[39], + dout_1[38] = NlwRenamedSig_OI_dout_1[38], + dout_1[37] = NlwRenamedSig_OI_dout_1[37], + dout_1[36] = NlwRenamedSig_OI_dout_1[36], + dout_1[35] = NlwRenamedSig_OI_dout_1[35], + dout_1[34] = NlwRenamedSig_OI_dout_1[34], + dout_1[33] = NlwRenamedSig_OI_dout_1[33], + dout_1[32] = NlwRenamedSig_OI_dout_1[32], + dout_1[31] = NlwRenamedSig_OI_dout_1[31], + dout_1[30] = NlwRenamedSig_OI_dout_1[30], + dout_1[29] = NlwRenamedSig_OI_dout_1[29], + dout_1[28] = NlwRenamedSig_OI_dout_1[28], + dout_1[27] = NlwRenamedSig_OI_dout_1[27], + dout_1[26] = NlwRenamedSig_OI_dout_1[26], + dout_1[25] = NlwRenamedSig_OI_dout_1[25], + dout_1[24] = NlwRenamedSig_OI_dout_1[24], + dout_1[23] = NlwRenamedSig_OI_dout_1[23], + dout_1[22] = NlwRenamedSig_OI_dout_1[22], + dout_1[21] = NlwRenamedSig_OI_dout_1[21], + dout_1[20] = NlwRenamedSig_OI_dout_1[20], + dout_1[19] = NlwRenamedSig_OI_dout_1[19], + dout_1[18] = NlwRenamedSig_OI_dout_1[18], + dout_1[17] = NlwRenamedSig_OI_dout_1[17], + dout_1[16] = NlwRenamedSig_OI_dout_1[16], + dout_1[15] = NlwRenamedSig_OI_dout_1[15], + dout_1[14] = NlwRenamedSig_OI_dout_1[14], + dout_1[13] = NlwRenamedSig_OI_dout_1[13], + dout_1[12] = NlwRenamedSig_OI_dout_1[12], + dout_1[11] = NlwRenamedSig_OI_dout_1[11], + dout_1[10] = NlwRenamedSig_OI_dout_1[10], + dout_1[9] = NlwRenamedSig_OI_dout_1[9], + dout_1[8] = NlwRenamedSig_OI_dout_1[8], + dout_1[7] = NlwRenamedSig_OI_dout_1[7], + dout_1[6] = NlwRenamedSig_OI_dout_1[6], + dout_1[5] = NlwRenamedSig_OI_dout_1[5], + dout_1[4] = NlwRenamedSig_OI_dout_1[4], + dout_1[3] = NlwRenamedSig_OI_dout_1[3], + dout_1[2] = NlwRenamedSig_OI_dout_1[2], + dout_1[1] = NlwRenamedSig_OI_dout_1[1], + dout_1[0] = NlwRenamedSig_OI_dout_1[0], + dout_2[46] = NlwRenamedSig_OI_dout_2[46], + dout_2[45] = NlwRenamedSig_OI_dout_2[45], + dout_2[44] = NlwRenamedSig_OI_dout_2[44], + dout_2[43] = NlwRenamedSig_OI_dout_2[43], + dout_2[42] = NlwRenamedSig_OI_dout_2[42], + dout_2[41] = NlwRenamedSig_OI_dout_2[41], + dout_2[40] = NlwRenamedSig_OI_dout_2[40], + dout_2[39] = NlwRenamedSig_OI_dout_2[39], + dout_2[38] = NlwRenamedSig_OI_dout_2[38], + dout_2[37] = NlwRenamedSig_OI_dout_2[37], + dout_2[36] = NlwRenamedSig_OI_dout_2[36], + dout_2[35] = NlwRenamedSig_OI_dout_2[35], + dout_2[34] = NlwRenamedSig_OI_dout_2[34], + dout_2[33] = NlwRenamedSig_OI_dout_2[33], + dout_2[32] = NlwRenamedSig_OI_dout_2[32], + dout_2[31] = NlwRenamedSig_OI_dout_2[31], + dout_2[30] = NlwRenamedSig_OI_dout_2[30], + dout_2[29] = NlwRenamedSig_OI_dout_2[29], + dout_2[28] = NlwRenamedSig_OI_dout_2[28], + dout_2[27] = NlwRenamedSig_OI_dout_2[27], + dout_2[26] = NlwRenamedSig_OI_dout_2[26], + dout_2[25] = NlwRenamedSig_OI_dout_2[25], + dout_2[24] = NlwRenamedSig_OI_dout_2[24], + dout_2[23] = NlwRenamedSig_OI_dout_2[23], + dout_2[22] = NlwRenamedSig_OI_dout_2[22], + dout_2[21] = NlwRenamedSig_OI_dout_2[21], + dout_2[20] = NlwRenamedSig_OI_dout_2[20], + dout_2[19] = NlwRenamedSig_OI_dout_2[19], + dout_2[18] = NlwRenamedSig_OI_dout_2[18], + dout_2[17] = NlwRenamedSig_OI_dout_2[17], + dout_2[16] = NlwRenamedSig_OI_dout_2[16], + dout_2[15] = NlwRenamedSig_OI_dout_2[15], + dout_2[14] = NlwRenamedSig_OI_dout_2[14], + dout_2[13] = NlwRenamedSig_OI_dout_2[13], + dout_2[12] = NlwRenamedSig_OI_dout_2[12], + dout_2[11] = NlwRenamedSig_OI_dout_2[11], + dout_2[10] = NlwRenamedSig_OI_dout_2[10], + dout_2[9] = NlwRenamedSig_OI_dout_2[9], + dout_2[8] = NlwRenamedSig_OI_dout_2[8], + dout_2[7] = NlwRenamedSig_OI_dout_2[7], + dout_2[6] = NlwRenamedSig_OI_dout_2[6], + dout_2[5] = NlwRenamedSig_OI_dout_2[5], + dout_2[4] = NlwRenamedSig_OI_dout_2[4], + dout_2[3] = NlwRenamedSig_OI_dout_2[3], + dout_2[2] = NlwRenamedSig_OI_dout_2[2], + dout_2[1] = NlwRenamedSig_OI_dout_2[1], + dout_2[0] = NlwRenamedSig_OI_dout_2[0], + din_1_1[23] = din_1[23], + din_1_1[22] = din_1[22], + din_1_1[21] = din_1[21], + din_1_1[20] = din_1[20], + din_1_1[19] = din_1[19], + din_1_1[18] = din_1[18], + din_1_1[17] = din_1[17], + din_1_1[16] = din_1[16], + din_1_1[15] = din_1[15], + din_1_1[14] = din_1[14], + din_1_1[13] = din_1[13], + din_1_1[12] = din_1[12], + din_1_1[11] = din_1[11], + din_1_1[10] = din_1[10], + din_1_1[9] = din_1[9], + din_1_1[8] = din_1[8], + din_1_1[7] = din_1[7], + din_1_1[6] = din_1[6], + din_1_1[5] = din_1[5], + din_1_1[4] = din_1[4], + din_1_1[3] = din_1[3], + din_1_1[2] = din_1[2], + din_1_1[1] = din_1[1], + din_1_1[0] = din_1[0], + din_2_2[23] = din_2[23], + din_2_2[22] = din_2[22], + din_2_2[21] = din_2[21], + din_2_2[20] = din_2[20], + din_2_2[19] = din_2[19], + din_2_2[18] = din_2[18], + din_2_2[17] = din_2[17], + din_2_2[16] = din_2[16], + din_2_2[15] = din_2[15], + din_2_2[14] = din_2[14], + din_2_2[13] = din_2[13], + din_2_2[12] = din_2[12], + din_2_2[11] = din_2[11], + din_2_2[10] = din_2[10], + din_2_2[9] = din_2[9], + din_2_2[8] = din_2[8], + din_2_2[7] = din_2[7], + din_2_2[6] = din_2[6], + din_2_2[5] = din_2[5], + din_2_2[4] = din_2[4], + din_2_2[3] = din_2[3], + din_2_2[2] = din_2[2], + din_2_2[1] = din_2[1], + din_2_2[0] = din_2[0], + coef_din_0[17] = coef_din[17], + coef_din_0[16] = coef_din[16], + coef_din_0[15] = coef_din[15], + coef_din_0[14] = coef_din[14], + coef_din_0[13] = coef_din[13], + coef_din_0[12] = coef_din[12], + coef_din_0[11] = coef_din[11], + coef_din_0[10] = coef_din[10], + coef_din_0[9] = coef_din[9], + coef_din_0[8] = coef_din[8], + coef_din_0[7] = coef_din[7], + coef_din_0[6] = coef_din[6], + coef_din_0[5] = coef_din[5], + coef_din_0[4] = coef_din[4], + coef_din_0[3] = coef_din[3], + coef_din_0[2] = coef_din[2], + coef_din_0[1] = coef_din[1], + coef_din_0[0] = coef_din[0]; + VCC blk00000001 ( + .P(NLW_blk00000001_P_UNCONNECTED) + ); + GND blk00000002 ( + .G(NLW_blk00000002_G_UNCONNECTED) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000783 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000767 ), + .Q(\blk00000003/sig00000679 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000782 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000004f6 ), + .Q(\blk00000003/sig00000767 ), + .Q15(\NLW_blk00000003/blk00000782_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000781 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000766 ), + .Q(\blk00000003/sig00000604 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000780 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000004f5 ), + .Q(\blk00000003/sig00000766 ), + .Q15(\NLW_blk00000003/blk00000780_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000765 ), + .Q(\blk00000003/sig000001c2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000072f ), + .Q(\blk00000003/sig00000765 ), + .Q15(\NLW_blk00000003/blk0000077e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000764 ), + .Q(\blk00000003/sig000001c1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000733 ), + .Q(\blk00000003/sig00000764 ), + .Q15(\NLW_blk00000003/blk0000077c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000763 ), + .Q(\blk00000003/sig000001c0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000731 ), + .Q(\blk00000003/sig00000763 ), + .Q15(\NLW_blk00000003/blk0000077a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000779 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000762 ), + .Q(\blk00000003/sig000001bf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000778 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000072d ), + .Q(\blk00000003/sig00000762 ), + .Q15(\NLW_blk00000003/blk00000778_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000777 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000761 ), + .Q(\blk00000003/sig000001bd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000776 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000725 ), + .Q(\blk00000003/sig00000761 ), + .Q15(\NLW_blk00000003/blk00000776_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000775 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000760 ), + .Q(\blk00000003/sig000001bc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000774 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000729 ), + .Q(\blk00000003/sig00000760 ), + .Q15(\NLW_blk00000003/blk00000774_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000773 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000075f ), + .Q(\blk00000003/sig000001be ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000772 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000072b ), + .Q(\blk00000003/sig0000075f ), + .Q15(\NLW_blk00000003/blk00000772_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000771 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000075e ), + .Q(\blk00000003/sig000001bb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000770 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000727 ), + .Q(\blk00000003/sig0000075e ), + .Q15(\NLW_blk00000003/blk00000770_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000075d ), + .Q(\blk00000003/sig000001ba ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000071f ), + .Q(\blk00000003/sig0000075d ), + .Q15(\NLW_blk00000003/blk0000076e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000075c ), + .Q(\blk00000003/sig000001b8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000721 ), + .Q(\blk00000003/sig0000075c ), + .Q15(\NLW_blk00000003/blk0000076c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000075b ), + .Q(\blk00000003/sig000001b7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000719 ), + .Q(\blk00000003/sig0000075b ), + .Q15(\NLW_blk00000003/blk0000076a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000769 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000075a ), + .Q(\blk00000003/sig000001b9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000768 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000723 ), + .Q(\blk00000003/sig0000075a ), + .Q15(\NLW_blk00000003/blk00000768_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000767 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000759 ), + .Q(\blk00000003/sig000001b5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000766 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000071b ), + .Q(\blk00000003/sig00000759 ), + .Q15(\NLW_blk00000003/blk00000766_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000765 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000758 ), + .Q(\blk00000003/sig000001b4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000764 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000717 ), + .Q(\blk00000003/sig00000758 ), + .Q15(\NLW_blk00000003/blk00000764_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000763 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000757 ), + .Q(\blk00000003/sig000001b6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000762 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000071d ), + .Q(\blk00000003/sig00000757 ), + .Q15(\NLW_blk00000003/blk00000762_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000761 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000756 ), + .Q(\blk00000003/sig000001b2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000760 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000070f ), + .Q(\blk00000003/sig00000756 ), + .Q15(\NLW_blk00000003/blk00000760_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000755 ), + .Q(\blk00000003/sig000001b1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000075e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000713 ), + .Q(\blk00000003/sig00000755 ), + .Q15(\NLW_blk00000003/blk0000075e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000754 ), + .Q(\blk00000003/sig000001b3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000075c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000715 ), + .Q(\blk00000003/sig00000754 ), + .Q15(\NLW_blk00000003/blk0000075c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000753 ), + .Q(\blk00000003/sig000001b0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000075a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000711 ), + .Q(\blk00000003/sig00000753 ), + .Q15(\NLW_blk00000003/blk0000075a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000759 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000752 ), + .Q(\blk00000003/sig000001af ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000758 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000709 ), + .Q(\blk00000003/sig00000752 ), + .Q15(\NLW_blk00000003/blk00000758_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000757 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000751 ), + .Q(\blk00000003/sig000001ad ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000756 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000070b ), + .Q(\blk00000003/sig00000751 ), + .Q15(\NLW_blk00000003/blk00000756_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000755 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000750 ), + .Q(\blk00000003/sig000001ac ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000754 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000703 ), + .Q(\blk00000003/sig00000750 ), + .Q15(\NLW_blk00000003/blk00000754_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000753 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000074f ), + .Q(\blk00000003/sig000001ae ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000752 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000070d ), + .Q(\blk00000003/sig0000074f ), + .Q15(\NLW_blk00000003/blk00000752_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000751 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000074e ), + .Q(\blk00000003/sig0000014b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000750 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000705 ), + .Q(\blk00000003/sig0000074e ), + .Q15(\NLW_blk00000003/blk00000750_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000074f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000074d ), + .Q(\blk00000003/sig0000014a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000074e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000701 ), + .Q(\blk00000003/sig0000074d ), + .Q15(\NLW_blk00000003/blk0000074e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000074d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000074c ), + .Q(\blk00000003/sig000001ab ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000074c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000707 ), + .Q(\blk00000003/sig0000074c ), + .Q15(\NLW_blk00000003/blk0000074c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000074b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000074b ), + .Q(\blk00000003/sig00000148 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000074a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006f9 ), + .Q(\blk00000003/sig0000074b ), + .Q15(\NLW_blk00000003/blk0000074a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000749 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000074a ), + .Q(\blk00000003/sig00000147 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000748 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006fd ), + .Q(\blk00000003/sig0000074a ), + .Q15(\NLW_blk00000003/blk00000748_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000747 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000749 ), + .Q(\blk00000003/sig00000149 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000746 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006ff ), + .Q(\blk00000003/sig00000749 ), + .Q15(\NLW_blk00000003/blk00000746_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000745 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000748 ), + .Q(\blk00000003/sig00000146 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000744 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006fb ), + .Q(\blk00000003/sig00000748 ), + .Q15(\NLW_blk00000003/blk00000744_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000743 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000747 ), + .Q(\blk00000003/sig00000145 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000742 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006f3 ), + .Q(\blk00000003/sig00000747 ), + .Q15(\NLW_blk00000003/blk00000742_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000741 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000746 ), + .Q(\blk00000003/sig00000143 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000740 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006f5 ), + .Q(\blk00000003/sig00000746 ), + .Q15(\NLW_blk00000003/blk00000740_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000073f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000745 ), + .Q(\blk00000003/sig00000142 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000073e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006ed ), + .Q(\blk00000003/sig00000745 ), + .Q15(\NLW_blk00000003/blk0000073e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000073d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000744 ), + .Q(\blk00000003/sig00000144 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000073c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006f7 ), + .Q(\blk00000003/sig00000744 ), + .Q15(\NLW_blk00000003/blk0000073c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000073b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000743 ), + .Q(\blk00000003/sig00000140 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000073a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006ef ), + .Q(\blk00000003/sig00000743 ), + .Q15(\NLW_blk00000003/blk0000073a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000739 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000742 ), + .Q(\blk00000003/sig0000013f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000738 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006eb ), + .Q(\blk00000003/sig00000742 ), + .Q15(\NLW_blk00000003/blk00000738_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000737 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000741 ), + .Q(\blk00000003/sig00000141 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000736 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006f1 ), + .Q(\blk00000003/sig00000741 ), + .Q15(\NLW_blk00000003/blk00000736_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000735 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000740 ), + .Q(\blk00000003/sig0000013d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000734 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006e3 ), + .Q(\blk00000003/sig00000740 ), + .Q15(\NLW_blk00000003/blk00000734_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000733 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000073f ), + .Q(\blk00000003/sig0000013c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000732 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006e7 ), + .Q(\blk00000003/sig0000073f ), + .Q15(\NLW_blk00000003/blk00000732_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000731 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000073e ), + .Q(\blk00000003/sig0000013e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000730 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006e9 ), + .Q(\blk00000003/sig0000073e ), + .Q15(\NLW_blk00000003/blk00000730_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000072f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000073d ), + .Q(\blk00000003/sig0000013b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000072e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006e5 ), + .Q(\blk00000003/sig0000073d ), + .Q15(\NLW_blk00000003/blk0000072e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000072d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000073c ), + .Q(\blk00000003/sig0000013a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000072c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006e1 ), + .Q(\blk00000003/sig0000073c ), + .Q15(\NLW_blk00000003/blk0000072c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000072b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000073b ), + .Q(\blk00000003/sig00000138 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000072a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006d9 ), + .Q(\blk00000003/sig0000073b ), + .Q15(\NLW_blk00000003/blk0000072a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000729 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000073a ), + .Q(\blk00000003/sig00000137 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000728 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006dd ), + .Q(\blk00000003/sig0000073a ), + .Q15(\NLW_blk00000003/blk00000728_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000727 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000739 ), + .Q(\blk00000003/sig00000139 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000726 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006df ), + .Q(\blk00000003/sig00000739 ), + .Q15(\NLW_blk00000003/blk00000726_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000725 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000738 ), + .Q(\blk00000003/sig00000135 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000724 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006d7 ), + .Q(\blk00000003/sig00000738 ), + .Q15(\NLW_blk00000003/blk00000724_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000723 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000737 ), + .Q(\blk00000003/sig00000134 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000722 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006d5 ), + .Q(\blk00000003/sig00000737 ), + .Q15(\NLW_blk00000003/blk00000722_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000721 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000736 ), + .Q(\blk00000003/sig00000136 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000720 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006db ), + .Q(\blk00000003/sig00000736 ), + .Q15(\NLW_blk00000003/blk00000720_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000735 ), + .Q(\blk00000003/sig000004f6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001df ), + .Q(\blk00000003/sig00000735 ), + .Q15(\NLW_blk00000003/blk0000071e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000734 ), + .Q(\blk00000003/sig0000067a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071c ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001c3 ), + .Q(\blk00000003/sig00000734 ), + .Q15(\NLW_blk00000003/blk0000071c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071b ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000732 ), + .Q(\blk00000003/sig00000733 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000216 ), + .Q(\blk00000003/sig00000732 ), + .Q15(\NLW_blk00000003/blk0000071a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000719 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000730 ), + .Q(\blk00000003/sig00000731 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000718 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000215 ), + .Q(\blk00000003/sig00000730 ), + .Q15(\NLW_blk00000003/blk00000718_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000717 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig0000072e ), + .Q(\blk00000003/sig0000072f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000716 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000217 ), + .Q(\blk00000003/sig0000072e ), + .Q15(\NLW_blk00000003/blk00000716_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000715 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig0000072c ), + .Q(\blk00000003/sig0000072d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000714 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000214 ), + .Q(\blk00000003/sig0000072c ), + .Q15(\NLW_blk00000003/blk00000714_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000713 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig0000072a ), + .Q(\blk00000003/sig0000072b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000712 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000213 ), + .Q(\blk00000003/sig0000072a ), + .Q15(\NLW_blk00000003/blk00000712_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000711 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000728 ), + .Q(\blk00000003/sig00000729 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000710 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000211 ), + .Q(\blk00000003/sig00000728 ), + .Q15(\NLW_blk00000003/blk00000710_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070f ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000726 ), + .Q(\blk00000003/sig00000727 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000210 ), + .Q(\blk00000003/sig00000726 ), + .Q15(\NLW_blk00000003/blk0000070e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070d ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000724 ), + .Q(\blk00000003/sig00000725 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000212 ), + .Q(\blk00000003/sig00000724 ), + .Q15(\NLW_blk00000003/blk0000070c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070b ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000722 ), + .Q(\blk00000003/sig00000723 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig0000020e ), + .Q(\blk00000003/sig00000722 ), + .Q15(\NLW_blk00000003/blk0000070a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000709 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000720 ), + .Q(\blk00000003/sig00000721 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000708 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig0000020d ), + .Q(\blk00000003/sig00000720 ), + .Q15(\NLW_blk00000003/blk00000708_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000707 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig0000071e ), + .Q(\blk00000003/sig0000071f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000706 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig0000020f ), + .Q(\blk00000003/sig0000071e ), + .Q15(\NLW_blk00000003/blk00000706_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig0000071c ), + .Q(\blk00000003/sig0000071d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000704 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig0000020b ), + .Q(\blk00000003/sig0000071c ), + .Q15(\NLW_blk00000003/blk00000704_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000703 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig0000071a ), + .Q(\blk00000003/sig0000071b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000702 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig0000020a ), + .Q(\blk00000003/sig0000071a ), + .Q15(\NLW_blk00000003/blk00000702_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000701 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000718 ), + .Q(\blk00000003/sig00000719 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000700 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig0000020c ), + .Q(\blk00000003/sig00000718 ), + .Q15(\NLW_blk00000003/blk00000700_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ff ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000716 ), + .Q(\blk00000003/sig00000717 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006fe ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000209 ), + .Q(\blk00000003/sig00000716 ), + .Q15(\NLW_blk00000003/blk000006fe_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006fd ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000714 ), + .Q(\blk00000003/sig00000715 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006fc ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000208 ), + .Q(\blk00000003/sig00000714 ), + .Q15(\NLW_blk00000003/blk000006fc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006fb ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000712 ), + .Q(\blk00000003/sig00000713 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006fa ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000206 ), + .Q(\blk00000003/sig00000712 ), + .Q15(\NLW_blk00000003/blk000006fa_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f9 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000710 ), + .Q(\blk00000003/sig00000711 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000205 ), + .Q(\blk00000003/sig00000710 ), + .Q15(\NLW_blk00000003/blk000006f8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f7 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig0000070e ), + .Q(\blk00000003/sig0000070f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000207 ), + .Q(\blk00000003/sig0000070e ), + .Q15(\NLW_blk00000003/blk000006f6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f5 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig0000070c ), + .Q(\blk00000003/sig0000070d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000203 ), + .Q(\blk00000003/sig0000070c ), + .Q15(\NLW_blk00000003/blk000006f4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f3 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig0000070a ), + .Q(\blk00000003/sig0000070b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000202 ), + .Q(\blk00000003/sig0000070a ), + .Q15(\NLW_blk00000003/blk000006f2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f1 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000708 ), + .Q(\blk00000003/sig00000709 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000204 ), + .Q(\blk00000003/sig00000708 ), + .Q15(\NLW_blk00000003/blk000006f0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ef ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000706 ), + .Q(\blk00000003/sig00000707 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ee ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000200 ), + .Q(\blk00000003/sig00000706 ), + .Q15(\NLW_blk00000003/blk000006ee_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ed ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000704 ), + .Q(\blk00000003/sig00000705 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ec ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001ff ), + .Q(\blk00000003/sig00000704 ), + .Q15(\NLW_blk00000003/blk000006ec_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006eb ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000702 ), + .Q(\blk00000003/sig00000703 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ea ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000201 ), + .Q(\blk00000003/sig00000702 ), + .Q15(\NLW_blk00000003/blk000006ea_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e9 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000700 ), + .Q(\blk00000003/sig00000701 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001fe ), + .Q(\blk00000003/sig00000700 ), + .Q15(\NLW_blk00000003/blk000006e8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e7 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006fe ), + .Q(\blk00000003/sig000006ff ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001fd ), + .Q(\blk00000003/sig000006fe ), + .Q15(\NLW_blk00000003/blk000006e6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e5 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006fc ), + .Q(\blk00000003/sig000006fd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001fb ), + .Q(\blk00000003/sig000006fc ), + .Q15(\NLW_blk00000003/blk000006e4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e3 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006fa ), + .Q(\blk00000003/sig000006fb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001fa ), + .Q(\blk00000003/sig000006fa ), + .Q15(\NLW_blk00000003/blk000006e2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e1 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006f8 ), + .Q(\blk00000003/sig000006f9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001fc ), + .Q(\blk00000003/sig000006f8 ), + .Q15(\NLW_blk00000003/blk000006e0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006df ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006f6 ), + .Q(\blk00000003/sig000006f7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006de ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001f8 ), + .Q(\blk00000003/sig000006f6 ), + .Q15(\NLW_blk00000003/blk000006de_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006dd ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006f4 ), + .Q(\blk00000003/sig000006f5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006dc ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001f7 ), + .Q(\blk00000003/sig000006f4 ), + .Q15(\NLW_blk00000003/blk000006dc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006db ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006f2 ), + .Q(\blk00000003/sig000006f3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006da ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001f9 ), + .Q(\blk00000003/sig000006f2 ), + .Q15(\NLW_blk00000003/blk000006da_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d9 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006f0 ), + .Q(\blk00000003/sig000006f1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001f5 ), + .Q(\blk00000003/sig000006f0 ), + .Q15(\NLW_blk00000003/blk000006d8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d7 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006ee ), + .Q(\blk00000003/sig000006ef ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001f4 ), + .Q(\blk00000003/sig000006ee ), + .Q15(\NLW_blk00000003/blk000006d6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d5 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006ec ), + .Q(\blk00000003/sig000006ed ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001f6 ), + .Q(\blk00000003/sig000006ec ), + .Q15(\NLW_blk00000003/blk000006d4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d3 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006ea ), + .Q(\blk00000003/sig000006eb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001f3 ), + .Q(\blk00000003/sig000006ea ), + .Q15(\NLW_blk00000003/blk000006d2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d1 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006e8 ), + .Q(\blk00000003/sig000006e9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001f2 ), + .Q(\blk00000003/sig000006e8 ), + .Q15(\NLW_blk00000003/blk000006d0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cf ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006e6 ), + .Q(\blk00000003/sig000006e7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ce ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001f0 ), + .Q(\blk00000003/sig000006e6 ), + .Q15(\NLW_blk00000003/blk000006ce_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cd ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006e4 ), + .Q(\blk00000003/sig000006e5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006cc ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001ef ), + .Q(\blk00000003/sig000006e4 ), + .Q15(\NLW_blk00000003/blk000006cc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cb ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006e2 ), + .Q(\blk00000003/sig000006e3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ca ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001f1 ), + .Q(\blk00000003/sig000006e2 ), + .Q15(\NLW_blk00000003/blk000006ca_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c9 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006e0 ), + .Q(\blk00000003/sig000006e1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001ee ), + .Q(\blk00000003/sig000006e0 ), + .Q15(\NLW_blk00000003/blk000006c8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c7 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006de ), + .Q(\blk00000003/sig000006df ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001ed ), + .Q(\blk00000003/sig000006de ), + .Q15(\NLW_blk00000003/blk000006c6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c5 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006dc ), + .Q(\blk00000003/sig000006dd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001eb ), + .Q(\blk00000003/sig000006dc ), + .Q15(\NLW_blk00000003/blk000006c4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c3 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006da ), + .Q(\blk00000003/sig000006db ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001ea ), + .Q(\blk00000003/sig000006da ), + .Q15(\NLW_blk00000003/blk000006c2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c1 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006d8 ), + .Q(\blk00000003/sig000006d9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001ec ), + .Q(\blk00000003/sig000006d8 ), + .Q15(\NLW_blk00000003/blk000006c0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006bf ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006d6 ), + .Q(\blk00000003/sig000006d7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006be ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001e9 ), + .Q(\blk00000003/sig000006d6 ), + .Q15(\NLW_blk00000003/blk000006be_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006bd ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006d4 ), + .Q(\blk00000003/sig000006d5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006bc ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001e8 ), + .Q(\blk00000003/sig000006d4 ), + .Q15(\NLW_blk00000003/blk000006bc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006d3 ), + .Q(\blk00000003/sig00000682 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ba ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000004e5 ), + .Q(\blk00000003/sig000006d3 ), + .Q15(\NLW_blk00000003/blk000006ba_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006d2 ), + .Q(\blk00000003/sig0000056e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006b8 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000217 ), + .Q(\blk00000003/sig000006d2 ), + .Q15(\NLW_blk00000003/blk000006b8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006d1 ), + .Q(\blk00000003/sig00000681 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006b6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000004e6 ), + .Q(\blk00000003/sig000006d1 ), + .Q15(\NLW_blk00000003/blk000006b6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006d0 ), + .Q(\blk00000003/sig0000056c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006b4 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000215 ), + .Q(\blk00000003/sig000006d0 ), + .Q15(\NLW_blk00000003/blk000006b4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006cf ), + .Q(\blk00000003/sig0000056b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006b2 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000214 ), + .Q(\blk00000003/sig000006cf ), + .Q15(\NLW_blk00000003/blk000006b2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006ce ), + .Q(\blk00000003/sig0000056d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006b0 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000216 ), + .Q(\blk00000003/sig000006ce ), + .Q15(\NLW_blk00000003/blk000006b0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006af ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006cd ), + .Q(\blk00000003/sig00000569 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ae ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000212 ), + .Q(\blk00000003/sig000006cd ), + .Q15(\NLW_blk00000003/blk000006ae_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006cc ), + .Q(\blk00000003/sig00000568 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ac ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000211 ), + .Q(\blk00000003/sig000006cc ), + .Q15(\NLW_blk00000003/blk000006ac_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006cb ), + .Q(\blk00000003/sig0000056a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006aa ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000213 ), + .Q(\blk00000003/sig000006cb ), + .Q15(\NLW_blk00000003/blk000006aa_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006ca ), + .Q(\blk00000003/sig00000567 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006a8 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000210 ), + .Q(\blk00000003/sig000006ca ), + .Q15(\NLW_blk00000003/blk000006a8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006c9 ), + .Q(\blk00000003/sig00000566 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006a6 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020f ), + .Q(\blk00000003/sig000006c9 ), + .Q15(\NLW_blk00000003/blk000006a6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006a5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006c8 ), + .Q(\blk00000003/sig00000564 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006a4 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020d ), + .Q(\blk00000003/sig000006c8 ), + .Q15(\NLW_blk00000003/blk000006a4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006a3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006c7 ), + .Q(\blk00000003/sig00000563 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006a2 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020c ), + .Q(\blk00000003/sig000006c7 ), + .Q15(\NLW_blk00000003/blk000006a2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006a1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006c6 ), + .Q(\blk00000003/sig00000565 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006a0 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020e ), + .Q(\blk00000003/sig000006c6 ), + .Q15(\NLW_blk00000003/blk000006a0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006c5 ), + .Q(\blk00000003/sig00000561 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069e ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020a ), + .Q(\blk00000003/sig000006c5 ), + .Q15(\NLW_blk00000003/blk0000069e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006c4 ), + .Q(\blk00000003/sig00000560 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069c ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000209 ), + .Q(\blk00000003/sig000006c4 ), + .Q15(\NLW_blk00000003/blk0000069c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006c3 ), + .Q(\blk00000003/sig00000562 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069a ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020b ), + .Q(\blk00000003/sig000006c3 ), + .Q15(\NLW_blk00000003/blk0000069a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000699 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006c2 ), + .Q(\blk00000003/sig0000055e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000698 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000207 ), + .Q(\blk00000003/sig000006c2 ), + .Q15(\NLW_blk00000003/blk00000698_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000697 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006c1 ), + .Q(\blk00000003/sig0000055d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000696 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000206 ), + .Q(\blk00000003/sig000006c1 ), + .Q15(\NLW_blk00000003/blk00000696_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000695 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006c0 ), + .Q(\blk00000003/sig0000055f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000694 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000208 ), + .Q(\blk00000003/sig000006c0 ), + .Q15(\NLW_blk00000003/blk00000694_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006bf ), + .Q(\blk00000003/sig0000055c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000692 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000205 ), + .Q(\blk00000003/sig000006bf ), + .Q15(\NLW_blk00000003/blk00000692_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000691 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006be ), + .Q(\blk00000003/sig0000055b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000690 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000204 ), + .Q(\blk00000003/sig000006be ), + .Q15(\NLW_blk00000003/blk00000690_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000068f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006bd ), + .Q(\blk00000003/sig00000559 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000068e ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000202 ), + .Q(\blk00000003/sig000006bd ), + .Q15(\NLW_blk00000003/blk0000068e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000068d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006bc ), + .Q(\blk00000003/sig00000558 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000068c ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000201 ), + .Q(\blk00000003/sig000006bc ), + .Q15(\NLW_blk00000003/blk0000068c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000068b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006bb ), + .Q(\blk00000003/sig0000055a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000068a ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000203 ), + .Q(\blk00000003/sig000006bb ), + .Q15(\NLW_blk00000003/blk0000068a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000689 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006ba ), + .Q(\blk00000003/sig0000059e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000688 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ff ), + .Q(\blk00000003/sig000006ba ), + .Q15(\NLW_blk00000003/blk00000688_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000687 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006b9 ), + .Q(\blk00000003/sig0000059d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000686 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fe ), + .Q(\blk00000003/sig000006b9 ), + .Q15(\NLW_blk00000003/blk00000686_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000685 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006b8 ), + .Q(\blk00000003/sig00000557 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000684 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000200 ), + .Q(\blk00000003/sig000006b8 ), + .Q15(\NLW_blk00000003/blk00000684_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000683 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006b7 ), + .Q(\blk00000003/sig0000059b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000682 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fc ), + .Q(\blk00000003/sig000006b7 ), + .Q15(\NLW_blk00000003/blk00000682_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000681 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006b6 ), + .Q(\blk00000003/sig0000059a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000680 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fb ), + .Q(\blk00000003/sig000006b6 ), + .Q15(\NLW_blk00000003/blk00000680_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000067f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006b5 ), + .Q(\blk00000003/sig0000059c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000067e ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fd ), + .Q(\blk00000003/sig000006b5 ), + .Q15(\NLW_blk00000003/blk0000067e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000067d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006b4 ), + .Q(\blk00000003/sig00000599 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000067c ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fa ), + .Q(\blk00000003/sig000006b4 ), + .Q15(\NLW_blk00000003/blk0000067c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000067b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006b3 ), + .Q(\blk00000003/sig00000598 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000067a ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f9 ), + .Q(\blk00000003/sig000006b3 ), + .Q15(\NLW_blk00000003/blk0000067a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000679 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006b2 ), + .Q(\blk00000003/sig00000596 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000678 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f7 ), + .Q(\blk00000003/sig000006b2 ), + .Q15(\NLW_blk00000003/blk00000678_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000677 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006b1 ), + .Q(\blk00000003/sig00000595 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000676 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f6 ), + .Q(\blk00000003/sig000006b1 ), + .Q15(\NLW_blk00000003/blk00000676_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000675 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006b0 ), + .Q(\blk00000003/sig00000597 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000674 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f8 ), + .Q(\blk00000003/sig000006b0 ), + .Q15(\NLW_blk00000003/blk00000674_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000673 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006af ), + .Q(\blk00000003/sig00000593 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000672 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f4 ), + .Q(\blk00000003/sig000006af ), + .Q15(\NLW_blk00000003/blk00000672_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000671 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006ae ), + .Q(\blk00000003/sig00000592 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000670 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f3 ), + .Q(\blk00000003/sig000006ae ), + .Q15(\NLW_blk00000003/blk00000670_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000066f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006ad ), + .Q(\blk00000003/sig00000594 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000066e ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f5 ), + .Q(\blk00000003/sig000006ad ), + .Q15(\NLW_blk00000003/blk0000066e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000066d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006ac ), + .Q(\blk00000003/sig00000590 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000066c ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f1 ), + .Q(\blk00000003/sig000006ac ), + .Q15(\NLW_blk00000003/blk0000066c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000066b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006ab ), + .Q(\blk00000003/sig0000058f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000066a ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f0 ), + .Q(\blk00000003/sig000006ab ), + .Q15(\NLW_blk00000003/blk0000066a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000669 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006aa ), + .Q(\blk00000003/sig00000591 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000668 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f2 ), + .Q(\blk00000003/sig000006aa ), + .Q15(\NLW_blk00000003/blk00000668_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000667 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006a9 ), + .Q(\blk00000003/sig0000058e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000666 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ef ), + .Q(\blk00000003/sig000006a9 ), + .Q15(\NLW_blk00000003/blk00000666_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000665 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006a8 ), + .Q(\blk00000003/sig0000058d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000664 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ee ), + .Q(\blk00000003/sig000006a8 ), + .Q15(\NLW_blk00000003/blk00000664_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000663 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006a7 ), + .Q(\blk00000003/sig0000058b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000662 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ec ), + .Q(\blk00000003/sig000006a7 ), + .Q15(\NLW_blk00000003/blk00000662_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000661 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006a6 ), + .Q(\blk00000003/sig0000058a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000660 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001eb ), + .Q(\blk00000003/sig000006a6 ), + .Q15(\NLW_blk00000003/blk00000660_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006a5 ), + .Q(\blk00000003/sig0000058c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000065e ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ed ), + .Q(\blk00000003/sig000006a5 ), + .Q15(\NLW_blk00000003/blk0000065e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006a4 ), + .Q(\blk00000003/sig00000588 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000065c ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001e9 ), + .Q(\blk00000003/sig000006a4 ), + .Q15(\NLW_blk00000003/blk0000065c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006a3 ), + .Q(\blk00000003/sig00000587 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000065a ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001e8 ), + .Q(\blk00000003/sig000006a3 ), + .Q15(\NLW_blk00000003/blk0000065a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000659 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006a2 ), + .Q(\blk00000003/sig00000589 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000658 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ea ), + .Q(\blk00000003/sig000006a2 ), + .Q15(\NLW_blk00000003/blk00000658_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000657 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006a1 ), + .Q(\blk00000003/sig000002c2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000656 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002a8 ), + .Q(\blk00000003/sig000006a1 ), + .Q15(\NLW_blk00000003/blk00000656_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000655 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006a0 ), + .Q(\blk00000003/sig00000680 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000654 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001d0 ), + .Q(\blk00000003/sig000006a0 ), + .Q15(\NLW_blk00000003/blk00000654_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000653 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000069f ), + .Q(\blk00000003/sig000002c1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000652 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001d4 ), + .Q(\blk00000003/sig0000069f ), + .Q15(\NLW_blk00000003/blk00000652_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000651 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000069e ), + .Q(\blk00000003/sig000005c8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000650 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[0]), + .Q(\blk00000003/sig0000069e ), + .Q15(\NLW_blk00000003/blk00000650_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000069d ), + .Q(\blk00000003/sig000005c7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[1]), + .Q(\blk00000003/sig0000069d ), + .Q15(\NLW_blk00000003/blk0000064e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000069c ), + .Q(\blk00000003/sig000005c5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[3]), + .Q(\blk00000003/sig0000069c ), + .Q15(\NLW_blk00000003/blk0000064c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000069b ), + .Q(\blk00000003/sig000005c4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[4]), + .Q(\blk00000003/sig0000069b ), + .Q15(\NLW_blk00000003/blk0000064a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000649 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000069a ), + .Q(\blk00000003/sig000005c6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000648 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[2]), + .Q(\blk00000003/sig0000069a ), + .Q15(\NLW_blk00000003/blk00000648_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000647 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000699 ), + .Q(\blk00000003/sig000005c2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000646 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[6]), + .Q(\blk00000003/sig00000699 ), + .Q15(\NLW_blk00000003/blk00000646_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000645 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000698 ), + .Q(\blk00000003/sig000005c1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000644 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[7]), + .Q(\blk00000003/sig00000698 ), + .Q15(\NLW_blk00000003/blk00000644_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000643 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000697 ), + .Q(\blk00000003/sig000005c3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000642 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[5]), + .Q(\blk00000003/sig00000697 ), + .Q15(\NLW_blk00000003/blk00000642_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000641 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000696 ), + .Q(\blk00000003/sig000005bf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000640 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[9]), + .Q(\blk00000003/sig00000696 ), + .Q15(\NLW_blk00000003/blk00000640_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000695 ), + .Q(\blk00000003/sig000005be ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[10]), + .Q(\blk00000003/sig00000695 ), + .Q15(\NLW_blk00000003/blk0000063e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000694 ), + .Q(\blk00000003/sig000005c0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[8]), + .Q(\blk00000003/sig00000694 ), + .Q15(\NLW_blk00000003/blk0000063c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000693 ), + .Q(\blk00000003/sig000005bd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[11]), + .Q(\blk00000003/sig00000693 ), + .Q15(\NLW_blk00000003/blk0000063a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000639 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000692 ), + .Q(\blk00000003/sig000005bc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000638 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[12]), + .Q(\blk00000003/sig00000692 ), + .Q15(\NLW_blk00000003/blk00000638_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000637 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000691 ), + .Q(\blk00000003/sig000005ba ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000636 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[14]), + .Q(\blk00000003/sig00000691 ), + .Q15(\NLW_blk00000003/blk00000636_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000635 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000690 ), + .Q(\blk00000003/sig000005b9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000634 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[15]), + .Q(\blk00000003/sig00000690 ), + .Q15(\NLW_blk00000003/blk00000634_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000633 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000068f ), + .Q(\blk00000003/sig000005bb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000632 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[13]), + .Q(\blk00000003/sig0000068f ), + .Q15(\NLW_blk00000003/blk00000632_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000631 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000068e ), + .Q(\blk00000003/sig000005b7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000630 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[17]), + .Q(\blk00000003/sig0000068e ), + .Q15(\NLW_blk00000003/blk00000630_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000062f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000068d ), + .Q(\blk00000003/sig000005b8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000062e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[16]), + .Q(\blk00000003/sig0000068d ), + .Q15(\NLW_blk00000003/blk0000062e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000062d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000068c ), + .Q(\blk00000003/sig000004f5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000062c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001d6 ), + .Q(\blk00000003/sig0000068c ), + .Q15(\NLW_blk00000003/blk0000062c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000062b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000068b ), + .Q(\blk00000003/sig000005f0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000062a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ce ), + .Q(\blk00000003/sig0000068b ), + .Q15(\NLW_blk00000003/blk0000062a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000629 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000068a ), + .Q(\blk00000003/sig000001df ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000628 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001e1 ), + .Q(\blk00000003/sig0000068a ), + .Q15(\NLW_blk00000003/blk00000628_Q15_UNCONNECTED ) + ); + INV \blk00000003/blk00000627 ( + .I(\blk00000003/sig0000023e ), + .O(\blk00000003/sig0000027e ) + ); + INV \blk00000003/blk00000626 ( + .I(\blk00000003/sig00000287 ), + .O(\blk00000003/sig00000277 ) + ); + INV \blk00000003/blk00000625 ( + .I(\blk00000003/sig000001ce ), + .O(\blk00000003/sig0000028c ) + ); + INV \blk00000003/blk00000624 ( + .I(\blk00000003/sig0000028e ), + .O(\blk00000003/sig0000027d ) + ); + INV \blk00000003/blk00000623 ( + .I(\blk00000003/sig000005ff ), + .O(\blk00000003/sig00000678 ) + ); + INV \blk00000003/blk00000622 ( + .I(\blk00000003/sig00000242 ), + .O(\blk00000003/sig0000028f ) + ); + INV \blk00000003/blk00000621 ( + .I(\blk00000003/sig0000023e ), + .O(\blk00000003/sig00000278 ) + ); + INV \blk00000003/blk00000620 ( + .I(\blk00000003/sig0000021b ), + .O(\blk00000003/sig00000243 ) + ); + INV \blk00000003/blk0000061f ( + .I(\blk00000003/sig000001cc ), + .O(\blk00000003/sig000000ba ) + ); + INV \blk00000003/blk0000061e ( + .I(\blk00000003/sig000000ad ), + .O(\blk00000003/sig000001c7 ) + ); + INV \blk00000003/blk0000061d ( + .I(\blk00000003/sig000000b7 ), + .O(\blk00000003/sig000000b8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000061c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000604 ), + .Q(\blk00000003/sig0000067c ) + ); + LUT3 #( + .INIT ( 8'h40 )) + \blk00000003/blk0000061b ( + .I0(\blk00000003/sig0000024b ), + .I1(\blk00000003/sig00000234 ), + .I2(coef_ld), + .O(\blk00000003/sig00000247 ) + ); + LUT5 #( + .INIT ( 32'h4F444444 )) + \blk00000003/blk0000061a ( + .I0(\blk00000003/sig00000248 ), + .I1(\blk00000003/sig0000023c ), + .I2(\blk00000003/sig0000024b ), + .I3(coef_ld), + .I4(\blk00000003/sig00000234 ), + .O(\blk00000003/sig0000023f ) + ); + LUT4 #( + .INIT ( 16'h1000 )) + \blk00000003/blk00000619 ( + .I0(coef_ld), + .I1(\blk00000003/sig00000236 ), + .I2(coef_we), + .I3(\blk00000003/sig00000234 ), + .O(\blk00000003/sig00000246 ) + ); + LUT5 #( + .INIT ( 32'h20AA2020 )) + \blk00000003/blk00000618 ( + .I0(\blk00000003/sig00000234 ), + .I1(\blk00000003/sig00000236 ), + .I2(coef_we), + .I3(\blk00000003/sig0000024b ), + .I4(coef_ld), + .O(\blk00000003/sig00000245 ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk00000617 ( + .I0(\blk00000003/sig00000287 ), + .I1(ce), + .I2(\blk00000003/sig0000023c ), + .I3(\blk00000003/sig00000219 ), + .O(\blk00000003/sig00000689 ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk00000616 ( + .I0(\blk00000003/sig0000028e ), + .I1(ce), + .I2(\blk00000003/sig0000023a ), + .I3(\blk00000003/sig0000027f ), + .O(\blk00000003/sig00000688 ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk00000615 ( + .I0(\blk00000003/sig0000067b ), + .I1(ce), + .I2(\blk00000003/sig000001d4 ), + .I3(\blk00000003/sig000001d6 ), + .O(\blk00000003/sig00000686 ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk00000614 ( + .I0(ce), + .I1(sclr), + .I2(\blk00000003/sig0000067f ), + .O(\blk00000003/sig00000685 ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk00000613 ( + .I0(ce), + .I1(\blk00000003/sig0000024b ), + .I2(\blk00000003/sig0000067d ), + .O(\blk00000003/sig00000684 ) + ); + LUT5 #( + .INIT ( 32'h6AAAAAAA )) + \blk00000003/blk00000612 ( + .I0(\blk00000003/sig0000067e ), + .I1(\blk00000003/sig00000291 ), + .I2(ce), + .I3(nd), + .I4(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig00000687 ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk00000611 ( + .C(clk), + .D(\blk00000003/sig00000689 ), + .Q(\blk00000003/sig00000287 ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk00000610 ( + .C(clk), + .D(\blk00000003/sig00000688 ), + .Q(\blk00000003/sig0000028e ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk0000060f ( + .C(clk), + .D(\blk00000003/sig00000687 ), + .R(sclr), + .Q(\blk00000003/sig0000067e ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk0000060e ( + .C(clk), + .D(\blk00000003/sig00000686 ), + .R(sclr), + .Q(\blk00000003/sig0000067b ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000060d ( + .I0(\blk00000003/sig00000602 ), + .O(\blk00000003/sig000005fd ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000060c ( + .I0(\blk00000003/sig00000601 ), + .O(\blk00000003/sig000005fa ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000060b ( + .I0(\blk00000003/sig00000600 ), + .O(\blk00000003/sig000005f7 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000060a ( + .I0(\blk00000003/sig000005ff ), + .O(\blk00000003/sig000005f4 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000609 ( + .I0(\blk00000003/sig000002be ), + .O(\blk00000003/sig000002bf ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000608 ( + .I0(\blk00000003/sig000002ba ), + .O(\blk00000003/sig000002bb ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000607 ( + .I0(\blk00000003/sig000002a6 ), + .O(\blk00000003/sig000002a0 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000606 ( + .I0(\blk00000003/sig0000067e ), + .O(\blk00000003/sig00000296 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000605 ( + .I0(\blk00000003/sig00000268 ), + .O(\blk00000003/sig00000269 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000604 ( + .I0(\blk00000003/sig00000264 ), + .O(\blk00000003/sig00000265 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000603 ( + .I0(\blk00000003/sig00000256 ), + .O(\blk00000003/sig00000254 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000602 ( + .I0(\blk00000003/sig0000024f ), + .O(\blk00000003/sig0000024d ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000601 ( + .I0(\blk00000003/sig0000024f ), + .I1(\blk00000003/sig00000252 ), + .O(\blk00000003/sig0000022a ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000600 ( + .I0(\blk00000003/sig000001cc ), + .O(\blk00000003/sig000000bb ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000672 ), + .R(sclr), + .Q(\blk00000003/sig00000677 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000066f ), + .R(sclr), + .Q(\blk00000003/sig00000676 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000066c ), + .R(sclr), + .Q(\blk00000003/sig00000675 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000669 ), + .R(sclr), + .Q(\blk00000003/sig00000674 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000666 ), + .R(sclr), + .Q(\blk00000003/sig00000673 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005f2 ), + .R(sclr), + .Q(\blk00000003/sig00000603 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000005f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005fe ), + .S(sclr), + .Q(\blk00000003/sig00000602 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005fb ), + .R(sclr), + .Q(\blk00000003/sig00000601 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005f8 ), + .R(sclr), + .Q(\blk00000003/sig00000600 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000005f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005f5 ), + .S(sclr), + .Q(\blk00000003/sig000005ff ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002c0 ), + .R(\blk00000003/sig000002c3 ), + .Q(\blk00000003/sig000002be ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002bd ), + .R(\blk00000003/sig000002c3 ), + .Q(\blk00000003/sig000002ba ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000005f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b3 ), + .S(\blk00000003/sig000002c2 ), + .Q(\blk00000003/sig000002b8 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000005f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b6 ), + .S(\blk00000003/sig000002c2 ), + .Q(\blk00000003/sig000002b7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ab ), + .R(\blk00000003/sig000002c2 ), + .Q(\blk00000003/sig000002b1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ae ), + .R(\blk00000003/sig000002c2 ), + .Q(\blk00000003/sig000002b0 ) + ); + FDR \blk00000003/blk000005ef ( + .C(clk), + .D(\blk00000003/sig00000685 ), + .R(ce), + .Q(\blk00000003/sig0000067f ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000005ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a1 ), + .S(sclr), + .Q(\blk00000003/sig000002a6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a4 ), + .R(sclr), + .Q(\blk00000003/sig000002a5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029b ), + .R(sclr), + .Q(\blk00000003/sig000001e7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029e ), + .R(sclr), + .Q(\blk00000003/sig000001e6 ) + ); + FDR \blk00000003/blk000005ea ( + .C(clk), + .D(\blk00000003/sig00000684 ), + .R(ce), + .Q(\blk00000003/sig0000067d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000026a ), + .R(\blk00000003/sig0000026d ), + .Q(\blk00000003/sig00000268 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000267 ), + .R(\blk00000003/sig0000026d ), + .Q(\blk00000003/sig00000264 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000025c ), + .R(coef_ld), + .Q(\blk00000003/sig00000262 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000025f ), + .R(coef_ld), + .Q(\blk00000003/sig00000261 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000258 ), + .R(sclr), + .Q(\blk00000003/sig00000259 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000255 ), + .R(sclr), + .Q(\blk00000003/sig00000256 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000251 ), + .R(coef_ld), + .Q(\blk00000003/sig00000252 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000024e ), + .R(coef_ld), + .Q(\blk00000003/sig0000024f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000000af ), + .R(sclr), + .Q(\blk00000003/sig000000ad ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000005e0 ( + .I0(\blk00000003/sig00000673 ), + .I1(\blk00000003/sig000005ff ), + .O(\blk00000003/sig00000665 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000005df ( + .I0(\blk00000003/sig00000674 ), + .I1(\blk00000003/sig000005ff ), + .O(\blk00000003/sig00000668 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000005de ( + .I0(\blk00000003/sig00000675 ), + .I1(\blk00000003/sig000005ff ), + .O(\blk00000003/sig0000066b ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000005dd ( + .I0(\blk00000003/sig00000676 ), + .I1(\blk00000003/sig000005ff ), + .O(\blk00000003/sig0000066e ) + ); + LUT3 #( + .INIT ( 8'hDE )) + \blk00000003/blk000005dc ( + .I0(\blk00000003/sig00000677 ), + .I1(\blk00000003/sig000005ff ), + .I2(\blk00000003/sig000001dd ), + .O(\blk00000003/sig00000671 ) + ); + LUT3 #( + .INIT ( 8'h04 )) + \blk00000003/blk000005db ( + .I0(\blk00000003/sig000001dd ), + .I1(\blk00000003/sig0000004a ), + .I2(\blk00000003/sig000005ff ), + .O(\blk00000003/sig00000663 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005da ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000106 ), + .I3(NlwRenamedSig_OI_dout_2[45]), + .O(\blk00000003/sig00000661 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d9 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000105 ), + .I3(NlwRenamedSig_OI_dout_2[46]), + .O(\blk00000003/sig00000662 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d8 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000107 ), + .I3(NlwRenamedSig_OI_dout_2[44]), + .O(\blk00000003/sig00000660 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d7 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000109 ), + .I3(NlwRenamedSig_OI_dout_2[42]), + .O(\blk00000003/sig0000065e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d6 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000108 ), + .I3(NlwRenamedSig_OI_dout_2[43]), + .O(\blk00000003/sig0000065f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d5 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000010a ), + .I3(NlwRenamedSig_OI_dout_2[41]), + .O(\blk00000003/sig0000065d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d4 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000010c ), + .I3(NlwRenamedSig_OI_dout_2[39]), + .O(\blk00000003/sig0000065b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d3 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000010b ), + .I3(NlwRenamedSig_OI_dout_2[40]), + .O(\blk00000003/sig0000065c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d2 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000010d ), + .I3(NlwRenamedSig_OI_dout_2[38]), + .O(\blk00000003/sig0000065a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d1 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000010f ), + .I3(NlwRenamedSig_OI_dout_2[36]), + .O(\blk00000003/sig00000658 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d0 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000010e ), + .I3(NlwRenamedSig_OI_dout_2[37]), + .O(\blk00000003/sig00000659 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005cf ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000110 ), + .I3(NlwRenamedSig_OI_dout_2[35]), + .O(\blk00000003/sig00000657 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ce ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000112 ), + .I3(NlwRenamedSig_OI_dout_2[33]), + .O(\blk00000003/sig00000655 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005cd ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000111 ), + .I3(NlwRenamedSig_OI_dout_2[34]), + .O(\blk00000003/sig00000656 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005cc ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000113 ), + .I3(NlwRenamedSig_OI_dout_2[32]), + .O(\blk00000003/sig00000654 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005cb ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000115 ), + .I3(NlwRenamedSig_OI_dout_2[30]), + .O(\blk00000003/sig00000652 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ca ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000114 ), + .I3(NlwRenamedSig_OI_dout_2[31]), + .O(\blk00000003/sig00000653 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c9 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000116 ), + .I3(NlwRenamedSig_OI_dout_2[29]), + .O(\blk00000003/sig00000651 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c8 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000118 ), + .I3(NlwRenamedSig_OI_dout_2[27]), + .O(\blk00000003/sig0000064f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c7 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000117 ), + .I3(NlwRenamedSig_OI_dout_2[28]), + .O(\blk00000003/sig00000650 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c6 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000119 ), + .I3(NlwRenamedSig_OI_dout_2[26]), + .O(\blk00000003/sig0000064e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c5 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000011b ), + .I3(NlwRenamedSig_OI_dout_2[24]), + .O(\blk00000003/sig0000064c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c4 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000011a ), + .I3(NlwRenamedSig_OI_dout_2[25]), + .O(\blk00000003/sig0000064d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c3 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000011c ), + .I3(NlwRenamedSig_OI_dout_2[23]), + .O(\blk00000003/sig0000064b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c2 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000011e ), + .I3(NlwRenamedSig_OI_dout_2[21]), + .O(\blk00000003/sig00000649 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c1 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000011d ), + .I3(NlwRenamedSig_OI_dout_2[22]), + .O(\blk00000003/sig0000064a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c0 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000011f ), + .I3(NlwRenamedSig_OI_dout_2[20]), + .O(\blk00000003/sig00000648 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005bf ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000121 ), + .I3(NlwRenamedSig_OI_dout_2[18]), + .O(\blk00000003/sig00000646 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005be ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000120 ), + .I3(NlwRenamedSig_OI_dout_2[19]), + .O(\blk00000003/sig00000647 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005bd ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000122 ), + .I3(NlwRenamedSig_OI_dout_2[17]), + .O(\blk00000003/sig00000645 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005bc ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000124 ), + .I3(NlwRenamedSig_OI_dout_2[15]), + .O(\blk00000003/sig00000643 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005bb ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000123 ), + .I3(NlwRenamedSig_OI_dout_2[16]), + .O(\blk00000003/sig00000644 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ba ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000125 ), + .I3(NlwRenamedSig_OI_dout_2[14]), + .O(\blk00000003/sig00000642 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005b9 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000127 ), + .I3(NlwRenamedSig_OI_dout_2[12]), + .O(\blk00000003/sig00000640 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005b8 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000126 ), + .I3(NlwRenamedSig_OI_dout_2[13]), + .O(\blk00000003/sig00000641 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005b7 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000128 ), + .I3(NlwRenamedSig_OI_dout_2[11]), + .O(\blk00000003/sig0000063f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005b6 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000012a ), + .I3(NlwRenamedSig_OI_dout_2[9]), + .O(\blk00000003/sig0000063d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005b5 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000129 ), + .I3(NlwRenamedSig_OI_dout_2[10]), + .O(\blk00000003/sig0000063e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005b4 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000012b ), + .I3(NlwRenamedSig_OI_dout_2[8]), + .O(\blk00000003/sig0000063c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005b3 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000012d ), + .I3(NlwRenamedSig_OI_dout_2[6]), + .O(\blk00000003/sig0000063a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005b2 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000012c ), + .I3(NlwRenamedSig_OI_dout_2[7]), + .O(\blk00000003/sig0000063b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005b1 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000012e ), + .I3(NlwRenamedSig_OI_dout_2[5]), + .O(\blk00000003/sig00000639 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005b0 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000130 ), + .I3(NlwRenamedSig_OI_dout_2[3]), + .O(\blk00000003/sig00000637 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005af ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000012f ), + .I3(NlwRenamedSig_OI_dout_2[4]), + .O(\blk00000003/sig00000638 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ae ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000131 ), + .I3(NlwRenamedSig_OI_dout_2[2]), + .O(\blk00000003/sig00000636 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ad ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000132 ), + .I3(NlwRenamedSig_OI_dout_2[1]), + .O(\blk00000003/sig00000635 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ac ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000017c ), + .I3(NlwRenamedSig_OI_dout_1[46]), + .O(\blk00000003/sig00000633 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ab ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000133 ), + .I3(NlwRenamedSig_OI_dout_2[0]), + .O(\blk00000003/sig00000634 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005aa ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000017d ), + .I3(NlwRenamedSig_OI_dout_1[45]), + .O(\blk00000003/sig00000632 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005a9 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000017f ), + .I3(NlwRenamedSig_OI_dout_1[43]), + .O(\blk00000003/sig00000630 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005a8 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000017e ), + .I3(NlwRenamedSig_OI_dout_1[44]), + .O(\blk00000003/sig00000631 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005a7 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000180 ), + .I3(NlwRenamedSig_OI_dout_1[42]), + .O(\blk00000003/sig0000062f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005a6 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000182 ), + .I3(NlwRenamedSig_OI_dout_1[40]), + .O(\blk00000003/sig0000062d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005a5 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000181 ), + .I3(NlwRenamedSig_OI_dout_1[41]), + .O(\blk00000003/sig0000062e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005a4 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000183 ), + .I3(NlwRenamedSig_OI_dout_1[39]), + .O(\blk00000003/sig0000062c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005a3 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000185 ), + .I3(NlwRenamedSig_OI_dout_1[37]), + .O(\blk00000003/sig0000062a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005a2 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000184 ), + .I3(NlwRenamedSig_OI_dout_1[38]), + .O(\blk00000003/sig0000062b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005a1 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000186 ), + .I3(NlwRenamedSig_OI_dout_1[36]), + .O(\blk00000003/sig00000629 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005a0 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000188 ), + .I3(NlwRenamedSig_OI_dout_1[34]), + .O(\blk00000003/sig00000627 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000059f ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000187 ), + .I3(NlwRenamedSig_OI_dout_1[35]), + .O(\blk00000003/sig00000628 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000059e ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000189 ), + .I3(NlwRenamedSig_OI_dout_1[33]), + .O(\blk00000003/sig00000626 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000059d ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000018b ), + .I3(NlwRenamedSig_OI_dout_1[31]), + .O(\blk00000003/sig00000624 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000059c ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000018a ), + .I3(NlwRenamedSig_OI_dout_1[32]), + .O(\blk00000003/sig00000625 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000059b ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000018c ), + .I3(NlwRenamedSig_OI_dout_1[30]), + .O(\blk00000003/sig00000623 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000059a ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000018e ), + .I3(NlwRenamedSig_OI_dout_1[28]), + .O(\blk00000003/sig00000621 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000599 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000018d ), + .I3(NlwRenamedSig_OI_dout_1[29]), + .O(\blk00000003/sig00000622 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000598 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000018f ), + .I3(NlwRenamedSig_OI_dout_1[27]), + .O(\blk00000003/sig00000620 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000597 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000191 ), + .I3(NlwRenamedSig_OI_dout_1[25]), + .O(\blk00000003/sig0000061e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000596 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000190 ), + .I3(NlwRenamedSig_OI_dout_1[26]), + .O(\blk00000003/sig0000061f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000595 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000192 ), + .I3(NlwRenamedSig_OI_dout_1[24]), + .O(\blk00000003/sig0000061d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000594 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000194 ), + .I3(NlwRenamedSig_OI_dout_1[22]), + .O(\blk00000003/sig0000061b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000593 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000193 ), + .I3(NlwRenamedSig_OI_dout_1[23]), + .O(\blk00000003/sig0000061c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000592 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000195 ), + .I3(NlwRenamedSig_OI_dout_1[21]), + .O(\blk00000003/sig0000061a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000591 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000197 ), + .I3(NlwRenamedSig_OI_dout_1[19]), + .O(\blk00000003/sig00000618 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000590 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000196 ), + .I3(NlwRenamedSig_OI_dout_1[20]), + .O(\blk00000003/sig00000619 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000058f ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000198 ), + .I3(NlwRenamedSig_OI_dout_1[18]), + .O(\blk00000003/sig00000617 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000058e ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000019a ), + .I3(NlwRenamedSig_OI_dout_1[16]), + .O(\blk00000003/sig00000615 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000058d ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000199 ), + .I3(NlwRenamedSig_OI_dout_1[17]), + .O(\blk00000003/sig00000616 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000058c ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000019b ), + .I3(NlwRenamedSig_OI_dout_1[15]), + .O(\blk00000003/sig00000614 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000058b ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000019d ), + .I3(NlwRenamedSig_OI_dout_1[13]), + .O(\blk00000003/sig00000612 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000058a ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000019c ), + .I3(NlwRenamedSig_OI_dout_1[14]), + .O(\blk00000003/sig00000613 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000589 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000019e ), + .I3(NlwRenamedSig_OI_dout_1[12]), + .O(\blk00000003/sig00000611 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000588 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig000001a0 ), + .I3(NlwRenamedSig_OI_dout_1[10]), + .O(\blk00000003/sig0000060f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000587 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000019f ), + .I3(NlwRenamedSig_OI_dout_1[11]), + .O(\blk00000003/sig00000610 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000586 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig000001a1 ), + .I3(NlwRenamedSig_OI_dout_1[9]), + .O(\blk00000003/sig0000060e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000585 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig000001a3 ), + .I3(NlwRenamedSig_OI_dout_1[7]), + .O(\blk00000003/sig0000060c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000584 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig000001a2 ), + .I3(NlwRenamedSig_OI_dout_1[8]), + .O(\blk00000003/sig0000060d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000583 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig000001a4 ), + .I3(NlwRenamedSig_OI_dout_1[6]), + .O(\blk00000003/sig0000060b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000582 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig000001a6 ), + .I3(NlwRenamedSig_OI_dout_1[4]), + .O(\blk00000003/sig00000609 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000581 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig000001a5 ), + .I3(NlwRenamedSig_OI_dout_1[5]), + .O(\blk00000003/sig0000060a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000580 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig000001a7 ), + .I3(NlwRenamedSig_OI_dout_1[3]), + .O(\blk00000003/sig00000608 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000057f ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig000001a9 ), + .I3(NlwRenamedSig_OI_dout_1[1]), + .O(\blk00000003/sig00000606 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000057e ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig000001a8 ), + .I3(NlwRenamedSig_OI_dout_1[2]), + .O(\blk00000003/sig00000607 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000057d ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig000001aa ), + .I3(NlwRenamedSig_OI_dout_1[0]), + .O(\blk00000003/sig00000605 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk0000057c ( + .I0(\blk00000003/sig00000603 ), + .I1(\blk00000003/sig000005ff ), + .O(\blk00000003/sig000005f1 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000057b ( + .I0(ce), + .I1(\blk00000003/sig000001df ), + .O(\blk00000003/sig00000683 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000057a ( + .I0(ce), + .I1(\blk00000003/sig000004e4 ), + .O(\blk00000003/sig000005ef ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000579 ( + .I0(ce), + .I1(\blk00000003/sig00000682 ), + .O(\blk00000003/sig000005ee ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000578 ( + .I0(ce), + .I1(\blk00000003/sig00000681 ), + .O(\blk00000003/sig000005ed ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000577 ( + .I0(\blk00000003/sig000002b7 ), + .I1(\blk00000003/sig000002c1 ), + .O(\blk00000003/sig000002b5 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000576 ( + .I0(\blk00000003/sig000002c1 ), + .I1(\blk00000003/sig000002b8 ), + .O(\blk00000003/sig000002b2 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000575 ( + .I0(\blk00000003/sig000002c1 ), + .I1(\blk00000003/sig00000680 ), + .O(\blk00000003/sig000002af ) + ); + LUT3 #( + .INIT ( 8'hEA )) + \blk00000003/blk00000574 ( + .I0(\blk00000003/sig000002b0 ), + .I1(\blk00000003/sig000002c1 ), + .I2(\blk00000003/sig00000680 ), + .O(\blk00000003/sig000002ad ) + ); + LUT3 #( + .INIT ( 8'hBC )) + \blk00000003/blk00000573 ( + .I0(\blk00000003/sig00000680 ), + .I1(\blk00000003/sig000002c1 ), + .I2(\blk00000003/sig000002b1 ), + .O(\blk00000003/sig000002aa ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk00000572 ( + .I0(sclr), + .I1(\blk00000003/sig0000067f ), + .O(\blk00000003/sig000002a7 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000571 ( + .I0(\blk00000003/sig000002a5 ), + .I1(\blk00000003/sig000001d6 ), + .O(\blk00000003/sig000002a3 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk00000570 ( + .I0(nd), + .I1(\blk00000003/sig00000298 ), + .I2(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig0000029f ) + ); + LUT4 #( + .INIT ( 16'hEAAA )) + \blk00000003/blk0000056f ( + .I0(\blk00000003/sig000001e6 ), + .I1(nd), + .I2(NlwRenamedSig_OI_rfd), + .I3(\blk00000003/sig00000298 ), + .O(\blk00000003/sig0000029d ) + ); + LUT4 #( + .INIT ( 16'hDFA0 )) + \blk00000003/blk0000056e ( + .I0(nd), + .I1(\blk00000003/sig00000298 ), + .I2(NlwRenamedSig_OI_rfd), + .I3(\blk00000003/sig000001e7 ), + .O(\blk00000003/sig0000029a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000056d ( + .I0(nd), + .I1(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig00000293 ) + ); + LUT3 #( + .INIT ( 8'h09 )) + \blk00000003/blk0000056c ( + .I0(\blk00000003/sig0000067e ), + .I1(\blk00000003/sig000001e6 ), + .I2(\blk00000003/sig000001e7 ), + .O(\blk00000003/sig00000295 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000056b ( + .I0(\blk00000003/sig0000023b ), + .I1(\blk00000003/sig00000242 ), + .O(\blk00000003/sig0000028d ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000056a ( + .I0(\blk00000003/sig00000242 ), + .I1(\blk00000003/sig0000023a ), + .O(\blk00000003/sig0000028a ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000569 ( + .I0(\blk00000003/sig00000242 ), + .I1(\blk00000003/sig0000023e ), + .O(\blk00000003/sig00000288 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk00000568 ( + .I0(\blk00000003/sig00000240 ), + .I1(\blk00000003/sig00000248 ), + .I2(\blk00000003/sig00000242 ), + .O(\blk00000003/sig00000283 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk00000567 ( + .I0(\blk00000003/sig00000240 ), + .I1(\blk00000003/sig0000023e ), + .I2(\blk00000003/sig00000242 ), + .O(\blk00000003/sig00000285 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000566 ( + .I0(\blk00000003/sig0000023b ), + .I1(\blk00000003/sig0000023e ), + .O(\blk00000003/sig0000027c ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000565 ( + .I0(\blk00000003/sig0000023a ), + .I1(\blk00000003/sig0000023e ), + .O(\blk00000003/sig0000027a ) + ); + LUT3 #( + .INIT ( 8'hDF )) + \blk00000003/blk00000564 ( + .I0(\blk00000003/sig00000238 ), + .I1(\blk00000003/sig00000242 ), + .I2(\blk00000003/sig0000023e ), + .O(\blk00000003/sig00000275 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000563 ( + .I0(\blk00000003/sig0000023d ), + .I1(\blk00000003/sig0000023e ), + .O(\blk00000003/sig00000271 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk00000562 ( + .I0(\blk00000003/sig0000023c ), + .I1(\blk00000003/sig0000023e ), + .I2(\blk00000003/sig00000248 ), + .O(\blk00000003/sig00000273 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk00000561 ( + .I0(\blk00000003/sig0000024b ), + .I1(\blk00000003/sig0000067d ), + .O(\blk00000003/sig0000026c ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk00000560 ( + .I0(coef_we), + .I1(\blk00000003/sig00000222 ), + .I2(\blk00000003/sig00000227 ), + .O(\blk00000003/sig00000260 ) + ); + LUT4 #( + .INIT ( 16'hEAAA )) + \blk00000003/blk0000055f ( + .I0(\blk00000003/sig00000261 ), + .I1(coef_we), + .I2(\blk00000003/sig00000227 ), + .I3(\blk00000003/sig00000222 ), + .O(\blk00000003/sig0000025e ) + ); + LUT4 #( + .INIT ( 16'hE6CC )) + \blk00000003/blk0000055e ( + .I0(coef_we), + .I1(\blk00000003/sig00000262 ), + .I2(\blk00000003/sig00000222 ), + .I3(\blk00000003/sig00000227 ), + .O(\blk00000003/sig0000025b ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk0000055d ( + .I0(\blk00000003/sig00000259 ), + .I1(\blk00000003/sig000001c3 ), + .O(\blk00000003/sig00000257 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk0000055c ( + .I0(\blk00000003/sig00000252 ), + .I1(coef_we), + .O(\blk00000003/sig00000250 ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk0000055b ( + .I0(coef_ld), + .I1(\blk00000003/sig0000024b ), + .O(\blk00000003/sig00000241 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000055a ( + .I0(coef_we), + .I1(\blk00000003/sig00000227 ), + .O(\blk00000003/sig00000223 ) + ); + LUT3 #( + .INIT ( 8'h40 )) + \blk00000003/blk00000559 ( + .I0(coef_ld), + .I1(coef_we), + .I2(\blk00000003/sig00000236 ), + .O(\blk00000003/sig00000249 ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000558 ( + .I0(\blk00000003/sig00000261 ), + .I1(\blk00000003/sig00000262 ), + .O(\blk00000003/sig0000022d ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000557 ( + .I0(\blk00000003/sig0000024f ), + .I1(\blk00000003/sig00000252 ), + .O(\blk00000003/sig00000229 ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000556 ( + .I0(\blk00000003/sig00000262 ), + .I1(\blk00000003/sig00000261 ), + .O(\blk00000003/sig00000225 ) + ); + LUT3 #( + .INIT ( 8'hDF )) + \blk00000003/blk00000555 ( + .I0(coef_ld), + .I1(\blk00000003/sig0000024b ), + .I2(\blk00000003/sig00000234 ), + .O(\blk00000003/sig00000220 ) + ); + LUT3 #( + .INIT ( 8'hDF )) + \blk00000003/blk00000554 ( + .I0(coef_we), + .I1(\blk00000003/sig00000236 ), + .I2(\blk00000003/sig00000234 ), + .O(\blk00000003/sig0000021d ) + ); + LUT5 #( + .INIT ( 32'hFFFF2AAA )) + \blk00000003/blk00000553 ( + .I0(\blk00000003/sig00000236 ), + .I1(coef_we), + .I2(\blk00000003/sig00000227 ), + .I3(\blk00000003/sig00000222 ), + .I4(coef_ld), + .O(\blk00000003/sig00000235 ) + ); + LUT4 #( + .INIT ( 16'hFF8A )) + \blk00000003/blk00000552 ( + .I0(\blk00000003/sig00000234 ), + .I1(\blk00000003/sig00000236 ), + .I2(coef_we), + .I3(coef_ld), + .O(\blk00000003/sig00000233 ) + ); + LUT3 #( + .INIT ( 8'h80 )) + \blk00000003/blk00000551 ( + .I0(nd), + .I1(\blk00000003/sig00000291 ), + .I2(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig000001e5 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000550 ( + .I0(\blk00000003/sig000000bf ), + .I1(\blk00000003/sig000001dd ), + .O(\blk00000003/sig000001e3 ) + ); + LUT3 #( + .INIT ( 8'h10 )) + \blk00000003/blk0000054f ( + .I0(\blk00000003/sig000000bf ), + .I1(\blk00000003/sig000005ff ), + .I2(\blk00000003/sig0000067c ), + .O(\blk00000003/sig000000c0 ) + ); + LUT3 #( + .INIT ( 8'hEA )) + \blk00000003/blk0000054e ( + .I0(sclr), + .I1(ce), + .I2(\blk00000003/sig000005ff ), + .O(\blk00000003/sig000001de ) + ); + LUT2 #( + .INIT ( 4'hD )) + \blk00000003/blk0000054d ( + .I0(NlwRenamedSig_OI_rfd), + .I1(nd), + .O(\blk00000003/sig000001ca ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk0000054c ( + .I0(\blk00000003/sig00000256 ), + .I1(\blk00000003/sig00000259 ), + .O(\blk00000003/sig000001c4 ) + ); + LUT5 #( + .INIT ( 32'h00002000 )) + \blk00000003/blk0000054b ( + .I0(\blk00000003/sig00000673 ), + .I1(\blk00000003/sig00000674 ), + .I2(\blk00000003/sig00000675 ), + .I3(\blk00000003/sig00000676 ), + .I4(\blk00000003/sig00000677 ), + .O(\blk00000003/sig000000c2 ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk0000054a ( + .I0(\blk00000003/sig000001d6 ), + .I1(\blk00000003/sig000001c3 ), + .I2(\blk00000003/sig000001e4 ), + .O(\blk00000003/sig000001d5 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000549 ( + .I0(\blk00000003/sig000002a6 ), + .I1(\blk00000003/sig000001e2 ), + .O(\blk00000003/sig000001db ) + ); + LUT3 #( + .INIT ( 8'hD8 )) + \blk00000003/blk00000548 ( + .I0(ce), + .I1(\blk00000003/sig00000679 ), + .I2(\blk00000003/sig000000b5 ), + .O(\blk00000003/sig000000b4 ) + ); + LUT3 #( + .INIT ( 8'h72 )) + \blk00000003/blk00000547 ( + .I0(ce), + .I1(\blk00000003/sig00000679 ), + .I2(\blk00000003/sig000000b3 ), + .O(\blk00000003/sig000000b2 ) + ); + LUT4 #( + .INIT ( 16'h8F88 )) + \blk00000003/blk00000546 ( + .I0(NlwRenamedSig_OI_rfd), + .I1(nd), + .I2(\blk00000003/sig000001d8 ), + .I3(\blk00000003/sig000001c6 ), + .O(\blk00000003/sig000001d7 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000545 ( + .I0(\blk00000003/sig000000ad ), + .I1(\blk00000003/sig000001c6 ), + .O(\blk00000003/sig000000ae ) + ); + LUT5 #( + .INIT ( 32'hCEEE8AAA )) + \blk00000003/blk00000544 ( + .I0(\blk00000003/sig000001c3 ), + .I1(\blk00000003/sig000001e4 ), + .I2(\blk00000003/sig000001d4 ), + .I3(\blk00000003/sig000001d6 ), + .I4(\blk00000003/sig000001d2 ), + .O(\blk00000003/sig000001d3 ) + ); + LUT4 #( + .INIT ( 16'h8808 )) + \blk00000003/blk00000543 ( + .I0(\blk00000003/sig000001d4 ), + .I1(\blk00000003/sig0000067b ), + .I2(\blk00000003/sig000001d6 ), + .I3(\blk00000003/sig000001e4 ), + .O(\blk00000003/sig000001cf ) + ); + LUT4 #( + .INIT ( 16'h5540 )) + \blk00000003/blk00000542 ( + .I0(\blk00000003/sig000001e4 ), + .I1(\blk00000003/sig000001d4 ), + .I2(\blk00000003/sig000001d6 ), + .I3(\blk00000003/sig000001d2 ), + .O(\blk00000003/sig000001d1 ) + ); + LUT3 #( + .INIT ( 8'h9A )) + \blk00000003/blk00000541 ( + .I0(\blk00000003/sig000002a5 ), + .I1(\blk00000003/sig000002a6 ), + .I2(\blk00000003/sig000001e2 ), + .O(\blk00000003/sig000001d9 ) + ); + LUT4 #( + .INIT ( 16'hFDA8 )) + \blk00000003/blk00000540 ( + .I0(ce), + .I1(\blk00000003/sig00000679 ), + .I2(\blk00000003/sig0000067a ), + .I3(\blk00000003/sig000000b1 ), + .O(\blk00000003/sig000000b0 ) + ); + MUXCY \blk00000003/blk0000053f ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ac ), + .S(\blk00000003/sig00000678 ), + .O(\blk00000003/sig00000670 ) + ); + MUXCY_L \blk00000003/blk0000053e ( + .CI(\blk00000003/sig00000670 ), + .DI(\blk00000003/sig00000677 ), + .S(\blk00000003/sig00000671 ), + .LO(\blk00000003/sig0000066d ) + ); + MUXCY_L \blk00000003/blk0000053d ( + .CI(\blk00000003/sig0000066d ), + .DI(\blk00000003/sig00000676 ), + .S(\blk00000003/sig0000066e ), + .LO(\blk00000003/sig0000066a ) + ); + MUXCY_L \blk00000003/blk0000053c ( + .CI(\blk00000003/sig0000066a ), + .DI(\blk00000003/sig00000675 ), + .S(\blk00000003/sig0000066b ), + .LO(\blk00000003/sig00000667 ) + ); + MUXCY_L \blk00000003/blk0000053b ( + .CI(\blk00000003/sig00000667 ), + .DI(\blk00000003/sig00000674 ), + .S(\blk00000003/sig00000668 ), + .LO(\blk00000003/sig00000664 ) + ); + MUXCY_D \blk00000003/blk0000053a ( + .CI(\blk00000003/sig00000664 ), + .DI(\blk00000003/sig00000673 ), + .S(\blk00000003/sig00000665 ), + .O(\NLW_blk00000003/blk0000053a_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk0000053a_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000539 ( + .CI(\blk00000003/sig00000670 ), + .LI(\blk00000003/sig00000671 ), + .O(\blk00000003/sig00000672 ) + ); + XORCY \blk00000003/blk00000538 ( + .CI(\blk00000003/sig0000066d ), + .LI(\blk00000003/sig0000066e ), + .O(\blk00000003/sig0000066f ) + ); + XORCY \blk00000003/blk00000537 ( + .CI(\blk00000003/sig0000066a ), + .LI(\blk00000003/sig0000066b ), + .O(\blk00000003/sig0000066c ) + ); + XORCY \blk00000003/blk00000536 ( + .CI(\blk00000003/sig00000667 ), + .LI(\blk00000003/sig00000668 ), + .O(\blk00000003/sig00000669 ) + ); + XORCY \blk00000003/blk00000535 ( + .CI(\blk00000003/sig00000664 ), + .LI(\blk00000003/sig00000665 ), + .O(\blk00000003/sig00000666 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000534 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000663 ), + .R(sclr), + .Q(\blk00000003/sig0000004a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000533 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000662 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[46]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000532 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000661 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[45]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000531 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000660 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[44]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000065f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[43]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000065e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[42]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000065d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[41]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000065c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[40]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000065b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[39]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000065a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[38]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000659 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[37]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000529 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000658 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[36]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000528 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000657 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[35]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000527 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000656 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[34]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000526 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000655 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[33]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000525 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000654 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[32]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000524 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000653 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[31]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000523 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000652 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[30]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000522 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000651 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[29]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000521 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000650 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[28]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000520 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000064f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[27]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000064e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[26]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000064d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[25]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000064c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[24]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000064b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[23]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000064a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[22]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000649 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[21]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000519 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000648 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[20]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000518 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000647 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[19]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000517 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000646 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[18]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000516 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000645 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[17]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000515 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000644 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[16]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000514 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000643 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[15]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000513 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000642 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[14]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000512 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000641 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[13]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000511 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000640 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[12]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000510 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000063f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[11]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000050f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000063e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[10]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000050e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000063d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[9]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000050d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000063c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[8]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000050c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000063b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[7]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000050b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000063a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[6]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000050a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000639 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[5]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000509 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000638 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[4]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000508 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000637 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[3]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000507 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000636 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[2]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000506 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000635 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[1]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000505 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000634 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[0]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000504 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000633 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[46]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000503 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000632 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[45]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000502 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000631 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[44]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000501 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000630 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[43]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000500 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000062f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[42]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000062e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[41]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000062d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[40]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000062c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[39]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000062b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[38]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000062a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[37]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000629 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[36]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000628 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[35]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000627 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[34]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000626 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[33]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000625 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[32]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000624 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[31]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000623 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[30]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000622 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[29]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000621 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[28]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000620 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[27]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000061f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[26]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000061e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[25]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000061d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[24]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000061c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[23]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000061b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[22]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000061a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[21]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000619 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[20]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000618 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[19]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000617 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[18]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000616 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[17]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000615 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[16]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000614 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[15]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000613 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[14]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000612 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[13]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000611 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[12]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000610 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[11]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000060f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[10]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004df ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000060e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[9]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004de ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000060d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[8]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004dd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000060c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[7]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000060b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[6]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004db ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000060a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[5]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004da ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000609 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[4]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000608 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[3]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000607 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[2]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000606 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[1]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000605 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[0]) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000604 ), + .Q(\blk00000003/sig000001dd ) + ); + MUXCY_L \blk00000003/blk000004d4 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000603 ), + .S(\blk00000003/sig000005f1 ), + .LO(\blk00000003/sig000005fc ) + ); + MUXCY_L \blk00000003/blk000004d3 ( + .CI(\blk00000003/sig000005fc ), + .DI(\blk00000003/sig00000602 ), + .S(\blk00000003/sig000005fd ), + .LO(\blk00000003/sig000005f9 ) + ); + MUXCY_L \blk00000003/blk000004d2 ( + .CI(\blk00000003/sig000005f9 ), + .DI(\blk00000003/sig00000601 ), + .S(\blk00000003/sig000005fa ), + .LO(\blk00000003/sig000005f6 ) + ); + MUXCY_L \blk00000003/blk000004d1 ( + .CI(\blk00000003/sig000005f6 ), + .DI(\blk00000003/sig00000600 ), + .S(\blk00000003/sig000005f7 ), + .LO(\blk00000003/sig000005f3 ) + ); + MUXCY_D \blk00000003/blk000004d0 ( + .CI(\blk00000003/sig000005f3 ), + .DI(\blk00000003/sig000005ff ), + .S(\blk00000003/sig000005f4 ), + .O(\NLW_blk00000003/blk000004d0_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000004d0_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000004cf ( + .CI(\blk00000003/sig000005fc ), + .LI(\blk00000003/sig000005fd ), + .O(\blk00000003/sig000005fe ) + ); + XORCY \blk00000003/blk000004ce ( + .CI(\blk00000003/sig000005f9 ), + .LI(\blk00000003/sig000005fa ), + .O(\blk00000003/sig000005fb ) + ); + XORCY \blk00000003/blk000004cd ( + .CI(\blk00000003/sig000005f6 ), + .LI(\blk00000003/sig000005f7 ), + .O(\blk00000003/sig000005f8 ) + ); + XORCY \blk00000003/blk000004cc ( + .CI(\blk00000003/sig000005f3 ), + .LI(\blk00000003/sig000005f4 ), + .O(\blk00000003/sig000005f5 ) + ); + XORCY \blk00000003/blk000004cb ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig000005f1 ), + .O(\blk00000003/sig000005f2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a3 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003f0 ), + .R(sclr), + .Q(\blk00000003/sig0000050e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a2 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003ef ), + .R(sclr), + .Q(\blk00000003/sig0000050d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a1 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003ee ), + .R(sclr), + .Q(\blk00000003/sig0000050c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a0 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003ed ), + .R(sclr), + .Q(\blk00000003/sig0000050b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049f ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003ec ), + .R(sclr), + .Q(\blk00000003/sig0000050a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049e ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003eb ), + .R(sclr), + .Q(\blk00000003/sig00000509 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049d ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003ea ), + .R(sclr), + .Q(\blk00000003/sig00000508 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049c ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003e9 ), + .R(sclr), + .Q(\blk00000003/sig00000507 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049b ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003e8 ), + .R(sclr), + .Q(\blk00000003/sig00000506 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049a ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003e7 ), + .R(sclr), + .Q(\blk00000003/sig00000505 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000499 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003e6 ), + .R(sclr), + .Q(\blk00000003/sig00000504 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000498 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003e5 ), + .R(sclr), + .Q(\blk00000003/sig00000503 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000497 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003e4 ), + .R(sclr), + .Q(\blk00000003/sig00000502 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000496 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003e3 ), + .R(sclr), + .Q(\blk00000003/sig00000501 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000495 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003e2 ), + .R(sclr), + .Q(\blk00000003/sig00000500 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000494 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003e1 ), + .R(sclr), + .Q(\blk00000003/sig000004ff ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000493 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003e0 ), + .R(sclr), + .Q(\blk00000003/sig000004fe ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000492 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003df ), + .R(sclr), + .Q(\blk00000003/sig000004fd ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000491 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003de ), + .R(sclr), + .Q(\blk00000003/sig000004fc ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000490 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003dd ), + .R(sclr), + .Q(\blk00000003/sig000004fb ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000048f ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003dc ), + .R(sclr), + .Q(\blk00000003/sig000004fa ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000048e ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003db ), + .R(sclr), + .Q(\blk00000003/sig000004f9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000048d ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003da ), + .R(sclr), + .Q(\blk00000003/sig000004f8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000048c ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003d9 ), + .R(sclr), + .Q(\blk00000003/sig000004f7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000048b ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig00000450 ), + .R(sclr), + .Q(\blk00000003/sig00000526 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000048a ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig0000044f ), + .R(sclr), + .Q(\blk00000003/sig00000525 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000489 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig0000044e ), + .R(sclr), + .Q(\blk00000003/sig00000524 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000488 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig0000044d ), + .R(sclr), + .Q(\blk00000003/sig00000523 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000487 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig0000044c ), + .R(sclr), + .Q(\blk00000003/sig00000522 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000486 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig0000044b ), + .R(sclr), + .Q(\blk00000003/sig00000521 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig0000044a ), + .R(sclr), + .Q(\blk00000003/sig00000520 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000484 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig00000449 ), + .R(sclr), + .Q(\blk00000003/sig0000051f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig00000448 ), + .R(sclr), + .Q(\blk00000003/sig0000051e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000482 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig00000447 ), + .R(sclr), + .Q(\blk00000003/sig0000051d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000481 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig00000446 ), + .R(sclr), + .Q(\blk00000003/sig0000051c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000480 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig00000445 ), + .R(sclr), + .Q(\blk00000003/sig0000051b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047f ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig00000444 ), + .R(sclr), + .Q(\blk00000003/sig0000051a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047e ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig00000443 ), + .R(sclr), + .Q(\blk00000003/sig00000519 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047d ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig00000442 ), + .R(sclr), + .Q(\blk00000003/sig00000518 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047c ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig00000441 ), + .R(sclr), + .Q(\blk00000003/sig00000517 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047b ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig00000440 ), + .R(sclr), + .Q(\blk00000003/sig00000516 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047a ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig0000043f ), + .R(sclr), + .Q(\blk00000003/sig00000515 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000479 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig0000043e ), + .R(sclr), + .Q(\blk00000003/sig00000514 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000478 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig0000043d ), + .R(sclr), + .Q(\blk00000003/sig00000513 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000477 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig0000043c ), + .R(sclr), + .Q(\blk00000003/sig00000512 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000476 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig0000043b ), + .R(sclr), + .Q(\blk00000003/sig00000511 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000475 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig0000043a ), + .R(sclr), + .Q(\blk00000003/sig00000510 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000474 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig00000439 ), + .R(sclr), + .Q(\blk00000003/sig0000050f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044c ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004aa ), + .R(sclr), + .Q(\blk00000003/sig00000586 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044b ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004a9 ), + .R(sclr), + .Q(\blk00000003/sig00000585 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044a ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004a8 ), + .R(sclr), + .Q(\blk00000003/sig00000584 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000449 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004a7 ), + .R(sclr), + .Q(\blk00000003/sig00000583 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000448 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004a6 ), + .R(sclr), + .Q(\blk00000003/sig00000582 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000447 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004a5 ), + .R(sclr), + .Q(\blk00000003/sig00000581 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000446 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004a4 ), + .R(sclr), + .Q(\blk00000003/sig00000580 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000445 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004a3 ), + .R(sclr), + .Q(\blk00000003/sig0000057f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000444 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004a2 ), + .R(sclr), + .Q(\blk00000003/sig0000057e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000443 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004a1 ), + .R(sclr), + .Q(\blk00000003/sig0000057d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000442 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004a0 ), + .R(sclr), + .Q(\blk00000003/sig0000057c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000441 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig0000049f ), + .R(sclr), + .Q(\blk00000003/sig0000057b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000440 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig0000049e ), + .R(sclr), + .Q(\blk00000003/sig0000057a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig0000049d ), + .R(sclr), + .Q(\blk00000003/sig00000579 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043e ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig0000049c ), + .R(sclr), + .Q(\blk00000003/sig00000578 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043d ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig0000049b ), + .R(sclr), + .Q(\blk00000003/sig00000577 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043c ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig0000049a ), + .R(sclr), + .Q(\blk00000003/sig00000576 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043b ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig00000499 ), + .R(sclr), + .Q(\blk00000003/sig00000575 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043a ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig00000498 ), + .R(sclr), + .Q(\blk00000003/sig00000574 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000439 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig00000497 ), + .R(sclr), + .Q(\blk00000003/sig00000573 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000438 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig00000496 ), + .R(sclr), + .Q(\blk00000003/sig00000572 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000437 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig00000495 ), + .R(sclr), + .Q(\blk00000003/sig00000571 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000436 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig00000494 ), + .R(sclr), + .Q(\blk00000003/sig00000570 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000435 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig00000493 ), + .R(sclr), + .Q(\blk00000003/sig0000056f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000434 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000408 ), + .R(sclr), + .Q(\blk00000003/sig0000053e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000433 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000407 ), + .R(sclr), + .Q(\blk00000003/sig0000053d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000432 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000406 ), + .R(sclr), + .Q(\blk00000003/sig0000053c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000431 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000405 ), + .R(sclr), + .Q(\blk00000003/sig0000053b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000430 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000404 ), + .R(sclr), + .Q(\blk00000003/sig0000053a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000042f ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000403 ), + .R(sclr), + .Q(\blk00000003/sig00000539 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000042e ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000402 ), + .R(sclr), + .Q(\blk00000003/sig00000538 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000042d ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000401 ), + .R(sclr), + .Q(\blk00000003/sig00000537 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000042c ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000400 ), + .R(sclr), + .Q(\blk00000003/sig00000536 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000042b ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003ff ), + .R(sclr), + .Q(\blk00000003/sig00000535 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000042a ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003fe ), + .R(sclr), + .Q(\blk00000003/sig00000534 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000429 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003fd ), + .R(sclr), + .Q(\blk00000003/sig00000533 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000428 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003fc ), + .R(sclr), + .Q(\blk00000003/sig00000532 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000427 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003fb ), + .R(sclr), + .Q(\blk00000003/sig00000531 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000426 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003fa ), + .R(sclr), + .Q(\blk00000003/sig00000530 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000425 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003f9 ), + .R(sclr), + .Q(\blk00000003/sig0000052f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000424 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003f8 ), + .R(sclr), + .Q(\blk00000003/sig0000052e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000423 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003f7 ), + .R(sclr), + .Q(\blk00000003/sig0000052d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000422 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003f6 ), + .R(sclr), + .Q(\blk00000003/sig0000052c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000421 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003f5 ), + .R(sclr), + .Q(\blk00000003/sig0000052b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000420 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003f4 ), + .R(sclr), + .Q(\blk00000003/sig0000052a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041f ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003f3 ), + .R(sclr), + .Q(\blk00000003/sig00000529 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041e ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003f2 ), + .R(sclr), + .Q(\blk00000003/sig00000528 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003f1 ), + .R(sclr), + .Q(\blk00000003/sig00000527 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004da ), + .R(sclr), + .Q(\blk00000003/sig000005b6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041b ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004d9 ), + .R(sclr), + .Q(\blk00000003/sig000005b5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041a ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004d8 ), + .R(sclr), + .Q(\blk00000003/sig000005b4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000419 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004d7 ), + .R(sclr), + .Q(\blk00000003/sig000005b3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000418 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004d6 ), + .R(sclr), + .Q(\blk00000003/sig000005b2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000417 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004d5 ), + .R(sclr), + .Q(\blk00000003/sig000005b1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000416 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004d4 ), + .R(sclr), + .Q(\blk00000003/sig000005b0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000415 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004d3 ), + .R(sclr), + .Q(\blk00000003/sig000005af ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000414 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004d2 ), + .R(sclr), + .Q(\blk00000003/sig000005ae ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000413 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004d1 ), + .R(sclr), + .Q(\blk00000003/sig000005ad ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000412 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004d0 ), + .R(sclr), + .Q(\blk00000003/sig000005ac ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000411 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004cf ), + .R(sclr), + .Q(\blk00000003/sig000005ab ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000410 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004ce ), + .R(sclr), + .Q(\blk00000003/sig000005aa ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040f ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004cd ), + .R(sclr), + .Q(\blk00000003/sig000005a9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040e ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004cc ), + .R(sclr), + .Q(\blk00000003/sig000005a8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040d ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004cb ), + .R(sclr), + .Q(\blk00000003/sig000005a7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040c ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004ca ), + .R(sclr), + .Q(\blk00000003/sig000005a6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040b ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004c9 ), + .R(sclr), + .Q(\blk00000003/sig000005a5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040a ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004c8 ), + .R(sclr), + .Q(\blk00000003/sig000005a4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000409 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004c7 ), + .R(sclr), + .Q(\blk00000003/sig000005a3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000408 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004c6 ), + .R(sclr), + .Q(\blk00000003/sig000005a2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000407 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004c5 ), + .R(sclr), + .Q(\blk00000003/sig000005a1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000406 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004c4 ), + .R(sclr), + .Q(\blk00000003/sig000005a0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000405 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004c3 ), + .R(sclr), + .Q(\blk00000003/sig0000059f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000404 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000468 ), + .R(sclr), + .Q(\blk00000003/sig00000556 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000403 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000467 ), + .R(sclr), + .Q(\blk00000003/sig00000555 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000402 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000466 ), + .R(sclr), + .Q(\blk00000003/sig00000554 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000401 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000465 ), + .R(sclr), + .Q(\blk00000003/sig00000553 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000400 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000464 ), + .R(sclr), + .Q(\blk00000003/sig00000552 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ff ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000463 ), + .R(sclr), + .Q(\blk00000003/sig00000551 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003fe ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000462 ), + .R(sclr), + .Q(\blk00000003/sig00000550 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003fd ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000461 ), + .R(sclr), + .Q(\blk00000003/sig0000054f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003fc ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000460 ), + .R(sclr), + .Q(\blk00000003/sig0000054e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003fb ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig0000045f ), + .R(sclr), + .Q(\blk00000003/sig0000054d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003fa ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig0000045e ), + .R(sclr), + .Q(\blk00000003/sig0000054c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig0000045d ), + .R(sclr), + .Q(\blk00000003/sig0000054b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f8 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig0000045c ), + .R(sclr), + .Q(\blk00000003/sig0000054a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f7 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig0000045b ), + .R(sclr), + .Q(\blk00000003/sig00000549 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f6 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig0000045a ), + .R(sclr), + .Q(\blk00000003/sig00000548 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f5 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000459 ), + .R(sclr), + .Q(\blk00000003/sig00000547 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f4 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000458 ), + .R(sclr), + .Q(\blk00000003/sig00000546 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f3 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000457 ), + .R(sclr), + .Q(\blk00000003/sig00000545 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f2 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000456 ), + .R(sclr), + .Q(\blk00000003/sig00000544 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f1 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000455 ), + .R(sclr), + .Q(\blk00000003/sig00000543 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f0 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000454 ), + .R(sclr), + .Q(\blk00000003/sig00000542 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ef ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000453 ), + .R(sclr), + .Q(\blk00000003/sig00000541 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ee ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000452 ), + .R(sclr), + .Q(\blk00000003/sig00000540 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ed ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000451 ), + .R(sclr), + .Q(\blk00000003/sig0000053f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000116 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b8 ), + .R(sclr), + .Q(\blk00000003/sig000004ef ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000115 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b7 ), + .R(sclr), + .Q(\blk00000003/sig000004ed ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000114 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004f6 ), + .R(sclr), + .Q(\blk00000003/sig000004e5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000113 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ba ), + .R(sclr), + .Q(\blk00000003/sig000004eb ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000112 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002be ), + .R(sclr), + .Q(\blk00000003/sig000004e9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000111 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002c4 ), + .R(sclr), + .Q(\blk00000003/sig000004e7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000110 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004f5 ), + .R(sclr), + .Q(\blk00000003/sig000004e3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b1 ), + .R(sclr), + .Q(\blk00000003/sig000004f3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b0 ), + .R(sclr), + .Q(\blk00000003/sig000004f1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004f3 ), + .R(sclr), + .Q(\blk00000003/sig000004f4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004f1 ), + .R(sclr), + .Q(\blk00000003/sig000004f2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004ef ), + .R(sclr), + .Q(\blk00000003/sig000004f0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004ed ), + .R(sclr), + .Q(\blk00000003/sig000004ee ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000109 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004eb ), + .R(sclr), + .Q(\blk00000003/sig000004ec ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000108 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004e9 ), + .R(sclr), + .Q(\blk00000003/sig000004ea ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000107 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004e7 ), + .R(sclr), + .Q(\blk00000003/sig000004e8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000106 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004e5 ), + .R(sclr), + .Q(\blk00000003/sig000004e6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000105 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004e3 ), + .R(sclr), + .Q(\blk00000003/sig000004e4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000104 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004e1 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000004e2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000103 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000232 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000004e1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000102 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004dd ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000004e0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000101 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004dc ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000004df ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000100 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004db ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000004de ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000026b ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000004dd ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000268 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000004dc ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000264 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000004db ) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000fc ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000fc_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000fc_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000fc_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000fc_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000fc_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000fc_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000fc_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac , +\blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .PCIN({\blk00000003/sig00000367 , \blk00000003/sig00000368 , \blk00000003/sig00000369 , \blk00000003/sig0000036a , \blk00000003/sig0000036b , +\blk00000003/sig0000036c , \blk00000003/sig0000036d , \blk00000003/sig0000036e , \blk00000003/sig0000036f , \blk00000003/sig00000370 , +\blk00000003/sig00000371 , \blk00000003/sig00000372 , \blk00000003/sig00000373 , \blk00000003/sig00000374 , \blk00000003/sig00000375 , +\blk00000003/sig00000376 , \blk00000003/sig00000377 , \blk00000003/sig00000378 , \blk00000003/sig00000379 , \blk00000003/sig0000037a , +\blk00000003/sig0000037b , \blk00000003/sig0000037c , \blk00000003/sig0000037d , \blk00000003/sig0000037e , \blk00000003/sig0000037f , +\blk00000003/sig00000380 , \blk00000003/sig00000381 , \blk00000003/sig00000382 , \blk00000003/sig00000383 , \blk00000003/sig00000384 , +\blk00000003/sig00000385 , \blk00000003/sig00000386 , \blk00000003/sig00000387 , \blk00000003/sig00000388 , \blk00000003/sig00000389 , +\blk00000003/sig0000038a , \blk00000003/sig0000038b , \blk00000003/sig0000038c , \blk00000003/sig0000038d , \blk00000003/sig0000038e , +\blk00000003/sig0000038f , \blk00000003/sig00000390 , \blk00000003/sig00000391 , \blk00000003/sig00000392 , \blk00000003/sig00000393 , +\blk00000003/sig00000394 , \blk00000003/sig00000395 , \blk00000003/sig00000396 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000fc_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fc_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fc_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig00000469 , \blk00000003/sig0000046a , \blk00000003/sig0000046b , \blk00000003/sig0000046c , \blk00000003/sig0000046d , +\blk00000003/sig0000046e , \blk00000003/sig0000046f , \blk00000003/sig00000470 , \blk00000003/sig00000471 , \blk00000003/sig00000472 , +\blk00000003/sig00000473 , \blk00000003/sig00000474 , \blk00000003/sig00000475 , \blk00000003/sig00000476 , \blk00000003/sig00000477 , +\blk00000003/sig00000478 , \blk00000003/sig00000479 , \blk00000003/sig0000047a }), + .BCOUT({\NLW_blk00000003/blk000000fc_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig000004ab , \blk00000003/sig000004ab , \blk00000003/sig000004ac , \blk00000003/sig000004ad , \blk00000003/sig000004ae , +\blk00000003/sig000004af , \blk00000003/sig000004b0 , \blk00000003/sig000004b1 , \blk00000003/sig000004b2 , \blk00000003/sig000004b3 , +\blk00000003/sig000004b4 , \blk00000003/sig000004b5 , \blk00000003/sig000004b6 , \blk00000003/sig000004b7 , \blk00000003/sig000004b8 , +\blk00000003/sig000004b9 , \blk00000003/sig000004ba , \blk00000003/sig000004bb , \blk00000003/sig000004bc , \blk00000003/sig000004bd , +\blk00000003/sig000004be , \blk00000003/sig000004bf , \blk00000003/sig000004c0 , \blk00000003/sig000004c1 , \blk00000003/sig000004c2 }), + .P({\NLW_blk00000003/blk000000fc_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<0>_UNCONNECTED }), + .A({\blk00000003/sig000004c3 , \blk00000003/sig000004c3 , \blk00000003/sig000004c3 , \blk00000003/sig000004c3 , \blk00000003/sig000004c3 , +\blk00000003/sig000004c3 , \blk00000003/sig000004c3 , \blk00000003/sig000004c4 , \blk00000003/sig000004c5 , \blk00000003/sig000004c6 , +\blk00000003/sig000004c7 , \blk00000003/sig000004c8 , \blk00000003/sig000004c9 , \blk00000003/sig000004ca , \blk00000003/sig000004cb , +\blk00000003/sig000004cc , \blk00000003/sig000004cd , \blk00000003/sig000004ce , \blk00000003/sig000004cf , \blk00000003/sig000004d0 , +\blk00000003/sig000004d1 , \blk00000003/sig000004d2 , \blk00000003/sig000004d3 , \blk00000003/sig000004d4 , \blk00000003/sig000004d5 , +\blk00000003/sig000004d6 , \blk00000003/sig000004d7 , \blk00000003/sig000004d8 , \blk00000003/sig000004d9 , \blk00000003/sig000004da }), + .PCOUT({\blk00000003/sig00000409 , \blk00000003/sig0000040a , \blk00000003/sig0000040b , \blk00000003/sig0000040c , \blk00000003/sig0000040d , +\blk00000003/sig0000040e , \blk00000003/sig0000040f , \blk00000003/sig00000410 , \blk00000003/sig00000411 , \blk00000003/sig00000412 , +\blk00000003/sig00000413 , \blk00000003/sig00000414 , \blk00000003/sig00000415 , \blk00000003/sig00000416 , \blk00000003/sig00000417 , +\blk00000003/sig00000418 , \blk00000003/sig00000419 , \blk00000003/sig0000041a , \blk00000003/sig0000041b , \blk00000003/sig0000041c , +\blk00000003/sig0000041d , \blk00000003/sig0000041e , \blk00000003/sig0000041f , \blk00000003/sig00000420 , \blk00000003/sig00000421 , +\blk00000003/sig00000422 , \blk00000003/sig00000423 , \blk00000003/sig00000424 , \blk00000003/sig00000425 , \blk00000003/sig00000426 , +\blk00000003/sig00000427 , \blk00000003/sig00000428 , \blk00000003/sig00000429 , \blk00000003/sig0000042a , \blk00000003/sig0000042b , +\blk00000003/sig0000042c , \blk00000003/sig0000042d , \blk00000003/sig0000042e , \blk00000003/sig0000042f , \blk00000003/sig00000430 , +\blk00000003/sig00000431 , \blk00000003/sig00000432 , \blk00000003/sig00000433 , \blk00000003/sig00000434 , \blk00000003/sig00000435 , +\blk00000003/sig00000436 , \blk00000003/sig00000437 , \blk00000003/sig00000438 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000fb ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000fb_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000fb_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000fb_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000fb_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000fb_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000fb_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000fb_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac , +\blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .PCIN({\blk00000003/sig00000307 , \blk00000003/sig00000308 , \blk00000003/sig00000309 , \blk00000003/sig0000030a , \blk00000003/sig0000030b , +\blk00000003/sig0000030c , \blk00000003/sig0000030d , \blk00000003/sig0000030e , \blk00000003/sig0000030f , \blk00000003/sig00000310 , +\blk00000003/sig00000311 , \blk00000003/sig00000312 , \blk00000003/sig00000313 , \blk00000003/sig00000314 , \blk00000003/sig00000315 , +\blk00000003/sig00000316 , \blk00000003/sig00000317 , \blk00000003/sig00000318 , \blk00000003/sig00000319 , \blk00000003/sig0000031a , +\blk00000003/sig0000031b , \blk00000003/sig0000031c , \blk00000003/sig0000031d , \blk00000003/sig0000031e , \blk00000003/sig0000031f , +\blk00000003/sig00000320 , \blk00000003/sig00000321 , \blk00000003/sig00000322 , \blk00000003/sig00000323 , \blk00000003/sig00000324 , +\blk00000003/sig00000325 , \blk00000003/sig00000326 , \blk00000003/sig00000327 , \blk00000003/sig00000328 , \blk00000003/sig00000329 , +\blk00000003/sig0000032a , \blk00000003/sig0000032b , \blk00000003/sig0000032c , \blk00000003/sig0000032d , \blk00000003/sig0000032e , +\blk00000003/sig0000032f , \blk00000003/sig00000330 , \blk00000003/sig00000331 , \blk00000003/sig00000332 , \blk00000003/sig00000333 , +\blk00000003/sig00000334 , \blk00000003/sig00000335 , \blk00000003/sig00000336 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000fb_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fb_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fb_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig00000469 , \blk00000003/sig0000046a , \blk00000003/sig0000046b , \blk00000003/sig0000046c , \blk00000003/sig0000046d , +\blk00000003/sig0000046e , \blk00000003/sig0000046f , \blk00000003/sig00000470 , \blk00000003/sig00000471 , \blk00000003/sig00000472 , +\blk00000003/sig00000473 , \blk00000003/sig00000474 , \blk00000003/sig00000475 , \blk00000003/sig00000476 , \blk00000003/sig00000477 , +\blk00000003/sig00000478 , \blk00000003/sig00000479 , \blk00000003/sig0000047a }), + .BCOUT({\NLW_blk00000003/blk000000fb_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig0000047b , \blk00000003/sig0000047b , \blk00000003/sig0000047c , \blk00000003/sig0000047d , \blk00000003/sig0000047e , +\blk00000003/sig0000047f , \blk00000003/sig00000480 , \blk00000003/sig00000481 , \blk00000003/sig00000482 , \blk00000003/sig00000483 , +\blk00000003/sig00000484 , \blk00000003/sig00000485 , \blk00000003/sig00000486 , \blk00000003/sig00000487 , \blk00000003/sig00000488 , +\blk00000003/sig00000489 , \blk00000003/sig0000048a , \blk00000003/sig0000048b , \blk00000003/sig0000048c , \blk00000003/sig0000048d , +\blk00000003/sig0000048e , \blk00000003/sig0000048f , \blk00000003/sig00000490 , \blk00000003/sig00000491 , \blk00000003/sig00000492 }), + .P({\NLW_blk00000003/blk000000fb_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<0>_UNCONNECTED }), + .A({\blk00000003/sig00000493 , \blk00000003/sig00000493 , \blk00000003/sig00000493 , \blk00000003/sig00000493 , \blk00000003/sig00000493 , +\blk00000003/sig00000493 , \blk00000003/sig00000493 , \blk00000003/sig00000494 , \blk00000003/sig00000495 , \blk00000003/sig00000496 , +\blk00000003/sig00000497 , \blk00000003/sig00000498 , \blk00000003/sig00000499 , \blk00000003/sig0000049a , \blk00000003/sig0000049b , +\blk00000003/sig0000049c , \blk00000003/sig0000049d , \blk00000003/sig0000049e , \blk00000003/sig0000049f , \blk00000003/sig000004a0 , +\blk00000003/sig000004a1 , \blk00000003/sig000004a2 , \blk00000003/sig000004a3 , \blk00000003/sig000004a4 , \blk00000003/sig000004a5 , +\blk00000003/sig000004a6 , \blk00000003/sig000004a7 , \blk00000003/sig000004a8 , \blk00000003/sig000004a9 , \blk00000003/sig000004aa }), + .PCOUT({\blk00000003/sig00000397 , \blk00000003/sig00000398 , \blk00000003/sig00000399 , \blk00000003/sig0000039a , \blk00000003/sig0000039b , +\blk00000003/sig0000039c , \blk00000003/sig0000039d , \blk00000003/sig0000039e , \blk00000003/sig0000039f , \blk00000003/sig000003a0 , +\blk00000003/sig000003a1 , \blk00000003/sig000003a2 , \blk00000003/sig000003a3 , \blk00000003/sig000003a4 , \blk00000003/sig000003a5 , +\blk00000003/sig000003a6 , \blk00000003/sig000003a7 , \blk00000003/sig000003a8 , \blk00000003/sig000003a9 , \blk00000003/sig000003aa , +\blk00000003/sig000003ab , \blk00000003/sig000003ac , \blk00000003/sig000003ad , \blk00000003/sig000003ae , \blk00000003/sig000003af , +\blk00000003/sig000003b0 , \blk00000003/sig000003b1 , \blk00000003/sig000003b2 , \blk00000003/sig000003b3 , \blk00000003/sig000003b4 , +\blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 , \blk00000003/sig000003b8 , \blk00000003/sig000003b9 , +\blk00000003/sig000003ba , \blk00000003/sig000003bb , \blk00000003/sig000003bc , \blk00000003/sig000003bd , \blk00000003/sig000003be , +\blk00000003/sig000003bf , \blk00000003/sig000003c0 , \blk00000003/sig000003c1 , \blk00000003/sig000003c2 , \blk00000003/sig000003c3 , +\blk00000003/sig000003c4 , \blk00000003/sig000003c5 , \blk00000003/sig000003c6 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000fa ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000fa_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000fa_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000fa_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000fa_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000fa_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000fa_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000fa_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac , +\blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .PCIN({\blk00000003/sig00000409 , \blk00000003/sig0000040a , \blk00000003/sig0000040b , \blk00000003/sig0000040c , \blk00000003/sig0000040d , +\blk00000003/sig0000040e , \blk00000003/sig0000040f , \blk00000003/sig00000410 , \blk00000003/sig00000411 , \blk00000003/sig00000412 , +\blk00000003/sig00000413 , \blk00000003/sig00000414 , \blk00000003/sig00000415 , \blk00000003/sig00000416 , \blk00000003/sig00000417 , +\blk00000003/sig00000418 , \blk00000003/sig00000419 , \blk00000003/sig0000041a , \blk00000003/sig0000041b , \blk00000003/sig0000041c , +\blk00000003/sig0000041d , \blk00000003/sig0000041e , \blk00000003/sig0000041f , \blk00000003/sig00000420 , \blk00000003/sig00000421 , +\blk00000003/sig00000422 , \blk00000003/sig00000423 , \blk00000003/sig00000424 , \blk00000003/sig00000425 , \blk00000003/sig00000426 , +\blk00000003/sig00000427 , \blk00000003/sig00000428 , \blk00000003/sig00000429 , \blk00000003/sig0000042a , \blk00000003/sig0000042b , +\blk00000003/sig0000042c , \blk00000003/sig0000042d , \blk00000003/sig0000042e , \blk00000003/sig0000042f , \blk00000003/sig00000430 , +\blk00000003/sig00000431 , \blk00000003/sig00000432 , \blk00000003/sig00000433 , \blk00000003/sig00000434 , \blk00000003/sig00000435 , +\blk00000003/sig00000436 , \blk00000003/sig00000437 , \blk00000003/sig00000438 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000fa_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fa_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fa_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000003c7 , \blk00000003/sig000003c8 , \blk00000003/sig000003c9 , \blk00000003/sig000003ca , \blk00000003/sig000003cb , +\blk00000003/sig000003cc , \blk00000003/sig000003cd , \blk00000003/sig000003ce , \blk00000003/sig000003cf , \blk00000003/sig000003d0 , +\blk00000003/sig000003d1 , \blk00000003/sig000003d2 , \blk00000003/sig000003d3 , \blk00000003/sig000003d4 , \blk00000003/sig000003d5 , +\blk00000003/sig000003d6 , \blk00000003/sig000003d7 , \blk00000003/sig000003d8 }), + .BCOUT({\NLW_blk00000003/blk000000fa_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig00000439 , \blk00000003/sig00000439 , \blk00000003/sig0000043a , \blk00000003/sig0000043b , \blk00000003/sig0000043c , +\blk00000003/sig0000043d , \blk00000003/sig0000043e , \blk00000003/sig0000043f , \blk00000003/sig00000440 , \blk00000003/sig00000441 , +\blk00000003/sig00000442 , \blk00000003/sig00000443 , \blk00000003/sig00000444 , \blk00000003/sig00000445 , \blk00000003/sig00000446 , +\blk00000003/sig00000447 , \blk00000003/sig00000448 , \blk00000003/sig00000449 , \blk00000003/sig0000044a , \blk00000003/sig0000044b , +\blk00000003/sig0000044c , \blk00000003/sig0000044d , \blk00000003/sig0000044e , \blk00000003/sig0000044f , \blk00000003/sig00000450 }), + .P({\NLW_blk00000003/blk000000fa_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<0>_UNCONNECTED }), + .A({\blk00000003/sig00000451 , \blk00000003/sig00000451 , \blk00000003/sig00000451 , \blk00000003/sig00000451 , \blk00000003/sig00000451 , +\blk00000003/sig00000451 , \blk00000003/sig00000451 , \blk00000003/sig00000452 , \blk00000003/sig00000453 , \blk00000003/sig00000454 , +\blk00000003/sig00000455 , \blk00000003/sig00000456 , \blk00000003/sig00000457 , \blk00000003/sig00000458 , \blk00000003/sig00000459 , +\blk00000003/sig0000045a , \blk00000003/sig0000045b , \blk00000003/sig0000045c , \blk00000003/sig0000045d , \blk00000003/sig0000045e , +\blk00000003/sig0000045f , \blk00000003/sig00000460 , \blk00000003/sig00000461 , \blk00000003/sig00000462 , \blk00000003/sig00000463 , +\blk00000003/sig00000464 , \blk00000003/sig00000465 , \blk00000003/sig00000466 , \blk00000003/sig00000467 , \blk00000003/sig00000468 }), + .PCOUT({\blk00000003/sig000000c3 , \blk00000003/sig000000c4 , \blk00000003/sig000000c5 , \blk00000003/sig000000c6 , \blk00000003/sig000000c7 , +\blk00000003/sig000000c8 , \blk00000003/sig000000c9 , \blk00000003/sig000000ca , \blk00000003/sig000000cb , \blk00000003/sig000000cc , +\blk00000003/sig000000cd , \blk00000003/sig000000ce , \blk00000003/sig000000cf , \blk00000003/sig000000d0 , \blk00000003/sig000000d1 , +\blk00000003/sig000000d2 , \blk00000003/sig000000d3 , \blk00000003/sig000000d4 , \blk00000003/sig000000d5 , \blk00000003/sig000000d6 , +\blk00000003/sig000000d7 , \blk00000003/sig000000d8 , \blk00000003/sig000000d9 , \blk00000003/sig000000da , \blk00000003/sig000000db , +\blk00000003/sig000000dc , \blk00000003/sig000000dd , \blk00000003/sig000000de , \blk00000003/sig000000df , \blk00000003/sig000000e0 , +\blk00000003/sig000000e1 , \blk00000003/sig000000e2 , \blk00000003/sig000000e3 , \blk00000003/sig000000e4 , \blk00000003/sig000000e5 , +\blk00000003/sig000000e6 , \blk00000003/sig000000e7 , \blk00000003/sig000000e8 , \blk00000003/sig000000e9 , \blk00000003/sig000000ea , +\blk00000003/sig000000eb , \blk00000003/sig000000ec , \blk00000003/sig000000ed , \blk00000003/sig000000ee , \blk00000003/sig000000ef , +\blk00000003/sig000000f0 , \blk00000003/sig000000f1 , \blk00000003/sig000000f2 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000f9 ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000f9_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000f9_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000f9_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000f9_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000f9_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000f9_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000f9_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac , +\blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .PCIN({\blk00000003/sig00000397 , \blk00000003/sig00000398 , \blk00000003/sig00000399 , \blk00000003/sig0000039a , \blk00000003/sig0000039b , +\blk00000003/sig0000039c , \blk00000003/sig0000039d , \blk00000003/sig0000039e , \blk00000003/sig0000039f , \blk00000003/sig000003a0 , +\blk00000003/sig000003a1 , \blk00000003/sig000003a2 , \blk00000003/sig000003a3 , \blk00000003/sig000003a4 , \blk00000003/sig000003a5 , +\blk00000003/sig000003a6 , \blk00000003/sig000003a7 , \blk00000003/sig000003a8 , \blk00000003/sig000003a9 , \blk00000003/sig000003aa , +\blk00000003/sig000003ab , \blk00000003/sig000003ac , \blk00000003/sig000003ad , \blk00000003/sig000003ae , \blk00000003/sig000003af , +\blk00000003/sig000003b0 , \blk00000003/sig000003b1 , \blk00000003/sig000003b2 , \blk00000003/sig000003b3 , \blk00000003/sig000003b4 , +\blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 , \blk00000003/sig000003b8 , \blk00000003/sig000003b9 , +\blk00000003/sig000003ba , \blk00000003/sig000003bb , \blk00000003/sig000003bc , \blk00000003/sig000003bd , \blk00000003/sig000003be , +\blk00000003/sig000003bf , \blk00000003/sig000003c0 , \blk00000003/sig000003c1 , \blk00000003/sig000003c2 , \blk00000003/sig000003c3 , +\blk00000003/sig000003c4 , \blk00000003/sig000003c5 , \blk00000003/sig000003c6 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000f9_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f9_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f9_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000003c7 , \blk00000003/sig000003c8 , \blk00000003/sig000003c9 , \blk00000003/sig000003ca , \blk00000003/sig000003cb , +\blk00000003/sig000003cc , \blk00000003/sig000003cd , \blk00000003/sig000003ce , \blk00000003/sig000003cf , \blk00000003/sig000003d0 , +\blk00000003/sig000003d1 , \blk00000003/sig000003d2 , \blk00000003/sig000003d3 , \blk00000003/sig000003d4 , \blk00000003/sig000003d5 , +\blk00000003/sig000003d6 , \blk00000003/sig000003d7 , \blk00000003/sig000003d8 }), + .BCOUT({\NLW_blk00000003/blk000000f9_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig000003d9 , \blk00000003/sig000003d9 , \blk00000003/sig000003da , \blk00000003/sig000003db , \blk00000003/sig000003dc , +\blk00000003/sig000003dd , \blk00000003/sig000003de , \blk00000003/sig000003df , \blk00000003/sig000003e0 , \blk00000003/sig000003e1 , +\blk00000003/sig000003e2 , \blk00000003/sig000003e3 , \blk00000003/sig000003e4 , \blk00000003/sig000003e5 , \blk00000003/sig000003e6 , +\blk00000003/sig000003e7 , \blk00000003/sig000003e8 , \blk00000003/sig000003e9 , \blk00000003/sig000003ea , \blk00000003/sig000003eb , +\blk00000003/sig000003ec , \blk00000003/sig000003ed , \blk00000003/sig000003ee , \blk00000003/sig000003ef , \blk00000003/sig000003f0 }), + .P({\NLW_blk00000003/blk000000f9_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<0>_UNCONNECTED }), + .A({\blk00000003/sig000003f1 , \blk00000003/sig000003f1 , \blk00000003/sig000003f1 , \blk00000003/sig000003f1 , \blk00000003/sig000003f1 , +\blk00000003/sig000003f1 , \blk00000003/sig000003f1 , \blk00000003/sig000003f2 , \blk00000003/sig000003f3 , \blk00000003/sig000003f4 , +\blk00000003/sig000003f5 , \blk00000003/sig000003f6 , \blk00000003/sig000003f7 , \blk00000003/sig000003f8 , \blk00000003/sig000003f9 , +\blk00000003/sig000003fa , \blk00000003/sig000003fb , \blk00000003/sig000003fc , \blk00000003/sig000003fd , \blk00000003/sig000003fe , +\blk00000003/sig000003ff , \blk00000003/sig00000400 , \blk00000003/sig00000401 , \blk00000003/sig00000402 , \blk00000003/sig00000403 , +\blk00000003/sig00000404 , \blk00000003/sig00000405 , \blk00000003/sig00000406 , \blk00000003/sig00000407 , \blk00000003/sig00000408 }), + .PCOUT({\blk00000003/sig0000014c , \blk00000003/sig0000014d , \blk00000003/sig0000014e , \blk00000003/sig0000014f , \blk00000003/sig00000150 , +\blk00000003/sig00000151 , \blk00000003/sig00000152 , \blk00000003/sig00000153 , \blk00000003/sig00000154 , \blk00000003/sig00000155 , +\blk00000003/sig00000156 , \blk00000003/sig00000157 , \blk00000003/sig00000158 , \blk00000003/sig00000159 , \blk00000003/sig0000015a , +\blk00000003/sig0000015b , \blk00000003/sig0000015c , \blk00000003/sig0000015d , \blk00000003/sig0000015e , \blk00000003/sig0000015f , +\blk00000003/sig00000160 , \blk00000003/sig00000161 , \blk00000003/sig00000162 , \blk00000003/sig00000163 , \blk00000003/sig00000164 , +\blk00000003/sig00000165 , \blk00000003/sig00000166 , \blk00000003/sig00000167 , \blk00000003/sig00000168 , \blk00000003/sig00000169 , +\blk00000003/sig0000016a , \blk00000003/sig0000016b , \blk00000003/sig0000016c , \blk00000003/sig0000016d , \blk00000003/sig0000016e , +\blk00000003/sig0000016f , \blk00000003/sig00000170 , \blk00000003/sig00000171 , \blk00000003/sig00000172 , \blk00000003/sig00000173 , +\blk00000003/sig00000174 , \blk00000003/sig00000175 , \blk00000003/sig00000176 , \blk00000003/sig00000177 , \blk00000003/sig00000178 , +\blk00000003/sig00000179 , \blk00000003/sig0000017a , \blk00000003/sig0000017b }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000f8 ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000f8_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000f8_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000f8_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000f8_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000f8_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000f8_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000f8_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac , +\blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000f8_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f8_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f8_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000002c5 , \blk00000003/sig000002c6 , \blk00000003/sig000002c7 , \blk00000003/sig000002c8 , \blk00000003/sig000002c9 , +\blk00000003/sig000002ca , \blk00000003/sig000002cb , \blk00000003/sig000002cc , \blk00000003/sig000002cd , \blk00000003/sig000002ce , +\blk00000003/sig000002cf , \blk00000003/sig000002d0 , \blk00000003/sig000002d1 , \blk00000003/sig000002d2 , \blk00000003/sig000002d3 , +\blk00000003/sig000002d4 , \blk00000003/sig000002d5 , \blk00000003/sig000002d6 }), + .BCOUT({\NLW_blk00000003/blk000000f8_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig00000337 , \blk00000003/sig00000337 , \blk00000003/sig00000338 , \blk00000003/sig00000339 , \blk00000003/sig0000033a , +\blk00000003/sig0000033b , \blk00000003/sig0000033c , \blk00000003/sig0000033d , \blk00000003/sig0000033e , \blk00000003/sig0000033f , +\blk00000003/sig00000340 , \blk00000003/sig00000341 , \blk00000003/sig00000342 , \blk00000003/sig00000343 , \blk00000003/sig00000344 , +\blk00000003/sig00000345 , \blk00000003/sig00000346 , \blk00000003/sig00000347 , \blk00000003/sig00000348 , \blk00000003/sig00000349 , +\blk00000003/sig0000034a , \blk00000003/sig0000034b , \blk00000003/sig0000034c , \blk00000003/sig0000034d , \blk00000003/sig0000034e }), + .P({\NLW_blk00000003/blk000000f8_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<0>_UNCONNECTED }), + .A({\blk00000003/sig0000034f , \blk00000003/sig0000034f , \blk00000003/sig0000034f , \blk00000003/sig0000034f , \blk00000003/sig0000034f , +\blk00000003/sig0000034f , \blk00000003/sig0000034f , \blk00000003/sig00000350 , \blk00000003/sig00000351 , \blk00000003/sig00000352 , +\blk00000003/sig00000353 , \blk00000003/sig00000354 , \blk00000003/sig00000355 , \blk00000003/sig00000356 , \blk00000003/sig00000357 , +\blk00000003/sig00000358 , \blk00000003/sig00000359 , \blk00000003/sig0000035a , \blk00000003/sig0000035b , \blk00000003/sig0000035c , +\blk00000003/sig0000035d , \blk00000003/sig0000035e , \blk00000003/sig0000035f , \blk00000003/sig00000360 , \blk00000003/sig00000361 , +\blk00000003/sig00000362 , \blk00000003/sig00000363 , \blk00000003/sig00000364 , \blk00000003/sig00000365 , \blk00000003/sig00000366 }), + .PCOUT({\blk00000003/sig00000367 , \blk00000003/sig00000368 , \blk00000003/sig00000369 , \blk00000003/sig0000036a , \blk00000003/sig0000036b , +\blk00000003/sig0000036c , \blk00000003/sig0000036d , \blk00000003/sig0000036e , \blk00000003/sig0000036f , \blk00000003/sig00000370 , +\blk00000003/sig00000371 , \blk00000003/sig00000372 , \blk00000003/sig00000373 , \blk00000003/sig00000374 , \blk00000003/sig00000375 , +\blk00000003/sig00000376 , \blk00000003/sig00000377 , \blk00000003/sig00000378 , \blk00000003/sig00000379 , \blk00000003/sig0000037a , +\blk00000003/sig0000037b , \blk00000003/sig0000037c , \blk00000003/sig0000037d , \blk00000003/sig0000037e , \blk00000003/sig0000037f , +\blk00000003/sig00000380 , \blk00000003/sig00000381 , \blk00000003/sig00000382 , \blk00000003/sig00000383 , \blk00000003/sig00000384 , +\blk00000003/sig00000385 , \blk00000003/sig00000386 , \blk00000003/sig00000387 , \blk00000003/sig00000388 , \blk00000003/sig00000389 , +\blk00000003/sig0000038a , \blk00000003/sig0000038b , \blk00000003/sig0000038c , \blk00000003/sig0000038d , \blk00000003/sig0000038e , +\blk00000003/sig0000038f , \blk00000003/sig00000390 , \blk00000003/sig00000391 , \blk00000003/sig00000392 , \blk00000003/sig00000393 , +\blk00000003/sig00000394 , \blk00000003/sig00000395 , \blk00000003/sig00000396 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000f7 ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000f7_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000f7_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000f7_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000f7_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000f7_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000f7_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000f7_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac , +\blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000f7_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f7_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f7_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000002c5 , \blk00000003/sig000002c6 , \blk00000003/sig000002c7 , \blk00000003/sig000002c8 , \blk00000003/sig000002c9 , +\blk00000003/sig000002ca , \blk00000003/sig000002cb , \blk00000003/sig000002cc , \blk00000003/sig000002cd , \blk00000003/sig000002ce , +\blk00000003/sig000002cf , \blk00000003/sig000002d0 , \blk00000003/sig000002d1 , \blk00000003/sig000002d2 , \blk00000003/sig000002d3 , +\blk00000003/sig000002d4 , \blk00000003/sig000002d5 , \blk00000003/sig000002d6 }), + .BCOUT({\NLW_blk00000003/blk000000f7_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f7_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f7_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f7_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f7_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f7_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f7_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f7_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f7_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f7_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig000002d7 , \blk00000003/sig000002d7 , \blk00000003/sig000002d8 , \blk00000003/sig000002d9 , \blk00000003/sig000002da , +\blk00000003/sig000002db , \blk00000003/sig000002dc , \blk00000003/sig000002dd , \blk00000003/sig000002de , \blk00000003/sig000002df , +\blk00000003/sig000002e0 , \blk00000003/sig000002e1 , \blk00000003/sig000002e2 , \blk00000003/sig000002e3 , \blk00000003/sig000002e4 , +\blk00000003/sig000002e5 , \blk00000003/sig000002e6 , \blk00000003/sig000002e7 , \blk00000003/sig000002e8 , \blk00000003/sig000002e9 , +\blk00000003/sig000002ea , \blk00000003/sig000002eb , \blk00000003/sig000002ec , \blk00000003/sig000002ed , \blk00000003/sig000002ee }), + .P({\NLW_blk00000003/blk000000f7_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<0>_UNCONNECTED }), + .A({\blk00000003/sig000002ef , \blk00000003/sig000002ef , \blk00000003/sig000002ef , \blk00000003/sig000002ef , \blk00000003/sig000002ef , +\blk00000003/sig000002ef , \blk00000003/sig000002ef , \blk00000003/sig000002f0 , \blk00000003/sig000002f1 , \blk00000003/sig000002f2 , +\blk00000003/sig000002f3 , \blk00000003/sig000002f4 , \blk00000003/sig000002f5 , \blk00000003/sig000002f6 , \blk00000003/sig000002f7 , +\blk00000003/sig000002f8 , \blk00000003/sig000002f9 , \blk00000003/sig000002fa , \blk00000003/sig000002fb , \blk00000003/sig000002fc , +\blk00000003/sig000002fd , \blk00000003/sig000002fe , \blk00000003/sig000002ff , \blk00000003/sig00000300 , \blk00000003/sig00000301 , +\blk00000003/sig00000302 , \blk00000003/sig00000303 , \blk00000003/sig00000304 , \blk00000003/sig00000305 , \blk00000003/sig00000306 }), + .PCOUT({\blk00000003/sig00000307 , \blk00000003/sig00000308 , \blk00000003/sig00000309 , \blk00000003/sig0000030a , \blk00000003/sig0000030b , +\blk00000003/sig0000030c , \blk00000003/sig0000030d , \blk00000003/sig0000030e , \blk00000003/sig0000030f , \blk00000003/sig00000310 , +\blk00000003/sig00000311 , \blk00000003/sig00000312 , \blk00000003/sig00000313 , \blk00000003/sig00000314 , \blk00000003/sig00000315 , +\blk00000003/sig00000316 , \blk00000003/sig00000317 , \blk00000003/sig00000318 , \blk00000003/sig00000319 , \blk00000003/sig0000031a , +\blk00000003/sig0000031b , \blk00000003/sig0000031c , \blk00000003/sig0000031d , \blk00000003/sig0000031e , \blk00000003/sig0000031f , +\blk00000003/sig00000320 , \blk00000003/sig00000321 , \blk00000003/sig00000322 , \blk00000003/sig00000323 , \blk00000003/sig00000324 , +\blk00000003/sig00000325 , \blk00000003/sig00000326 , \blk00000003/sig00000327 , \blk00000003/sig00000328 , \blk00000003/sig00000329 , +\blk00000003/sig0000032a , \blk00000003/sig0000032b , \blk00000003/sig0000032c , \blk00000003/sig0000032d , \blk00000003/sig0000032e , +\blk00000003/sig0000032f , \blk00000003/sig00000330 , \blk00000003/sig00000331 , \blk00000003/sig00000332 , \blk00000003/sig00000333 , +\blk00000003/sig00000334 , \blk00000003/sig00000335 , \blk00000003/sig00000336 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001cd ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002c2 ), + .Q(\blk00000003/sig000002c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002c1 ), + .Q(\blk00000003/sig000002b9 ) + ); + XORCY \blk00000003/blk000000f3 ( + .CI(\blk00000003/sig000002bc ), + .LI(\blk00000003/sig000002bf ), + .O(\blk00000003/sig000002c0 ) + ); + MUXCY_D \blk00000003/blk000000f2 ( + .CI(\blk00000003/sig000002bc ), + .DI(\blk00000003/sig000002be ), + .S(\blk00000003/sig000002bf ), + .O(\NLW_blk00000003/blk000000f2_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000f2_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000f1 ( + .CI(\blk00000003/sig000002b9 ), + .LI(\blk00000003/sig000002bb ), + .O(\blk00000003/sig000002bd ) + ); + MUXCY_L \blk00000003/blk000000f0 ( + .CI(\blk00000003/sig000002b9 ), + .DI(\blk00000003/sig000002ba ), + .S(\blk00000003/sig000002bb ), + .LO(\blk00000003/sig000002bc ) + ); + MUXCY_L \blk00000003/blk000000ef ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000002b8 ), + .S(\blk00000003/sig000002b2 ), + .LO(\blk00000003/sig000002b4 ) + ); + MUXCY_D \blk00000003/blk000000ee ( + .CI(\blk00000003/sig000002b4 ), + .DI(\blk00000003/sig000002b7 ), + .S(\blk00000003/sig000002b5 ), + .O(\NLW_blk00000003/blk000000ee_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000ee_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000ed ( + .CI(\blk00000003/sig000002b4 ), + .LI(\blk00000003/sig000002b5 ), + .O(\blk00000003/sig000002b6 ) + ); + XORCY \blk00000003/blk000000ec ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig000002b2 ), + .O(\blk00000003/sig000002b3 ) + ); + MUXCY_L \blk00000003/blk000000eb ( + .CI(\blk00000003/sig000002a9 ), + .DI(\blk00000003/sig000002b1 ), + .S(\blk00000003/sig000002aa ), + .LO(\blk00000003/sig000002ac ) + ); + MUXCY_D \blk00000003/blk000000ea ( + .CI(\blk00000003/sig000002ac ), + .DI(\blk00000003/sig000002b0 ), + .S(\blk00000003/sig000002ad ), + .O(\NLW_blk00000003/blk000000ea_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000ea_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000e9 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ac ), + .S(\blk00000003/sig000002af ), + .O(\blk00000003/sig000002a9 ) + ); + XORCY \blk00000003/blk000000e8 ( + .CI(\blk00000003/sig000002ac ), + .LI(\blk00000003/sig000002ad ), + .O(\blk00000003/sig000002ae ) + ); + XORCY \blk00000003/blk000000e7 ( + .CI(\blk00000003/sig000002a9 ), + .LI(\blk00000003/sig000002aa ), + .O(\blk00000003/sig000002ab ) + ); + FDE \blk00000003/blk000000e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a7 ), + .Q(\blk00000003/sig000002a8 ) + ); + MUXCY_L \blk00000003/blk000000e5 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000002a6 ), + .S(\blk00000003/sig000002a0 ), + .LO(\blk00000003/sig000002a2 ) + ); + MUXCY_D \blk00000003/blk000000e4 ( + .CI(\blk00000003/sig000002a2 ), + .DI(\blk00000003/sig000002a5 ), + .S(\blk00000003/sig000002a3 ), + .O(\NLW_blk00000003/blk000000e4_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000e4_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000e3 ( + .CI(\blk00000003/sig000002a2 ), + .LI(\blk00000003/sig000002a3 ), + .O(\blk00000003/sig000002a4 ) + ); + XORCY \blk00000003/blk000000e2 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig000002a0 ), + .O(\blk00000003/sig000002a1 ) + ); + MUXCY_L \blk00000003/blk000000e1 ( + .CI(\blk00000003/sig00000299 ), + .DI(\blk00000003/sig000001e7 ), + .S(\blk00000003/sig0000029a ), + .LO(\blk00000003/sig0000029c ) + ); + MUXCY_D \blk00000003/blk000000e0 ( + .CI(\blk00000003/sig0000029c ), + .DI(\blk00000003/sig000001e6 ), + .S(\blk00000003/sig0000029d ), + .O(\NLW_blk00000003/blk000000e0_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000e0_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000df ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ac ), + .S(\blk00000003/sig0000029f ), + .O(\blk00000003/sig00000299 ) + ); + XORCY \blk00000003/blk000000de ( + .CI(\blk00000003/sig0000029c ), + .LI(\blk00000003/sig0000029d ), + .O(\blk00000003/sig0000029e ) + ); + XORCY \blk00000003/blk000000dd ( + .CI(\blk00000003/sig00000299 ), + .LI(\blk00000003/sig0000029a ), + .O(\blk00000003/sig0000029b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000297 ), + .R(sclr), + .Q(\blk00000003/sig00000298 ) + ); + MUXCY_D \blk00000003/blk000000db ( + .CI(\blk00000003/sig00000294 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000296 ), + .O(\NLW_blk00000003/blk000000db_O_UNCONNECTED ), + .LO(\blk00000003/sig00000297 ) + ); + MUXCY_D \blk00000003/blk000000da ( + .CI(\blk00000003/sig000000ac ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000295 ), + .O(\blk00000003/sig00000292 ), + .LO(\NLW_blk00000003/blk000000da_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d9 ( + .CI(\blk00000003/sig00000292 ), + .DI(\blk00000003/sig00000291 ), + .S(\blk00000003/sig00000293 ), + .O(\blk00000003/sig00000294 ), + .LO(\blk00000003/sig00000290 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000290 ), + .R(sclr), + .Q(\blk00000003/sig00000291 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000000d7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000281 ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000021f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000280 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000021c ) + ); + MUXCY_D \blk00000003/blk000000d5 ( + .CI(\blk00000003/sig0000021c ), + .DI(\blk00000003/sig0000028e ), + .S(\blk00000003/sig0000028f ), + .O(\blk00000003/sig0000028b ), + .LO(\NLW_blk00000003/blk000000d5_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d4 ( + .CI(\blk00000003/sig0000028b ), + .DI(\blk00000003/sig0000028c ), + .S(\blk00000003/sig0000028d ), + .O(\blk00000003/sig00000289 ), + .LO(\NLW_blk00000003/blk000000d4_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d3 ( + .CI(\blk00000003/sig00000289 ), + .DI(\blk00000003/sig0000027f ), + .S(\blk00000003/sig0000028a ), + .O(\blk00000003/sig00000286 ), + .LO(\NLW_blk00000003/blk000000d3_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d2 ( + .CI(\blk00000003/sig00000286 ), + .DI(\blk00000003/sig00000287 ), + .S(\blk00000003/sig00000288 ), + .O(\blk00000003/sig00000284 ), + .LO(\NLW_blk00000003/blk000000d2_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d1 ( + .CI(\blk00000003/sig00000284 ), + .DI(\blk00000003/sig00000244 ), + .S(\blk00000003/sig00000285 ), + .O(\blk00000003/sig00000282 ), + .LO(\NLW_blk00000003/blk000000d1_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d0 ( + .CI(\blk00000003/sig00000282 ), + .DI(\blk00000003/sig00000219 ), + .S(\blk00000003/sig00000283 ), + .O(\NLW_blk00000003/blk000000d0_O_UNCONNECTED ), + .LO(\blk00000003/sig00000280 ) + ); + XORCY \blk00000003/blk000000cf ( + .CI(\blk00000003/sig00000280 ), + .LI(\blk00000003/sig000000ac ), + .O(\blk00000003/sig00000281 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000000ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000026f ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000027f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000026e ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000001cd ) + ); + MUXCY_D \blk00000003/blk000000cc ( + .CI(\blk00000003/sig000001cd ), + .DI(\blk00000003/sig0000027d ), + .S(\blk00000003/sig0000027e ), + .O(\blk00000003/sig0000027b ), + .LO(\NLW_blk00000003/blk000000cc_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000cb ( + .CI(\blk00000003/sig0000027b ), + .DI(\blk00000003/sig000001ce ), + .S(\blk00000003/sig0000027c ), + .O(\blk00000003/sig00000279 ), + .LO(\NLW_blk00000003/blk000000cb_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000ca ( + .CI(\blk00000003/sig00000279 ), + .DI(\blk00000003/sig000001cd ), + .S(\blk00000003/sig0000027a ), + .O(\blk00000003/sig00000276 ), + .LO(\NLW_blk00000003/blk000000ca_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000c9 ( + .CI(\blk00000003/sig00000276 ), + .DI(\blk00000003/sig00000277 ), + .S(\blk00000003/sig00000278 ), + .O(\blk00000003/sig00000274 ), + .LO(\NLW_blk00000003/blk000000c9_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000c8 ( + .CI(\blk00000003/sig00000274 ), + .DI(\blk00000003/sig0000021f ), + .S(\blk00000003/sig00000275 ), + .O(\blk00000003/sig00000270 ), + .LO(\NLW_blk00000003/blk000000c8_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000c7 ( + .CI(\blk00000003/sig00000272 ), + .DI(\blk00000003/sig0000021f ), + .S(\blk00000003/sig00000273 ), + .O(\NLW_blk00000003/blk000000c7_O_UNCONNECTED ), + .LO(\blk00000003/sig0000026e ) + ); + MUXCY_D \blk00000003/blk000000c6 ( + .CI(\blk00000003/sig00000270 ), + .DI(\blk00000003/sig00000239 ), + .S(\blk00000003/sig00000271 ), + .O(\blk00000003/sig00000272 ), + .LO(\NLW_blk00000003/blk000000c6_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000c5 ( + .CI(\blk00000003/sig0000026e ), + .LI(\blk00000003/sig000000ac ), + .O(\blk00000003/sig0000026f ) + ); + FDE \blk00000003/blk000000c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000026c ), + .Q(\blk00000003/sig0000026d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000021c ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000026b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000024a ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000263 ) + ); + XORCY \blk00000003/blk000000c1 ( + .CI(\blk00000003/sig00000266 ), + .LI(\blk00000003/sig00000269 ), + .O(\blk00000003/sig0000026a ) + ); + MUXCY_D \blk00000003/blk000000c0 ( + .CI(\blk00000003/sig00000266 ), + .DI(\blk00000003/sig00000268 ), + .S(\blk00000003/sig00000269 ), + .O(\NLW_blk00000003/blk000000c0_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000c0_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000bf ( + .CI(\blk00000003/sig00000263 ), + .LI(\blk00000003/sig00000265 ), + .O(\blk00000003/sig00000267 ) + ); + MUXCY_L \blk00000003/blk000000be ( + .CI(\blk00000003/sig00000263 ), + .DI(\blk00000003/sig00000264 ), + .S(\blk00000003/sig00000265 ), + .LO(\blk00000003/sig00000266 ) + ); + MUXCY_L \blk00000003/blk000000bd ( + .CI(\blk00000003/sig0000025a ), + .DI(\blk00000003/sig00000262 ), + .S(\blk00000003/sig0000025b ), + .LO(\blk00000003/sig0000025d ) + ); + MUXCY_D \blk00000003/blk000000bc ( + .CI(\blk00000003/sig0000025d ), + .DI(\blk00000003/sig00000261 ), + .S(\blk00000003/sig0000025e ), + .O(\NLW_blk00000003/blk000000bc_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000bc_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000bb ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ac ), + .S(\blk00000003/sig00000260 ), + .O(\blk00000003/sig0000025a ) + ); + XORCY \blk00000003/blk000000ba ( + .CI(\blk00000003/sig0000025d ), + .LI(\blk00000003/sig0000025e ), + .O(\blk00000003/sig0000025f ) + ); + XORCY \blk00000003/blk000000b9 ( + .CI(\blk00000003/sig0000025a ), + .LI(\blk00000003/sig0000025b ), + .O(\blk00000003/sig0000025c ) + ); + MUXCY_L \blk00000003/blk000000b8 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000259 ), + .S(\blk00000003/sig00000257 ), + .LO(\blk00000003/sig00000253 ) + ); + XORCY \blk00000003/blk000000b7 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000257 ), + .O(\blk00000003/sig00000258 ) + ); + MUXCY_D \blk00000003/blk000000b6 ( + .CI(\blk00000003/sig00000253 ), + .DI(\blk00000003/sig00000256 ), + .S(\blk00000003/sig00000254 ), + .O(\NLW_blk00000003/blk000000b6_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000b6_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000b5 ( + .CI(\blk00000003/sig00000253 ), + .LI(\blk00000003/sig00000254 ), + .O(\blk00000003/sig00000255 ) + ); + MUXCY_L \blk00000003/blk000000b4 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000252 ), + .S(\blk00000003/sig00000250 ), + .LO(\blk00000003/sig0000024c ) + ); + XORCY \blk00000003/blk000000b3 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000250 ), + .O(\blk00000003/sig00000251 ) + ); + MUXCY_D \blk00000003/blk000000b2 ( + .CI(\blk00000003/sig0000024c ), + .DI(\blk00000003/sig0000024f ), + .S(\blk00000003/sig0000024d ), + .O(\NLW_blk00000003/blk000000b2_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000b2_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000b1 ( + .CI(\blk00000003/sig0000024c ), + .LI(\blk00000003/sig0000024d ), + .O(\blk00000003/sig0000024e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b0 ( + .C(clk), + .CE(ce), + .D(coef_ld), + .Q(\blk00000003/sig0000024b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000af ( + .C(clk), + .CE(ce), + .D(coef_we), + .Q(\blk00000003/sig0000024a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e0 ), + .Q(\blk00000003/sig0000023e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000249 ), + .Q(\blk00000003/sig00000231 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000247 ), + .Q(\blk00000003/sig00000248 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000246 ), + .Q(\blk00000003/sig0000022f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000245 ), + .Q(\blk00000003/sig0000023c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000243 ), + .Q(\blk00000003/sig00000244 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000241 ), + .Q(\blk00000003/sig00000242 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000023f ), + .Q(\blk00000003/sig00000240 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000023e ), + .Q(\blk00000003/sig0000023a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000023c ), + .Q(\blk00000003/sig0000023d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000023a ), + .Q(\blk00000003/sig0000023b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000021b ), + .Q(\blk00000003/sig00000239 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000234 ), + .Q(\blk00000003/sig00000238 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000022e ), + .R(coef_ld), + .Q(\NLW_blk00000003/blk000000a1_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000022c ), + .R(coef_ld), + .Q(\NLW_blk00000003/blk000000a0_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000228 ), + .R(coef_ld), + .Q(\blk00000003/sig00000227 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000224 ), + .R(coef_ld), + .Q(\blk00000003/sig00000222 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000021c ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000237 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000235 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000236 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000233 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000234 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000231 ), + .Q(\blk00000003/sig00000232 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000099 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000022f ), + .Q(\blk00000003/sig00000230 ) + ); + MUXCY_D \blk00000003/blk00000098 ( + .CI(\blk00000003/sig0000022b ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig0000022d ), + .O(\NLW_blk00000003/blk00000098_O_UNCONNECTED ), + .LO(\blk00000003/sig0000022e ) + ); + MUXCY_D \blk00000003/blk00000097 ( + .CI(coef_we), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig0000022a ), + .O(\blk00000003/sig0000022b ), + .LO(\blk00000003/sig0000022c ) + ); + MUXCY_D \blk00000003/blk00000096 ( + .CI(\blk00000003/sig000000ac ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000229 ), + .O(\blk00000003/sig00000226 ), + .LO(\NLW_blk00000003/blk00000096_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk00000095 ( + .CI(\blk00000003/sig00000226 ), + .DI(\blk00000003/sig00000227 ), + .S(coef_we), + .O(\NLW_blk00000003/blk00000095_O_UNCONNECTED ), + .LO(\blk00000003/sig00000228 ) + ); + MUXCY_D \blk00000003/blk00000094 ( + .CI(\blk00000003/sig000000ac ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000225 ), + .O(\blk00000003/sig00000221 ), + .LO(\NLW_blk00000003/blk00000094_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk00000093 ( + .CI(\blk00000003/sig00000221 ), + .DI(\blk00000003/sig00000222 ), + .S(\blk00000003/sig00000223 ), + .O(\NLW_blk00000003/blk00000093_O_UNCONNECTED ), + .LO(\blk00000003/sig00000224 ) + ); + XORCY \blk00000003/blk00000092 ( + .CI(\blk00000003/sig0000021a ), + .LI(\blk00000003/sig000000ac ), + .O(\blk00000003/sig00000218 ) + ); + MUXCY_D \blk00000003/blk00000091 ( + .CI(\blk00000003/sig0000021e ), + .DI(\blk00000003/sig0000021f ), + .S(\blk00000003/sig00000220 ), + .O(\NLW_blk00000003/blk00000091_O_UNCONNECTED ), + .LO(\blk00000003/sig0000021a ) + ); + MUXCY_D \blk00000003/blk00000090 ( + .CI(\blk00000003/sig0000021b ), + .DI(\blk00000003/sig0000021c ), + .S(\blk00000003/sig0000021d ), + .O(\blk00000003/sig0000021e ), + .LO(\NLW_blk00000003/blk00000090_LO_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000008f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000021a ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000021b ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk0000008e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000218 ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000219 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e5 ), + .R(sclr), + .Q(\blk00000003/sig000001e4 ) + ); + FDR #( + .INIT ( 1'b1 )) + \blk00000003/blk00000029 ( + .C(clk), + .D(\blk00000003/sig000000b7 ), + .R(sclr), + .Q(\blk00000003/sig000000b7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000028 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e4 ), + .R(sclr), + .Q(\blk00000003/sig000001e1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000027 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e3 ), + .R(\blk00000003/sig000001de ), + .Q(data_valid) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000026 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e1 ), + .R(sclr), + .Q(\blk00000003/sig000001e2 ) + ); + FDRE \blk00000003/blk00000025 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001df ), + .R(sclr), + .Q(\blk00000003/sig000001e0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000024 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001dd ), + .R(\blk00000003/sig000001de ), + .Q(rdy) + ); + FDSE \blk00000003/blk00000023 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001db ), + .S(sclr), + .Q(\blk00000003/sig000001dc ) + ); + FDRE \blk00000003/blk00000022 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d9 ), + .R(sclr), + .Q(\blk00000003/sig000001da ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000021 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001cb ), + .S(sclr), + .Q(NlwRenamedSig_OI_rfd) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000020 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001c9 ), + .R(sclr), + .Q(\blk00000003/sig000001d8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d7 ), + .R(sclr), + .Q(\blk00000003/sig000001c6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001c5 ), + .R(sclr), + .Q(\blk00000003/sig000001d6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d5 ), + .R(sclr), + .Q(\blk00000003/sig000001c3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d3 ), + .R(sclr), + .Q(\blk00000003/sig000001d4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d1 ), + .R(sclr), + .Q(\blk00000003/sig000001d2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001cf ), + .R(sclr), + .Q(\NLW_blk00000003/blk0000001a_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000019 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001cf ), + .R(sclr), + .Q(\blk00000003/sig000001d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000018 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001cd ), + .Q(\blk00000003/sig000001ce ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000017 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000000c1 ), + .R(sclr), + .Q(\blk00000003/sig000000bf ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000016 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000000bc ), + .R(sclr), + .Q(\NLW_blk00000003/blk00000016_Q_UNCONNECTED ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000015 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000000bd ), + .S(sclr), + .Q(\blk00000003/sig000001cc ) + ); + MUXCY \blk00000003/blk00000014 ( + .CI(\blk00000003/sig000001c8 ), + .DI(\blk00000003/sig000000ac ), + .S(\blk00000003/sig000001ca ), + .O(\blk00000003/sig000001cb ) + ); + MUXCY_D \blk00000003/blk00000013 ( + .CI(\blk00000003/sig000001c6 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000001c7 ), + .O(\blk00000003/sig000001c8 ), + .LO(\blk00000003/sig000001c9 ) + ); + MUXCY_D \blk00000003/blk00000012 ( + .CI(\blk00000003/sig000001c3 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000001c4 ), + .O(\NLW_blk00000003/blk00000012_O_UNCONNECTED ), + .LO(\blk00000003/sig000001c5 ) + ); + DSP48E1 #( + .ACASCREG ( 2 ), + .ADREG ( 0 ), + .ALUMODEREG ( 1 ), + .AREG ( 2 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 2 ), + .BREG ( 2 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 0 ), + .INMODEREG ( 0 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "FALSE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk00000011 ( + .PATTERNBDETECT(\NLW_blk00000003/blk00000011_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(ce), + .CEAD(\blk00000003/sig00000049 ), + .MULTSIGNOUT(\NLW_blk00000003/blk00000011_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk00000011_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk00000011_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk00000011_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(\blk00000003/sig00000049 ), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(ce), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk00000011_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk00000011_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000011_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000011_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000011_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000011_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000011_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000011_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000011_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000011_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000011_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000011_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000011_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000011_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000011_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000011_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000b1 , \blk00000003/sig00000049 , \blk00000003/sig000000b5 , +\blk00000003/sig000000b3 , \blk00000003/sig000000b5 }), + .PCIN({\blk00000003/sig0000014c , \blk00000003/sig0000014d , \blk00000003/sig0000014e , \blk00000003/sig0000014f , \blk00000003/sig00000150 , +\blk00000003/sig00000151 , \blk00000003/sig00000152 , \blk00000003/sig00000153 , \blk00000003/sig00000154 , \blk00000003/sig00000155 , +\blk00000003/sig00000156 , \blk00000003/sig00000157 , \blk00000003/sig00000158 , \blk00000003/sig00000159 , \blk00000003/sig0000015a , +\blk00000003/sig0000015b , \blk00000003/sig0000015c , \blk00000003/sig0000015d , \blk00000003/sig0000015e , \blk00000003/sig0000015f , +\blk00000003/sig00000160 , \blk00000003/sig00000161 , \blk00000003/sig00000162 , \blk00000003/sig00000163 , \blk00000003/sig00000164 , +\blk00000003/sig00000165 , \blk00000003/sig00000166 , \blk00000003/sig00000167 , \blk00000003/sig00000168 , \blk00000003/sig00000169 , +\blk00000003/sig0000016a , \blk00000003/sig0000016b , \blk00000003/sig0000016c , \blk00000003/sig0000016d , \blk00000003/sig0000016e , +\blk00000003/sig0000016f , \blk00000003/sig00000170 , \blk00000003/sig00000171 , \blk00000003/sig00000172 , \blk00000003/sig00000173 , +\blk00000003/sig00000174 , \blk00000003/sig00000175 , \blk00000003/sig00000176 , \blk00000003/sig00000177 , \blk00000003/sig00000178 , +\blk00000003/sig00000179 , \blk00000003/sig0000017a , \blk00000003/sig0000017b }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk00000011_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000011_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000011_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000011_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000000f3 , \blk00000003/sig000000f4 , \blk00000003/sig000000f5 , \blk00000003/sig000000f6 , \blk00000003/sig000000f7 , +\blk00000003/sig000000f8 , \blk00000003/sig000000f9 , \blk00000003/sig000000fa , \blk00000003/sig000000fb , \blk00000003/sig000000fc , +\blk00000003/sig000000fd , \blk00000003/sig000000fe , \blk00000003/sig000000ff , \blk00000003/sig00000100 , \blk00000003/sig00000101 , +\blk00000003/sig00000102 , \blk00000003/sig00000103 , \blk00000003/sig00000104 }), + .BCOUT({\NLW_blk00000003/blk00000011_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000011_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000011_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000011_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000011_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000011_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000011_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000011_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000011_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000011_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000011_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000011_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000011_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000011_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000011_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000011_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000011_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000011_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk00000011_P<47>_UNCONNECTED , \blk00000003/sig0000017c , \blk00000003/sig0000017d , \blk00000003/sig0000017e , +\blk00000003/sig0000017f , \blk00000003/sig00000180 , \blk00000003/sig00000181 , \blk00000003/sig00000182 , \blk00000003/sig00000183 , +\blk00000003/sig00000184 , \blk00000003/sig00000185 , \blk00000003/sig00000186 , \blk00000003/sig00000187 , \blk00000003/sig00000188 , +\blk00000003/sig00000189 , \blk00000003/sig0000018a , \blk00000003/sig0000018b , \blk00000003/sig0000018c , \blk00000003/sig0000018d , +\blk00000003/sig0000018e , \blk00000003/sig0000018f , \blk00000003/sig00000190 , \blk00000003/sig00000191 , \blk00000003/sig00000192 , +\blk00000003/sig00000193 , \blk00000003/sig00000194 , \blk00000003/sig00000195 , \blk00000003/sig00000196 , \blk00000003/sig00000197 , +\blk00000003/sig00000198 , \blk00000003/sig00000199 , \blk00000003/sig0000019a , \blk00000003/sig0000019b , \blk00000003/sig0000019c , +\blk00000003/sig0000019d , \blk00000003/sig0000019e , \blk00000003/sig0000019f , \blk00000003/sig000001a0 , \blk00000003/sig000001a1 , +\blk00000003/sig000001a2 , \blk00000003/sig000001a3 , \blk00000003/sig000001a4 , \blk00000003/sig000001a5 , \blk00000003/sig000001a6 , +\blk00000003/sig000001a7 , \blk00000003/sig000001a8 , \blk00000003/sig000001a9 , \blk00000003/sig000001aa }), + .A({\blk00000003/sig000001ab , \blk00000003/sig000001ab , \blk00000003/sig000001ab , \blk00000003/sig000001ab , \blk00000003/sig000001ab , +\blk00000003/sig000001ab , \blk00000003/sig000001ab , \blk00000003/sig000001ac , \blk00000003/sig000001ad , \blk00000003/sig000001ae , +\blk00000003/sig000001af , \blk00000003/sig000001b0 , \blk00000003/sig000001b1 , \blk00000003/sig000001b2 , \blk00000003/sig000001b3 , +\blk00000003/sig000001b4 , \blk00000003/sig000001b5 , \blk00000003/sig000001b6 , \blk00000003/sig000001b7 , \blk00000003/sig000001b8 , +\blk00000003/sig000001b9 , \blk00000003/sig000001ba , \blk00000003/sig000001bb , \blk00000003/sig000001bc , \blk00000003/sig000001bd , +\blk00000003/sig000001be , \blk00000003/sig000001bf , \blk00000003/sig000001c0 , \blk00000003/sig000001c1 , \blk00000003/sig000001c2 }), + .PCOUT({\NLW_blk00000003/blk00000011_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<0>_UNCONNECTED }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 2 ), + .ADREG ( 0 ), + .ALUMODEREG ( 1 ), + .AREG ( 2 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 2 ), + .BREG ( 2 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 0 ), + .INMODEREG ( 0 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "FALSE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk00000010 ( + .PATTERNBDETECT(\NLW_blk00000003/blk00000010_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(ce), + .CEAD(\blk00000003/sig00000049 ), + .MULTSIGNOUT(\NLW_blk00000003/blk00000010_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk00000010_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk00000010_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk00000010_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(\blk00000003/sig00000049 ), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(ce), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk00000010_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk00000010_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000010_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000010_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000010_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000010_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000010_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000010_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000010_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000010_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000010_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000010_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000010_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000010_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000010_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000010_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000b1 , \blk00000003/sig00000049 , \blk00000003/sig000000b5 , +\blk00000003/sig000000b3 , \blk00000003/sig000000b5 }), + .PCIN({\blk00000003/sig000000c3 , \blk00000003/sig000000c4 , \blk00000003/sig000000c5 , \blk00000003/sig000000c6 , \blk00000003/sig000000c7 , +\blk00000003/sig000000c8 , \blk00000003/sig000000c9 , \blk00000003/sig000000ca , \blk00000003/sig000000cb , \blk00000003/sig000000cc , +\blk00000003/sig000000cd , \blk00000003/sig000000ce , \blk00000003/sig000000cf , \blk00000003/sig000000d0 , \blk00000003/sig000000d1 , +\blk00000003/sig000000d2 , \blk00000003/sig000000d3 , \blk00000003/sig000000d4 , \blk00000003/sig000000d5 , \blk00000003/sig000000d6 , +\blk00000003/sig000000d7 , \blk00000003/sig000000d8 , \blk00000003/sig000000d9 , \blk00000003/sig000000da , \blk00000003/sig000000db , +\blk00000003/sig000000dc , \blk00000003/sig000000dd , \blk00000003/sig000000de , \blk00000003/sig000000df , \blk00000003/sig000000e0 , +\blk00000003/sig000000e1 , \blk00000003/sig000000e2 , \blk00000003/sig000000e3 , \blk00000003/sig000000e4 , \blk00000003/sig000000e5 , +\blk00000003/sig000000e6 , \blk00000003/sig000000e7 , \blk00000003/sig000000e8 , \blk00000003/sig000000e9 , \blk00000003/sig000000ea , +\blk00000003/sig000000eb , \blk00000003/sig000000ec , \blk00000003/sig000000ed , \blk00000003/sig000000ee , \blk00000003/sig000000ef , +\blk00000003/sig000000f0 , \blk00000003/sig000000f1 , \blk00000003/sig000000f2 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk00000010_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000010_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000010_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000010_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000000f3 , \blk00000003/sig000000f4 , \blk00000003/sig000000f5 , \blk00000003/sig000000f6 , \blk00000003/sig000000f7 , +\blk00000003/sig000000f8 , \blk00000003/sig000000f9 , \blk00000003/sig000000fa , \blk00000003/sig000000fb , \blk00000003/sig000000fc , +\blk00000003/sig000000fd , \blk00000003/sig000000fe , \blk00000003/sig000000ff , \blk00000003/sig00000100 , \blk00000003/sig00000101 , +\blk00000003/sig00000102 , \blk00000003/sig00000103 , \blk00000003/sig00000104 }), + .BCOUT({\NLW_blk00000003/blk00000010_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000010_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000010_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000010_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000010_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000010_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000010_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000010_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000010_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000010_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000010_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000010_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000010_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000010_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000010_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000010_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000010_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000010_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk00000010_P<47>_UNCONNECTED , \blk00000003/sig00000105 , \blk00000003/sig00000106 , \blk00000003/sig00000107 , +\blk00000003/sig00000108 , \blk00000003/sig00000109 , \blk00000003/sig0000010a , \blk00000003/sig0000010b , \blk00000003/sig0000010c , +\blk00000003/sig0000010d , \blk00000003/sig0000010e , \blk00000003/sig0000010f , \blk00000003/sig00000110 , \blk00000003/sig00000111 , +\blk00000003/sig00000112 , \blk00000003/sig00000113 , \blk00000003/sig00000114 , \blk00000003/sig00000115 , \blk00000003/sig00000116 , +\blk00000003/sig00000117 , \blk00000003/sig00000118 , \blk00000003/sig00000119 , \blk00000003/sig0000011a , \blk00000003/sig0000011b , +\blk00000003/sig0000011c , \blk00000003/sig0000011d , \blk00000003/sig0000011e , \blk00000003/sig0000011f , \blk00000003/sig00000120 , +\blk00000003/sig00000121 , \blk00000003/sig00000122 , \blk00000003/sig00000123 , \blk00000003/sig00000124 , \blk00000003/sig00000125 , +\blk00000003/sig00000126 , \blk00000003/sig00000127 , \blk00000003/sig00000128 , \blk00000003/sig00000129 , \blk00000003/sig0000012a , +\blk00000003/sig0000012b , \blk00000003/sig0000012c , \blk00000003/sig0000012d , \blk00000003/sig0000012e , \blk00000003/sig0000012f , +\blk00000003/sig00000130 , \blk00000003/sig00000131 , \blk00000003/sig00000132 , \blk00000003/sig00000133 }), + .A({\blk00000003/sig00000134 , \blk00000003/sig00000134 , \blk00000003/sig00000134 , \blk00000003/sig00000134 , \blk00000003/sig00000134 , +\blk00000003/sig00000134 , \blk00000003/sig00000134 , \blk00000003/sig00000135 , \blk00000003/sig00000136 , \blk00000003/sig00000137 , +\blk00000003/sig00000138 , \blk00000003/sig00000139 , \blk00000003/sig0000013a , \blk00000003/sig0000013b , \blk00000003/sig0000013c , +\blk00000003/sig0000013d , \blk00000003/sig0000013e , \blk00000003/sig0000013f , \blk00000003/sig00000140 , \blk00000003/sig00000141 , +\blk00000003/sig00000142 , \blk00000003/sig00000143 , \blk00000003/sig00000144 , \blk00000003/sig00000145 , \blk00000003/sig00000146 , +\blk00000003/sig00000147 , \blk00000003/sig00000148 , \blk00000003/sig00000149 , \blk00000003/sig0000014a , \blk00000003/sig0000014b }), + .PCOUT({\NLW_blk00000003/blk00000010_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<0>_UNCONNECTED }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + MUXCY_D \blk00000003/blk0000000f ( + .CI(\blk00000003/sig000000ac ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000c2 ), + .O(\blk00000003/sig000000be ), + .LO(\NLW_blk00000003/blk0000000f_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk0000000e ( + .CI(\blk00000003/sig000000be ), + .DI(\blk00000003/sig000000bf ), + .S(\blk00000003/sig000000c0 ), + .O(\blk00000003/sig000000b6 ), + .LO(\blk00000003/sig000000c1 ) + ); + XORCY \blk00000003/blk0000000d ( + .CI(\blk00000003/sig000000bc ), + .LI(\blk00000003/sig000000ac ), + .O(\blk00000003/sig000000bd ) + ); + MUXCY_D \blk00000003/blk0000000c ( + .CI(\blk00000003/sig000000b9 ), + .DI(\blk00000003/sig000000ba ), + .S(\blk00000003/sig000000bb ), + .O(\NLW_blk00000003/blk0000000c_O_UNCONNECTED ), + .LO(\blk00000003/sig000000bc ) + ); + MUXCY_D \blk00000003/blk0000000b ( + .CI(\blk00000003/sig000000b6 ), + .DI(\blk00000003/sig000000b7 ), + .S(\blk00000003/sig000000b8 ), + .O(\blk00000003/sig000000b9 ), + .LO(\NLW_blk00000003/blk0000000b_LO_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk0000000a ( + .C(clk), + .D(\blk00000003/sig000000b4 ), + .Q(\blk00000003/sig000000b5 ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk00000009 ( + .C(clk), + .D(\blk00000003/sig000000b2 ), + .Q(\blk00000003/sig000000b3 ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk00000008 ( + .C(clk), + .D(\blk00000003/sig000000b0 ), + .Q(\blk00000003/sig000000b1 ) + ); + XORCY \blk00000003/blk00000007 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig000000ae ), + .O(\blk00000003/sig000000af ) + ); + MUXCY_D \blk00000003/blk00000006 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ad ), + .S(\blk00000003/sig000000ae ), + .O(\NLW_blk00000003/blk00000006_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk00000006_LO_UNCONNECTED ) + ); + VCC \blk00000003/blk00000005 ( + .P(\blk00000003/sig000000ac ) + ); + GND \blk00000003/blk00000004 ( + .G(\blk00000003/sig00000049 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000002b/blk0000008d ( + .I0(nd), + .I1(ce), + .O(\blk00000003/blk0000002b/sig00000800 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000008c ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[22]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000008c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007fe ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000008b ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[21]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000008b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007fd ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000008a ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[23]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000008a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007ff ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000089 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[19]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000089_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007fb ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000088 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[18]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000088_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007fa ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000087 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[20]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000087_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007fc ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000086 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[16]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000086_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007f8 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000085 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[15]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000085_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007f7 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000084 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[17]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000084_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007f9 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000083 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[13]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000083_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007f5 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000082 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[12]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000082_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007f4 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000081 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[14]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000081_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007f6 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000080 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[10]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000080_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007f2 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000007f ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[9]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000007f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007f1 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000007e ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[11]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000007e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007f3 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000007d ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[7]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000007d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007ef ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000007c ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[6]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000007c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007ee ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000007b ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[8]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000007b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007f0 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000007a ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[4]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000007a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007ec ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000079 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[3]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000079_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007eb ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000078 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[5]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000078_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007ed ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000077 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[1]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000077_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007e9 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000076 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[0]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000076_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007e8 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000075 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[2]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000075_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007ea ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000074 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[22]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000074_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007e6 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000073 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[21]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000073_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007e5 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000072 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[23]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000072_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007e7 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000071 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[19]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000071_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007e3 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000070 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[18]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000070_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007e2 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000006f ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[20]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000006f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007e4 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000006e ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[16]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000006e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007e0 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000006d ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[15]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000006d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007df ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000006c ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[17]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000006c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007e1 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000006b ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[13]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000006b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007dd ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000006a ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[12]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000006a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007dc ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000069 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[14]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000069_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007de ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000068 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[10]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000068_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007da ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000067 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[9]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000067_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007d9 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000066 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[11]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000066_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007db ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000065 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[7]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000065_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007d7 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000064 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[6]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000064_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007d6 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000063 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[8]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000063_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007d8 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000062 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[4]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000062_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007d4 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000061 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[3]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000061_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007d3 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000060 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[5]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000060_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007d5 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000005f ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[1]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000005f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007d1 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000005e ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[0]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000005e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007d0 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000005d ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[2]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000005d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000005c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007ff ), + .Q(\blk00000003/sig000001e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000005b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007fe ), + .Q(\blk00000003/sig000001e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000005a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007fd ), + .Q(\blk00000003/sig000001ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000059 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007fc ), + .Q(\blk00000003/sig000001eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000058 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007fb ), + .Q(\blk00000003/sig000001ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000057 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007fa ), + .Q(\blk00000003/sig000001ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000056 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007f9 ), + .Q(\blk00000003/sig000001ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000055 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007f8 ), + .Q(\blk00000003/sig000001ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000054 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007f7 ), + .Q(\blk00000003/sig000001f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000053 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007f6 ), + .Q(\blk00000003/sig000001f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000052 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007f5 ), + .Q(\blk00000003/sig000001f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000051 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007f4 ), + .Q(\blk00000003/sig000001f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000050 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007f3 ), + .Q(\blk00000003/sig000001f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000004f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007f2 ), + .Q(\blk00000003/sig000001f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000004e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007f1 ), + .Q(\blk00000003/sig000001f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000004d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007f0 ), + .Q(\blk00000003/sig000001f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000004c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007ef ), + .Q(\blk00000003/sig000001f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000004b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007ee ), + .Q(\blk00000003/sig000001f9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000004a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007ed ), + .Q(\blk00000003/sig000001fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000049 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007ec ), + .Q(\blk00000003/sig000001fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000048 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007eb ), + .Q(\blk00000003/sig000001fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000047 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007ea ), + .Q(\blk00000003/sig000001fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000046 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007e9 ), + .Q(\blk00000003/sig000001fe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000045 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007e8 ), + .Q(\blk00000003/sig000001ff ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000044 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007e7 ), + .Q(\blk00000003/sig00000200 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000043 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007e6 ), + .Q(\blk00000003/sig00000201 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000042 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007e5 ), + .Q(\blk00000003/sig00000202 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000041 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007e4 ), + .Q(\blk00000003/sig00000203 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000040 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007e3 ), + .Q(\blk00000003/sig00000204 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000003f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007e2 ), + .Q(\blk00000003/sig00000205 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000003e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007e1 ), + .Q(\blk00000003/sig00000206 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000003d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007e0 ), + .Q(\blk00000003/sig00000207 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000003c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007df ), + .Q(\blk00000003/sig00000208 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000003b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007de ), + .Q(\blk00000003/sig00000209 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000003a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007dd ), + .Q(\blk00000003/sig0000020a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000039 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007dc ), + .Q(\blk00000003/sig0000020b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000038 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007db ), + .Q(\blk00000003/sig0000020c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000037 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007da ), + .Q(\blk00000003/sig0000020d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000036 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007d9 ), + .Q(\blk00000003/sig0000020e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000035 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007d8 ), + .Q(\blk00000003/sig0000020f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000034 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007d7 ), + .Q(\blk00000003/sig00000210 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000033 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007d6 ), + .Q(\blk00000003/sig00000211 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000032 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007d5 ), + .Q(\blk00000003/sig00000212 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000031 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007d4 ), + .Q(\blk00000003/sig00000213 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000030 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007d3 ), + .Q(\blk00000003/sig00000214 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000002f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007d2 ), + .Q(\blk00000003/sig00000215 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000002e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007d1 ), + .Q(\blk00000003/sig00000216 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000002d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007d0 ), + .Q(\blk00000003/sig00000217 ) + ); + GND \blk00000003/blk0000002b/blk0000002c ( + .G(\blk00000003/blk0000002b/sig000007cf ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000117/blk00000149 ( + .I0(ce), + .I1(\blk00000003/sig000004e6 ), + .O(\blk00000003/blk00000117/sig0000084f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000148 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig0000047c ), + .Q(\blk00000003/blk00000117/sig0000084d ), + .Q15(\NLW_blk00000003/blk00000117/blk00000148_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000147 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig0000047d ), + .Q(\blk00000003/blk00000117/sig0000084c ), + .Q15(\NLW_blk00000003/blk00000117/blk00000147_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000146 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig0000047b ), + .Q(\blk00000003/blk00000117/sig0000084e ), + .Q15(\NLW_blk00000003/blk00000117/blk00000146_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000145 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig0000047f ), + .Q(\blk00000003/blk00000117/sig0000084a ), + .Q15(\NLW_blk00000003/blk00000117/blk00000145_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000144 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig00000480 ), + .Q(\blk00000003/blk00000117/sig00000849 ), + .Q15(\NLW_blk00000003/blk00000117/blk00000144_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000143 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig0000047e ), + .Q(\blk00000003/blk00000117/sig0000084b ), + .Q15(\NLW_blk00000003/blk00000117/blk00000143_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000142 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig00000482 ), + .Q(\blk00000003/blk00000117/sig00000847 ), + .Q15(\NLW_blk00000003/blk00000117/blk00000142_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000141 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig00000483 ), + .Q(\blk00000003/blk00000117/sig00000846 ), + .Q15(\NLW_blk00000003/blk00000117/blk00000141_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000140 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig00000481 ), + .Q(\blk00000003/blk00000117/sig00000848 ), + .Q15(\NLW_blk00000003/blk00000117/blk00000140_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk0000013f ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig00000485 ), + .Q(\blk00000003/blk00000117/sig00000844 ), + .Q15(\NLW_blk00000003/blk00000117/blk0000013f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk0000013e ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig00000486 ), + .Q(\blk00000003/blk00000117/sig00000843 ), + .Q15(\NLW_blk00000003/blk00000117/blk0000013e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk0000013d ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig00000484 ), + .Q(\blk00000003/blk00000117/sig00000845 ), + .Q15(\NLW_blk00000003/blk00000117/blk0000013d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk0000013c ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig00000488 ), + .Q(\blk00000003/blk00000117/sig00000841 ), + .Q15(\NLW_blk00000003/blk00000117/blk0000013c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk0000013b ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig00000489 ), + .Q(\blk00000003/blk00000117/sig00000840 ), + .Q15(\NLW_blk00000003/blk00000117/blk0000013b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk0000013a ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig00000487 ), + .Q(\blk00000003/blk00000117/sig00000842 ), + .Q15(\NLW_blk00000003/blk00000117/blk0000013a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000139 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig0000048b ), + .Q(\blk00000003/blk00000117/sig0000083e ), + .Q15(\NLW_blk00000003/blk00000117/blk00000139_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000138 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig0000048c ), + .Q(\blk00000003/blk00000117/sig0000083d ), + .Q15(\NLW_blk00000003/blk00000117/blk00000138_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000137 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig0000048a ), + .Q(\blk00000003/blk00000117/sig0000083f ), + .Q15(\NLW_blk00000003/blk00000117/blk00000137_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000136 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig0000048e ), + .Q(\blk00000003/blk00000117/sig0000083b ), + .Q15(\NLW_blk00000003/blk00000117/blk00000136_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000135 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig0000048f ), + .Q(\blk00000003/blk00000117/sig0000083a ), + .Q15(\NLW_blk00000003/blk00000117/blk00000135_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000134 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig0000048d ), + .Q(\blk00000003/blk00000117/sig0000083c ), + .Q15(\NLW_blk00000003/blk00000117/blk00000134_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000133 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig00000491 ), + .Q(\blk00000003/blk00000117/sig00000838 ), + .Q15(\NLW_blk00000003/blk00000117/blk00000133_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000132 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig00000492 ), + .Q(\blk00000003/blk00000117/sig00000837 ), + .Q15(\NLW_blk00000003/blk00000117/blk00000132_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000131 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig00000490 ), + .Q(\blk00000003/blk00000117/sig00000839 ), + .Q15(\NLW_blk00000003/blk00000117/blk00000131_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk00000130 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig0000084e ), + .Q(\blk00000003/sig000003d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk0000012f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig0000084d ), + .Q(\blk00000003/sig000003da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk0000012e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig0000084c ), + .Q(\blk00000003/sig000003db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk0000012d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig0000084b ), + .Q(\blk00000003/sig000003dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk0000012c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig0000084a ), + .Q(\blk00000003/sig000003dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk0000012b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig00000849 ), + .Q(\blk00000003/sig000003de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk0000012a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig00000848 ), + .Q(\blk00000003/sig000003df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk00000129 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig00000847 ), + .Q(\blk00000003/sig000003e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk00000128 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig00000846 ), + .Q(\blk00000003/sig000003e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk00000127 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig00000845 ), + .Q(\blk00000003/sig000003e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk00000126 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig00000844 ), + .Q(\blk00000003/sig000003e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk00000125 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig00000843 ), + .Q(\blk00000003/sig000003e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk00000124 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig00000842 ), + .Q(\blk00000003/sig000003e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk00000123 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig00000841 ), + .Q(\blk00000003/sig000003e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk00000122 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig00000840 ), + .Q(\blk00000003/sig000003e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk00000121 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig0000083f ), + .Q(\blk00000003/sig000003e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk00000120 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig0000083e ), + .Q(\blk00000003/sig000003e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk0000011f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig0000083d ), + .Q(\blk00000003/sig000003ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk0000011e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig0000083c ), + .Q(\blk00000003/sig000003eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk0000011d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig0000083b ), + .Q(\blk00000003/sig000003ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk0000011c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig0000083a ), + .Q(\blk00000003/sig000003ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk0000011b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig00000839 ), + .Q(\blk00000003/sig000003ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk0000011a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig00000838 ), + .Q(\blk00000003/sig000003ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk00000119 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig00000837 ), + .Q(\blk00000003/sig000003f0 ) + ); + GND \blk00000003/blk00000117/blk00000118 ( + .G(\blk00000003/blk00000117/sig00000836 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000014a/blk0000017c ( + .I0(ce), + .I1(\blk00000003/sig000004e4 ), + .O(\blk00000003/blk0000014a/sig0000089e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk0000017b ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig000004f8 ), + .Q(\blk00000003/blk0000014a/sig0000089c ), + .Q15(\NLW_blk00000003/blk0000014a/blk0000017b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk0000017a ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig000004f9 ), + .Q(\blk00000003/blk0000014a/sig0000089b ), + .Q15(\NLW_blk00000003/blk0000014a/blk0000017a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000179 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig000004f7 ), + .Q(\blk00000003/blk0000014a/sig0000089d ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000179_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000178 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig000004fb ), + .Q(\blk00000003/blk0000014a/sig00000899 ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000178_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000177 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig000004fc ), + .Q(\blk00000003/blk0000014a/sig00000898 ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000177_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000176 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig000004fa ), + .Q(\blk00000003/blk0000014a/sig0000089a ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000176_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000175 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig000004fe ), + .Q(\blk00000003/blk0000014a/sig00000896 ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000175_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000174 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig000004ff ), + .Q(\blk00000003/blk0000014a/sig00000895 ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000174_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000173 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig000004fd ), + .Q(\blk00000003/blk0000014a/sig00000897 ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000173_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000172 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig00000501 ), + .Q(\blk00000003/blk0000014a/sig00000893 ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000172_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000171 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig00000502 ), + .Q(\blk00000003/blk0000014a/sig00000892 ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000171_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000170 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig00000500 ), + .Q(\blk00000003/blk0000014a/sig00000894 ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000170_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk0000016f ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig00000504 ), + .Q(\blk00000003/blk0000014a/sig00000890 ), + .Q15(\NLW_blk00000003/blk0000014a/blk0000016f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk0000016e ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig00000505 ), + .Q(\blk00000003/blk0000014a/sig0000088f ), + .Q15(\NLW_blk00000003/blk0000014a/blk0000016e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk0000016d ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig00000503 ), + .Q(\blk00000003/blk0000014a/sig00000891 ), + .Q15(\NLW_blk00000003/blk0000014a/blk0000016d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk0000016c ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig00000507 ), + .Q(\blk00000003/blk0000014a/sig0000088d ), + .Q15(\NLW_blk00000003/blk0000014a/blk0000016c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk0000016b ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig00000508 ), + .Q(\blk00000003/blk0000014a/sig0000088c ), + .Q15(\NLW_blk00000003/blk0000014a/blk0000016b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk0000016a ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig00000506 ), + .Q(\blk00000003/blk0000014a/sig0000088e ), + .Q15(\NLW_blk00000003/blk0000014a/blk0000016a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000169 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig0000050a ), + .Q(\blk00000003/blk0000014a/sig0000088a ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000169_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000168 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig0000050b ), + .Q(\blk00000003/blk0000014a/sig00000889 ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000168_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000167 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig00000509 ), + .Q(\blk00000003/blk0000014a/sig0000088b ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000167_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000166 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig0000050d ), + .Q(\blk00000003/blk0000014a/sig00000887 ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000166_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000165 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig0000050e ), + .Q(\blk00000003/blk0000014a/sig00000886 ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000165_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000164 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig0000050c ), + .Q(\blk00000003/blk0000014a/sig00000888 ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000164_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk00000163 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig0000089d ), + .Q(\blk00000003/sig000003f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk00000162 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig0000089c ), + .Q(\blk00000003/sig000003f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk00000161 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig0000089b ), + .Q(\blk00000003/sig000003f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk00000160 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig0000089a ), + .Q(\blk00000003/sig000003f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk0000015f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig00000899 ), + .Q(\blk00000003/sig000003f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk0000015e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig00000898 ), + .Q(\blk00000003/sig000003f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk0000015d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig00000897 ), + .Q(\blk00000003/sig000003f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk0000015c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig00000896 ), + .Q(\blk00000003/sig000003f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk0000015b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig00000895 ), + .Q(\blk00000003/sig000003f9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk0000015a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig00000894 ), + .Q(\blk00000003/sig000003fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk00000159 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig00000893 ), + .Q(\blk00000003/sig000003fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk00000158 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig00000892 ), + .Q(\blk00000003/sig000003fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk00000157 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig00000891 ), + .Q(\blk00000003/sig000003fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk00000156 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig00000890 ), + .Q(\blk00000003/sig000003fe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk00000155 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig0000088f ), + .Q(\blk00000003/sig000003ff ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk00000154 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig0000088e ), + .Q(\blk00000003/sig00000400 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk00000153 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig0000088d ), + .Q(\blk00000003/sig00000401 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk00000152 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig0000088c ), + .Q(\blk00000003/sig00000402 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk00000151 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig0000088b ), + .Q(\blk00000003/sig00000403 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk00000150 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig0000088a ), + .Q(\blk00000003/sig00000404 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk0000014f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig00000889 ), + .Q(\blk00000003/sig00000405 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk0000014e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig00000888 ), + .Q(\blk00000003/sig00000406 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk0000014d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig00000887 ), + .Q(\blk00000003/sig00000407 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk0000014c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig00000886 ), + .Q(\blk00000003/sig00000408 ) + ); + GND \blk00000003/blk0000014a/blk0000014b ( + .G(\blk00000003/blk0000014a/sig00000885 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000017d/blk000001af ( + .I0(ce), + .I1(\blk00000003/sig000004e6 ), + .O(\blk00000003/blk0000017d/sig000008ed ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001ae ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004ac ), + .Q(\blk00000003/blk0000017d/sig000008eb ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001ae_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001ad ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004ad ), + .Q(\blk00000003/blk0000017d/sig000008ea ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001ad_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001ac ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004ab ), + .Q(\blk00000003/blk0000017d/sig000008ec ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001ac_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001ab ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004af ), + .Q(\blk00000003/blk0000017d/sig000008e8 ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001ab_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001aa ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004b0 ), + .Q(\blk00000003/blk0000017d/sig000008e7 ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001aa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001a9 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004ae ), + .Q(\blk00000003/blk0000017d/sig000008e9 ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001a9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001a8 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004b2 ), + .Q(\blk00000003/blk0000017d/sig000008e5 ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001a8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001a7 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004b3 ), + .Q(\blk00000003/blk0000017d/sig000008e4 ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001a7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001a6 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004b1 ), + .Q(\blk00000003/blk0000017d/sig000008e6 ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001a6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001a5 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004b5 ), + .Q(\blk00000003/blk0000017d/sig000008e2 ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001a5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001a4 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004b6 ), + .Q(\blk00000003/blk0000017d/sig000008e1 ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001a4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001a3 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004b4 ), + .Q(\blk00000003/blk0000017d/sig000008e3 ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001a3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001a2 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004b8 ), + .Q(\blk00000003/blk0000017d/sig000008df ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001a2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001a1 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004b9 ), + .Q(\blk00000003/blk0000017d/sig000008de ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001a1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001a0 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004b7 ), + .Q(\blk00000003/blk0000017d/sig000008e0 ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001a0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk0000019f ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004bb ), + .Q(\blk00000003/blk0000017d/sig000008dc ), + .Q15(\NLW_blk00000003/blk0000017d/blk0000019f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk0000019e ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004bc ), + .Q(\blk00000003/blk0000017d/sig000008db ), + .Q15(\NLW_blk00000003/blk0000017d/blk0000019e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk0000019d ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004ba ), + .Q(\blk00000003/blk0000017d/sig000008dd ), + .Q15(\NLW_blk00000003/blk0000017d/blk0000019d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk0000019c ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004be ), + .Q(\blk00000003/blk0000017d/sig000008d9 ), + .Q15(\NLW_blk00000003/blk0000017d/blk0000019c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk0000019b ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004bf ), + .Q(\blk00000003/blk0000017d/sig000008d8 ), + .Q15(\NLW_blk00000003/blk0000017d/blk0000019b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk0000019a ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004bd ), + .Q(\blk00000003/blk0000017d/sig000008da ), + .Q15(\NLW_blk00000003/blk0000017d/blk0000019a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk00000199 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004c1 ), + .Q(\blk00000003/blk0000017d/sig000008d6 ), + .Q15(\NLW_blk00000003/blk0000017d/blk00000199_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk00000198 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004c2 ), + .Q(\blk00000003/blk0000017d/sig000008d5 ), + .Q15(\NLW_blk00000003/blk0000017d/blk00000198_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk00000197 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004c0 ), + .Q(\blk00000003/blk0000017d/sig000008d7 ), + .Q15(\NLW_blk00000003/blk0000017d/blk00000197_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000196 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008ec ), + .Q(\blk00000003/sig00000439 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000195 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008eb ), + .Q(\blk00000003/sig0000043a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000194 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008ea ), + .Q(\blk00000003/sig0000043b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000193 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008e9 ), + .Q(\blk00000003/sig0000043c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000192 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008e8 ), + .Q(\blk00000003/sig0000043d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000191 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008e7 ), + .Q(\blk00000003/sig0000043e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000190 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008e6 ), + .Q(\blk00000003/sig0000043f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk0000018f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008e5 ), + .Q(\blk00000003/sig00000440 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk0000018e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008e4 ), + .Q(\blk00000003/sig00000441 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk0000018d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008e3 ), + .Q(\blk00000003/sig00000442 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk0000018c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008e2 ), + .Q(\blk00000003/sig00000443 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk0000018b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008e1 ), + .Q(\blk00000003/sig00000444 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk0000018a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008e0 ), + .Q(\blk00000003/sig00000445 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000189 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008df ), + .Q(\blk00000003/sig00000446 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000188 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008de ), + .Q(\blk00000003/sig00000447 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000187 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008dd ), + .Q(\blk00000003/sig00000448 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000186 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008dc ), + .Q(\blk00000003/sig00000449 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000185 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008db ), + .Q(\blk00000003/sig0000044a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000184 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008da ), + .Q(\blk00000003/sig0000044b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000183 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008d9 ), + .Q(\blk00000003/sig0000044c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000182 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008d8 ), + .Q(\blk00000003/sig0000044d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000181 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008d7 ), + .Q(\blk00000003/sig0000044e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000180 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008d6 ), + .Q(\blk00000003/sig0000044f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk0000017f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008d5 ), + .Q(\blk00000003/sig00000450 ) + ); + GND \blk00000003/blk0000017d/blk0000017e ( + .G(\blk00000003/blk0000017d/sig000008d4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000001b0/blk000001e2 ( + .I0(ce), + .I1(\blk00000003/sig000004e4 ), + .O(\blk00000003/blk000001b0/sig0000093c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001e1 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000510 ), + .Q(\blk00000003/blk000001b0/sig0000093a ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001e1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001e0 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000511 ), + .Q(\blk00000003/blk000001b0/sig00000939 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001e0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001df ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig0000050f ), + .Q(\blk00000003/blk000001b0/sig0000093b ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001df_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001de ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000513 ), + .Q(\blk00000003/blk000001b0/sig00000937 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001de_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001dd ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000514 ), + .Q(\blk00000003/blk000001b0/sig00000936 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001dd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001dc ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000512 ), + .Q(\blk00000003/blk000001b0/sig00000938 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001dc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001db ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000516 ), + .Q(\blk00000003/blk000001b0/sig00000934 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001db_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001da ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000517 ), + .Q(\blk00000003/blk000001b0/sig00000933 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001da_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001d9 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000515 ), + .Q(\blk00000003/blk000001b0/sig00000935 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001d9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001d8 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000519 ), + .Q(\blk00000003/blk000001b0/sig00000931 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001d8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001d7 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig0000051a ), + .Q(\blk00000003/blk000001b0/sig00000930 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001d7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001d6 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000518 ), + .Q(\blk00000003/blk000001b0/sig00000932 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001d6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001d5 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig0000051c ), + .Q(\blk00000003/blk000001b0/sig0000092e ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001d5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001d4 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig0000051d ), + .Q(\blk00000003/blk000001b0/sig0000092d ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001d4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001d3 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig0000051b ), + .Q(\blk00000003/blk000001b0/sig0000092f ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001d3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001d2 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig0000051f ), + .Q(\blk00000003/blk000001b0/sig0000092b ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001d2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001d1 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000520 ), + .Q(\blk00000003/blk000001b0/sig0000092a ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001d1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001d0 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig0000051e ), + .Q(\blk00000003/blk000001b0/sig0000092c ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001d0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001cf ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000522 ), + .Q(\blk00000003/blk000001b0/sig00000928 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001cf_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001ce ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000523 ), + .Q(\blk00000003/blk000001b0/sig00000927 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001ce_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001cd ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000521 ), + .Q(\blk00000003/blk000001b0/sig00000929 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001cd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001cc ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000525 ), + .Q(\blk00000003/blk000001b0/sig00000925 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001cc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001cb ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000526 ), + .Q(\blk00000003/blk000001b0/sig00000924 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001cb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001ca ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000524 ), + .Q(\blk00000003/blk000001b0/sig00000926 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001ca_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig0000093b ), + .Q(\blk00000003/sig00000451 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig0000093a ), + .Q(\blk00000003/sig00000452 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000939 ), + .Q(\blk00000003/sig00000453 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000938 ), + .Q(\blk00000003/sig00000454 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000937 ), + .Q(\blk00000003/sig00000455 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000936 ), + .Q(\blk00000003/sig00000456 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000935 ), + .Q(\blk00000003/sig00000457 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000934 ), + .Q(\blk00000003/sig00000458 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000933 ), + .Q(\blk00000003/sig00000459 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000932 ), + .Q(\blk00000003/sig0000045a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000931 ), + .Q(\blk00000003/sig0000045b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000930 ), + .Q(\blk00000003/sig0000045c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig0000092f ), + .Q(\blk00000003/sig0000045d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig0000092e ), + .Q(\blk00000003/sig0000045e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig0000092d ), + .Q(\blk00000003/sig0000045f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig0000092c ), + .Q(\blk00000003/sig00000460 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig0000092b ), + .Q(\blk00000003/sig00000461 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig0000092a ), + .Q(\blk00000003/sig00000462 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000929 ), + .Q(\blk00000003/sig00000463 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000928 ), + .Q(\blk00000003/sig00000464 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000927 ), + .Q(\blk00000003/sig00000465 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000926 ), + .Q(\blk00000003/sig00000466 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000925 ), + .Q(\blk00000003/sig00000467 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000924 ), + .Q(\blk00000003/sig00000468 ) + ); + GND \blk00000003/blk000001b0/blk000001b1 ( + .G(\blk00000003/blk000001b0/sig00000923 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000001e3/blk00000215 ( + .I0(ce), + .I1(\blk00000003/sig000004e5 ), + .O(\blk00000003/blk000001e3/sig0000098b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000214 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002d8 ), + .Q(\blk00000003/blk000001e3/sig00000989 ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000214_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000213 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002d9 ), + .Q(\blk00000003/blk000001e3/sig00000988 ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000213_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000212 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002d7 ), + .Q(\blk00000003/blk000001e3/sig0000098a ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000212_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000211 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002db ), + .Q(\blk00000003/blk000001e3/sig00000986 ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000211_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000210 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002dc ), + .Q(\blk00000003/blk000001e3/sig00000985 ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000210_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk0000020f ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002da ), + .Q(\blk00000003/blk000001e3/sig00000987 ), + .Q15(\NLW_blk00000003/blk000001e3/blk0000020f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk0000020e ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002de ), + .Q(\blk00000003/blk000001e3/sig00000983 ), + .Q15(\NLW_blk00000003/blk000001e3/blk0000020e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk0000020d ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002df ), + .Q(\blk00000003/blk000001e3/sig00000982 ), + .Q15(\NLW_blk00000003/blk000001e3/blk0000020d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk0000020c ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002dd ), + .Q(\blk00000003/blk000001e3/sig00000984 ), + .Q15(\NLW_blk00000003/blk000001e3/blk0000020c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk0000020b ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002e1 ), + .Q(\blk00000003/blk000001e3/sig00000980 ), + .Q15(\NLW_blk00000003/blk000001e3/blk0000020b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk0000020a ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002e2 ), + .Q(\blk00000003/blk000001e3/sig0000097f ), + .Q15(\NLW_blk00000003/blk000001e3/blk0000020a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000209 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002e0 ), + .Q(\blk00000003/blk000001e3/sig00000981 ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000209_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000208 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002e4 ), + .Q(\blk00000003/blk000001e3/sig0000097d ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000208_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000207 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002e5 ), + .Q(\blk00000003/blk000001e3/sig0000097c ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000207_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000206 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002e3 ), + .Q(\blk00000003/blk000001e3/sig0000097e ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000206_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000205 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002e7 ), + .Q(\blk00000003/blk000001e3/sig0000097a ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000205_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000204 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002e8 ), + .Q(\blk00000003/blk000001e3/sig00000979 ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000204_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000203 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002e6 ), + .Q(\blk00000003/blk000001e3/sig0000097b ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000203_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000202 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002ea ), + .Q(\blk00000003/blk000001e3/sig00000977 ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000202_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000201 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002eb ), + .Q(\blk00000003/blk000001e3/sig00000976 ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000201_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000200 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002e9 ), + .Q(\blk00000003/blk000001e3/sig00000978 ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000200_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk000001ff ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002ed ), + .Q(\blk00000003/blk000001e3/sig00000974 ), + .Q15(\NLW_blk00000003/blk000001e3/blk000001ff_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk000001fe ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002ee ), + .Q(\blk00000003/blk000001e3/sig00000973 ), + .Q15(\NLW_blk00000003/blk000001e3/blk000001fe_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk000001fd ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002ec ), + .Q(\blk00000003/blk000001e3/sig00000975 ), + .Q15(\NLW_blk00000003/blk000001e3/blk000001fd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig0000098a ), + .Q(\blk00000003/sig0000047b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000989 ), + .Q(\blk00000003/sig0000047c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000988 ), + .Q(\blk00000003/sig0000047d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000987 ), + .Q(\blk00000003/sig0000047e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000986 ), + .Q(\blk00000003/sig0000047f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000985 ), + .Q(\blk00000003/sig00000480 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000984 ), + .Q(\blk00000003/sig00000481 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000983 ), + .Q(\blk00000003/sig00000482 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000982 ), + .Q(\blk00000003/sig00000483 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000981 ), + .Q(\blk00000003/sig00000484 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000980 ), + .Q(\blk00000003/sig00000485 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig0000097f ), + .Q(\blk00000003/sig00000486 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig0000097e ), + .Q(\blk00000003/sig00000487 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig0000097d ), + .Q(\blk00000003/sig00000488 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig0000097c ), + .Q(\blk00000003/sig00000489 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig0000097b ), + .Q(\blk00000003/sig0000048a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig0000097a ), + .Q(\blk00000003/sig0000048b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000979 ), + .Q(\blk00000003/sig0000048c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000978 ), + .Q(\blk00000003/sig0000048d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000977 ), + .Q(\blk00000003/sig0000048e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000976 ), + .Q(\blk00000003/sig0000048f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000975 ), + .Q(\blk00000003/sig00000490 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000974 ), + .Q(\blk00000003/sig00000491 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000973 ), + .Q(\blk00000003/sig00000492 ) + ); + GND \blk00000003/blk000001e3/blk000001e4 ( + .G(\blk00000003/blk000001e3/sig00000972 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000216/blk00000248 ( + .I0(ce), + .I1(\blk00000003/sig000004e3 ), + .O(\blk00000003/blk00000216/sig000009da ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000247 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig00000528 ), + .Q(\blk00000003/blk00000216/sig000009d8 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000247_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000246 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig00000529 ), + .Q(\blk00000003/blk00000216/sig000009d7 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000246_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000245 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig00000527 ), + .Q(\blk00000003/blk00000216/sig000009d9 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000245_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000244 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig0000052b ), + .Q(\blk00000003/blk00000216/sig000009d5 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000244_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000243 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig0000052c ), + .Q(\blk00000003/blk00000216/sig000009d4 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000243_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000242 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig0000052a ), + .Q(\blk00000003/blk00000216/sig000009d6 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000242_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000241 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig0000052e ), + .Q(\blk00000003/blk00000216/sig000009d2 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000241_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000240 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig0000052f ), + .Q(\blk00000003/blk00000216/sig000009d1 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000240_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk0000023f ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig0000052d ), + .Q(\blk00000003/blk00000216/sig000009d3 ), + .Q15(\NLW_blk00000003/blk00000216/blk0000023f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk0000023e ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig00000531 ), + .Q(\blk00000003/blk00000216/sig000009cf ), + .Q15(\NLW_blk00000003/blk00000216/blk0000023e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk0000023d ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig00000532 ), + .Q(\blk00000003/blk00000216/sig000009ce ), + .Q15(\NLW_blk00000003/blk00000216/blk0000023d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk0000023c ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig00000530 ), + .Q(\blk00000003/blk00000216/sig000009d0 ), + .Q15(\NLW_blk00000003/blk00000216/blk0000023c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk0000023b ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig00000534 ), + .Q(\blk00000003/blk00000216/sig000009cc ), + .Q15(\NLW_blk00000003/blk00000216/blk0000023b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk0000023a ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig00000535 ), + .Q(\blk00000003/blk00000216/sig000009cb ), + .Q15(\NLW_blk00000003/blk00000216/blk0000023a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000239 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig00000533 ), + .Q(\blk00000003/blk00000216/sig000009cd ), + .Q15(\NLW_blk00000003/blk00000216/blk00000239_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000238 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig00000537 ), + .Q(\blk00000003/blk00000216/sig000009c9 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000238_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000237 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig00000538 ), + .Q(\blk00000003/blk00000216/sig000009c8 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000237_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000236 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig00000536 ), + .Q(\blk00000003/blk00000216/sig000009ca ), + .Q15(\NLW_blk00000003/blk00000216/blk00000236_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000235 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig0000053a ), + .Q(\blk00000003/blk00000216/sig000009c6 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000235_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000234 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig0000053b ), + .Q(\blk00000003/blk00000216/sig000009c5 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000234_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000233 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig00000539 ), + .Q(\blk00000003/blk00000216/sig000009c7 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000233_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000232 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig0000053d ), + .Q(\blk00000003/blk00000216/sig000009c3 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000232_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000231 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig0000053e ), + .Q(\blk00000003/blk00000216/sig000009c2 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000231_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000230 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig0000053c ), + .Q(\blk00000003/blk00000216/sig000009c4 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000230_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk0000022f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009d9 ), + .Q(\blk00000003/sig00000493 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk0000022e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009d8 ), + .Q(\blk00000003/sig00000494 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk0000022d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009d7 ), + .Q(\blk00000003/sig00000495 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk0000022c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009d6 ), + .Q(\blk00000003/sig00000496 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk0000022b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009d5 ), + .Q(\blk00000003/sig00000497 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk0000022a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009d4 ), + .Q(\blk00000003/sig00000498 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk00000229 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009d3 ), + .Q(\blk00000003/sig00000499 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk00000228 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009d2 ), + .Q(\blk00000003/sig0000049a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk00000227 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009d1 ), + .Q(\blk00000003/sig0000049b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk00000226 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009d0 ), + .Q(\blk00000003/sig0000049c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk00000225 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009cf ), + .Q(\blk00000003/sig0000049d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk00000224 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009ce ), + .Q(\blk00000003/sig0000049e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk00000223 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009cd ), + .Q(\blk00000003/sig0000049f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk00000222 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009cc ), + .Q(\blk00000003/sig000004a0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk00000221 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009cb ), + .Q(\blk00000003/sig000004a1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk00000220 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009ca ), + .Q(\blk00000003/sig000004a2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk0000021f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009c9 ), + .Q(\blk00000003/sig000004a3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk0000021e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009c8 ), + .Q(\blk00000003/sig000004a4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk0000021d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009c7 ), + .Q(\blk00000003/sig000004a5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk0000021c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009c6 ), + .Q(\blk00000003/sig000004a6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk0000021b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009c5 ), + .Q(\blk00000003/sig000004a7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk0000021a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009c4 ), + .Q(\blk00000003/sig000004a8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk00000219 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009c3 ), + .Q(\blk00000003/sig000004a9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk00000218 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009c2 ), + .Q(\blk00000003/sig000004aa ) + ); + GND \blk00000003/blk00000216/blk00000217 ( + .G(\blk00000003/blk00000216/sig000009c1 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000249/blk0000027b ( + .I0(ce), + .I1(\blk00000003/sig000004e5 ), + .O(\blk00000003/blk00000249/sig00000a29 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk0000027a ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig00000338 ), + .Q(\blk00000003/blk00000249/sig00000a27 ), + .Q15(\NLW_blk00000003/blk00000249/blk0000027a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000279 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig00000339 ), + .Q(\blk00000003/blk00000249/sig00000a26 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000279_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000278 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig00000337 ), + .Q(\blk00000003/blk00000249/sig00000a28 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000278_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000277 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig0000033b ), + .Q(\blk00000003/blk00000249/sig00000a24 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000277_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000276 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig0000033c ), + .Q(\blk00000003/blk00000249/sig00000a23 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000276_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000275 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig0000033a ), + .Q(\blk00000003/blk00000249/sig00000a25 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000275_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000274 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig0000033e ), + .Q(\blk00000003/blk00000249/sig00000a21 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000274_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000273 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig0000033f ), + .Q(\blk00000003/blk00000249/sig00000a20 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000273_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000272 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig0000033d ), + .Q(\blk00000003/blk00000249/sig00000a22 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000272_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000271 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig00000341 ), + .Q(\blk00000003/blk00000249/sig00000a1e ), + .Q15(\NLW_blk00000003/blk00000249/blk00000271_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000270 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig00000342 ), + .Q(\blk00000003/blk00000249/sig00000a1d ), + .Q15(\NLW_blk00000003/blk00000249/blk00000270_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk0000026f ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig00000340 ), + .Q(\blk00000003/blk00000249/sig00000a1f ), + .Q15(\NLW_blk00000003/blk00000249/blk0000026f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk0000026e ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig00000344 ), + .Q(\blk00000003/blk00000249/sig00000a1b ), + .Q15(\NLW_blk00000003/blk00000249/blk0000026e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk0000026d ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig00000345 ), + .Q(\blk00000003/blk00000249/sig00000a1a ), + .Q15(\NLW_blk00000003/blk00000249/blk0000026d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk0000026c ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig00000343 ), + .Q(\blk00000003/blk00000249/sig00000a1c ), + .Q15(\NLW_blk00000003/blk00000249/blk0000026c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk0000026b ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig00000347 ), + .Q(\blk00000003/blk00000249/sig00000a18 ), + .Q15(\NLW_blk00000003/blk00000249/blk0000026b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk0000026a ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig00000348 ), + .Q(\blk00000003/blk00000249/sig00000a17 ), + .Q15(\NLW_blk00000003/blk00000249/blk0000026a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000269 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig00000346 ), + .Q(\blk00000003/blk00000249/sig00000a19 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000269_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000268 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig0000034a ), + .Q(\blk00000003/blk00000249/sig00000a15 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000268_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000267 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig0000034b ), + .Q(\blk00000003/blk00000249/sig00000a14 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000267_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000266 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig00000349 ), + .Q(\blk00000003/blk00000249/sig00000a16 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000266_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000265 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig0000034d ), + .Q(\blk00000003/blk00000249/sig00000a12 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000265_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000264 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig0000034e ), + .Q(\blk00000003/blk00000249/sig00000a11 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000264_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000263 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig0000034c ), + .Q(\blk00000003/blk00000249/sig00000a13 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000263_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk00000262 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a28 ), + .Q(\blk00000003/sig000004ab ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk00000261 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a27 ), + .Q(\blk00000003/sig000004ac ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk00000260 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a26 ), + .Q(\blk00000003/sig000004ad ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk0000025f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a25 ), + .Q(\blk00000003/sig000004ae ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk0000025e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a24 ), + .Q(\blk00000003/sig000004af ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk0000025d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a23 ), + .Q(\blk00000003/sig000004b0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk0000025c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a22 ), + .Q(\blk00000003/sig000004b1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk0000025b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a21 ), + .Q(\blk00000003/sig000004b2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk0000025a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a20 ), + .Q(\blk00000003/sig000004b3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk00000259 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a1f ), + .Q(\blk00000003/sig000004b4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk00000258 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a1e ), + .Q(\blk00000003/sig000004b5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk00000257 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a1d ), + .Q(\blk00000003/sig000004b6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk00000256 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a1c ), + .Q(\blk00000003/sig000004b7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk00000255 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a1b ), + .Q(\blk00000003/sig000004b8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk00000254 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a1a ), + .Q(\blk00000003/sig000004b9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk00000253 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a19 ), + .Q(\blk00000003/sig000004ba ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk00000252 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a18 ), + .Q(\blk00000003/sig000004bb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk00000251 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a17 ), + .Q(\blk00000003/sig000004bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk00000250 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a16 ), + .Q(\blk00000003/sig000004bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk0000024f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a15 ), + .Q(\blk00000003/sig000004be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk0000024e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a14 ), + .Q(\blk00000003/sig000004bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk0000024d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a13 ), + .Q(\blk00000003/sig000004c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk0000024c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a12 ), + .Q(\blk00000003/sig000004c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk0000024b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a11 ), + .Q(\blk00000003/sig000004c2 ) + ); + GND \blk00000003/blk00000249/blk0000024a ( + .G(\blk00000003/blk00000249/sig00000a10 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000027c/blk000002ae ( + .I0(ce), + .I1(\blk00000003/sig000004e3 ), + .O(\blk00000003/blk0000027c/sig00000a78 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk000002ad ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000540 ), + .Q(\blk00000003/blk0000027c/sig00000a76 ), + .Q15(\NLW_blk00000003/blk0000027c/blk000002ad_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk000002ac ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000541 ), + .Q(\blk00000003/blk0000027c/sig00000a75 ), + .Q15(\NLW_blk00000003/blk0000027c/blk000002ac_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk000002ab ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig0000053f ), + .Q(\blk00000003/blk0000027c/sig00000a77 ), + .Q15(\NLW_blk00000003/blk0000027c/blk000002ab_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk000002aa ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000543 ), + .Q(\blk00000003/blk0000027c/sig00000a73 ), + .Q15(\NLW_blk00000003/blk0000027c/blk000002aa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk000002a9 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000544 ), + .Q(\blk00000003/blk0000027c/sig00000a72 ), + .Q15(\NLW_blk00000003/blk0000027c/blk000002a9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk000002a8 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000542 ), + .Q(\blk00000003/blk0000027c/sig00000a74 ), + .Q15(\NLW_blk00000003/blk0000027c/blk000002a8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk000002a7 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000546 ), + .Q(\blk00000003/blk0000027c/sig00000a70 ), + .Q15(\NLW_blk00000003/blk0000027c/blk000002a7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk000002a6 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000547 ), + .Q(\blk00000003/blk0000027c/sig00000a6f ), + .Q15(\NLW_blk00000003/blk0000027c/blk000002a6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk000002a5 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000545 ), + .Q(\blk00000003/blk0000027c/sig00000a71 ), + .Q15(\NLW_blk00000003/blk0000027c/blk000002a5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk000002a4 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000549 ), + .Q(\blk00000003/blk0000027c/sig00000a6d ), + .Q15(\NLW_blk00000003/blk0000027c/blk000002a4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk000002a3 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig0000054a ), + .Q(\blk00000003/blk0000027c/sig00000a6c ), + .Q15(\NLW_blk00000003/blk0000027c/blk000002a3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk000002a2 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000548 ), + .Q(\blk00000003/blk0000027c/sig00000a6e ), + .Q15(\NLW_blk00000003/blk0000027c/blk000002a2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk000002a1 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig0000054c ), + .Q(\blk00000003/blk0000027c/sig00000a6a ), + .Q15(\NLW_blk00000003/blk0000027c/blk000002a1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk000002a0 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig0000054d ), + .Q(\blk00000003/blk0000027c/sig00000a69 ), + .Q15(\NLW_blk00000003/blk0000027c/blk000002a0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk0000029f ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig0000054b ), + .Q(\blk00000003/blk0000027c/sig00000a6b ), + .Q15(\NLW_blk00000003/blk0000027c/blk0000029f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk0000029e ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig0000054f ), + .Q(\blk00000003/blk0000027c/sig00000a67 ), + .Q15(\NLW_blk00000003/blk0000027c/blk0000029e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk0000029d ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000550 ), + .Q(\blk00000003/blk0000027c/sig00000a66 ), + .Q15(\NLW_blk00000003/blk0000027c/blk0000029d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk0000029c ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig0000054e ), + .Q(\blk00000003/blk0000027c/sig00000a68 ), + .Q15(\NLW_blk00000003/blk0000027c/blk0000029c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk0000029b ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000552 ), + .Q(\blk00000003/blk0000027c/sig00000a64 ), + .Q15(\NLW_blk00000003/blk0000027c/blk0000029b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk0000029a ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000553 ), + .Q(\blk00000003/blk0000027c/sig00000a63 ), + .Q15(\NLW_blk00000003/blk0000027c/blk0000029a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk00000299 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000551 ), + .Q(\blk00000003/blk0000027c/sig00000a65 ), + .Q15(\NLW_blk00000003/blk0000027c/blk00000299_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk00000298 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000555 ), + .Q(\blk00000003/blk0000027c/sig00000a61 ), + .Q15(\NLW_blk00000003/blk0000027c/blk00000298_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk00000297 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000556 ), + .Q(\blk00000003/blk0000027c/sig00000a60 ), + .Q15(\NLW_blk00000003/blk0000027c/blk00000297_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk00000296 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000554 ), + .Q(\blk00000003/blk0000027c/sig00000a62 ), + .Q15(\NLW_blk00000003/blk0000027c/blk00000296_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000295 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a77 ), + .Q(\blk00000003/sig000004c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000294 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a76 ), + .Q(\blk00000003/sig000004c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000293 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a75 ), + .Q(\blk00000003/sig000004c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000292 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a74 ), + .Q(\blk00000003/sig000004c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000291 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a73 ), + .Q(\blk00000003/sig000004c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000290 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a72 ), + .Q(\blk00000003/sig000004c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk0000028f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a71 ), + .Q(\blk00000003/sig000004c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk0000028e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a70 ), + .Q(\blk00000003/sig000004ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk0000028d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a6f ), + .Q(\blk00000003/sig000004cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk0000028c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a6e ), + .Q(\blk00000003/sig000004cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk0000028b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a6d ), + .Q(\blk00000003/sig000004cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk0000028a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a6c ), + .Q(\blk00000003/sig000004ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000289 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a6b ), + .Q(\blk00000003/sig000004cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000288 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a6a ), + .Q(\blk00000003/sig000004d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000287 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a69 ), + .Q(\blk00000003/sig000004d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000286 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a68 ), + .Q(\blk00000003/sig000004d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000285 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a67 ), + .Q(\blk00000003/sig000004d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000284 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a66 ), + .Q(\blk00000003/sig000004d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000283 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a65 ), + .Q(\blk00000003/sig000004d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000282 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a64 ), + .Q(\blk00000003/sig000004d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000281 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a63 ), + .Q(\blk00000003/sig000004d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000280 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a62 ), + .Q(\blk00000003/sig000004d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk0000027f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a61 ), + .Q(\blk00000003/sig000004d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk0000027e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a60 ), + .Q(\blk00000003/sig000004da ) + ); + GND \blk00000003/blk0000027c/blk0000027d ( + .G(\blk00000003/blk0000027c/sig00000a5f ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000002af/blk000002e1 ( + .I0(ce), + .I1(\blk00000003/sig000004f6 ), + .O(\blk00000003/blk000002af/sig00000ac7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002e0 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig00000558 ), + .Q(\blk00000003/blk000002af/sig00000ac5 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002e0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002df ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig00000559 ), + .Q(\blk00000003/blk000002af/sig00000ac4 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002df_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002de ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig00000557 ), + .Q(\blk00000003/blk000002af/sig00000ac6 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002de_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002dd ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig0000055b ), + .Q(\blk00000003/blk000002af/sig00000ac2 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002dd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002dc ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig0000055c ), + .Q(\blk00000003/blk000002af/sig00000ac1 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002dc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002db ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig0000055a ), + .Q(\blk00000003/blk000002af/sig00000ac3 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002db_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002da ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig0000055e ), + .Q(\blk00000003/blk000002af/sig00000abf ), + .Q15(\NLW_blk00000003/blk000002af/blk000002da_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002d9 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig0000055f ), + .Q(\blk00000003/blk000002af/sig00000abe ), + .Q15(\NLW_blk00000003/blk000002af/blk000002d9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002d8 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig0000055d ), + .Q(\blk00000003/blk000002af/sig00000ac0 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002d8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002d7 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig00000561 ), + .Q(\blk00000003/blk000002af/sig00000abc ), + .Q15(\NLW_blk00000003/blk000002af/blk000002d7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002d6 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig00000562 ), + .Q(\blk00000003/blk000002af/sig00000abb ), + .Q15(\NLW_blk00000003/blk000002af/blk000002d6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002d5 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig00000560 ), + .Q(\blk00000003/blk000002af/sig00000abd ), + .Q15(\NLW_blk00000003/blk000002af/blk000002d5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002d4 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig00000564 ), + .Q(\blk00000003/blk000002af/sig00000ab9 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002d4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002d3 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig00000565 ), + .Q(\blk00000003/blk000002af/sig00000ab8 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002d3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002d2 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig00000563 ), + .Q(\blk00000003/blk000002af/sig00000aba ), + .Q15(\NLW_blk00000003/blk000002af/blk000002d2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002d1 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig00000567 ), + .Q(\blk00000003/blk000002af/sig00000ab6 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002d1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002d0 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig00000568 ), + .Q(\blk00000003/blk000002af/sig00000ab5 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002d0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002cf ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig00000566 ), + .Q(\blk00000003/blk000002af/sig00000ab7 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002cf_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002ce ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig0000056a ), + .Q(\blk00000003/blk000002af/sig00000ab3 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002ce_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002cd ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig0000056b ), + .Q(\blk00000003/blk000002af/sig00000ab2 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002cd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002cc ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig00000569 ), + .Q(\blk00000003/blk000002af/sig00000ab4 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002cc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002cb ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig0000056d ), + .Q(\blk00000003/blk000002af/sig00000ab0 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002cb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002ca ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig0000056e ), + .Q(\blk00000003/blk000002af/sig00000aaf ), + .Q15(\NLW_blk00000003/blk000002af/blk000002ca_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002c9 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig0000056c ), + .Q(\blk00000003/blk000002af/sig00000ab1 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002c9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ac6 ), + .Q(\blk00000003/sig000002d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ac5 ), + .Q(\blk00000003/sig000002d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ac4 ), + .Q(\blk00000003/sig000002d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ac3 ), + .Q(\blk00000003/sig000002da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ac2 ), + .Q(\blk00000003/sig000002db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ac1 ), + .Q(\blk00000003/sig000002dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ac0 ), + .Q(\blk00000003/sig000002dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000abf ), + .Q(\blk00000003/sig000002de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000abe ), + .Q(\blk00000003/sig000002df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000abd ), + .Q(\blk00000003/sig000002e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000abc ), + .Q(\blk00000003/sig000002e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000abb ), + .Q(\blk00000003/sig000002e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000aba ), + .Q(\blk00000003/sig000002e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ab9 ), + .Q(\blk00000003/sig000002e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ab8 ), + .Q(\blk00000003/sig000002e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ab7 ), + .Q(\blk00000003/sig000002e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ab6 ), + .Q(\blk00000003/sig000002e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ab5 ), + .Q(\blk00000003/sig000002e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ab4 ), + .Q(\blk00000003/sig000002e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ab3 ), + .Q(\blk00000003/sig000002ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ab2 ), + .Q(\blk00000003/sig000002eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ab1 ), + .Q(\blk00000003/sig000002ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ab0 ), + .Q(\blk00000003/sig000002ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000aaf ), + .Q(\blk00000003/sig000002ee ) + ); + GND \blk00000003/blk000002af/blk000002b0 ( + .G(\blk00000003/blk000002af/sig00000aae ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000002e2/blk00000314 ( + .I0(ce), + .I1(\blk00000003/sig000004f5 ), + .O(\blk00000003/blk000002e2/sig00000b16 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk00000313 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000570 ), + .Q(\blk00000003/blk000002e2/sig00000b14 ), + .Q15(\NLW_blk00000003/blk000002e2/blk00000313_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk00000312 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000571 ), + .Q(\blk00000003/blk000002e2/sig00000b13 ), + .Q15(\NLW_blk00000003/blk000002e2/blk00000312_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk00000311 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig0000056f ), + .Q(\blk00000003/blk000002e2/sig00000b15 ), + .Q15(\NLW_blk00000003/blk000002e2/blk00000311_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk00000310 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000573 ), + .Q(\blk00000003/blk000002e2/sig00000b11 ), + .Q15(\NLW_blk00000003/blk000002e2/blk00000310_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk0000030f ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000574 ), + .Q(\blk00000003/blk000002e2/sig00000b10 ), + .Q15(\NLW_blk00000003/blk000002e2/blk0000030f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk0000030e ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000572 ), + .Q(\blk00000003/blk000002e2/sig00000b12 ), + .Q15(\NLW_blk00000003/blk000002e2/blk0000030e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk0000030d ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000576 ), + .Q(\blk00000003/blk000002e2/sig00000b0e ), + .Q15(\NLW_blk00000003/blk000002e2/blk0000030d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk0000030c ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000577 ), + .Q(\blk00000003/blk000002e2/sig00000b0d ), + .Q15(\NLW_blk00000003/blk000002e2/blk0000030c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk0000030b ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000575 ), + .Q(\blk00000003/blk000002e2/sig00000b0f ), + .Q15(\NLW_blk00000003/blk000002e2/blk0000030b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk0000030a ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000579 ), + .Q(\blk00000003/blk000002e2/sig00000b0b ), + .Q15(\NLW_blk00000003/blk000002e2/blk0000030a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk00000309 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig0000057a ), + .Q(\blk00000003/blk000002e2/sig00000b0a ), + .Q15(\NLW_blk00000003/blk000002e2/blk00000309_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk00000308 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000578 ), + .Q(\blk00000003/blk000002e2/sig00000b0c ), + .Q15(\NLW_blk00000003/blk000002e2/blk00000308_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk00000307 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig0000057c ), + .Q(\blk00000003/blk000002e2/sig00000b08 ), + .Q15(\NLW_blk00000003/blk000002e2/blk00000307_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk00000306 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig0000057d ), + .Q(\blk00000003/blk000002e2/sig00000b07 ), + .Q15(\NLW_blk00000003/blk000002e2/blk00000306_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk00000305 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig0000057b ), + .Q(\blk00000003/blk000002e2/sig00000b09 ), + .Q15(\NLW_blk00000003/blk000002e2/blk00000305_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk00000304 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig0000057f ), + .Q(\blk00000003/blk000002e2/sig00000b05 ), + .Q15(\NLW_blk00000003/blk000002e2/blk00000304_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk00000303 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000580 ), + .Q(\blk00000003/blk000002e2/sig00000b04 ), + .Q15(\NLW_blk00000003/blk000002e2/blk00000303_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk00000302 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig0000057e ), + .Q(\blk00000003/blk000002e2/sig00000b06 ), + .Q15(\NLW_blk00000003/blk000002e2/blk00000302_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk00000301 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000582 ), + .Q(\blk00000003/blk000002e2/sig00000b02 ), + .Q15(\NLW_blk00000003/blk000002e2/blk00000301_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk00000300 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000583 ), + .Q(\blk00000003/blk000002e2/sig00000b01 ), + .Q15(\NLW_blk00000003/blk000002e2/blk00000300_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk000002ff ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000581 ), + .Q(\blk00000003/blk000002e2/sig00000b03 ), + .Q15(\NLW_blk00000003/blk000002e2/blk000002ff_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk000002fe ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000585 ), + .Q(\blk00000003/blk000002e2/sig00000aff ), + .Q15(\NLW_blk00000003/blk000002e2/blk000002fe_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk000002fd ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000586 ), + .Q(\blk00000003/blk000002e2/sig00000afe ), + .Q15(\NLW_blk00000003/blk000002e2/blk000002fd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk000002fc ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000584 ), + .Q(\blk00000003/blk000002e2/sig00000b00 ), + .Q15(\NLW_blk00000003/blk000002e2/blk000002fc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b15 ), + .Q(\blk00000003/sig000002ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b14 ), + .Q(\blk00000003/sig000002f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b13 ), + .Q(\blk00000003/sig000002f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b12 ), + .Q(\blk00000003/sig000002f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b11 ), + .Q(\blk00000003/sig000002f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b10 ), + .Q(\blk00000003/sig000002f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b0f ), + .Q(\blk00000003/sig000002f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b0e ), + .Q(\blk00000003/sig000002f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b0d ), + .Q(\blk00000003/sig000002f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b0c ), + .Q(\blk00000003/sig000002f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b0b ), + .Q(\blk00000003/sig000002f9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b0a ), + .Q(\blk00000003/sig000002fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b09 ), + .Q(\blk00000003/sig000002fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b08 ), + .Q(\blk00000003/sig000002fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b07 ), + .Q(\blk00000003/sig000002fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b06 ), + .Q(\blk00000003/sig000002fe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b05 ), + .Q(\blk00000003/sig000002ff ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b04 ), + .Q(\blk00000003/sig00000300 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b03 ), + .Q(\blk00000003/sig00000301 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b02 ), + .Q(\blk00000003/sig00000302 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b01 ), + .Q(\blk00000003/sig00000303 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b00 ), + .Q(\blk00000003/sig00000304 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000aff ), + .Q(\blk00000003/sig00000305 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000afe ), + .Q(\blk00000003/sig00000306 ) + ); + GND \blk00000003/blk000002e2/blk000002e3 ( + .G(\blk00000003/blk000002e2/sig00000afd ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000315/blk00000347 ( + .I0(ce), + .I1(\blk00000003/sig000004f6 ), + .O(\blk00000003/blk00000315/sig00000b65 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000346 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig00000588 ), + .Q(\blk00000003/blk00000315/sig00000b63 ), + .Q15(\NLW_blk00000003/blk00000315/blk00000346_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000345 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig00000589 ), + .Q(\blk00000003/blk00000315/sig00000b62 ), + .Q15(\NLW_blk00000003/blk00000315/blk00000345_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000344 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig00000587 ), + .Q(\blk00000003/blk00000315/sig00000b64 ), + .Q15(\NLW_blk00000003/blk00000315/blk00000344_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000343 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig0000058b ), + .Q(\blk00000003/blk00000315/sig00000b60 ), + .Q15(\NLW_blk00000003/blk00000315/blk00000343_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000342 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig0000058c ), + .Q(\blk00000003/blk00000315/sig00000b5f ), + .Q15(\NLW_blk00000003/blk00000315/blk00000342_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000341 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig0000058a ), + .Q(\blk00000003/blk00000315/sig00000b61 ), + .Q15(\NLW_blk00000003/blk00000315/blk00000341_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000340 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig0000058e ), + .Q(\blk00000003/blk00000315/sig00000b5d ), + .Q15(\NLW_blk00000003/blk00000315/blk00000340_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk0000033f ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig0000058f ), + .Q(\blk00000003/blk00000315/sig00000b5c ), + .Q15(\NLW_blk00000003/blk00000315/blk0000033f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk0000033e ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig0000058d ), + .Q(\blk00000003/blk00000315/sig00000b5e ), + .Q15(\NLW_blk00000003/blk00000315/blk0000033e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk0000033d ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig00000591 ), + .Q(\blk00000003/blk00000315/sig00000b5a ), + .Q15(\NLW_blk00000003/blk00000315/blk0000033d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk0000033c ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig00000592 ), + .Q(\blk00000003/blk00000315/sig00000b59 ), + .Q15(\NLW_blk00000003/blk00000315/blk0000033c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk0000033b ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig00000590 ), + .Q(\blk00000003/blk00000315/sig00000b5b ), + .Q15(\NLW_blk00000003/blk00000315/blk0000033b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk0000033a ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig00000594 ), + .Q(\blk00000003/blk00000315/sig00000b57 ), + .Q15(\NLW_blk00000003/blk00000315/blk0000033a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000339 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig00000595 ), + .Q(\blk00000003/blk00000315/sig00000b56 ), + .Q15(\NLW_blk00000003/blk00000315/blk00000339_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000338 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig00000593 ), + .Q(\blk00000003/blk00000315/sig00000b58 ), + .Q15(\NLW_blk00000003/blk00000315/blk00000338_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000337 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig00000597 ), + .Q(\blk00000003/blk00000315/sig00000b54 ), + .Q15(\NLW_blk00000003/blk00000315/blk00000337_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000336 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig00000598 ), + .Q(\blk00000003/blk00000315/sig00000b53 ), + .Q15(\NLW_blk00000003/blk00000315/blk00000336_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000335 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig00000596 ), + .Q(\blk00000003/blk00000315/sig00000b55 ), + .Q15(\NLW_blk00000003/blk00000315/blk00000335_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000334 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig0000059a ), + .Q(\blk00000003/blk00000315/sig00000b51 ), + .Q15(\NLW_blk00000003/blk00000315/blk00000334_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000333 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig0000059b ), + .Q(\blk00000003/blk00000315/sig00000b50 ), + .Q15(\NLW_blk00000003/blk00000315/blk00000333_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000332 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig00000599 ), + .Q(\blk00000003/blk00000315/sig00000b52 ), + .Q15(\NLW_blk00000003/blk00000315/blk00000332_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000331 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig0000059d ), + .Q(\blk00000003/blk00000315/sig00000b4e ), + .Q15(\NLW_blk00000003/blk00000315/blk00000331_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000330 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig0000059e ), + .Q(\blk00000003/blk00000315/sig00000b4d ), + .Q15(\NLW_blk00000003/blk00000315/blk00000330_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk0000032f ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig0000059c ), + .Q(\blk00000003/blk00000315/sig00000b4f ), + .Q15(\NLW_blk00000003/blk00000315/blk0000032f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk0000032e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b64 ), + .Q(\blk00000003/sig00000337 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk0000032d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b63 ), + .Q(\blk00000003/sig00000338 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk0000032c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b62 ), + .Q(\blk00000003/sig00000339 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk0000032b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b61 ), + .Q(\blk00000003/sig0000033a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk0000032a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b60 ), + .Q(\blk00000003/sig0000033b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk00000329 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b5f ), + .Q(\blk00000003/sig0000033c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk00000328 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b5e ), + .Q(\blk00000003/sig0000033d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk00000327 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b5d ), + .Q(\blk00000003/sig0000033e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk00000326 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b5c ), + .Q(\blk00000003/sig0000033f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk00000325 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b5b ), + .Q(\blk00000003/sig00000340 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk00000324 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b5a ), + .Q(\blk00000003/sig00000341 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk00000323 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b59 ), + .Q(\blk00000003/sig00000342 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk00000322 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b58 ), + .Q(\blk00000003/sig00000343 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk00000321 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b57 ), + .Q(\blk00000003/sig00000344 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk00000320 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b56 ), + .Q(\blk00000003/sig00000345 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk0000031f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b55 ), + .Q(\blk00000003/sig00000346 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk0000031e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b54 ), + .Q(\blk00000003/sig00000347 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk0000031d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b53 ), + .Q(\blk00000003/sig00000348 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk0000031c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b52 ), + .Q(\blk00000003/sig00000349 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk0000031b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b51 ), + .Q(\blk00000003/sig0000034a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk0000031a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b50 ), + .Q(\blk00000003/sig0000034b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk00000319 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b4f ), + .Q(\blk00000003/sig0000034c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk00000318 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b4e ), + .Q(\blk00000003/sig0000034d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk00000317 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b4d ), + .Q(\blk00000003/sig0000034e ) + ); + GND \blk00000003/blk00000315/blk00000316 ( + .G(\blk00000003/blk00000315/sig00000b4c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000348/blk0000037a ( + .I0(ce), + .I1(\blk00000003/sig000004f5 ), + .O(\blk00000003/blk00000348/sig00000bb4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000379 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005a0 ), + .Q(\blk00000003/blk00000348/sig00000bb2 ), + .Q15(\NLW_blk00000003/blk00000348/blk00000379_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000378 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005a1 ), + .Q(\blk00000003/blk00000348/sig00000bb1 ), + .Q15(\NLW_blk00000003/blk00000348/blk00000378_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000377 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig0000059f ), + .Q(\blk00000003/blk00000348/sig00000bb3 ), + .Q15(\NLW_blk00000003/blk00000348/blk00000377_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000376 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005a3 ), + .Q(\blk00000003/blk00000348/sig00000baf ), + .Q15(\NLW_blk00000003/blk00000348/blk00000376_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000375 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005a4 ), + .Q(\blk00000003/blk00000348/sig00000bae ), + .Q15(\NLW_blk00000003/blk00000348/blk00000375_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000374 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005a2 ), + .Q(\blk00000003/blk00000348/sig00000bb0 ), + .Q15(\NLW_blk00000003/blk00000348/blk00000374_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000373 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005a6 ), + .Q(\blk00000003/blk00000348/sig00000bac ), + .Q15(\NLW_blk00000003/blk00000348/blk00000373_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000372 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005a7 ), + .Q(\blk00000003/blk00000348/sig00000bab ), + .Q15(\NLW_blk00000003/blk00000348/blk00000372_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000371 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005a5 ), + .Q(\blk00000003/blk00000348/sig00000bad ), + .Q15(\NLW_blk00000003/blk00000348/blk00000371_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000370 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005a9 ), + .Q(\blk00000003/blk00000348/sig00000ba9 ), + .Q15(\NLW_blk00000003/blk00000348/blk00000370_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk0000036f ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005aa ), + .Q(\blk00000003/blk00000348/sig00000ba8 ), + .Q15(\NLW_blk00000003/blk00000348/blk0000036f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk0000036e ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005a8 ), + .Q(\blk00000003/blk00000348/sig00000baa ), + .Q15(\NLW_blk00000003/blk00000348/blk0000036e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk0000036d ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005ac ), + .Q(\blk00000003/blk00000348/sig00000ba6 ), + .Q15(\NLW_blk00000003/blk00000348/blk0000036d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk0000036c ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005ad ), + .Q(\blk00000003/blk00000348/sig00000ba5 ), + .Q15(\NLW_blk00000003/blk00000348/blk0000036c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk0000036b ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005ab ), + .Q(\blk00000003/blk00000348/sig00000ba7 ), + .Q15(\NLW_blk00000003/blk00000348/blk0000036b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk0000036a ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005af ), + .Q(\blk00000003/blk00000348/sig00000ba3 ), + .Q15(\NLW_blk00000003/blk00000348/blk0000036a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000369 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005b0 ), + .Q(\blk00000003/blk00000348/sig00000ba2 ), + .Q15(\NLW_blk00000003/blk00000348/blk00000369_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000368 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005ae ), + .Q(\blk00000003/blk00000348/sig00000ba4 ), + .Q15(\NLW_blk00000003/blk00000348/blk00000368_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000367 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005b2 ), + .Q(\blk00000003/blk00000348/sig00000ba0 ), + .Q15(\NLW_blk00000003/blk00000348/blk00000367_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000366 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005b3 ), + .Q(\blk00000003/blk00000348/sig00000b9f ), + .Q15(\NLW_blk00000003/blk00000348/blk00000366_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000365 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005b1 ), + .Q(\blk00000003/blk00000348/sig00000ba1 ), + .Q15(\NLW_blk00000003/blk00000348/blk00000365_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000364 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005b5 ), + .Q(\blk00000003/blk00000348/sig00000b9d ), + .Q15(\NLW_blk00000003/blk00000348/blk00000364_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000363 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005b6 ), + .Q(\blk00000003/blk00000348/sig00000b9c ), + .Q15(\NLW_blk00000003/blk00000348/blk00000363_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000362 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005b4 ), + .Q(\blk00000003/blk00000348/sig00000b9e ), + .Q15(\NLW_blk00000003/blk00000348/blk00000362_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk00000361 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000bb3 ), + .Q(\blk00000003/sig0000034f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk00000360 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000bb2 ), + .Q(\blk00000003/sig00000350 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk0000035f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000bb1 ), + .Q(\blk00000003/sig00000351 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk0000035e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000bb0 ), + .Q(\blk00000003/sig00000352 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk0000035d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000baf ), + .Q(\blk00000003/sig00000353 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk0000035c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000bae ), + .Q(\blk00000003/sig00000354 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk0000035b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000bad ), + .Q(\blk00000003/sig00000355 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk0000035a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000bac ), + .Q(\blk00000003/sig00000356 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk00000359 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000bab ), + .Q(\blk00000003/sig00000357 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk00000358 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000baa ), + .Q(\blk00000003/sig00000358 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk00000357 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000ba9 ), + .Q(\blk00000003/sig00000359 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk00000356 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000ba8 ), + .Q(\blk00000003/sig0000035a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk00000355 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000ba7 ), + .Q(\blk00000003/sig0000035b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk00000354 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000ba6 ), + .Q(\blk00000003/sig0000035c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk00000353 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000ba5 ), + .Q(\blk00000003/sig0000035d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk00000352 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000ba4 ), + .Q(\blk00000003/sig0000035e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk00000351 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000ba3 ), + .Q(\blk00000003/sig0000035f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk00000350 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000ba2 ), + .Q(\blk00000003/sig00000360 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk0000034f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000ba1 ), + .Q(\blk00000003/sig00000361 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk0000034e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000ba0 ), + .Q(\blk00000003/sig00000362 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk0000034d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000b9f ), + .Q(\blk00000003/sig00000363 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk0000034c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000b9e ), + .Q(\blk00000003/sig00000364 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk0000034b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000b9d ), + .Q(\blk00000003/sig00000365 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk0000034a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000b9c ), + .Q(\blk00000003/sig00000366 ) + ); + GND \blk00000003/blk00000348/blk00000349 ( + .G(\blk00000003/blk00000348/sig00000b9b ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000037b/blk000003b3 ( + .I0(ce), + .I1(\blk00000003/sig00000232 ), + .O(\blk00000003/blk0000037b/sig00000c19 ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk0000037b/blk000003b2 ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005b7 ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000c06 ), + .DPO(\blk00000003/blk0000037b/sig00000c18 ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk0000037b/blk000003b1 ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005b8 ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000c05 ), + .DPO(\blk00000003/blk0000037b/sig00000c17 ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk0000037b/blk000003b0 ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005b9 ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000c04 ), + .DPO(\blk00000003/blk0000037b/sig00000c16 ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk0000037b/blk000003af ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005ba ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000c03 ), + .DPO(\blk00000003/blk0000037b/sig00000c15 ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk0000037b/blk000003ae ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005bb ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000c02 ), + .DPO(\blk00000003/blk0000037b/sig00000c14 ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk0000037b/blk000003ad ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005bc ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000c01 ), + .DPO(\blk00000003/blk0000037b/sig00000c13 ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk0000037b/blk000003ac ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005be ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000bff ), + .DPO(\blk00000003/blk0000037b/sig00000c11 ) + ); + RAM32X1D #( + .INIT ( 32'h0000000D )) + \blk00000003/blk0000037b/blk000003ab ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005bf ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000bfe ), + .DPO(\blk00000003/blk0000037b/sig00000c10 ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk0000037b/blk000003aa ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005bd ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000c00 ), + .DPO(\blk00000003/blk0000037b/sig00000c12 ) + ); + RAM32X1D #( + .INIT ( 32'h00000009 )) + \blk00000003/blk0000037b/blk000003a9 ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005c0 ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000bfd ), + .DPO(\blk00000003/blk0000037b/sig00000c0f ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk0000037b/blk000003a8 ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005c1 ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000bfc ), + .DPO(\blk00000003/blk0000037b/sig00000c0e ) + ); + RAM32X1D #( + .INIT ( 32'h0000000F )) + \blk00000003/blk0000037b/blk000003a7 ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005c2 ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000bfb ), + .DPO(\blk00000003/blk0000037b/sig00000c0d ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000037b/blk000003a6 ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005c3 ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000bfa ), + .DPO(\blk00000003/blk0000037b/sig00000c0c ) + ); + RAM32X1D #( + .INIT ( 32'h00000008 )) + \blk00000003/blk0000037b/blk000003a5 ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005c4 ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000bf9 ), + .DPO(\blk00000003/blk0000037b/sig00000c0b ) + ); + RAM32X1D #( + .INIT ( 32'h00000004 )) + \blk00000003/blk0000037b/blk000003a4 ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005c5 ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000bf8 ), + .DPO(\blk00000003/blk0000037b/sig00000c0a ) + ); + RAM32X1D #( + .INIT ( 32'h0000000B )) + \blk00000003/blk0000037b/blk000003a3 ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005c7 ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000bf6 ), + .DPO(\blk00000003/blk0000037b/sig00000c08 ) + ); + RAM32X1D #( + .INIT ( 32'h00000008 )) + \blk00000003/blk0000037b/blk000003a2 ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005c8 ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000bf5 ), + .DPO(\blk00000003/blk0000037b/sig00000c07 ) + ); + RAM32X1D #( + .INIT ( 32'h00000008 )) + \blk00000003/blk0000037b/blk000003a1 ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005c6 ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000bf7 ), + .DPO(\blk00000003/blk0000037b/sig00000c09 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk000003a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c18 ), + .Q(\blk00000003/sig000002c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000039f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c17 ), + .Q(\blk00000003/sig000002c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000039e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c16 ), + .Q(\blk00000003/sig000002c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000039d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c15 ), + .Q(\blk00000003/sig000002c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000039c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c14 ), + .Q(\blk00000003/sig000002c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000039b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c13 ), + .Q(\blk00000003/sig000002ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000039a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c12 ), + .Q(\blk00000003/sig000002cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000399 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c11 ), + .Q(\blk00000003/sig000002cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000398 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c10 ), + .Q(\blk00000003/sig000002cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000397 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c0f ), + .Q(\blk00000003/sig000002ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000396 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c0e ), + .Q(\blk00000003/sig000002cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000395 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c0d ), + .Q(\blk00000003/sig000002d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000394 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c0c ), + .Q(\blk00000003/sig000002d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000393 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c0b ), + .Q(\blk00000003/sig000002d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000392 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c0a ), + .Q(\blk00000003/sig000002d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000391 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c09 ), + .Q(\blk00000003/sig000002d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000390 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c08 ), + .Q(\blk00000003/sig000002d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000038f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c07 ), + .Q(\blk00000003/sig000002d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000038e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c06 ), + .Q(\blk00000003/sig000005c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000038d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c05 ), + .Q(\blk00000003/sig000005ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000038c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c04 ), + .Q(\blk00000003/sig000005cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000038b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c03 ), + .Q(\blk00000003/sig000005cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000038a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c02 ), + .Q(\blk00000003/sig000005cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000389 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c01 ), + .Q(\blk00000003/sig000005ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000388 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c00 ), + .Q(\blk00000003/sig000005cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000387 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000bff ), + .Q(\blk00000003/sig000005d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000386 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000bfe ), + .Q(\blk00000003/sig000005d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000385 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000bfd ), + .Q(\blk00000003/sig000005d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000384 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000bfc ), + .Q(\blk00000003/sig000005d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000383 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000bfb ), + .Q(\blk00000003/sig000005d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000382 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000bfa ), + .Q(\blk00000003/sig000005d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000381 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000bf9 ), + .Q(\blk00000003/sig000005d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000380 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000bf8 ), + .Q(\blk00000003/sig000005d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000037f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000bf7 ), + .Q(\blk00000003/sig000005d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000037e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000bf6 ), + .Q(\blk00000003/sig000005d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000037d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000bf5 ), + .Q(\blk00000003/sig000005da ) + ); + GND \blk00000003/blk0000037b/blk0000037c ( + .G(\blk00000003/blk0000037b/sig00000bf4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003b4/blk000003ec ( + .I0(ce), + .I1(\blk00000003/sig000004e1 ), + .O(\blk00000003/blk000003b4/sig00000c7e ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk000003b4/blk000003eb ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005c9 ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c6b ), + .DPO(\blk00000003/blk000003b4/sig00000c7d ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk000003b4/blk000003ea ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005ca ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c6a ), + .DPO(\blk00000003/blk000003b4/sig00000c7c ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk000003b4/blk000003e9 ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005cb ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c69 ), + .DPO(\blk00000003/blk000003b4/sig00000c7b ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk000003b4/blk000003e8 ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005cc ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c68 ), + .DPO(\blk00000003/blk000003b4/sig00000c7a ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk000003b4/blk000003e7 ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005cd ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c67 ), + .DPO(\blk00000003/blk000003b4/sig00000c79 ) + ); + RAM32X1D #( + .INIT ( 32'h0000000D )) + \blk00000003/blk000003b4/blk000003e6 ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005ce ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c66 ), + .DPO(\blk00000003/blk000003b4/sig00000c78 ) + ); + RAM32X1D #( + .INIT ( 32'h00000008 )) + \blk00000003/blk000003b4/blk000003e5 ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005d0 ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c64 ), + .DPO(\blk00000003/blk000003b4/sig00000c76 ) + ); + RAM32X1D #( + .INIT ( 32'h00000009 )) + \blk00000003/blk000003b4/blk000003e4 ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005d1 ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c63 ), + .DPO(\blk00000003/blk000003b4/sig00000c75 ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk000003b4/blk000003e3 ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005cf ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c65 ), + .DPO(\blk00000003/blk000003b4/sig00000c77 ) + ); + RAM32X1D #( + .INIT ( 32'h0000000A )) + \blk00000003/blk000003b4/blk000003e2 ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005d2 ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c62 ), + .DPO(\blk00000003/blk000003b4/sig00000c74 ) + ); + RAM32X1D #( + .INIT ( 32'h00000006 )) + \blk00000003/blk000003b4/blk000003e1 ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005d3 ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c61 ), + .DPO(\blk00000003/blk000003b4/sig00000c73 ) + ); + RAM32X1D #( + .INIT ( 32'h0000000C )) + \blk00000003/blk000003b4/blk000003e0 ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005d4 ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c60 ), + .DPO(\blk00000003/blk000003b4/sig00000c72 ) + ); + RAM32X1D #( + .INIT ( 32'h0000000A )) + \blk00000003/blk000003b4/blk000003df ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005d5 ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c5f ), + .DPO(\blk00000003/blk000003b4/sig00000c71 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000003b4/blk000003de ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005d6 ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c5e ), + .DPO(\blk00000003/blk000003b4/sig00000c70 ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk000003b4/blk000003dd ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005d7 ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c5d ), + .DPO(\blk00000003/blk000003b4/sig00000c6f ) + ); + RAM32X1D #( + .INIT ( 32'h0000000B )) + \blk00000003/blk000003b4/blk000003dc ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005d9 ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c5b ), + .DPO(\blk00000003/blk000003b4/sig00000c6d ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000003b4/blk000003db ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005da ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c5a ), + .DPO(\blk00000003/blk000003b4/sig00000c6c ) + ); + RAM32X1D #( + .INIT ( 32'h0000000F )) + \blk00000003/blk000003b4/blk000003da ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005d8 ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c5c ), + .DPO(\blk00000003/blk000003b4/sig00000c6e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c7d ), + .Q(\blk00000003/sig00000469 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c7c ), + .Q(\blk00000003/sig0000046a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003d7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c7b ), + .Q(\blk00000003/sig0000046b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c7a ), + .Q(\blk00000003/sig0000046c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c79 ), + .Q(\blk00000003/sig0000046d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c78 ), + .Q(\blk00000003/sig0000046e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003d3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c77 ), + .Q(\blk00000003/sig0000046f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c76 ), + .Q(\blk00000003/sig00000470 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c75 ), + .Q(\blk00000003/sig00000471 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c74 ), + .Q(\blk00000003/sig00000472 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c73 ), + .Q(\blk00000003/sig00000473 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c72 ), + .Q(\blk00000003/sig00000474 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c71 ), + .Q(\blk00000003/sig00000475 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c70 ), + .Q(\blk00000003/sig00000476 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c6f ), + .Q(\blk00000003/sig00000477 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c6e ), + .Q(\blk00000003/sig00000478 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c6d ), + .Q(\blk00000003/sig00000479 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c6c ), + .Q(\blk00000003/sig0000047a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c6b ), + .Q(\blk00000003/sig000005db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c6a ), + .Q(\blk00000003/sig000005dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c69 ), + .Q(\blk00000003/sig000005dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c68 ), + .Q(\blk00000003/sig000005de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c67 ), + .Q(\blk00000003/sig000005df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c66 ), + .Q(\blk00000003/sig000005e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c65 ), + .Q(\blk00000003/sig000005e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c64 ), + .Q(\blk00000003/sig000005e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c63 ), + .Q(\blk00000003/sig000005e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c62 ), + .Q(\blk00000003/sig000005e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c61 ), + .Q(\blk00000003/sig000005e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c60 ), + .Q(\blk00000003/sig000005e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c5f ), + .Q(\blk00000003/sig000005e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c5e ), + .Q(\blk00000003/sig000005e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c5d ), + .Q(\blk00000003/sig000005e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c5c ), + .Q(\blk00000003/sig000005ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c5b ), + .Q(\blk00000003/sig000005eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c5a ), + .Q(\blk00000003/sig000005ec ) + ); + GND \blk00000003/blk000003b4/blk000003b5 ( + .G(\blk00000003/blk000003b4/sig00000c59 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000044d/blk00000473 ( + .I0(ce), + .I1(\blk00000003/sig000004e2 ), + .O(\blk00000003/blk0000044d/sig00000cbf ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk0000044d/blk00000472 ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005db ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk00000472_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cbe ) + ); + RAM32X1D #( + .INIT ( 32'h0000000D )) + \blk00000003/blk0000044d/blk00000471 ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005dc ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk00000471_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cbd ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk0000044d/blk00000470 ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005dd ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk00000470_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cbc ) + ); + RAM32X1D #( + .INIT ( 32'h00000009 )) + \blk00000003/blk0000044d/blk0000046f ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005de ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk0000046f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cbb ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk0000044d/blk0000046e ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005df ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk0000046e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cba ) + ); + RAM32X1D #( + .INIT ( 32'h00000007 )) + \blk00000003/blk0000044d/blk0000046d ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005e0 ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk0000046d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cb9 ) + ); + RAM32X1D #( + .INIT ( 32'h00000009 )) + \blk00000003/blk0000044d/blk0000046c ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005e2 ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk0000046c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cb7 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000044d/blk0000046b ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005e3 ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk0000046b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cb6 ) + ); + RAM32X1D #( + .INIT ( 32'h00000007 )) + \blk00000003/blk0000044d/blk0000046a ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005e1 ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk0000046a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cb8 ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk0000044d/blk00000469 ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005e4 ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk00000469_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cb5 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000044d/blk00000468 ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005e5 ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk00000468_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cb4 ) + ); + RAM32X1D #( + .INIT ( 32'h0000000C )) + \blk00000003/blk0000044d/blk00000467 ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005e6 ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk00000467_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cb3 ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk0000044d/blk00000466 ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005e7 ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk00000466_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cb2 ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk0000044d/blk00000465 ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005e8 ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk00000465_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cb1 ) + ); + RAM32X1D #( + .INIT ( 32'h00000007 )) + \blk00000003/blk0000044d/blk00000464 ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005e9 ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk00000464_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cb0 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000044d/blk00000463 ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005eb ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk00000463_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cae ) + ); + RAM32X1D #( + .INIT ( 32'h00000009 )) + \blk00000003/blk0000044d/blk00000462 ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005ec ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk00000462_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cad ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000044d/blk00000461 ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005ea ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk00000461_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000caf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk00000460 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cbe ), + .Q(\blk00000003/sig000003c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk0000045f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cbd ), + .Q(\blk00000003/sig000003c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk0000045e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cbc ), + .Q(\blk00000003/sig000003c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk0000045d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cbb ), + .Q(\blk00000003/sig000003ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk0000045c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cba ), + .Q(\blk00000003/sig000003cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk0000045b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cb9 ), + .Q(\blk00000003/sig000003cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk0000045a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cb8 ), + .Q(\blk00000003/sig000003cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk00000459 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cb7 ), + .Q(\blk00000003/sig000003ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk00000458 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cb6 ), + .Q(\blk00000003/sig000003cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk00000457 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cb5 ), + .Q(\blk00000003/sig000003d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk00000456 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cb4 ), + .Q(\blk00000003/sig000003d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk00000455 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cb3 ), + .Q(\blk00000003/sig000003d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk00000454 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cb2 ), + .Q(\blk00000003/sig000003d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk00000453 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cb1 ), + .Q(\blk00000003/sig000003d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk00000452 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cb0 ), + .Q(\blk00000003/sig000003d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk00000451 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000caf ), + .Q(\blk00000003/sig000003d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk00000450 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cae ), + .Q(\blk00000003/sig000003d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk0000044f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cad ), + .Q(\blk00000003/sig000003d8 ) + ); + GND \blk00000003/blk0000044d/blk0000044e ( + .G(\blk00000003/blk0000044d/sig00000cac ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000004a4/blk000004ca ( + .I0(ce), + .I1(\blk00000003/sig00000230 ), + .O(\blk00000003/blk000004a4/sig00000cfc ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk000004a4/blk000004c9 ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005b7 ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004c9_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cfb ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004c8 ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005b8 ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004c8_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cfa ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004c7 ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005b9 ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004c7_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cf9 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004c6 ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005ba ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004c6_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cf8 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004c5 ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005bb ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004c5_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cf7 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004c4 ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005bc ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004c4_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cf6 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004c3 ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005be ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004c3_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cf4 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004c2 ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005bf ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004c2_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cf3 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004c1 ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005bd ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004c1_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cf5 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004c0 ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005c0 ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004c0_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cf2 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004bf ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005c1 ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004bf_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cf1 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004be ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005c2 ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004be_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cf0 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004bd ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005c3 ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004bd_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cef ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004bc ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005c4 ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004bc_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cee ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004bb ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005c5 ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004bb_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000ced ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004ba ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005c7 ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004ba_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000ceb ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004b9 ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005c8 ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004b9_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cea ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004b8 ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005c6 ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004b8_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cfb ), + .Q(\blk00000003/sig000000f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cfa ), + .Q(\blk00000003/sig000000f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cf9 ), + .Q(\blk00000003/sig000000f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cf8 ), + .Q(\blk00000003/sig000000f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cf7 ), + .Q(\blk00000003/sig000000f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cf6 ), + .Q(\blk00000003/sig000000f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cf5 ), + .Q(\blk00000003/sig000000f9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cf4 ), + .Q(\blk00000003/sig000000fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004af ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cf3 ), + .Q(\blk00000003/sig000000fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cf2 ), + .Q(\blk00000003/sig000000fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cf1 ), + .Q(\blk00000003/sig000000fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cf0 ), + .Q(\blk00000003/sig000000fe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cef ), + .Q(\blk00000003/sig000000ff ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cee ), + .Q(\blk00000003/sig00000100 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000ced ), + .Q(\blk00000003/sig00000101 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cec ), + .Q(\blk00000003/sig00000102 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000ceb ), + .Q(\blk00000003/sig00000103 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cea ), + .Q(\blk00000003/sig00000104 ) + ); + GND \blk00000003/blk000004a4/blk000004a5 ( + .G(\blk00000003/blk000004a4/sig00000ce9 ) + ); + +// synthesis translate_on + +endmodule + +// synthesis translate_off + +`ifndef GLBL +`define GLBL + +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (weak1, weak0) GSR = GSR_int; + assign (weak1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + +endmodule + +`endif + +// synthesis translate_on diff --git a/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.ngc b/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.ngc new file mode 100644 index 000000000..5eaff1030 --- /dev/null +++ b/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$0dg2~753-Xnzd}foo8#5+421).97 ?>;168456702:;<=>?01a8456789:;<=>?8:2345678820<=>?012A<>6789:;=8640123454302:;<=>?24:84567898N46>?012372><89:;<=:88:234567=<20<=>?0175<>6789:;9:640123451502:;<=>?78:84567893?46>?0123=0><89:;<=7I8:234567I>20<=>?01@1<>6789:;NI64012345E302:;<=>?CD:8456789N>46>?0123@F><89:;<=K:8:234567M?20<=>?01D2<>6789:;JO640123<567:2:?>6>72:2:5>7438$;>6??4:3345773821EC^ZT;@?52<76890=54FNQWW>GENF5;<6=0>4:3;>LHW]]0OMRGA<0594;703821EC^ZT;alacYcag6:;7>1149223?699?1:47AZTQWW>AGXG\^7=:4?>0485=DBCZH6;6=0>2:39MKVR\3KOH_L30;2=5f=62@D[YY4lodd\bljsm{Uxia2?:1<23>7=AGZ^X7hflugq[vck490;2<;41;MVPUSS2jbjbRga<183:40<93E^X][[:bjbjZir|5:1<3?429914DG58;:::6<;:HLSQQ94FNQWW>aoiW8:7>>4?>0786194FNQWW>aoiW887>>4?>0786194FNQWW>aoiW8>7>>4?>0786194FNQWW>aoiW8<7>>4?>0686117?699=1987GAPTV9`lhX:5886=0>4:07>LHW]]0oecQ<<3194;733;>1EC^ZT;fjjZ2;::0;2<:4258JJUSS2mceS82=3;2=51=5<3CE\XZ5dhl\2944294:86<;:HLSQQ0>94FNQWW>aoiW069?7>119910?IR\Y__6IO[A^MVP944294::6<;:NWWTPR=lfSd2=3;2=5<=5<3E^X][[:emvpZoX9969?7>118910?IR\Y__6iazt^k\54:5;3:5=45=4;MVPUSS2me~xRgP13>17?69901987AZTQWW>air|VcT=>2=3;2=5<=5<3E^X][[:emvpZoX9=69?7>118910?IR\Y__6iazt^k\50:5;3:5=45=4;MVPUSS2me~xRgP17>17?69911987AZTQWW>air|VcT=1<<:1<2<>432F__\XZ5dnww[lY54;91<3?7;369KPRW]]0ocxzPi^1?66<76820>94@UURVP?bh}}UbS92=3;2=5==5<3E^X][[:emvpZoX=5886=0>8:07>JSSX\^1hb{{_h]5875=87;37?:5OTVSQQ<:=0DYY^ZT;flqqYnW169?7>119910?IR\Y__6iazt^k\=944294:<6<::HLSQQ1<3?=;38JJUSS2HNO^L2>:1<26>4=AGZ^X7OKDS@?5?699918<7GAPTV9E94>294:?6=?:HLSQQ44?>078751>58682>36D@_UU8@811=87;?7965IORVP?BFW@D78:4?>0180=586>2>36B[[PTV9@DYH]]6?;7>117970;2<;4498LQQVR\3ZHCXZ34683:42<<10DYY^ZT;flqq:3?3:5=:5;8;MVPUSS2me~xR??<5594;703=21CXZ_UU8gkprX986?;7>116972;7;2=52=303E^X][[:emvpZ734==1<3?8;5:9KPRW]]0ocxzP14>73?699>1?47AZTQWW>air|V;=09950?35?1>=G\^[YY4kotv\5920294::6:7:NWWTPR=lfS?2;7;2=53=303E^X][[:emvpZ5;<>0;2<84498LQQVR\3ndyyQ;<5594;713=21CXZ_UU8gkprX=5><6=0>6:6;>JSSX\^1hb{{_7>73?699?1?47AZTQWW>air|V=78:4?>0480=2?2F__\XZ5dnww[t:3?3:5=45;8;MVPUSS2me~xRP11>73?69901?47AZTQWW>air|V{T=<2;7;2=5<=303E^X][[:emvpZwX9;6?;7>1189773?69901?47AZTQWW>air|V{T=82;7;2=5<=303E^X][[:emvpZwX9?6?;7>119970:80=58602>36B[[PTV9`jssWxU=09950?3;?1>=G\^[YY4kotv\uZ1;<>0;2<64498LQQVR\3ndyyQ~_9>73?69911?47AZTQWW>air|V{T51:8:1<26>2=AGZ^X7OKDSC?7?699;1?6D@_UU8B@ATE4:0;2<:44;KMTPR=IE_FCIK33;2=53=32F__\XZ5C@PQ]JSS4:0;255;F56701233<;?<95:55227>3=AGZ^X7GAMNFF81<7681=468NA@CBED7<=47;KMTPR=GYGDHH29:1<1?2@b3>a8>8ziff;34(4631804=:4892;0>>A01805L<4A108E44<4A568E969=2K7==0:;@>25;3G;9=4>7L2>5?78E9716<1J0<915:C?5=823H6:53:4A=3=1>G;:94>7L2=1?78E9456<1J0?=15:C?61823H6993;4A=05:0=F4;=596O329<4?D:513:596O328<7?D:56=1J0>0;;@>7:1=F4<4?7L29>59B82833H63295N<8<;?DEF[JXNK:5NCHL?4;>18:C@MK:69720MNGA<00=<>GDAG6:?364ABKM842902KHEC2>5?:8EFOI48<546OLIO>23;>99BGLH;::437LMFN=07:==FK@D7>807;@AJJ941611JOD@326<;?DENF5832l5NCHL?6<<7611JOD@328<4?DENF585;6OLIO>0:2=FK@D78394ABKM80803HIBB1817:C@MK:06>1JOD@38?58EFOI40437LM@UU>3:<=FKF__0<>19:C@KPR;98427LM@UU>26;?89BGJSS48>556OLOTV?508>3HIDYY2>6?;8EFIR\5;<245NCNWW84>912KHCXZ318<;?DEH]]6:245NCNWW876912KHCXZ320<:?DEH]]69>374ABMVP944601JOB[[<36==>GDG\^7>806;@ALQQ:5>730MNAZT=04:<=FKF__0?61b:C@KPR;:00;245NCNWW87?902KHCXZ32?:8EFIR\59546OLOTV?0;>720MNAZT=5=<>GDG\^74364ABMVP9?9?2KOH_2?>69B@AT;9730MIJ]<383:2=FLMX7>3o4AEFQE96=8720MIJ]A=2=e>GCL[K7=7>18:CG@WG;9720MIJ]A=0=e>GCL[K7?7>18:CG@WG;;7k0MIJ]B=294;>1a:CG@WD;93:546OKDS@?5;>2:d=FD\GDHH2=>b9BHPKHLL686=0n;@NVIJBB4:4j7LBZMNFFV@A33HXNK<>4ATVLV@UB\VZJXIK[7:C\MKVR\=1I0=0:;C>24;3D;9:4>7O2>4?78F9726<1I0<817:@?52<76<1I0<914:@?5;2D;?7>0N1614:@?=;4DDAG6;255MCHL?558?3KIBB1?>>99AGLH;9;437OMFN=30:==EK@D7=907;CAJJ972611IOD@3171IOD@31?58FFOI4;4<7OMFN=1=3>DDAG6?2:5MCHL?1;169AGLH;1720NNAZT=2==>DDG\^7==06;CALQQ:69730NNAZT=31:<=EKF__0<=19:@@KPR;9=427OM@UU>21;?c9AGJSS48=1<374BBMVP970611IOB[[<0<;?GEH]]69255MCNWW868?3KIDYY2;>99AGJSS4<437OM@UU>5:==EKF__0:07;CALQQ:?611IOB[[<8<7?GTBO11IY^QFNGM4?GYNFY__=6M;;B>3:0=D48:596M310<6?F:6:7?0O1?<>49@8429=2I7=80:;B>22;3E;904?7N2>>49@8769=2I7><0:;B>16;3E;:<4>7N2=6?78G9406<1H0?615:A?6<833J69285L<22=1>E;;84>7N2<2?78G9546<1H0>:15:A?70823J68:3;4C=14:0=D4:2596M338<7?F:46<1H09>15:A?04823J6?>3;4C=60:0=D4=>596M344<6?F:3>7=0O1:8:1<6?F:3?7>0O1:14:A?1;29<2I753l4C@PQ]FGTK@Dh7NO]RXABWFIR\>1HM_\VIOc8GDTUQ@DYIJj4C@PQ]LHTME6;2i5LASPZMKUBD5;5j6MNRS[JJVCK4;0;2i5LASPZMKUBD585h6MNRS[JJVCKZLMi7NO]RXMVP969j2IJ^_W@UU>2:g=DI[XRCXZ32?f8GDTUQF__0>4?>c9@EWT^G\^7?3<4CD68G@G6<2INM?:4CDCG=>EBIE_FCIK;;BGA51=DMK887NKL9:AFGDTUQ@D=7NKLTSN0?FCC02INIH6NA@:8G@OIEFNN?6MJM29@AU753JBNOFQCIBGMW@YSQYO97NG<;BNH=>EHEDC_XHJ>4:ALV@Y@MGOYMYG@N^KMBJ149G84?9<2N7=3;4D=03:0=C4;;596J323<6?A:5;7=0H1<;:1<6?A:5<7>0H1<14:F?7;2586J35?68@909<2N7;3:4D=:=0>B;17>0HLONa:FBPDYNF5:5n6JNT@]JJ9776k1OMYOPIO>25;dBF\HUBB1?7>c9GEQGXAG6:53o4D@VB[LH;97h0HLZN_HL?658e3MK_MRGA<33=f>BF\HUBB1<=>e9GEQGXAG69?7>1b:FBPDYNF5882l5KAUC\MK:56h1OMYOPIO>0:d=CI]KTEC2;>`9GEQGXAG6>2l5KAUC\MK:16h1OMYOPIO>4:d=CI]KTEC27>`9GEQGXAG622o5KAUC\KPR;87i0HLZN_NWW8469k2NJXLQ@UU>25;eBF\HUDYY2>3?a8@DRFWF__0<:1c:FBPDYH]]6:93m4D@VB[JSS48<5o6JNT@]LQQ:6?7i0HLZN_NWW84>9k2NJXLQ@UU>2=;db9GEQGXG\^7><0l;ECWEZIR\5892h5KAUC\KPR;::0;2n5KAUC\KPR;::4i7IO[A^MVP949j2NJXLQ@UU>0:g=CI]KTCXZ34?`8@DRFWF__080m;ECWEZIR\5<5n6JNT@]LQQ:06k1OMYOPOTV?<;d374D@]JJ974601OMRGA<06==>BFW@D7=806;EC\MK:6>7h0HLQFN=34>58>3MKTEC2>7?;8@DYNF5;3245KA^KM84?902NJSD@31?;8@DYNF58;245KA^KM877912NJSD@323<:?AGXAG69?374D@]JJ943601OMRGA<37==>BFW@D7>;06;EC\MK:5?730HLQFN=0;:<=CIVCE0?718:FB[LH;:730HLQFN=13:<=CIVCE0>?19:FB[LH;;;427IOPIO>07;?89GEZOI4:?556JN_HL?738>3MKTEC2<7?;8@DYNF593245KA^KM86?902NJSD@33?;8@DYNF5>;245KA^KM817912NJSD@343<:?AGXAG6??374D@]JJ923601OMRGA<57==>BFW@D78;0m;EC\MK:3?3:556JN_HL?028?3MKTEC2;>99GEZOI4<437IOPIO>5:==CIVCE0:07;EC\MK:?611OMRGA<8<:?AGXG\^7<3o4D@]LQQ:687k0HLQ@UU>25;g2?c8@DYH]]6:?3o4D@]LQQ:6<7k0HLQ@UU>21;g6?a8@DYH]]6:;7>1a:FB[JSS48=5m6JN_NWW84>9i2NJSB[[<0;==>BFWF__0<0n;EC\KPR;:94j7IOPOTV?648f3MKTCXZ323>0n;EC\KPR;:=4j7IOPOTV?608f3MKTCXZ327:0n;EC\KPR;:14j7IOPOTV?6<8>3MKTCXZ32?c8@DYH]]68<3o4D@]LQQ:497k0HLQ@UU>06;g02;g0:d=CIVE^X1:?>`9GEZIR\5>:2l5KA^MVP9256h1OMRAZT=60:d=CIVE^X1:;>`9GEZIR\5>>2l5KA^MVP9216j1OMRAZT=64>58f3MKTCXZ346<:?AGXG\^78374D@]LQQ:2601OMRAZT=4==>BFWF__0:06;EC\KPR;0730HLQ@UU>::<=CJVE^X1>1a:FA[JSS48:5m6JM_NWW8479i2NISB[[<00=e>BEWF__0<=1a:FA[JSS48>5m6JM_NWW8439i2NISB[[<04=g>BEWF__0<950?c8@GYH]]6:;3o4DC]LQQ:607k0HOQ@UU>2=;?>`9GFZIR\58;2l5KB^MVP9466h1ONRAZT=01:d=CJVE^X1<<>`9GFZIR\58?2l5KB^MVP9426h1ONRAZT=05:d=CJVE^X1<8>`9GFZIR\5832l5KB^MVP94>601ONRAZT=0=e>BEWF__0>>1a:FA[JSS4:;5m6JM_NWW8649i2NISB[[<21=e>BEWF__0>:1a:FA[JSS4:?5m6JM_NWW8609i2NISB[[<25=e>BEWF__0>61a:FA[JSS4:3556JM_NWW868f3MHTCXZ34189GFZIR\52556JM_NWW8<853MO97IH;;EDB47=CA?1OE_KLT29GTJ3BWZH8>7I^]A278@UTF<=1O^HI8;EQS0=C692O97HO;;DC1E1=BIHK97K:=;G:6?CGK[L80JI:4FEC;7>@CM:1MH_:4FEPF0>@C[L>0JK6N8:DEBC4FIHi0JKHIFGDEBC@B92M87J@K1:K1?L653@;97D<=;H11?L2>3@DBX^ZNTD;8MKKHLL6;245FNLMGA97912CEABJJ<3<:?LHJGMO7?3l4IOOL@@:329427D@BOEG?0;?H6?=1E=:9;;O34<1=I9>387C?74:L2<528368J4>4<2D:49:4N0:60>H60?>0B<684:L2<=2959M5<633G;2=95A1807?K7>;=1E=4:;;O3:11=I9033G;25?5A229M652:4N3270>H58<>0B?>94:L1422<=;;O0201=I:8??7C<>659M64133G8:495A20;0?K45<2D9>=:4N3020>H5:;>0B?<<4:L1615H4;2D8=1E9;9;;O75<1=I=?3?7C;8059M12733G?<>95A5617?K30<=1E9:;;;O7421=I=>=?7C;8859M12?33G?3<95A5937?K3?:=1E95=;;O7;01=I=1??7C;7659M1=133G?3495A59;7?K3>8=1E94?;;O7:61=I=09?7C;6459M1<333G?2:95A5857?K3>0=1E947;;O4341=I>9;?7C8?259M25533G<;895A6177?K07>=1E:=9;;O43<1=I>1;?7C87259M2=533G<3895A6977?K0?>=1E:59;;O4;<1=I>13?7C86059M2<733G<2>95A6817?K0><=1E:4;;;O4:21=I>0=?7C86859M295A7117?K17<=1E;=;;;O5321=I?9=?7C9?859M35?33G=:<95A7037?K16:=1E;<=;;O5201=I?8??7C9>659M34133G=:495A70;7?K158=1E;??;;O5161=I?;9?7C9=459M37333G=9:95A7357?K150;1E4?5A9g9MFZDR[YCES]\@PR58J@RPG[A<7CABESEF=>HHWYK_HHZ7;OM\V@UB\81D>6AD5:MMA1>>3FZFCIK30?;8KUKHLL6:245@PLMGA94912E[ABJJ<2<:?JVJGMO78374OQOL@@:26k1D\@AKE=494;?89LTHICM[OL=:5@PUKNM_CXX[CFEYGYER]MCF>3:0=W48:596^310<6?U:6:7?0\1?<>49S8429=2Z7=80:;Q>22;3V;904?7]2>>49S8769=2Z7><0:;Q>16;3V;:<4>7]2=6?78T9406<1[0?615:R?6<833Y69285_<22=1>V;;84>7]2<2?78T9546<1[0>:15:R?70823Y68:3;4P=14:0=W4:2596^338<7?U:46<1[09>15:R?04823Y6?>3;4P=60:0=W4=>596^344<6?U:3>7=0\1:8:1<6?U:3?7>0\1:14:R?1;29<2Z753:4P@PW3>VF\]OYBh5_AUVFVKDCM]OHXi5_AUVFVKBB\LI_;6^LIO>3:==WK@D7==07;QAJJ976611[OD@313<;?UENF5;8255_CHL?518?3YIBB1?:>99SGLH;9?437]MFN=34:==WK@D7=507;QAJJ97>6>1[OD@31?:8TFOI4;:546^LIO>15;>VDAG699364PBKM870902ZHEC2=7?:8TFOI4;2546^LIO>1=;199SGLH;;<437]MFN=15:==WK@D7?:07;QAJJ95?611[OD@338<4?UENF59546^LIO>74;>VDAG6?8364PBKM813902ZHEC2;6?c8TFOI4==1<364PBKM8119?2ZHEC2;>69SGLH;=7=0\NGA<7<4?UENF5=5;6^LIO>;:2=WK@D75364PBMVP96912ZHCXZ311<:?UEH]]6:=374PBMVP975601[OB[[<01==>VDG\^7=906;QALQQ:6=730\NAZT=35:<=WKF__0<919:R@KPR;91427]M@UU>2=;>15;?89SGJSS4;9556^LOTV?618>3YIDYY2=5?;8TFIR\58=245_CNWW871912ZHCXZ329<:?UEH]]695364PBMVP94912ZHCXZ331<:?UEH]]68=374PBMVP955601[OB[[<21==>VDG\^7?906;QALQQ:4=730\NAZT=15:<=WKF__0>919:R@KPR;;1427]M@UU>0=;>75;?89SGJSS4=9556^LOTV?018>3YIDYY2;5?;8TFIR\5>=2o5_CNWW811=8730\NAZT=64:==WKF__0907;QALQQ:2611[OB[[<7<;?UEH]]6<255_CNWW8=8?3YIDYY26>`9SMKYE]ZCOTo5_IO]AQVHFEL30\FQGLTVMI1=WZLM:7\=4Q072?W>U?K4:PPPDbUOZLMTIUZ]ABV\JBEb3ZBYIJQBIO]PM_C13ZE^^NK<;RRL3>UUDJ;=I95[RTG7?Q_WM01^BIK]FMMTe>STM[UJ@DO\c:WPAWYQAZCI@H74URG\@UIU\11^_HQBUMV26>STMVZJXYK]N^FFP@ES02_XIR]FME18RFE>3_CN[RZVPD68SFJL:2]N?6YJA0f8\LJNFQ'SHO.?.0"PPPD'8';+M^MFI49[KWE^>2RXXAGMb:Z\GJTBW@DMC;5Wdc]J`c=_laU[~dcYesqjkk773QnfS@oeosTfvvohf8:0TicPMhllvScu{`ee?6Wjs938[ZY_DGGTSR>P_^W3+bYjij$fmn!iis]paicd|&mnbRfnlg`bjaYcmjcfmyg`n/djv*abfVxn`bok.sgokdbXkg~y`"|jlncg[`hfjeoT~hb`ae]kfZciikfnSB\P10]l50b85]l534 g^obg+kfk&lb~R}jldaw+bciWakgjooad^ffglkf|`ee"kg}/nr`kacXkg~y`Rjcy=3=[`wi9>20SRQWLOO\[Z1XWV_;#jQbab,nef)aa{Uxiaklt.efjZnfdohjbiQkebkneqohf'lb~"ijn^pfhjgc&{ogcljPcovqh*EHMOUGHRm`eg]o`ZbkqVKEHR?<8^m22`=XWVRGB@QP_9]\[P6(oVgjo#cnc.djvZubdli#jka_icobggilVnnodcnthmm*cou'noeSnkatsg\pdvXlh~jSao{cigg+FCX_LUJBIQ>87]l5f2]/efjZuwd`~TobbT0\,dakYsiyyP>P heo]geqgX{pgTol}l.fgm[kiue'njxlQ|yl]`eveXles$OHQXE^CM@Z71?Ve:n6lck12345679k1i`f>?012347d?011a?gjl89:;<=>;b:`oo56789:;9o5mlj2345678?h0nae?0123451e3kf`<=>?012;f>dkc9:;<=>?9c9ahn6789:;?0123`g=edb:;<=>?0d`8fim789:;<=hm;cnh456789;;n6lck12345669k1i`f>?012357d?001a?gjl89:;<=?;b:`oo56789::9o5mlj2345679?h0nae?0123441e3kf`<=>?013;f>dkc9:;<=>>9c9ahn6789:;=ll4bmi3456788hi7obd0123457dj2hgg=>?0122`g=edb:;<=>?1d`8fim789:;<?012367d?031a?gjl89:;<=<;b:`oo56789:99o5mlj234567:?h0nae?0123471e3kf`<=>?010;f>dkc9:;<=>=9c9ahn6789:;>ll4bmi345678;hi7obd0123454dj2hgg=>?0121`g=edb:;<=>?2d`8fim789:;?012377d?021a?gjl89:;<==;b:`oo56789:89o5mlj234567;?h0nae?0123461e3kf`<=>?011;f>dkc9:;<=><9c9ahn6789:;?ll4bmi345678:hi7obd0123455dj2hgg=>?0120`g=edb:;<=>?3d`8fim789:;<>hm;cnh456789>;n6lck12345639k1i`f>?012307d?051a?gjl89:;<=:;b:`oo56789:?9o5mlj234567?016;f>dkc9:;<=>;9c9ahn6789:;8ll4bmi345678=hi7obd0123452dj2hgg=>?0127`g=edb:;<=>?4d`8fim789:;<9hm;cnh456789?;n6lck12345629k1i`f>?012317d?041a?gjl89:;<=;;b:`oo56789:>9o5mlj234567=?h0nae?0123401e3kf`<=>?017;f>dkc9:;<=>:9c9ahn6789:;9ll4bmi345678?0126`g=edb:;<=>?5d`8fim789:;<8hm;cnh456789<;n6lck12345619k1i`f>?012327d?071a?gjl89:;<=8;b:`oo56789:=9o5mlj234567>?h0nae?0123431e3kf`<=>?014;f>dkc9:;<=>99c9ahn6789:;:ll4bmi345678?hi7obd0123450dj2hgg=>?0125`g=edb:;<=>?6d`8fim789:;<;hm;cnh456789=;n6lck12345609k1i`f>?012337d?061a?gjl89:;<=9;b:`oo56789:<9o5mlj234567??h0nae?0123421e3kf`<=>?015;f>dkc9:;<=>89c9ahn6789:;;ll4bmi345678>hi7obd0123451dj2hgg=>?0124`g=edb:;<=>?7d`8fim789:;<:hm;cnh4567892;n6lck123456?9k1i`f>?0123<7d?091a?gjl89:;<=6;b:`oo56789:39o5mlj2345670?h0nae?01234=1e3kf`<=>?01:;f>dkc9:;<=>79c9ahn6789:;4ll4bmi3456781hi7obd012345>dj2hgg=>?012;`g=edb:;<=>?8d`8fim789:;<5hm;cnh4567893;n6lck123456>9k1i`f>?0123=7d?081a?gjl89:;<=7;b:`oo56789:29o5mlj2345671?h0nae?01234<1e3kf`<=>?01;;f>dkc9:;<=>69c9ahn6789:;5ll4bmi3456780hi7obd012345?dj2hgg=>?012:`g=edb:;<=>?9d`8fim789:;<4hm;cnh456789k;n6lck123456f9k1i`f>?0123e7d?0`1a?gjl89:;<=o;b:`oo56789:j9o5mlj234567i?h0nae?01234d1e3kf`<=>?01c;f>dkc9:;<=>n9c9ahn6789:;mll4bmi345678hhi7obd012345gdj2hgg=>?012b`g=edb:;<=>?ad`8fim789:;?0123f7d?0c1a?gjl89:;<=l;b:`oo56789:i9o5mlj234567j?h0nae?01234g1e3kf`<=>?01`;f>dkc9:;<=>m9c9ahn6789:;nll4bmi345678khi7obd012345ddj2hgg=>?012a`g=edb:;<=>?bd`8fim789:;?0123g7d?0b1a?gjl89:;<=m;b:`oo56789:h9o5mlj234567k?h0nae?01234f1e3kf`<=>?01a;f>dkc9:;<=>l9c9ahn6789:;oll4bmi345678jhi7obd012345edj2hgg=>?012``g=edb:;<=>?cd`8fim789:;?0123`7d?0e1a?gjl89:;<=j;b:`oo56789:o9o5mlj234567l?h0nae?01234a1e3kf`<=>?01f;f>dkc9:;<=>k9c9ahn6789:;hll4bmi345678mhi7obd012345bdj2hgg=>?012g`g=edb:;<=>?dd`8fim789:;?0123a7d?0d1a?gjl89:;<=k;b:`oo56789:n9o5mlj234567m?h0nae?01234`1e3kf`<=>?01g;f>dkc9:;<=>j9c9ahn6789:;ill4bmi345678lhi7obd012345cdj2hgg=>?012f`g=edb:;<=>?ed`8fim789:;?0123b7d?0g1a?gjl89:;<=h;b:`oo56789:m9o5mlj234567n?h0nae?01234c1e3kf`<=>?01d;f>dkc9:;<=>i9c9ahn6789:;jll4bmi345678ohi7obd012345`dj2hgg=>?012e`g=edb:;<=>?fd`8fim789:;?012247d?111a?gjl89:;<<>;b:`oo56789;;9o5mlj2345668?h0nae?0123551e3kf`<=>?002;f>dkc9:;<=??9c9ahn6789::?0133`g=edb:;<=>>0d`8fim789:;==hm;cnh456788;;n6lck12345769k1i`f>?012257d?101a?gjl89:;<?003;f>dkc9:;<=?>9c9ahn6789::=ll4bmi3456798hi7obd0123447dj2hgg=>?0132`g=edb:;<=>>1d`8fim789:;=?012267d?131a?gjl89:;<<<;b:`oo56789;99o5mlj234566:?h0nae?0123571e3kf`<=>?000;f>dkc9:;<=?=9c9ahn6789::>ll4bmi345679;hi7obd0123444dj2hgg=>?0131`g=edb:;<=>>2d`8fim789:;=?hm;cnh4567889;n6lck12345749k1i`f>?012277d?121a?gjl89:;<<=;b:`oo56789;89o5mlj234566;?h0nae?0123561e3kf`<=>?001;f>dkc9:;<=?<9c9ahn6789::?ll4bmi345679:hi7obd0123445dj2hgg=>?0130`g=edb:;<=>>3d`8fim789:;=>hm;cnh456788>;n6lck12345739k1i`f>?012207d?151a?gjl89:;<<:;b:`oo56789;?9o5mlj234566?006;f>dkc9:;<=?;9c9ahn6789::8ll4bmi345679=hi7obd0123442dj2hgg=>?0137`g=edb:;<=>>4d`8fim789:;=9hm;cnh456788?;n6lck12345729k1i`f>?012217d?141a?gjl89:;<<;;b:`oo56789;>9o5mlj234566=?h0nae?0123501e3kf`<=>?007;f>dkc9:;<=?:9c9ahn6789::9ll4bmi345679?0136`g=edb:;<=>>5d`8fim789:;=8hm;cnh456788<;n6lck12345719k1i`f>?012227d?171a?gjl89:;<<8;b:`oo56789;=9o5mlj234566>?h0nae?0123531e3kf`<=>?004;f>dkc9:;<=?99c9ahn6789:::ll4bmi345679?hi7obd0123440dj2hgg=>?0135`g=edb:;<=>>6d`8fim789:;=;hm;cnh456788=;n6lck12345709k1i`f>?012237d?161a?gjl89:;<<9;b:`oo56789;<9o5mlj234566??h0nae?0123521e3kf`<=>?005;f>dkc9:;<=?89c9ahn6789::;ll4bmi345679>hi7obd0123441dj2hgg=>?0134`g=edb:;<=>>7d`8fim789:;=:hm;cnh4567882;n6lck123457?9k1i`f>?0122<7d?191a?gjl89:;<<6;b:`oo56789;39o5mlj2345660?h0nae?01235=1e3kf`<=>?00:;f>dkc9:;<=?79c9ahn6789::4ll4bmi3456791hi7obd012344>dj2hgg=>?013;`g=edb:;<=>>8d`8fim789:;=5hm;cnh4567883;n6lck123457>9k1i`f>?0122=7d?181a?gjl89:;<<7;b:`oo56789;29o5mlj2345661?h0nae?01235<1e3kf`<=>?00;;f>dkc9:;<=?69c9ahn6789::5ll4bmi3456790hi7obd012344?dj2hgg=>?013:`g=edb:;<=>>9d`8fim789:;=4hm;cnh456788k;n6lck123457f9k1i`f>?0122e7d?1`1a?gjl89:;<?00c;f>dkc9:;<=?n9c9ahn6789::mll4bmi345679hhi7obd012344gdj2hgg=>?013b`g=edb:;<=>>ad`8fim789:;=lhm;cnh456788h;n6lck123457e9k1i`f>?0122f7d?1c1a?gjl89:;<?00`;f>dkc9:;<=?m9c9ahn6789::nll4bmi345679khi7obd012344ddj2hgg=>?013a`g=edb:;<=>>bd`8fim789:;=ohm;cnh456788i;n6lck123457d9k1i`f>?0122g7d?1b1a?gjl89:;<?00a;f>dkc9:;<=?l9c9ahn6789::oll4bmi345679jhi7obd012344edj2hgg=>?013``g=edb:;<=>>cd`8fim789:;=nhm;cnh456788n;n6lck123457c9k1i`f>?0122`7d?1e1a?gjl89:;<?00f;f>dkc9:;<=?k9c9ahn6789::hll4bmi345679mhi7obd012344bdj2hgg=>?013g`g=edb:;<=>>dd`8fim789:;=ihm;cnh456788o;n6lck123457b9k1i`f>?0122a7d?1d1a?gjl89:;<?00g;f>dkc9:;<=?j9c9ahn6789::ill4bmi345679lhi7obd012344cdj2hgg=>?013f`g=edb:;<=>>ed`8fim789:;=hhm;cnh456788l;n6lck123457a9k1i`f>?0122b7d?1g1a?gjl89:;<?00d;f>dkc9:;<=?i9c9ahn6789::jll4bmi345679ohi7obd012344`dj2hgg=>?013e`g=edb:;<=>>fd`8fim789:;=khm;cnh45678;:;n6lck12345479k1i`f>?012147d?211a?gjl89:;;b:`oo567898;9o5mlj2345658?h0nae?0123651e3kf`<=>?032;f>dkc9:;<=?0103`g=edb:;<=>=0d`8fim789:;>=hm;cnh45678;;;n6lck12345469k1i`f>?012157d?201a?gjl89:;?033;f>dkc9:;<=<>9c9ahn6789:9=ll4bmi34567:8hi7obd0123477dj2hgg=>?0102`g=edb:;<=>=1d`8fim789:;>?012167d?231a?gjl89:;?030;f>dkc9:;<=<=9c9ahn6789:9>ll4bmi34567:;hi7obd0123474dj2hgg=>?0101`g=edb:;<=>=2d`8fim789:;>?hm;cnh45678;9;n6lck12345449k1i`f>?012177d?221a?gjl89:;?031;f>dkc9:;<=<<9c9ahn6789:9?ll4bmi34567::hi7obd0123475dj2hgg=>?0100`g=edb:;<=>=3d`8fim789:;>>hm;cnh45678;>;n6lck12345439k1i`f>?012107d?251a?gjl89:;?036;f>dkc9:;<=<;9c9ahn6789:98ll4bmi34567:=hi7obd0123472dj2hgg=>?0107`g=edb:;<=>=4d`8fim789:;>9hm;cnh45678;?;n6lck12345429k1i`f>?012117d?241a?gjl89:;9o5mlj234565=?h0nae?0123601e3kf`<=>?037;f>dkc9:;<=<:9c9ahn6789:99ll4bmi34567:?0106`g=edb:;<=>=5d`8fim789:;>8hm;cnh45678;<;n6lck12345419k1i`f>?012127d?271a?gjl89:;?h0nae?0123631e3kf`<=>?034;f>dkc9:;<=<99c9ahn6789:9:ll4bmi34567:?hi7obd0123470dj2hgg=>?0105`g=edb:;<=>=6d`8fim789:;>;hm;cnh45678;=;n6lck12345409k1i`f>?012137d?261a?gjl89:;?035;f>dkc9:;<=<89c9ahn6789:9;ll4bmi34567:>hi7obd0123471dj2hgg=>?0104`g=edb:;<=>=7d`8fim789:;>:hm;cnh45678;2;n6lck123454?9k1i`f>?0121<7d?291a?gjl89:;?03:;f>dkc9:;<=<79c9ahn6789:94ll4bmi34567:1hi7obd012347>dj2hgg=>?010;`g=edb:;<=>=8d`8fim789:;>5hm;cnh45678;3;n6lck123454>9k1i`f>?0121=7d?281a?gjl89:;?03;;f>dkc9:;<=<69c9ahn6789:95ll4bmi34567:0hi7obd012347?dj2hgg=>?010:`g=edb:;<=>=9d`8fim789:;>4hm;cnh45678;k;n6lck123454f9k1i`f>?0121e7d?2`1a?gjl89:;?03c;f>dkc9:;<=?010b`g=edb:;<=>=ad`8fim789:;>lhm;cnh45678;h;n6lck123454e9k1i`f>?0121f7d?2c1a?gjl89:;?03`;f>dkc9:;<=?010a`g=edb:;<=>=bd`8fim789:;>ohm;cnh45678;i;n6lck123454d9k1i`f>?0121g7d?2b1a?gjl89:;?03a;f>dkc9:;<=?010``g=edb:;<=>=cd`8fim789:;>nhm;cnh45678;n;n6lck123454c9k1i`f>?0121`7d?2e1a?gjl89:;?03f;f>dkc9:;<=?010g`g=edb:;<=>=dd`8fim789:;>ihm;cnh45678;o;n6lck123454b9k1i`f>?0121a7d?2d1a?gjl89:;?03g;f>dkc9:;<=?010f`g=edb:;<=>=ed`8fim789:;>hhm;cnh45678;l;n6lck123454a9k1i`f>?0121b7d?2g1a?gjl89:;?03d;f>dkc9:;<=?010e`g=edb:;<=>=fd`8fim789:;>khm;cnh45678::;n6lck12345579k1i`f>?012047d?311a?gjl89:;<>>;b:`oo567899;9o5mlj2345648?h0nae?0123751e3kf`<=>?022;f>dkc9:;<==?9c9ahn6789:8?0113`g=edb:;<=><0d`8fim789:;?=hm;cnh45678:;;n6lck12345569k1i`f>?012057d?301a?gjl89:;<>?;b:`oo567899:9o5mlj2345649?h0nae?0123741e3kf`<=>?023;f>dkc9:;<==>9c9ahn6789:8=ll4bmi34567;8hi7obd0123467dj2hgg=>?0112`g=edb:;<=><1d`8fim789:;??012067d?331a?gjl89:;<><;b:`oo56789999o5mlj234564:?h0nae?0123771e3kf`<=>?020;f>dkc9:;<===9c9ahn6789:8>ll4bmi34567;;hi7obd0123464dj2hgg=>?0111`g=edb:;<=><2d`8fim789:;??hm;cnh45678:9;n6lck12345549k1i`f>?012077d?321a?gjl89:;<>=;b:`oo56789989o5mlj234564;?h0nae?0123761e3kf`<=>?021;f>dkc9:;<==<9c9ahn6789:8?ll4bmi34567;:hi7obd0123465dj2hgg=>?0110`g=edb:;<=><3d`8fim789:;?>hm;cnh45678:>;n6lck12345539k1i`f>?012007d?351a?gjl89:;<>:;b:`oo567899?9o5mlj234564?026;f>dkc9:;<==;9c9ahn6789:88ll4bmi34567;=hi7obd0123462dj2hgg=>?0117`g=edb:;<=><4d`8fim789:;?9hm;cnh45678:?;n6lck12345529k1i`f>?012017d?341a?gjl89:;<>;;b:`oo567899>9o5mlj234564=?h0nae?0123701e3kf`<=>?027;f>dkc9:;<==:9c9ahn6789:89ll4bmi34567;?0116`g=edb:;<=><5d`8fim789:;?8hm;cnh45678:<;n6lck12345519k1i`f>?012027d?371a?gjl89:;<>8;b:`oo567899=9o5mlj234564>?h0nae?0123731e3kf`<=>?024;f>dkc9:;<==99c9ahn6789:8:ll4bmi34567;?hi7obd0123460dj2hgg=>?0115`g=edb:;<=><6d`8fim789:;?;hm;cnh45678:=;n6lck12345509k1i`f>?012037d?361a?gjl89:;<>9;b:`oo567899<9o5mlj234564??h0nae?0123721e3kf`<=>?025;f>dkc9:;<==89c9ahn6789:8;ll4bmi34567;>hi7obd0123461dj2hgg=>?0114`g=edb:;<=><7d`8fim789:;?:hm;cnh45678:2;n6lck123455?9k1i`f>?0120<7d?391a?gjl89:;<>6;b:`oo56789939o5mlj2345640?h0nae?01237=1e3kf`<=>?02:;f>dkc9:;<==79c9ahn6789:84ll4bmi34567;1hi7obd012346>dj2hgg=>?011;`g=edb:;<=><8d`8fim789:;?5hm;cnh45678:3;n6lck123455>9k1i`f>?0120=7d?381a?gjl89:;<>7;b:`oo56789929o5mlj2345641?h0nae?01237<1e3kf`<=>?02;;f>dkc9:;<==69c9ahn6789:85ll4bmi34567;0hi7obd012346?dj2hgg=>?011:`g=edb:;<=><9d`8fim789:;?4hm;cnh45678:k;n6lck123455f9k1i`f>?0120e7d?3`1a?gjl89:;<>o;b:`oo567899j9o5mlj234564i?h0nae?01237d1e3kf`<=>?02c;f>dkc9:;<==n9c9ahn6789:8mll4bmi34567;hhi7obd012346gdj2hgg=>?011b`g=edb:;<=>?0120f7d?3c1a?gjl89:;<>l;b:`oo567899i9o5mlj234564j?h0nae?01237g1e3kf`<=>?02`;f>dkc9:;<==m9c9ahn6789:8nll4bmi34567;khi7obd012346ddj2hgg=>?011a`g=edb:;<=>?0120g7d?3b1a?gjl89:;<>m;b:`oo567899h9o5mlj234564k?h0nae?01237f1e3kf`<=>?02a;f>dkc9:;<==l9c9ahn6789:8oll4bmi34567;jhi7obd012346edj2hgg=>?011``g=edb:;<=>?0120`7d?3e1a?gjl89:;<>j;b:`oo567899o9o5mlj234564l?h0nae?01237a1e3kf`<=>?02f;f>dkc9:;<==k9c9ahn6789:8hll4bmi34567;mhi7obd012346bdj2hgg=>?011g`g=edb:;<=>?0120a7d?3d1a?gjl89:;<>k;b:`oo567899n9o5mlj234564m?h0nae?01237`1e3kf`<=>?02g;f>dkc9:;<==j9c9ahn6789:8ill4bmi34567;lhi7obd012346cdj2hgg=>?011f`g=edb:;<=>?0120b7d?3g1a?gjl89:;<>h;b:`oo567899m9o5mlj234564n?h0nae?01237c1e3kf`<=>?02d;f>dkc9:;<==i9c9ahn6789:8jll4bmi34567;ohi7obd012346`dj2hgg=>?011e`g=edb:;<=>?012747d?411a?gjl89:;<9>;b:`oo56789>;9o5mlj2345638?h0nae?0123051e3kf`<=>?052;f>dkc9:;<=:?9c9ahn6789:??0163`g=edb:;<=>;0d`8fim789:;8=hm;cnh45678=;;n6lck12345269k1i`f>?012757d?401a?gjl89:;<9?;b:`oo56789>:9o5mlj2345639?h0nae?0123041e3kf`<=>?053;f>dkc9:;<=:>9c9ahn6789:?=ll4bmi34567<8hi7obd0123417dj2hgg=>?0162`g=edb:;<=>;1d`8fim789:;8?012767d?431a?gjl89:;<9<;b:`oo56789>99o5mlj234563:?h0nae?0123071e3kf`<=>?050;f>dkc9:;<=:=9c9ahn6789:?>ll4bmi34567<;hi7obd0123414dj2hgg=>?0161`g=edb:;<=>;2d`8fim789:;8?hm;cnh45678=9;n6lck12345249k1i`f>?012777d?421a?gjl89:;<9=;b:`oo56789>89o5mlj234563;?h0nae?0123061e3kf`<=>?051;f>dkc9:;<=:<9c9ahn6789:??ll4bmi34567<:hi7obd0123415dj2hgg=>?0160`g=edb:;<=>;3d`8fim789:;8>hm;cnh45678=>;n6lck12345239k1i`f>?012707d?451a?gjl89:;<9:;b:`oo56789>?9o5mlj234563?056;f>dkc9:;<=:;9c9ahn6789:?8ll4bmi34567<=hi7obd0123412dj2hgg=>?0167`g=edb:;<=>;4d`8fim789:;89hm;cnh45678=?;n6lck12345229k1i`f>?012717d?441a?gjl89:;<9;;b:`oo56789>>9o5mlj234563=?h0nae?0123001e3kf`<=>?057;f>dkc9:;<=::9c9ahn6789:?9ll4bmi34567<?0166`g=edb:;<=>;5d`8fim789:;88hm;cnh45678=<;n6lck12345219k1i`f>?012727d?471a?gjl89:;<98;b:`oo56789>=9o5mlj234563>?h0nae?0123031e3kf`<=>?054;f>dkc9:;<=:99c9ahn6789:?:ll4bmi34567?0165`g=edb:;<=>;6d`8fim789:;8;hm;cnh45678==;n6lck12345209k1i`f>?012737d?461a?gjl89:;<99;b:`oo56789><9o5mlj234563??h0nae?0123021e3kf`<=>?055;f>dkc9:;<=:89c9ahn6789:?;ll4bmi34567<>hi7obd0123411dj2hgg=>?0164`g=edb:;<=>;7d`8fim789:;8:hm;cnh45678=2;n6lck123452?9k1i`f>?0127<7d?491a?gjl89:;<96;b:`oo56789>39o5mlj2345630?h0nae?01230=1e3kf`<=>?05:;f>dkc9:;<=:79c9ahn6789:?4ll4bmi34567<1hi7obd012341>dj2hgg=>?016;`g=edb:;<=>;8d`8fim789:;85hm;cnh45678=3;n6lck123452>9k1i`f>?0127=7d?481a?gjl89:;<97;b:`oo56789>29o5mlj2345631?h0nae?01230<1e3kf`<=>?05;;f>dkc9:;<=:69c9ahn6789:?5ll4bmi34567<0hi7obd012341?dj2hgg=>?016:`g=edb:;<=>;9d`8fim789:;84hm;cnh45678=k;n6lck123452f9k1i`f>?0127e7d?4`1a?gjl89:;<9o;b:`oo56789>j9o5mlj234563i?h0nae?01230d1e3kf`<=>?05c;f>dkc9:;<=:n9c9ahn6789:?mll4bmi34567?016b`g=edb:;<=>;ad`8fim789:;8lhm;cnh45678=h;n6lck123452e9k1i`f>?0127f7d?4c1a?gjl89:;<9l;b:`oo56789>i9o5mlj234563j?h0nae?01230g1e3kf`<=>?05`;f>dkc9:;<=:m9c9ahn6789:?nll4bmi34567?016a`g=edb:;<=>;bd`8fim789:;8ohm;cnh45678=i;n6lck123452d9k1i`f>?0127g7d?4b1a?gjl89:;<9m;b:`oo56789>h9o5mlj234563k?h0nae?01230f1e3kf`<=>?05a;f>dkc9:;<=:l9c9ahn6789:?oll4bmi34567?016``g=edb:;<=>;cd`8fim789:;8nhm;cnh45678=n;n6lck123452c9k1i`f>?0127`7d?4e1a?gjl89:;<9j;b:`oo56789>o9o5mlj234563l?h0nae?01230a1e3kf`<=>?05f;f>dkc9:;<=:k9c9ahn6789:?hll4bmi34567?016g`g=edb:;<=>;dd`8fim789:;8ihm;cnh45678=o;n6lck123452b9k1i`f>?0127a7d?4d1a?gjl89:;<9k;b:`oo56789>n9o5mlj234563m?h0nae?01230`1e3kf`<=>?05g;f>dkc9:;<=:j9c9ahn6789:?ill4bmi34567?016f`g=edb:;<=>;ed`8fim789:;8hhm;cnh45678=l;n6lck123452a9k1i`f>?0127b7d?4g1a?gjl89:;<9h;b:`oo56789>m9o5mlj234563n?h0nae?01230c1e3kf`<=>?05d;f>dkc9:;<=:i9c9ahn6789:?jll4bmi34567?016e`g=edb:;<=>;fd`8fim789:;8khm;cnh45678<:;n6lck12345379k1i`f>?012647d?511a?gjl89:;<8>;b:`oo56789?;9o5mlj2345628?h0nae?0123151e3kf`<=>?042;f>dkc9:;<=;?9c9ahn6789:>?0173`g=edb:;<=>:0d`8fim789:;9=hm;cnh45678<;;n6lck12345369k1i`f>?012657d?501a?gjl89:;<8?;b:`oo56789?:9o5mlj2345629?h0nae?0123141e3kf`<=>?043;f>dkc9:;<=;>9c9ahn6789:>=ll4bmi34567=8hi7obd0123407dj2hgg=>?0172`g=edb:;<=>:1d`8fim789:;9?012667d?531a?gjl89:;<8<;b:`oo56789?99o5mlj234562:?h0nae?0123171e3kf`<=>?040;f>dkc9:;<=;=9c9ahn6789:>>ll4bmi34567=;hi7obd0123404dj2hgg=>?0171`g=edb:;<=>:2d`8fim789:;9?hm;cnh45678<9;n6lck12345349k1i`f>?012677d?521a?gjl89:;<8=;b:`oo56789?89o5mlj234562;?h0nae?0123161e3kf`<=>?041;f>dkc9:;<=;<9c9ahn6789:>?ll4bmi34567=:hi7obd0123405dj2hgg=>?0170`g=edb:;<=>:3d`8fim789:;9>hm;cnh45678<>;n6lck12345339k1i`f>?012607d?551a?gjl89:;<8:;b:`oo56789??9o5mlj234562?046;f>dkc9:;<=;;9c9ahn6789:>8ll4bmi34567==hi7obd0123402dj2hgg=>?0177`g=edb:;<=>:4d`8fim789:;99hm;cnh45678?012617d?541a?gjl89:;<8;;b:`oo56789?>9o5mlj234562=?h0nae?0123101e3kf`<=>?047;f>dkc9:;<=;:9c9ahn6789:>9ll4bmi34567=?0176`g=edb:;<=>:5d`8fim789:;98hm;cnh45678<<;n6lck12345319k1i`f>?012627d?571a?gjl89:;<88;b:`oo56789?=9o5mlj234562>?h0nae?0123131e3kf`<=>?044;f>dkc9:;<=;99c9ahn6789:>:ll4bmi34567=?hi7obd0123400dj2hgg=>?0175`g=edb:;<=>:6d`8fim789:;9;hm;cnh45678<=;n6lck12345309k1i`f>?012637d?561a?gjl89:;<89;b:`oo56789?<9o5mlj234562??h0nae?0123121e3kf`<=>?045;f>dkc9:;<=;89c9ahn6789:>;ll4bmi34567=>hi7obd0123401dj2hgg=>?0174`g=edb:;<=>:7d`8fim789:;9:hm;cnh45678<2;n6lck123453?9k1i`f>?0126<7d?591a?gjl89:;<86;b:`oo56789?39o5mlj2345620?h0nae?01231=1e3kf`<=>?04:;f>dkc9:;<=;79c9ahn6789:>4ll4bmi34567=1hi7obd012340>dj2hgg=>?017;`g=edb:;<=>:8d`8fim789:;95hm;cnh45678<3;n6lck123453>9k1i`f>?0126=7d?581a?gjl89:;<87;b:`oo56789?29o5mlj2345621?h0nae?01231<1e3kf`<=>?04;;f>dkc9:;<=;69c9ahn6789:>5ll4bmi34567=0hi7obd012340?dj2hgg=>?017:`g=edb:;<=>:9d`8fim789:;94hm;cnh45678?0126e7d?5`1a?gjl89:;<8o;b:`oo56789?j9o5mlj234562i?h0nae?01231d1e3kf`<=>?04c;f>dkc9:;<=;n9c9ahn6789:>mll4bmi34567=hhi7obd012340gdj2hgg=>?017b`g=edb:;<=>:ad`8fim789:;9lhm;cnh45678?0126f7d?5c1a?gjl89:;<8l;b:`oo56789?i9o5mlj234562j?h0nae?01231g1e3kf`<=>?04`;f>dkc9:;<=;m9c9ahn6789:>nll4bmi34567=khi7obd012340ddj2hgg=>?017a`g=edb:;<=>:bd`8fim789:;9ohm;cnh45678?0126g7d?5b1a?gjl89:;<8m;b:`oo56789?h9o5mlj234562k?h0nae?01231f1e3kf`<=>?04a;f>dkc9:;<=;l9c9ahn6789:>oll4bmi34567=jhi7obd012340edj2hgg=>?017``g=edb:;<=>:cd`8fim789:;9nhm;cnh45678?0126`7d?5e1a?gjl89:;<8j;b:`oo56789?o9o5mlj234562l?h0nae?01231a1e3kf`<=>?04f;f>dkc9:;<=;k9c9ahn6789:>hll4bmi34567=mhi7obd012340bdj2hgg=>?017g`g=edb:;<=>:dd`8fim789:;9ihm;cnh45678?0126a7d?5d1a?gjl89:;<8k;b:`oo56789?n9o5mlj234562m?h0nae?01231`1e3kf`<=>?04g;f>dkc9:;<=;j9c9ahn6789:>ill4bmi34567=lhi7obd012340cdj2hgg=>?017f`g=edb:;<=>:ed`8fim789:;9hhm;cnh45678?0126b7d?5g1a?gjl89:;<8h;b:`oo56789?m9o5mlj234562n?h0nae?01231c1e3kf`<=>?04d;f>dkc9:;<=;i9c9ahn6789:>jll4bmi34567=ohi7obd012340`dj2hgg=>?017e`g=edb:;<=>:fd`8fim789:;9khm;cnh45678?:;n6lck12345079k1i`f>?012547d?611a?gjl89:;<;>;b:`oo56789<;9o5mlj2345618?h0nae?0123251e3kf`<=>?072;f>dkc9:;<=8?9c9ahn6789:=9hi7obd0123436dj2hgg=>?0143`g=edb:;<=>90d`8fim789:;:=hm;cnh45678?;;n6lck12345069k1i`f>?012557d?601a?gjl89:;<;?;b:`oo56789<:9o5mlj2345619?h0nae?0123241e3kf`<=>?073;f>dkc9:;<=8>9c9ahn6789:==ll4bmi34567>8hi7obd0123437dj2hgg=>?0142`g=edb:;<=>91d`8fim789:;:?012567d?631a?gjl89:;<;<;b:`oo56789<99o5mlj234561:?h0nae?0123271e3kf`<=>?070;f>dkc9:;<=8=9c9ahn6789:=>ll4bmi34567>;hi7obd0123434dj2hgg=>?0141`g=edb:;<=>92d`8fim789:;:?hm;cnh45678?9;n6lck12345049k1i`f>?012577d?621a?gjl89:;<;=;b:`oo56789<89o5mlj234561;?h0nae?0123261e3kf`<=>?071;f>dkc9:;<=8<9c9ahn6789:=?ll4bmi34567>:hi7obd0123435dj2hgg=>?0140`g=edb:;<=>93d`8fim789:;:>hm;cnh45678?>;n6lck12345039k1i`f>?012507d?651a?gjl89:;<;:;b:`oo56789?076;f>dkc9:;<=8;9c9ahn6789:=8ll4bmi34567>=hi7obd0123432dj2hgg=>?0147`g=edb:;<=>94d`8fim789:;:9hm;cnh45678??;n6lck12345029k1i`f>?012517d?641a?gjl89:;<;;;b:`oo56789<>9o5mlj234561=?h0nae?0123201e3kf`<=>?077;f>dkc9:;<=8:9c9ahn6789:=9ll4bmi34567>ehmoUoec&>0(a8gjcaWmce$$m4cnge[aoi 89"o6m`eg]gmk.6< i0obki_ekm,43.k2idikQkio*22,eehmoUoec&=)c9`k``Xl`d#?$l4cnge[aoi =#i7najf^fjj-3.j2idikQkio*5-g=dgllThd`'7(`8gjcaWmce$5'm;bmfbZbnf!3"n6m`eg]gmk:76j1hchhPdhl?558d3jenjRjfn=32:f=dgllThd`313<`?fibnVnbb1?<>b9`k``Xl`d7=90l;bmfbZbnf5;>2n5lodd\`lh;9?4n7najf^fjj970294h7najf^fjj9706k1hchhPdhl?5;dehmoUoec27>c9`k``Xl`d753?=;bmfbZ`nd}oyS~kc(1+20>ehmoUmeazjr^qfh96=87=0obki_mf4?fibnV}nm6jnt`]ueioc>2nbb%>&6:fjj-7.?2nbb%??)69gmk.69 =0hd`'13+4?aoi 89";6jfn)37-2=cag":9$94dhl+53/03mce$<9&7:fjj-7?!>1oec&>9(48`lh/: =0hd`'21+4?aoi ;;";6jfn)01-2=cag"9?$84dhl+7,0>4?>99gmkY6 9#37iga_0*2-<=cagU:$<>&9:fjjZ7/98#27iga_0*26,?"56jfn^3+50/>3mceS<&>6(;8`lhX9!;<%45kio]2,4>.12nbbR?'18+;?aoiW8"9%45kio]2,76.12nbbR?'20+:?aoiW8"9>$74dhl\5-44!11oecQ>(2+;?aoiW8"?%55kio]2,0/?3mceS<&9)99gmkY6 >#37iga_0*;-==cagU:$4'6;ekm[46/8 30hd`P11*2-d=cagU:<%??)`9gmkY68!;:%l5kio]24-75!h1oecQ>0)30-d=cagU:<%?;)`9gmkY68!;>%l5kio]24-71!h1oecQ>0)34-d=cagU:<%?7)`9gmkY68!;2%45kio]24-4.i2nbbR??(32*e>bnfV;;$??&a:fjjZ77 ;8"m6jfn^33,75.12nbbR??(2+:?aoiW8:#8$74dhl\55.2!01oecQ>0)4*=>bnfV;;$:'6;ekm[46/0 30hd`P11*:-f=cagU:<1<<:1<:?aoiW8;#<$74dhl\54.6!h1oecQ>1)33-d=cagU:=%?>)`9gmkY69!;9%l5kio]25-74!h1oecQ>1)37-d=cagU:=%?:)`9gmkY69!;=%l5kio]25-70!h1oecQ>1)3;-d=cagU:=%?6)89gmkY69!8"m6jfn^32,76.i2nbbR?>(33*e>bnfV;:$?<&a:fjjZ76 ;9"56jfn^32,6/>3mceS%45kio]25-0.12nbbR?>(6+:?aoiW8;#4$74dhl\54.>!j1oecQ>1=00>58>3mceS<<'0(;8`lhX9;":%l5kio]26-77!h1oecQ>2)32-d=cagU:>%?=)`9gmkY6:!;8%l5kio]26-73!h1oecQ>2)36-d=cagU:>%?9)`9gmkY6:!;<%l5kio]26-7?!h1oecQ>2)3:-<=cagU:>%<&a:fjjZ75 ;:"m6jfn^31,77.i2nbbR?=(30*e>bnfV;9$?=&9:fjjZ75 :#27iga_00+0,?3mceS<<'8(;8`lhX9;"2%n5kio]2694429427iga_01+4,?&>)`9gmkY6;!;;%l5kio]27-76!h1oecQ>3)31-d=cagU:?%?<)`9gmkY6;!;?%l5kio]27-72!h1oecQ>3)35-d=cagU:?%?8)`9gmkY6;!;3%l5kio]27-7>!01oecQ>3)0*e>bnfV;8$?>&a:fjjZ74 ;;"m6jfn^30,74.i2nbbR?<(31*=>bnfV;8$>'6;ekm[45/< 30hd`P12*6-<=cagU:?%8&9:fjjZ74 >#27iga_01+<,?&6)b9gmkY6;5886=06;ekm[42/8 30hd`P15*2-d=cagU:8%??)`9gmkY64)30-d=cagU:8%?;)`9gmkY6%l5kio]20-71!h1oecQ>4)34-d=cagU:8%?7)`9gmkY6bnfV;?$??&a:fjjZ73 ;8"m6jfn^37,75.12nbbR?;(2+:?aoiW8>#8$74dhl\51.2!01oecQ>4)4*=>bnfV;?$:'6;ekm[42/0 30hd`P15*:-f=cagU:81<<:1<:?aoiW8?#<$74dhl\50.6!h1oecQ>5)33-d=cagU:9%?>)`9gmkY6=!;9%l5kio]21-74!h1oecQ>5)37-d=cagU:9%?:)`9gmkY6=!;=%l5kio]21-70!h1oecQ>5)3;-d=cagU:9%?6)89gmkY6=!8"m6jfn^36,76.i2nbbR?:(33*e>bnfV;>$?<&a:fjjZ72 ;9"56jfn^36,6/>3mceS<;'4(;8`lhX9<">%45kio]21-0.12nbbR?:(6+:?aoiW8?#4$74dhl\50.>!j1oecQ>5=00>58>3mceS<8'0(;8`lhX9?":%l5kio]22-77!h1oecQ>6)32-d=cagU::%?=)`9gmkY6>!;8%l5kio]22-73!h1oecQ>6)36-d=cagU::%?9)`9gmkY6>!;<%l5kio]22-7?!h1oecQ>6)3:-<=cagU::%<&a:fjjZ71 ;:"m6jfn^35,77.i2nbbR?9(30*e>bnfV;=$?=&9:fjjZ71 :#27iga_04+0,?!<"56jfn^35,2/>3mceS<8'8(;8`lhX9?"2%n5kio]2294429437iga_0>3:<=cagU:0<>19:fjjZ7;98427iga_0>26;?89gmkY648>556jfn^3?508>3mceS<2>6?;8`lhX95;<245kio]284>912nbbR?318<;?aoiW86:245kio]2876912nbbR?320<:?aoiW869>3l4dhl\594429427iga_0>17;>bnfV;79364dhl\590902nbbR?37?:8`lhX952546jfn^3?=;>%>&8:fjjZ4/9 30hd`P2)33-<=cagU9$%?;)89gmkY5 8?"56jfn^0+53/>3mceS?&>7(;8`lhX:!;3%45kio]1,4?.02nbbR<'2(;8`lhX:!8;%45kio]1,77.12nbbR<'23+:?aoiW;"9?$64dhl\6-5.02nbbR<'4(:8`lhX:!?"46jfn^0+2,>%9&8:fjjZ4/0 20hd`P2);*<>bnfV87<374dhl\6977601oecQ=<03==>bnfV87=?06;ekm[7:6;730hd`P2=37:<=cagU90<;19:fjjZ4;9?427iga_3>23;?1?7>89gmkY5483546jfn^0?5;?189gmkY54;;556jfn^0?678e3mceS?2=3;2==>bnfV87>>07;ekm[7:5611oecQ=<2<;?aoiW;6?255kio]1808?3mceS?29>99gmkY54>437iga_3>;:==cagU90407;ekm[6.7!11oecQ<(0+:?aoiW:":<$74dhl\7-76!01oecQ<(00*=>bnfV9#=>'6;ekm[6.6< 30hd`P3)36-<=cagU8$<8&9:fjjZ5/9>#27iga_2*2<,?)89gmkY4 ;8"56jfn^1+66/?3mceS>&<)99gmkY4 =#37iga_2*6-==cagU8$;'7;ekm[6.0!11oecQ<(9+;?aoiW:"2%o5kio]0875=8720hd`P4)2*<>bnfV>#=$74dhl\0-77!01oecQ;(03*=>bnfV>#=?'6;ekm[1.6; 30hd`P4)37-<=cagU?$<;&9:fjjZ2/9?#27iga_5*23,?3mceS9&=3(:8`lhX 20hd`P4)5*<>bnfV>#4$64dhl\0-?.j2nbbR:32283:==cagU>$='7;ekm[0.6!01oecQ:(02*=>bnfV?#=<'6;ekm[0.6: 30hd`P5)30-<=cagU>$<:&9:fjjZ3/9<#27iga_4*22,?3mceS8&=2(;8`lhX=!88%55kio]6,6/?3mceS8&;)99gmkY2 <#37iga_4*5-==cagU>$:'7;ekm[0.?!11oecQ:(8+a?aoiW<69?7>18:fjjZ0/8 20hd`P6)3*=>bnfV<#=='6;ekm[3.69 30hd`P6)31-<=cagU=$<=&9:fjjZ0/9=#27iga_7*21,?3mceS;&=1(;8`lhX>!89%45kio]5,75.02nbbR8'3(:8`lhX>!>"46jfn^4+1,>bnfV<#5$l4dhl\294429437iga_6*3-==cagU<$<'6;ekm[2.68 30hd`P7)32-<=cagU<$<<&9:fjjZ1/9:#27iga_6*20,?3mceS:&>8(;8`lhX?!;2%55kio]4,7/>3mceS:&=0(;8`lhX?!8:%45kio]4,74.12nbbR9'22+;?aoiW>"8%55kio]4,1/?3mceS:&:)99gmkY0 ?#37iga_6*4-==cagU<$5'7;ekm[2.>!k1oecQ8<3194;>&8:fjjZ>/9 30hd`P8)33-<=cagU3$/9;#27iga_9*27,?3mceS5&>7(;8`lhX0!;3%45kio];,4?.02nbbR6'2(;8`lhX0!8;%45kio];,77.12nbbR6'23+:?aoiW1"9?$64dhl\<-5.02nbbR6'4(:8`lhX0!?"46jfn^:+2,>/0 20hd`P8);*f>bnfV27>>4?>99gmkY> 9#37iga_8*2-<=cagU2$<>&9:fjjZ?/98#27iga_8*26,? 8>"56jfn^;+50/>3mceS4&>6(;8`lhX1!;<%45kio]:,4>.12nbbR7'18+;?aoiW0"9%45kio]:,76.12nbbR7'20+:?aoiW0"9>$74dhl\=-44!11oecQ6(2+;?aoiW0"?%55kio]:,0/?3mceS4&9)99gmkY> >#37iga_8*;-==cagU2$4'm;ekm[<:5;3:5;6j`uu*3-2=cg|~#=$64dnww,46.02ndyy&>1(:8`jss 88"46j`uu*27,>bh}}":;$64dnww,4>.02ndyy&>9(58`jss ;#37iazt)03-==cg|~#><'7;emvp-45!11ocxz'22+;?air|!8?%55kotv+60/?3me~x%<9)99gkpr/:>#37iazt)0;-==cg|~#>4'8;emvp-5.02ndyy&<0(:8`jss :;"46j`uu*06,>=&8:flqq.4< 20hb{{(27*<>bh}}"8:$64dnww,61.02ndyy&<8(:8`jss :3";6j`uu*7-==cg|~#8='7;emvp-26!11ocxz'43+;?air|!>8%55kotv+01/?3me~x%::)99gkpr/1ocxz'9(c8`jss4==1<374dnww[4.7!01ocxzP1)3*e>bh}}U:$<>&a:flqqY6 8;"m6j`uu]2,44.i2ndyyQ>(01*e>bh}}U:$<:&a:flqqY6 8?"m6j`uu]2,40.i2ndyyQ>(05*e>bh}}U:$<6&a:flqqY6 83"56j`uu]2,7/f3me~xR?'21+b?air|V;#><'n;emvpZ7/:;#j7iazt^3+66/f3me~xR?'25+b?air|V;#>8'n;emvpZ7/:?#j7iazt^3+62/f3me~xR?'29+b?air|V;#>4'6;emvpZ7/; k0hb{{_0*04,g$o4dnww[4.4; k0hb{{_0*00,g8%l5kotv\5-23!h1ocxzP1)66-d=cg|~T=%:9)`9gkprX9!><%45kotv\5-3.12ndyyQ>(7+:?air|V;#;$74dnww[4.?!01ocxzP1);*e>bh}}U:<%>&a:flqqY68!;"n6j`uu]24-77!k1ocxzP11*25,d'13+a?air|V;;$<=&b:flqqY68!;?%o5kotv\55.6= h0hb{{_02+53/e3me~xR??(05*f>bh}}U:<%?7)c9gkprX99":5$o4dnww[46/: h0hb{{_02+65/e3me~xR??(33*f>bh}}U:<%<=)c9gkprX99"9?$l4dnww[46/:=#i7iazt^33,73.j2ndyyQ>0)05-g=cg|~T==&=7(`8`jssW8:#>5'm;emvpZ77 ;3"m6j`uu]24-5.j2ndyyQ>0)13-g=cg|~T==&<1(`8`jssW8:#??'m;emvpZ77 :9"n6j`uu]24-53!k1ocxzP11*01,d'37+a?air|V;;$>9&b:flqqY68!93%o5kotv\55.41 k0hb{{_02+0,d'41+a?air|V;;$9?&b:flqqY68!>9%o5kotv\55.3; h0hb{{_02+01/e3me~xR??(57*f>bh}}U:<%:9)c9gkprX99"?;$o4dnww[46/= k0hb{{_02+2,g'7(c8`jssW8:#4$o4dnww[46/1 n0hb{{_02?02<76h1ocxzP10*3-d=cg|~T=<&>)c9gkprX98":<$l4dnww[47/98#i7iazt^32,44.j2ndyyQ>1)30-g=cg|~T=<&>4(`8`jssW8;#=8'm;emvpZ76 8<"n6j`uu]25-70!k1ocxzP10*2<,d%o5kotv\54.5> h0hb{{_03+62/e3me~xR?>(3:*f>bh}}U:=%<6)`9gkprX98"8%o5kotv\54.48 h0hb{{_03+74/e3me~xR?>(20*f>bh}}U:=%=<)c9gkprX98"88$l4dnww[47/;<#i7iazt^32,60.j2ndyyQ>1)14-g=cg|~T=<&<8(`8`jssW8;#?4'n;emvpZ76 =#i7iazt^32,16.j2ndyyQ>1)62-g=cg|~T=<&;2(`8`jssW8;#8>'m;emvpZ76 =>"n6j`uu]25-22!k1ocxzP10*72,d(9+b?air|V;:$4'k;emvpZ764==1<3o4dnww[44/8 k0hb{{_00+5,dbh}}U:>%?9)c9gkprX9;":;$l4dnww[44/91#i7iazt^31,4?.i2ndyyQ>2)0*f>bh}}U:>%2)07-g=cg|~T=?&=5(`8`jssW88#>;'m;emvpZ75 ;="n6j`uu]26-4?!k1ocxzP13*1=,g;&b:flqqY6:!9=%o5kotv\57.4? h0hb{{_00+7=/e3me~xR?=(2;*e>bh}}U:>%:&b:flqqY6:!>;%o5kotv\57.39 h0hb{{_00+07/e3me~xR?=(51*f>bh}}U:>%:;)c9gkprX9;"?9$l4dnww[44/2)7*e>bh}}U:>%8&a:flqqY6:!="m6j`uu]26->.i2ndyyQ>2);*`>bh}}U:>1:8:13)32-g=cg|~T=>&>2(`8`jssW89#=>'m;emvpZ74 8>"n6j`uu]27-72!k1ocxzP12*22,dbh}}U:?%<8)c9gkprX9:"94$l4dnww[45/:0#j7iazt^30,6/e3me~xR?<(22*f>bh}}U:?%=>)c9gkprX9:"8>$l4dnww[45/;:#i7iazt^30,62.j2ndyyQ>3)16-g=cg|~T=>&<6(`8`jssW89#?:'m;emvpZ74 :2"n6j`uu]27-5>!h1ocxzP12*7-g=cg|~T=>&;0(`8`jssW89#8<'m;emvpZ74 =8"n6j`uu]27-24!k1ocxzP12*70,d<%l5kotv\56.2!h1ocxzP12*5-d=cg|~T=>&8)`9gkprX9:"3%l5kotv\56.>!m1ocxzP12>73?69i2ndyyQ>4)2*e>bh}}U:8%?&b:flqqY6bh}}U:8%?;)c9gkprX9=":9$l4dnww[42/9?#i7iazt^37,41.j2ndyyQ>4)3;-g=cg|~T=9&>9(c8`jssW8>#>$l4dnww[42/:9#i7iazt^37,77.j2ndyyQ>4)01-g=cg|~T=9&=3(`8`jssW8>#>9'm;emvpZ73 ;?"n6j`uu]20-41!k1ocxzP15*13,d=&b:flqqY6bh}}U:8%=7)c9gkprX9="85$o4dnww[42/< h0hb{{_06+05/e3me~xR?;(53*f>bh}}U:8%:=)c9gkprX9="??$l4dnww[42/<=#i7iazt^37,13.j2ndyyQ>4)65-g=cg|~T=9&;7(c8`jssW8>#9$o4dnww[42/> k0hb{{_06+3,g#5$j4dnww[42;<>0;2l5kotv\50.7!h1ocxzP14*2-g=cg|~T=8&>0(`8`jssW8?#=<'m;emvpZ72 88"n6j`uu]21-74!k1ocxzP14*20,d$<8&b:flqqY6=!;<%o5kotv\50.60 h0hb{{_07+5$?>&b:flqqY6=!8:%o5kotv\50.5: h0hb{{_07+66/e3me~xR?:(36*f>bh}}U:9%<:)c9gkprX9<"9:$l4dnww[43/:>#i7iazt^36,7>.j2ndyyQ>5)0:-d=cg|~T=8&<)c9gkprX9<"8<$l4dnww[43/;8#i7iazt^36,64.j2ndyyQ>5)10-g=cg|~T=8&<4(`8`jssW8?#?8'm;emvpZ72 :<"n6j`uu]21-50!k1ocxzP14*0<,d$9'm;emvpZ72 =:"n6j`uu]21-26!k1ocxzP14*76,d$9:&b:flqqY6=!>>%o5kotv\50.3> h0hb{{_07+02/f3me~xR?:(4+b?air|V;>$;'n;emvpZ72 >#j7iazt^36,=/f3me~xR?:(8+g?air|V;>09950?c8`jssW8<#<$o4dnww[40/9 h0hb{{_04+55/e3me~xR?9(03*f>bh}}U::%?=)c9gkprX9?":?$l4dnww[40/9=#i7iazt^35,43.j2ndyyQ>6)35-g=cg|~T=;&>7(`8`jssW8<#=5'm;emvpZ71 83"m6j`uu]22-4.j2ndyyQ>6)03-g=cg|~T=;&=1(`8`jssW8<#>?'m;emvpZ71 ;9"n6j`uu]22-43!k1ocxzP17*11,d!83%o5kotv\53.51 k0hb{{_04+7,d?&b:flqqY6>!99%o5kotv\53.4; h0hb{{_04+71/e3me~xR?9(27*f>bh}}U::%=9)c9gkprX9?"8;$l4dnww[40/;1#i7iazt^35,6?.i2ndyyQ>6)6*f>bh}}U::%:?)c9gkprX9?"?=$l4dnww[40/<;#i7iazt^35,15.j2ndyyQ>6)67-g=cg|~T=;&;5(`8`jssW8<#8;'m;emvpZ71 =="m6j`uu]22-3.i2ndyyQ>6)4*e>bh}}U::%9&a:flqqY6>!2"m6j`uu]22-?.l2ndyyQ>6=64>58>3me~xR?30?c8`jssW86:<3o4dnww[4:697k0hb{{_0>26;g3?c8`jssW86:83o4dnww[4:6=7k0hb{{_0>22;g7?c8`jssW86:43o4dnww[4:61730hb{{_0>2:d=cg|~T=1`9gkprX958:2l5kotv\59456h1ocxzP1=00:d=cg|~T=1<;>`9gkprX958>2l5kotv\59416h1ocxzP1=04:d=cg|~T=1<7>`9gkprX9582245kotv\5949i2ndyyQ><22=e>bh}}U:0>?1a:flqqY64:85m6j`uu]28659i2ndyyQ><26=e>bh}}U:0>;1a:flqqY64:<5m6j`uu]28619i2ndyyQ><2:=e>bh}}U:0>719:flqqY64:4j7iazt^3?058f3me~xR?3400;2l5kotv\5920601ocxzP1=6==>bh}}U:0806;emvpZ7;>730hb{{_0>4:<=cg|~T=1619:flqqY640427iazt^0+4,?)`9gkprX:!;;%l5kotv\6-76!h1ocxzP2)31-d=cg|~T>%?<)`9gkprX:!;?%l5kotv\6-72!h1ocxzP2)35-d=cg|~T>%?8)`9gkprX:!;3%l5kotv\6-7>!01ocxzP2)0*e>bh}}U9$?>&a:flqqY5 ;;"m6j`uu]1,74.i2ndyyQ=(31*e>bh}}U9$?:&a:flqqY5 ;?"m6j`uu]1,70.i2ndyyQ=(35*e>bh}}U9$?6&a:flqqY5 ;3"56j`uu]1,6/f3me~xR<'31+b?air|V8#?<'n;emvpZ4/;;#j7iazt^0+76/f3me~xR<'35+b?air|V8#?8'n;emvpZ4/;?#j7iazt^0+72/f3me~xR<'39+b?air|V8#?4'6;emvpZ4/< k0hb{{_3*74,g$o4dnww[7.3; k0hb{{_3*70,g%8&9:flqqY5 >#27iazt^0+<,?bh}}U90<<1a:flqqY54895m6j`uu]18429i2ndyyQ=<07=e>bh}}U90<81a:flqqY548=5m6j`uu]184>9i2ndyyQ=<0;==>bh}}U90<0n;emvpZ4;:94j7iazt^0?648f3me~xR<323>0n;emvpZ4;:=4j7iazt^0?608f3me~xR<327:0n;emvpZ4;:14j7iazt^0?6<8>3me~xR<32?c8`jssW;68<3o4dnww[7:497k0hb{{_3>06;g02;g0:d=cg|~T>1:?>`9gkprX:5>:2l5kotv\69256h1ocxzP2=60:d=cg|~T>1:;>`9gkprX:5>>2l5kotv\69216j1ocxzP2=64>58f3me~xR<346<:?air|V878374dnww[7:2601ocxzP2=4==>bh}}U90:06;emvpZ4;0730hb{{_3>::<=cg|~T?%>&9:flqqY4 8#j7iazt^1+55/f3me~xR='10+b?air|V9#=?'n;emvpZ5/9:#j7iazt^1+51/f3me~xR='14+b?air|V9#=;'n;emvpZ5/9>#j7iazt^1+5=/f3me~xR='18+:?air|V9#>$o4dnww[6.58 k0hb{{_2*15,g&=2(c8`jssW:"9?$o4dnww[6.5< k0hb{{_2*11,g&=6(c8`jssW:"9;$o4dnww[6.50 k0hb{{_2*1=,?&<)`9gkprX;!9;%l5kotv\7-56!h1ocxzP3)11-d=cg|~T?%=<)`9gkprX;!9?%l5kotv\7-52!h1ocxzP3)15-d=cg|~T?%=8)`9gkprX;!93%l5kotv\7-5>!01ocxzP3)6*e>bh}}U8$9>&a:flqqY4 =;"m6j`uu]0,14.i2ndyyQ<(51*e>bh}}U8$9:&a:flqqY4 =?"m6j`uu]0,10.i2ndyyQ<(55*=>bh}}U8$8'6;emvpZ5/> 30hb{{_2*4-<=cg|~T?%6&9:flqqY4 0#h7iazt^1?02<7601ocxzP4)2*=>bh}}U?$<'n;emvpZ2/99#j7iazt^6+54/f3me~xR:'13+b?air|V>#=>'n;emvpZ2/9=#j7iazt^6+50/f3me~xR:'17+b?air|V>#=:'n;emvpZ2/91#j7iazt^6+53me~xR:'2(c8`jssW="9<$o4dnww[1.59 k0hb{{_5*16,g%l5kotv\0-51!h1ocxzP4)14-d=cg|~T8%=7)`9gkprXbh}}U?$9?&a:flqqY3 =8"m6j`uu]7,15.i2ndyyQ;(56*e>bh}}U?$9;&a:flqqY3 =<"m6j`uu]7,11.12ndyyQ;(4+:?air|V>#:$74dnww[1.0!01ocxzP4):*=>bh}}U?$4'l;emvpZ2;<>0;245kotv\1-6.12ndyyQ:(0+b?air|V?#=='n;emvpZ3/98#j7iazt^7+57/f3me~xR;'12+b?air|V?#=9'n;emvpZ3/9<#j7iazt^7+53/f3me~xR;'16+b?air|V?#=5'n;emvpZ3/90#27iazt^7+6,g k0hb{{_4*13,g)`9gkprX=!99%l5kotv\1-54!h1ocxzP5)17-d=cg|~T9%=:)`9gkprX=!9=%l5kotv\1-50!h1ocxzP5)1;-d=cg|~T9%=6)89gkprX=!>"m6j`uu]6,16.i2ndyyQ:(53*e>bh}}U>$9<&a:flqqY2 =9"m6j`uu]6,12.i2ndyyQ:(57*e>bh}}U>$98&a:flqqY2 =="56j`uu]6,0/>3me~xR;'6(;8`jssW<"<%45kotv\1->.12ndyyQ:(8+`?air|V?78:4?>89gkprX>!:"56j`uu]5,4/f3me~xR8'11+b?air|V<#=<'n;emvpZ0/9;#j7iazt^4+56/f3me~xR8'15+b?air|V<#=8'n;emvpZ0/9?#j7iazt^4+52/f3me~xR8'19+b?air|V<#=4'6;emvpZ0/: k0hb{{_7*14,g$o4dnww[3.5; k0hb{{_7*10,g!98%l5kotv\2-53!h1ocxzP6)16-d=cg|~T:%=9)`9gkprX>!9<%l5kotv\2-5?!h1ocxzP6)1:-<=cg|~T:%:&a:flqqY1 =:"m6j`uu]5,17.i2ndyyQ9(50*e>bh}}U=$9=&a:flqqY1 =>"m6j`uu]5,13.i2ndyyQ9(54*e>bh}}U=$99&9:flqqY1 <#27iazt^4+2,?!2"56j`uu]5,&9:flqqY0 8#j7iazt^5+55/f3me~xR9'10+b?air|V=#=?'n;emvpZ1/9:#j7iazt^5+51/f3me~xR9'14+b?air|V=#=;'n;emvpZ1/9>#j7iazt^5+5=/f3me~xR9'18+:?air|V=#>$o4dnww[2.58 k0hb{{_6*15,g"9?$o4dnww[2.5< k0hb{{_6*11,g"9;$o4dnww[2.50 k0hb{{_6*1=,?!01ocxzP7)6*e>bh}}U<$9>&a:flqqY0 =;"m6j`uu]4,14.i2ndyyQ8(51*e>bh}}U<$9:&a:flqqY0 =?"m6j`uu]4,10.i2ndyyQ8(55*=>bh}}U<$8'6;emvpZ1/> 30hb{{_6*4-<=cg|~T;%6&9:flqqY0 0#h7iazt^5?02<7601ocxzP8)2*=>bh}}U3$<'n;emvpZ>/99#j7iazt^:+54/f3me~xR6'13+b?air|V2#=>'n;emvpZ>/9=#j7iazt^:+50/f3me~xR6'17+b?air|V2#=:'n;emvpZ>/91#j7iazt^:+53me~xR6'2(c8`jssW1"9<$o4dnww[=.59 k0hb{{_9*16,g%l5kotv\<-51!h1ocxzP8)14-d=cg|~T4%=7)`9gkprX0!92%45kotv\<-2.i2ndyyQ7(52*e>bh}}U3$9?&a:flqqY? =8"m6j`uu];,15.i2ndyyQ7(56*e>bh}}U3$9;&a:flqqY? =<"m6j`uu];,11.12ndyyQ7(4+:?air|V2#:$74dnww[=.0!01ocxzP8):*=>bh}}U3$4'l;emvpZ>;<>0;245kotv\=-6.12ndyyQ6(0+b?air|V3#=='n;emvpZ?/98#j7iazt^;+57/f3me~xR7'12+b?air|V3#=9'n;emvpZ?/9<#j7iazt^;+53/f3me~xR7'16+b?air|V3#=5'n;emvpZ?/90#27iazt^;+6,g k0hb{{_8*13,g)`9gkprX1!99%l5kotv\=-54!h1ocxzP9)17-d=cg|~T5%=:)`9gkprX1!9=%l5kotv\=-50!h1ocxzP9)1;-d=cg|~T5%=6)89gkprX1!>"m6j`uu]:,16.i2ndyyQ6(53*e>bh}}U2$9<&a:flqqY> =9"m6j`uu]:,12.i2ndyyQ6(57*e>bh}}U2$98&a:flqqY> =="56j`uu]:,0/>3me~xR7'6(;8`jssW0"<%45kotv\=->.12ndyyQ6(8+`?air|V378:4?>89gkprXa!:"56j`uu]j,4/f3me~xRg'11+b?air|Vc#=<'n;emvpZo/9;#j7iazt^k+56/f3me~xRg'15+b?air|Vc#=8'n;emvpZo/9?#j7iazt^k+52/f3me~xRg'19+b?air|Vc#=4'6;emvpZo/: k0hb{{_h*14,g$o4dnww[l.5; 30hb{{_h*0-<=cg|~Te%:&9:flqqYn <#27iazt^k+2,?(33*g>bh}}UbS<&=2(a8`jssW`U:$?=&b:flqqYnW8"8%o5kotv\mZ7/< h0hb{{_h]2,0/e3me~xRgP1)4*f>bh}}UbS<&8)c9gkprXaV;#4$l4dnww[lY6 0#h7iazt^k\55.7!j1ocxzPi^33,4/c3me~xRgP11*24,b0)32-a=cg|~TeR??(00*`>bh}}UbS<>'12+g?air|VcT==&>4(f8`jssW`U:<%?:)e9gkprXaV;;$<8&d:flqqYnW8:#=:'k;emvpZoX99":4$j4dnww[lY68!;2%n5kotv\mZ77 ;#o7iazt^k\55.58 n0hb{{_h]24-46!m1ocxzPi^33,74.l2ndyyQf_02+66/d3me~xRgP11*0-f=cg|~TeR??(5+`?air|VcT==&:)b9gkprXaV;;$;'l;emvpZoX99"<%n5kotv\mZ77 1#h7iazt^k\55.>!o1ocxzPi^33875=87i0hb{{_h]25-6.k2ndyyQf_03+5,b1)33-a=cg|~TeR?>(03*`>bh}}UbS3(f8`jssW`U:=%?;)e9gkprXaV;:$<;&d:flqqYnW8;#=;'k;emvpZoX98":;$j4dnww[lY69!;3%i5kotv\mZ76 83"o6j`uu]j[47/: n0hb{{_h]25-47!m1ocxzPi^32,77.l2ndyyQf_03+67/c3me~xRgP10*17,e1)1*g>bh}}UbSbh}}UbS<<'10+g?air|VcT=?&>2(f8`jssW`U:>%?<)e9gkprXaV;9$<:&d:flqqYnW88#=8'k;emvpZoX9;"::$j4dnww[lY6:!;<%i5kotv\mZ75 82"h6j`uu]j[44/90#h7iazt^k\57.5!m1ocxzPi^31,76.l2ndyyQf_00+64/c3me~xRgP13*16,b2)00-f=cg|~TeR?=(2+`?air|VcT=?&;)b9gkprXaV;9$8'l;emvpZoX9;"=%n5kotv\mZ75 >#h7iazt^k\57.?!j1ocxzPi^31,17?69k2ndyyQf_01+4,e3)3*`>bh}}UbS<='11+g?air|VcT=>&>1(f8`jssW`U:?%?=)e9gkprXaV;8$<=&d:flqqYnW89#=9'k;emvpZoX9:":9$j4dnww[lY6;!;=%i5kotv\mZ74 8="h6j`uu]j[45/91#o7iazt^k\56.61 i0hb{{_h]27-4.l2ndyyQf_01+65/c3me~xRgP12*15,b3)01-a=cg|~TeR?<(31*g>bh}}UbS<='3(a8`jssW`U:?%:&c:flqqYnW89#9$m4dnww[lY6;!<"o6j`uu]j[45/? i0hb{{_h]27->.k2ndyyQf_01+=,`3=00>58d3me~xRgP15*3-f=cg|~TeR?;(0+g?air|VcT=9&>0(f8`jssW`U:8%?>)e9gkprXaV;?$<<&d:flqqYnW8>#=>'k;emvpZoX9=":8$j4dnww[lY6%i5kotv\mZ73 8<"h6j`uu]j[42/9>#o7iazt^k\51.60 n0hb{{_h]20-7>!j1ocxzPi^37,7/c3me~xRgP15*14,b4)02-a=cg|~TeR?;(30*`>bh}}UbS<:'22+`?air|VcT=9&<)b9gkprXaV;?$9'l;emvpZoX9=">%n5kotv\mZ73 ?#h7iazt^k\51.0!j1ocxzPi^37,=/d3me~xRgP15*:-c=cg|~TeR?;<3194;e5)2*g>bh}}UbS<;'1(f8`jssW`U:9%??)e9gkprXaV;>$5)03-a=cg|~TeR?:(33*`>bh}}UbS<;'23+g?air|VcT=8&=3(a8`jssW`U:9%=&c:flqqYnW8?#8$m4dnww[lY6=!?"o6j`uu]j[43/> i0hb{{_h]21-1.k2ndyyQf_07+<,e5);*b>bh}}UbS<;32283:f=cg|~TeR?9(1+`?air|VcT=;&>)e9gkprXaV;=$<>&d:flqqYnW8<#=<'k;emvpZoX9?":>$j4dnww[lY6>!;8%i5kotv\mZ71 8>"h6j`uu]j[40/9<#o7iazt^k\53.6> n0hb{{_h]22-70!m1ocxzPi^35,4>.l2ndyyQf_04+5bh}}UbS<8'20+g?air|VcT=;&=2(f8`jssW`U::%<<)b9gkprXaV;=$>'l;emvpZoX9?"?%n5kotv\mZ71 <#h7iazt^k\53.1!j1ocxzPi^35,2/d3me~xRgP17*;-f=cg|~TeR?9(8+e?air|VcT=;2=3;2=a>bh}}UbS<2=3;2=f>bh}}UbS?&?)c9gkprXaV8#=$m4dnww[lY5 8:"o6j`uu]j[7.69 i0hb{{_h]1,44.k2ndyyQf_3*27,ebh}}UbS?&>5(a8`jssW`U9$<8&c:flqqYnW;":;$m4dnww[lY5 82"o6j`uu]j[7.61 h0hb{{_h]1,7/d3me~xRgP2)03-f=cg|~TeR<'20+`?air|VcT>%<=)b9gkprXaV8#>>'m;emvpZoX:!9"n6j`uu]j[7.3!k1ocxzPi^0+1,d%9&b:flqqYnW;"3%o5kotv\mZ4/1 o0hb{{_h]1875=87h0hb{{_h]0,5/e3me~xRgP3)3*g>bh}}UbS>&>0(a8`jssW`U8$$m4dnww[lY4 89"o6j`uu]j[6.6< i0hb{{_h]0,43.k2ndyyQf_2*22,ebh}}UbS>&>8(a8`jssW`U8$<7&b:flqqYnW:"9%n5kotv\mZ5/:9#h7iazt^k\7-46!j1ocxzPi^1+67/d3me~xRgP3)00-g=cg|~TeR='3(`8`jssW`U8$9'm;emvpZoX;!?"n6j`uu]j[6.1!k1ocxzPi^1+3,d1b:flqqYnW=";%o5kotv\mZ2/9 i0hb{{_h]7,46.k2ndyyQf_5*25,ebh}}UbS9&>3(a8`jssW`U?$<:&c:flqqYnW=":9$m4dnww[lY3 8<"o6j`uu]j[1.6? i0hb{{_h]7,4>.k2ndyyQf_5*2=,d#><'l;emvpZoXbh}}UbS8&>6(a8`jssW`U>$<9&c:flqqYnW<":4$m4dnww[lY2 83"n6j`uu]j[0.5!j1ocxzPi^7+65/d3me~xRgP5)02-f=cg|~TeR;'23+`?air|VcT9%<<)c9gkprXaV?#?$l4dnww[lY2 =#i7iazt^k\1-3.j2ndyyQf_4*5-g=cg|~TeR;'7(`8`jssW`U>$5'm;emvpZoX=!3"i6j`uu]j[0:5;3:5n6j`uu]j[3.7!k1ocxzPi^4+5,ebh}}UbS;&>1(a8`jssW`U=$<<&c:flqqYnW?":?$m4dnww[lY1 8>"o6j`uu]j[3.6= i0hb{{_h]5,40.k2ndyyQf_7*23,ebh}}UbS;&>9(`8`jssW`U=$?'l;emvpZoX>!8;%n5kotv\mZ0/:8#h7iazt^k\2-45!j1ocxzPi^4+66/e3me~xRgP6)1*f>bh}}UbS;&;)c9gkprXaV<#9$l4dnww[lY1 ?#i7iazt^k\2-1.j2ndyyQf_7*;-g=cg|~TeR8'9(g8`jssW`U=0?=50?`8`jssW`U<$='m;emvpZoX?!;"o6j`uu]j[2.68 i0hb{{_h]4,47.k2ndyyQf_6*26,ebh}}UbS:&>4(a8`jssW`U<$<;&c:flqqYnW>"::$m4dnww[lY0 8="o6j`uu]j[2.60 i0hb{{_h]4,4?.j2ndyyQf_6*1-f=cg|~TeR9'21+`?air|VcT;%<>)b9gkprXaV=#>?'l;emvpZoX?!88%o5kotv\mZ1/; h0hb{{_h]4,1/e3me~xRgP7)7*f>bh}}UbS:&9)c9gkprXaV=#;$l4dnww[lY0 1#i7iazt^k\3-?.m2ndyyQf_6>17?69j2ndyyQf_9*3-g=cg|~TeR6'1(a8`jssW`U3$<>&c:flqqYnW1":=$m4dnww[lY? 88"o6j`uu]j[=.6; i0hb{{_h];,42.k2ndyyQf_9*21,ebh}}UbS5&>7(a8`jssW`U3$<6&c:flqqYnW1":5$l4dnww[lY? ;#h7iazt^k\<-47!j1ocxzPi^:+64/d3me~xRgP8)01-f=cg|~TeR6'22+a?air|VcT4%=&b:flqqYnW1"?%o5kotv\mZ>/= h0hb{{_h];,3/e3me~xRgP8)5*f>bh}}UbS5&7)c9gkprXaV2#5$k4dnww[lY?4;91<3l4dnww[lY> 9#i7iazt^k\=-7.k2ndyyQf_8*24,ebh}}UbS4&>2(a8`jssW`U2$<=&c:flqqYnW0":8$m4dnww[lY> 8?"o6j`uu]j[<.6> i0hb{{_h]:,41.k2ndyyQf_8*2<,ebh}}UbS4&=)b9gkprXaV3#>='l;emvpZoX1!8:%n5kotv\mZ?/:;#h7iazt^k\=-44!k1ocxzPi^;+7,dbh}}UbS42=3;2==>bh}}Uz$='6;emvpZw/9 k0hb{{_p*24,g1(c8`jssWx":>$o4dnww[t.6; k0hb{{_p*20,g5(c8`jssWx"::$o4dnww[t.6? k0hb{{_p*2<,g9(;8`jssWx"9%l5kotv\u-47!h1ocxzPq)02-d=cg|~T}%<=)`9gkprXy!88%l5kotv\u-43!h1ocxzPq)06-d=cg|~T}%<9)`9gkprXy!8<%l5kotv\u-4?!h1ocxzPq)0:-<=cg|~T}%=&a:flqqYv ::"m6j`uu]r,67.i2ndyyQ~(20*e>bh}}Uz$>=&a:flqqYv :>"m6j`uu]r,63.i2ndyyQ~(24*e>bh}}Uz$>9&a:flqqYv :2"m6j`uu]r,6?.12ndyyQ~(5+b?air|V{#8='n;emvpZw/<8#j7iazt^s+07/f3me~xR'42+b?air|V{#89'n;emvpZw/<<#j7iazt^s+03/f3me~xR'46+:?air|V{#9$74dnww[t.1!01ocxzPq)5*=>bh}}Uz$5'6;emvpZw/1 i0hb{{_p>73?69j2ndyyQ~_0*3-g=cg|~T}R?'1(a8`jssWxU:$<>&c:flqqYvW8":=$m4dnww[tY6 88"o6j`uu]r[4.6; i0hb{{_p]2,42.k2ndyyQ~_0*21,e(04*g>bh}}UzS<&>7(a8`jssWxU:$<6&c:flqqYvW8":5$l4dnww[tY6 ;#h7iazt^s\5-47!j1ocxzPq^3+64/d3me~xRP1)01-f=cg|~T}R?'22+`?air|V{T=%<;)b9gkprXyV;#>8'l;emvpZwX9!8=%n5kotv\uZ7/:>#h7iazt^s\5-4?!j1ocxzPq^3+6bh}}UzS<&<0(a8`jssWxU:$>?&c:flqqYvW8"8>$m4dnww[tY6 :9"o6j`uu]r[4.4< i0hb{{_p]2,63.k2ndyyQ~_0*02,e(25*g>bh}}UzS<&<8(a8`jssWxU:$>7&b:flqqYvW8"?%n5kotv\uZ7/<9#h7iazt^s\5-26!j1ocxzPq^3+07/d3me~xRP1)60-f=cg|~T}R?'45+`?air|V{T=%::)b9gkprXyV;#8;'l;emvpZwX9!><%o5kotv\uZ7/= h0hb{{_p]2,3/e3me~xRP1)5*f>bh}}UzS<&7)c9gkprXyV;#5$m4dnww[tY68!:"o6j`uu]r[46/9 n0hb{{_p]24-77!m1ocxzPq^33,47.l2ndyyQ~_02+57/c3me~xRP11*27,b0)37-a=cg|~T}R??(07*`>bh}}UzS<>'17+g?air|V{T==&>7(f8`jssWxU:<%?7)e9gkprXyV;;$<7&c:flqqYvW8:#>$j4dnww[tY68!8;%i5kotv\uZ77 ;;"h6j`uu]r[46/:;#o7iazt^s\55.5; n0hb{{_p]24-43!m1ocxzPq^33,73.l2ndyyQ~_02+63/c3me~xRP11*13,b0)0;-a=cg|~T}R??(3;*g>bh}}UzS<>'3(f8`jssWxU:<%=?)e9gkprXyV;;$>?&d:flqqYvW8:#??'k;emvpZwX99"8?$j4dnww[tY68!9?%i5kotv\uZ77 :?"h6j`uu]r[46/;?#o7iazt^s\55.4? n0hb{{_p]24-5?!m1ocxzPq^33,6?.k2ndyyQ~_02+0,b0)63-a=cg|~T}R??(53*`>bh}}UzS<>'43+g?air|V{T==&;3(f8`jssWxU:<%:;)e9gkprXyV;;$9;&d:flqqYvW8:#8;'k;emvpZwX99"?;$m4dnww[tY68!?"o6j`uu]r[46/> i0hb{{_p]24-1.k2ndyyQ~_02+<,e0);*b>bh}}UzS<>34683:f=cg|~T}R?>(1+`?air|V{T=<&>)e9gkprXyV;:$<>&d:flqqYvW8;#=<'k;emvpZwX98":>$j4dnww[tY69!;8%i5kotv\uZ76 8>"h6j`uu]r[47/9<#o7iazt^s\54.6> n0hb{{_p]25-70!m1ocxzPq^32,4>.l2ndyyQ~_03+5(32*`>bh}}UzS8'k;emvpZwX98"9:$j4dnww[tY69!8<%i5kotv\uZ76 ;2"h6j`uu]r[47/:0#h7iazt^s\54.4!m1ocxzPq^32,66.l2ndyyQ~_03+74/c3me~xRP10*06,b1)10-a=cg|~T}R?>(26*`>bh}}UzS6&d:flqqYvW8;#?4'l;emvpZwX98"?%i5kotv\uZ76 =:"h6j`uu]r[47/<8#o7iazt^s\54.3: n0hb{{_p]25-24!m1ocxzPq^32,12.l2ndyyQ~_03+00/c3me~xRP10*72,b1)64-f=cg|~T}R?>(4+`?air|V{T=<&9)b9gkprXyV;:$:'l;emvpZwX98"3%n5kotv\uZ76 0#m7iazt^s\54:3?3:5o6j`uu]r[44/8 i0hb{{_p]26-7.l2ndyyQ~_00+55/c3me~xRP13*25,b2)31-a=cg|~T}R?=(01*`>bh}}UzS<<'15+g?air|V{T=?&>5(f8`jssWxU:>%?9)e9gkprXyV;9$<9&d:flqqYvW88#=5'k;emvpZwX9;":5$m4dnww[tY6:!8"h6j`uu]r[44/:9#o7iazt^s\57.59 n0hb{{_p]26-45!m1ocxzPq^31,75.l2ndyyQ~_00+61/c3me~xRP13*11,b2)05-a=cg|~T}R?=(35*`>bh}}UzS<<'29+g?air|V{T=?&=9(a8`jssWxU:>%=&d:flqqYvW88#?='k;emvpZwX9;"8=$j4dnww[tY6:!99%i5kotv\uZ75 :9"h6j`uu]r[44/;=#o7iazt^s\57.4= n0hb{{_p]26-51!m1ocxzPq^31,61.l2ndyyQ~_00+7=/c3me~xRP13*0=,e2)6*`>bh}}UzS<<'41+g?air|V{T=?&;1(f8`jssWxU:>%:=)e9gkprXyV;9$9=&d:flqqYvW88#89'k;emvpZwX9;"?9$j4dnww[tY6:!>=%i5kotv\uZ75 =="o6j`uu]r[44/= i0hb{{_p]26-0.k2ndyyQ~_00+3,e2):*g>bh}}UzS<<'9(d8`jssWxU:>1:8:1<`?air|V{T=>&?)b9gkprXyV;8$<'k;emvpZwX9:":<$j4dnww[tY6;!;:%i5kotv\uZ74 88"h6j`uu]r[45/9:#o7iazt^s\56.6< n0hb{{_p]27-72!m1ocxzPq^30,40.l2ndyyQ~_01+52/c3me~xRP12*2<,b3)3:-f=cg|~T}R?<(3+g?air|V{T=>&=0(f8`jssWxU:?%<>)e9gkprXyV;8$?<&d:flqqYvW89#>>'k;emvpZwX9:"98$j4dnww[tY6;!8>%i5kotv\uZ74 ;<"h6j`uu]r[45/:>#o7iazt^s\56.50 n0hb{{_p]27-4>!j1ocxzPq^30,6/c3me~xRP12*04,b3)12-a=cg|~T}R?<(20*`>bh}}UzS<='32+g?air|V{T=>&<4(f8`jssWxU:?%=:)e9gkprXyV;8$>8&d:flqqYvW89#?:'k;emvpZwX9:"84$j4dnww[tY6;!92%n5kotv\uZ74 =#o7iazt^s\56.38 n0hb{{_p]27-26!m1ocxzPq^30,14.l2ndyyQ~_01+06/c3me~xRP12*70,b3)66-a=cg|~T}R?<(54*`>bh}}UzS<='46+`?air|V{T=>&:)b9gkprXyV;8$;'l;emvpZwX9:"<%n5kotv\uZ74 1#h7iazt^s\56.>!o1ocxzPq^30811=87i0hb{{_p]20-6.k2ndyyQ~_06+5,b4)33-a=cg|~T}R?;(03*`>bh}}UzS<:'13+g?air|V{T=9&>3(f8`jssWxU:8%?;)e9gkprXyV;?$<;&d:flqqYvW8>#=;'k;emvpZwX9=":;$j4dnww[tY64)07-a=cg|~T}R?;(37*`>bh}}UzS<:'27+g?air|V{T=9&=7(f8`jssWxU:8%<7)e9gkprXyV;?$?7&c:flqqYvW8>#?$j4dnww[tY64)1;-a=cg|~T}R?;(2;*g>bh}}UzS<:'4(f8`jssWxU:8%:?)e9gkprXyV;?$9?&d:flqqYvW8>#8?'k;emvpZwX9="??$j4dnww[tY6?%i5kotv\uZ73 =?"h6j`uu]r[42/4)5*g>bh}}UzS<:'8(a8`jssWxU:8%7&f:flqqYvW8>78:4?>b9gkprXyV;>$='l;emvpZwX9<":%i5kotv\uZ72 8:"h6j`uu]r[43/98#o7iazt^s\50.6: n0hb{{_p]21-74!m1ocxzPq^36,42.l2ndyyQ~_07+50/c3me~xRP14*22,b5)34-a=cg|~T}R?:(0:*`>bh}}UzS<;'18+`?air|V{T=8&=)e9gkprXyV;>$?>&d:flqqYvW8?#><'k;emvpZwX9<"9>$j4dnww[tY6=!88%i5kotv\uZ72 ;>"h6j`uu]r[43/:<#o7iazt^s\50.5> n0hb{{_p]21-40!m1ocxzPq^36,7>.l2ndyyQ~_07+6bh}}UzS<;'30+g?air|V{T=8&<2(f8`jssWxU:9%=<)e9gkprXyV;>$>:&d:flqqYvW8?#?8'k;emvpZwX9<"8:$j4dnww[tY6=!9<%i5kotv\uZ72 :2"h6j`uu]r[43/;0#h7iazt^s\50.3!m1ocxzPq^36,16.l2ndyyQ~_07+04/c3me~xRP14*76,b5)60-a=cg|~T}R?:(56*`>bh}}UzS<;'44+g?air|V{T=8&;6(f8`jssWxU:9%:8)b9gkprXyV;>$8'l;emvpZwX9<"=%n5kotv\uZ72 >#h7iazt^s\50.?!j1ocxzPq^36,73?69k2ndyyQ~_04+4,e6)3*`>bh}}UzS<8'11+g?air|V{T=;&>1(f8`jssWxU::%?=)e9gkprXyV;=$<=&d:flqqYvW8<#=9'k;emvpZwX9?":9$j4dnww[tY6>!;=%i5kotv\uZ71 8="h6j`uu]r[40/91#o7iazt^s\53.61 i0hb{{_p]22-4.l2ndyyQ~_04+65/c3me~xRP17*15,b6)01-a=cg|~T}R?9(31*`>bh}}UzS<8'25+g?air|V{T=;&=5(f8`jssWxU::%<9)e9gkprXyV;=$?9&d:flqqYvW8<#>5'k;emvpZwX9?"95$m4dnww[tY6>!9"h6j`uu]r[40/;9#o7iazt^s\53.49 n0hb{{_p]22-55!m1ocxzPq^35,65.l2ndyyQ~_04+71/c3me~xRP17*01,b6)15-a=cg|~T}R?9(25*`>bh}}UzS<8'39+g?air|V{T=;&<9(a8`jssWxU::%:&d:flqqYvW8<#8='k;emvpZwX9?"?=$j4dnww[tY6>!>9%i5kotv\uZ71 =9"h6j`uu]r[40/<=#o7iazt^s\53.3= n0hb{{_p]22-21!m1ocxzPq^35,11.k2ndyyQ~_04+1,e6)4*g>bh}}UzS<8'7(a8`jssWxU::%6&c:flqqYvW8<#5$h4dnww[tY6>5><6=0j;emvpZwX95><6=0m;emvpZwX:!:"n6j`uu]r[7.6!j1ocxzPq^0+55/d3me~xRP2)32-f=cg|~T}R<'13+`?air|V{T>%?<)b9gkprXyV8#=9'l;emvpZwX:!;>%n5kotv\uZ4/9?#h7iazt^s\6-70!j1ocxzPq^0+5=/d3me~xRP2)3:-g=cg|~T}R<'2(a8`jssWxU9$?>&c:flqqYvW;"9=$m4dnww[tY5 ;8"o6j`uu]r[7.5; i0hb{{_p]1,72.k2ndyyQ~_3*11,ebh}}UzS?&=7(a8`jssWxU9$?6&c:flqqYvW;"95$l4dnww[tY5 :#h7iazt^s\6-57!j1ocxzPq^0+74/d3me~xRP2)11-f=cg|~T}R<'32+`?air|V{T>%=;)b9gkprXyV8#?8'l;emvpZwX:!9=%n5kotv\uZ4/;>#h7iazt^s\6-5?!j1ocxzPq^0+7bh}}UzS?&;0(a8`jssWxU9$9?&c:flqqYvW;"?>$m4dnww[tY5 =9"o6j`uu]r[7.3< i0hb{{_p]1,13.k2ndyyQ~_3*72,ebh}}UzS?&:)c9gkprXyV8#:$l4dnww[tY5 >#i7iazt^s\6->.j2ndyyQ~_3*:-`=cg|~T}R<34683:g=cg|~T}R='0(`8`jssWxU8$<'l;emvpZwX;!;;%n5kotv\uZ5/98#h7iazt^s\7-75!j1ocxzPq^1+56/d3me~xRP3)37-f=cg|~T}R='14+`?air|V{T?%?9)b9gkprXyV9#=:'l;emvpZwX;!;3%n5kotv\uZ5/90#i7iazt^s\7-4.k2ndyyQ~_2*14,ebh}}UzS>&=2(a8`jssWxU8$?=&c:flqqYvW:"98$m4dnww[tY4 ;?"o6j`uu]r[6.5> i0hb{{_p]0,71.k2ndyyQ~_2*1<,ebh}}UzS>&<)b9gkprXyV9#?='l;emvpZwX;!9:%n5kotv\uZ5/;;#h7iazt^s\7-54!j1ocxzPq^1+71/d3me~xRP3)16-f=cg|~T}R='37+`?air|V{T?%=8)b9gkprXyV9#?5'l;emvpZwX;!92%o5kotv\uZ5/< i0hb{{_p]0,16.k2ndyyQ~_2*75,ebh}}UzS>&;3(a8`jssWxU8$9:&c:flqqYvW:"?9$m4dnww[tY4 =<"o6j`uu]r[6.3? h0hb{{_p]0,0/e3me~xRP3)4*f>bh}}UzS>&8)c9gkprXyV9#4$l4dnww[tY4 0#n7iazt^s\7920294i7iazt^s\0-6.j2ndyyQ~_5*2-f=cg|~T}R:'11+`?air|V{T8%?>)b9gkprXyV>#=?'l;emvpZwX#>$m4dnww[tY3 ;:"o6j`uu]r[1.59 i0hb{{_p]7,74.k2ndyyQ~_5*17,ebh}}UzS9&=5(a8`jssWxU?$?8&c:flqqYvW="9;$m4dnww[tY3 ;2"o6j`uu]r[1.51 h0hb{{_p]7,6/d3me~xRP4)13-f=cg|~T}R:'30+`?air|V{T8%==)b9gkprXyV>#?>'l;emvpZwXbh}}UzS9&;6(a8`jssWxU?$99&b:flqqYvW=">%o5kotv\uZ2/> h0hb{{_p]7,2/e3me~xRP4):*f>bh}}UzS9&6)d9gkprXyV>78:4?>c9gkprXyV?#<$l4dnww[tY2 8#h7iazt^s\1-77!j1ocxzPq^7+54/d3me~xRP5)31-f=cg|~T}R;'12+`?air|V{T9%?;)b9gkprXyV?#=8'l;emvpZwX=!;=%n5kotv\uZ3/9>#h7iazt^s\1-7?!j1ocxzPq^7+5bh}}UzS8&=0(a8`jssWxU>$??&c:flqqYvW<"9>$m4dnww[tY2 ;9"o6j`uu]r[0.5< i0hb{{_p]6,73.k2ndyyQ~_4*12,ebh}}UzS8&=8(a8`jssWxU>$?7&b:flqqYvW<"8%n5kotv\uZ3/;9#h7iazt^s\1-56!j1ocxzPq^7+77/d3me~xRP5)10-f=cg|~T}R;'35+`?air|V{T9%=:)b9gkprXyV?#?;'l;emvpZwX=!9<%n5kotv\uZ3/;1#h7iazt^s\1-5>!k1ocxzPq^7+0,ebh}}UzS8&;1(a8`jssWxU>$9<&c:flqqYvW<"??$m4dnww[tY2 =>"o6j`uu]r[0.3= i0hb{{_p]6,10.k2ndyyQ~_4*73,d58e3me~xRP6)2*f>bh}}UzS;&>)b9gkprXyV<#=='l;emvpZwX>!;:%n5kotv\uZ0/9;#h7iazt^s\2-74!j1ocxzPq^4+51/d3me~xRP6)36-f=cg|~T}R8'17+`?air|V{T:%?8)b9gkprXyV<#=5'l;emvpZwX>!;2%o5kotv\uZ0/: i0hb{{_p]5,76.k2ndyyQ~_7*15,ebh}}UzS;&=3(a8`jssWxU=$?:&c:flqqYvW?"99$m4dnww[tY1 ;<"o6j`uu]r[3.5? i0hb{{_p]5,7>.k2ndyyQ~_7*1=,d!99%n5kotv\uZ0/;:#h7iazt^s\2-53!j1ocxzPq^4+70/d3me~xRP6)15-f=cg|~T}R8'36+`?air|V{T:%=7)b9gkprXyV<#?4'm;emvpZwX>!>"o6j`uu]r[3.38 i0hb{{_p]5,17.k2ndyyQ~_7*76,ebh}}UzS;&;4(a8`jssWxU=$9;&c:flqqYvW?"?:$m4dnww[tY1 =="n6j`uu]r[3.2!k1ocxzPq^4+2,d0;2o5kotv\uZ1/8 h0hb{{_p]4,4/d3me~xRP7)33-f=cg|~T}R9'10+`?air|V{T;%?=)b9gkprXyV=#=>'l;emvpZwX?!;?%n5kotv\uZ1/9<#h7iazt^s\3-71!j1ocxzPq^5+52/d3me~xRP7)3;-f=cg|~T}R9'18+a?air|V{T;%<&c:flqqYvW>"9<$m4dnww[tY0 ;;"o6j`uu]r[2.5: i0hb{{_p]4,75.k2ndyyQ~_6*10,ebh}}UzS:&=6(a8`jssWxU<$?9&c:flqqYvW>"94$m4dnww[tY0 ;3"n6j`uu]r[2.4!j1ocxzPq^5+75/d3me~xRP7)12-f=cg|~T}R9'33+`?air|V{T;%=<)b9gkprXyV=#?9'l;emvpZwX?!9>%n5kotv\uZ1/;?#h7iazt^s\3-50!j1ocxzPq^5+7=/d3me~xRP7)1:-g=cg|~T}R9'4(a8`jssWxU<$9>&c:flqqYvW>"?=$m4dnww[tY0 =8"o6j`uu]r[2.3; i0hb{{_p]4,12.k2ndyyQ~_6*71,ebh}}UzS:&;7(`8`jssWxU<$8'm;emvpZwX?!<"n6j`uu]r[2.0!k1ocxzPq^5+<,d&b:flqqYvW1":%n5kotv\uZ>/99#h7iazt^s\<-76!j1ocxzPq^:+57/d3me~xRP8)30-f=cg|~T}R6'15+`?air|V{T4%?:)b9gkprXyV2#=;'l;emvpZwX0!;<%n5kotv\uZ>/91#h7iazt^s\<-7>!k1ocxzPq^:+6,ebh}}UzS5&=1(a8`jssWxU3$?<&c:flqqYvW1"9?$m4dnww[tY? ;>"o6j`uu]r[=.5= i0hb{{_p];,70.k2ndyyQ~_9*13,ebh}}UzS5&=9(`8`jssWxU3$>'l;emvpZwX0!9;%n5kotv\uZ>/;8#h7iazt^s\<-55!j1ocxzPq^:+76/d3me~xRP8)17-f=cg|~T}R6'34+`?air|V{T4%=9)b9gkprXyV2#?:'l;emvpZwX0!93%n5kotv\uZ>/;0#i7iazt^s\<-2.k2ndyyQ~_9*74,ebh}}UzS5&;2(a8`jssWxU3$9=&c:flqqYvW1"?8$m4dnww[tY? =?"o6j`uu]r[=.3> i0hb{{_p];,11.j2ndyyQ~_9*6-g=cg|~T}R6'6(`8`jssWxU3$:'m;emvpZwX0!2"n6j`uu]r[=.>!l1ocxzPq^:?02<76k1ocxzPq^;+4,dbh}}UzS4&=4(a8`jssWxU2$?;&c:flqqYvW0"9:$m4dnww[tY> ;="o6j`uu]r[<.50 i0hb{{_p]:,7?.j2ndyyQ~_8*0-f=cg|~T}R7'31+`?air|V{T5%=>)b9gkprXyV3#??'l;emvpZwX1!98%n5kotv\uZ?/;=#h7iazt^s\=-52!j1ocxzPq^;+73/d3me~xRP9)14-f=cg|~T}R7'39+`?air|V{T5%=6)c9gkprXyV3#8$m4dnww[tY> =:"o6j`uu]r[<.39 i0hb{{_p]:,14.k2ndyyQ~_8*77,ebh}}UzS4&;5(a8`jssWxU2$98&c:flqqYvW0"?;$l4dnww[tY> <#i7iazt^s\=-0.j2ndyyQ~_8*4-g=cg|~T}R7'8(`8`jssWxU2$4'j;emvpZwX15><6=06;erq[wgjW830h}|Pr`o\6<=cx{Uym`Q<9:fsvZtfeV>37hjff3ld`a=aae~n~R}jl)2*b>`nd}oyS~kc<183:7bnelli8 kg}_bmntljbzV|>S="tabaviZqnl}b685"nlmmt[dvwzfr6?,bmfbZkbe}s{i0>#cnge[wckghn6=!m`eg]w}uc:8%idikQxievk94>+kffTob`iif?0(fikWdeoi0>#cnn\tlvbWeoe19"kauc\i`ksqyo65)`nd}oyS~kc_vkgpm;6$ocgxh|Ptxrf93*nf}oy|R|ntd?2(lve}olTahc{yqg>4)hboVyra`k{rx?3(ksjWjbjbckcs<3/jpkXn`f0?#nto\tdro{48'bxcPt`rp935+gmnTtcbeupz94*hxkmjRcjmu{sa86+g|~{yyQ}ef?2(jssx|~T{dj{h<6;(wgsmVicmcij_u{sa86+zfehRc`dd?3(vgjxeoTjk~=42345678%yh`Qkeugqilhn{}ch1<"|ylofpw:9%pn~bQabijjbYaij~d~0?#}0g8lgbbk:UmeQlolrjh`tX~tcq:1yji:4sbnqf>uno9:;<=>?1c9pmb6789:;?01231g=tan:;<=>?07`8wla789:;<=9m;rkd456789:3n6}fg12345671k1xej>?01234dd<{`m;<=>?01`a?vo`89:;<=>lb:qjc56789:;ho5|if2345678lh0di?012345`e3zcl<=>?0133f>uno9:;<=>>1c9pmb6789:;=?l4she34567889i7~gh01234573j2ybk=>?01221g=tan:;<=>?17`8wla789:;<<9m;rkd456789;3n6}fg12345661k1xej>?01235dd<{`m;<=>?00`a?vo`89:;<=?lb:qjc56789::ho5|if2345679lh0di?012344`e3zcl<=>?0103f>uno9:;<=>=1c9pmb6789:;>?l4she345678;9i7~gh01234543j2ybk=>?01211g=tan:;<=>?27`8wla789:;?01236dd<{`m;<=>?03`a?vo`89:;<=?0113f>uno9:;<=><1c9pmb6789:;??l4she345678:9i7~gh01234553j2ybk=>?01201g=tan:;<=>?37`8wla789:;<>9m;rkd45678993n6}fg12345641k1xej>?01237dd<{`m;<=>?02`a?vo`89:;<==lb:qjc56789:8ho5|if234567;lh0di?012346`e3zcl<=>?0163f>uno9:;<=>;1c9pmb6789:;8?l4she345678=9i7~gh01234523j2ybk=>?01271g=tan:;<=>?47`8wla789:;<99m;rkd456789>3n6}fg12345631k1xej>?01230dd<{`m;<=>?05`a?vo`89:;<=:lb:qjc56789:?ho5|if234567?0173f>uno9:;<=>:1c9pmb6789:;9?l4she345678<9i7~gh01234533j2ybk=>?01261g=tan:;<=>?57`8wla789:;<89m;rkd456789?3n6}fg12345621k1xej>?01231dd<{`m;<=>?04`a?vo`89:;<=;lb:qjc56789:>ho5|if234567=lh0di?012340`e3zcl<=>?0143f>uno9:;<=>91c9pmb6789:;:?l4she345678?9i7~gh01234503j2ybk=>?01251g=tan:;<=>?67`8wla789:;<;9m;rkd456789<3n6}fg12345611k1xej>?01232dd<{`m;<=>?07`a?vo`89:;<=8lb:qjc56789:=ho5|if234567>lh0di?012343`e3zcl<=>?0153f>uno9:;<=>81c9pmb6789:;;?l4she345678>9i7~gh01234513j2ybk=>?01241g=tan:;<=>?77`8wla789:;<:9m;rkd456789=3n6}fg12345601k1xej>?01233dd<{`m;<=>?06`a?vo`89:;<=9lb:qjc56789:?01:3f>uno9:;<=>71c9pmb6789:;4?l4she34567819i7~gh012345>3j2ybk=>?012;1g=tan:;<=>?87`8wla789:;<59m;rkd45678923n6}fg123456?1k1xej>?0123?09`a?vo`89:;<=6lb:qjc56789:3ho5|if2345670lh0di?01234=`e3zcl<=>?01;3f>uno9:;<=>61c9pmb6789:;5?l4she34567809i7~gh012345?3j2ybk=>?012:1g=tan:;<=>?97`8wla789:;<49m;rkd45678933n6}fg123456>1k1xej>?0123=dd<{`m;<=>?08`a?vo`89:;<=7lb:qjc56789:2ho5|if2345671lh0di?01234<`e3zcl<=>?01c3f>uno9:;<=>n1c9pmb6789:;m?l4she345678h9i7~gh012345g3j2ybk=>?012b1g=tan:;<=>?a7`8wla789:;?0123edd<{`m;<=>?0``a?vo`89:;<=olb:qjc56789:jho5|if234567ilh0di?01234d`e3zcl<=>?01`3f>uno9:;<=>m1c9pmb6789:;n?l4she345678k9i7~gh012345d3j2ybk=>?012a1g=tan:;<=>?b7`8wla789:;?0123fdd<{`m;<=>?0c`a?vo`89:;<=llb:qjc56789:iho5|if234567jlh0di?01234g`e3zcl<=>?01a3f>uno9:;<=>l1c9pmb6789:;o?l4she345678j9i7~gh012345e3j2ybk=>?012`1g=tan:;<=>?c7`8wla789:;?0123gdd<{`m;<=>?0b`a?vo`89:;<=mlb:qjc56789:hho5|if234567klh0di?01234f`e3zcl<=>?01f3f>uno9:;<=>k1c9pmb6789:;h?l4she345678m9i7~gh012345b3j2ybk=>?012g1g=tan:;<=>?d7`8wla789:;?0123`dd<{`m;<=>?0e`a?vo`89:;<=jlb:qjc56789:oho5|if234567llh0di?01234a`e3zcl<=>?01g3f>uno9:;<=>j1c9pmb6789:;i?l4she345678l9i7~gh012345c3j2ybk=>?012f1g=tan:;<=>?e7`8wla789:;?0123add<{`m;<=>?0d`a?vo`89:;<=klb:qjc56789:nho5|if234567mlh0di?01234``e3zcl<=>?01d3f>uno9:;<=>i1c9pmb6789:;j?l4she345678o9i7~gh012345`3j2ybk=>?012e1g=tan:;<=>?f7`8wla789:;?0123bdd<{`m;<=>?0g`a?vo`89:;<=hlb:qjc56789:mho5|if234567nlh0di?01234c`e3zcl<=>?0023f>uno9:;<=??1c9pmb6789::?01331g=tan:;<=>>07`8wla789:;==9m;rkd456788:3n6}fg12345771k1xej>?01224dd<{`m;<=>?11`a?vo`89:;<<>lb:qjc56789;;ho5|if2345668lh0di?012355`e3zcl<=>?0033f>uno9:;<=?>1c9pmb6789::=?l4she34567989i7~gh01234473j2ybk=>?01321g=tan:;<=>>17`8wla789:;=<9m;rkd456788;3n6}fg12345761k1xej>?01225dd<{`m;<=>?10`a?vo`89:;<?0003f>uno9:;<=?=1c9pmb6789::>?l4she345679;9i7~gh01234443j2ybk=>?01311g=tan:;<=>>27`8wla789:;=?9m;rkd45678883n6}fg12345751k1xej>?01226dd<{`m;<=>?13`a?vo`89:;<<?0013f>uno9:;<=?<1c9pmb6789::??l4she345679:9i7~gh01234453j2ybk=>?01301g=tan:;<=>>37`8wla789:;=>9m;rkd45678893n6}fg12345741k1xej>?01227dd<{`m;<=>?12`a?vo`89:;<<=lb:qjc56789;8ho5|if234566;lh0di?012356`e3zcl<=>?0063f>uno9:;<=?;1c9pmb6789::8?l4she345679=9i7~gh01234423j2ybk=>?01371g=tan:;<=>>47`8wla789:;=99m;rkd456788>3n6}fg12345731k1xej>?01220dd<{`m;<=>?15`a?vo`89:;<<:lb:qjc56789;?ho5|if234566?0073f>uno9:;<=?:1c9pmb6789::9?l4she345679<9i7~gh01234433j2ybk=>?01361g=tan:;<=>>57`8wla789:;=89m;rkd456788?3n6}fg12345721k1xej>?01221dd<{`m;<=>?14`a?vo`89:;<<;lb:qjc56789;>ho5|if234566=lh0di?012350`e3zcl<=>?0043f>uno9:;<=?91c9pmb6789:::?l4she345679?9i7~gh01234403j2ybk=>?01351g=tan:;<=>>67`8wla789:;=;9m;rkd456788<3n6}fg12345711k1xej>?01222dd<{`m;<=>?17`a?vo`89:;<<8lb:qjc56789;=ho5|if234566>lh0di?012353`e3zcl<=>?0053f>uno9:;<=?81c9pmb6789::;?l4she345679>9i7~gh01234413j2ybk=>?01341g=tan:;<=>>77`8wla789:;=:9m;rkd456788=3n6}fg12345701k1xej>?01223dd<{`m;<=>?16`a?vo`89:;<<9lb:qjc56789;?00:3f>uno9:;<=?71c9pmb6789::4?l4she34567919i7~gh012344>3j2ybk=>?013;1g=tan:;<=>>87`8wla789:;=59m;rkd45678823n6}fg123457?1k1xej>?0122?19`a?vo`89:;<<6lb:qjc56789;3ho5|if2345660lh0di?01235=`e3zcl<=>?00;3f>uno9:;<=?61c9pmb6789::5?l4she34567909i7~gh012344?3j2ybk=>?013:1g=tan:;<=>>97`8wla789:;=49m;rkd45678833n6}fg123457>1k1xej>?0122=dd<{`m;<=>?18`a?vo`89:;<<7lb:qjc56789;2ho5|if2345661lh0di?01235<`e3zcl<=>?00c3f>uno9:;<=?n1c9pmb6789::m?l4she345679h9i7~gh012344g3j2ybk=>?013b1g=tan:;<=>>a7`8wla789:;=l9m;rkd456788k3n6}fg123457f1k1xej>?0122edd<{`m;<=>?1``a?vo`89:;<?00`3f>uno9:;<=?m1c9pmb6789::n?l4she345679k9i7~gh012344d3j2ybk=>?013a1g=tan:;<=>>b7`8wla789:;=o9m;rkd456788h3n6}fg123457e1k1xej>?0122fdd<{`m;<=>?1c`a?vo`89:;<?00a3f>uno9:;<=?l1c9pmb6789::o?l4she345679j9i7~gh012344e3j2ybk=>?013`1g=tan:;<=>>c7`8wla789:;=n9m;rkd456788i3n6}fg123457d1k1xej>?0122gdd<{`m;<=>?1b`a?vo`89:;<?00f3f>uno9:;<=?k1c9pmb6789::h?l4she345679m9i7~gh012344b3j2ybk=>?013g1g=tan:;<=>>d7`8wla789:;=i9m;rkd456788n3n6}fg123457c1k1xej>?0122`dd<{`m;<=>?1e`a?vo`89:;<?00g3f>uno9:;<=?j1c9pmb6789::i?l4she345679l9i7~gh012344c3j2ybk=>?013f1g=tan:;<=>>e7`8wla789:;=h9m;rkd456788o3n6}fg123457b1k1xej>?0122add<{`m;<=>?1d`a?vo`89:;<?00d3f>uno9:;<=?i1c9pmb6789::j?l4she345679o9i7~gh012344`3j2ybk=>?013e1g=tan:;<=>>f7`8wla789:;=k9m;rkd456788l3n6}fg123457a1k1xej>?0122bdd<{`m;<=>?1g`a?vo`89:;<?0323f>uno9:;<=?01031g=tan:;<=>=07`8wla789:;>=9m;rkd45678;:3n6}fg12345471k1xej>?01214dd<{`m;<=>?21`a?vo`89:;lb:qjc567898;ho5|if2345658lh0di?012365`e3zcl<=>?0333f>uno9:;<=<>1c9pmb6789:9=?l4she34567:89i7~gh01234773j2ybk=>?01021g=tan:;<=>=17`8wla789:;><9m;rkd45678;;3n6}fg12345461k1xej>?01215dd<{`m;<=>?20`a?vo`89:;?0303f>uno9:;<=<=1c9pmb6789:9>?l4she34567:;9i7~gh01234743j2ybk=>?01011g=tan:;<=>=27`8wla789:;>?9m;rkd45678;83n6}fg12345451k1xej>?01216dd<{`m;<=>?23`a?vo`89:;?0313f>uno9:;<=<<1c9pmb6789:9??l4she34567::9i7~gh01234753j2ybk=>?01001g=tan:;<=>=37`8wla789:;>>9m;rkd45678;93n6}fg12345441k1xej>?01217dd<{`m;<=>?22`a?vo`89:;?0363f>uno9:;<=<;1c9pmb6789:98?l4she34567:=9i7~gh01234723j2ybk=>?01071g=tan:;<=>=47`8wla789:;>99m;rkd45678;>3n6}fg12345431k1xej>?01210dd<{`m;<=>?25`a?vo`89:;?0373f>uno9:;<=<:1c9pmb6789:99?l4she34567:<9i7~gh01234733j2ybk=>?01061g=tan:;<=>=57`8wla789:;>89m;rkd45678;?3n6}fg12345421k1xej>?01211dd<{`m;<=>?24`a?vo`89:;ho5|if234565=lh0di?012360`e3zcl<=>?0343f>uno9:;<=<91c9pmb6789:9:?l4she34567:?9i7~gh01234703j2ybk=>?01051g=tan:;<=>=67`8wla789:;>;9m;rkd45678;<3n6}fg12345411k1xej>?01212dd<{`m;<=>?27`a?vo`89:;lh0di?012363`e3zcl<=>?0353f>uno9:;<=<81c9pmb6789:9;?l4she34567:>9i7~gh01234713j2ybk=>?01041g=tan:;<=>=77`8wla789:;>:9m;rkd45678;=3n6}fg12345401k1xej>?01213dd<{`m;<=>?26`a?vo`89:;?03:3f>uno9:;<=<71c9pmb6789:94?l4she34567:19i7~gh012347>3j2ybk=>?010;1g=tan:;<=>=87`8wla789:;>59m;rkd45678;23n6}fg123454?1k1xej>?0121?29`a?vo`89:;?03;3f>uno9:;<=<61c9pmb6789:95?l4she34567:09i7~gh012347?3j2ybk=>?010:1g=tan:;<=>=97`8wla789:;>49m;rkd45678;33n6}fg123454>1k1xej>?0121=dd<{`m;<=>?28`a?vo`89:;?03c3f>uno9:;<=?010b1g=tan:;<=>=a7`8wla789:;>l9m;rkd45678;k3n6}fg123454f1k1xej>?0121edd<{`m;<=>?2``a?vo`89:;?03`3f>uno9:;<=?010a1g=tan:;<=>=b7`8wla789:;>o9m;rkd45678;h3n6}fg123454e1k1xej>?0121fdd<{`m;<=>?2c`a?vo`89:;?03a3f>uno9:;<=?010`1g=tan:;<=>=c7`8wla789:;>n9m;rkd45678;i3n6}fg123454d1k1xej>?0121gdd<{`m;<=>?2b`a?vo`89:;?03f3f>uno9:;<=?010g1g=tan:;<=>=d7`8wla789:;>i9m;rkd45678;n3n6}fg123454c1k1xej>?0121`dd<{`m;<=>?2e`a?vo`89:;?03g3f>uno9:;<=?010f1g=tan:;<=>=e7`8wla789:;>h9m;rkd45678;o3n6}fg123454b1k1xej>?0121add<{`m;<=>?2d`a?vo`89:;?03d3f>uno9:;<=?010e1g=tan:;<=>=f7`8wla789:;>k9m;rkd45678;l3n6}fg123454a1k1xej>?0121bdd<{`m;<=>?2g`a?vo`89:;?0223f>uno9:;<==?1c9pmb6789:8?01131g=tan:;<=><07`8wla789:;?=9m;rkd45678::3n6}fg12345571k1xej>?01204dd<{`m;<=>?31`a?vo`89:;<>>lb:qjc567899;ho5|if2345648lh0di?012375`e3zcl<=>?0233f>uno9:;<==>1c9pmb6789:8=?l4she34567;89i7~gh01234673j2ybk=>?01121g=tan:;<=><17`8wla789:;?<9m;rkd45678:;3n6}fg12345561k1xej>?01205dd<{`m;<=>?30`a?vo`89:;<>?lb:qjc567899:ho5|if2345649lh0di?012374`e3zcl<=>?0203f>uno9:;<===1c9pmb6789:8>?l4she34567;;9i7~gh01234643j2ybk=>?01111g=tan:;<=><27`8wla789:;??9m;rkd45678:83n6}fg12345551k1xej>?01206dd<{`m;<=>?33`a?vo`89:;<>?0213f>uno9:;<==<1c9pmb6789:8??l4she34567;:9i7~gh01234653j2ybk=>?01101g=tan:;<=><37`8wla789:;?>9m;rkd45678:93n6}fg12345541k1xej>?01207dd<{`m;<=>?32`a?vo`89:;<>=lb:qjc5678998ho5|if234564;lh0di?012376`e3zcl<=>?0263f>uno9:;<==;1c9pmb6789:88?l4she34567;=9i7~gh01234623j2ybk=>?01171g=tan:;<=><47`8wla789:;?99m;rkd45678:>3n6}fg12345531k1xej>?01200dd<{`m;<=>?35`a?vo`89:;<>:lb:qjc567899?ho5|if234564?0273f>uno9:;<==:1c9pmb6789:89?l4she34567;<9i7~gh01234633j2ybk=>?01161g=tan:;<=><57`8wla789:;?89m;rkd45678:?3n6}fg12345521k1xej>?01201dd<{`m;<=>?34`a?vo`89:;<>;lb:qjc567899>ho5|if234564=lh0di?012370`e3zcl<=>?0243f>uno9:;<==91c9pmb6789:8:?l4she34567;?9i7~gh01234603j2ybk=>?01151g=tan:;<=><67`8wla789:;?;9m;rkd45678:<3n6}fg12345511k1xej>?01202dd<{`m;<=>?37`a?vo`89:;<>8lb:qjc567899=ho5|if234564>lh0di?012373`e3zcl<=>?0253f>uno9:;<==81c9pmb6789:8;?l4she34567;>9i7~gh01234613j2ybk=>?01141g=tan:;<=><77`8wla789:;?:9m;rkd45678:=3n6}fg12345501k1xej>?01203dd<{`m;<=>?36`a?vo`89:;<>9lb:qjc567899?02:3f>uno9:;<==71c9pmb6789:84?l4she34567;19i7~gh012346>3j2ybk=>?011;1g=tan:;<=><87`8wla789:;?59m;rkd45678:23n6}fg123455?1k1xej>?0120?39`a?vo`89:;<>6lb:qjc5678993ho5|if2345640lh0di?01237=`e3zcl<=>?02;3f>uno9:;<==61c9pmb6789:85?l4she34567;09i7~gh012346?3j2ybk=>?011:1g=tan:;<=><97`8wla789:;?49m;rkd45678:33n6}fg123455>1k1xej>?0120=dd<{`m;<=>?38`a?vo`89:;<>7lb:qjc5678992ho5|if2345641lh0di?01237<`e3zcl<=>?02c3f>uno9:;<==n1c9pmb6789:8m?l4she34567;h9i7~gh012346g3j2ybk=>?011b1g=tan:;<=>?0120edd<{`m;<=>?3``a?vo`89:;<>olb:qjc567899jho5|if234564ilh0di?01237d`e3zcl<=>?02`3f>uno9:;<==m1c9pmb6789:8n?l4she34567;k9i7~gh012346d3j2ybk=>?011a1g=tan:;<=>?0120fdd<{`m;<=>?3c`a?vo`89:;<>llb:qjc567899iho5|if234564jlh0di?01237g`e3zcl<=>?02a3f>uno9:;<==l1c9pmb6789:8o?l4she34567;j9i7~gh012346e3j2ybk=>?011`1g=tan:;<=>?0120gdd<{`m;<=>?3b`a?vo`89:;<>mlb:qjc567899hho5|if234564klh0di?01237f`e3zcl<=>?02f3f>uno9:;<==k1c9pmb6789:8h?l4she34567;m9i7~gh012346b3j2ybk=>?011g1g=tan:;<=>?0120`dd<{`m;<=>?3e`a?vo`89:;<>jlb:qjc567899oho5|if234564llh0di?01237a`e3zcl<=>?02g3f>uno9:;<==j1c9pmb6789:8i?l4she34567;l9i7~gh012346c3j2ybk=>?011f1g=tan:;<=>?0120add<{`m;<=>?3d`a?vo`89:;<>klb:qjc567899nho5|if234564mlh0di?01237``e3zcl<=>?02d3f>uno9:;<==i1c9pmb6789:8j?l4she34567;o9i7~gh012346`3j2ybk=>?011e1g=tan:;<=>?0120bdd<{`m;<=>?3g`a?vo`89:;<>hlb:qjc567899mho5|if234564nlh0di?01237c`e3zcl<=>?0523f>uno9:;<=:?1c9pmb6789:??01631g=tan:;<=>;07`8wla789:;8=9m;rkd45678=:3n6}fg12345271k1xej>?01274dd<{`m;<=>?41`a?vo`89:;<9>lb:qjc56789>;ho5|if2345638lh0di?012305`e3zcl<=>?0533f>uno9:;<=:>1c9pmb6789:?=?l4she34567<89i7~gh01234173j2ybk=>?01621g=tan:;<=>;17`8wla789:;8<9m;rkd45678=;3n6}fg12345261k1xej>?01275dd<{`m;<=>?40`a?vo`89:;<9?lb:qjc56789>:ho5|if2345639lh0di?012304`e3zcl<=>?0503f>uno9:;<=:=1c9pmb6789:?>?l4she34567<;9i7~gh01234143j2ybk=>?01611g=tan:;<=>;27`8wla789:;8?9m;rkd45678=83n6}fg12345251k1xej>?01276dd<{`m;<=>?43`a?vo`89:;<99ho5|if234563:lh0di?012307`e3zcl<=>?0513f>uno9:;<=:<1c9pmb6789:???l4she34567<:9i7~gh01234153j2ybk=>?01601g=tan:;<=>;37`8wla789:;8>9m;rkd45678=93n6}fg12345241k1xej>?01277dd<{`m;<=>?42`a?vo`89:;<9=lb:qjc56789>8ho5|if234563;lh0di?012306`e3zcl<=>?0563f>uno9:;<=:;1c9pmb6789:?8?l4she34567<=9i7~gh01234123j2ybk=>?01671g=tan:;<=>;47`8wla789:;899m;rkd45678=>3n6}fg12345231k1xej>?01270dd<{`m;<=>?45`a?vo`89:;<9:lb:qjc56789>?ho5|if234563?0573f>uno9:;<=::1c9pmb6789:?9?l4she34567<<9i7~gh01234133j2ybk=>?01661g=tan:;<=>;57`8wla789:;889m;rkd45678=?3n6}fg12345221k1xej>?01271dd<{`m;<=>?44`a?vo`89:;<9;lb:qjc56789>>ho5|if234563=lh0di?012300`e3zcl<=>?0543f>uno9:;<=:91c9pmb6789:?:?l4she34567?01651g=tan:;<=>;67`8wla789:;8;9m;rkd45678=<3n6}fg12345211k1xej>?01272dd<{`m;<=>?47`a?vo`89:;<98lb:qjc56789>=ho5|if234563>lh0di?012303`e3zcl<=>?0553f>uno9:;<=:81c9pmb6789:?;?l4she34567<>9i7~gh01234113j2ybk=>?01641g=tan:;<=>;77`8wla789:;8:9m;rkd45678==3n6}fg12345201k1xej>?01273dd<{`m;<=>?46`a?vo`89:;<99lb:qjc56789>?05:3f>uno9:;<=:71c9pmb6789:?4?l4she34567<19i7~gh012341>3j2ybk=>?016;1g=tan:;<=>;87`8wla789:;859m;rkd45678=23n6}fg123452?1k1xej>?0127?49`a?vo`89:;<96lb:qjc56789>3ho5|if2345630lh0di?01230=`e3zcl<=>?05;3f>uno9:;<=:61c9pmb6789:?5?l4she34567<09i7~gh012341?3j2ybk=>?016:1g=tan:;<=>;97`8wla789:;849m;rkd45678=33n6}fg123452>1k1xej>?0127=dd<{`m;<=>?48`a?vo`89:;<97lb:qjc56789>2ho5|if2345631lh0di?01230<`e3zcl<=>?05c3f>uno9:;<=:n1c9pmb6789:?m?l4she34567?016b1g=tan:;<=>;a7`8wla789:;8l9m;rkd45678=k3n6}fg123452f1k1xej>?0127edd<{`m;<=>?4``a?vo`89:;<9olb:qjc56789>jho5|if234563ilh0di?01230d`e3zcl<=>?05`3f>uno9:;<=:m1c9pmb6789:?n?l4she34567?016a1g=tan:;<=>;b7`8wla789:;8o9m;rkd45678=h3n6}fg123452e1k1xej>?0127fdd<{`m;<=>?4c`a?vo`89:;<9llb:qjc56789>iho5|if234563jlh0di?01230g`e3zcl<=>?05a3f>uno9:;<=:l1c9pmb6789:?o?l4she34567?016`1g=tan:;<=>;c7`8wla789:;8n9m;rkd45678=i3n6}fg123452d1k1xej>?0127gdd<{`m;<=>?4b`a?vo`89:;<9mlb:qjc56789>hho5|if234563klh0di?01230f`e3zcl<=>?05f3f>uno9:;<=:k1c9pmb6789:?h?l4she34567?016g1g=tan:;<=>;d7`8wla789:;8i9m;rkd45678=n3n6}fg123452c1k1xej>?0127`dd<{`m;<=>?4e`a?vo`89:;<9jlb:qjc56789>oho5|if234563llh0di?01230a`e3zcl<=>?05g3f>uno9:;<=:j1c9pmb6789:?i?l4she34567?016f1g=tan:;<=>;e7`8wla789:;8h9m;rkd45678=o3n6}fg123452b1k1xej>?0127add<{`m;<=>?4d`a?vo`89:;<9klb:qjc56789>nho5|if234563mlh0di?01230``e3zcl<=>?05d3f>uno9:;<=:i1c9pmb6789:?j?l4she34567?016e1g=tan:;<=>;f7`8wla789:;8k9m;rkd45678=l3n6}fg123452a1k1xej>?0127bdd<{`m;<=>?4g`a?vo`89:;<9hlb:qjc56789>mho5|if234563nlh0di?01230c`e3zcl<=>?0423f>uno9:;<=;?1c9pmb6789:>?01731g=tan:;<=>:07`8wla789:;9=9m;rkd45678<:3n6}fg12345371k1xej>?01264dd<{`m;<=>?51`a?vo`89:;<8>lb:qjc56789?;ho5|if2345628lh0di?012315`e3zcl<=>?0433f>uno9:;<=;>1c9pmb6789:>=?l4she34567=89i7~gh01234073j2ybk=>?01721g=tan:;<=>:17`8wla789:;9<9m;rkd45678<;3n6}fg12345361k1xej>?01265dd<{`m;<=>?50`a?vo`89:;<8?lb:qjc56789?:ho5|if2345629lh0di?012314`e3zcl<=>?0403f>uno9:;<=;=1c9pmb6789:>>?l4she34567=;9i7~gh01234043j2ybk=>?01711g=tan:;<=>:27`8wla789:;9?9m;rkd45678<83n6}fg12345351k1xej>?01266dd<{`m;<=>?53`a?vo`89:;<8?0413f>uno9:;<=;<1c9pmb6789:>??l4she34567=:9i7~gh01234053j2ybk=>?01701g=tan:;<=>:37`8wla789:;9>9m;rkd45678<93n6}fg12345341k1xej>?01267dd<{`m;<=>?52`a?vo`89:;<8=lb:qjc56789?8ho5|if234562;lh0di?012316`e3zcl<=>?0463f>uno9:;<=;;1c9pmb6789:>8?l4she34567==9i7~gh01234023j2ybk=>?01771g=tan:;<=>:47`8wla789:;999m;rkd45678<>3n6}fg12345331k1xej>?01260dd<{`m;<=>?55`a?vo`89:;<8:lb:qjc56789??ho5|if234562?0473f>uno9:;<=;:1c9pmb6789:>9?l4she34567=<9i7~gh01234033j2ybk=>?01761g=tan:;<=>:57`8wla789:;989m;rkd45678?01261dd<{`m;<=>?54`a?vo`89:;<8;lb:qjc56789?>ho5|if234562=lh0di?012310`e3zcl<=>?0443f>uno9:;<=;91c9pmb6789:>:?l4she34567=?9i7~gh01234003j2ybk=>?01751g=tan:;<=>:67`8wla789:;9;9m;rkd45678<<3n6}fg12345311k1xej>?01262dd<{`m;<=>?57`a?vo`89:;<88lb:qjc56789?=ho5|if234562>lh0di?012313`e3zcl<=>?0453f>uno9:;<=;81c9pmb6789:>;?l4she34567=>9i7~gh01234013j2ybk=>?01741g=tan:;<=>:77`8wla789:;9:9m;rkd45678<=3n6}fg12345301k1xej>?01263dd<{`m;<=>?56`a?vo`89:;<89lb:qjc56789??04:3f>uno9:;<=;71c9pmb6789:>4?l4she34567=19i7~gh012340>3j2ybk=>?017;1g=tan:;<=>:87`8wla789:;959m;rkd45678<23n6}fg123453?1k1xej>?0126?59`a?vo`89:;<86lb:qjc56789?3ho5|if2345620lh0di?01231=`e3zcl<=>?04;3f>uno9:;<=;61c9pmb6789:>5?l4she34567=09i7~gh012340?3j2ybk=>?017:1g=tan:;<=>:97`8wla789:;949m;rkd45678<33n6}fg123453>1k1xej>?0126=dd<{`m;<=>?58`a?vo`89:;<87lb:qjc56789?2ho5|if2345621lh0di?01231<`e3zcl<=>?04c3f>uno9:;<=;n1c9pmb6789:>m?l4she34567=h9i7~gh012340g3j2ybk=>?017b1g=tan:;<=>:a7`8wla789:;9l9m;rkd45678?0126edd<{`m;<=>?5``a?vo`89:;<8olb:qjc56789?jho5|if234562ilh0di?01231d`e3zcl<=>?04`3f>uno9:;<=;m1c9pmb6789:>n?l4she34567=k9i7~gh012340d3j2ybk=>?017a1g=tan:;<=>:b7`8wla789:;9o9m;rkd45678?0126fdd<{`m;<=>?5c`a?vo`89:;<8llb:qjc56789?iho5|if234562jlh0di?01231g`e3zcl<=>?04a3f>uno9:;<=;l1c9pmb6789:>o?l4she34567=j9i7~gh012340e3j2ybk=>?017`1g=tan:;<=>:c7`8wla789:;9n9m;rkd45678?0126gdd<{`m;<=>?5b`a?vo`89:;<8mlb:qjc56789?hho5|if234562klh0di?01231f`e3zcl<=>?04f3f>uno9:;<=;k1c9pmb6789:>h?l4she34567=m9i7~gh012340b3j2ybk=>?017g1g=tan:;<=>:d7`8wla789:;9i9m;rkd45678?0126`dd<{`m;<=>?5e`a?vo`89:;<8jlb:qjc56789?oho5|if234562llh0di?01231a`e3zcl<=>?04g3f>uno9:;<=;j1c9pmb6789:>i?l4she34567=l9i7~gh012340c3j2ybk=>?017f1g=tan:;<=>:e7`8wla789:;9h9m;rkd45678?0126add<{`m;<=>?5d`a?vo`89:;<8klb:qjc56789?nho5|if234562mlh0di?01231``e3zcl<=>?04d3f>uno9:;<=;i1c9pmb6789:>j?l4she34567=o9i7~gh012340`3j2ybk=>?017e1g=tan:;<=>:f7`8wla789:;9k9m;rkd45678?0126bdd<{`m;<=>?5g`a?vo`89:;<8hlb:qjc56789?mho5|if234562nlh0di?01231c`e3zcl<=>?0723f>uno9:;<=8?1c9pmb6789:=99i7~gh01234363j2ybk=>?01431g=tan:;<=>907`8wla789:;:=9m;rkd45678?:3n6}fg12345071k1xej>?01254dd<{`m;<=>?61`a?vo`89:;<;>lb:qjc56789<;ho5|if2345618lh0di?012325`e3zcl<=>?0733f>uno9:;<=8>1c9pmb6789:==?l4she34567>89i7~gh01234373j2ybk=>?01421g=tan:;<=>917`8wla789:;:<9m;rkd45678?;3n6}fg12345061k1xej>?01255dd<{`m;<=>?60`a?vo`89:;<;?lb:qjc56789<:ho5|if2345619lh0di?012324`e3zcl<=>?0703f>uno9:;<=8=1c9pmb6789:=>?l4she34567>;9i7~gh01234343j2ybk=>?01411g=tan:;<=>927`8wla789:;:?9m;rkd45678?83n6}fg12345051k1xej>?01256dd<{`m;<=>?63`a?vo`89:;<;?0713f>uno9:;<=8<1c9pmb6789:=??l4she34567>:9i7~gh01234353j2ybk=>?01401g=tan:;<=>937`8wla789:;:>9m;rkd45678?93n6}fg12345041k1xej>?01257dd<{`m;<=>?62`a?vo`89:;<;=lb:qjc56789<8ho5|if234561;lh0di?012326`e3zcl<=>?0763f>uno9:;<=8;1c9pmb6789:=8?l4she34567>=9i7~gh01234323j2ybk=>?01471g=tan:;<=>947`8wla789:;:99m;rkd45678?>3n6}fg12345031k1xej>?01250dd<{`m;<=>?65`a?vo`89:;<;:lb:qjc56789?0773f>uno9:;<=8:1c9pmb6789:=9?l4she34567><9i7~gh01234333j2ybk=>?01461g=tan:;<=>957`8wla789:;:89m;rkd45678??3n6}fg12345021k1xej>?01251dd<{`m;<=>?64`a?vo`89:;<;;lb:qjc56789<>ho5|if234561=lh0di?012320`e3zcl<=>?0743f>uno9:;<=891c9pmb6789:=:?l4she34567>?9i7~gh01234303j2ybk=>?01451g=tan:;<=>967`8wla789:;:;9m;rkd45678?<3n6}fg12345011k1xej>?01252dd<{`m;<=>?67`a?vo`89:;<;8lb:qjc56789<=ho5|if234561>lh0di?012323`e3zcl<=>?0753f>uno9:;<=881c9pmb6789:=;?l4she34567>>9i7~gh01234313j2ybk=>?01441g=tan:;<=>977`8wla789:;::9m;rkd45678?=3n6}fg12345001k1xej>?01253dd<{`m;<=>?66`a?vo`89:;<;9lb:qjc56789<?07:3f>uno9:;<=871c9pmb6789:=4?l4she34567>19i7~gh012343>3j2ybk=>?014;1g=tan:;<=>987`8wla789:;:59m;rkd45678?23n6}fg123450?1k1xej>?0125?69`a?vo`89:;<;6lb:qjc56789<3ho5|if2345610lh0di?01232=`e3zcl<=>?07;3f>uno9:;<=861c9pmb6789:=5?l4she34567>09i7~gh012343?3j2ybk=>?014:1g=tan:;<=>997`8wla789:;:49m;rkd45678?33n6}fg123450>1k1xej>?0125=dd<{`m;<=>?68`a?vo`89:;<;7lb:qjc56789<2ho5|if2345611lh0di?01232<`e3zcl<=>?07c3f>uno9:;<=8n1c9pmb6789:=m?l4she34567>h9i7~gh012343g3j2ybk=>?014b1g=tan:;<=>9a7`8wla789:;:l9m;rkd45678?k3n6}fg123450f1k1xej>?0125edd<{`m;<=>?6``a?vo`89:;<;olb:qjc56789?07`3f>uno9:;<=8m1c9pmb6789:=n?l4she34567>k9i7~gh012343d3j2ybk=>?014a1g=tan:;<=>9b7`8wla789:;:o9m;rkd45678?h3n6}fg123450e1k1xej>?0125fdd<{`m;<=>?6c`a?vo`89:;<;llb:qjc56789?07a3f>uno9:;<=8l1c9pmb6789:=o?l4she34567>j9i7~gh012343e3j2ybk=>?014`1g=tan:;<=>9c7`8wla789:;:n9m;rkd45678?i3n6}fg123450d1k1xej>?0125gdd<{`m;<=>?6b`a?vo`89:;<;mlb:qjc56789?07f3f>uno9:;<=8k1c9pmb6789:=h?l4she34567>m9i7~gh012343b3j2ybk=>?014g1g=tan:;<=>9d7`8wla789:;:i9m;rkd45678?n3n6}fg123450c1k1xej>?0125`dd<{`m;<=>?6e`a?vo`89:;<;jlb:qjc56789?07g3f>uno9:;<=8j1c9pmb6789:=i?l4she34567>l9i7~gh012343c3j2ybk=>?014f1g=tan:;<=>9e7`8wla789:;:h9m;rkd45678?o3n6}fg123450b1k1xej>?0125add<{`m;<=>?6d`a?vo`89:;<;klb:qjc56789?07d3f>uno9:;<=8i1c9pmb6789:=j?l4she34567>o9i7~gh012343`3j2ybk=>?014e1g=tan:;<=>9f7`8wla789:;:k9m;rkd45678?l3n6}fg123450a1k1xej>?0125bdd<{`m;<=>?6g`a?vo`89:;<;hlb:qjc56789?0623f>uno9:;<=9?1c9pmb6789:<?01531g=tan:;<=>807`8wla789:;;=9m;rkd45678>:3n6}fg12345171k1xej>?01244dd<{`m;<=>?71`a?vo`89:;<:>lb:qjc56789=;ho5|if2345608lh0di?012335`e3zcl<=>?0633f>uno9:;<=9>1c9pmb6789:<=?l4she34567?89i7~gh01234273j2ybk=>?01521g=tan:;<=>817`8wla789:;;<9m;rkd45678>;3n6}fg12345161k1xej>?01245dd<{`m;<=>?70`a?vo`89:;<:?lb:qjc56789=:ho5|if2345609lh0di?012334`e3zcl<=>?0603f>uno9:;<=9=1c9pmb6789:<>?l4she34567?;9i7~gh01234243j2ybk=>?01511g=tan:;<=>827`8wla789:;;?9m;rkd45678>83n6}fg12345151k1xej>?01246dd<{`m;<=>?73`a?vo`89:;<:?0613f>uno9:;<=9<1c9pmb6789:?01501g=tan:;<=>837`8wla789:;;>9m;rkd45678>93n6}fg12345141k1xej>?01247dd<{`m;<=>?72`a?vo`89:;<:=lb:qjc56789=8ho5|if234560;lh0di?012336`e3zcl<=>?0663f>uno9:;<=9;1c9pmb6789:<8?l4she34567?=9i7~gh01234223j2ybk=>?01571g=tan:;<=>847`8wla789:;;99m;rkd45678>>3n6}fg12345131k1xej>?01240dd<{`m;<=>?75`a?vo`89:;<::lb:qjc56789=?ho5|if234560?0673f>uno9:;<=9:1c9pmb6789:<9?l4she34567?<9i7~gh01234233j2ybk=>?01561g=tan:;<=>857`8wla789:;;89m;rkd45678>?3n6}fg12345121k1xej>?01241dd<{`m;<=>?74`a?vo`89:;<:;lb:qjc56789=>ho5|if234560=lh0di?012330`e3zcl<=>?0643f>uno9:;<=991c9pmb6789:<:?l4she34567??9i7~gh01234203j2ybk=>?01551g=tan:;<=>867`8wla789:;;;9m;rkd45678><3n6}fg12345111k1xej>?01242dd<{`m;<=>?77`a?vo`89:;<:8lb:qjc56789==ho5|if234560>lh0di?012333`e3zcl<=>?0653f>uno9:;<=981c9pmb6789:<;?l4she34567?>9i7~gh01234213j2ybk=>?01541g=tan:;<=>877`8wla789:;;:9m;rkd45678>=3n6}fg12345101k1xej>?01243dd<{`m;<=>?76`a?vo`89:;<:9lb:qjc56789=?06:3f>uno9:;<=971c9pmb6789:<4?l4she34567?19i7~gh012342>3j2ybk=>?015;1g=tan:;<=>887`8wla789:;;59m;rkd45678>23n6}fg123451?1k1xej>?0124?79`a?vo`89:;<:6lb:qjc56789=3ho5|if2345600lh0di?01233=`e3zcl<=>?06;3f>uno9:;<=961c9pmb6789:<5?l4she34567?09i7~gh012342?3j2ybk=>?015:1g=tan:;<=>897`8wla789:;;49m;rkd45678>33n6}fg123451>1k1xej>?0124=dd<{`m;<=>?78`a?vo`89:;<:7lb:qjc56789=2ho5|if2345601lh0di?01233<`e3zcl<=>?06c3f>uno9:;<=9n1c9pmb6789:?015b1g=tan:;<=>8a7`8wla789:;;l9m;rkd45678>k3n6}fg123451f1k1xej>?0124edd<{`m;<=>?7``a?vo`89:;<:olb:qjc56789=jho5|if234560ilh0di?01233d`e3zcl<=>?06`3f>uno9:;<=9m1c9pmb6789:?015a1g=tan:;<=>8b7`8wla789:;;o9m;rkd45678>h3n6}fg123451e1k1xej>?0124fdd<{`m;<=>?7c`a?vo`89:;<:llb:qjc56789=iho5|if234560jlh0di?01233g`e3zcl<=>?06a3f>uno9:;<=9l1c9pmb6789:?015`1g=tan:;<=>8c7`8wla789:;;n9m;rkd45678>i3n6}fg123451d1k1xej>?0124gdd<{`m;<=>?7b`a?vo`89:;<:mlb:qjc56789=hho5|if234560klh0di?01233f`e3zcl<=>?06f3f>uno9:;<=9k1c9pmb6789:?015g1g=tan:;<=>8d7`8wla789:;;i9m;rkd45678>n3n6}fg123451c1k1xej>?0124`dd<{`m;<=>?7e`a?vo`89:;<:jlb:qjc56789=oho5|if234560llh0di?01233a`e3zcl<=>?06g3f>uno9:;<=9j1c9pmb6789:?015f1g=tan:;<=>8e7`8wla789:;;h9m;rkd45678>o3n6}fg123451b1k1xej>?0124add<{`m;<=>?7d`a?vo`89:;<:klb:qjc56789=nho5|if234560mlh0di?01233``e3zcl<=>?06d3f>uno9:;<=9i1c9pmb6789:?015e1g=tan:;<=>8f7`8wla789:;;k9m;rkd45678>l3n6}fg123451a1k1xej>?0124bdd<{`m;<=>?7g`a?vo`89:;<:hlb:qjc56789=mho5|if234560nlh0di?01233c`e3zcl<=>?0923f>uno9:;<=6?1c9pmb6789:3?01:31g=tan:;<=>707`8wla789:;4=9m;rkd456781:3n6}fg12345>71k1xej>?012;4dd<{`m;<=>?81`a?vo`89:;<5>lb:qjc567892;ho5|if23456?8lh0di?0123<5`e3zcl<=>?0933f>uno9:;<=6>1c9pmb6789:3=?l4she34567089i7~gh01234=73j2ybk=>?01:21g=tan:;<=>717`8wla789:;4<9m;rkd456781;3n6}fg12345>61k1xej>?012;5dd<{`m;<=>?80`a?vo`89:;<5?lb:qjc567892:ho5|if23456?9lh0di?0123<4`e3zcl<=>?0903f>uno9:;<=6=1c9pmb6789:3>?l4she345670;9i7~gh01234=43j2ybk=>?01:11g=tan:;<=>727`8wla789:;4?9m;rkd45678183n6}fg12345>51k1xej>?012;6dd<{`m;<=>?83`a?vo`89:;<5?0913f>uno9:;<=6<1c9pmb6789:3??l4she345670:9i7~gh01234=53j2ybk=>?01:01g=tan:;<=>737`8wla789:;4>9m;rkd45678193n6}fg12345>41k1xej>?012;7dd<{`m;<=>?82`a?vo`89:;<5=lb:qjc5678928ho5|if23456?;lh0di?0123<6`e3zcl<=>?0963f>uno9:;<=6;1c9pmb6789:38?l4she345670=9i7~gh01234=23j2ybk=>?01:71g=tan:;<=>747`8wla789:;499m;rkd456781>3n6}fg12345>31k1xej>?012;0dd<{`m;<=>?85`a?vo`89:;<5:lb:qjc567892?ho5|if23456??0973f>uno9:;<=6:1c9pmb6789:39?l4she345670<9i7~gh01234=33j2ybk=>?01:61g=tan:;<=>757`8wla789:;489m;rkd456781?3n6}fg12345>21k1xej>?012;1dd<{`m;<=>?84`a?vo`89:;<5;lb:qjc567892>ho5|if23456?=lh0di?0123<0`e3zcl<=>?0943f>uno9:;<=691c9pmb6789:3:?l4she345670?9i7~gh01234=03j2ybk=>?01:51g=tan:;<=>767`8wla789:;4;9m;rkd456781<3n6}fg12345>11k1xej>?012;2dd<{`m;<=>?87`a?vo`89:;<58lb:qjc567892=ho5|if23456?>lh0di?0123<3`e3zcl<=>?0953f>uno9:;<=681c9pmb6789:3;?l4she345670>9i7~gh01234=13j2ybk=>?01:41g=tan:;<=>777`8wla789:;4:9m;rkd456781=3n6}fg12345>01k1xej>?012;3dd<{`m;<=>?86`a?vo`89:;<59lb:qjc567892?09:3f>uno9:;<=671c9pmb6789:34?l4she34567019i7~gh01234=>3j2ybk=>?01:;1g=tan:;<=>787`8wla789:;459m;rkd45678123n6}fg12345>?1k1xej>?012;?89`a?vo`89:;<56lb:qjc5678923ho5|if23456?0lh0di?0123<=`e3zcl<=>?09;3f>uno9:;<=661c9pmb6789:35?l4she34567009i7~gh01234=?3j2ybk=>?01::1g=tan:;<=>797`8wla789:;449m;rkd45678133n6}fg12345>>1k1xej>?012;=dd<{`m;<=>?88`a?vo`89:;<57lb:qjc5678922ho5|if23456?1lh0di?0123<<`e3zcl<=>?09c3f>uno9:;<=6n1c9pmb6789:3m?l4she345670h9i7~gh01234=g3j2ybk=>?01:b1g=tan:;<=>7a7`8wla789:;4l9m;rkd456781k3n6}fg12345>f1k1xej>?012;edd<{`m;<=>?8``a?vo`89:;<5olb:qjc567892jho5|if23456?ilh0di?0123?09`3f>uno9:;<=6m1c9pmb6789:3n?l4she345670k9i7~gh01234=d3j2ybk=>?01:a1g=tan:;<=>7b7`8wla789:;4o9m;rkd456781h3n6}fg12345>e1k1xej>?012;fdd<{`m;<=>?8c`a?vo`89:;<5llb:qjc567892iho5|if23456?jlh0di?0123?09a3f>uno9:;<=6l1c9pmb6789:3o?l4she345670j9i7~gh01234=e3j2ybk=>?01:`1g=tan:;<=>7c7`8wla789:;4n9m;rkd456781i3n6}fg12345>d1k1xej>?012;gdd<{`m;<=>?8b`a?vo`89:;<5mlb:qjc567892hho5|if23456?klh0di?0123?09f3f>uno9:;<=6k1c9pmb6789:3h?l4she345670m9i7~gh01234=b3j2ybk=>?01:g1g=tan:;<=>7d7`8wla789:;4i9m;rkd456781n3n6}fg12345>c1k1xej>?012;`dd<{`m;<=>?8e`a?vo`89:;<5jlb:qjc567892oho5|if23456?llh0di?0123?09g3f>uno9:;<=6j1c9pmb6789:3i?l4she345670l9i7~gh01234=c3j2ybk=>?01:f1g=tan:;<=>7e7`8wla789:;4h9m;rkd456781o3n6}fg12345>b1k1xej>?012;add<{`m;<=>?8d`a?vo`89:;<5klb:qjc567892nho5|if23456?mlh0di?0123<``e3zcl<=>?09d3f>uno9:;<=6i1c9pmb6789:3j?l4she345670o9i7~gh01234=`3j2ybk=>?01:e1g=tan:;<=>7f7`8wla789:;4k9m;rkd456781l3n6}fg12345>a1k1xej>?012;bdd<{`m;<=>?8g`a?vo`89:;<5hlb:qjc567892mho5|if23456?nlh0di?0123?0823f>uno9:;<=7?1c9pmb6789:2?01;31g=tan:;<=>607`8wla789:;5=9m;rkd456780:3n6}fg12345?71k1xej>?012:4dd<{`m;<=>?91`a?vo`89:;<4>lb:qjc567893;ho5|if23456>8lh0di?0123=5`e3zcl<=>?0833f>uno9:;<=7>1c9pmb6789:2=?l4she34567189i7~gh01234<73j2ybk=>?01;21g=tan:;<=>617`8wla789:;5<9m;rkd456780;3n6}fg12345?61k1xej>?012:5dd<{`m;<=>?90`a?vo`89:;<4?lb:qjc567893:ho5|if23456>9lh0di?0123=4`e3zcl<=>?0803f>uno9:;<=7=1c9pmb6789:2>?l4she345671;9i7~gh01234<43j2ybk=>?01;11g=tan:;<=>627`8wla789:;5?9m;rkd45678083n6}fg12345?51k1xej>?012:6dd<{`m;<=>?93`a?vo`89:;<4:lh0di?0123=7`e3zcl<=>?0813f>uno9:;<=7<1c9pmb6789:2??l4she345671:9i7~gh01234<53j2ybk=>?01;01g=tan:;<=>637`8wla789:;5>9m;rkd45678093n6}fg12345?41k1xej>?012:7dd<{`m;<=>?92`a?vo`89:;<4=lb:qjc5678938ho5|if23456>;lh0di?0123=6`e3zcl<=>?0863f>uno9:;<=7;1c9pmb6789:28?l4she345671=9i7~gh01234<23j2ybk=>?01;71g=tan:;<=>647`8wla789:;599m;rkd456780>3n6}fg12345?31k1xej>?012:0dd<{`m;<=>?95`a?vo`89:;<4:lb:qjc567893?ho5|if23456>?0873f>uno9:;<=7:1c9pmb6789:29?l4she345671<9i7~gh01234<33j2ybk=>?01;61g=tan:;<=>657`8wla789:;589m;rkd456780?3n6}fg12345?21k1xej>?012:1dd<{`m;<=>?94`a?vo`89:;<4;lb:qjc567893>ho5|if23456>=lh0di?0123=0`e3zcl<=>?0843f>uno9:;<=791c9pmb6789:2:?l4she345671?9i7~gh01234<03j2ybk=>?01;51g=tan:;<=>667`8wla789:;5;9m;rkd456780<3n6}fg12345?11k1xej>?012:2dd<{`m;<=>?97`a?vo`89:;<48lb:qjc567893=ho5|if23456>>lh0di?0123=3`e3zcl<=>?0853f>uno9:;<=781c9pmb6789:2;?l4she345671>9i7~gh01234<13j2ybk=>?01;41g=tan:;<=>677`8wla789:;5:9m;rkd456780=3n6}fg12345?01k1xej>?012:3dd<{`m;<=>?96`a?vo`89:;<49lb:qjc567893?lh0di?0123=2`e3zcl<=>?08:3f>uno9:;<=771c9pmb6789:24?l4she34567119i7~gh01234<>3j2ybk=>?01;;1g=tan:;<=>687`8wla789:;559m;rkd45678023n6}fg12345??1k1xej>?012:?99`a?vo`89:;<46lb:qjc5678933ho5|if23456>0lh0di?0123==`e3zcl<=>?08;3f>uno9:;<=761c9pmb6789:25?l4she34567109i7~gh01234?01;:1g=tan:;<=>697`8wla789:;549m;rkd45678033n6}fg12345?>1k1xej>?012:=dd<{`m;<=>?98`a?vo`89:;<47lb:qjc5678932ho5|if23456>1lh0di?0123=<`e3zcl<=>?08c3f>uno9:;<=7n1c9pmb6789:2m?l4she345671h9i7~gh01234?01;b1g=tan:;<=>6a7`8wla789:;5l9m;rkd456780k3n6}fg12345?f1k1xej>?012:edd<{`m;<=>?9``a?vo`89:;<4olb:qjc567893jho5|if23456>ilh0di?0123=d`e3zcl<=>?08`3f>uno9:;<=7m1c9pmb6789:2n?l4she345671k9i7~gh01234?01;a1g=tan:;<=>6b7`8wla789:;5o9m;rkd456780h3n6}fg12345?e1k1xej>?012:fdd<{`m;<=>?9c`a?vo`89:;<4llb:qjc567893iho5|if23456>jlh0di?0123=g`e3zcl<=>?08a3f>uno9:;<=7l1c9pmb6789:2o?l4she345671j9i7~gh01234?01;`1g=tan:;<=>6c7`8wla789:;5n9m;rkd456780i3n6}fg12345?d1k1xej>?012:gdd<{`m;<=>?9b`a?vo`89:;<4mlb:qjc567893hho5|if23456>klh0di?0123=f`e3zcl<=>?08f3f>uno9:;<=7k1c9pmb6789:2h?l4she345671m9i7~gh01234?01;g1g=tan:;<=>6d7`8wla789:;5i9m;rkd456780n3n6}fg12345?c1k1xej>?012:`dd<{`m;<=>?9e`a?vo`89:;<4jlb:qjc567893oho5|if23456>llh0di?0123=a`e3zcl<=>?08g3f>uno9:;<=7j1c9pmb6789:2i?l4she345671l9i7~gh01234?01;f1g=tan:;<=>6e7`8wla789:;5h9m;rkd456780o3n6}fg12345?b1k1xej>?012:add<{`m;<=>?9d`a?vo`89:;<4klb:qjc567893nho5|if23456>mlh0di?0123=``e3zcl<=>?08d3f>uno9:;<=7i1c9pmb6789:2j?l4she345671o9i7~gh01234<`3j2ybk=>?01;e1g=tan:;<=>6f7`8wla789:;5k9m;rkd456780l3n6}fg12345?a1k1xej>?012:bdd<{`m;<=>?9g`a?vo`89:;<4hlb:qjc567893mho5|if23456>nlh0di?0123=c`e3zcl<=>?0`23f>uno9:;<=o?1c9pmb6789:j?01c31g=tan:;<=>n07`8wla789:;m=9m;rkd45678h:3n6}fg12345g71k1xej>?012b4dd<{`m;<=>?a1`a?vo`89:;lb:qjc56789k;ho5|if23456f8lh0di?0123e5`e3zcl<=>?0`33f>uno9:;<=o>1c9pmb6789:j=?l4she34567i89i7~gh01234d73j2ybk=>?01c21g=tan:;<=>n17`8wla789:;m<9m;rkd45678h;3n6}fg12345g61k1xej>?012b5dd<{`m;<=>?a0`a?vo`89:;?0`03f>uno9:;<=o=1c9pmb6789:j>?l4she34567i;9i7~gh01234d43j2ybk=>?01c11g=tan:;<=>n27`8wla789:;m?9m;rkd45678h83n6}fg12345g51k1xej>?012b6dd<{`m;<=>?a3`a?vo`89:;?0`13f>uno9:;<=o<1c9pmb6789:j??l4she34567i:9i7~gh01234d53j2ybk=>?01c01g=tan:;<=>n37`8wla789:;m>9m;rkd45678h93n6}fg12345g41k1xej>?012b7dd<{`m;<=>?a2`a?vo`89:;?0`63f>uno9:;<=o;1c9pmb6789:j8?l4she34567i=9i7~gh01234d23j2ybk=>?01c71g=tan:;<=>n47`8wla789:;m99m;rkd45678h>3n6}fg12345g31k1xej>?012b0dd<{`m;<=>?a5`a?vo`89:;?0`73f>uno9:;<=o:1c9pmb6789:j9?l4she34567i<9i7~gh01234d33j2ybk=>?01c61g=tan:;<=>n57`8wla789:;m89m;rkd45678h?3n6}fg12345g21k1xej>?012b1dd<{`m;<=>?a4`a?vo`89:;ho5|if23456f=lh0di?0123e0`e3zcl<=>?0`43f>uno9:;<=o91c9pmb6789:j:?l4she34567i?9i7~gh01234d03>2y{Sob139wiu)Xkn%cnikl3/ldk1=q9':vLM~:5g78DE~6nm>1J7851zQ2f7<6=?;1>;4>320g<1<4<9o3wc:90;38j1062?1/88k544c8yV7e83;>:<4=6;3077b?<39?431i3:1=>==d969716bi2Y:n=4>57c94?74;;n387=;0df8W7e>2:3366??28lo97?<33f;0?538lo0h<;95;295?7|[8h96<;91;05>454:m2?6>:?e99uP12>290:6<48b5yP5g4=9<<:6?851211`=2=;=:n46*;5781gd=Q<v{=d982?p4c13:0q)=lb;;a?g72><0;6ll53;caM2282P:9n4:{61931<393>96?m5}%67`?72><1/88j51447?l72>l0;66gnc883>>i6=?n1<75`144`>5<5<#<:81io=4n512>4=5<#<:81io=4n512>6=5<#<:81io=4n512>0=5<#<:81io=4n512>2=5<#<:81io=4n512><=5<#<:81io=4n512>g=5<#<:81io=4n512>a=5<#<:81io=4n512>c=4;nf2f?6=,=996hl<;o605?7632eo=44?:%606?ce;2d??<4>2:9l`4>=83.???4jb29m067=9:10ci?8:18'064=mk90b9=>:068?jb6>3:1(9==:d`0?k2493;>76ak1483>!24:3oi?6`;30822>=hl8>1<7*;338ff6=i<:;1=:54oe30>5<#<:81io=4n512>4><3fn:>7>5$511>`d43g>8=7?6;:mg54<72->8>7km3:l774<6i21dh<>50;&777?51c98ka6b290/8><5ec18j15628i07bj?d;29 1552lh87c:<1;3g?>ic8j0;6):<2;ga7>h3;80:i65`d1`94?"3;;0nn>5a42395c=4;nf3=?6=,=996hl<;o605?4632eo<54?:%606?ce;2d??<4=2:9l`51=83.???4jb29m067=::10ci>9:18'064=mk90b9=>:368?jb7=3:1(9==:d`0?k24938>76ak3383>!24:3oi?6`;30812>=hl:;1<7*;338ff6=i<:;1>:54oe13>5<#<:81io=4n512>7><3fn9j7>5$511>`d43g>8=7<6;:mg6`<72->8>7km3:l774<5i21dh?;50;&777?52c98ka7f290/8><5ec18j1562;i07bj?f;29 1552lh87c:<1;0g?>ic8=0;6):<2;ga7>h3;809i65`d1194?"3;;0nn>5a42396c=6=4+4209b425<#<:81j<:4n512>4=5<#<:81j<:4n512>6=5<#<:81j<:4n512>0=5<#<:81j<:4n512>2=5<#<:81j<:4n512><=5<#<:81j<:4n512>g=5<#<:81j<:4n512>a=5<#<:81j<:4n512>c=4;ngg7?6=,=996k?;;o605?7632enh<4?:%606?`6<2d??<4>2:9laa6=83.???4i159m067=9:10chmi:18'064=n8>0b9=>:068?jcdm3:1(9==:g37?k2493;>76ajce83>!24:3l:86`;30822>=hmji1<7*;338e51=i<:;1=:54odaa>5<#<:81j<:4n512>4><3fohm7>5$511>c733g>8=7?6;:mfg<<72->8>7h>4:l774<6i21din650;&777?51c98k`e1290/8><5f068j15628i07bkl5;29 1552o;?7c:<1;3g?>ibk=0;6):<2;d20>h3;80:i65`eb194?"3;;0m=95a42395c=4;ng`5?6=,=996k?;;o605?4632eno=4?:%606?`6<2d??<4=2:9lag`=83.???4i159m067=::10chlj:18'064=n8>0b9=>:368?jcel3:1(9==:g37?k24938>76aje`83>!24:3l:86`;30812>=hml31<7*;338e51=i<:;1>:54odg;>5<#<:81j<:4n512>7><3fon;7>5$511>c733g>8=7<6;:mfa3<72->8>7h>4:l774<5i21diij50;&777?52c98k`b5290/8><5f068j1562;i07bkl7;29 1552o;?7c:<1;0g?>ibjj0;6):<2;d20>h3;809i65`ec`94?"3;;0m=95a42396c=5<#<:81nh64n512>4=5<#<:81nh64n512>6=5<#<:81nh64n512>0=5<#<:81nh64n512>2=5<#<:81nh64n512><=5<#<:81nh64n512>g=6=4+4209f`>5<#<:81nh64n512>a=5<#<:81nh64n512>c=4;h`12?6=,=996ok7;o605?7632ci>84?:%606?db02d??<4>2:9jf72=83.???4me99m067=9:10eo<<:18'064=jl20b9=>:068?ld6m3:1(9==:cg;?k2493;>76gm1283>!24:3hn46`;30822>=nj881<7*;338aa==i<:;1=:54o`d;>5<5<#<:81o<74n512>4=5<#<:81o<74n512>6=5<#<:81o<74n512>0=5<#<:81o<74n512>2=5<#<:81o<74n512><=5<#<:81o<74n512>g=6=4+4209g4?5<#<:81o<74n512>a=5<#<:81o<74n512>c=4;ha32?6=,=996n?6;o605?7632ch<84?:%606?e612d??<4>2:9jg52=83.???4l189m067=9:10en><:18'064=k830b9=>:068?ldam3:1(9==:b3:?k2493;>76gmf283>!24:3i:56`;30822>=njo81<7*;338`5<=i<:;1=:54i`d4>5<5<#<:81mh74n512>4=5<#<:81mh74n512>6=5<#<:81mh74n512>0=5<#<:81mh74n512>2=5<#<:81mh74n512><=5<#<:81mh74n512>g=5<#<:81mh74n512>a=5<#<:81mh74n512>c=4;hc`b?6=,=996lk6;o605?7632c:9;750;9jefc=831bmk850;9a03c=83;1<7>tH573?!23l3>>96a<9883>>{e?h750;;bb?5b:3<=8vF;519Y50e=1;q=o78k:7g92c<083=:6:<54b87`?2b2=l19=4:1;71>6g=;k08o7=k:2g97c<383996:=575841?112=;18?487;60>2>=<=0<57:::6c903<0j3><69654884`?1b2>l14=471;:1>=5=:j0?n79l:41911<2=3?=6895598;0?3>21?19l476;7a>=1=0103576n:9`9:534807?542;i1??4<9;62>14=<:0?87:::54902<303>26>o53c80g?5c2:o1?k4;0;17>63=>k0?n79l:6191621==>034797:4:9<<<013?265o57`86e?>e2>h19o47c;4`>1e=?m0=h7:k:6g92`<3m3=m6;h54g8;4?172<:14<481;72>=4=?;0>>76<:|&70a<6=?20("6j80:9;94$56;>4=#<==18;<4$2:6>6>e3`;>:h4?::mg1a<72->8>7j93:l774<732eo9n4?:%606?b1;2d??<4>;:mg1g<72->8>7j93:l774<532eo9l4?:%606?b1;2d??<4<;:mg1<<72->8>7j93:l774<332eo954?:%606?b1;2d??<4:;:mg12<72->8>7j93:l774<132eo9;4?:%606?b1;2d??<48;:mg11<72->8>7j93:l7744?:%606?b1;2d??<46;:mg17<72->8>7j93:l7748>7j93:l7748>7j93:l7748>7j93:l774<6821dh9l50;&777:1e8>?51098ka2>290/8><5d718j15628807bj;8;29 1552m<87c:<1;30?>ic<>0;6):<2;f57>h3;80:865`d5494?"3;;0o:>5a423950=>6=4+4209`354?:%606?b1;2d??<4>8:9l`14=83.???4k629m067=9010ci:>:18'064=l?90b9=>:0c8?jb383:1(9==:e40?k2493;i76ak3d83>!24:3n=?6`;3082g>=hl:n1<7*;338g26=i<:;1=i54oe1`>5<#<:81h;=4n512>4c<3fn8n7>5$511>a043g>8=7?i;:mg7d<72->8>7j93:l774<5821dh>750;&777:1e8>?52098ka5?290/8><5d718j1562;807bj<7;29 1552m<87c:<1;00?>ic;?0;6):<2;f57>h3;809865`d2794?"3;;0o:>5a423960=:3c8?jb2=3:1(9==:e40?k24938i76ak4`83>!24:3n=?6`;3081g>=hl:l1<7*;338g26=i<:;1>i54oe17>5<#<:81h;=4n512>7c<3fn8?7>5$511>a043g>8=78>7j64:l774<732eo4i4?:%606?b><2d??<4>;:mg8>7j64:l774<532eo4o4?:%606?b><2d??<4<;:mg8>7j64:l774<332eo444?:%606?b><2d??<4:;:mg<=<72->8>7j64:l774<132eo4:4?:%606?b><2d??<48;:mg<0<72->8>7j64:l774<2d??<46;:mg<6<72->8>7j64:l774<2d??<4m;:mg<4<72->8>7j64:l774<2d??<4k;:mg3c<72->8>7j64:l774<2d??<4i;:mg3a<72->8>7j64:l774<6821dh:m50;&777?51098ka1f290/8><5d868j15628807bj89;29 1552m3?7c:<1;30?>ic?10;6):<2;f:0>h3;80:865`d6594?"3;;0o595a423950=<2d??<4>8:9l`25=83.???4k959m067=9010ci9=:18'064=l0>0b9=>:0c8?jb093:1(9==:e;7?k2493;i76ak6g83>!24:3n286`;3082g>=hl?o1<7*;338g=1=i<:;1=i54oe4g>5<#<:81h4:4n512>4c<3fn=o7>5$511>a?33g>8=7?i;:mg2g<72->8>7j64:l774<5821dh;o50;&777?52098ka0>290/8><5d868j1562;807bj98;29 1552m3?7c:<1;00?>ic>>0;6):<2;f:0>h3;809865`d7494?"3;;0o595a423960=<2d??<4=8:9l`<6=83.???4k959m067=:010ci6i:18'064=l0>0b9=>:3c8?jb?>3:1(9==:e;7?k24938i76ak7c83>!24:3n286`;3081g>=hl>:1<7*;338g=1=i<:;1>i54oe46>5<#<:81h4:4n512>7c<3fn=87>5$511>a?33g>8=78>7jl5:l774<732eonh4?:%606?bd=2d??<4>;:mgfa<72->8>7jl5:l774<532eonn4?:%606?bd=2d??<4<;:mgfg<72->8>7jl5:l774<332eonl4?:%606?bd=2d??<4:;:mgf<<72->8>7jl5:l774<132eon54?:%606?bd=2d??<48;:mgf3<72->8>7jl5:l7748>7jl5:l7744?:%606?bd=2d??<4m;:mgf7<72->8>7jl5:l7748>7jl5:l7748>7jl5:l774<6821dhlj50;&777?51098kage290/8><5db78j15628807bjna;29 1552mi>7c:<1;30?>ici00;6):<2;f`1>h3;80:865`d`:94?"3;;0oo85a423950=8:9l`d2=83.???4kc49m067=9010cio<:18'064=lj?0b9=>:0c8?jbf:3:1(9==:ea6?k2493;i76aka183>!24:3nh96`;3082g>=hl0l1<7*;338gg0=i<:;1=i54oe;f>5<#<:81hn;4n512>4c<3fn2h7>5$511>ae23g>8=7?i;:mg=f<72->8>7jl5:l774<5821dh4l50;&777?52098ka?f290/8><5db78j1562;807bj69;29 1552mi>7c:<1;00?>ic110;6):<2;f`1>h3;809865`d8594?"3;;0oo85a423960=:3c8?jbe?3:1(9==:ea6?k24938i76akab83>!24:3nh96`;3081g>=hlh;1<7*;338gg0=i<:;1>i54oe;5>5<#<:81hn;4n512>7c<3fn297>5$511>ae23g>8=78>7ji6:l774<732eoik4?:%606?ba>2d??<4>;:mga`<72->8>7ji6:l774<532eoii4?:%606?ba>2d??<4<;:mgaf<72->8>7ji6:l774<332eoio4?:%606?ba>2d??<4:;:mgad<72->8>7ji6:l774<132eoi44?:%606?ba>2d??<48;:mga2<72->8>7ji6:l7742d??<46;:mga0<72->8>7ji6:l7742d??<4m;:mga6<72->8>7ji6:l7742d??<4k;:mga4<72->8>7ji6:l7742d??<4i;:mg`c<72->8>7ji6:l774<6821dhik50;&777?51098kabd290/8><5dg48j15628807bjkb;29 1552ml=7c:<1;30?>iclh0;6):<2;fe2>h3;80:865`de;94?"3;;0oj;5a423950=2d??<4>8:9l`a3=83.???4kf79m067=9010cij;:18'064=lo<0b9=>:0c8?jbc;3:1(9==:ed5?k2493;i76akd083>!24:3nm:6`;3082g>=hlm:1<7*;338gb3=i<:;1=i54oeae>5<#<:81hk84n512>4c<3fnhi7>5$511>a`13g>8=7?i;:mgga<72->8>7ji6:l774<5821dhnm50;&777?52098kaee290/8><5dg48j1562;807bjla;29 1552ml=7c:<1;00?>ick00;6):<2;fe2>h3;809865`db:94?"3;;0oj;5a423960=6=4+4209`c04?:%606?ba>2d??<4=8:9l`c4=83.???4kf79m067=:010cih>:18'064=lo<0b9=>:3c8?jbb03:1(9==:ed5?k24938i76akde83>!24:3nm:6`;3081g>=hlm81<7*;338gb3=i<:;1>i54oea4>5<#<:81hk84n512>7c<3fnh:7>5$511>a`13g>8=78>7k=7:l774<732en>=4?:%606?c5?2d??<4>;:mf5c<72->8>7k=7:l774<532en=h4?:%606?c5?2d??<4<;:mf5a<72->8>7k=7:l774<332en=n4?:%606?c5?2d??<4:;:mf5g<72->8>7k=7:l774<132en=l4?:%606?c5?2d??<48;:mf5=<72->8>7k=7:l7748>7k=7:l7748>7k=7:l7744?:%606?c5?2d??<4k;:mf57<72->8>7k=7:l7748>7k=7:l774<6821di=h50;&7771e8>?51098k`6c290/8><5e358j15628807bk?c;29 1552l8<7c:<1;30?>ib8k0;6):<2;g13>h3;80:865`e1c94?"3;;0n>:5a423950=8:9la50=83.???4j269m067=9010ch>::18'064=m;=0b9=>:0c8?jc7<3:1(9==:d04?k2493;i76aj0383>!24:3o9;6`;3082g>=hm9;1<7*;338f62=i<:;1=i54od23>5<#<:81i?94n512>4c<3fnmj7>5$511>`403g>8=7?i;:mgb`<72->8>7k=7:l774<5821dhkj50;&7771e8>?52098ka`d290/8><5e358j1562;807bjib;29 1552l8<7c:<1;00?>icnh0;6):<2;g13>h3;809865`dg;94?"3;;0n>:5a423960=94?:%606?c5?2d??<4=8:9la75=83.???4j269m067=:010ch<=:18'064=m;=0b9=>:3c8?jc613:1(9==:d04?k24938i76aj0d83>!24:3o9;6`;3081g>=hm991<7*;338f62=i<:;1>i54oed;>5<#<:81i?94n512>7c<3fnm;7>5$511>`403g>8=78>7k:8:l774<732en9<4?:%606?c202d??<4>;:mf15<72->8>7k:8:l774<532en8k4?:%606?c202d??<4<;:mf0`<72->8>7k:8:l774<332en8i4?:%606?c202d??<4:;:mf0f<72->8>7k:8:l774<132en8o4?:%606?c202d??<48;:mf0<<72->8>7k:8:l7748>7k:8:l7748>7k:8:l7748>7k:8:l7748>7k:8:l774<6821di9>50;&777?51098k`5b290/8><5e4:8j15628807bkib;j0;6):<2;g6<>h3;80:865`e2`94?"3;;0n955a423950=8:9la61=83.???4j599m067=9010ch=9:18'064=m<20b9=>:0c8?jc4=3:1(9==:d7;?k2493;i76aj3283>!24:3o>46`;3082g>=hm:81<7*;338f1==i<:;1=i54od12>5<#<:81i864n512>4c<3fo8<7>5$511>`3?3g>8=7?i;:mf6c<72->8>7k:8:l774<5821di?k50;&777?52098k`4c290/8><5e4:8j1562;807bk=c;29 1552l?37c:<1;00?>ib:k0;6):<2;g6<>h3;809865`e3c94?"3;;0n955a423960=:3c8?jc3i3:1(9==:d7;?k24938i76aj3g83>!24:3o>46`;3081g>=hm:>1<7*;338f1==i<:;1>i54od0:>5<#<:81i864n512>7c<3fo947>5$511>`3?3g>8=78>7k79:l774<732en4?4?:%606?c?12d??<4>;:mf<4<72->8>7k79:l774<532en4=4?:%606?c?12d??<4<;:mf3c<72->8>7k79:l774<332en;h4?:%606?c?12d??<4:;:mf3a<72->8>7k79:l774<132en;n4?:%606?c?12d??<48;:mf3d<72->8>7k79:l7748>7k79:l7748>7k79:l7748>7k79:l7744?:%606?c?12d??<4i;:mf37<72->8>7k79:l774<6821di:?50;&777?51098k`0a290/8><5e9;8j15628807bk9e;29 1552l227c:<1;30?>ib>m0;6):<2;g;=>h3;80:865`e7a94?"3;;0n445a423950=8:9la3>=83.???4j889m067=9010ch88:18'064=m130b9=>:0c8?jc1>3:1(9==:d::?k2493;i76aj6583>!24:3o356`;3082g>=hm?91<7*;338f<<=i<:;1=i54od41>5<#<:81i574n512>4c<3fo==7>5$511>`>>3g>8=7?i;:mf25<72->8>7k79:l774<5821di8h50;&777?52098k`3b290/8><5e9;8j1562;807bk:d;29 1552l227c:<1;00?>ib=j0;6):<2;g;=>h3;809865`e4`94?"3;;0n445a423960=:3c8?jc0j3:1(9==:d::?k24938i76aj7183>!24:3o356`;3081g>=hm??1<7*;338f<<=i<:;1>i54od7b>5<#<:81i574n512>7c<3fo>57>5$511>`>>3g>8=7:198k46e;3:1(9==:02ag>h3;80:76a>0c094?"3;;0:7=5$511>46ek2d??<4<;:m24d`=83.???4>0ca8j1562=10c<>ne;29 15528:io6`;3086?>i68hn1<7*;33824gemc:l774<032e::998k46fi3:1(9==:02ag>h3;80276a>0`;94?"3;;0:d=5$511>46ek2d??<4m;:m24d1=83.???4>0ca8j1562j10c<>n6;29 15528:io6`;308g?>i68kh1<7*;33824gemc:l774:028?j77j10;6):<2;33ff=i<:;1=<54o02a3?6=,=996<>mc:l774<6:21d==l9:18'064=99hh7c:<1;30?>i68k?1<7*;33824ge8>7??bb9m067=9<10c<>n5;29 15528:io6`;30822>=h99k?6=4+420955dd3g>8=7?8;:m24fb=83.???4>0e78j1562910c<>lc;29 15528:o96`;3082?>i68jh1<7*;33824a3k5:l774<432e::598k46d?3:1(9==:02g1>h3;80>76a>0b494?"3;;0:3=5$511>46c=2d??<48;:m24f2=83.???4>0e78j1562110c<>l3;29 15528:o96`;308:?>i68j81<7*;33824a3k5:l77450;&777<68m?0b9=>:b98k46en3:1(9==:02g1>h3;80o76a>0e694?"3;;0:`=5$511>46c=2d??<4i;:m24a4=83.???4>0e78j15628:07b??d083>!24:3;;h85a423954=5$511>46c=2d??<4>2:9l55ea290/8><511f6?k2493;876a>0bg94?"3;;0:42<3f;;o44?:%606?77l<1e8>?51498k46em3:1(9==:02g1>h3;80::65`11`g>5<#<:81==j:;o605?7032e::198k46b=3:1(9==:02fa>h3;80:76a>0d694?"3;;0:7=5$511>46bm2d??<4<;:m24`7=83.???4>0dg8j1562=10c<>j0;29 15528:ni6`;3086?>i68ml1<7*;33824`cje:l774<032e::998k46ck3:1(9==:02fa>h3;80276a>0e`94?"3;;0:d=5$511>46bm2d??<4m;:m24a?=83.???4>0dg8j1562j10c<>k8;29 15528:ni6`;308g?>i68ln1<7*;33824`cje:l774:028?j77mh0;6):<2;33a`=i<:;1=<54o02f=?6=,=996<>je:l774<6:21d==k7:18'064=99on7c:<1;30?>i68l=1<7*;33824`c8>7??ed9m067=9<10c<>k7;29 15528:ni6`;30822>=h99n=6=4+420955cb3g>8=7?8;:m24c`=83.???4>1158j1562910c<>ie;29 15528;;;6`;3082?>i68on1<7*;3382551:598k46a13:1(9==:0333>h3;80>76a>0g:94?"3;;0:==94n512>3=5$511>477?2d??<48;:m24c0=83.???4>1158j1562110c<>i5;29 15528;;;6`;308:?>i68o>1<7*;3382551:b98k46a93:1(9==:0333>h3;80o76a>11494?"3;;0:==94n512>`=5$511>477?2d??<4i;:m2552=83.???4>1158j15628:07b?>0283>!24:3;:<:5a423954=7>5$511>477?2d??<4>2:9l5466290/8><51024?k2493;876a>11294?"3;;0:==94n512>42<3f;;jo4?:%606?768>1e8>?51498k46a83:1(9==:0333>h3;80::65`11ge>5<#<:81=<>8;o605?7032e:=<650;&777<69;:0b9=>:198k476?3:1(9==:0314>h3;80:76a>10494?"3;;0:=?>4n512>7=5$511>47582d??<4<;:m2545=83.???4>1328j1562=10c2;29 15528;9<6`;3086?>i698;1<7*;3382576:998k477m3:1(9==:0314>h3;80276a>11f94?"3;;0:=?>4n512>d=5$511>47582d??<4m;:m255d=83.???4>1328j1562j10ci698l1<7*;3382576:028?j769j0;6):<2;3265=i<:;1=<54o032f?6=,=996i69831<7*;33825768>7?>219m067=9<10c=h98:36=4+420954473g>8=7?8;:m2567=83.???4>12;8j1562910ci69;l1<7*;338256?:598k475j3:1(9==:030=>h3;80>76a>13c94?"3;;0:=>74n512>3=5$511>47412d??<48;:m257>=83.???4>12;8j1562110ci69;<1<7*;338256?:b98k475;3:1(9==:030=>h3;80o76a>12:94?"3;;0:=>74n512>`=5$511>47412d??<4i;:m2560=83.???4>12;8j15628:07b?>3483>!24:3;:?45a423954=5$511>47412d??<4>2:9l5454290/8><5101:?k2493;876a>12094?"3;;0:=>74n512>42<3f;:>i4?:%606?76;01e8>?51498k475:3:1(9==:030=>h3;80::65`1002>5<#<:81=<=6;o605?7032e:=9o50;&777<69<80b9=>:198k47313:1(9==:0366>h3;80:76a>15:94?"3;;0:=8<4n512>7=5$511>472:2d??<4<;:m2513=83.???4>1408j1562=10c>6`;3086?>i69=91<7*;3382504:998k47383:1(9==:0366>h3;80276a>12d94?"3;;0:=8<4n512>d=5$511>472:2d??<4m;:m256b=83.???4>1408j1562j10c>6`;308g?>i69<;1<7*;3382504:028?j76i69=h1<7*;33825048>7?>539m067=9<10c>6`;30822>=h989j6=4+420954353g>8=7?8;:m213b=831bn>o50;&777?50:9jf6?=83.???4m439m067=921bn>650;&777?52:9jf61=83.???4m439m067=;21bn>;50;&777?54:9jf62=83.???4m439m067==21bn>=50;&777?56:9jf64=83.???4m439m067=?21bn>?50;&777?58:9jf66=83.???4m439m067=121bn?h50;&777?5a:9jf7c=83.???4m439m067=j21bn?j50;&777?5c:9jf7e=83.???4m439m067=l21bn9?50;&777?5e:9jf16=83.???4m439m067=n21bn>h50;&777?51198mg5b290/8><5b508j15628;07dl97c:<1;31?>oe;j0;6):<2;`76>h3;80:?65fb2`94?"3;;0i8?5a423951=l4?:%606?d3:2d??<4>7:9jf05=83.???4m5c9m067=821bn8<50;&777?51:9jf07=83.???4m5c9m067=:21bn8>50;&777?53:9jf1c=83.???4m5c9m067=<21bn9j50;&777?55:9jf1e=83.???4m5c9m067=>21bn9l50;&777?57:9jf1g=83.???4m5c9m067=021bn9750;&777?59:9jf1>=83.???4m5c9m067=i21bn9950;&777?5b:9jf10=83.???4m5c9m067=k21bn9;50;&777?5d:9jf0g=83.???4m5c9m067=m21bn8750;&777?5f:9jf0>=83.???4m5c9m067=9910eo;8:18'064=j:038?ld2>3:1(9==:c7a?k2493;976gm5483>!24:3h>n6`;30827>=nj<>1<7*;338a1g=i<:;1=954ic6e>5<#<:81n8l4n512>43<3`h?87>5$511>g3e3g>8=7?9;:ka06<72->8>7l:b:l774<6?21bn;m50;&777?50:9jf3d=83.???4m759m067=921bn;o50;&777?52:9jf3?=83.???4m759m067=;21bn;950;&777?54:9jf30=83.???4m759m067==21bn;;50;&777?56:9jf32=83.???4m759m067=?21bn;=50;&777?58:9jf34=83.???4m759m067=121bn;?50;&777?5a:9jf36=83.???4m759m067=j21bn8h50;&777?5c:9jf0c=83.???4m759m067=l21bn:=50;&777?5e:9jf24=83.???4m759m067=n21bn:?50;&777?51198mg17290/8><5b668j15628;07dl9f;29 1552k=?7c:<1;31?>oe>l0;6):<2;`40>h3;80:?65fb7f94?"3;;0i;95a423951=7:9jf=3=83.???4m8e9m067=821bn5:50;&777?51:9jf=5=83.???4m8e9m067=:21bn5<50;&777?53:9jf=6=83.???4m8e9m067=<21bn:h50;&777?55:9jf2c=83.???4m8e9m067=>21bn:j50;&777?57:9jf2e=83.???4m8e9m067=021bn:l50;&777?59:9jf2g=83.???4m8e9m067=i21bn:750;&777?5b:9jf2>=83.???4m8e9m067=k21bn:950;&777?5d:9jf=e=83.???4m8e9m067=m21bn5l50;&777?5f:9jf=g=83.???4m8e9m067=9910eo66:18'064=j1n0b9=>:038?ld?03:1(9==:c:g?k2493;976gm8683>!24:3h3h6`;30827>=nj1<1<7*;338a5<#<:81n5j4n512>43<3`h<:7>5$511>g>c3g>8=7?9;:ka30<72->8>7l7d:l774<6?21bn4k50;&777?50:9jf?52:9jf?54:9jf<>=83.???4ma79m067==21bn4950;&777?56:9jf<0=83.???4ma79m067=?21bn4;50;&777?58:9jf<2=83.???4ma79m067=121bn4=50;&777?5a:9jf<4=83.???4ma79m067=j21bn4?50;&777?5c:9jf<6=83.???4ma79m067=l21bnl;50;&777?5e:9jfd2=83.???4ma79m067=n21bnl=50;&777?51198mgg5290/8><5b`48j15628;07dln1;29 1552kk=7c:<1;31?>oei90;6):<2;`b2>h3;80:?65fb8d94?"3;;0im;5a423951=2d??<4>7:9l500d2900eol8:18'064=jkl0b9=>:198mgd1290/8><5bcd8j1562810eol::18'064=jkl0b9=>:398mgd3290/8><5bcd8j1562:10eol=:18'064=jkl0b9=>:598mgd6290/8><5bcd8j1562<10eol?:18'064=jkl0b9=>:798mgga290/8><5bcd8j1562>10eooj:18'064=jkl0b9=>:998mggc290/8><5bcd8j1562010eool:18'064=jkl0b9=>:`98mgge290/8><5bcd8j1562k10eoon:18'064=jkl0b9=>:b98mgg>290/8><5bcd8j1562m10eolj:18'064=jkl0b9=>:d98mgdc290/8><5bcd8j1562o10eoll:18'064=jkl0b9=>:028?ldej3:1(9==:c`e?k2493;:76gmb`83>!24:3hij6`;30826>=njk31<7*;338afc=i<:;1=>54ic`;>5<#<:81noh4n512>42<3`hi?7>5$511>gda3g>8=7?:;:kae=<72->8>7lmf:l774<6>21bnl950;&777?51698mgb7290/8><5be:8j1562910eomi:18'064=jm20b9=>:098mgeb290/8><5be:8j1562;10eomk:18'064=jm20b9=>:298mgee290/8><5be:8j1562=10eomn:18'064=jm20b9=>:498mge>290/8><5be:8j1562?10eom7:18'064=jm20b9=>:698mge0290/8><5be:8j1562110eom9:18'064=jm20b9=>:898mge2290/8><5be:8j1562h10eom;:18'064=jm20b9=>:c98mge4290/8><5be:8j1562j10eom=:18'064=jm20b9=>:e98mgb0290/8><5be:8j1562l10eoj9:18'064=jm20b9=>:g98mgb2290/8><5be:8j15628:07dlk4;29 1552kn37c:<1;32?>oel:0;6):<2;`g<>h3;80:>65fbe094?"3;;0ih55a423956=6:9jff6=83.???4md99m067=9>10elh;:18'064=io?0b9=>:198ka4c290/8><5ec18j1562910ci:098ka4e290/8><5ec18j1562;10ci:298ka4>290/8><5ec18j1562=10ci<7:18'064=mk90b9=>:498ka40290/8><5ec18j1562?10ci<9:18'064=mk90b9=>:698ka43290/8><5ec18j1562110ci<<:18'064=mk90b9=>:898ka45290/8><5ec18j1562h10ci<>:18'064=mk90b9=>:c98ka47290/8><5ec18j1562j10ci?i:18'064=mk90b9=>:e98ka7b290/8><5ec18j1562l10ci?k:18'064=mk90b9=>:g98ka7d290/8><5ec18j15628:07bj>b;29 1552lh87c:<1;32?>ic900;6):<2;ga7>h3;80:>65`d0:94?"3;;0nn>5a423956=6:9l`42=83.???4jb29m067=9>10ci?<:18'064=mk90b9=>:0:8?jb6:3:1(9==:d`0?k2493;276ak1083>!24:3oi?6`;3082e>=hl8:1<7*;338ff6=i<:;1=o54oe2f>5<#<:81io=4n512>4e<3fn;h7>5$511>`d43g>8=7?k;:mg4f<72->8>7km3:l774<6m21dh=l50;&777?51g98ka6f290/8><5ec18j1562;:07bj?9;29 1552lh87c:<1;02?>ic810;6):<2;ga7>h3;809>65`d1594?"3;;0nn>5a423966=10ci=?:18'064=mk90b9=>:3:8?jb5n3:1(9==:d`0?k24938276ak2d83>!24:3oi?6`;3081e>=hl;?1<7*;338ff6=i<:;1>o54oe3b>5<#<:81io=4n512>7e<3fn;j7>5$511>`d43g>8=78>7km3:l774<5m21dh==50;&777?52g98k`c2290/8><5f068j1562910chk;:18'064=n8>0b9=>:098k`c4290/8><5f068j1562;10chk=:18'064=n8>0b9=>:298k`c6290/8><5f068j1562=10chk?:18'064=n8>0b9=>:498k`ba290/8><5f068j1562?10chjj:18'064=n8>0b9=>:698k`bd290/8><5f068j1562110chjm:18'064=n8>0b9=>:898k`bf290/8><5f068j1562h10chj6:18'064=n8>0b9=>:c98k`b?290/8><5f068j1562j10chj8:18'064=n8>0b9=>:e98k`b1290/8><5f068j1562l10chj::18'064=n8>0b9=>:g98k`b3290/8><5f068j15628:07bkk3;29 1552o;?7c:<1;32?>ibl80;6):<2;d20>h3;80:>65`ee294?"3;;0m=95a423956=6:9lafe=83.???4i159m067=9>10chmm:18'064=n8>0b9=>:0:8?jcdi3:1(9==:g37?k2493;276ajc883>!24:3l:86`;3082e>=hmj21<7*;338e51=i<:;1=o54oda5>5<#<:81j<:4n512>4e<3foh97>5$511>c733g>8=7?k;:mfg1<72->8>7h>4:l774<6m21din=50;&777?51g98k`e5290/8><5f068j1562;:07bkl1;29 1552o;?7c:<1;02?>ibk90;6):<2;d20>h3;809>65`ecd94?"3;;0m=95a423966=10chk7:18'064=n8>0b9=>:3:8?jcb?3:1(9==:g37?k24938276aje783>!24:3l:86`;3081e>=hmmn1<7*;338e51=i<:;1>o54odf1>5<#<:81j<:4n512>7e<3foh;7>5$511>c733g>8=78>7h>4:l774<5m21diol50;&777?52g98kc21290/8><5f5a8j1562910ck:::18'064=n=i0b9=>:098kc23290/8><5f5a8j1562;10ck:<:18'064=n=i0b9=>:298kc25290/8><5f5a8j1562=10ck:>:18'064=n=i0b9=>:498kc27290/8><5f5a8j1562?10ck=i:18'064=n=i0b9=>:698kc5c290/8><5f5a8j1562110ck=l:18'064=n=i0b9=>:898kc5e290/8><5f5a8j1562h10ck=n:18'064=n=i0b9=>:c98kc5>290/8><5f5a8j1562j10ck=7:18'064=n=i0b9=>:e98kc50290/8><5f5a8j1562l10ck=9:18'064=n=i0b9=>:g98kc52290/8><5f5a8j15628:07bh<4;29 1552o>h7c:<1;32?>ia;;0;6):<2;d7g>h3;80:>65`f2394?"3;;0m8n5a423956=h4?:%606?`3k2d??<4>6:9lb7b=83.???4i4b9m067=9>10ck:0:8?j`5j3:1(9==:g6`?k2493;276ai2`83>!24:3l?o6`;3082e>=hn;31<7*;338e0f=i<:;1=o54og04>5<#<:81j9m4n512>4e<3fl9:7>5$511>c2d3g>8=7?k;:me60<72->8>7h;c:l774<6m21dj?:50;&777?51g98kc44290/8><5f5a8j1562;:07bh=2;29 1552o>h7c:<1;02?>ia:80;6):<2;d7g>h3;809>65`f3294?"3;;0m8n5a423966=10ck:6:18'064=n=i0b9=>:3:8?j`303:1(9==:g6`?k24938276ai4683>!24:3l?o6`;3081e>=hn:o1<7*;338e0f=i<:;1>o54og10>5<#<:81j9m4n512>7e<3fl947>5$511>c2d3g>8=78>7h;c:l774<5m21dj?52g98kd`?2900ck98:18'064=n>n0b9=>:198kc11290/8><5f6f8j1562810ck9::18'064=n>n0b9=>:398kc13290/8><5f6f8j1562:10ck9<:18'064=n>n0b9=>:598kc15290/8><5f6f8j1562<10ck9>:18'064=n>n0b9=>:798kc17290/8><5f6f8j1562>10ck8j:18'064=n>n0b9=>:998kc0c290/8><5f6f8j1562010ck8l:18'064=n>n0b9=>:`98kc0e290/8><5f6f8j1562k10ck8n:18'064=n>n0b9=>:b98kc0>290/8><5f6f8j1562m10ck87:18'064=n>n0b9=>:d98kc00290/8><5f6f8j1562o10ck89:18'064=n>n0b9=>:028?j`1=3:1(9==:g5g?k2493;:76ai6283>!24:3l=hn?81<7*;338e3a=i<:;1=>54og42>5<#<:81j:j4n512>42<3fl=<7>5$511>c1c3g>8=7?:;:me1c<72->8>7h8d:l774<6>21dj8k50;&777?51698kc3c290/8><5f6f8j15628207bh:c;29 1552o=o7c:<1;3:?>ia=k0;6):<2;d4`>h3;80:m65`f4c94?"3;;0m;i5a42395g=e:9lb03=83.???4i7e9m067=9o10ck;;:18'064=n>n0b9=>:328?j`2;3:1(9==:g5g?k24938:76ai5383>!24:3l=hn<;1<7*;338e3a=i<:;1>>54og73>5<#<:81j:j4n512>72<3fl?j7>5$511>c1c3g>8=7<:;:me3f<72->8>7h8d:l774<5>21dj:l50;&777?52698kc1f290/8><5f6f8j1562;207bh89;29 1552o=o7c:<1;0:?>ia?10;6):<2;d4`>h3;809m65`f7d94?"3;;0m;i5a42396g=:198mg46290/8><5bd:8j1562810eo:398mg7a290/8><5bd:8j1562:10eo?k:18'064=jl20b9=>:598mg7d290/8><5bd:8j1562<10eo?m:18'064=jl20b9=>:798mg7f290/8><5bd:8j1562>10eo?6:18'064=jl20b9=>:998mg7?290/8><5bd:8j1562010eo?8:18'064=jl20b9=>:`98mg71290/8><5bd:8j1562k10eo?::18'064=jl20b9=>:b98mg73290/8><5bd:8j1562m10eo<6:18'064=jl20b9=>:d98mg4?290/8><5bd:8j1562o10eo<8:18'064=jl20b9=>:028?ld5>3:1(9==:cg;?k2493;:76gm2483>!24:3hn46`;30826>=nj;>1<7*;338aa==i<:;1=>54ic00>5<#<:81nh64n512>42<3`h:i7>5$511>gc?3g>8=7?:;:ka56<72->8>7lj8:l774<6>21bn<<50;&777?51698mf65290/8><5c0;8j1562910en>>:18'064=k830b9=>:098mf67290/8><5c0;8j1562;10eohi:18'064=k830b9=>:298mg`c290/8><5c0;8j1562=10eohl:18'064=k830b9=>:498mg`e290/8><5c0;8j1562?10eohn:18'064=k830b9=>:698mg`>290/8><5c0;8j1562110eoh7:18'064=k830b9=>:898mg`0290/8><5c0;8j1562h10eoh9:18'064=k830b9=>:c98mg`2290/8><5c0;8j1562j10eoh;:18'064=k830b9=>:e98mf6>290/8><5c0;8j1562l10en>7:18'064=k830b9=>:g98mf60290/8><5c0;8j15628:07dm?6;29 1552j;27c:<1;32?>od8<0;6):<2;a2=>h3;80:>65fc1694?"3;;0h=45a423956=4?:%606?e612d??<4>6:9jfc4=83.???4l189m067=9>10cko7:18'064=nho0b9=>:198kcg0290/8><5f`g8j1562810cko9:18'064=nho0b9=>:398kcg2290/8><5f`g8j1562:10cko;:18'064=nho0b9=>:598kcg4290/8><5f`g8j1562<10cko=:18'064=nho0b9=>:798kcg6290/8><5f`g8j1562>10ck7i:18'064=nho0b9=>:998kc?b290/8><5f`g8j1562010ck7k:18'064=nho0b9=>:`98kc?d290/8><5f`g8j1562k10ck7m:18'064=nho0b9=>:b98kc?f290/8><5f`g8j1562m10ck76:18'064=nho0b9=>:d98kc??290/8><5f`g8j1562o10ck78:18'064=nho0b9=>:028?j`>>3:1(9==:gcf?k2493;:76ai9583>!24:3lji6`;30826>=hn091<7*;338ee`=i<:;1=>54og;1>5<#<:81jlk4n512>42<3fl2=7>5$511>cgb3g>8=7?:;:me=5<72->8>7hne:l774<6>21dj5h50;&777?51698kc>b290/8><5f`g8j15628207bh7d;29 1552okn7c:<1;3:?>ia0j0;6):<2;dba>h3;80:m65`f9`94?"3;;0mmh5a42395g=e:9lb=0=83.???4iad9m067=9o10ck6::18'064=nho0b9=>:328?j`?<3:1(9==:gcf?k24938:76ai8283>!24:3lji6`;30816>=hn181<7*;338ee`=i<:;1>>54og:2>5<#<:81jlk4n512>72<3fl3<7>5$511>cgb3g>8=7<:;:meea<72->8>7hne:l774<5>21djlm50;&777?52698kcge290/8><5f`g8j1562;207bhna;29 1552okn7c:<1;0:?>iai00;6):<2;dba>h3;809m65`f`294?"3;;0mmh5a42396g=6=4+4209bdc:198mf55290/8><5c2`8j1562810en=>:18'064=k:h0b9=>:398mf57290/8><5c2`8j1562:10en:598mf4c290/8><5c2`8j1562<10en:798mf4e290/8><5c2`8j1562>10en:998mf4>290/8><5c2`8j1562010en<7:18'064=k:h0b9=>:`98mf40290/8><5c2`8j1562k10en<9:18'064=k:h0b9=>:b98mf42290/8><5c2`8j1562m10en=n:18'064=k:h0b9=>:d98mf5>290/8><5c2`8j1562o10en=7:18'064=k:h0b9=>:028?le4?3:1(9==:b1a?k2493;:76gl3783>!24:3i8n6`;30826>=nk:?1<7*;338`7g=i<:;1=>54ib17>5<#<:81o>l4n512>42<3`i9j7>5$511>f5e3g>8=7?:;:k`61<72->8>7m21bo?=50;&777?51698kcb>290/8><5fed8j1562910ckj7:18'064=nml0b9=>:098kcb0290/8><5fed8j1562;10ckj9:18'064=nml0b9=>:298kcb2290/8><5fed8j1562=10ckj;:18'064=nml0b9=>:498kcb4290/8><5fed8j1562?10ckj=:18'064=nml0b9=>:698kcb7290/8><5fed8j1562110ckmi:18'064=nml0b9=>:898kceb290/8><5fed8j1562h10ckmk:18'064=nml0b9=>:c98kced290/8><5fed8j1562j10ckmm:18'064=nml0b9=>:e98kcef290/8><5fed8j1562l10ckm6:18'064=nml0b9=>:g98kce?290/8><5fed8j15628:07bhl7;29 1552onm7c:<1;32?>iak<0;6):<2;dgb>h3;80:>65`fb694?"3;;0mhk5a423956=6:9lbf6=83.???4idg9m067=9>10ckli:18'064=nml0b9=>:0:8?j`em3:1(9==:gfe?k2493;276aibe83>!24:3loj6`;3082e>=hnki1<7*;338e`c=i<:;1=o54og`b>5<#<:81jih4n512>4e<3fli57>5$511>cba3g>8=7?k;:mef=<72->8>7hkf:l774<6m21djo950;&777?51g98kcd1290/8><5fed8j1562;:07bhm5;29 1552onm7c:<1;02?>iaj=0;6):<2;dgb>h3;809>65`fc194?"3;;0mhk5a423966=10ckjl:18'064=nml0b9=>:3:8?j`cj3:1(9==:gfe?k24938276aid`83>!24:3loj6`;3081e>=hnm;1<7*;338e`c=i<:;1>o54oga5>5<#<:81jih4n512>7e<3flin7>5$511>cba3g>8=78>7hkf:l774<5m21djlh50;&777?52g98mf2d290/8><5c468j1562910en:m:18'064=k<>0b9=>:098mf2f290/8><5c468j1562;10en:6:18'064=k<>0b9=>:298mf20290/8><5c468j1562=10en:9:18'064=k<>0b9=>:498mf22290/8><5c468j1562?10en:;:18'064=k<>0b9=>:698mf24290/8><5c468j1562110en:=:18'064=k<>0b9=>:898mf26290/8><5c468j1562h10en:?:18'064=k<>0b9=>:c98mf5a290/8><5c468j1562j10en=j:18'064=k<>0b9=>:e98mf34290/8><5c468j1562l10en;=:18'064=k<>0b9=>:g98mf36290/8><5c468j15628:07dm:0;29 1552j??7c:<1;32?>odh3;80:>65fc5g94?"3;;0h995a423956=o6=4+4209g026:9jg6e=83.???4l559m067=9>10c<>?a;29 15528::<6`;3083?>i68931<7*;3382446>0:l774<532e:<=950;&777<688:0b9=>:298k467>3:1(9==:0224>h3;80?76a>01794?"3;;0:<<>4n512>0=5$511>46682d??<49;:m2455=83.???4>0028j1562>10c<>?1;29 15528::<6`;308;?>i689:1<7*;33824465<#<:81==??;o605?g<3flmi7>5$511>46682d??<4m;:meba<72->8>7??119m067=k21djkm50;&777<688:0b9=>:e98kc`e290/8><51133?k2493o07bhia;29 15528::<6`;308e?>ian00;6):<2;3355=i<:;1==54ogd;>5<#<:81==??;o605?7632emj;4?:%606?77991e8>?51398kc`2290/8><51133?k2493;876aif583>!24:3;;==5a423951=8=7?:;:meb7<72->8>7??119m067=9?10ckh>:18'064=99;;7c:<1;34?>ian90;6):<2;3355=i<:;1=554ogge>5<#<:81==??;o605?7>32emih4?:%606?77991e8>?51`98kccc290/8><51133?k2493;i76aiec83>!24:3;;==5a42395f=8=7?k;:mea<<72->8>7??119m067=9l10ckk7:18'064=99;;7c:<1;3e?>iam>0;6):<2;3355=i<:;1>=54ogg5>5<#<:81==??;o605?4632emi84?:%606?77991e8>?52398kcc3290/8><51133?k24938876aie283>!24:3;;==5a423961=8=7<:;:m245`=83.???4>0028j1562;<07b??0d83>!24:3;;==5a423962=5$511>46682d??<4=8:9l556d290/8><51133?k24938276a>01`94?"3;;0:<<>4n512>7g<3f;;?52c98kc`0290/8><51133?k24938h76aieb83>!24:3;;==5a42396a=8=78>7??119m067=:o10en8::18'064=k?n0b9=>:198mf03290/8><5c7f8j1562810en8<:18'064=k?n0b9=>:398mf05290/8><5c7f8j1562:10en8?:18'064=k?n0b9=>:598mf3a290/8><5c7f8j1562<10en;j:18'064=k?n0b9=>:798mf3c290/8><5c7f8j1562>10en;l:18'064=k?n0b9=>:998mf3e290/8><5c7f8j1562010en;n:18'064=k?n0b9=>:`98mf3>290/8><5c7f8j1562k10en;7:18'064=k?n0b9=>:b98mf30290/8><5c7f8j1562m10en8l:18'064=k?n0b9=>:d98mf0e290/8><5c7f8j1562o10en8n:18'064=k?n0b9=>:028?le113:1(9==:b4g?k2493;:76gl6983>!24:3i=h6`;30826>=nk?=1<7*;338`2a=i<:;1=>54ib45>5<#<:81o;j4n512>42<3`i==7>5$511>f0c3g>8=7?:;:k`13<72->8>7m9d:l774<6>21bo8;50;&777m1e8>?51698k464j3:1(9==:0275>h3;80;76a>02c94?"3;;0:<9?4n512>4=5$511>46392d??<4=;:m246>=83.???4>0538j1562:10c<><7;29 15528:?=6`;3087?>i68:<1<7*;3382417;1:l774<132e:<>:50;&777<68=;0b9=>:698k464:3:1(9==:0275>h3;80376a>02394?"3;;0:<9?4n512><=5$511>46392d??<4n;:m247`=83.???4>0538j1562k10c<>=e;29 15528:?=6`;308`?>i68;n1<7*;3382417;1:l774:g98k465i3:1(9==:0275>h3;80:<65`110:>5<#<:81==:>;o605?7632e::008?j77:?0;6):<2;3304=i<:;1=>54o0211?6=,=996<>;1:l774<6<21d==<;:18'064=99>:7c:<1;36?>i68;91<7*;33824178>7??409m067=9>10c<>=1;29 15528:?=6`;3082<>=h998;6=4+420955263g>8=7?6;:m244`=83.???4>0538j15628k07b??1d83>!24:3;;8<5a42395g=5$511>46392d??<4>c:9l557e290/8><51162?k2493;o76a>00c94?"3;;0:<9?4n512>4c<3f;;=44?:%606?77<81e8>?51g98k46603:1(9==:0275>h3;809<65`1134>5<#<:81==:>;o605?4632e:<<850;&777<68=;0b9=>:308?j779<0;6):<2;3304=i<:;1>>54o0220?6=,=996<>;1:l774<5<21d==?<:18'064=99>:7c:<1;06?>i68=:1<7*;33824178>7??409m067=:>10c<>=h999o6=4+420955263g>8=7<6;:m246e=83.???4>0538j1562;k07b??3283>!24:3;;8<5a42396g=5$511>46392d??<4=c:9l557c290/8><51162?k24938o76a>00094?"3;;0:<9?4n512>7c<3f;;=<4?:%606?77<81e8>?52g98mf1b290/8><5c948j1562910en9k:18'064=k1<0b9=>:098mf1d290/8><5c948j1562;10en9m:18'064=k1<0b9=>:298mf1>290/8><5c948j1562=10en97:18'064=k1<0b9=>:498mf10290/8><5c948j1562?10en99:18'064=k1<0b9=>:698mf12290/8><5c948j1562110en9;:18'064=k1<0b9=>:898mf14290/8><5c948j1562h10en9=:18'064=k1<0b9=>:c98mf16290/8><5c948j1562j10en9?:18'064=k1<0b9=>:e98mf>2290/8><5c948j1562l10en6;:18'064=k1<0b9=>:g98mf>4290/8><5c948j15628:07dm72;29 1552j2=7c:<1;32?>od080;6):<2;a;2>h3;80:>65fc9294?"3;;0h4;5a423956=2d??<4>6:9jg3c=83.???4l879m067=9>10c<>9c;29 15528:<>6`;3083?>i68?h1<7*;338242482:l774<532e:<;750;&777<68>80b9=>:298k46103:1(9==:0246>h3;80?76a>07594?"3;;0:<:<4n512>0=5$511>460:2d??<49;:m2433=83.???4>0608j1562>10c<>93;29 15528:<>6`;308;?>i68?81<7*;338242482:l77450;&777<68>80b9=>:c98k462n3:1(9==:0246>h3;80h76a>04g94?"3;;0:<:<4n512>a=h7>5$511>460:2d??<4j;:m240e=83.???4>0608j1562o10c<>:b;29 15528:<>6`;30824>=h99?j6=4+420955153g>8=7?>;:m240>=83.???4>0608j15628807b??5683>!24:3;;;?5a423956=:7>5$511>460:2d??<4>4:9l5532290/8><51151?k2493;>76a>04694?"3;;0:<:<4n512>40<3f;;9>4?:%606?77?;1e8>?51698k462:3:1(9==:0246>h3;80:465`1172>5<#<:81==9=;o605?7>32e:<8>50;&777<68>80b9=>:0c8?j7782:l774<6k21d==:l:18'064=99=97c:<1;3g?>i68=h1<7*;33824248>7??739m067=9o10c<>;9;29 15528:<>6`;30814>=h99>36=4+420955153g>8=7<>;:m2411=83.???4>0608j1562;807b??4783>!24:3;;;?5a423966=5$511>460:2d??<4=4:9l5523290/8><51151?k24938>76a>06394?"3;;0:<:<4n512>70<3f;;;=4?:%606?77?;1e8>?52698k461n3:1(9==:0246>h3;809465`114f>5<#<:81==9=;o605?4>32e:<;j50;&777<68>80b9=>:3c8?j77>=0;6):<2;3337=i<:;1>o54o026=?6=,=996<>82:l774<5k21d==:j:18'064=99=97c:<1;0g?>i68=91<7*;33824248>7??739m067=:o10en78:18'064=k0l0b9=>:198mf?1290/8><5c8d8j1562810en7::18'064=k0l0b9=>:398mf?3290/8><5c8d8j1562:10en7=:18'064=k0l0b9=>:598mf?6290/8><5c8d8j1562<10en7?:18'064=k0l0b9=>:798mf>a290/8><5c8d8j1562>10en6j:18'064=k0l0b9=>:998mf>c290/8><5c8d8j1562010en6l:18'064=k0l0b9=>:`98mf>e290/8><5c8d8j1562k10en6n:18'064=k0l0b9=>:b98mf>>290/8><5c8d8j1562m10en7j:18'064=k0l0b9=>:d98mf?c290/8><5c8d8j1562o10en7l:18'064=k0l0b9=>:028?le>j3:1(9==:b;e?k2493;:76gl9`83>!24:3i2j6`;30826>=nk031<7*;338`=c=i<:;1=>54ib;;>5<#<:81o4h4n512>42<3`i2?7>5$511>f?a3g>8=7?:;:k`<=<72->8>7m6f:l774<6>21bo5950;&777?51698mfd7290/8><5cc:8j1562910enoi:18'064=kk20b9=>:098mfgb290/8><5cc:8j1562;10enok:18'064=kk20b9=>:298mfge290/8><5cc:8j1562=10enon:18'064=kk20b9=>:498mfg>290/8><5cc:8j1562?10eno7:18'064=kk20b9=>:698mfg0290/8><5cc:8j1562110eno9:18'064=kk20b9=>:898mfg2290/8><5cc:8j1562h10eno;:18'064=kk20b9=>:c98mfg4290/8><5cc:8j1562j10eno=:18'064=kk20b9=>:e98mfd0290/8><5cc:8j1562l10enl9:18'064=kk20b9=>:g98mfd2290/8><5cc:8j15628:07dmm4;29 1552jh37c:<1;32?>odj:0;6):<2;aa<>h3;80:>65fcc094?"3;;0hn55a423956=6:9jgd6=83.???4lb99m067=9>10enm6:18'064=km;0b9=>:198mfe?290/8><5ce38j1562810enm8:18'064=km;0b9=>:398mfe1290/8><5ce38j1562:10enm;:18'064=km;0b9=>:598mfe4290/8><5ce38j1562<10enm=:18'064=km;0b9=>:798mfe6290/8><5ce38j1562>10enm?:18'064=km;0b9=>:998mfda290/8><5ce38j1562010enlj:18'064=km;0b9=>:`98mfdc290/8><5ce38j1562k10enll:18'064=km;0b9=>:b98mfde290/8><5ce38j1562m10enj?:18'064=km;0b9=>:d98mfea290/8><5ce38j1562o10enmj:18'064=km;0b9=>:028?ledl3:1(9==:bf2?k2493;:76glcb83>!24:3io=6`;30826>=nkjh1<7*;338``4=i<:;1=>54ibab>5<#<:81oi?4n512>42<3`ih97>5$511>fb63g>8=7?:;:k`fd<72->8>7mk1:l774<6>21boo750;&777?51698k44f?3:1(9==:00b`>h3;80;76a>2`494?"3;;0:>lj4n512>4=5$511>44fl2d??<4=;:m26d2=83.???4>2`f8j1562:10c<i6:h81<7*;33826dbl>50;&777<6:hn0b9=>:698k44>m3:1(9==:00b`>h3;80376a>28f94?"3;;0:>lj4n512><=5$511>44fl2d??<4n;:m262`f8j1562k10c<<6a;29 155288jh6`;308`?>i6:031<7*;33826db4950;&777<6:hn0b9=>:g98k44>>3:1(9==:00b`>h3;80:<65`13;6>5<#<:81=?ok;o605?7632e:>4=50;&777<6:hn0b9=>:008?j751;0;6):<2;31ea=i<:;1=>54o00:5?6=,=996<i6:1l1<7*;33826db8>7?=ae9m067=9>10c<<7d;29 155288jh6`;3082<>=h9;2h6=4+420957gc3g>8=7?6;:m26=d=83.???4>2`f8j15628k07b?=8`83>!24:3;9mi5a42395g=5$511>44fl2d??<4>c:9l57>0290/8><513cg?k2493;o76a>29494?"3;;0:>lj4n512>4c<3f;9484?:%606?75im1e8>?51g98k44?<3:1(9==:00b`>h3;809<65`13:0>5<#<:81=?ok;o605?4632e:>5<50;&777<6:hn0b9=>:308?j75080;6):<2;31ea=i<:;1>>54o00;4?6=,=996<i6:hi1<7*;33826db8>7?=ae9m067=:>10c<=h9;k26=4+420957gc3g>8=7<6;:m26d>=83.???4>2`f8j1562;k07b?=9g83>!24:3;9mi5a42396g=5$511>44fl2d??<4=c:9l57>>290/8><513cg?k24938o76a>26g94?"3;;0:>lj4n512>7c<3f;9;i4?:%606?75im1e8>?52g98k44c03:1(9==:00ga>h3;80;76a>2e594?"3;;0:>ik4n512>4=5$511>44cm2d??<4=;:m26a3=83.???4>2eg8j1562:10c<i6:m91<7*;33826aci?50;&777<6:mo0b9=>:698k44dn3:1(9==:00ga>h3;80376a>2bg94?"3;;0:>ik4n512><=5$511>44cm2d??<4n;:m26fe=83.???4>2eg8j1562k10c<i6:jk1<7*;33826acn650;&777<6:mo0b9=>:g98k44d?3:1(9==:00ga>h3;80:<65`13a5>5<#<:81=?jj;o605?7632e:>n:50;&777<6:mo0b9=>:008?j75k:0;6):<2;31``=i<:;1=>54o00`6?6=,=996<:18'064=9;nn7c:<1;36?>i6:j:1<7*;33826ac8>7?=dd9m067=9>10c<=h9;ho6=4+420957bb3g>8=7?6;:m26ge=83.???4>2eg8j15628k07b?=bc83>!24:3;9hh5a42395g=5$511>44cm2d??<4>c:9l57d?290/8><513ff?k2493;o76a>2c594?"3;;0:>ik4n512>4c<3f;9n;4?:%606?75ll1e8>?51g98k44e=3:1(9==:00ga>h3;809<65`13`7>5<#<:81=?jj;o605?4632e:>o=50;&777<6:mo0b9=>:308?j75j;0;6):<2;31``=i<:;1>>54o00a5?6=,=996<i6:mn1<7*;33826ac8>7?=dd9m067=:>10c<=h9;nj6=4+420957bb3g>8=7<6;:m26a?=83.???4>2eg8j1562;k07b?=d183>!24:3;9hh5a42396g=5$511>44cm2d??<4=c:9l57df290/8><513ff?k24938o76a>2`d94?"3;;0:>ik4n512>7c<3f;9mh4?:%606?75ll1e8>?52g98k45713:1(9==:013b>h3;80;76a>31:94?"3;;0:?=h4n512>4=5$511>457n2d??<4=;:m2750=83.???4>31d8j1562:10c<=?5;29 155289;j6`;3087?>i6;9>1<7*;338275`:698k45783:1(9==:013b>h3;80376a>2gd94?"3;;0:?=h4n512><=5$511>457n2d??<4n;:m26cb=83.???4>31d8j1562k10c<i6:oh1<7*;338275`k750;&777<6;9l0b9=>:g98k44a03:1(9==:013b>h3;80:<65`13d4>5<#<:81=>>i;o605?7632e:>k;50;&777<6;9l0b9=>:008?j75n=0;6):<2;304c=i<:;1=>54o00e7?6=,=996<=?f:l774<6<21d=?h=:18'064=9::m7c:<1;36?>i6:o;1<7*;338275`8>7?<0g9m067=9>10c<=h9;on6=4+4209566a3g>8=7?6;:m26`b=83.???4>31d8j15628k07b?=eb83>!24:3;85$511>457n2d??<4>c:9l57c>290/8><5122e?k2493;o76a>2d:94?"3;;0:?=h4n512>4c<3f;9i:4?:%606?748o1e8>?51g98k44b>3:1(9==:013b>h3;809<65`13g6>5<#<:81=>>i;o605?4632e:>h:50;&777<6;9l0b9=>:308?j75m:0;6):<2;304c=i<:;1>>54o00f6?6=,=996<=?f:l774<5<21d=?k>:18'064=9::m7c:<1;06?>i6;9o1<7*;338275`8>7?<0g9m067=:>10c<=?c;29 155289;j6`;3081<>=h9::i6=4+4209566a3g>8=7<6;:m275g=83.???4>31d8j1562;k07b?<0083>!24:3;85$511>457n2d??<4=c:9l57ce290/8><5122e?k24938o76a>2d294?"3;;0:?=h4n512>7c<3f;9hk4?:%606?748o1e8>?52g98k454i3:1(9==:0174>h3;80;76a>32;94?"3;;0:?9>4n512>4=5$511>45382d??<4=;:m2761=83.???4>3528j1562:10c<=<6;29 155289?<6`;3087?>i6;:?1<7*;3382716=50;&777<6;=:0b9=>:698k45493:1(9==:0174>h3;80376a>32294?"3;;0:?9>4n512><=5$511>45382d??<4n;:m277c=83.???4>3528j1562k10c<==d;29 155289?<6`;308`?>i6;;i1<7*;3382716:g98k45513:1(9==:0174>h3;80:<65`120;>5<#<:81=>:?;o605?7632e:??850;&777<6;=:0b9=>:008?j74:<0;6):<2;3005=i<:;1=>54o0110?6=,=996<=;0:l774<6<21d=><<:18'064=9:>;7c:<1;36?>i6;;81<7*;33827168>7?<419m067=9>10c<==0;29 155289?<6`;3082<>=h9:;m6=4+420956273g>8=7?6;:m274c=83.???4>3528j15628k07b?<1e83>!24:3;88=5a42395g=5$511>45382d??<4>c:9l567f290/8><51263?k2493;o76a>30;94?"3;;0:?9>4n512>4c<3f;8=54?:%606?74<91e8>?51g98k456?3:1(9==:0174>h3;809<65`1235>5<#<:81=>:?;o605?4632e:?<;50;&777<6;=:0b9=>:308?j749=0;6):<2;3005=i<:;1>>54o0127?6=,=996<=;0:l774<5<21d=>?=:18'064=9:>;7c:<1;06?>i6;:l1<7*;33827168>7?<419m067=:>10c<==h9:9h6=4+420956273g>8=7<6;:m276d=83.???4>3528j1562;k07b?<3383>!24:3;88=5a42396g=5$511>45382d??<4=c:9l567d290/8><51263?k24938o76a>30394?"3;;0:?9>4n512>7c<3f;8==4?:%606?74<91e8>?52g98k451j3:1(9==:0145>h3;80;76a>37c94?"3;;0:?:?4n512>4=5$511>45092d??<4=;:m273>=83.???4>3638j1562:10c<=97;29 155289<=6`;3087?>i6;?<1<7*;3382727;0b9=>:698k451:3:1(9==:0145>h3;80376a>37394?"3;;0:?:?4n512><=5$511>45092d??<4n;:m270`=83.???4>3638j1562k10c<=:e;29 155289<=6`;308`?>i6;;0b9=>:g98k452i3:1(9==:0145>h3;80:<65`127:>5<#<:81=>9>;o605?7632e:?8950;&777<6;>;0b9=>:008?j74=?0;6):<2;3034=i<:;1=>54o0161?6=,=996<=81:l774<6<21d=>;;:18'064=9:=:7c:<1;36?>i6;<91<7*;33827278>7?<709m067=9>10c<=:1;29 155289<=6`;3082<>=h9:?;6=4+420956163g>8=7?6;:m271`=83.???4>3638j15628k07b?<4d83>!24:3;8;<5a42395g=5$511>45092d??<4>c:9l562e290/8><51252?k2493;o76a>35c94?"3;;0:?:?4n512>4c<3f;8844?:%606?74?81e8>?51g98k45303:1(9==:0145>h3;809<65`1264>5<#<:81=>9>;o605?4632e:?9850;&777<6;>;0b9=>:308?j74<<0;6):<2;3034=i<:;1>>54o0170?6=,=996<=81:l774<5<21d=>:<:18'064=9:=:7c:<1;06?>i6;>:1<7*;33827278>7?<709m067=:>10c<=9e;29 155289<=6`;3081<>=h9:8=7<6;:m273e=83.???4>3638j1562;k07b?<6283>!24:3;8;<5a42396g=47>5$511>45092d??<4=c:9l562c290/8><51252?k24938o76a>35094?"3;;0:?:?4n512>7c<3f;88<4?:%606?74?81e8>?52g98md`02900c<=6c;29 155289j>6`;3083?>i6;0h1<7*;33827d4:298k45>03:1(9==:01b6>h3;80?76a>38594?"3;;0:?l<4n512>0=5$511>45f:2d??<49;:m27<3=83.???4>3`08j1562>10c<=63;29 155289j>6`;308;?>i6;081<7*;33827d450;&777<6;h80b9=>:c98k45?n3:1(9==:01b6>h3;80h76a>39g94?"3;;0:?l<4n512>a=5$511>45f:2d??<4j;:m27=e=83.???4>3`08j1562o10c<=7b;29 155289j>6`;30824>=h9:2j6=4+420956g53g>8=7?>;:m27=>=83.???4>3`08j15628807b?<8683>!24:3;8m?5a423956=5$511>45f:2d??<4>4:9l56>2290/8><512c1?k2493;>76a>39694?"3;;0:?l<4n512>40<3f;84>4?:%606?74i;1e8>?51698k45?:3:1(9==:01b6>h3;80:465`12:2>5<#<:81=>o=;o605?7>32e:?5>50;&777<6;h80b9=>:0c8?j74?o0;6):<2;30e7=i<:;1=o54o014`?6=,=996<=n2:l774<6k21d=>9l:18'064=9:k97c:<1;3g?>i6;>h1<7*;33827d48>7?6`;30814>=h9:=36=4+420956g53g>8=7<>;:m2721=83.???4>3`08j1562;807b?<7783>!24:3;8m?5a423966=5$511>45f:2d??<4=4:9l5613290/8><512c1?k24938>76a>3`394?"3;;0:?l<4n512>70<3f;8m=4?:%606?74i;1e8>?52698k45>n3:1(9==:01b6>h3;809465`12;f>5<#<:81=>o=;o605?4>32e:?4j50;&777<6;h80b9=>:3c8?j741=0;6):<2;30e7=i<:;1>o54o01;=?6=,=996<=n2:l774<5k21d=>9j:18'064=9:k97c:<1;0g?>i6;>91<7*;33827d48>7?i6;ji1<7*;33827a5:298k45d13:1(9==:01g7>h3;80?76a>3b:94?"3;;0:?i=4n512>0=5$511>45c;2d??<49;:m27f0=83.???4>3e18j1562>10c<=l4;29 155289o?6`;308;?>i6;j91<7*;33827a5:c98k45d83:1(9==:01g7>h3;80h76a>3cd94?"3;;0:?i=4n512>a=5$511>45c;2d??<4j;:m27gb=83.???4>3e18j1562o10c<=mc;29 155289o?6`;30824>=h9:hi6=4+420956b43g>8=7?>;:m27g?=83.???4>3e18j15628807b?!24:3;8h>5a423956=5$511>45c;2d??<4>4:9l56d1290/8><512f0?k2493;>76a>3c794?"3;;0:?i=4n512>40<3f;8n94?:%606?74l:1e8>?51698k45e;3:1(9==:01g7>h3;80:465`12`1>5<#<:81=>j<;o605?7>32e:?o?50;&777<6;m90b9=>:0c8?j74j90;6):<2;30`6=i<:;1=o54o01ba?6=,=996<=k3:l774<6k21d=>ok:18'064=9:n87c:<1;3g?>i6;hi1<7*;33827a58>7?=h9:k26=4+420956b43g>8=7<>;:m27d>=83.???4>3e18j1562;807b?!24:3;8h>5a423966=5$511>45c;2d??<4=4:9l56g2290/8><512f0?k24938>76a>3e094?"3;;0:?i=4n512>70<3f;8h<4?:%606?74l:1e8>?52698k45c83:1(9==:01g7>h3;809465`12ae>5<#<:81=>j<;o605?4>32e:?nk50;&777<6;m90b9=>:3c8?j74k<0;6):<2;30`6=i<:;1>o54o01ae?6=,=996<=k3:l774<5k21d=>oi:18'064=9:n87c:<1;0g?>i6;h>1<7*;33827a58>7?:198mdb0290/8><5ad;8j1562810elj9:18'064=il30b9=>:398mdb2290/8><5ad;8j1562:10elj;:18'064=il30b9=>:598mdb4290/8><5ad;8j1562<10elj=:18'064=il30b9=>:798mdb6290/8><5ad;8j1562>10elk?:18'064=il30b9=>:998mdba290/8><5ad;8j1562010eljj:18'064=il30b9=>:`98mdbc290/8><5ad;8j1562k10eljl:18'064=il30b9=>:b98mdbe290/8><5ad;8j1562m10eljn:18'064=il30b9=>:d98mdb>290/8><5ad;8j1562o10elj?:18'064=il30b9=>:028?lgdn3:1(9==:`g:?k2493;:76g>57;94?=h99286=4+420955>e3g>8=7>4;n33<7<72->8>7??8c9m067=921d==6>:18'064=992i7c:<1;08?j77090;6):<2;335<#<:81==6m;o605?2<3f;;;i4?:%606?770k1e8>?55:9l551d290/8><511:a?k2493<07b??7c83>!24:3;;4o5a42393>=h99=j6=4+420955>e3g>8=764;n333<<72->8>7??8c9m067=121d==97:18'064=992i7c:<1;c8?j77?>0;6):<2;335<#<:81==6m;o605?e<3f;;;84?:%606?770k1e8>?5d:9l55>f290/8><511:a?k2493o07b??8883>!24:3;;4o5a4239b>=h99236=4+420955>e3g>8=7??;:m24=1=83.???4>09`8j15628;07b??8783>!24:3;;4o5a423957=5$511>46?j2d??<4>3:9l55>3290/8><511:a?k2493;?76a>06d94?"3;;0:<5l4n512>43<3f;;;94?:%606?770k1e8>?51798k460;3:1(9==:02;f>h3;80:;65`1365>5<#<:81=?:l;o605?6<3f;9884?:%606?75?51:9l5723290/8><5136`?k2493807b?=4283>!24:3;98n5a42397>=h9;>96=4+4209572d3g>8=7:4;n3104<72->8>7?=4b9m067==21d=?:?:18'064=9;>h7c:<1;48?j75;o0;6):<2;310f=i<:;1;65`131g>5<#<:81=?:l;o605?><3f;9?n4?:%606?75?59:9l575e290/8><5136`?k2493k07b?=3`83>!24:3;98n5a4239f>=h9;926=4+4209572d3g>8=7m4;n317=<72->8>7?=4b9m067=l21d=?=8:18'064=9;>h7c:<1;g8?j75;?0;6):<2;310f=i<:;1j65`1316>5<#<:81=?:l;o605?7732e:>>:50;&777<6:=i0b9=>:038?j75;;0;6):<2;310f=i<:;1=?54o0005?6=,=996<<;c:l774<6;21d=?=?:18'064=9;>h7c:<1;37?>i6:;l1<7*;338261e8>7?=4b9m067=9?10c<<=d;29 155288?o6`;30823>=h9;8h6=4+4209572d3g>8=7?7;:m267d=83.???4>25a8j15628307b?=2`83>!24:3;98n5a42395d=5$511>443k2d??<4>b:9l5740290/8><5136`?k2493;h76a>23494?"3;;0:>9m4n512>4b<3f;9>84?:%606?75?51d98k445<3:1(9==:007g>h3;80:j65`1300>5<#<:81=?:l;o605?4732e:>?<50;&777<6:=i0b9=>:338?j75:80;6):<2;310f=i<:;1>?54o0014?6=,=996<<;c:l774<5;21d=??i:18'064=9;>h7c:<1;07?>i6:8o1<7*;338261e8>7?=4b9m067=:?10c<<;a;29 155288?o6`;30813>=h9;>26=4+4209572d3g>8=7<7;:m261>=83.???4>25a8j1562;307b?=4683>!24:3;98n5a42396d=5$511>443k2d??<4=b:9l5754290/8><5136`?k24938h76a>23:94?"3;;0:>9m4n512>7b<3f;9=i4?:%606?75?52d98k446k3:1(9==:007g>h3;809j65fabg94?=h993h6=4+420954343g>8=7>4;n33=g<72->8>7?>529m067=921d==7n:18'064=98?87c:<1;08?j77100;6):<2;3216=i<:;1?65`11;4>5<#<:81=<;<;o605?2<3f;;5;4?:%606?76=:1e8>?55:9l55?2290/8><51070?k2493<07b??9583>!24:3;:9>5a42393>=h99386=4+420954343g>8=764;n33=7<72->8>7?>529m067=121d==7>:18'064=98?87c:<1;c8?j77190;6):<2;3216=i<:;1n65`11:e>5<#<:81=<;<;o605?e<3f;;4h4?:%606?76=:1e8>?5d:9l55g4290/8><51070?k2493o07b??a383>!24:3;:9>5a4239b>=h99k:6=4+420954343g>8=7??;:m24d6=83.???4>1418j15628;07b??9g83>!24:3;:9>5a423957=5$511>472;2d??<4>3:9l55?c290/8><51070?k2493;?76a>08:94?"3;;0:=8=4n512>43<3f;;4i4?:%606?76=:1e8>?51798k46?k3:1(9==:0367>h3;80:;65`1047>5<#<:81=<8l;o605?6<3f;::>4?:%606?76>j1e8>?51:9l5405290/8><5104`?k2493807b?>6083>!24:3;::n5a42397>=h98?m6=4+4209540d3g>8=7:4;n321`<72->8>7?>6b9m067==21d=<;k:18'064=985<#<:81=<8l;o605?><3f;:9l4?:%606?76>j1e8>?59:9l543>290/8><5104`?k2493k07b?>5983>!24:3;::n5a4239f>=h98?<6=4+4209540d3g>8=7m4;n3213<72->8>7?>6b9m067=l21d=<8m:18'064=98h0;6):<2;322f=i<:;1j65`104:>5<#<:81=<8l;o605?7732e:=;650;&777<69?i0b9=>:038?j76>>0;6):<2;322f=i<:;1=?54o0352?6=,=996i69?:1<7*;338253e8>7?>6b9m067=9?10c=h98=o6=4+420954>23g>8=7>4;n323f<72->8>7?>849m067=921d=<9m:18'064=982>7c:<1;08?j76?h0;6):<2;32<0=i<:;1?65`105;>5<#<:81=<6:;o605?2<3f;:;:4?:%606?760<1e8>?55:9l5411290/8><510:6?k2493<07b?>7483>!24:3;:485a42393>=h98=?6=4+420954>23g>8=764;n3236<72->8>7?>849m067=121d=<9=:18'064=982>7c:<1;c8?j76?80;6):<2;32<0=i<:;1n65`1053>5<#<:81=<6:;o605?e<3f;::k4?:%606?760<1e8>?5d:9l54>3290/8><510:6?k2493o07b?>8283>!24:3;:485a4239b>=h98296=4+420954>23g>8=7??;:m25=7=83.???4>1978j15628;07b?>8183>!24:3;:485a423957=5$511>47?=2d??<4>3:9l541b290/8><510:6?k2493;?76a>16;94?"3;;0:=5;4n512>43<3f;::h4?:%606?760<1e8>?51798k471l3:1(9==:03;1>h3;80:;65`10;5>5<#<:81=<7j;o605?6<3f;:584?:%606?761l1e8>?51:9l54?3290/8><510;f?k2493807b?>9283>!24:3;:5h5a42397>=h983:6=4+420954?b3g>8=7:4;n32=5<72->8>7?>9d9m067==21d=<6i:18'064=983n7c:<1;48?j760l0;6):<2;32=`=i<:;1;65`10:g>5<#<:81=<7j;o605?><3f;:4n4?:%606?761l1e8>?59:9l54>e290/8><510;f?k2493k07b?>8`83>!24:3;:5h5a4239f>=h98226=4+420954?b3g>8=7m4;n32<=<72->8>7?>9d9m067=l21d=<7k:18'064=983n7c:<1;g8?j761j0;6):<2;32=`=i<:;1j65`10;a>5<#<:81=<7j;o605?7732e:=4o50;&777<690o0b9=>:038?j76100;6):<2;32=`=i<:;1=?54o03:i69081<7*;338258>7?>9d9m067=9?10c=h98km6=4+420954d03g>8=7>4;n32e`<72->8>7?>b69m067=921d=5<#<:81=1e8>?55:9l54g?290/8><510`4?k2493<07b?>a683>!24:3;:n:5a42393>=h98k=6=4+420954d03g>8=764;n32e0<72->8>7?>b69m067=121d=5<#<:81=1e8>?5d:9l54d1290/8><510`4?k2493o07b?>b483>!24:3;:n:5a4239b>=h98h?6=4+420954d03g>8=7??;:m25g5=83.???4>1c58j15628;07b?>b383>!24:3;:n:5a423957=5$511>47e?2d??<4>3:9l54d7290/8><510`4?k2493;?76a>1``94?"3;;0:=o94n512>43<3f;:m=4?:%606?76j>1e8>?51798k47>n3:1(9==:03a3>h3;80:;65`10a;>5<#<:81=?51:9l54e1290/8><510f3?k2493807b?>c483>!24:3;:h=5a42397>=h98i86=4+420954b73g>8=7:4;n32g7<72->8>7?>d19m067==21d=:18'064=98n;7c:<1;48?j76k90;6):<2;32`5=i<:;1;65`10`e>5<#<:81=<3f;:nh4?:%606?76l91e8>?59:9l54dc290/8><510f3?k2493k07b?>bb83>!24:3;:h=5a4239f>=h98hi6=4+420954b73g>8=7m4;n32fd<72->8>7?>d19m067=l21d=5<#<:81=:038?j76kk0;6):<2;32`5=i<:;1=?54o03`e?6=,=996i69j>1<7*;33825a68>7?>d19m067=9?10c=h9;=<6=4+420956b33g>8=7>4;n3133<72->8>7?5<#<:81=>j;;o605?2<3f;9;?4?:%606?74l=1e8>?55:9l5716290/8><512f7?k2493<07b?=7183>!24:3;8h95a42393>=h9;8=764;n312a<72->8>7?k0;6):<2;30`1=i<:;1n65`134b>5<#<:81=>j;;o605?e<3f;9:44?:%606?74l=1e8>?5d:9l570?290/8><512f7?k2493o07b?=6683>!24:3;8h95a4239b>=h9;<=6=4+420956b33g>8=7??;:m2633=83.???4>3e68j15628;07b?=6283>!24:3;8h95a423957=7>5$511>45c<2d??<4>3:9l5706290/8><512f7?k2493;?76a>27294?"3;;0:?i:4n512>43<3f;99k4?:%606?74l=1e8>?51798k442m3:1(9==:01g0>h3;80:;65`137g>5<#<:81=>j;;o605?7?32e:>8m50;&777<6;m>0b9=>:0;8?j75=k0;6):<2;30`1=i<:;1=l54o006e?6=,=996<=k4:l774<6j21d=?;7:18'064=9:n?7c:<1;3`?>i6:<=1<7*;33827a28>7?=h9;??6=4+420956b33g>8=73e68j1562;;07b?=5383>!24:3;8h95a423967==7>5$511>45c<2d??<4=3:9l5737290/8><512f7?k24938?76a>25d94?"3;;0:?i:4n512>73<3f;9;n4?:%606?74l=1e8>?52798k440j3:1(9==:01g0>h3;809;65`135b>5<#<:81=>j;;o605?4?32e:>:750;&777<6;m>0b9=>:3;8?j75?10;6):<2;30`1=i<:;1>l54o005b?6=,=996<=k4:l774<5j21d=?8;:18'064=9:n?7c:<1;0`?>i6:<31<7*;33827a28>7?=h98o:6=4+420954c>3g>8=7>4;n32a5<72->8>7?>e89m067=921d=5<#<:81=?55:9l54bf290/8><510g:?k2493<07b?>d883>!24:3;:i45a42393>=h98n36=4+420954c>3g>8=764;n32`2<72->8>7?>e89m067=121d=5<#<:81=4?:%606?76m01e8>?5d:9l54c?290/8><510g:?k2493o07b?>e683>!24:3;:i45a4239b>=h98o=6=4+420954c>3g>8=7??;:m25`3=83.???4>1d;8j15628;07b?>e583>!24:3;:i45a423957=5$511>47b12d??<4>3:9l54c5290/8><510g:?k2493;?76a>1ef94?"3;;0:=h74n512>43<3f;:h?4?:%606?76m01e8>?51798k47c93:1(9==:03f=>h3;80:;65`12de>5<#<:81=9>:;o605?6<3f;8jh4?:%606?738<1e8>?51:9l56`c290/8><51526?k2493807b?!24:3;?<85a42397>=h9:li6=4+420951623g>8=7:4;n30bd<72->8>7?;049m067==21d=>h6:18'064=9=:>7c:<1;48?j74n10;6):<2;3740=i<:;1;65`12d5>5<#<:81=9>:;o605?><3f;8j84?:%606?738<1e8>?59:9l56`3290/8><51526?k2493k07b?!24:3;?<85a4239f>=h9:l96=4+420951623g>8=7m4;n30b4<72->8>7?;049m067=l21d=>h?:18'064=9=:>7c:<1;g8?j74mo0;6):<2;3740=i<:;1j65`12gf>5<#<:81=9>:;o605?7732e:?hj50;&777<6<9?0b9=>:038?j74mk0;6):<2;3740=i<:;1=?54o01fe?6=,=996<:?5:l774<6;21d=>k6:18'064=9=:>7c:<1;37?>i6;l21<7*;33820538>7?;049m067=9?10c<=j6;29 15528>;96`;30823>=h9:o>6=4+420951623g>8=7?7;:m27`2=83.???4>4178j15628307b?!24:3;?<85a42395d=7>5$511>427=2d??<4>b:9l56c7290/8><51526?k2493;h76a>3ed94?"3;;0:8=;4n512>4b<3f;8hh4?:%606?738<1e8>?51d98k45cl3:1(9==:0631>h3;80:j65`12f`>5<#<:81=9>:;o605?4732e:?il50;&777<6<9?0b9=>:338?j74lh0;6):<2;3740=i<:;1>?54o01g=?6=,=996<:?5:l774<5;21d=>j7:18'064=9=:>7c:<1;07?>i6;m=1<7*;33820538>7?;049m067=:?10c<:?3;29 15528>;96`;30813>=h9=:96=4+420951623g>8=7<7;:m2057=83.???4>4178j1562;307b?;0183>!24:3;?<85a42396d=5$511>427=2d??<4=b:9l56cd290/8><51526?k24938h76a>3d394?"3;;0:8=;4n512>7b<3f;8h;4?:%606?738<1e8>?52d98k45c=3:1(9==:0631>h3;809j65`10db>5<#<:81=?>=;o605?6<3f;:j44?:%606?758;1e8>?51:9l54`?290/8><51321?k2493807b?>f683>!24:3;9=h98l>6=4+420957653g>8=7:4;n32b1<72->8>7?=039m067==21d=5<#<:81=?>=;o605?><3f;:j=4?:%606?758;1e8>?59:9l54ca290/8><51321?k2493k07b?>ed83>!24:3;9=h98oo6=4+420957653g>8=7m4;n32af<72->8>7?=039m067=l21d=?>>:18'064=9;:97c:<1;g8?j75890;6):<2;3147=i<:;1j65`10de>5<#<:81=?>=;o605?7732e:=kk50;&777<6:980b9=>:038?j76nm0;6):<2;3147=i<:;1=?54o03eg?6=,=996<i69o<1<7*;33826548>7?=039m067=9?10c6`;30823>=h9=9;6=4+420951513g>8=7>4;n376c<72->8>7?;379m067=921d=95<#<:81=9=9;o605?2<3f;?>o4?:%606?73;?1e8>?55:9l514f290/8><51515?k2493<07b?;2883>!24:3;??;5a42393>=h9=8<6=4+420951513g>8=764;n3763<72->8>7?;379m067=121d=9<::18'064=9=9=7c:<1;c8?j73:=0;6):<2;3773=i<:;1n65`1500>5<#<:81=9=9;o605?e<3f;?>?4?:%606?73;?1e8>?5d:9l5146290/8><51515?k2493o07b?;2183>!24:3;??;5a4239b>=h9=;m6=4+420951513g>8=7??;:m204c=83.???4>4248j15628;07b?;1b83>!24:3;??;5a423957=:n7>5$511>424>2d??<4>3:9l517f290/8><51515?k2493;?76a>40;94?"3;;0:8>84n512>43<3f;?=54?:%606?73;?1e8>?51798k426?3:1(9==:0602>h3;80:;65`1535>5<#<:81=9=9;o605?7?32e:8<;50;&777<6<:<0b9=>:0;8?j739=0;6):<2;3773=i<:;1=l54o0627?6=,=996<:<6:l774<6j21d=9?>:18'064=9=9=7c:<1;3`?>i6<8:1<7*;33820608>7?;379m067=9l10c<:?e;29 15528>8:6`;3082b>=h9=:o6=4+420951513g>8=74248j1562;;07b?;0c83>!24:3;??;5a423967=;m7>5$511>424>2d??<4=3:9l516>290/8><51515?k24938?76a>41:94?"3;;0:8>84n512>73<3f;??84?:%606?73;?1e8>?52798k424<3:1(9==:0602>h3;809;65`1510>5<#<:81=9=9;o605?4?32e:8><50;&777<6<:<0b9=>:3;8?j73;80;6):<2;3773=i<:;1>l54o061i6<881<7*;33820608>7?;379m067=:l10c<:?6;29 15528>8:6`;3081b>=h9;;86=4+4209577e3g>8=7>4;n3157<72->8>7?=1c9m067=921d=??>:18'064=9;;i7c:<1;08?j75990;6):<2;315g=i<:;1?65`132f>5<#<:81=??m;o605?2<3f;9?55:9l576d290/8><5133a?k2493<07b?=0c83>!24:3;9=o5a42393>=h9;:j6=4+4209577e3g>8=764;n314<<72->8>7?=1c9m067=121d=?>7:18'064=9;;i7c:<1;c8?j758>0;6):<2;315g=i<:;1n65`1325>5<#<:81=??m;o605?e<3f;9<84?:%606?759k1e8>?5d:9l577f290/8><5133a?k2493o07b?=1883>!24:3;9=o5a4239b>=h9;;36=4+4209577e3g>8=7??;:m2641=83.???4>20`8j15628;07b?=1783>!24:3;9=o5a423957=5$511>446j2d??<4>3:9l5773290/8><5133a?k2493;?76a>21d94?"3;;0:>43<3f;9<94?:%606?759k1e8>?51798k447;3:1(9==:002f>h3;80:;65`1542>5<#<:81=988;o605?6<3f;?:=4?:%606?73>>1e8>?51:9l513a290/8><51544?k2493807b?;5d83>!24:3;?::5a42397>=h9=?o6=4+420951003g>8=7:4;n371f<72->8>7?;669m067==21d=9;m:18'064=9=<<7c:<1;48?j73=h0;6):<2;3722=i<:;1;65`157;>5<#<:81=988;o605?><3f;?9:4?:%606?73>>1e8>?59:9l5131290/8><51544?k2493k07b?;5483>!24:3;?::5a4239f>=h9=??6=4+420951003g>8=7m4;n3716<72->8>7?;669m067=l21d=9;=:18'064=9=<<7c:<1;g8?j73=80;6):<2;3722=i<:;1j65`1573>5<#<:81=988;o605?7732e:89h50;&777<6:038?j73i6<=k1<7*;33820318>7?;669m067=9?10c<:;8;29 15528>=;6`;30823>=h9=><6=4+420951003g>8=7?7;:m2010=83.???4>4758j15628307b?;4483>!24:3;?::5a42395d=?87>5$511>421?2d??<4>b:9l5125290/8><51544?k2493;h76a>45394?"3;;0:8;94n512>4b<3f;?8=4?:%606?73>>1e8>?51d98k424n3:1(9==:0653>h3;80:j65`151f>5<#<:81=988;o605?4732e:8>j50;&777<6:338?j73;j0;6):<2;3722=i<:;1>?54o060f?6=,=996<:97:l774<5;21d=9=n:18'064=9=<<7c:<1;07?>i6<:31<7*;33820318>7?;669m067=:?10c<:95;29 15528>=;6`;30813>=h9=8=7<7;:m2035=83.???4>4758j1562;307b?;6383>!24:3;?::5a42396d=>57>5$511>421?2d??<4=b:9l512b290/8><51544?k24938h76a>45194?"3;;0:8;94n512>7b<3f;??54?:%606?73>>1e8>?52d98k424?3:1(9==:0653>h3;809j65`15;1>5<#<:81=977;o605?6<3f;?5<4?:%606?73111e8>?51:9l51?7290/8><515;;?k2493807b?;8g83>!24:3;?555a42397>=h9=2n6=4+420951??3g>8=7:4;n378>7?;999m067==21d=96l:18'064=9=337c:<1;48?j730k0;6):<2;37===i<:;1;65`15::>5<#<:81=977;o605?><3f;?454?:%606?73111e8>?59:9l51>0290/8><515;;?k2493k07b?;8783>!24:3;?555a4239f>=h9=2>6=4+420951??3g>8=7m4;n37<1<72->8>7?;999m067=l21d=96<:18'064=9=337c:<1;g8?j730;0;6):<2;37===i<:;1j65`15:2>5<#<:81=977;o605?7732e:85>50;&777<6<020b9=>:038?j73?l0;6):<2;37===i<:;1=?54o064`?6=,=996<:68:l774<6;21d=99l:18'064=9=337c:<1;37?>i6<>h1<7*;33820<>8>7?;999m067=9?10c<:89;29 15528>246`;30823>=h9==36=4+420951??3g>8=7?7;:m2021=83.???4>48:8j15628307b?;7783>!24:3;?555a42395d=<97>5$511>42>02d??<4>b:9l5114290/8><515;;?k2493;h76a>46094?"3;;0:8464n512>4b<3f;?;<4?:%606?73111e8>?51d98k42083:1(9==:06:<>h3;80:j65`154e>5<#<:81=977;o605?4732e:8;k50;&777<6<020b9=>:338?j73>m0;6):<2;37===i<:;1>?54o065g?6=,=996<:68:l774<5;21d=98m:18'064=9=337c:<1;07?>i68>7?;999m067=:?10c<:66;29 15528>246`;30813>=h9=3>6=4+420951??3g>8=7<7;:m20<2=83.???4>48:8j1562;307b?;9283>!24:3;?555a42396d=3m7>5$511>42>02d??<4=b:9l511a290/8><515;;?k24938h76a>46694?"3;;0:8464n512>7b<3f;?:44?:%606?73111e8>?52d98k42103:1(9==:06:<>h3;809j65`15a0>5<#<:81=9m6;o605?6<3f;?o?4?:%606?73k01e8>?51:9l51e6290/8><515a:?k2493807b?;c183>!24:3;?o45a42397>=h9=hm6=4+420951e>3g>8=7:4;n37f`<72->8>7?;c89m067==21d=9lk:18'064=9=i27c:<1;48?j73jj0;6):<2;37g<=i<:;1;65`15`b>5<#<:81=9m6;o605?><3f;?n44?:%606?73k01e8>?59:9l51d?290/8><515a:?k2493k07b?;b683>!24:3;?o45a4239f>=h9=h=6=4+420951e>3g>8=7m4;n37f0<72->8>7?;c89m067=l21d=9l;:18'064=9=i27c:<1;g8?j73j:0;6):<2;37g<=i<:;1j65`15`1>5<#<:81=9m6;o605?7732e:8o?50;&777<6:038?j73io0;6):<2;37g<=i<:;1=?54o06ba?6=,=996<:l9:l774<6;21d=9ok:18'064=9=i27c:<1;37?>i68>7?;c89m067=9?10c<:na;29 15528>h56`;30823>=h9=k26=4+420951e>3g>8=7?7;:m20d>=83.???4>4b;8j15628307b?;a683>!24:3;?o45a42395d=j:7>5$511>42d12d??<4>b:9l51g3290/8><515a:?k2493;h76a>4`194?"3;;0:8n74n512>4b<3f;?m?4?:%606?73k01e8>?51d98k42f93:1(9==:06`=>h3;80:j65`15c3>5<#<:81=9m6;o605?4732e:84h50;&777<6:338?j731l0;6):<2;37g<=i<:;1>?54o06:`?6=,=996<:l9:l774<5;21d=97l:18'064=9=i27c:<1;07?>i6<0h1<7*;33820f?8>7?;c89m067=:?10c<:l7;29 15528>h56`;30813>=h9=i=6=4+420951e>3g>8=7<7;:m20f3=83.???4>4b;8j1562;307b?;c583>!24:3;?o45a42396d=in7>5$511>42d12d??<4=b:9l51d7290/8><515a:?k24938h76a>4`794?"3;;0:8n74n512>7b<3f;?5l4?:%606?73k01e8>?52d98k42>13:1(9==:06`=>h3;809j65`15d7>5<#<:81=9hn;o605?6<3f;?j>4?:%606?73nh1e8>?51:9l51`5290/8><515db?k2493807b?;f083>!24:3;?jl5a42397>=h9=l;6=4+420951`f3g>8=7:4;n37ac<72->8>7?;f`9m067==21d=9kj:18'064=9=lj7c:<1;48?j73mm0;6):<2;37bd=i<:;1;65`15ga>5<#<:81=9hn;o605?><3f;?il4?:%606?73nh1e8>?59:9l51c>290/8><515db?k2493k07b?;e983>!24:3;?jl5a4239f>=h9=o<6=4+420951`f3g>8=7m4;n37a3<72->8>7?;f`9m067=l21d=9k::18'064=9=lj7c:<1;g8?j73m=0;6):<2;37bd=i<:;1j65`15g0>5<#<:81=9hn;o605?7732e:8h<50;&777<6:038?j73m90;6):<2;37bd=i<:;1=?54o06gb?6=,=996<:ia:l774<6;21d=9jj:18'064=9=lj7c:<1;37?>i68>7?;f`9m067=9?10c<:kb;29 15528>mm6`;30823>=h9=nj6=4+420951`f3g>8=7?7;:m20a?=83.???4>4gc8j15628307b?;d983>!24:3;?jl5a42395d=o;7>5$511>42ai2d??<4>b:9l51b2290/8><515db?k2493;h76a>4e694?"3;;0:8ko4n512>4b<3f;?h>4?:%606?73nh1e8>?51d98k42c:3:1(9==:06ee>h3;80:j65`15f2>5<#<:81=9hn;o605?4732e:8i>50;&777<6:338?j73ko0;6):<2;37bd=i<:;1>?54o06`a?6=,=996<:ia:l774<5;21d=9mk:18'064=9=lj7c:<1;07?>i68>7?;f`9m067=:?10c<:i8;29 15528>mm6`;30813>=h9=l<6=4+420951`f3g>8=7<7;:m20c0=83.???4>4gc8j1562;307b?;f483>!24:3;?jl5a42396d=no7>5$511>42ai2d??<4=b:9l51c6290/8><515db?k24938h76a>4e494?"3;;0:8ko4n512>7b<3f;?oo4?:%606?73nh1e8>?52d98k42di3:1(9==:06ee>h3;809j65`1406>5<#<:81=8>94?:%606?72:k1e8>?51:9l5044290/8><5140a?k2493807b?:2383>!24:3;>>o5a42397>=h9<8:6=4+4209504e3g>8=7:4;n3665<72->8>7?:2c9m067==21d=8?i:18'064=9<8i7c:<1;48?j729l0;6):<2;366g=i<:;1;65`143`>5<#<:81=8<3f;>=o4?:%606?72:k1e8>?59:9l507f290/8><5140a?k2493k07b?:1883>!24:3;>>o5a4239f>=h9<;36=4+4209504e3g>8=7m4;n3652<72->8>7?:2c9m067=l21d=8?9:18'064=9<8i7c:<1;g8?j729<0;6):<2;366g=i<:;1j65`1437>5<#<:81=8:038?j72980;6):<2;366g=i<:;1=?54o0724?6=,=996<;=b:l774<6;21d=8>i:18'064=9<8i7c:<1;37?>i6=9o1<7*;338217d8>7?:2c9m067=9?10c<;?c;29 15528?9n6`;30823>=h9<:i6=4+4209504e3g>8=7?7;:m215g=83.???4>53`8j15628307b?:0883>!24:3;>>o5a42395d=5$511>435j2d??<4>b:9l5061290/8><5140a?k2493;h76a>51794?"3;;0:9?l4n512>4b<3f;><94?:%606?72:k1e8>?51d98k437;3:1(9==:071f>h3;80:j65`1421>5<#<:81=8:338?j72890;6):<2;366g=i<:;1>?54o06eb?6=,=996<;=b:l774<5;21d=9hj:18'064=9<8i7c:<1;07?>i68>7?:2c9m067=:?10c<;=9;29 15528?9n6`;30813>=h9<836=4+4209504e3g>8=7<7;:m2171=83.???4>53`8j1562;307b?:2783>!24:3;>>o5a42396d=5$511>435j2d??<4=b:9l5075290/8><5140a?k24938h76a>51594?"3;;0:9?l4n512>7b<3f;?jn4?:%606?72:k1e8>?52d98k42aj3:1(9==:071f>h3;809j65`1475>5<#<:81=8;l;o605?6<3f;>984?:%606?72=j1e8>?51:9l5033290/8><5147`?k2493807b?:5283>!24:3;>9n5a42397>=h98=7:4;n3614<72->8>7?:5b9m067==21d=8;?:18'064=95<#<:81=8;l;o605?><3f;>8n4?:%606?72=j1e8>?59:9l502e290/8><5147`?k2493k07b?:4`83>!24:3;>9n5a4239f>=h9<>26=4+4209503d3g>8=7m4;n360=<72->8>7?:5b9m067=l21d=8:8:18'064=95<#<:81=8;l;o605?7732e:99:50;&777<6=:038?j72<;0;6):<2;361f=i<:;1=?54o0775?6=,=996<;:c:l774<6;21d=8:?:18'064=9i6=:l1<7*;338210e8>7?:5b9m067=9?10c<;o6`;30823>=h9<9h6=4+4209503d3g>8=7?7;:m216d=83.???4>54a8j15628307b?:3`83>!24:3;>9n5a42395d=5$511>432k2d??<4>b:9l5050290/8><5147`?k2493;h76a>52494?"3;;0:98m4n512>4b<3f;>?84?:%606?72=j1e8>?51d98k434<3:1(9==:076g>h3;80:j65`1410>5<#<:81=8;l;o605?4732e:9><50;&777<6=:338?j72;80;6):<2;361f=i<:;1>?54o0704?6=,=996<;:c:l774<5;21d=8i6=;o1<7*;338210e8>7?:5b9m067=:?10c<;:a;29 15528?>o6`;30813>=h98=7<7;:m210>=83.???4>54a8j1562;307b?:5683>!24:3;>9n5a42396d=5$511>432k2d??<4=b:9l5024290/8><5147`?k24938h76a>52:94?"3;;0:98m4n512>7b<3f;>>i4?:%606?72=j1e8>?52d98k435k3:1(9==:076g>h3;809j65`cga94?"3;;0o=hkoh1<7*;338g47=i<:;1=65`cgc94?"3;;0o=hko31<7*;338g47=i<:;1?65`cg:94?"3;;0o=hko=1<7*;338g47=i<:;1965`cg494?"3;;0o=hko?1<7*;338g47=i<:;1;65`cg194?"3;;0o=hko81<7*;338g47=i<:;1565`cg394?"3;;0o=hko:1<7*;338g47=i<:;1n65`cdd94?"3;;0o=hklo1<7*;338g47=i<:;1h65`cdf94?"3;;0o=hkli1<7*;338g47=i<:;1j65`cd`94?"3;;0o=;o605?7532ehi:4?:%606?b7:2d??<4>3:9lg`0=83.???4k039m067=9=10cnk::18'064=l980b9=>:078?jeb<3:1(9==:e21?k2493;=76ale283>!24:3n;>6`;30823>=hkl81<7*;338g47=i<:;1=554obg2>5<#<:81h=<4n512>4?<3fin<7>5$511>a653g>8=7?n;:m``c<72->8>7j?2:l774<6j21doij50;&777?51b98kfbd290/8><5d108j15628n07bmkb;29 1552m:97c:<1;3f?>idlh0;6):<2;f36>h3;80:j65`ce;94?"3;;0o=;o605?4532ehh;4?:%606?b7:2d??<4=3:9lga3=83.???4k039m067=:=10cnj;:18'064=l980b9=>:378?jb793:1(9==:e21?k24938=76ak0183>!24:3n;>6`;30813>=hkol1<7*;338g47=i<:;1>554obdf>5<#<:81h=<4n512>7?<3fimh7>5$511>a653g>8=78>7j?2:l774<5j21doh750;&777?52b98kfbb290/8><5d108j1562;n07bmk3;29 1552m:97c:<1;0f?>idl;0;6):<2;f36>h3;809j65fb1;94?"3;;0i=<5a42394>=nj921<7*;338a54=i<:;1=65fb1594?"3;;0i=<5a42396>=nj9<1<7*;338a54=i<:;1?65fb1694?"3;;0i=<5a42390>=nj991<7*;338a54=i<:;1965fb1094?"3;;0i=<5a42392>=nj9;1<7*;338a54=i<:;1;65fb1294?"3;;0i=<5a4239<>=niol1<7*;338a54=i<:;1565fagg94?"3;;0i=<5a4239e>=nion1<7*;338a54=i<:;1n65faga94?"3;;0i=<5a4239g>=nioh1<7*;338a54=i<:;1h65fb0294?"3;;0i=<5a4239a>=nj9l1<7*;338a54=i<:;1j65fb1g94?"3;;0i=<5a423955=;o605?7532ci3:9jf5g=83.???4m109m067=9=10eo>::18'064=j8;0b9=>:078?lgai3:1(9==:c32?k2493;=76gnf883>!24:3h:=6`;30823>=hijk1<7*;338bgg=i<:;1<65`aba94?"3;;0joi5a42394>=n9<<96=4+420950043g>8=7>4;hce2?6=3k><=7>51;294~"35;|`737<7280;6=u+45f9003<@=?h7E::0:m0=<<722wi8:=50;194?6|,=>o6?ok;I66g>N3=91/>ok52:k21`<722c:n94?::m770<722wi8::50;194?6|,=>o6?ok;I66g>N3=91/>ok52:k21`<722c:n94?::m770<722wi8:;50;194?6|,=>o6?ok;I66g>N3=91/>ok52:k21`<722c:n94?::m770<722wi8:850;794?6|,=>o6?j9;I66g>N3=91/?485144a?l7fm3:17d<6c;29?l23;3:17b=7a;29?j4d03:17pl;7683>0<729q/89j52e48L13d3A>><6*<978213d5<86=44o2:b>5<k1b=lk50;9j6f1=831d?5o50;9~f11>290>6=4?{%67`?4c>2B?9n5G4428 6?128?=n6g>ad83>>o51j0;66g;4283>>i40h0;66a=c983>>{e<>k1<7;50;2x 12c2;n=7E::c:J715=#;0<1=88m;h3ba?6=3`82o7>5;h677?6=3f93m7>5;n0`5<7s->?h7832m647595855?4c2?k1:;4=a;43>32=:00>o786:4d9y!5>>3;>:o5ac481?k76>3:0b;o3a7?7"5l909n85a2e395>h41<0:7)=lc;78j153281/89;52e28 1212:ih7)::1;0bg>"3=;09h?5+44197=><,=??6>6l;n1``?6=3`>8h7>5;h3b7?6=3`;j=7>5;n0g0?6=3`;j97>5;h675?6=3`8o?7>5;h3b0?6=3`>8i7>5;h3bg?6=3f;2=7>5;h60=?6=3`;j:7>5;n67a?6=3f9hi7>5;h60f?6=3`>8m7>5;h3b8j7>5;h3b6?6=3`;j<7>5;h3bb?6=3`;2j7>5;h60g?6=3f92;7>5;h3b3?6=3`;jn7>5;h3:6?6=3`;2<7>5;h674?6=3`;jm7>5;h676?6=3fli6=4+4209bd=i<:;1<65`f883>!24:3lj7c:<1;38?j`?290/8><5f`9m067=:21dj:4?:%606?`f3g>8=7=4;nd5>5<#<:81jl5a42390>=hn<0;6):<2;db?k2493?07bh;:18'064=nh1e8>?56:9lb6<72->8>7hn;o605?1<3fl96=4+4209bd=i<:;1465`f083>!24:3lj7c:<1;;8?jca290/8><5f`9m067=i21dih4?:%606?`f3g>8=7l4;ngg>5<#<:81jl5a4239g>=hmj0;6):<2;db?k2493n07bkm:18'064=nh1e8>?5e:9lad<72->8>7hn;o605?`<3fo26=4+4209bd=i<:;1==54od:94?"3;;0mm6`;30825>=hm>0;6):<2;db?k2493;976aj6;29 1552ok0b9=>:018?j77;3:1(9==:gc8j15628>07b??2;29 1552ok0b9=>:078?j7793:1(9==:gc8j15628<07b??0;29 1552ok0b9=>:058?j`a290/8><5f`9m067=9110ckk50;&7779:9lba<72->8>7hn;o605?7f32emo7>5$511>cg5<#<:81jl5a42395f=h3;80:h65f38694?"3;;085>5a42394>=n;081<7*;3380=6=i<:;1=65f38394?"3;;085>5a42396>=n;0:1<7*;3380=6=i<:;1?65f39d94?"3;;085>5a42390>=n;1o1<7*;3380=6=i<:;1965f39f94?"3;;085>5a42392>=n;o31<7*;3380b==i<:;1<65f3g594?"3;;08j55a42395>=n;o<1<7*;3380b==i<:;1>65f3g794?"3;;08j55a42397>=n;o>1<7*;3380b==i<:;1865f3g194?"3;;08j55a42391>=n;o81<7*;3380b==i<:;1:65f3g394?"3;;08j55a42393>=n;ll1<7*;3380b==i<:;1465f3dg94?"3;;08j55a4239=>=n;ln1<7*;3380b==i<:;1m65f3da94?"3;;08j55a4239f>=n;lh1<7*;3380b==i<:;1o65f3dc94?"3;;08j55a4239`>=n;l31<7*;3380b==i<:;1i65f3d:94?"3;;08j55a4239b>=n;l=1<7*;3380b==i<:;1==54i2g5>5<#<:81?k64n512>47<3`9n87>5$511>6`?3g>8=7?=;:k0a6<72->8>7=i8:l774<6;21b?h<50;&777<4n11e8>?51598m6c6290/8><53g:8j15628?07d=j0;29 1552:l37c:<1;35?>o4lo0;6):<2;1e<>h3;80:;65f3eg94?"3;;08j55a42395==h7;o605?7f32c8ho4?:%606?5a02d??<4>b:9j7a?=83.???4j7:18'064=;o20b9=>:0f8?l5c?3:1(9==:2d;?k2493;n76g!24:39m46`;3082b>=n;m?1<7*;3380b==i<:;1>=54i2f7>5<#<:81?k64n512>77<3`9o?7>5$511>6`?3g>8=7<=;:k0`7<72->8>7=i8:l774<5;21b?i?50;&777<4n11e8>?52598m6b7290/8><53g:8j1562;?07d=if;29 1552:l37c:<1;05?>o4nl0;6):<2;1e<>h3;809;65f3gf94?"3;;08j55a42396==h7;o605?4f32c8jl4?:%606?5a02d??<4=b:9j7c6=83.???4k::18'064=;o20b9=>:3f8?l5ci3:1(9==:2d;?k24938n76g!24:39m46`;3081b>=n98h1<7*;33825d=i<:;1<65f10;94?"3;;0:=l5a42395>=n9821<7*;33825d=i<:;1>65f10594?"3;;0:=l5a42397>=n9131<7*;3382<==i<:;1<65f19594?"3;;0:455a42395>=n91<1<7*;3382<==i<:;1>65f19794?"3;;0:455a42397>=n91>1<7*;3382<==i<:;1865f19194?"3;;0:455a42391>=n9181<7*;3382<==i<:;1:65f19394?"3;;0:455a42393>=n9>l1<7*;3382<==i<:;1465f16g94?"3;;0:455a4239=>=n9>n1<7*;3382<==i<:;1m65f16a94?"3;;0:455a4239f>=n9>h1<7*;3382<==i<:;1o65f16c94?"3;;0:455a4239`>=n9>31<7*;3382<==i<:;1i65f16:94?"3;;0:455a4239b>=n9>=1<7*;3382<==i<:;1==54i055>5<#<:81=564n512>47<3`;<87>5$511>4>?3g>8=7?=;:k236<72->8>7?78:l774<6;21b=:<50;&777<6011e8>?51598m416290/8><519:8j15628?07d?80;29 15528237c:<1;35?>o6>o0;6):<2;3;<>h3;80:;65f17g94?"3;;0:455a42395==b:9j53?=83.???4>899m067=9j10e<87:18'064=9120b9=>:0f8?l71?3:1(9==:0:;?k2493;n76g>6783>!24:3;346`;3082b>=n9??1<7*;3382<==i<:;1>=54i047>5<#<:81=564n512>77<3`;=?7>5$511>4>?3g>8=7<=;:k227<72->8>7?78:l774<5;21b=;?50;&777<6011e8>?52598m407290/8><519:8j1562;?07d?7f;29 15528237c:<1;05?>o60l0;6):<2;3;<>h3;809;65f19f94?"3;;0:455a42396==899m067=:j10e<9::18'064=9120b9=>:3f8?l71i3:1(9==:0:;?k24938n76g>5g83>!24:3;346`;3081b>=h90n1<7*;3382=f=i<:;1<65`18`94?"3;;0:5n5a42395>=h90k1<7*;3382=f=i<:;1>65`18;94?"3;;0:5n5a42397>=n:j>1<7*;3381g6=i<:;1<65f2b094?"3;;09o>5a42395>=n:j;1<7*;3381g6=i<:;1>65f2b294?"3;;09o>5a42397>=n:kl1<7*;3381g6=i<:;1865f12g94?"3;;0:?i5a42394>=n9:i1<7*;33827a=i<:;1=65f12`94?"3;;0:?i5a42396>=n9:k1<7*;33827a=i<:;1?65f12;94?"3;;0:?i5a42390>=n9:21<7*;33827a=i<:;1965f12594?"3;;0:?i5a42392>=n9:<1<7*;33827a=i<:;1;65f15594?"3;;0:?i5a4239<>=n9=<1<7*;33827a=i<:;1565f15794?"3;;0:?i5a4239e>=n9=>1<7*;33827a=i<:;1n65f15194?"3;;0:?i5a4239g>=n9=81<7*;33827a=i<:;1h65f15394?"3;;0:?i5a4239a>=n9=:1<7*;33827a=i<:;1j65f12d94?"3;;0:?i5a423955=6=4+420956b5$511>44?3g>8=7?4;h312?6=,=996<<7;o605?4<3`;997>5$511>44?3g>8=7=4;h310?6=,=996<<7;o605?2<3`;9?7>5$511>44?3g>8=7;4;h316?6=,=996<<7;o605?0<3`;9=7>5$511>44?3g>8=794;h306?6=,=996<<7;o605?><3`;8=7>5$511>44?3g>8=774;h304?6=,=996<<7;o605?g<3`;9j7>5$511>44?3g>8=7l4;h31a?6=,=996<<7;o605?e<3`;9h7>5$511>44?3g>8=7j4;h31g?6=,=996<<7;o605?c<3`;9n7>5$511>44?3g>8=7h4;h31e?6=,=996<<7;o605?7732c:>=4?:%606?7502d??<4>1:9l507=83.???4>519m067=821d=9h50;&777<6=91e8>?51:9l51c=83.???4>519m067=:21d=9j50;&777<6=91e8>?53:9l51e=83.???4>519m067=<21d=9l50;&777<6=91e8>?55:9l51g=83.???4>519m067=>21d=9750;&777<6=91e8>?57:9l50g=83.???4>519m067=021d=8750;&777<6=91e8>?59:9l50>=83.???4>519m067=i21d=8950;&777<6=91e8>?5b:9l500=83.???4>519m067=k21d=8;50;&777<6=91e8>?5d:9l502=83.???4>519m067=m21d=8=50;&777<6=91e8>?5f:9l504=83.???4>519m067=9910c<:7:18'064=9<:0b9=>:038?l7d?3:1(9==:0a5?k2493:07d?l5;29 15528i=7c:<1;38?l7d<3:1(9==:0a5?k2493807d?l3;29 15528i=7c:<1;18?l7d:3:1(9==:0a5?k2493>07d?l0;29 15528i=7c:<1;78?l7en3:1(9==:0a5?k2493<07d?me;29 15528i=7c:<1;58?l7el3:1(9==:0a5?k2493207d?mc;29 15528i=7c:<1;;8?l7ej3:1(9==:0a5?k2493k07d?ma;29 15528i=7c:<1;`8?l7e13:1(9==:0a5?k2493i07d?m8;29 15528i=7c:<1;f8?l7e?3:1(9==:0a5?k2493o07d?lf;29 15528i=7c:<1;d8?l7dm3:1(9==:0a5?k2493;;76g>ce83>!24:3;h:6`;30825>=n9ji1<7*;3382g3=i<:;1=?54i0aa>5<#<:81=n84n512>45<3`;hm7>5$511>4e13g>8=7?;;:k2g<<72->8>7?l6:l774<6=21b=n650;&777<6k?1e8>?51798m4e6290/8><51b48j15628=07d?m6;29 15528i=7c:<1;3;?>i4k=0;6):<2;1`7>h3;80;76a!24:39h?6`;3082?>i4k80;6):<2;1`7>h3;80976a!24:39h?6`;3080?>i4jo0;6):<2;1`7>h3;80?76a!24:39h?6`;3086?>i4jm0;6):<2;1`7>h3;80=76a!24:39h?6`;3084?>i4jh0;6):<2;1`7>h3;80376a!24:39h?6`;308:?>i4j10;6):<2;1`7>h3;80j76a!24:39h?6`;308a?>i4j?0;6):<2;1`7>h3;80h76a!24:39h?6`;308g?>i4j=0;6):<2;1`7>h3;80n76a!24:39h?6`;308e?>i4j;0;6):<2;1`7>h3;80:<65`3c394?"3;;08o>5a423954=m<;o605?7432e8mi4?:%606?5d;2d??<4>4:9l7de=83.???4om:18'064=;j90b9=>:048?j5fi3:1(9==:2a0?k2493;<76a!24:39h?6`;3082<>=h;h21<7*;3380g6=i<:;1=454o2c4>5<#<:81?n=4n512>4g<3f9j:7>5$511>6e43g>8=7?m;:m0e1<72->8>7=l3:l774<6k21d?l=50;&777<4k:1e8>?51e98k6g5290/8><53b18j15628o07b=n1;29 1552:i87c:<1;3e?>i4i90;6):<2;1`7>h3;809<65`38d94?"3;;08o>5a423964=m<;o605?4432e85n4?:%606?5d;2d??<4=4:9l7mn:18'064=;j90b9=>:348?j5d13:1(9==:2a0?k24938<76a!24:39h?6`;3081<>=h;j=1<7*;3380g6=i<:;1>454o2a5>5<#<:81?n=4n512>7g<3f9h97>5$511>6e43g>8=78>7=l3:l774<5k21d?o>50;&777<4k:1e8>?52e98k6g2290/8><53b18j1562;o07b=6a;29 1552:i87c:<1;0e?>oek3:1(9==:c`8j1562910eoo50;&777;:ka=?6=,=996ol4n512>7=h3;80876gm7;29 1552kh0b9=>:598mg0=83.???4mb:l774<232ci97>5$511>gdoe;3:1(9==:c`8j1562110eo<50;&777d=h3;80i76gne;29 1552kh0b9=>:b98mdb=83.???4mb:l7745$511>gdofi3:1(9==:c`8j15628:07do6:18'064=jk1e8>?51098md>=83.???4mb:l774<6:21bm:4?:%606?de3g>8=7?<;:k`0?6=,=996ol4n512>42<3`i86=4+4209fg=i<:;1=854ib094?"3;;0in6`;30822>=nk80;6):<2;`a?k2493;<76gl0;29 1552kh0b9=>:0:8?lda290/8><5bc9m067=9010eok50;&777a:9jfa<72->8>7lm;o605?7e32ci=7>5$511>gd5<#<:81no5a42395a=5<#<:818?74n512>4=5<#<:818?74n512>6=6=4+420907?5<#<:818?74n512>0=5<#<:818?74n512>2=5<#<:818?74n512><=5<#<:818?74n512>g=5<#<:818?74n512>a=5<#<:818?74n512>c=4;n623?6=,=9969<6;o605?7632e?=84?:%606?2512d??<4>2:9l042=83.???4;289m067=9:10c9?<:18'064=<;30b9=>:068?j26:3:1(9==:50:?k2493;>76a;1083>!24:3>956`;30822>=h<8:1<7*;33876<=i<:;1=:54o52e>5<#<:818?74n512>4><3f>;i7>5$511>14>3g>8=7?6;:m74a<72->8>7:=9:l774<6i21d8=m50;&777<3:01e8>?51c98k16f290/8><543;8j15628i07b:?9;29 1552=827c:<1;3g?>i3810;6):<2;61=>h3;80:i65`41594?"3;;0?>45a42395c=4;n631?6=,=9969<6;o605?4632e?<94?:%606?2512d??<4=2:9l055=83.???4;289m067=::10c9>=:18'064=<;30b9=>:368?j2793:1(9==:50:?k24938>76a;3183>!24:3>956`;30812>=h<;l1<7*;33876<=i<:;1>:54o50f>5<#<:818?74n512>7><3f>9h7>5$511>14>3g>8=7<6;:m76f<72->8>7:=9:l774<5i21d8?l50;&777<3:01e8>?52c98k146290/8><543;8j1562;i07b:>6;29 1552=827c:<1;0g?>i38k0;6):<2;61=>h3;809i65`41294?"3;;0?>45a42396c=h3;80;76gka;29 1552mh0b9=>:098ma?=83.???4kb:l774<532co47>5$511>ad54ie594?"3;;0on6`;3087?>oc>3:1(9==:e`8j1562<10ei;50;&7772=h3;80376gk2;29 1552mh0b9=>:898ma6=83.???4kb:l7745$511>adodl3:1(9==:e`8j1562m10enm50;&777c=h3;80:<65fc883>!24:3ni7c:<1;32?>od03:1(9==:e`8j15628807dm8:18'064=lk1e8>?51298m`2=83.???4kb:l774<6<21bi>4?:%606?be3g>8=7?:;:kf6?6=,=996il4n512>40<3`o:6=4+4209`g=i<:;1=:54id294?"3;;0on6`;3082<>=nlo0;6):<2;fa?k2493;276gke;29 1552mh0b9=>:0c8?lbc290/8><5dc9m067=9k10ei?50;&777c:9jg3<72->8>7jm;o605?7c32c:5:4?:%606?7>>2d??<4?;:k2=0<72->8>7?66:l774<632c:594?:%606?7>>2d??<4=;:a02e=83;j=7>50z&70a<5i<1C88m4H573?_72k3;;w;=5918;b??>20>1:<4=d;4b>30=:h0=<78;:3;91f<113?m6p*<978213d6`>1783?k76k3;0b=92d:n>4>;o0b0?66=5+2e296g3"4kj0>7c:<4;38 1222;n;7):;6;1`g>"3=809mn5+44096a4<,=?86>67;%660?5?k2e8oi4?::k77a<722c:m>4?::k2e4<722e9h94?::k2e0<722c?8<4?::k1`6<722c:m94?::k77`<722c:mn4?::m2=4<722c??44?::k2e3<722e?8h4?::m0g`<722c??o4?::k77d<722c:m54?::k77c<722c:m?4?::k2e5<722c:mk4?::k2=c<722c??n4?::m0=2<722c:m:4?::k2eg<722c:5?4?::k2=5<722c?8=4?::k2ed<722c?8?4?::mef?6=,=996ko4n512>5=h3;80:76ai8;29 1552ok0b9=>:398kc1=83.???4ia:l774<432em:7>5$511>cgia<3:1(9==:gc8j1562?10ck=50;&777==h3;80276ajf;29 1552ok0b9=>:`98k`c=83.???4ia:l7745$511>cgibj3:1(9==:gc8j1562l10cho50;&77746<3fo36=4+4209bd=i<:;1=<54od594?"3;;0mm6`;30826>=hm?0;6):<2;db?k2493;876a>0283>!24:3lj7c:<1;37?>i68;0;6):<2;db?k2493;>76a>0083>!24:3lj7c:<1;35?>i6890;6):<2;db?k2493;<76aif;29 1552ok0b9=>:0:8?j`b290/8><5f`9m067=9010ckj50;&777a:9lbf<72->8>7hn;o605?7e32em<7>5$511>cg5<#<:81jl5a42395a=5<#<:81?4=4n512>4=5<#<:81?4=4n512>6=5<#<:81?4=4n512>0=5<#<:81?k64n512>5=5<#<:81?k64n512>7=6=4+42097c>54i2d7>5<#<:81?k64n512>1=5<#<:81?k64n512>3=5<#<:81?k64n512>==5<#<:81?k64n512>d=5<#<:81?k64n512>f=5<#<:81?k64n512>`=5<#<:81?k64n512>46<3`9n:7>5$511>6`?3g>8=7?>;:k0a1<72->8>7=i8:l774<6:21b?h=50;&777<4n11e8>?51298m6c5290/8><53g:8j15628>07d=j1;29 1552:l37c:<1;36?>o4m90;6):<2;1e<>h3;80::65f3ed94?"3;;08j55a423952=h7;o605?7>32c8hn4?:%606?5a02d??<4>a:9j7ad=83.???4j6:18'064=;o20b9=>:0a8?l5c03:1(9==:2d;?k2493;o76g!24:39m46`;3082a>=n;m<1<7*;3380b==i<:;1=k54i2f6>5<#<:81?k64n512>76<3`9o87>5$511>6`?3g>8=7<>;:k0`6<72->8>7=i8:l774<5:21b?i<50;&777<4n11e8>?52298m6b6290/8><53g:8j1562;>07d=k0;29 1552:l37c:<1;06?>o4no0;6):<2;1e<>h3;809:65f3gg94?"3;;08j55a423962=h7;o605?4>32c8jo4?:%606?5a02d??<4=a:9j7cg=83.???4h?:18'064=;o20b9=>:3a8?l5b=3:1(9==:2d;?k24938o76g!24:39m46`;3081a>=n;jl1<7*;3380b==i<:;1>k54i03a>5<#<:81=5=5<#<:81=7=54i0::>5<#<:81=564n512>5=5<#<:81=564n512>7=6=4+42095=>54i0:7>5<#<:81=564n512>1=5<#<:81=564n512>3=5<#<:81=564n512>==5<#<:81=564n512>d=5<#<:81=564n512>f=5<#<:81=564n512>`=5<#<:81=564n512>46<3`;<:7>5$511>4>?3g>8=7?>;:k231<72->8>7?78:l774<6:21b=:=50;&777<6011e8>?51298m415290/8><519:8j15628>07d?81;29 15528237c:<1;36?>o6?90;6):<2;3;<>h3;80::65f17d94?"3;;0:455a423952=32c::n4?:%606?7?02d??<4>a:9j53d=83.???4>899m067=9k10e<86:18'064=9120b9=>:0a8?l7103:1(9==:0:;?k2493;o76g>6683>!24:3;346`;3082a>=n9?<1<7*;3382<==i<:;1=k54i046>5<#<:81=564n512>76<3`;=87>5$511>4>?3g>8=7<>;:k226<72->8>7?78:l774<5:21b=;<50;&777<6011e8>?52298m406290/8><519:8j1562;>07d?90;29 15528237c:<1;06?>o60o0;6):<2;3;<>h3;809:65f19g94?"3;;0:455a423962=32c:4o4?:%606?7?02d??<4=a:9j5=g=83.???4>899m067=:k10e<6?:18'064=9120b9=>:3a8?l70=3:1(9==:0:;?k24938o76g>6`83>!24:3;346`;3081a>=n9k54o0;g>5<#<:81=4m4n512>5=5<#<:81=4m4n512>7=54i3a7>5<#<:81>n=4n512>5=5<#<:81>n=4n512>7=54i3`e>5<#<:81>n=4n512>1=5<#<:81=>j4n512>4=5<#<:81=>j4n512>6=5<#<:81=>j4n512>0=5<#<:81=>j4n512>2=<6=4+420956b5<#<:81=>j4n512><=>6=4+420956b5<#<:81=>j4n512>g=86=4+420956b5<#<:81=>j4n512>a=:6=4+420956b5<#<:81=>j4n512>c=4;h301?6=,=996<=k;o605?7632c:>44?:%606?7502d??<4?;:k262<72->8>7?=8:l774<632c:>;4?:%606?7502d??<4=;:k260<72->8>7?=8:l774<432c:>94?:%606?7502d??<4;;:k266<72->8>7?=8:l774<232c:>?4?:%606?7502d??<49;:k264<72->8>7?=8:l774<032c:??4?:%606?7502d??<47;:k274<72->8>7?=8:l774<>32c:?=4?:%606?7502d??<4n;:k26c<72->8>7?=8:l774h4?:%606?7502d??<4l;:k26a<72->8>7?=8:l774n4?:%606?7502d??<4j;:k26g<72->8>7?=8:l774l4?:%606?7502d??<4>0:9j576=83.???4>299m067=9810c<;>:18'064=9<:0b9=>:198k42a290/8><51428j1562810c<:j:18'064=9<:0b9=>:398k42c290/8><51428j1562:10c<:l:18'064=9<:0b9=>:598k42e290/8><51428j1562<10c<:n:18'064=9<:0b9=>:798k42>290/8><51428j1562>10c<;n:18'064=9<:0b9=>:998k43>290/8><51428j1562010c<;7:18'064=9<:0b9=>:`98k430290/8><51428j1562k10c<;9:18'064=9<:0b9=>:b98k432290/8><51428j1562m10c<;;:18'064=9<:0b9=>:d98k434290/8><51428j1562o10c<;=:18'064=9<:0b9=>:028?j7303:1(9==:073?k2493;:76g>c683>!24:3;h:6`;3083?>o6k<0;6):<2;3`2>h3;80:76g>c583>!24:3;h:6`;3081?>o6k:0;6):<2;3`2>h3;80876g>c383>!24:3;h:6`;3087?>o6k90;6):<2;3`2>h3;80>76g>bg83>!24:3;h:6`;3085?>o6jl0;6):<2;3`2>h3;80<76g>be83>!24:3;h:6`;308;?>o6jj0;6):<2;3`2>h3;80276g>bc83>!24:3;h:6`;308b?>o6jh0;6):<2;3`2>h3;80i76g>b883>!24:3;h:6`;308`?>o6j10;6):<2;3`2>h3;80o76g>b683>!24:3;h:6`;308f?>o6ko0;6):<2;3`2>h3;80m76g>cd83>!24:3;h:6`;30824>=n9jn1<7*;3382g3=i<:;1=<54i0a`>5<#<:81=n84n512>44<3`;hn7>5$511>4e13g>8=7?<;:k2gd<72->8>7?l6:l774<6<21b=n750;&777<6k?1e8>?51498m4e?290/8><51b48j15628<07d?l1;29 15528i=7c:<1;34?>o6j?0;6):<2;3`2>h3;80:465`3b694?"3;;08o>5a42394>=h;j81<7*;3380g6=i<:;1=65`3b394?"3;;08o>5a42396>=h;j:1<7*;3380g6=i<:;1?65`3cd94?"3;;08o>5a42390>=h;ko1<7*;3380g6=i<:;1965`3cf94?"3;;08o>5a42392>=h;ki1<7*;3380g6=i<:;1;65`3cc94?"3;;08o>5a4239<>=h;k31<7*;3380g6=i<:;1565`3c:94?"3;;08o>5a4239e>=h;k=1<7*;3380g6=i<:;1n65`3c494?"3;;08o>5a4239g>=h;k?1<7*;3380g6=i<:;1h65`3c694?"3;;08o>5a4239a>=h;k91<7*;3380g6=i<:;1j65`3c094?"3;;08o>5a423955=m<;o605?7532e8mh4?:%606?5d;2d??<4>3:9l7db=83.???4ol:18'064=;j90b9=>:078?j5fj3:1(9==:2a0?k2493;=76a!24:39h?6`;30823>=h;h31<7*;3380g6=i<:;1=554o2c;>5<#<:81?n=4n512>4?<3f9j;7>5$511>6e43g>8=7?n;:m0e3<72->8>7=l3:l774<6j21d?l:50;&777<4k:1e8>?51b98k6g4290/8><53b18j15628n07b=n2;29 1552:i87c:<1;3f?>i4i80;6):<2;1`7>h3;80:j65`3`294?"3;;08o>5a423965=m<;o605?4532e85i4?:%606?5d;2d??<4=3:9l77m:18'064=;j90b9=>:378?j5di3:1(9==:2a0?k24938=76a!24:39h?6`;30813>=h;j21<7*;3380g6=i<:;1>554o2a4>5<#<:81?n=4n512>7?<3f9h:7>5$511>6e43g>8=78>7=l3:l774<5j21d?ol50;&777<4k:1e8>?52b98k6d7290/8><53b18j1562;n07b=n5;29 1552:i87c:<1;0f?>i41h0;6):<2;1`7>h3;809j65fbb83>!24:3hi7c:<1;28?ldf290/8><5bc9m067=921bn44?:%606?de3g>8=7<4;h`;>5<#<:81no5a42397>=nj>0;6):<2;`a?k2493>07dl9:18'064=jk1e8>?55:9jf0<72->8>7lm;o605?0<3`h?6=4+4209fg=i<:;1;65fb283>!24:3hi7c:<1;:8?ld5290/8><5bc9m067=121bn=4?:%606?de3g>8=7o4;hce>5<#<:81no5a4239f>=nil0;6):<2;`a?k2493i07dok:18'064=jk1e8>?5d:9jef<72->8>7lm;o605?c<3`ki6=4+4209fg=i<:;1j65fa`83>!24:3hi7c:<1;33?>of13:1(9==:c`8j15628;07do7:18'064=jk1e8>?51398md1=83.???4mb:l774<6;21bo94?:%606?de3g>8=7?;;:k`7?6=,=996ol4n512>43<3`i96=4+4209fg=i<:;1=;54ib394?"3;;0in6`;30823>=nk90;6):<2;`a?k2493;376gmf;29 1552kh0b9=>:0;8?ldb290/8><5bc9m067=9h10eoj50;&777b:9jf4<72->8>7lm;o605?7d32cj:7>5$511>gd947>5$511>14>3g>8=7?4;n613?6=,=9969<6;o605?4<3f>9:7>5$511>14>3g>8=7=4;n611?6=,=9969<6;o605?2<3f>987>5$511>14>3g>8=7;4;n617?6=,=9969<6;o605?0<3f>9>7>5$511>14>3g>8=794;n614?6=,=9969<6;o605?><3f>:j7>5$511>14>3g>8=774;n62a?6=,=9969<6;o605?g<3f>:h7>5$511>14>3g>8=7l4;n62g?6=,=9969<6;o605?e<3f>:n7>5$511>14>3g>8=7j4;n62e?6=,=9969<6;o605?c<3f>:57>5$511>14>3g>8=7h4;n621:9l043=83.???4;289m067=9;10c9?;:18'064=<;30b9=>:018?j26;3:1(9==:50:?k2493;?76a;1383>!24:3>956`;30821>=h<8;1<7*;33876<=i<:;1=;54o533>5<#<:818?74n512>41<3f>;j7>5$511>14>3g>8=7?7;:m74`<72->8>7:=9:l774<6121d8=j50;&777<3:01e8>?51`98k16d290/8><543;8j15628h07b:?a;29 1552=827c:<1;3`?>i3800;6):<2;61=>h3;80:h65`41:94?"3;;0?>45a42395`=<:18'064=<;30b9=>:318?j27:3:1(9==:50:?k24938?76a;0083>!24:3>956`;30811>=h<::1<7*;33876<=i<:;1>;54o50e>5<#<:818?74n512>71<3f>9i7>5$511>14>3g>8=7<7;:m76a<72->8>7:=9:l774<5121d8?m50;&777<3:01e8>?52`98k14e290/8><543;8j1562;h07b:=1;29 1552=827c:<1;0`?>i39?0;6):<2;61=>h3;809h65`41`94?"3;;0?>45a42396`=5<#<:81ho5a42394>=nlh0;6):<2;fa?k2493;07dj6:18'064=lk1e8>?52:9j`=<72->8>7jm;o605?5<3`n<6=4+4209`g=i<:;1865fd783>!24:3ni7c:<1;78?lb2290/8><5dc9m067=>21bh94?:%606?be3g>8=794;hf0>5<#<:81ho5a4239<>=nl;0;6):<2;fa?k2493307dj?:18'064=lk1e8>?5a:9jgc<72->8>7jm;o605?d<3`in6=4+4209`g=i<:;1o65fce83>!24:3ni7c:<1;f8?led290/8><5dc9m067=m21boo4?:%606?be3g>8=7h4;hab>5<#<:81ho5a423955=h3;80:=65fc983>!24:3ni7c:<1;31?>od?3:1(9==:e`8j15628907dk;:18'064=lk1e8>?51598m`5=83.???4kb:l774<6=21bi?4?:%606?be3g>8=7?9;:kf5?6=,=996il4n512>41<3`o;6=4+4209`g=i<:;1=554ied94?"3;;0on6`;3082=>=nll0;6):<2;fa?k2493;j76gkd;29 1552mh0b9=>:0`8?lb6290/8><5dc9m067=9j10en850;&777d:9j5<1=83.???4>979m067=821b=4;50;&777<61?1e8>?51:9j5<2=83.???4>979m067=:21vn99k:186>5<7s->?h779:075f>o6il0;66g=9b83>>o3<:0;66a<8`83>>i5k10;66sm46g94?3=83:p(9:k:3f5?M22k2B?9=5+3849500e3`;ji7>5;h0:g?6=3`>??7>5;n1;e?6=3f8h47>5;|`73c<72=0;6=u+45f96a3<@=?h7E::0:&0=3<6=?h0ef2900qo:70;291?6=8r.?8i4=b39K00e<@=?;7)=66;362g=#:ko1>85f14g94?=n90o1<75f1c694?=n<=91<75`42794?=zj=2:6=4::183!23l38i=6F;5b9K006<,:3=6<;9b:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`7<7<72<0;6=u+45f96g7<@=?h7E::0:&0=3<6=?h0(?lj:39j50c=831b=4k50;9j5g2=831b8>950;9l063=831vn96<:187>5<7s->?h7;50;9~f1>3290?6=4?{%67`?4fn2B?9n5G4428 7db2;1b=8k50;9j55<7s->?h779:075f>"5jl097d?:e;29?l7>m3:17d?m4;29?l24?3:17b:<5;29?xd30?0;684?:1y'01b=:k;0D9;l;I664>"41?0:9;l4$3`f>7=n91<75f42594?=h<:?1<75rb5:4>5<2290;w):;d;0a5>N3=j1C88>4$2;5>431j2.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th?454?:483>5}#<=n1>o?4H57`?M2282.85;4>57`8 7db2;1b=8k50;9j5;50;9~f1>>290>6=4?{%67`?4e92B?9n5G4428 6?128?=n6*=bd81?l72m3:17d?6e;29?l7e<3:17d:<7;29?j24=3:17pl;8`83>0<729q/89j52c38L13d3A>><6*<978213d<,;hn6?5f14g94?=n90o1<75f1c694?=n<:=1<75`42794?=zj=2i6=4::183!23l38i=6F;5b9K006<,:3=6<;9b:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`7950;9l063=831vn96k:186>5<7s->?h779:075f>"5jl0996g>5d83>>o61l0;66g>b583>>o3<:0;66a;3483>>{e<1o1<7;50;2x 12c2;h:7E::c:J715=n91<75f42594?=h<:?1<75rb5:e>5<2290;w):;d;0a6>N3=j1C88>4i07f>5<5<86=44o516>5<2<7>55;294~"35<5<5<2=7>55;294~"3i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`7=7<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`7=6<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`7=1<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`7=0<72=0;6=u+45f96g6<@=?h7E::0:&1f`<5=2c:9h4?::k2f1<722c??:4?::m770<722wi84850;794?6|,=>o6?l>;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722c??:4?::m770<722wi84950;;;>34=10qC88>4$56g>432l2P:9n4:{4f920<2m3<<6;65}h33f?6=,=996<>n;o605?6<3`;;57>5$511>46f3g>8=7?4;h01a?6=,=996?5$511>74c3g>8=7?4;h01f?6=,=996?5$511>74c3g>8=7=4;h01=?6=,=996?5$511>74c3g>8=7;4;h013?6=,=996?5$511>74c3g>8=794;h010?6=,=996?<3`89?7>5$511>74c3g>8=774;h016?6=,=996?5$511>74c3g>8=7l4;h014?6=,=996?5$511>74c3g>8=7j4;h02a?6=,=996?5$511>74c3g>8=7h4;h02g?6=,=996?1:9j64?=83.???4=2e9m067=9;10e??7:18'064=:;n0b9=>:018?l46?3:1(9==:30g?k2493;?76g=1783>!24:389h6`;30821>=n:8?1<7*;33816a=i<:;1=;54i337>5<#<:81>?j4n512>41<3`8:?7>5$511>74c3g>8=7?7;:k157<72->8>7<=d:l774<6121b>?51`98m777290/8><523f8j15628h07do58m0;6):<2;01`>h3;80:h65f21a94?"3;;09>i5a42395`=9:18'064=:;n0b9=>:318?l47=3:1(9==:30g?k24938?76g=0583>!24:389h6`;30811>=n::>1<7*;33816a=i<:;1>;54i310>5<#<:81>?j4n512>71<3`88>7>5$511>74c3g>8=7<7;:k174<72->8>7<=d:l774<5121b>>>50;&777<5:m1e8>?52`98m74a290/8><523f8j1562;h07d<=5;29 1552;8o7c:<1;0`?>o59h0;6):<2;01`>h3;809h65f21d94?"3;;09>i5a42396`=;o605?6<3`;:<7>5$511>4763g>8=7?4;h66=?6=3`;2i7>5;h670?6=3`;jj7>5;n054?6=,=996?;i;o605?6<3f8>i7>5$511>73a3g>8=7?4;n06`?6=,=996?;i;o605?4<3f8>o7>5$511>73a3g>8=7=4;n06f?6=,=996?;i;o605?2<3f8>m7>5$511>73a3g>8=7;4;n06=?6=,=996?;i;o605?0<3f8>47>5$511>73a3g>8=794;n062?6=,=996?;i;o605?><3f8>97>5$511>73a3g>8=774;n060?6=,=996?;i;o605?g<3f8>?7>5$511>73a3g>8=7l4;n066?6=,=996?;i;o605?e<3f8>=7>5$511>73a3g>8=7j4;n064?6=,=996?;i;o605?c<3f8?j7>5$511>73a3g>8=7h4;n07a?6=,=996?;i;o605?7732e98i4?:%606?42n2d??<4>1:9l61d=83.???4=5g9m067=9;10c?:n:18'064=::018?j4313:1(9==:37e?k2493;?76a=4983>!24:38>j6`;30821>=h:==1<7*;33811c=i<:;1=;54o365>5<#<:81>8h4n512>41<3f8?97>5$511>73a3g>8=7?7;:m101<72->8>7<:f:l774<6121d>9=50;&777<5=o1e8>?51`98k725290/8><524d8j15628h07b<;0;29 1552;?m7c:<1;3`?>i5;o0;6):<2;06b>h3;80:h65`22g94?"3;;099k5a42395`=:318?j44?3:1(9==:37e?k24938?76a=3783>!24:38>j6`;30811>=h:?<1<7*;33811c=i<:;1>;54o346>5<#<:81>8h4n512>71<3f8=87>5$511>73a3g>8=7<7;:m126<72->8>7<:f:l774<5121d>;<50;&777<5=o1e8>?52`98k706290/8><524d8j1562;h07b<:7;29 1552;?m7c:<1;0`?>i5h3;809h65`25394?"3;;099k5a42396`=6=4+420960`;o605?6<3f82<7>5$511>7?63g>8=7?4;n0;b?6=,=996?7>;o605?4<3f83i7>5$511>7?63g>8=7=4;n0;`?6=,=996?7>;o605?2<3f83o7>5$511>7?63g>8=7;4;n0;f?6=,=996?7>;o605?0<3f83m7>5$511>7?63g>8=794;n0;;o605?><3f83;7>5$511>7?63g>8=774;n0;2?6=,=996?7>;o605?g<3f8397>5$511>7?63g>8=7l4;n0;0?6=,=996?7>;o605?e<3f83?7>5$511>7?63g>8=7j4;n0;6?6=,=996?7>;o605?c<3f83=7>5$511>7?63g>8=7h4;n0;4?6=,=996?7>;o605?7732e9;k4?:%606?4>92d??<4>1:9l62b=83.???4=909m067=9;10c?9l:18'064=:0;0b9=>:018?j40j3:1(9==:3;2?k2493;?76a=7`83>!24:382=6`;30821>=h:>31<7*;3381=4=i<:;1=;54o35;>5<#<:81>4?4n512>41<3f8<;7>5$511>7?63g>8=7?7;:m133<72->8>7<61:l774<6121d>:;50;&777<5181e8>?51`98k713290/8><52838j15628h07b<82;29 1552;3:7c:<1;3`?>i5?80;6):<2;0:5>h3;80:h65`26294?"3;;095<5a42395`=;o605?4732e9:n4?:%606?4>92d??<4=1:9l63d=83.???4=909m067=:;10c?8n:18'064=:0;0b9=>:318?j4113:1(9==:3;2?k24938?76a=6983>!24:382=6`;30811>=h:021<7*;3381=4=i<:;1>;54o3;4>5<#<:81>4?4n512>71<3f82:7>5$511>7?63g>8=7<7;:m1=0<72->8>7<61:l774<5121d>4:50;&777<5181e8>?52`98k7?4290/8><52838j1562;h07b<79;29 1552;3:7c:<1;0`?>i5?l0;6):<2;0:5>h3;809h65`26194?"3;;095<5a42396`=5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7=d<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?5o4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7=f<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?5i4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7=`<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?5k4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7e5<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?m<4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7e7<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?m>4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7e1<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?m84?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7e3<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?m:4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7e=<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?m44?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7ed<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?mo4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7ef<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?mi4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7e`<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?mk4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7f5<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?n<4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7f7<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?n>4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7f1<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?n84?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7f3<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?n:4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7f=<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?n44?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7fd<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?no4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7ff<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?ni4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7f`<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?nk4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7g5<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?o<4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7g7<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?o>4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7g1<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?o84?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7g3<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?o:4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7g=<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?o44?:g83>5}#<=n18>64H57`?M2282.9nh4;;hc2>5<>of<3:17do::188m4d32900e?7i:188m7g72900e?o>:188m7g52900e?o<:188m1302900e9;7:188k12f2900c?7j:188yg2di3:1j7>50z&70a<3;11C88m4H573?!4em3>0el?50;9je7<722cj?7>5;hc7>5<5N3=j1C88>4$3`f>1=ni80;66gn2;29?lg42900el:50;9je0<722c:n94?::k1=c<722c9m=4?::k1e4<722c9m?4?::k1e6<722c?9:4?::k71=<722e?8l4?::m1=`<722wi8nm50;d94?6|,=>o69=7;I66g>N3=91/>ok54:kb5?6=3`k96=44i`194?=ni=0;66gn5;29?l7e<3:17d<6f;29?l4f83:17d"5jl0?7do>:188md4=831bm>4?::kb0?6=3`k>6=44i0`7>5<5<5<5<5<>of;3:17do;:188md3=831b=o:50;9j6<`=831b>l>50;9j6d7=831b>l<50;9j6d5=831b88950;9j00>=831d89o50;9l65<7s->?h7:<8:J71f=O<<:0(?lj:59je4<722cj>7>5;hc0>5<1<75fa483>>o6j=0;66g=9g83>>o5i90;66g=a083>>o5i;0;66g=a283>>o3=>0;66g;5983>>i3>{e5;h3a0?6=3`82j7>5;h0b4?6=3`8j=7>5;h0b6?6=3`8j?7>5;h663?6=3`>>47>5;n67e?6=3f82i7>5;|`7`4<72o0;6=u+45f906><@=?h7E::0:&1f`<33`k:6=44i`094?=ni:0;66gn4;29?lg22900e1<75f28d94?=n:h:1<75f2`394?=n:h81<75f2`194?=n<<=1<75f44:94?=h<=k1<75`28g94?=zj=n86=4i:183!23l3>846F;5b9K006<,;hn695fa083>>of:3:17do<:188md2=831bm84?::k2f1<722c95k4?::k1e5<722c9m<4?::k1e7<722c9m>4?::k712<722c?954?::m70d<722e95h4?::a0a2=83l1<7>t$56g>15?3A>>o6F;519'6gc=<2cj=7>5;hc1>5<>of=3:17d?m4;29?l4>n3:17dm3:17pl;d483>c<729q/89j542:8L13d3A>><6*=bd87?lg62900el<50;9je6<722cj87>5;hc6>5<5<5<5<5<j6=44o3;f>5<o:7>5f;294~"34h50;9j6d6=831b>l?50;9j6d4=831b>l=50;9j001=831b88650;9l01g=831d>4k50;9~f1b0290m6=4?{%67`?2402B?9n5G4428 7db2=1bm<4?::kb6?6=3`k86=44i`694?=ni<0;66g>b583>>o51o0;66g=a183>>o5i80;66g=a383>>o5i:0;66g;5683>>o3=10;66a;4`83>>i51l0;66sm4e:94?`=83:p(9:k:51;?M22k2B?9=5+2cg90>of93:17do=:188md5=831bm94?::kb1?6=3`;i87>5;h0:b?6=3`8j<7>5;h0b5?6=3`8j>7>5;h0b7?6=3`>>;7>5;h66?m7>5;n0:a?6=3th?h44?:g83>5}#<=n18>64H57`?M2282.9nh4;;hc2>5<>of<3:17do::188m4d32900e?7i:188m7g72900e?o>:188m7g52900e?o<:188m1302900e9;7:188k12f2900c?7j:188yg2ci3:1j7>50z&70a<3;11C88m4H573?!4em3>0el?50;9je7<722cj?7>5;hc7>5<5N3=j1C88>4$3`f>1=ni80;66gn2;29?lg42900el:50;9je0<722c:n94?::k1=c<722c9m=4?::k1e4<722c9m?4?::k1e6<722c?9:4?::k71=<722e?8l4?::m1=`<722wi8im50;d94?6|,=>o69=7;I66g>N3=91/>ok54:kb5?6=3`k96=44i`194?=ni=0;66gn5;29?l7e<3:17d<6f;29?l4f83:17d"5jl0?7do>:188md4=831bm>4?::kb0?6=3`k>6=44i0`7>5<5<5<5<5<>of;3:17do;:188md3=831b=o:50;9j6<`=831b>l>50;9j6d7=831b>l<50;9j6d5=831b88950;9j00>=831d89o50;9l65<7s->?h7:<8:J71f=O<<:0(?lj:59je4<722cj>7>5;hc0>5<1<75fa483>>o6j=0;66g=9g83>>o5i90;66g=a083>>o5i;0;66g=a283>>o3=>0;66g;5983>>i3>{e5;h3a0?6=3`82j7>5;h0b4?6=3`8j=7>5;h0b6?6=3`8j?7>5;h663?6=3`>>47>5;n67e?6=3f82i7>5;|`7a4<72o0;6=u+45f906><@=?h7E::0:&1f`<33`k:6=44i`094?=ni:0;66gn4;29?lg22900e1<75f28d94?=n:h:1<75f2`394?=n:h81<75f2`194?=n<<=1<75f44:94?=h<=k1<75`28g94?=zj=o86=4i:183!23l3>846F;5b9K006<,;hn695fa083>>of:3:17do<:188md2=831bm84?::k2f1<722c95k4?::k1e5<722c9m<4?::k1e7<722c9m>4?::k712<722c?954?::m70d<722e95h4?::a0`2=83l1<7>t$56g>15?3A>>o6F;519'6gc=<2cj=7>5;hc1>5<>of=3:17d?m4;29?l4>n3:17dm3:17pl;e483>c<729q/89j542:8L13d3A>><6*=bd87?lg62900el<50;9je6<722cj87>5;hc6>5<5<5<5<5<j6=44o3;f>5<n:7>5f;294~"34h50;9j6d6=831b>l?50;9j6d4=831b>l=50;9j001=831b88650;9l01g=831d>4k50;9~f1c0290m6=4?{%67`?2402B?9n5G4428 7db2=1bm<4?::kb6?6=3`k86=44i`694?=ni<0;66g>b583>>o51o0;66g=a183>>o5i80;66g=a383>>o5i:0;66g;5683>>o3=10;66a;4`83>>i51l0;66sm4d:94?`=83:p(9:k:51;?M22k2B?9=5+2cg90>of93:17do=:188md5=831bm94?::kb1?6=3`;i87>5;h0:b?6=3`8j<7>5;h0b5?6=3`8j>7>5;h0b7?6=3`>>;7>5;h66?m7>5;n0:a?6=3th?i44?:g83>5}#<=n18>64H57`?M2282.9nh4;;hc2>5<>of<3:17do::188m4d32900e?7i:188m7g72900e?o>:188m7g52900e?o<:188m1302900e9;7:188k12f2900c?7j:188yg2bi3:1j7>50z&70a<3;11C88m4H573?!4em3>0el?50;9je7<722cj?7>5;hc7>5<5N3=j1C88>4$3`f>1=ni80;66gn2;29?lg42900el:50;9je0<722c:n94?::k1=c<722c9m=4?::k1e4<722c9m?4?::k1e6<722c?9:4?::k71=<722e?8l4?::m1=`<722wi8hm50;d94?6|,=>o69=7;I66g>N3=91/>ok54:kb5?6=3`k96=44i`194?=ni=0;66gn5;29?l7e<3:17d<6f;29?l4f83:17d"5jl0?7do>:188md4=831bm>4?::kb0?6=3`k>6=44i0`7>5<5<5<5<5<>of;3:17do;:188md3=831b=o:50;9j6<`=831b>l>50;9j6d7=831b>l<50;9j6d5=831b88950;9j00>=831d89o50;9l65<7s->?h7:<8:J71f=O<<:0(?lj:59je4<722cj>7>5;hc0>5<1<75fa483>>o6j=0;66g=9g83>>o5i90;66g=a083>>o5i;0;66g=a283>>o3=>0;66g;5983>>i3>{e5;h3a0?6=3`82j7>5;h0b4?6=3`8j=7>5;h0b6?6=3`8j?7>5;h663?6=3`>>47>5;n67e?6=3f82i7>5;|`7b4<72o0;6=u+45f906><@=?h7E::0:&1f`<33`k:6=44i`094?=ni:0;66gn4;29?lg22900e1<75f28d94?=n:h:1<75f2`394?=n:h81<75f2`194?=n<<=1<75f44:94?=h<=k1<75`28g94?=zj=l86=4i:183!23l3>846F;5b9K006<,;hn695fa083>>of:3:17do<:188md2=831bm84?::k2f1<722c95k4?::k1e5<722c9m<4?::k1e7<722c9m>4?::k712<722c?954?::m70d<722e95h4?::a0c2=83l1<7>t$56g>15?3A>>o6F;519'6gc=<2cj=7>5;hc1>5<>of=3:17d?m4;29?l4>n3:17dm3:17pl;f483>c<729q/89j542:8L13d3A>><6*=bd87?lg62900el<50;9je6<722cj87>5;hc6>5<5<5<5<5<j6=44o3;f>5<m:7>5f;294~"34h50;9j6d6=831b>l?50;9j6d4=831b>l=50;9j001=831b88650;9l01g=831d>4k50;9~f1`0290m6=4?{%67`?2402B?9n5G4428 7db2=1bm<4?::kb6?6=3`k86=44i`694?=ni<0;66g>b583>>o51o0;66g=a183>>o5i80;66g=a383>>o5i:0;66g;5683>>o3=10;66a;4`83>>i51l0;66sm4g:94?`=83:p(9:k:51;?M22k2B?9=5+2cg90>of93:17do=:188md5=831bm94?::kb1?6=3`;i87>5;h0:b?6=3`8j<7>5;h0b5?6=3`8j>7>5;h0b7?6=3`>>;7>5;h66?m7>5;n0:a?6=3th?j44?:283>5}#<=n1>nm4H57`?M2282.9nh46c:k1f<<722c9nl4?::m00fg=i;168nl5a39>0fe=i;168nj5a39>0fc=i;168nh5a39>0a6=i;168i?5a39>0a4=i;168i=5a39>0a2=i;168i;5a39>0a0=i;168i95a39>0a>=i;168i75a39>0ag=i;168il5a39>0ae=i;168ij5a39>0ac=i;168ih5a39>0`6=i;168h?5a39>0`4=i;168h=5a39>0`2=i;168h;5a39>0`0=i;168h95a39>0`>=i;168h75a39>0`g=i;168hl5a39>0`e=i;168hj5a39>0`c=i;168hh5a39>0c6=i;168k?5a39>0c4=i;168k=5a39>0c2=i;168k;5a39>0c0=i;168k95a39>0c>=i;1v:`3891b52h;019j<:`3891b32h;019j::`3891b12h;019j8:`3891b?2h;019j6:`3891bf2h;019jm:`3891bd2h;019jk:`3891bb2h;019ji:`3891c72h;019k>:`3891c52h;019k<:`3891c32h;019k::`3891c12h;019k8:`3891c?2h;019k6:`3891cf2h;019km:`3891cd2h;019kk:`3891cb2h;019ki:`3891`72h;019h>:`3891`52h;019h<:`3891`32h;019h::`3891`12h;019h8:`3891`?2h;0q~?md483>7}Y:;o019h9:0`7?xu6jm<1<75<5sW89n63;f682f1=z{8ho47>52z\16d=:?74=5d6>4d33ty:nio50;0xZ74?34>m87?m4:p5gbe2909wS<=7:?7b5<6j=1vbeg94?4|V;8870:jd;3a0>{t9knm6=4={_016>;3mo0:n95rs0`f4?6=:rT9><524dg95g2b59~w4db<3:1>vP=1e9>0`1=9k>0q~?me483>7}Y:8i019k6:0`7?xu6jl<1<75<5sW8:563;e582f1=z{8hn47>52z\15==:<94=5g6>4d33ty:nho50;0xZ77134>n=7?m4:p5gce2909wS<>5:?7a6<6j=1vbdg94?4|V;;970:j0;3a0>{t9kom6=4={_025>;3lo0:n95rs0`e4?6=:rT9==524e`95g2k;<6gg?7e<2wx=oh<:181[47k27?h54>b59~w4da<3:1>vP=0`9>0ag=9k>0q~?mf483>7}Y:93019j6:0`7?xu6jo<1<75<5sW8;;63;d682f1=z{8hm47>52z\143=:=;4=5f1>4d33ty:nko50;0xZ76334>o87?m4:p5g`e2909wS<<4:?7`6<6j=1vbgg94?4|V;9:70:k0;3a0>{t9klm6=4={_004>;3kj0:n95rs0a34?6=:rT9>k524bg95g2<:181[47n27?oo4>b59~w4e7<3:1>vP=029>0fg=9k>0q~?l0483>67|V8;970:l9;0b4>;3kh09m=524b`96d6<5=ih6?o?;<6``?4f827?oh4=a19>0f`=:h:019j?:3c3?82c938j<63;d381e5=:l>4=5f7>7g734>o97;3lh09m=524e`96d6<5=nh6?o?;<6g`?4f827?hh4=a19>0a`=:h:019k?:3c3?82b938j<63;e381e5=:l>4=5g7>7g734>n97;3mh09m=524d`96d6<5=oh6?o?;<6f`?4f827?ih4=a19>0``=:h:019h?:3c3?82a938j<63;f381e5=:l>4=5d7>7g734>m97c1494?56sW;:<63;c881=c=:4h4=5aa>7?a34>ho7<6f:?7ga<51o168nk528d891ea2;3m70:k0;0:b>;3l8095k524e096<`<5=n86?7i;<6g0?4>n27?h84=9g9>0a0=:0l019j8:3;e?82c0382j63;d881=c=:4h4=5fa>7?a34>oo7<6f:?7`a<51o168ik528d891ba2;3m70:j0;0:b>;3m8095k524d096<`<5=o86?7i;<6f0?4>n27?i84=9g9>0`0=:0l019k8:3;e?82b0382j63;e881=c=:4h4=5ga>7?a34>no7<6f:?7aa<51o168hk528d891ca2;3m70:i0;0:b>;3n8095k524g096<`<5=l86?7i;<6e0?4>n27?j84=9g9>0c0=:0l019h8:3;e?82a0382j6s|1b24>5<5sW>>563;f881f<=z{8i;47>533y]5m27?5o4>9d9>0m3;2i63;9g82=`=:4?b34>j>7?6e:?7e6<61l168l:518g891g2283n70:n6;3:a>;3i>0:5h524`:95m27?mo4>9d9>0de=90o019ok:0;f?82fm3;2i63;ag82=`=:4?b34>i>7?6e:?7f6<61l168o:518g891d2283n70:m6;3:a>;3j>0:5h524c:95m27?no4>9d9>0ge=90o019lk:0;f?82em3;2i63;bg82=`=:4?b34>h>7?6e:?7g6<61l168n:518g891e2283n70:l6;3:a>;3k>0:5h524b:95;31m0:9h5248g950c<5=3m6<;j;<6b4?72m27?m<4>5d9>0d4=9i63;a4821`=:43b34>j47?:e:?7e<<6=l168lo514g891ge28?n70:nc;36a>;3im0:9h524`g950c<5=km6<;j;<6a4?72m27?n<4>5d9>0g4=9i63;b4821`=:43b34>i47?:e:?7f<<6=l168oo514g891de28?n70:mc;36a>;3jm0:9h524cg950c<5=hm6<;j;<6`4?72m27?o<4>5d9>0f4=9i63;c4821`=:43b34>h47?:e:?7g<<3=>168no5445891ee2=?<70:lc;663>;3km0?9:524bg9001<5=im69;8;<6g4?22?27?h<4;569>0a4=<<=019j<:574?82c<3>>;63;d48712=:13034>o47::7:?7`<<3=>168io5445891be2=?<70:kc;663>;3lm0?9:524eg9001<5=nm69;8;<6f4?22?27?i<4;569>0`4=<<=019k<:574?82b<3>>;63;e48712=:13034>n47::7:?7a<<3=>168ho5445891ce2=?<70:jc;663>;3mm0?9:524dg9001<5=om69;8;<6e4?22?27?j<4;569>0c4=<<=019h<:574?82a<3>>;63;f48712=:13034>m47::7:p5f6f2909wS<62:?7g=<3;<1v7p}>c1f94?4|V;2n70:l5;601>{t9j:n6=4={_0;`>;3k=0??85rs0a3b?6=:rT94n524b19063vP=869>0g`=<:?0q~?l1583>7}Y:1<019lj:516?xu6k8?1<7896s|1b35>5<5sW83863;bb8770=z{8i:;7>52z\1<6=:;4}r3`5=<72;qU>5<4=5`b>1523ty:o<750;0xZ7>634>i57:<5:p5f7f2909wS<70:?7f=<3;<1vb;296~X5?o168o954278yv7d9j0;6?uQ26f891d12=9>7p}>c0f94?4|V;=h70:m5;601>{t9j;n6=4={_04f>;3j=0??85rs0a2b?6=:rT9;l524c19063=4?:3y]62?<5=h969=:;|q2g77=838pR?97;<6a5?24=2wx=n<=:181[40?27?n=4;349~w4e5;3:1>vP=779>0d`=<:?0q~?l2583>7}Y:>?019oj:516?xu6k;?1<7896s|1b05>5<5sW8<>63;ab8770=z{8i9;7>52z\134=:;4}r3`6=<72;qU>:>4=5cb>1523ty:o?750;0xZ70b34>j57:<5:p5f4f2909wS<9d:?7e=<3;<1vj168l954278yv7d:j0;6?uQ27`891g12=9>7p}>c3f94?4|V;{t9j8n6=4={_05=>;3i=0??85rs0a1b?6=:rT9:5524`19063<5=k969=:;|q2g67=838pR?78;<6b5?24=2wx=n==:181[4>>27?m=4;349~w4e4;3:1>vP=949>0<`=<:?0q~?l3583>7}Y:0>0197j:516?xu6k:?1<7l3>896s|1b15>5<5sW83563;9b8770=z{8i8;7>52z\13`=:<0h18>;4}r3`7=<72;qU>:=4=5;b>1523ty:o>750;0xZ70034>257:<5:p5f5f290:>d2<5=i26l;4=5a:>7g634>h570fg=i=168no5a49>0fg=:h;019mn:3c1?82di38j?63;cc8b7>;3kk0j863;cc8b1>;3kk09m<524b`96d4<5=ii6?o<;<6`g?g434>ho7o;;<6`g?g234>ho763;ce81e6=:524bg9e1=:l=4=5f2>d5<5=n:6l:4=5f2>d3<5=n:6?o>;<6g5?4f:27?h<4=a29>0a4=i:168i<5a59>0a4=i<168i<52`3891b52;k970:k2;0b7>;3l:0j?63;d28b0>;3l:0j963;d281e4=:l<4=5f0>7g434>o87o<;<6g0?g334>o87o:;<6g0?4f927?h94=a39>0a2=:h9019j::`1891b22h>019j::`7891b22;k:70:k5;0b6>;3l<09m>524e49e6=:l?4=5f5>7g534>o:70a1=:h8019j8:3c0?82c03k870:k8;c7?82c03k>70:k8;0b5>;3l109m?524e:96d5<5=n26l=4=5f:>d2<5=n26l;4=5f:>7g634>o570ag=i=168io5a49>0ag=:h;019jn:3c1?82ci38j?63;dc8b7>;3lk0j863;dc8b1>;3lk09m<524e`96d4<5=ni6?o<;<6gg?g434>oo7o;;<6gg?g234>oo763;de81e6=:524eg9e1=:l=4=5g2>d5<5=o:6l:4=5g2>d3<5=o:6?o>;<6f5?4f:27?i<4=a29>0`4=i:168h<5a59>0`4=i<168h<52`3891c52;k970:j2;0b7>;3m:0j?63;e28b0>;3m:0j963;e281e4=:l<4=5g0>7g434>n87o<;<6f0?g334>n87o:;<6f0?4f927?i94=a39>0`2=:h9019k::`1891c22h>019k::`7891c22;k:70:j5;0b6>;3m<09m>524d49e6=:l?4=5g5>7g534>n:70`1=:h8019k8:3c0?82b03k870:j8;c7?82b03k>70:j8;0b5>;3m109m?524d:96d5<5=o26l=4=5g:>d2<5=o26l;4=5g:>7g634>n570`g=i=168ho5a49>0`g=:h;019kn:3c1?82bi38j?63;ec8b7>;3mk0j863;ec8b1>;3mk09m<524d`96d4<5=oi6?o<;<6fg?g434>no7o;;<6fg?g234>no763;ee81e6=:524dg9e1=:l=4=5d2>d5<5=l:6l:4=5d2>d3<5=l:6?o>;<6e5?4f:27?j<4=a29>0c4=i:168k<5a59>0c4=i<168k<52`3891`52;k970:i2;0b7>;3n:0j?63;f28b0>;3n:0j963;f281e4=:l<4=5d0>7g434>m87o<;<6e0?g334>m87o:;<6e0?4f927?j94=a39>0c2=:h9019h::`1891`22h>019h::`7891`22;k:70:i5;0b6>;3n<09m>524g49e6=:l?4=5d5>7g534>m:70c1=:h8019h8:3c0?82a03k870:i8;c7?82a03k>70:i8;0b5>;3n109m?524g:96d50019mn:3;f?xu6k:i1<74d334>hn7<6e:p5f5c2909w0:6b;3a0>;3k0095h5rs0a0a?6=:r7?5n4>b59>0fb=:0o0q~?l3g83>7}:<0n1=o:4=5af>7?b3ty:o9>50;0x91?b28h?70:lc;0:a>{t9j>:6=4={<6:b?7e<27?h=4=9d9~w4e3:3:1>v3;a182f1=:4k4}r3`06<72;q68l?51c6891ea2;3n7p}>c5694?4|5=k96m2wx=n:::18182f;3;i863;d581=`=z{8i?:7>52z?7e1<6j=168i<528g8yv7d<>0;6?u24`795g2<5=n=6?7j;|q2g1>=838p19o9:0`7?82c?382i6s|1b6:>5<5s4>j;7?m4:?7`0<51l1v0d?=9k>019jn:3;f?xu6k=i1<74d334>o47<6e:p5f2c2909w0:nb;3a0>;3lj095h5rs0a7a?6=:r7?mn4>b59>0ab=:0o0q~?l4g83>7}:7?b3ty:o8>50;0x91gb28h?70:kf;0:a>{t9j?:6=4={<6bb?7e<27?i=4=9d9~w4e2:3:1>v3;b182f1=:4k4}r3`16<72;q68o?51c6891c52;3n7p}>c4694?4|5=h96m2wx=n;::18182e;3;i863;e081=`=z{8i>:7>52z?7f1<6j=168h;528g8yv7d=>0;6?u24c795g2<5=o=6?7j;|q2g0>=838p19l9:0`7?82b<382i6s|1b7:>5<5s4>i;7?m4:?7a=<51l1v0g?=9k>019k8:3;f?xu6k4d334>nn7<6e:p5f3c2909w0:mb;3a0>;3mj095h5rs0a6a?6=:r7?nn4>b59>0`g=:0o0q~?l5g83>7}:7?b3ty:o;>50;0x91db28h?70:jf;0:a>{t9j<:6=4={<6ab?7e<27?ii4=9d9~w4e1:3:1>v3;c182f1=:4k4}r3`26<72;q68n?51c6891`52;3n7p}>c7694?4|5=i96m2wx=n8::18182d;3;i863;f581=`=z{8i=:7>52z?7g1<6j=168k;528g8yv7d>>0;6?u24b795g2<5=l86?7j;|q2g3>=838p19m9:0`7?82a?382i6s|1b4:>5<5s4>h;7?m4:?7b=<51l1v2=?370:la;66<>;3kk0?95524ba900><5=io69;7;<6`a?22027?ok4;599>0a6=<<2019j>:57;?82c:3>>463;d2871==:18864=5f6>13?34>o:7::8:?7`2<3=1168i6544:891b>2=?370:ka;66<>;3lk0?95524ea900><5=no69;7;<6ga?22027?hk4;599>0`6=<<2019k>:57;?82b:3>>463;e2871==:18864=5g6>13?34>n:7::8:?7a2<3=1168h6544:891c>2=?370:ja;66<>;3mk0?95524da900><5=oo69;7;<6fa?22027?ik4;599>0c6=<<2019h>:57;?82a:3>>463;f2871==:18864=5d6>13?34>m:7::8:?7b2<3=1168k6544:891`>2:2j7psm4gc94?3=83:p(9:k:3`1?M22k2B?9=5+3849500e3-8ii7<:;h36a?6=3`;2i7>5;h3a0?6=3`>??7>5;n601?6=3th?jo4?:483>5}#<=n1>o?4H57`?M2282.85;4>57`8 7db2;1b=8k50;9j5;50;9~f1`d290>6=4?{%67`?4c>2B?9n5G4428 6?128?=n6g>ad83>>o51j0;66g;4283>>i40h0;66a=c983>>{e5;h677?6=3f93m7>5;n0`5}#<=n188l4H57`?M2282.85;4>57`8m4gb2900e?m8:188k6>f2900qo:if;291?6=8r.?8i4=d79K00e<@=?;7)=66;362g=n9ho1<75f28a94?=n<=91<75`39c94?=h:j21<75rb423>5<2290;w):;d;0g2>N3=j1C88>4$2;5>431j2c:mh4?::k1=f<722c?8>4?::m0t$56g>7b13A>>o6F;519'7<0=9<k3:17d:;3;29?j5?i3:17b"41?0:9;l4i0cf>5<5<5<55;294~"34m50;9j015=831d?5o50;9l6f>=831vn8>;:186>5<7s->?h779:075f>o6il0;66g=9b83>>o3<:0;66a<8`83>>i5k10;66sm51794?3=83:p(9:k:3`2?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm51494?3=83:p(9:k:3`2?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm51594?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<55;294~"3t$56g>7d63A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::k772<722e??84?::a15g=83?1<7>t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e=9i1<7;50;2x 12c2;h:7E::c:J715=#;0<1=88m;%0aa?45<5<6=44}c73`?6==3:1k1/>ok52:k21`<722c:5h4?::k2f1<722c??:4?::m770<722wi9=k50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a15`=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9<>50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a147=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9<<50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a145=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9<:50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a143=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9<850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a141=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9<650;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a14?=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a14d=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a14b=8391<7>t$56g>13e3A>>o6F;519'7<0=9<4?:1y'01b=<"41?0:9;l4i0cf>5<5<55;294~"34m50;9j015=831d?5o50;9l6f>=831vn85<7s->?h779:075f>o6il0;66g=9b83>>o3<:0;66a=c983>>{e=;;1<7=50;2x 12c2=?i7E::c:J715=#;0<1=88m;h3ba?6=3`8h;7>5;n1;e?6=3th>>?4?:583>5}#<=n1>i94H57`?M2282.85;4>57`8m4gb2900e?7l:188m1242900c?m7:188yg35;3:1?7>50z&70a<3=k1C88m4H573?!5>>3;>:o5f1`g94?=n:j=1<75`39c94?=zj<8?6=4<:183!23l3>>n6F;5b9K006<,:3=6<;9b:k2e`<722c9o:4?::m0o6?j9;I66g>N3=91/?485144a?l7fm3:17d<6c;29?l23;3:17b=7a;29?j4d03:17pl:2783>1<729q/89j52e58L13d3A>><6*<978213d5<86=44o3a;>5<53;294~"3n950;9l7=g=831vn8<7:187>5<7s->?h779:075f>o6il0;66g=9b83>>o3<:0;66a=c983>>{e=;31<7=50;2x 12c2=?i7E::c:J715=#;0<1=88m;h3ba?6=3`8h;7>5;n1;e?6=3th>>l4?:483>5}#<=n1>i84H57`?M2282.85;4>57`8m4gb2900e?7l:188m1242900c>6n:188k7e?2900qo;=b;290?6=8r.?8i4=d49K00e<@=?;7)=66;362g=n9ho1<75f28a94?=n<=91<75`39c94?=zj<8h6=4;:183!23l38o;6F;5b9K006<,:3=6<;9b:k2e`<722c95n4?::k706<722e9o54?::a17b=8391<7>t$56g>13e3A>>o6F;519'7<0=9<"41?0:9;l4i0cf>5<5<k1b=lk50;9j6n650;9~f05729086=4?{%67`?22j2B?9n5G4428 6?128?=n6g>ad83>>o5k>0;66a<8`83>>{e=:;1<7=50;2x 12c2=?i7E::c:J715=#;0<1=88m;h3ba?6=3`8h;7>5;n1;e?6=3th>??4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th>?>4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th>?94?:583>5}#<=n1>lh4H57`?M2282c:9h4?::k2=`<722c:n94?::m770<722wi9>;50;194?6|,=>o69;m;I66g>N3=91/?485144a?l7fm3:17d0<729q/89j52e48L13d3A>><6*<978213d5<86=44o2:b>5<k1b=lk50;9j6n650;9~f05?290>6=4?{%67`?4c>2B?9n5G4428 6?128?=n6g>ad83>>o51j0;66g;4283>>i40h0;66a=c983>>{e=:31<7;50;2x 12c2;n=7E::c:J715=#;0<1=88m;h3ba?6=3`82o7>5;h677?6=3f93m7>5;n0`?l4?:483>5}#<=n1>i84H57`?M2282.85;4>57`8m4gb2900e?7l:188m1242900c>6n:188k7e?2900qo;5<2290;w):;d;0g2>N3=j1C88>4$2;5>431j2c:mh4?::k1=f<722c?8>4?::m0t$56g>7d63A>>o6F;519'7<0=9<85f14g94?=n90o1<75f1c694?=n<=91<75`42794?=zj<9m6=4<:183!23l3>>n6F;5b9K006<,:3=6<;9b:k2e`<722c9o:4?::m050;794?6|,=>o6?j9;I66g>N3=91/?485144a?l7fm3:17d<6c;29?l23;3:17b=7a;29?j4d03:17pl:4083>0<729q/89j52e48L13d3A>><6*<978213d5<86=44o2:b>5<k1b=lk50;9j6n650;9~f024290>6=4?{%67`?4c>2B?9n5G4428 6?128?=n6g>ad83>>o51j0;66g;4283>>i40h0;66a=c983>>{e==>1<7;50;2x 12c2;n=7E::c:J715=#;0<1=88m;h3ba?6=3`82o7>5;h677?6=3f93m7>5;n0`884?:483>5}#<=n1>i84H57`?M2282.85;4>57`8m4gb2900e?7l:188m1242900c>6n:188k7e?2900qo;;6;291?6=8r.?8i4=b09K00e<@=?;7)=66;362g=#:ko1>6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e===1<7;50;2x 12c2;h97E::c:J715=#;0<1=88m;%0aa?423`;>i7>5;h3:a?6=3`;i87>5;h677?6=3f>897>5;|`60=<72:0;6=u+45f900d<@=?h7E::0:&0=3<6=?h0e6n:188yg3313:1?7>50z&70a<3=k1C88m4H573?!5>>3;>:o5f1`g94?=n:j=1<75`39c94?=zj<>j6=4::183!23l38o:6F;5b9K006<,:3=6<;9b:k2e`<722c95n4?::k706<722e84l4?::m1g=<722wi99l50;694?6|,=>o6?j8;I66g>N3=91/?485144a?l7fm3:17d<6c;29?l23;3:17b"41?0:9;l4$3`f>7=n91<75f42594?=h<:?1<75rb46g>5<2290;w):;d;0g2>N3=j1C88>4$2;5>431j2c:mh4?::k1=f<722c?8>4?::m0t$56g>7b13A>>o6F;519'7<0=9<k3:17d:;3;29?j5?i3:17b"41?0:9;l4i0cf>5<5<5<<7>55;294~"35<5<5<=7>53;294~"3n950;9l7=g=831vn8;=:180>5<7s->?h7::b:J71f=O<<:0(>79:075f>o6il0;66g=c683>>i40h0;66sm54194?2=83:p(9:k:3f6?M22k2B?9=5+3849500e3`;ji7>5;h0:g?6=3`>??7>5;n1;e?6=3th>994?:483>5}#<=n1>i84H57`?M2282.85;4>57`8m4gb2900e?7l:188m1242900c>6n:188k7e?2900qo;:5;290?6=8r.?8i4=d69K00e<@=?;7)=66;362g=n9ho1<75f28a94?=n<=91<75`2b:94?=zj>n6F;5b9K006<,:3=6<;9b:k2e`<722c9o:4?::m0o69;m;I66g>N3=91/?485144a?l7fm3:17d0<729q/89j52e48L13d3A>><6*<978213d5<86=44o2:b>5<k1b=lk50;9j6=831vn8;n:187>5<7s->?h75<4290;w):;d;66f>N3=j1C88>4$2;5>431j2c:mh4?::k1g2<722e84l4?::a10b=8391<7>t$56g>13e3A>>o6F;519'7<0=9<"41?0:9;l4i0cf>5<5<k1b=lk50;9j6n650;9~f007290?6=4?{%67`?4c?2B?9n5G4428 6?128?=n6g>ad83>>o51j0;66g;4283>>i5k10;66sm57394?2=83:p(9:k:3f4?M22k2B?9=5+3849500e3`;ji7>5;h0:g?6=3`>??7>5;n0`:?4?:283>5}#<=n188l4H57`?M2282.85;4>57`8m4gb2900e?m8:188k6>f2900qo;93;297?6=8r.?8i4;5c9K00e<@=?;7)=66;362g=n9ho1<75f2b594?=h;1k1<75rb447>5<4290;w):;d;66f>N3=j1C88>4$2;5>431j2c:mh4?::k1g2<722e84l4?::a133=83?1<7>t$56g>7b13A>>o6F;519'7<0=9<k3:17d:;3;29?j5?i3:17b?0;694?:1y'01b=:m=0D9;l;I664>"41?0:9;l4i0cf>5<5<k1b=lk50;9j6=831vn887:187>5<7s->?h779:075f>o6il0;66g=9b83>>o3<:0;66a=c983>>{e=?31<7=50;2x 12c2=?i7E::c:J715=#;0<1=88m;h3ba?6=3`8h;7>5;n1;e?6=3th>:l4?:583>5}#<=n1>i94H57`?M2282.85;4>57`8m4gb2900e?7l:188m1242900c?m7:188yg31j3:197>50z&70a<5l?1C88m4H573?!5>>3;>:o5f1`g94?=n:0i1<75f45194?=h;1k1<75`2b:94?=zj<>n6F;5b9K006<,:3=6<;9b:k2e`<722c9o:4?::m0o69;m;I66g>N3=91/?485144a?l7fm3:17d1<729q/89j52`d8L13d3A>><6*=bd81?l72m3:17d?6e;29?l7e<3:17b:<5;29?xd2>o0;694?:1y'01b=:hl0D9;l;I664>"5jl097d?:e;29?l7>m3:17d?m4;29?j24=3:17pl:7183>0<729q/89j52c38L13d3A>><6*=bd81?l72m3:17d?6e;29?l7e<3:17d:<7;29?j24=3:17pl:7083>4g6290;w):;d;0b1>N3=j1C88>4Z07`>46|>:02<76i:8;9=1<1938o6;o56781e?072?>1>44:c;4:>0`=u-92:7?:6c9mg0<63g;::7?4n03`>5=i98n1=6*>1d80<<=#98l1>4j4n017>4=i95e81=a=i9091=6`>9982?k7e;3;0b?o;:09m6f3=92.9h=4=b49m6a7=92d8584?;%1`g?3=6>ml;%665?23k2.?9?4=d39'005=;120(9;;:2:`?j5dl3:17d::3:17d?60;29?l2383:17d?na;29?l23:3:17bhm:18'064=nh1e8>?50:9lb<<72->8>7hn;o605?7<3fl36=4+4209bd=i<:;1>65`f683>!24:3lj7c:<1;18?j`1290/8><5f`9m067=<21dj84?:%606?`f3g>8=7;4;nd7>5<#<:81jl5a42392>=hn:0;6):<2;db?k2493=07bh=:18'064=nh1e8>?58:9lb4<72->8>7hn;o605??<3fom6=4+4209bd=i<:;1m65`ed83>!24:3lj7c:<1;`8?jcc290/8><5f`9m067=k21din4?:%606?`f3g>8=7j4;nga>5<#<:81jl5a4239a>=hmh0;6):<2;db?k2493l07bk6:18'064=nh1e8>?51198k`>=83.???4ia:l774<6921di:4?:%606?`f3g>8=7?=;:mf2?6=,=996ko4n512>45<3f;;?7>5$511>cg43<3f;;=7>5$511>cg41<3flm6=4+4209bd=i<:;1=554ogg94?"3;;0mm6`;3082=>=hnm0;6):<2;db?k2493;j76aic;29 1552ok0b9=>:0`8?j`7290/8><5f`9m067=9j10ch;50;&777d:9j7<2=83.???4<929m067=821b?4<50;&777<41:1e8>?51:9j7<7=83.???4<929m067=:21b?4>50;&777<41:1e8>?53:9j7=`=83.???4<929m067=<21b?5k50;&777<41:1e8>?55:9j7=b=83.???4<929m067=>21b?k750;&777<4n11e8>?50:9j7c1=83.???4?52:9j7c3=83.???4?54:9j7c5=83.???4?56:9j7c7=83.???4?58:9j7`c=83.???4?5a:9j7`e=83.???4?5c:9j7`g=83.???4?5e:9j7`>=83.???4?51198m6c1290/8><53g:8j15628;07d=j4;29 1552:l37c:<1;31?>o4m:0;6):<2;1e<>h3;80:?65f3d094?"3;;08j55a423951=h7;o605?7132c8hk4?:%606?5a02d??<4>7:9j7ac=83.???4jk:18'064=;o20b9=>:0;8?l5ck3:1(9==:2d;?k2493;j76g!24:39m46`;3082f>=n;m31<7*;3380b==i<:;1=n54i2f;>5<#<:81?k64n512>4b<3`9o;7>5$511>6`?3g>8=7?j;:k0`3<72->8>7=i8:l774<6n21b?i;50;&777<4n11e8>?52198m6b3290/8><53g:8j1562;;07d=k3;29 1552:l37c:<1;01?>o4l;0;6):<2;1e<>h3;809?65f3e394?"3;;08j55a423961=h7;o605?4132c8jh4?:%606?5a02d??<4=7:9j7cb=83.???4hl:18'064=;o20b9=>:3;8?l5aj3:1(9==:2d;?k24938j76g!24:39m46`;3081f>=n;o:1<7*;3380b==i<:;1>n54i2g6>5<#<:81?k64n512>7b<3`9om7>5$511>6`?3g>8=78>7=i8:l774<5n21b=?50:9j54?=83.???4>1`9m067=921b=<650;&777<69h1e8>?52:9j541=83.???4>1`9m067=;21b=5750;&777<6011e8>?50:9j5=1=83.???4>899m067=921b=5850;&777<6011e8>?52:9j5=3=83.???4>899m067=;21b=5:50;&777<6011e8>?54:9j5=5=83.???4>899m067==21b=5<50;&777<6011e8>?56:9j5=7=83.???4>899m067=?21b=:h50;&777<6011e8>?58:9j52c=83.???4>899m067=121b=:j50;&777<6011e8>?5a:9j52e=83.???4>899m067=j21b=:l50;&777<6011e8>?5c:9j52g=83.???4>899m067=l21b=:750;&777<6011e8>?5e:9j52>=83.???4>899m067=n21b=:950;&777<6011e8>?51198m411290/8><519:8j15628;07d?84;29 15528237c:<1;31?>o6?:0;6):<2;3;<>h3;80:?65f16094?"3;;0:455a423951=7:9j53c=83.???4>899m067=9110e<8k:18'064=9120b9=>:0;8?l71k3:1(9==:0:;?k2493;j76g>6c83>!24:3;346`;3082f>=n9?31<7*;3382<==i<:;1=n54i04;>5<#<:81=564n512>4b<3`;=;7>5$511>4>?3g>8=7?j;:k223<72->8>7?78:l774<6n21b=;;50;&777<6011e8>?52198m403290/8><519:8j1562;;07d?93;29 15528237c:<1;01?>o6>;0;6):<2;3;<>h3;809?65f17394?"3;;0:455a423961=899m067=:110e<6l:18'064=9120b9=>:3;8?l7?j3:1(9==:0:;?k24938j76g>8`83>!24:3;346`;3081f>=n91:1<7*;3382<==i<:;1>n54i056>5<#<:81=564n512>7b<3`;=m7>5$511>4>?3g>8=78>7?78:l774<5n21d=4j50;&777<61j1e8>?50:9l59b9m067=921d=4o50;&777<61j1e8>?52:9l59b9m067=;21b>n:50;&777<5k:1e8>?50:9j6f4=83.???4=c29m067=921b>n?50;&777<5k:1e8>?52:9j6f6=83.???4=c29m067=;21b>oh50;&777<5k:1e8>?54:9j56c=83.???4>3e9m067=821b=>m50;&777<6;m1e8>?51:9j56d=83.???4>3e9m067=:21b=>o50;&777<6;m1e8>?53:9j56?=83.???4>3e9m067=<21b=>650;&777<6;m1e8>?55:9j561=83.???4>3e9m067=>21b=>850;&777<6;m1e8>?57:9j511=83.???4>3e9m067=021b=9850;&777<6;m1e8>?59:9j513=83.???4>3e9m067=i21b=9:50;&777<6;m1e8>?5b:9j515=83.???4>3e9m067=k21b=9<50;&777<6;m1e8>?5d:9j517=83.???4>3e9m067=m21b=9>50;&777<6;m1e8>?5f:9j56`=83.???4>3e9m067=9910e<=::18'064=9:n0b9=>:038?l7513:1(9==:00;?k2493:07d?=7;29 15528837c:<1;38?l75>3:1(9==:00;?k2493807d?=5;29 15528837c:<1;18?l75<3:1(9==:00;?k2493>07d?=3;29 15528837c:<1;78?l75:3:1(9==:00;?k2493<07d?=1;29 15528837c:<1;58?l74:3:1(9==:00;?k2493207d?<1;29 15528837c:<1;;8?l7483:1(9==:00;?k2493k07d?=f;29 15528837c:<1;`8?l75m3:1(9==:00;?k2493i07d?=d;29 15528837c:<1;f8?l75k3:1(9==:00;?k2493o07d?=b;29 15528837c:<1;d8?l75i3:1(9==:00;?k2493;;76g>2183>!24:3;946`;30825>=h9<;1<7*;338215=i<:;1<65`15d94?"3;;0:9=5a42395>=h9=o1<7*;338215=i<:;1>65`15f94?"3;;0:9=5a42397>=h9=i1<7*;338215=i<:;1865`15`94?"3;;0:9=5a42391>=h9=k1<7*;338215=i<:;1:65`15;94?"3;;0:9=5a42393>=h9=h9<21<7*;338215=i<:;1m65`14594?"3;;0:9=5a4239f>=h9<<1<7*;338215=i<:;1o65`14794?"3;;0:9=5a4239`>=h9<>1<7*;338215=i<:;1i65`14194?"3;;0:9=5a4239b>=h9<81<7*;338215=i<:;1==54o06;>5<#<:81=8>4n512>47<3`;h;7>5$511>4e13g>8=7>4;h3`1?6=,=9965$511>4e13g>8=7<4;h3`7?6=,=9967>5$511>4e13g>8=7:4;h3`4?6=,=9965$511>4e13g>8=784;h3aa?6=,=9965$511>4e13g>8=764;h3ag?6=,=9965$511>4e13g>8=7o4;h3ae?6=,=9965$511>4e13g>8=7m4;h3a5$511>4e13g>8=7k4;h3`b?6=,=9965$511>4e13g>8=7??;:k2ga<72->8>7?l6:l774<6921b=nm50;&777<6k?1e8>?51398m4ee290/8><51b48j15628907d?la;29 15528i=7c:<1;37?>o6k00;6):<2;3`2>h3;80:965f1b:94?"3;;0:o;5a423953=8>7=l3:l774<632e8o<4?:%606?5d;2d??<4=;:m0g5<72->8>7=l3:l774<432e8nk4?:%606?5d;2d??<4;;:m0f`<72->8>7=l3:l774<232e8ni4?:%606?5d;2d??<49;:m0ff<72->8>7=l3:l774<032e8nl4?:%606?5d;2d??<47;:m0f<<72->8>7=l3:l774<>32e8n54?:%606?5d;2d??<4n;:m0f2<72->8>7=l3:l7748>7=l3:l7748>7=l3:l7740:9l7g7=83.???4oi:18'064=;j90b9=>:008?j5fm3:1(9==:2a0?k2493;876a!24:39h?6`;30820>=h;hi1<7*;3380g6=i<:;1=854o2ca>5<#<:81?n=4n512>40<3f9jm7>5$511>6e43g>8=7?8;:m0e<<72->8>7=l3:l774<6021d?l650;&777<4k:1e8>?51898k6g0290/8><53b18j15628k07b=n6;29 1552:i87c:<1;3a?>i4i=0;6):<2;1`7>h3;80:o65`3`194?"3;;08o>5a42395a=m<;o605?7a32e8m=4?:%606?5d;2d??<4=0:9l7<`=83.???47j:18'064=;j90b9=>:308?j5>l3:1(9==:2a0?k24938876a<9b83>!24:39h?6`;30810>=h;0h1<7*;3380g6=i<:;1>854o2ab>5<#<:81?n=4n512>70<3f9h57>5$511>6e43g>8=7<8;:m0g=<72->8>7=l3:l774<5021d?n950;&777<4k:1e8>?52898k6e1290/8><53b18j1562;k07b=l5;29 1552:i87c:<1;0a?>i4jk0;6):<2;1`7>h3;809o65`3c294?"3;;08o>5a42396a=6=4+42097f5m<;o605?4a32cio7>5$511>gdoe13:1(9==:c`8j1562;10eo650;&7771=h3;80>76gm5;29 1552kh0b9=>:798mg2=83.???4mb:l774<032ci?7>5$511>gdoe83:1(9==:c`8j1562h10elh50;&777f=h3;80o76gnc;29 1552kh0b9=>:d98mdd=83.???4mb:l7745$511>gd4;hc:>5<#<:81no5a423954=h3;80:>65fa683>!24:3hi7c:<1;30?>od<3:1(9==:c`8j15628>07dm<:18'064=jk1e8>?51498mf4=83.???4mb:l774<6>21bo<4?:%606?de3g>8=7?8;:k`4?6=,=996ol4n512>4><3`hm6=4+4209fg=i<:;1=454icg94?"3;;0in6`;3082e>=njm0;6):<2;`a?k2493;i76gm1;29 1552kh0b9=>:0a8?lg1290/8><5bc9m067=9m10c9:198k14?290/8><543;8j1562810c9<8:18'064=<;30b9=>:398k141290/8><543;8j1562:10c9<::18'064=<;30b9=>:598k143290/8><543;8j1562<10c9<<:18'064=<;30b9=>:798k145290/8><543;8j1562>10c9:998k17a290/8><543;8j1562010c9?j:18'064=<;30b9=>:`98k17c290/8><543;8j1562k10c9?l:18'064=<;30b9=>:b98k17e290/8><543;8j1562m10c9?n:18'064=<;30b9=>:d98k17>290/8><543;8j1562o10c9?7:18'064=<;30b9=>:028?j26?3:1(9==:50:?k2493;:76a;1483>!24:3>956`;30826>=h<8>1<7*;33876<=i<:;1=>54o530>5<#<:818?74n512>42<3f>:>7>5$511>14>3g>8=7?:;:m754<72->8>7:=9:l774<6>21d8<>50;&777<3:01e8>?51698k16a290/8><543;8j15628207b:?e;29 1552=827c:<1;3:?>i38m0;6):<2;61=>h3;80:m65`41a94?"3;;0?>45a42395g=e:9l051=83.???4;289m067=9o10c9>9:18'064=<;30b9=>:328?j27=3:1(9==:50:?k24938:76a;0583>!24:3>956`;30816>=h<991<7*;33876<=i<:;1>>54o521>5<#<:818?74n512>72<3f>;=7>5$511>14>3g>8=7<:;:m775<72->8>7:=9:l774<5>21d8?h50;&777<3:01e8>?52698k14b290/8><543;8j1562;207b:=d;29 1552=827c:<1;0:?>i3:j0;6):<2;61=>h3;809m65`43`94?"3;;0?>45a42396g=4=h3;80976gk8;29 1552mh0b9=>:298ma1=83.???4kb:l774<332co:7>5$511>adoc<3:1(9==:e`8j1562>10ei=50;&777<=h3;80j76glf;29 1552mh0b9=>:c98mfc=83.???4kb:l7745$511>adodj3:1(9==:e`8j1562o10eno50;&7770:9jg<<72->8>7jm;o605?7632ch47>5$511>ad5<#<:81ho5a423956=1<7*;338gf>h3;80:865fe283>!24:3ni7c:<1;36?>ob:3:1(9==:e`8j15628<07dk>:18'064=lk1e8>?51698m`6=83.???4kb:l774<6021bhk4?:%606?be3g>8=7?6;:kga?6=,=996il4n512>4g<3`no6=4+4209`g=i<:;1=o54ie394?"3;;0on6`;3082g>=nk?0;6):<2;fa?k2493;o76g>9683>!24:3;2:6`;3083?>o61<0;6):<2;3:2>h3;80:76g>9583>!24:3;2:6`;3081?>{e=>81<7?n1;294~"3o7??{719=5l490;47>7?==j0=57;i:|&0=3<6=?h0bn;51:l253<63g;:o7>4n03g>4=#98o1?574$03e>7?c3g;887?4n07a>4=#94j4n0;0>4=i9021=6`>b282?k4f<3;0b?m::09'6a6=:k?0b?j>:09m7<3=82.8on4:;o600?7<,=>>6?j?;%672?5dk2.?9<4;4b9'004=:m80(9;<:2:;?!22<393o6a>o3;m0;66g>a283>>o6i80;66a=d583>>o6i<0;66g;4083>>o5l:0;66g>a583>>o3;l0;66g>ab83>>i6180;66g;3883>>o6i?0;66a;4d83>>i4kl0;66g;3c83>>o3;h0;66g>a983>>o3;o0;66g>a383>>o6i90;66g>ag83>>o61o0;66g;3b83>>i41>0;66g>a683>>o6ik0;66g>9383>>o6190;66g;4183>>o6ih0;66g;4383>>iaj3:1(9==:gc8j1562910ck750;&777;:me7=h3;80876ai6;29 1552ok0b9=>:598kc3=83.???4ia:l774<232em87>5$511>cgia:3:1(9==:gc8j1562110ck?50;&777d=h3;80i76ajd;29 1552ok0b9=>:b98k`e=83.???4ia:l7745$511>cgib13:1(9==:gc8j15628:07bk7:18'064=nh1e8>?51098k`1=83.???4ia:l774<6:21di;4?:%606?`f3g>8=7?<;:m246<72->8>7hn;o605?7332e:8=7?:;:m244<72->8>7hn;o605?7132e:<=4?:%606?`f3g>8=7?8;:meb?6=,=996ko4n512>4><3fln6=4+4209bd=i<:;1=454ogf94?"3;;0mm6`;3082e>=hnj0;6):<2;db?k2493;i76ai0;29 1552ok0b9=>:0a8?jc2290/8><5f`9m067=9m10e>7;:18'064=;090b9=>:198m6?5290/8><53818j1562810e>7>:18'064=;090b9=>:398m6?7290/8><53818j1562:10e>6i:18'064=;090b9=>:598m6>b290/8><53818j1562<10e>6k:18'064=;090b9=>:798m6`>290/8><53g:8j1562910e>h8:18'064=;o20b9=>:098m6`1290/8><53g:8j1562;10e>h::18'064=;o20b9=>:298m6`3290/8><53g:8j1562=10e>h<:18'064=;o20b9=>:498m6`5290/8><53g:8j1562?10e>h>:18'064=;o20b9=>:698m6ca290/8><53g:8j1562110e>kj:18'064=;o20b9=>:898m6cc290/8><53g:8j1562h10e>kl:18'064=;o20b9=>:c98m6ce290/8><53g:8j1562j10e>kn:18'064=;o20b9=>:e98m6c>290/8><53g:8j1562l10e>k7:18'064=;o20b9=>:g98m6c0290/8><53g:8j15628:07d=j6;29 1552:l37c:<1;32?>o4m=0;6):<2;1e<>h3;80:>65f3d194?"3;;08j55a423956=h7;o605?7232c8i=4?:%606?5a02d??<4>6:9j7a`=83.???410e>jj:18'064=;o20b9=>:0:8?l5cl3:1(9==:2d;?k2493;276g!24:39m46`;3082e>=n;mh1<7*;3380b==i<:;1=o54i2f:>5<#<:81?k64n512>4e<3`9o47>5$511>6`?3g>8=7?k;:k0`2<72->8>7=i8:l774<6m21b?i850;&777<4n11e8>?51g98m6b2290/8><53g:8j1562;:07d=k4;29 1552:l37c:<1;02?>o4l:0;6):<2;1e<>h3;809>65f3e094?"3;;08j55a423966=h7;o605?4232c8jk4?:%606?5a02d??<4=6:9j7cc=83.???410e>hk:18'064=;o20b9=>:3:8?l5ak3:1(9==:2d;?k24938276g!24:39m46`;3081e>=n;ok1<7*;3380b==i<:;1>o54i2d3>5<#<:81?k64n512>7e<3`9n97>5$511>6`?3g>8=78>7=i8:l774<5m21b?nh50;&777<4n11e8>?52g98m47e290/8><510c8j1562910e:098m47?290/8><510c8j1562;10e:298m4>>290/8><519:8j1562910e<68:18'064=9120b9=>:098m4>1290/8><519:8j1562;10e<6::18'064=9120b9=>:298m4>3290/8><519:8j1562=10e<6<:18'064=9120b9=>:498m4>5290/8><519:8j1562?10e<6>:18'064=9120b9=>:698m41a290/8><519:8j1562110e<9j:18'064=9120b9=>:898m41c290/8><519:8j1562h10e<9l:18'064=9120b9=>:c98m41e290/8><519:8j1562j10e<9n:18'064=9120b9=>:e98m41>290/8><519:8j1562l10e<97:18'064=9120b9=>:g98m410290/8><519:8j15628:07d?86;29 15528237c:<1;32?>o6?=0;6):<2;3;<>h3;80:>65f16194?"3;;0:455a423956=6:9j53`=83.???4>899m067=9>10e<8j:18'064=9120b9=>:0:8?l71l3:1(9==:0:;?k2493;276g>6b83>!24:3;346`;3082e>=n9?h1<7*;3382<==i<:;1=o54i04:>5<#<:81=564n512>4e<3`;=47>5$511>4>?3g>8=7?k;:k222<72->8>7?78:l774<6m21b=;850;&777<6011e8>?51g98m402290/8><519:8j1562;:07d?94;29 15528237c:<1;02?>o6>:0;6):<2;3;<>h3;809>65f17094?"3;;0:455a423966=899m067=:>10e<6k:18'064=9120b9=>:3:8?l7?k3:1(9==:0:;?k24938276g>8c83>!24:3;346`;3081e>=n91k1<7*;3382<==i<:;1>o54i0:3>5<#<:81=564n512>7e<3`;<97>5$511>4>?3g>8=78>7?78:l774<5m21b=8h50;&777<6011e8>?52g98k4?c290/8><518a8j1562910c<7m:18'064=90i0b9=>:098k4?f290/8><518a8j1562;10c<76:18'064=90i0b9=>:298m7e3290/8><52b18j1562910e?m=:18'064=:j90b9=>:098m7e6290/8><52b18j1562;10e?m?:18'064=:j90b9=>:298m7da290/8><52b18j1562=10e<=j:18'064=9:n0b9=>:198m45d290/8><512f8j1562810e<=m:18'064=9:n0b9=>:398m45f290/8><512f8j1562:10e<=6:18'064=9:n0b9=>:598m45?290/8><512f8j1562<10e<=8:18'064=9:n0b9=>:798m451290/8><512f8j1562>10e<:8:18'064=9:n0b9=>:998m421290/8><512f8j1562010e<:::18'064=9:n0b9=>:`98m423290/8><512f8j1562k10e<:<:18'064=9:n0b9=>:b98m425290/8><512f8j1562m10e<:>:18'064=9:n0b9=>:d98m427290/8><512f8j1562o10e<=i:18'064=9:n0b9=>:028?l74=3:1(9==:01g?k2493;:76g>2883>!24:3;946`;3083?>o6:>0;6):<2;31<>h3;80:76g>2783>!24:3;946`;3081?>o6:<0;6):<2;31<>h3;80876g>2583>!24:3;946`;3087?>o6::0;6):<2;31<>h3;80>76g>2383>!24:3;946`;3085?>o6:80;6):<2;31<>h3;80<76g>3383>!24:3;946`;308;?>o6;80;6):<2;31<>h3;80276g>3183>!24:3;946`;308b?>o6:o0;6):<2;31<>h3;80i76g>2d83>!24:3;946`;308`?>o6:m0;6):<2;31<>h3;80o76g>2b83>!24:3;946`;308f?>o6:k0;6):<2;31<>h3;80m76g>2`83>!24:3;946`;30824>=n9;:1<7*;33826==i<:;1=<54o072>5<#<:81=8>4n512>5=m6=4+42095065<#<:81=8>4n512>7=o6=4+420950654o06`>5<#<:81=8>4n512>1=i6=4+42095065<#<:81=8>4n512>3=26=4+42095065<#<:81=8>4n512>==5<#<:81=8>4n512>d=5<#<:81=8>4n512>f=6=4+42095065<#<:81=8>4n512>`=5<#<:81=8>4n512>46<3f;?47>5$511>4373g>8=7?>;:k2g2<72->8>7?l6:l774<732c:o84?:%606?7d>2d??<4>;:k2g1<72->8>7?l6:l774<532c:o>4?:%606?7d>2d??<4<;:k2g7<72->8>7?l6:l774<332c:o=4?:%606?7d>2d??<4:;:k2fc<72->8>7?l6:l774<132c:nh4?:%606?7d>2d??<48;:k2fa<72->8>7?l6:l7742d??<46;:k2fg<72->8>7?l6:l7742d??<4m;:k2f<<72->8>7?l6:l7742d??<4k;:k2f2<72->8>7?l6:l7742d??<4i;:k2g`<72->8>7?l6:l774<6821b=nj50;&777<6k?1e8>?51098m4ed290/8><51b48j15628807d?lb;29 15528i=7c:<1;30?>o6kh0;6):<2;3`2>h3;80:865f1b;94?"3;;0:o;5a423950=2d??<4>8:9l7f2=83.???4?51:9l7f7=83.???450;&777<4k:1e8>?53:9l7g`=83.???4?55:9l7gb=83.???421d?om50;&777<4k:1e8>?57:9l7gg=83.???4?59:9l7g>=83.???4?5b:9l7g0=83.???4?5d:9l7g2=83.???4?5f:9l7g4=83.???4l>:18'064=;j90b9=>:038?j5fn3:1(9==:2a0?k2493;976a!24:39h?6`;30827>=h;hn1<7*;3380g6=i<:;1=954o2c`>5<#<:81?n=4n512>43<3f9jn7>5$511>6e43g>8=7?9;:m0ed<72->8>7=l3:l774<6?21d?l750;&777<4k:1e8>?51998k6g?290/8><53b18j15628307b=n7;29 1552:i87c:<1;3b?>i4i?0;6):<2;1`7>h3;80:n65`3`694?"3;;08o>5a42395f=m<;o605?7b32e8m<4?:%606?5d;2d??<4>f:9l7d6=83.???47i:18'064=;j90b9=>:338?j5>m3:1(9==:2a0?k24938976a<9e83>!24:39h?6`;30817>=h;0i1<7*;3380g6=i<:;1>954o2;a>5<#<:81?n=4n512>73<3f9hm7>5$511>6e43g>8=7<9;:m0g<<72->8>7=l3:l774<5?21d?n650;&777<4k:1e8>?52998k6e0290/8><53b18j1562;307b=l6;29 1552:i87c:<1;0b?>i4k<0;6):<2;1`7>h3;809n65`3c`94?"3;;08o>5a42396f=m<;o605?4b32e85l4?:%606?5d;2d??<4=f:9jff<72->8>7lm;o605?6<3`hj6=4+4209fg=i<:;1=65fb883>!24:3hi7c:<1;08?ld?290/8><5bc9m067=;21bn:4?:%606?de3g>8=7:4;h`5>5<#<:81no5a42391>=nj<0;6):<2;`a?k2493<07dl;:18'064=jk1e8>?57:9jf6<72->8>7lm;o605?><3`h96=4+4209fg=i<:;1565fb183>!24:3hi7c:<1;c8?lga290/8><5bc9m067=j21bmh4?:%606?de3g>8=7m4;hcg>5<#<:81no5a4239`>=nij0;6):<2;`a?k2493o07dom:18'064=jk1e8>?5f:9jed<72->8>7lm;o605?7732cj57>5$511>gd5<#<:81no5a423957=h3;80:?65fc583>!24:3hi7c:<1;37?>od;3:1(9==:c`8j15628?07dm=:18'064=jk1e8>?51798mf7=83.???4mb:l774<6?21bo=4?:%606?de3g>8=7?7;:kab?6=,=996ol4n512>4?<3`hn6=4+4209fg=i<:;1=l54icf94?"3;;0in6`;3082f>=nj80;6):<2;`a?k2493;h76gn6;29 1552kh0b9=>:0f8?j25i3:1(9==:50:?k2493:07b:=8;29 1552=827c:<1;38?j25?3:1(9==:50:?k2493807b:=6;29 1552=827c:<1;18?j25=3:1(9==:50:?k2493>07b:=4;29 1552=827c:<1;78?j25;3:1(9==:50:?k2493<07b:=2;29 1552=827c:<1;58?j2583:1(9==:50:?k2493207b:>f;29 1552=827c:<1;;8?j26m3:1(9==:50:?k2493k07b:>d;29 1552=827c:<1;`8?j26k3:1(9==:50:?k2493i07b:>b;29 1552=827c:<1;f8?j26i3:1(9==:50:?k2493o07b:>9;29 1552=827c:<1;d8?j2603:1(9==:50:?k2493;;76a;1683>!24:3>956`;30825>=h<8?1<7*;33876<=i<:;1=?54o537>5<#<:818?74n512>45<3f>:?7>5$511>14>3g>8=7?;;:m757<72->8>7:=9:l774<6=21d8?51798k177290/8><543;8j15628=07b:?f;29 1552=827c:<1;3;?>i38l0;6):<2;61=>h3;80:565`41f94?"3;;0?>45a42395d=d:9l05>=83.???4;289m067=9l10c9>8:18'064=<;30b9=>:0d8?j27>3:1(9==:50:?k24938;76a;0483>!24:3>956`;30815>=h<9>1<7*;33876<=i<:;1>?54o520>5<#<:818?74n512>75<3f>;>7>5$511>14>3g>8=7<;;:m744<72->8>7:=9:l774<5=21d8>>50;&777<3:01e8>?52798k14a290/8><543;8j1562;=07b:=e;29 1552=827c:<1;0;?>i3:m0;6):<2;61=>h3;809565`43a94?"3;;0?>45a42396d=?:18'064=<;30b9=>:3d8?lbd290/8><5dc9m067=821bhl4?:%606?be3g>8=7?4;hf:>5<#<:81ho5a42396>=nl10;6):<2;fa?k2493907dj8:18'064=lk1e8>?54:9j`3<72->8>7jm;o605?3<3`n>6=4+4209`g=i<:;1:65fd583>!24:3ni7c:<1;58?lb4290/8><5dc9m067=021bh?4?:%606?be3g>8=774;hf3>5<#<:81ho5a4239e>=nko0;6):<2;fa?k2493h07dmj:18'064=lk1e8>?5c:9jga<72->8>7jm;o605?b<3`ih6=4+4209`g=i<:;1i65fcc83>!24:3ni7c:<1;d8?lef290/8><5dc9m067=9910en750;&7771:9jg=<72->8>7jm;o605?7532ch;7>5$511>ad5<#<:81ho5a423951=h3;80:965fe383>!24:3ni7c:<1;35?>ob93:1(9==:e`8j15628=07dk?:18'064=lk1e8>?51998ma`=83.???4kb:l774<6121bhh4?:%606?be3g>8=7?n;:kg`?6=,=996il4n512>4d<3`n:6=4+4209`g=i<:;1=n54ib494?"3;;0on6`;3082`>=n90=1<7*;3382=3=i<:;1<65f18794?"3;;0:5;5a42395>=n90>1<7*;3382=3=i<:;1>65rb450>5<6i80;6=u+45f96d3<@=?h7E::0:X21f<68r<864>58g8:=??32?;1>i49a;45>7g=>90=87<6:4a92<<2n3w/?485144a?ke2281e=<851:l25f<73g;:h7?4$03f>6>>3-;:j7<6d:l271<63g;>n7?4$07g>7?c3g;2?7?4n0;;>4=i9k91=6`=a582?k4d=3;0(?j?:3`6?k4c93;0b>7::19'7fe==2d??94>;%671?4c82.?8;41<75f1`794?=n<=;1<75f2e194?=n9h>1<75f42g94?=n9hi1<75`18394?=n<:31<75f1`494?=h<=o1<75`3bg94?=n<:h1<75f42c94?=n9h21<75f42d94?=n9h81<75f1`294?=n9hl1<75f18d94?=n<:i1<75`38594?=n9h=1<75f1``94?=n9081<75f18294?=n<=:1<75f1`c94?=n<=81<75`fc83>!24:3lj7c:<1;28?j`>290/8><5f`9m067=921dj54?:%606?`f3g>8=7<4;nd4>5<#<:81jl5a42397>=hn?0;6):<2;db?k2493>07bh::18'064=nh1e8>?55:9lb1<72->8>7hn;o605?0<3fl86=4+4209bd=i<:;1;65`f383>!24:3lj7c:<1;:8?j`6290/8><5f`9m067=121dik4?:%606?`f3g>8=7o4;ngf>5<#<:81jl5a4239f>=hmm0;6):<2;db?k2493i07bkl:18'064=nh1e8>?5d:9lag<72->8>7hn;o605?c<3foj6=4+4209bd=i<:;1j65`e883>!24:3lj7c:<1;33?>ib03:1(9==:gc8j15628;07bk8:18'064=nh1e8>?51398k`0=83.???4ia:l774<6;21d===50;&7774:9l554=83.???4ia:l774<6=21d==?50;&7776:9l556=83.???4ia:l774<6?21djk4?:%606?`f3g>8=7?7;:mea?6=,=996ko4n512>4?<3flo6=4+4209bd=i<:;1=l54oga94?"3;;0mm6`;3082f>=hn90;6):<2;db?k2493;h76aj5;29 1552ok0b9=>:0f8?l5><3:1(9==:2;0?k2493:07d=62;29 1552:387c:<1;38?l5>93:1(9==:2;0?k2493807d=60;29 1552:387c:<1;18?l5?n3:1(9==:2;0?k2493>07d=7e;29 1552:387c:<1;78?l5?l3:1(9==:2;0?k2493<07d=i9;29 1552:l37c:<1;28?l5a?3:1(9==:2d;?k2493;07d=i6;29 1552:l37c:<1;08?l5a=3:1(9==:2d;?k2493907d=i4;29 1552:l37c:<1;68?l5a;3:1(9==:2d;?k2493?07d=i2;29 1552:l37c:<1;48?l5a93:1(9==:2d;?k2493=07d=jf;29 1552:l37c:<1;:8?l5bm3:1(9==:2d;?k2493307d=jd;29 1552:l37c:<1;c8?l5bk3:1(9==:2d;?k2493h07d=jb;29 1552:l37c:<1;a8?l5bi3:1(9==:2d;?k2493n07d=j9;29 1552:l37c:<1;g8?l5b03:1(9==:2d;?k2493l07d=j7;29 1552:l37c:<1;33?>o4m?0;6):<2;1e<>h3;80:=65f3d694?"3;;08j55a423957=h7;o605?7332c8i<4?:%606?5a02d??<4>5:9j7`6=83.???4ji:18'064=;o20b9=>:058?l5cm3:1(9==:2d;?k2493;376g!24:39m46`;3082=>=n;mi1<7*;3380b==i<:;1=l54i2fa>5<#<:81?k64n512>4d<3`9o57>5$511>6`?3g>8=7?l;:k0`=<72->8>7=i8:l774<6l21b?i950;&777<4n11e8>?51d98m6b1290/8><53g:8j15628l07d=k5;29 1552:l37c:<1;03?>o4l=0;6):<2;1e<>h3;809=65f3e194?"3;;08j55a423967=h7;o605?4332c8h=4?:%606?5a02d??<4=5:9j7c`=83.???4hj:18'064=;o20b9=>:358?l5al3:1(9==:2d;?k24938376g!24:39m46`;3081=>=n;oh1<7*;3380b==i<:;1>l54i2db>5<#<:81?k64n512>7d<3`9m<7>5$511>6`?3g>8=78>7=i8:l774<5l21b?io50;&777<4n11e8>?52d98m6ea290/8><53g:8j1562;l07d?>b;29 15528;j7c:<1;28?l7613:1(9==:03b?k2493;07d?>8;29 15528;j7c:<1;08?l76?3:1(9==:03b?k2493907d?79;29 15528237c:<1;28?l7??3:1(9==:0:;?k2493;07d?76;29 15528237c:<1;08?l7?=3:1(9==:0:;?k2493907d?74;29 15528237c:<1;68?l7?;3:1(9==:0:;?k2493?07d?72;29 15528237c:<1;48?l7?93:1(9==:0:;?k2493=07d?8f;29 15528237c:<1;:8?l70m3:1(9==:0:;?k2493307d?8d;29 15528237c:<1;c8?l70k3:1(9==:0:;?k2493h07d?8b;29 15528237c:<1;a8?l70i3:1(9==:0:;?k2493n07d?89;29 15528237c:<1;g8?l7003:1(9==:0:;?k2493l07d?87;29 15528237c:<1;33?>o6??0;6):<2;3;<>h3;80:=65f16694?"3;;0:455a423957=5:9j526=83.???4>899m067=9?10e<8i:18'064=9120b9=>:058?l71m3:1(9==:0:;?k2493;376g>6e83>!24:3;346`;3082=>=n9?i1<7*;3382<==i<:;1=l54i04a>5<#<:81=564n512>4d<3`;=57>5$511>4>?3g>8=7?l;:k22=<72->8>7?78:l774<6l21b=;950;&777<6011e8>?51d98m401290/8><519:8j15628l07d?95;29 15528237c:<1;03?>o6>=0;6):<2;3;<>h3;809=65f17194?"3;;0:455a423967=899m067=:?10e<6j:18'064=9120b9=>:358?l7?l3:1(9==:0:;?k24938376g>8b83>!24:3;346`;3081=>=n91h1<7*;3382<==i<:;1>l54i0:b>5<#<:81=564n512>7d<3`;3<7>5$511>4>?3g>8=78>7?78:l774<5l21b=;o50;&777<6011e8>?52d98m43a290/8><519:8j1562;l07b?6d;29 155283h7c:<1;28?j7>j3:1(9==:0;`?k2493;07b?6a;29 155283h7c:<1;08?j7>13:1(9==:0;`?k2493907d07d?<8;29 155289o7c:<1;78?l74?3:1(9==:01g?k2493<07d?<6;29 155289o7c:<1;58?l73?3:1(9==:01g?k2493207d?;6;29 155289o7c:<1;;8?l73=3:1(9==:01g?k2493k07d?;4;29 155289o7c:<1;`8?l73;3:1(9==:01g?k2493i07d?;2;29 155289o7c:<1;f8?l7393:1(9==:01g?k2493o07d?;0;29 155289o7c:<1;d8?l74n3:1(9==:01g?k2493;;76g>3483>!24:3;8h6`;30825>=n9;31<7*;33826==i<:;1<65f13594?"3;;0:>55a42395>=n9;<1<7*;33826==i<:;1>65f13794?"3;;0:>55a42397>=n9;>1<7*;33826==i<:;1865f13194?"3;;0:>55a42391>=n9;81<7*;33826==i<:;1:65f13394?"3;;0:>55a42393>=n9:81<7*;33826==i<:;1465f12394?"3;;0:>55a4239=>=n9::1<7*;33826==i<:;1m65f13d94?"3;;0:>55a4239f>=n9;o1<7*;33826==i<:;1o65f13f94?"3;;0:>55a4239`>=n9;i1<7*;33826==i<:;1i65f13`94?"3;;0:>55a4239b>=n9;k1<7*;33826==i<:;1==54i003>5<#<:81=?64n512>47<3f;>=7>5$511>4373g>8=7>4;n37b?6=,=996<;?;o605?7<3f;?i7>5$511>4373g>8=7<4;n37`?6=,=996<;?;o605?5<3f;?o7>5$511>4373g>8=7:4;n37f?6=,=996<;?;o605?3<3f;?m7>5$511>4373g>8=784;n37=?6=,=996<;?;o605?1<3f;>m7>5$511>4373g>8=764;n36=?6=,=996<;?;o605??<3f;>47>5$511>4373g>8=7o4;n363?6=,=996<;?;o605?d<3f;>:7>5$511>4373g>8=7m4;n361?6=,=996<;?;o605?b<3f;>87>5$511>4373g>8=7k4;n367?6=,=996<;?;o605?`<3f;>>7>5$511>4373g>8=7??;:m20=<72->8>7?:0:l774<6921b=n950;&777<6k?1e8>?50:9j5f3=83.???4>c79m067=921b=n:50;&777<6k?1e8>?52:9j5f5=83.???4>c79m067=;21b=n<50;&777<6k?1e8>?54:9j5f6=83.???4>c79m067==21b=oh50;&777<6k?1e8>?56:9j5gc=83.???4>c79m067=?21b=oj50;&777<6k?1e8>?58:9j5ge=83.???4>c79m067=121b=ol50;&777<6k?1e8>?5a:9j5gg=83.???4>c79m067=j21b=o750;&777<6k?1e8>?5c:9j5g>=83.???4>c79m067=l21b=o950;&777<6k?1e8>?5e:9j5f`=83.???4>c79m067=n21b=nk50;&777<6k?1e8>?51198m4ec290/8><51b48j15628;07d?lc;29 15528i=7c:<1;31?>o6kk0;6):<2;3`2>h3;80:?65f1bc94?"3;;0:o;5a423951=2d??<4>7:9j5g0=83.???4>c79m067=9110c>m;:18'064=;j90b9=>:198k6e5290/8><53b18j1562810c>m>:18'064=;j90b9=>:398k6e7290/8><53b18j1562:10c>li:18'064=;j90b9=>:598k6db290/8><53b18j1562<10c>lk:18'064=;j90b9=>:798k6dd290/8><53b18j1562>10c>ln:18'064=;j90b9=>:998k6d>290/8><53b18j1562010c>l7:18'064=;j90b9=>:`98k6d0290/8><53b18j1562k10c>l9:18'064=;j90b9=>:b98k6d2290/8><53b18j1562m10c>l;:18'064=;j90b9=>:d98k6d4290/8><53b18j1562o10c>l=:18'064=;j90b9=>:028?j5e93:1(9==:2a0?k2493;:76a!24:39h?6`;30826>=h;ho1<7*;3380g6=i<:;1=>54o2cg>5<#<:81?n=4n512>42<3f9jo7>5$511>6e43g>8=7?:;:m0eg<72->8>7=l3:l774<6>21d?lo50;&777<4k:1e8>?51698k6g>290/8><53b18j15628207b=n8;29 1552:i87c:<1;3:?>i4i>0;6):<2;1`7>h3;80:m65`3`494?"3;;08o>5a42395g=m<;o605?7c32e8m?4?:%606?5d;2d??<4>e:9l7d7=83.???4o?:18'064=;j90b9=>:328?j5>n3:1(9==:2a0?k24938:76a<9d83>!24:39h?6`;30816>=h;0n1<7*;3380g6=i<:;1>>54o2;`>5<#<:81?n=4n512>72<3f92n7>5$511>6e43g>8=7<:;:m0gd<72->8>7=l3:l774<5>21d?n750;&777<4k:1e8>?52698k6e?290/8><53b18j1562;207b=l7;29 1552:i87c:<1;0:?>i4k?0;6):<2;1`7>h3;809m65`3b794?"3;;08o>5a42396g=m<;o605?4c32e8m84?:%606?5d;2d??<4=e:9l74=h3;80976gm8;29 1552kh0b9=>:298mg1=83.???4mb:l774<332ci:7>5$511>gdoe<3:1(9==:c`8j1562>10eo=50;&777<=h3;80j76gnf;29 1552kh0b9=>:c98mdc=83.???4mb:l7745$511>gdofj3:1(9==:c`8j1562o10elo50;&7770:9je<<72->8>7lm;o605?7632cj47>5$511>gd5<#<:81no5a423956=1<7*;338af>h3;80:865fc283>!24:3hi7c:<1;36?>od:3:1(9==:c`8j15628<07dm>:18'064=jk1e8>?51698mf6=83.???4mb:l774<6021bnk4?:%606?de3g>8=7?6;:kaa?6=,=996ol4n512>4g<3`ho6=4+4209fg=i<:;1=o54ic394?"3;;0in6`;3082g>=ni?0;6):<2;`a?k2493;o76a;2`83>!24:3>956`;3083?>i3:10;6):<2;61=>h3;80:76a;2683>!24:3>956`;3081?>i3:?0;6):<2;61=>h3;80876a;2483>!24:3>956`;3087?>i3:=0;6):<2;61=>h3;80>76a;2283>!24:3>956`;3085?>i3:;0;6):<2;61=>h3;80<76a;2183>!24:3>956`;308;?>i39o0;6):<2;61=>h3;80276a;1d83>!24:3>956`;308b?>i39m0;6):<2;61=>h3;80i76a;1b83>!24:3>956`;308`?>i39k0;6):<2;61=>h3;80o76a;1`83>!24:3>956`;308f?>i3900;6):<2;61=>h3;80m76a;1983>!24:3>956`;30824>=h<8=1<7*;33876<=i<:;1=<54o536>5<#<:818?74n512>44<3f>:87>5$511>14>3g>8=7?<;:m756<72->8>7:=9:l774<6<21d8<<50;&777<3:01e8>?51498k176290/8><543;8j15628<07b:>0;29 1552=827c:<1;34?>i38o0;6):<2;61=>h3;80:465`41g94?"3;;0?>45a42395<=c:9l05?=83.???4;289m067=9m10c9>7:18'064=<;30b9=>:0g8?j27?3:1(9==:50:?k2493;m76a;0783>!24:3>956`;30814>=h<9?1<7*;33876<=i<:;1><54o527>5<#<:818?74n512>74<3f>;?7>5$511>14>3g>8=7<<;:m747<72->8>7:=9:l774<5<21d8=?50;&777<3:01e8>?52498k157290/8><543;8j1562;<07b:=f;29 1552=827c:<1;04?>i3:l0;6):<2;61=>h3;809465`43f94?"3;;0?>45a42396<=<4?:%606?2512d??<4=c:9l040=83.???4;289m067=:m10c9>m:18'064=<;30b9=>:3g8?j2783:1(9==:50:?k24938m76gkc;29 1552mh0b9=>:198mag=83.???4kb:l774<632co57>5$511>adoc?3:1(9==:e`8j1562=10ei850;&7773=1<7*;338gf>h3;80<76gk3;29 1552mh0b9=>:998ma4=83.???4kb:l774<>32co<7>5$511>adodm3:1(9==:e`8j1562j10enj50;&777`=h3;80m76gla;29 1552mh0b9=>:028?le>290/8><5dc9m067=9810en650;&7772:9jg2<72->8>7jm;o605?7432cn87>5$511>ad5<#<:81ho5a423950=h3;80::65fe083>!24:3ni7c:<1;34?>ob83:1(9==:e`8j15628207dji:18'064=lk1e8>?51898mac=83.???4kb:l774<6i21bhi4?:%606?be3g>8=7?m;:kg5?6=,=996il4n512>4e<3`i=6=4+4209`g=i<:;1=i54i0;4>5<#<:81=484n512>5=6=4+42095<05<#<:81=484n512>7=51`394?6|,=>o6?o:;I66g>N3=91Q=8m511y57??721l154464;42>7b=>h0=:7:51:l21g<63-;>h7<6d:l2=6<63g;247?4n0`0>4=i:h>1=6`=c482?!4c838i96`=d082?k5>=3:0(>ml:49m062=92.?884=d19'010=;ji0(9;>:56`?!22:38o>6*;5280<==#<<>1?5m4o2ag>5<5<5<6=44i562>5<5<5<5<5<5<5<5<5<5<5<5<5<5<h3;80;76ai9;29 1552ok0b9=>:098kc>=83.???4ia:l774<532em;7>5$511>cg54og494?"3;;0mm6`;3087?>ia=3:1(9==:gc8j1562<10ck:50;&7772=h3;80376ai1;29 1552ok0b9=>:898k``=83.???4ia:l7745$511>cgibk3:1(9==:gc8j1562m10chl50;&777c=h3;80:<65`e983>!24:3lj7c:<1;32?>ib?3:1(9==:gc8j15628807bk9:18'064=nh1e8>?51298k464290/8><5f`9m067=9=10c<>=:18'064=nh1e8>?51498k466290/8><5f`9m067=9?10c<>?:18'064=nh1e8>?51698kc`=83.???4ia:l774<6021djh4?:%606?`f3g>8=7?6;:me`?6=,=996ko4n512>4g<3flh6=4+4209bd=i<:;1=o54og294?"3;;0mm6`;3082g>=hm<0;6):<2;db?k2493;o76g<9583>!24:392?6`;3083?>o41;0;6):<2;1:7>h3;80:76g<9083>!24:392?6`;3081?>o4190;6):<2;1:7>h3;80876g<8g83>!24:392?6`;3087?>o40l0;6):<2;1:7>h3;80>76g<8e83>!24:392?6`;3085?>o4n00;6):<2;1e<>h3;80;76g!24:39m46`;3082?>o4n?0;6):<2;1e<>h3;80976g!24:39m46`;3080?>o4n=0;6):<2;1e<>h3;80?76g!24:39m46`;3086?>o4n;0;6):<2;1e<>h3;80=76g!24:39m46`;3084?>o4mo0;6):<2;1e<>h3;80376g!24:39m46`;308:?>o4mm0;6):<2;1e<>h3;80j76g!24:39m46`;308a?>o4mk0;6):<2;1e<>h3;80h76g!24:39m46`;308g?>o4m00;6):<2;1e<>h3;80n76g!24:39m46`;308e?>o4m>0;6):<2;1e<>h3;80:<65f3d494?"3;;08j55a423954=h7;o605?7432c8i?4?:%606?5a02d??<4>4:9j7`7=83.???4k?:18'064=;o20b9=>:048?l5cn3:1(9==:2d;?k2493;<76g!24:39m46`;3082<>=n;mn1<7*;3380b==i<:;1=454i2f`>5<#<:81?k64n512>4g<3`9on7>5$511>6`?3g>8=7?m;:k0`<<72->8>7=i8:l774<6k21b?i650;&777<4n11e8>?51e98m6b0290/8><53g:8j15628o07d=k6;29 1552:l37c:<1;3e?>o4l<0;6):<2;1e<>h3;809<65f3e694?"3;;08j55a423964=h7;o605?4432c8h<4?:%606?5a02d??<4=4:9j7a6=83.???4hi:18'064=;o20b9=>:348?l5am3:1(9==:2d;?k24938<76g!24:39m46`;3081<>=n;oi1<7*;3380b==i<:;1>454i2da>5<#<:81?k64n512>7g<3`9mm7>5$511>6`?3g>8=78>7=i8:l774<5k21b?h;50;&777<4n11e8>?52e98m6bf290/8><53g:8j1562;o07d=lf;29 1552:l37c:<1;0e?>o69k0;6):<2;32e>h3;80;76g>1883>!24:3;:m6`;3082?>o6910;6):<2;32e>h3;80976g>1683>!24:3;:m6`;3080?>o6000;6):<2;3;<>h3;80;76g>8683>!24:3;346`;3082?>o60?0;6):<2;3;<>h3;80976g>8483>!24:3;346`;3080?>o60=0;6):<2;3;<>h3;80?76g>8283>!24:3;346`;3086?>o60;0;6):<2;3;<>h3;80=76g>8083>!24:3;346`;3084?>o6?o0;6):<2;3;<>h3;80376g>7d83>!24:3;346`;308:?>o6?m0;6):<2;3;<>h3;80j76g>7b83>!24:3;346`;308a?>o6?k0;6):<2;3;<>h3;80h76g>7`83>!24:3;346`;308g?>o6?00;6):<2;3;<>h3;80n76g>7983>!24:3;346`;308e?>o6?>0;6):<2;3;<>h3;80:<65f16494?"3;;0:455a423954=4:9j527=83.???4>899m067=9<10e<9?:18'064=9120b9=>:048?l71n3:1(9==:0:;?k2493;<76g>6d83>!24:3;346`;3082<>=n9?n1<7*;3382<==i<:;1=454i04`>5<#<:81=564n512>4g<3`;=n7>5$511>4>?3g>8=7?m;:k22<<72->8>7?78:l774<6k21b=;650;&777<6011e8>?51e98m400290/8><519:8j15628o07d?96;29 15528237c:<1;3e?>o6><0;6):<2;3;<>h3;809<65f17694?"3;;0:455a423964=899m067=:<10e<6i:18'064=9120b9=>:348?l7?m3:1(9==:0:;?k24938<76g>8e83>!24:3;346`;3081<>=n91i1<7*;3382<==i<:;1>454i0:a>5<#<:81=564n512>7g<3`;3m7>5$511>4>?3g>8=78>7?78:l774<5k21b=:;50;&777<6011e8>?52e98m40f290/8><519:8j1562;o07d?:f;29 15528237c:<1;0e?>i61m0;6):<2;3:g>h3;80;76a>9c83>!24:3;2o6`;3082?>i61h0;6):<2;3:g>h3;80976a>9883>!24:3;2o6`;3080?>o5k=0;6):<2;0`7>h3;80;76g=c383>!24:38h?6`;3082?>o5k80;6):<2;0`7>h3;80976g=c183>!24:38h?6`;3080?>o5jo0;6):<2;0`7>h3;80?76g>3d83>!24:3;8h6`;3083?>o6;j0;6):<2;30`>h3;80:76g>3c83>!24:3;8h6`;3081?>o6;h0;6):<2;30`>h3;80876g>3883>!24:3;8h6`;3087?>o6;10;6):<2;30`>h3;80>76g>3683>!24:3;8h6`;3085?>o6;?0;6):<2;30`>h3;80<76g>4683>!24:3;8h6`;308;?>o6h3;80276g>4483>!24:3;8h6`;308b?>o6<=0;6):<2;30`>h3;80i76g>4283>!24:3;8h6`;308`?>o6<;0;6):<2;30`>h3;80o76g>4083>!24:3;8h6`;308f?>o6<90;6):<2;30`>h3;80m76g>3g83>!24:3;8h6`;30824>=n9:?1<7*;33827a=i<:;1=<54i00:>5<#<:81=?64n512>5=5<#<:81=?64n512>7=6=4+420957>54i007>5<#<:81=?64n512>1=5<#<:81=?64n512>3=5<#<:81=?64n512>==5<#<:81=?64n512>d=5<#<:81=?64n512>f=5<#<:81=?64n512>`=5<#<:81=?64n512>46<3`;9<7>5$511>44?3g>8=7?>;:m214<72->8>7?:0:l774<732e:8k4?:%606?7282d??<4>;:m20`<72->8>7?:0:l774<532e:8i4?:%606?7282d??<4<;:m20f<72->8>7?:0:l774<332e:8o4?:%606?7282d??<4:;:m20d<72->8>7?:0:l774<132e:844?:%606?7282d??<48;:m21d<72->8>7?:0:l7748>7?:0:l7748>7?:0:l7748>7?:0:l7744?:%606?7282d??<4i;:m217<72->8>7?:0:l774<6821d=9650;&777<6=91e8>?51098m4e0290/8><51b48j1562910e:098m4e3290/8><51b48j1562;10e:298m4e5290/8><51b48j1562=10e:498m4da290/8><51b48j1562?10e:698m4dc290/8><51b48j1562110e:898m4de290/8><51b48j1562h10e:c98m4d>290/8><51b48j1562j10e:e98m4d0290/8><51b48j1562l10e:g98m4eb290/8><51b48j15628:07d?ld;29 15528i=7c:<1;32?>o6kj0;6):<2;3`2>h3;80:>65f1b`94?"3;;0:o;5a423956=2d??<4>6:9j5f7=83.???4>c79m067=9>10e:0:8?j5d<3:1(9==:2a0?k2493:07b=l2;29 1552:i87c:<1;38?j5d93:1(9==:2a0?k2493807b=l0;29 1552:i87c:<1;18?j5en3:1(9==:2a0?k2493>07b=me;29 1552:i87c:<1;78?j5el3:1(9==:2a0?k2493<07b=mc;29 1552:i87c:<1;58?j5ei3:1(9==:2a0?k2493207b=m9;29 1552:i87c:<1;;8?j5e03:1(9==:2a0?k2493k07b=m7;29 1552:i87c:<1;`8?j5e>3:1(9==:2a0?k2493i07b=m5;29 1552:i87c:<1;f8?j5e<3:1(9==:2a0?k2493o07b=m3;29 1552:i87c:<1;d8?j5e:3:1(9==:2a0?k2493;;76a!24:39h?6`;30825>=h;hl1<7*;3380g6=i<:;1=?54o2cf>5<#<:81?n=4n512>45<3f9jh7>5$511>6e43g>8=7?;;:m0ef<72->8>7=l3:l774<6=21d?ll50;&777<4k:1e8>?51798k6gf290/8><53b18j15628=07b=n9;29 1552:i87c:<1;3;?>i4i10;6):<2;1`7>h3;80:565`3`594?"3;;08o>5a42395d=m<;o605?7d32e8m>4?:%606?5d;2d??<4>d:9l7d4=83.???4o>:18'064=;j90b9=>:0d8?j5f83:1(9==:2a0?k24938;76a<9g83>!24:39h?6`;30815>=h;0o1<7*;3380g6=i<:;1>?54o2;g>5<#<:81?n=4n512>75<3f92o7>5$511>6e43g>8=7<;;:m0=g<72->8>7=l3:l774<5=21d?no50;&777<4k:1e8>?52798k6e>290/8><53b18j1562;=07b=l8;29 1552:i87c:<1;0;?>i4k>0;6):<2;1`7>h3;809565`3b494?"3;;08o>5a42396d=6=4+42097f5m<;o605?4d32e8n=4?:%606?5d;2d??<4=d:9l7d3=83.???47n:18'064=;j90b9=>:3d8?ldd290/8><5bc9m067=821bnl4?:%606?de3g>8=7?4;h`:>5<#<:81no5a42396>=nj10;6):<2;`a?k2493907dl8:18'064=jk1e8>?54:9jf3<72->8>7lm;o605?3<3`h>6=4+4209fg=i<:;1:65fb583>!24:3hi7c:<1;58?ld4290/8><5bc9m067=021bn?4?:%606?de3g>8=774;h`3>5<#<:81no5a4239e>=nio0;6):<2;`a?k2493h07doj:18'064=jk1e8>?5c:9jea<72->8>7lm;o605?b<3`kh6=4+4209fg=i<:;1i65fac83>!24:3hi7c:<1;d8?lgf290/8><5bc9m067=9910el750;&7771:9je=<72->8>7lm;o605?7532cj;7>5$511>gd5<#<:81no5a423951=h3;80:965fc383>!24:3hi7c:<1;35?>od93:1(9==:c`8j15628=07dm?:18'064=jk1e8>?51998mg`=83.???4mb:l774<6121bnh4?:%606?de3g>8=7?n;:ka`?6=,=996ol4n512>4d<3`h:6=4+4209fg=i<:;1=n54i`494?"3;;0in6`;3082`>=h<;k1<7*;33876<=i<:;1<65`43:94?"3;;0?>45a42395>=h<;=1<7*;33876<=i<:;1>65`43494?"3;;0?>45a42397>=h<;?1<7*;33876<=i<:;1865`43694?"3;;0?>45a42391>=h<;91<7*;33876<=i<:;1:65`43094?"3;;0?>45a42393>=h<;:1<7*;33876<=i<:;1465`40d94?"3;;0?>45a4239=>=h<8o1<7*;33876<=i<:;1m65`40f94?"3;;0?>45a4239f>=h<8i1<7*;33876<=i<:;1o65`40`94?"3;;0?>45a4239`>=h<8k1<7*;33876<=i<:;1i65`40;94?"3;;0?>45a4239b>=h<821<7*;33876<=i<:;1==54o534>5<#<:818?74n512>47<3f>:97>5$511>14>3g>8=7?=;:m751<72->8>7:=9:l774<6;21d8<=50;&777<3:01e8>?51598k175290/8><543;8j15628?07b:>1;29 1552=827c:<1;35?>i3990;6):<2;61=>h3;80:;65`41d94?"3;;0?>45a42395==b:9l05g=83.???4;289m067=9j10c9>6:18'064=<;30b9=>:0f8?j2703:1(9==:50:?k2493;n76a;0683>!24:3>956`;3082b>=h<9<1<7*;33876<=i<:;1>=54o526>5<#<:818?74n512>77<3f>;87>5$511>14>3g>8=7<=;:m746<72->8>7:=9:l774<5;21d8=<50;&777<3:01e8>?52598k166290/8><543;8j1562;?07b:<0;29 1552=827c:<1;05?>i3:o0;6):<2;61=>h3;809;65`43g94?"3;;0?>45a42396==o4?:%606?2512d??<4=b:9l077=83.???4;289m067=:j10c9?9:18'064=<;30b9=>:3f8?j27j3:1(9==:50:?k24938n76a;0183>!24:3>956`;3081b>=nlj0;6):<2;fa?k2493:07djn:18'064=lk1e8>?51:9j`<<72->8>7jm;o605?4<3`n36=4+4209`g=i<:;1?65fd683>!24:3ni7c:<1;68?lb1290/8><5dc9m067==21bh84?:%606?be3g>8=784;hf7>5<#<:81ho5a42393>=nl:0;6):<2;fa?k2493207dj=:18'064=lk1e8>?59:9j`5<72->8>7jm;o605?g<3`im6=4+4209`g=i<:;1n65fcd83>!24:3ni7c:<1;a8?lec290/8><5dc9m067=l21bon4?:%606?be3g>8=7k4;haa>5<#<:81ho5a4239b>=nkh0;6):<2;fa?k2493;;76gl9;29 1552mh0b9=>:038?le?290/8><5dc9m067=9;10en950;&7773:9ja1<72->8>7jm;o605?7332cn?7>5$511>ad5<#<:81ho5a423953=h3;80:;65fe183>!24:3ni7c:<1;3;?>ocn3:1(9==:e`8j15628307djj:18'064=lk1e8>?51`98mab=83.???4kb:l774<6j21bh<4?:%606?be3g>8=7?l;:k`2?6=,=996il4n512>4b<3`;2;7>5$511>4?13g>8=7>4;h3:1?6=,=996<79;o605?7<3`;287>5$511>4?13g>8=7<4;|`630<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`633<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`632<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`63=<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`63<<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`63d<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`63g<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`63f<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`63a<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`63`<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`63c<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`6<5<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`6<4<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`6<7<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`6<6<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`6<1<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`6<0<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`6<3<72:=1??4:0zJ715=#<=n1=kj<;[36g?5|>;0847:n:|k242<72->8>7??6:l774<732c:<84?:%606?77>2d??<4>;:k241<72->8>7??6:l774<532c:i<4?:%606?7b82d??<4?;:k2`c<72->8>7?j0:l774<632c:hh4?:%606?7b82d??<4=;:k2`a<72->8>7?j0:l774<432c:ho4?:%606?7b82d??<4;;:k2`d<72->8>7?j0:l774<232c:h44?:%606?7b82d??<49;:k2`=<72->8>7?j0:l774<032c:h:4?:%606?7b82d??<47;:k2`3<72->8>7?j0:l774<>32c:h84?:%606?7b82d??<4n;:k2`1<72->8>7?j0:l7744?:%606?7b82d??<4l;:k2`7<72->8>7?j0:l7748>7?j0:l7740:9j5`0=83.???4>e19m067=9810e:008?l7b<3:1(9==:0g3?k2493;876g>e283>!24:3;n<6`;30820>=n9l81<7*;3382a5=i<:;1=854i0f`>5<#<:81=h>4n512>40<3`;o=7>5$511>4c73g>8=7?8;:k71=<722c:5h4?::k701<722c:mk4?::m2bd<72->8>7?i9:l774<732e:j54?:%606?7a12d??<4>;:m2b2<72->8>7?i9:l774<532e:j;4?:%606?7a12d??<4<;:m2b1<72->8>7?i9:l774<332e:j>4?:%606?7a12d??<4:;:m2b7<72->8>7?i9:l774<132e:j<4?:%606?7a12d??<48;:m2b5<72->8>7?i9:l7748>7?i9:l7748>7?i9:l7748>7?i9:l7748>7?i9:l774<6821d=kh50;&777<6n01e8>?51098k4`b290/8><51g;8j15628807b?id;29 15528l27c:<1;30?>i6nj0;6):<2;3e=>h3;80:865`1g`94?"3;;0:j45a423950=6=4+42095c?4:4?:083>5}#<=n1>o94H57`?M2282e9n;4?::a1=>=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi95750;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1=g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi95l50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1=e=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi95j50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1=c=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi95h50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1<6=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi94?50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1<4=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi94=50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1<2=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi94;50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1<0=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi94950;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1<>=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi94750;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a11<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi94l50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a11<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi94j50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a11<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi94h50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1d6=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm5`394??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi9l<50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e=h91<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a1d2=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm5`794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi9l850;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e=h=1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a1d>=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm5`;94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi9lo50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e=hh1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a1de=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm5`f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi9lk50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e=hl1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a1g6=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm5c394??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi9o<50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e=k91<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a1g2=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm5c794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi9o850;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e=k=1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a1g>=8391<7>t$56g>7ed3A>>o6F;519'6gc=1j1b>o750;9j6gg=831d?5o50;9~w4e1k3:1=4uQ115890g72h9018o>:`1890g52h9018o<:`1890g32h9018o::`1890g12h9018o8:`1890g?2h9018o6:`1890gf2h9018om:`1890gd2h9018ok:`1890gb2h9018oi:`1890d72h9018l>:`1890d52h9018l<:`1890d32h9018l::`1890d12h9018l8:`18yv7d>m0;6<7t^026?83f83k970;n1;c1?83f:3k970;n3;c1?83f<3k970;n5;c1?83f>3k970;n7;c1?83f03k970;n9;c1?83fi3k970;nb;c1?83fk3k970;nd;c1?83fm3k970;nf;c1?83e83k970;m1;c1?83e:3k970;m3;c1?83e<3k970;m5;c1?83e>3k970;m7;c1?xu6k?o1<7?6{_330>;2i90j=63:a08b5>;2i;0j=63:a28b5>;2i=0j=63:a48b5>;2i?0j=63:a68b5>;2i10j=63:a88b5>;2ih0j=63:ac8b5>;2ij0j=63:ae8b5>;2il0j=63:ag8b5>;2j90j=63:b08b5>;2j;0j=63:b28b5>;2j=0j=63:b48b5>;2j?0j=63:b68b5>{t9j;2j<0:n95rs0a44?6=:rT:hk525c595g2n94>b59~w4e0<3:1>vP>d`9>1g5=9k>0q~?l7483>7}Y9m3018oi:0`7?xu6k><1<75<5sW;o;63:b182f1=z{8i<47>52z\2`3=:=hi1=o:4}r3`3<<72;qU=i;4=4cf>4d33ty:o:o50;0xZ4b334?jh7?m4:p5f1e2909wS?k3:?6e<<6j=1vc6g94?4|V8o370;n6;3a0>{t9j=m6=4={_3f3>;2i10:n95rs0a;4?6=:rT:i;525`595g2m94>b59~w4e?<3:1>vP>e39>1d6=9k>0q~?l8483>7}Y9mi018o=:0`7?xu6k1<1<75<5sW>>463:b981fd=z{8i347>51`y]5m27>4l4>9d9>1=d=90o0186l:0;f?83?l3;2i63:8d82=`=:=1l1=4k4=4;3>4?b34?2=7?6e:?6=7<61l1694=518g890?3283n70;65;3:a>;21?0:5h5258595m27>5l4>9d9>1l3;2i63:9d82=`=:=0l1=4k4=4`;>7d>3ty:o5750;12[7fn27>454>5d9>1=?=9i63:8b821`=:=1n1=8k4=4:f>43b34?3j7?:e:?6=5<6=l1694?514g890?528?n70;63;36a>;21=0:9h52587950c<5<3=6<;j;<7:3?72m27>554>5d9>1j3;>i63:9b821`=:=0n1=8k4=4;f>43b34?2j7?:e:?6e5<6io169l?51`d890g528km70;n3;3bb>;2i=0:mk525`795d`<5m54>ag9>1d?=9hl018on:0ce?83fj3;jj63:ab82ec=:=hn1=lh4=4cf>4ga34?jj7?nf:?6f5<6io169o?51`d890d528km70;m3;3bb>;2j=0:mk525c795d`<55k4;349~w4e?j3:1>vP>f99>17}Y9o=0187k:516?xu6k1n1<7k3>896s|1b:f>5<5sW;m863:9c8770=z{8i3j7>52z\2b6=:=0k18>;4}r3`=5<72;qU=k<4=4;:>1523ty:o4?50;0xZ4`634?247:<5:p5f?52909wS?i0:?6=2<3;<1v7p}>c8794?4|V8oo70;64;601>{t9j3=6=4={_3fg>;21:0??85rs0a:3?6=:rT:io525809063>;<7:4?24=2wx=n7n:181[47827>4k4;349~w4e>j3:1>vP>fg9>1=c=<:?0q~?l9b83>7}Y9oo0186k:516?xu6k0n1<7896s|1b;f>5<5sW;mo63:8c8770=z{8i2j7>52z\2bg=:=1k18>;4}r3`e5<72;qU=k;4=4::>1523ty:ol?50;0xZ4cf34?347:<5:p5fg5290:5v3:8681f3=:=h:1m9525`39e1=:=h81m9525`19e1=:=h>1m9525`79e1=:=h<1m9525`59e1=:=h21m9525`;9e1=:=hk1m9525``9e1=:=hi1m9525`f9e1=:=ho1m9525`d9e1=:=k:1m9525c39e1=:=k81m9525c19e1=:=k>1m9525c79e1=:=k<1m9525c59e1=z{8ij?7>52z?6<=<6j=169l?54278yv7di=0;6?u259;95g2<5896s|1bc5>5<5s4?3n7?m4:?6e1<3;<1v1=b=9k>018o<:516?xu6kh31<74d334?j;7:<5:p5fgf2909w0;7f;3a0>;2i10??85rs0abf?6=:r7>5=4>b59>1d0=<:?0q~?lab83>7}:=0;1=o:4=4cb>1523ty:olj50;0x90?528h?70;nb;601>{t9jkn6=4={<7:7?7e<27>m44;349~w4efn3:1>v3:9582f1=:=hn18>;4}r3`f5<72;q694;51c6890gb2=9>7p}>cc394?4|5<3=6?3;i863:b18770=z{8ii?7>52z?6==<6j=169o?54278yv7dj=0;6?u258;95g2<5896s|1b`5>5<5s4?2n7?m4:?6f1<3;<1v1018l9:516?xu6kk31<74d334?i;7:<5:p5fdf2909w0;6f;3a0>;2j<0??85rs0aaf?6=90q69l>518g890g6283n70;n2;3:a>;2i:0:5h525`6956<7j;<7b2?7>m27>m:4>9d9>1d>=90o018o6:0;f?83fi3;2i63:ac82=`=:=hi1=4k4=4cg>4?b34?ji7?6e:?6ec<61l169o>518g890d6283n70;m2;3:a>;2j:0:5h525c6956<7j;<7a2?7>m27>n:4>9d9>1g>=;1k0qpl:b883>61=;;0>j6pg>0683>!24:3;;:6`;3083?>o68<0;6):<2;332>h3;80:76g>0583>!24:3;;:6`;3081?>o6m80;6):<2;3f4>h3;80;76g>dg83>!24:3;n<6`;3082?>o6ll0;6):<2;3f4>h3;80976g>de83>!24:3;n<6`;3080?>o6lk0;6):<2;3f4>h3;80?76g>d`83>!24:3;n<6`;3086?>o6l00;6):<2;3f4>h3;80=76g>d983>!24:3;n<6`;3084?>o6l>0;6):<2;3f4>h3;80376g>d783>!24:3;n<6`;308:?>o6l<0;6):<2;3f4>h3;80j76g>d583>!24:3;n<6`;308a?>o6l:0;6):<2;3f4>h3;80h76g>d383>!24:3;n<6`;308g?>o6m00;6):<2;3f4>h3;80n76g>e983>!24:3;n<6`;308e?>o6m>0;6):<2;3f4>h3;80:<65f1d494?"3;;0:i=5a423954=6=4+42095`64?:%606?7b82d??<4>4:9j5`4=83.???4>e19m067=9<10e:048?l7c93:1(9==:0g3?k2493;<76g;5983>>o61l0;66g;4583>>o6io0;66a>f`83>!24:3;m56`;3083?>i6n10;6):<2;3e=>h3;80:76a>f683>!24:3;m56`;3081?>i6n?0;6):<2;3e=>h3;80876a>f583>!24:3;m56`;3087?>i6n:0;6):<2;3e=>h3;80>76a>f383>!24:3;m56`;3085?>i6n80;6):<2;3e=>h3;80<76a>f183>!24:3;m56`;308;?>i6mo0;6):<2;3e=>h3;80276a>ed83>!24:3;m56`;308b?>i6mm0;6):<2;3e=>h3;80i76a>eb83>!24:3;m56`;308`?>i6mk0;6):<2;3e=>h3;80o76a=0383>!24:3;m56`;308f?>i5880;6):<2;3e=>h3;80m76a=0183>!24:3;m56`;30824>=h9ol1<7*;3382b<=i<:;1=<54o0df>5<#<:81=k74n512>44<3f;mh7>5$511>4`>3g>8=7?<;:m2bf<72->8>7?i9:l774<6<21d=kl50;&777<6n01e8>?51498k4`2290/8><51g;8j15628<07b?ja;29 15528l27c:<1;34?>d2jh0;6<4?:1y'01b=:k=0D9;l;I664>i5j?0;66sm5c`94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e=ki1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm5cf94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e=ko1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm5cd94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e=j:1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm5b394?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e=j81<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm5b194?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e=j>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm5b794?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e=j<1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm5b594?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e=j21<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm5b;94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e=jk1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm5b`94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e=ji1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm5bf94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e=jo1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm5bd94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e=m:1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm5e394?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e=m81<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm5e194??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi9i:50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e=m?1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a1a0=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm5e594??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi9i650;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e=m31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a1ag=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm5e`94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi9im50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e=mn1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a1ac=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm5ed94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi9h>50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e=l;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a1`4=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm5d194??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi9h:50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e=l?1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a1`0=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm5d594??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi9h650;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e=l31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a1`g=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm5d`94?5=83:p(9:k:3a`?M22k2B?9=5+2cg9=f=n:k31<75f2cc94?=h;1k1<75rs0aag?6=90qU==94=4f0>d5<5d5<5d5<5d5<5d5<5d5<5d5<5d5<5d5<5d5<5d5<5d5<5:;<7g7?g534?o87o=;<7g1?g534?o:7o=;<7g3?g534?o47o=;<7g=?g534?om7o=;<7gf?g534?oo7o=;<7g`?g534?oi7o=;<7gb?g534?n<7o=;<7f5?g534?n>7o=;<7f7?g534?n87o=;<7f1?g534?n:7o=;<7f3?g534?n47o=;<7f=?g534?nm7o=;|q2ggc=83;2wS??4:?6`6h94n1:?6`0h;4n1:?6`2h54n1:?6`<hl4n1:?6`ghn4n1:?6`ahh4n1:?6`ci=4n1:?6a4i?4n1:?6a6i94n1:?6a0i;4n1:?6a2i54n1:?6a<il4n1:p5fda2909wS?j1:?6a=<6j=1v28h?7p}>cb094?4|V8no70;j5;3a0>{t9ji86=4={_3gf>;2m>0:n95rs0a`0?6=:rT:hl525d495g2i>4>b59~w4ed03:1>vP>d79>1a`=9k>0q~?lc883>7}Y9m?018k>:0`7?xu6kjk1<75<5sW;o?63:db82f1=z{8iho7>52z\2`7=:=mo1=o:4}r3`ga<72;qU=h74=4fg>4d33ty:onk50;0xZ4c?34?o57?m4:p5fea2909wS?j7:?6`g<6j=1vce094?4|V8o?70;k8;3a0>{t9jn86=4={_3f7>;2l>0:n95rs0ag0?6=:rT:i?525e195g26;<7g0?7e<2wx=nj8:181[22027>io4=b`9~w4ec03:1=luQ18g890de283n70;mc;3:a>;2jm0:5h525cg95m27>o<4>9d9>1f4=90o018m<:0;f?83d<3;2i63:c482=`=:=j<1=4k4=4a4>4?b34?h47?6e:?6g<<61l169no518g890ee283n70;lc;3:a>;2km0:5h525bg95m27>h<4>9d9>1a4=90o018km:3`:?xu6km31<7=>{_3bb>;2jk0:9h525ca950c<5nk4>5d9>1f6=9:07f?83d:3;>i63:c2821`=:=j>1=8k4=4a6>43b34?h:7?:e:?6g2<6=l169n6514g890e>28?n70;la;36a>;2kk0:9h525ba950c<5ok4>5d9>1a6=9:07f?83c:3;>i63:d282ec=:=m>1=lh4=4f6>4ga34?o:7?nf:?6`2<6io169i651`d890b>28km70;ka;3bb>;2lk0:mk525ea95d`<5hk4>ag9>1`6=9hl018k>:0ce?83b:3;jj63:e282ec=:=l>1=lh4=4g6>4ga34?n:7?nf:?6a2<6io169h651`d890c>28km70;ja;3bb>{t9jnj6=4={_3ee>;2l;0??85rs0agf?6=:rT:j5525e39063oh4;349~w4ecn3:1>vP>f29>1fb=<:?0q~?le183>7}Y9o8018ml:516?xu6kl;1<7896s|1bg1>5<5sW;m<63:c`8770=z{8in?7>52z\2ac=:=j318>;4}r3`a1<72;qU=hk4=4a;>1523ty:oh;50;0xZ4cc34?h;7:<5:p5fc12909wS?jc:?6g3<3;<1v7p}>cd;94?4|V;::70;l3;601>{t9joj6=4={_034>;2k;0??85rs0aff?6=:rT:jk525b39063nh4;349~w4ebn3:1>vP>fc9>1gb=<:?0q~?lf183>7}Y9o?018ll:516?xu6ko;1<7896s|1bd1>5<61r7>nl4=b79>1a5=i=169i:5a59>1a3=i=169i85a59>1a1=i=169i65a59>1a?=i=169io5a59>1ad=i=169im5a59>1ab=i=169ik5a59>1a`=i=169h>5a59>1`7=i=169h<5a59>1`5=i=169h:5a59>1`3=i=169h85a59>1`1=i=169h65a59>1`?=i=169ho5a59~w4ea;3:1>v3:bc82f1=:=m>18>;4}r3`b1<72;q69om51c6890b22=9>7p}>cg794?4|552z?6fc<6j=169i654278yv7dn10;6?u25b295g2<5:0`7?83ci3>896s|1bdb>5<5s4?h>7?m4:?6`g<3;<1v1f2=9k>018jk:516?xu6kon1<74d334?oi7:<5:p5f`b2909w0;l6;3a0>;2lj0??85rs0aeb?6=:r7>o:4>b59>1`6=<:?0q~?k0183>7}:=j21=o:4=4g2>1523ty:h=?50;0x90e>28h?70;kf;601>{t9m:96=4={<7`e?7e<27>i>4;349~w4b7;3:1>v3:cc82f1=:=l>18>;4}r3g41<72;q69nm51c6890c52=9>7p}>d1794?4|59:18183dm3;i863:e68770=z{8n;;7>52z?6gc<6j=169h;54278yv7c810;6?u25e295g2<5:0`7?83bi3>896s|1e2b>5<5s4?o>7?m4:?6a=<3;<1v4?b34?o97?6e:?6`3<61l169i9518g890b?283n70;k9;3:a>;2lh0:5h525e`95m27>hh4>9d9>1a`=90o018k?:0;f?83b93;2i63:e382=`=:=l91=4k4=4g7>4?b34?n97?6e:?6a3<61l169h9518g890c?283n70;j9;3:a>;2mh0:5h525d`97=gin4?:25977<28rB?9=5+45f95cb43S;>o7=t63802d??<4?;:k240<72->8>7??6:l774<632c:<94?:%606?77>2d??<4=;:k2a4<72->8>7?j0:l774<732c:hk4?:%606?7b82d??<4>;:k2``<72->8>7?j0:l774<532c:hi4?:%606?7b82d??<4<;:k2`g<72->8>7?j0:l774<332c:hl4?:%606?7b82d??<4:;:k2`<<72->8>7?j0:l774<132c:h54?:%606?7b82d??<48;:k2`2<72->8>7?j0:l7748>7?j0:l7748>7?j0:l7748>7?j0:l7748>7?j0:l774<6821b=h850;&777<6m91e8>?51098m4c2290/8><51d28j15628807d?j4;29 15528o;7c:<1;30?>o6m:0;6):<2;3f4>h3;80:865f1d094?"3;;0:i=5a423950=8>7?i9:l774<632e:j:4?:%606?7a12d??<4=;:m2b3<72->8>7?i9:l774<432e:j94?:%606?7a12d??<4;;:m2b6<72->8>7?i9:l774<232e:j?4?:%606?7a12d??<49;:m2b4<72->8>7?i9:l774<032e:j=4?:%606?7a12d??<47;:m2ac<72->8>7?i9:l774<>32e:ih4?:%606?7a12d??<4n;:m2aa<72->8>7?i9:l7748>7?i9:l7748>7?i9:l7740:9l5c`=83.???4>f89m067=9810c:008?j7al3:1(9==:0d:?k2493;876a>fb83>!24:3;m56`;30820>=h9oh1<7*;3382b<=i<:;1=854o0d6>5<#<:81=k74n512>40<3f;nm7>5$511>4`>3g>8=7?8;:`6aa<7280;6=u+45f96g1<@=?h7E::0:m1f3<722wi9hk50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1``=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9k>50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1c7=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9k<50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1c5=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9k:50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1c3=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9k850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1c1=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9k650;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1c?=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9ko50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1cd=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9km50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1cb=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9kk50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1c`=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:=>50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a257=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:=<50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a255=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:=:50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a253=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:=850;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>9=1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a25>=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm61;94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:=o50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>9h1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a25e=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm61f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:=k50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>9l1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a246=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm60394??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:<<50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>891<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a242=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm60794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:<850;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>8=1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a24>=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm60;94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>8h1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a24e=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm60f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:o6?ml;I66g>N3=91/>ok59b9j6g?=831b>oo50;9l7=g=831v9:`1893602h901;>7:`18936>2h901;>n:`18936e2h901;>l:`18936c2h901;>j:`18936a2h901;??:`1893762h901;?=:`1893742h901;?;:`1893722h901;?9:`1893702h901;?7:`18937>2h901;?n:`18937e2h901;?l:`18937c2h90q~?k0e83>4?|V8:>708?6;c1?807?3k9708?8;c1?80713k9708?a;c1?807j3k9708?c;c1?807l3k9708?e;c1?807n3k9708>0;c1?80693k9708>2;c1?806;3k9708>4;c1?806=3k9708>6;c1?806?3k9708>8;c1?80613k9708>a;c1?806j3k9708>c;c1?806l3k97p}>d1g94?7>sW;;8639078b5>;18>0j=639098b5>;1800j=6390`8b5>;18k0j=6390b8b5>;18m0j=6390d8b5>;18o0j=639118b5>;1980j=639138b5>;19:0j=639158b5>;19<0j=639178b5>;19>0j=639198b5>;1900j=6391`8b5>;19k0j=6391b8b5>;19m0j=6s|1e2e>5<5sW;n=6391c82f1=z{8n:<7>52z\2`c=:>8n1=o:4}r3g54<72;qU=ik4=73`>4d33ty:h<<50;0xZ4bc34<:47?m4:p5a742909wS?kb:?55d<6j=1v4;296~X6lh16:<751c68yv7c9<0;6?uQ1e;8937228h?7p}>d0494?4|V8n3708>7;3a0>{t9m;<6=4={_3g3>;19?0:n95rs0f2b59~w4b6k3:1>vP>d39>247=9k>0q~?k1e83>7}Y9l301;??:0`7?xu6l8o1<75<5sW;n;6390d82f1=z{8n9<7>52z\2a3=:>9n1=o:4}r3g64<72;qU=h;4=72:>4d33ty:h?<50;0xZ4c334<;n7?m4:p5a442909wS?j3:?54d<6j=1vd3494?4|V8n:708?7;3a0>{t9m8<6=4={_66<>;19l09nl5rs0f14?b34?nj7?6e:?6b5<61l169k?518g890`5283n70;i3;3:a>;2n=0:5h525g795m27>j54>9d9>1c?=90o018hn:0;f?83aj3;2i63:fb82=`=:=on1=4k4=4df>4?b34?mj7?6e:?545<61l16:=?518g89365283n708?3;3:a>;18=0:5h5261795;2n;0:9h525g1950c<5j;4>5d9>1c1=9i63:f`821`=:=oh1=8k4=4d`>43b34?mh7?:e:?6b`<6=l169kh514g8936728?n708?1;36a>;18;0:9h52611950c<5?:?6<;j;<431?72m27=<;4>ag9>251=9hl01;>7:0ce?80713;jj6390`82ec=:>9h1=lh4=72`>4ga34<;h7?nf:?54`<6io16:=h51`d8937728km708>1;3bb>;19;0:mk5260195d`<5?;?6ag9>241=9hl01;?7:0ce?80613;jj6391`82ec=:>8h1=lh4=73`>4ga34<:h7?nf:p5a4f2909wS?ia:?540<3;<1v7p}>d3f94?4|V8l=708?2;601>{t9m8n6=4={_3e0>;1880??85rs0f1b?6=:rT:j>526129063;<7ea?24=2wx=i==:181[7a827>ji4;349~w4b4;3:1>vP>eg9>1ce=<:?0q~?k3583>7}Y9lo018hm:516?xu6l:?1<7896s|1e15>5<5sW;no63:f88770=z{8n8;7>52z\2ag=:=o218>;4}r3g7=<72;qU>=<4=4d4>1523ty:h>750;0xZ76634?m:7:<5:p5a5f2909wS7p}>d2f94?4|V8lo70;i2;601>{t9m9n6=4={_3eg>;2n80??85rs0f0b?6=:rT:jo525g290639=1m95261:9e1=:>931m95261c9e1=:>9h1m95261a9e1=:>9n1m95261g9e1=:>9l1m9526029e1=:>8;1m9526009e1=:>891m9526069e1=:>8?1m9526049e1=:>8=1m95260:9e1=:>831m95260c9e1=:>8h1m95260a9e1=:>8n1m95rs0f77?6=:r7>ih4>b59>251=<:?0q~?k4583>7}:=ll1=o:4=72;>1523ty:h9;50;0x90`728h?708?6;601>{t9m>=6=4={<7e5?7e<27=v3:f382f1=:>9h18>;4}r3g0=<72;q69k=51c68936>2=9>7p}>d5;94?4|552z?6b3<6j=16:=m54278yv7c896s|1e6f>5<5s4?m57?m4:?54c<3;<1v1cd=9k>01;?;:516?xu6l<;1<74d334<:>7:<5:p5a352909w0;id;3a0>;19?0??85rs0f67?6=:r7>jh4>b59>241=<:?0q~?k5583>7}:=ol1=o:4=736>1523ty:h8;50;0x936728h?708>9;601>{t9m?=6=4={<435?7e<27==l4;349~w4b2?3:1>v390382f1=:>8218>;4}r3g1=<72;q6:==51c68937d2=9>7p}>d4;94?4|5?:?6n7>518y>250=90o01;>8:0;f?80703;2i6390882=`=:>9k1=4k4=72a>4?b34<;o7?6e:?54a<61l16:=k518g8936a283n708>0;3:a>;1980:5h5260095m27==84>9d9>240=90o01;?8:0;f?80603;2i6391882=`=:>8k1=4k4=73a>4?b34<:o7?6e:?55a<61l16:9533864~N3=91/89j51gf0?_72k39p:?4<8;6b>xo68>0;6):<2;332>h3;80;76g>0483>!24:3;;:6`;3082?>o68=0;6):<2;332>h3;80976g>e083>!24:3;n<6`;3083?>o6lo0;6):<2;3f4>h3;80:76g>dd83>!24:3;n<6`;3081?>o6lm0;6):<2;3f4>h3;80876g>dc83>!24:3;n<6`;3087?>o6lh0;6):<2;3f4>h3;80>76g>d883>!24:3;n<6`;3085?>o6l10;6):<2;3f4>h3;80<76g>d683>!24:3;n<6`;308;?>o6l?0;6):<2;3f4>h3;80276g>d483>!24:3;n<6`;308b?>o6l=0;6):<2;3f4>h3;80i76g>d283>!24:3;n<6`;308`?>o6l;0;6):<2;3f4>h3;80o76g>e883>!24:3;n<6`;308f?>o6m10;6):<2;3f4>h3;80m76g>e683>!24:3;n<6`;30824>=n9l<1<7*;3382a5=i<:;1=<54i0g6>5<#<:81=h>4n512>44<3`;n87>5$511>4c73g>8=7?<;:k2a6<72->8>7?j0:l774<6<21b=h<50;&777<6m91e8>?51498m4bd290/8><51d28j15628<07d?k1;29 15528o;7c:<1;34?>o3=10;66g>9d83>>o3<=0;66g>ag83>>i6nh0;6):<2;3e=>h3;80;76a>f983>!24:3;m56`;3082?>i6n>0;6):<2;3e=>h3;80976a>f783>!24:3;m56`;3080?>i6n=0;6):<2;3e=>h3;80?76a>f283>!24:3;m56`;3086?>i6n;0;6):<2;3e=>h3;80=76a>f083>!24:3;m56`;3084?>i6n90;6):<2;3e=>h3;80376a>eg83>!24:3;m56`;308:?>i6ml0;6):<2;3e=>h3;80j76a>ee83>!24:3;m56`;308a?>i6mj0;6):<2;3e=>h3;80h76a>ec83>!24:3;m56`;308g?>i58;0;6):<2;3e=>h3;80n76a=0083>!24:3;m56`;308e?>i5890;6):<2;3e=>h3;80:<65`1gd94?"3;;0:j45a423954=4:9l5cd=83.???4>f89m067=9<10c:048?j7bi3:1(9==:0d:?k2493;<76l92183>4<729q/89j52c58L13d3A>><6a=b783>>{e>;;1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm63094?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>;91<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm63694?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>;?1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm63494?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>;=1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm63:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>;31<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm63c94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>;h1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm63a94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>;n1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm63g94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>;l1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm62294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>:;1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm62094?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>:91<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm62694?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>:?1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm62494?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>:=1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm62:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>:31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a26g=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm62`94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:>m50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>:n1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a26c=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm62d94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:9>50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>=;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a214=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm65194??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:9:50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>=?1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a210=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm65594??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:9650;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>=31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a21g=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm65`94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:9m50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>=n1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a21c=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm65d94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:8>50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e><;1<7=50;2x 12c2;ih7E::c:J715=#:ko15n5f2c;94?=n:kk1<75`39c94?=z{8n>o7>518y]551<5?926l=4=71b>d5<5?9i6l=4=71`>d5<5?9o6l=4=71f>d5<5?9m6l=4=763>d5<5?>:6l=4=761>d5<5?>86l=4=767>d5<5?>>6l=4=765>d5<5?><6l=4=76;>d5<5?>26l=4=76b>d5<5?>i6l=4=76`>d5<5?>o6l=4=76f>d5<5?>m6l=4=773>d54n1:?501b59~w4b183:1>vP>dg9>206=9k>0q~?k6083>7}Y9mo01;:i:0`7?xu6l?81<75<5sW;on6394e82f1=z{8n=87>52z\2`d=:>=i1=o:4}r3g20<72;qU=i74=76;>4d33ty:h;850;0xZ4b?3400;6?uQ1e78932028h?7p}>d7c94?4|V8n?708;6;3a0>{t9m;1<;0:n95rs0f5g?6=:rT:h?5265695g286b59~w4b083:1>vP>e79>216=9k>0q~?k7083>7}Y9l?01;=l:0`7?xu6l>81<75<5sW;n?6393e82f1=z{8n<87>52z\2a7=:>:31=o:4}r3g30<72;qU=im4=71a>4d33ty:h:850;0xZ4b634<8m7?m4:p5a102909wS::8:?514<5jh1v:0;f?805:3;2i6392282=`=:>;>1=4k4=706>4?b34<9:7?6e:?562<61l16:?6518g8934>283n708=a;3:a>;1:k0:5h5263a95m27=>k4>9d9>266=90o01;=>:0;f?804:3;2i6393282=`=:>:>1=4k4=716>4?b34<8:7?6e:?572<61l16:>6518g893362;h27p}>d6;94?56sW;jj63920821`=:>;81=8k4=700>43b34<987?:e:?560<6=l16:?8514g8934028?n708=8;36a>;1:00:9h5263c950c<5?8i6<;j;<41g?72m27=>i4>5d9>27c=9i63930821`=:>:81=8k4=710>43b34<887?:e:?570<6=l16:>8514g8935028?n708<8;36a>;1;00:mk5262c95d`<5?9i6ag9>26c=9hl01;=i:0ce?80383;jj6394082ec=:>=81=lh4=760>4ga34;1<00:mk5265c95d`<5?>i6ag9>21c=9hl01;:i:0ce?80283;jj6s|1e5b>5<5sW;mm639398770=z{8n52z\2b==:>:=18>;4}r3g3f<72;qU=k94=715>1523ty:h:j50;0xZ4`134<897:<5:p5a1b2909wS?i4:?571<3;<1v=54278yv7c090;6?uQ1g0893552=9>7p}>d9394?4|V8l:708<1;601>{t9m296=4={_3e4>;1;90??85rs0f;7?6=:rT:ik5263d9063n4;349~w4b??3:1>vP>ec9>27d=<:?0q~?k8983>7}Y:9801;896s|1e:b>5<5sW8;<639298770=z{8n3n7>52z\2bc=:>;=18>;4}r3g1523ty:h5j50;0xZ4`c34<997:<5:p5a>b2909wS?ic:?561<3;<1v7p}>d8394?4|V8oj708=1;601>{t9m396=4>9z?565<5j?16:>75a59>26g=i=16:>l5a59>26e=i=16:>j5a59>26c=i=16:>h5a59>216=i=16:9?5a59>214=i=16:9=5a59>212=i=16:9;5a59>210=i=16:995a59>21>=i=16:975a59>21g=i=16:9l5a59>21e=i=16:9j5a59>21c=i=16:9h5a59>206=i=1v274=9k>01;=m:516?xu6l0?1<74d334<857:<5:p5a?12909w08=4;3a0>;1;m0??85rs0f:3?6=:r7=>84>b59>26c=<:?0q~?k9983>7}:>;<1=o:4=71`>1523ty:h4750;0x934028h?708;0;601>{t9m3j6=4={<41j3:1>v392882f1=:>:l18>;4}r3g=f<72;q6:?o51c6893242=9>7p}>d8f94?4|5?8i652z?56a<6j=16:9854278yv7ci90;6?u263g95g2<5?><69=:;|q2`d7=838p1;896s|1ec1>5<5s4<8<7?m4:?50<<3;<1v264=9k>01;:7:516?xu6lh?1<74d334;1b59>21d=<:?0q~?ka983>7}:>:<1=o:4=76e>1523ty:hl750;0x935028h?708:0;601>{t9mkj6=4={<40m27=?n4>9d9>26b=90o01;=j:0;f?804n3;2i6394182=`=:>=;1=4k4=761>4?b34;1<10:5h5265;95j6<7j;<47f?7>m27=8n4>9d9>21b=90o01;:j:0;f?803n3;2i6395182=`=:><;1?5o4}|`517<72:=1??4:0zJ715=#<=n1=kj<;[36g?5|>;0847:n:|k242<72->8>7??6:l774<732c:<84?:%606?77>2d??<4>;:k241<72->8>7??6:l774<532c:i<4?:%606?7b82d??<4?;:k2`c<72->8>7?j0:l774<632c:hh4?:%606?7b82d??<4=;:k2`a<72->8>7?j0:l774<432c:ho4?:%606?7b82d??<4;;:k2`d<72->8>7?j0:l774<232c:h44?:%606?7b82d??<49;:k2`=<72->8>7?j0:l774<032c:h:4?:%606?7b82d??<47;:k2`3<72->8>7?j0:l774<>32c:h84?:%606?7b82d??<4n;:k2`1<72->8>7?j0:l7744?:%606?7b82d??<4l;:k2`7<72->8>7?j0:l7748>7?j0:l7740:9j5`0=83.???4>e19m067=9810e:008?l7b<3:1(9==:0g3?k2493;876g>e283>!24:3;n<6`;30820>=n9l81<7*;3382a5=i<:;1=854i0f`>5<#<:81=h>4n512>40<3`;o=7>5$511>4c73g>8=7?8;:k71=<722c:5h4?::k701<722c:mk4?::m2bd<72->8>7?i9:l774<732e:j54?:%606?7a12d??<4>;:m2b2<72->8>7?i9:l774<532e:j;4?:%606?7a12d??<4<;:m2b1<72->8>7?i9:l774<332e:j>4?:%606?7a12d??<4:;:m2b7<72->8>7?i9:l774<132e:j<4?:%606?7a12d??<48;:m2b5<72->8>7?i9:l7748>7?i9:l7748>7?i9:l7748>7?i9:l7748>7?i9:l774<6821d=kh50;&777<6n01e8>?51098k4`b290/8><51g;8j15628807b?id;29 15528l27c:<1;30?>i6nj0;6):<2;3e=>h3;80:865`1g`94?"3;;0:j45a423950=6=4+42095c?4?:083>5}#<=n1>o94H57`?M2282e9n;4?::a202=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:8;50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a200=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:8950;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a20>=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:8750;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a20g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:8l50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a20e=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:8j50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a20c=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:8h50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a236=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:;?50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a234=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:;=50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a232=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:;;50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a230=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:;950;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a23>=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:;750;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a23g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:;l50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a23e=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm67f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:;k50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>?l1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a226=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm66394??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi::<50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>>91<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a222=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm66794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi::850;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>>=1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a22>=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm66;94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi::o50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>>h1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a22e=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm66f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi::k50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>>l1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a2=6=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm69394??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:5<50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>191<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a2=2=8391<7>t$56g>7ed3A>>o6F;519'6gc=1j1b>o750;9j6gg=831d?5o50;9~w4bfk3:1=4uQ1158930d2h901;8k:`18930b2h901;8i:`1893172h901;9>:`1893152h901;9<:`1893132h901;9::`1893112h901;98:`18931?2h901;96:`18931f2h901;9m:`18931d2h901;9k:`18931b2h901;9i:`1893>72h901;6>:`1893>52h901;6<:`18yv7cim0;6<7t^026?801k3k97089d;c1?801m3k97089f;c1?80083k970881;c1?800:3k970883;c1?800<3k970885;c1?800>3k970887;c1?80003k970889;c1?800i3k97088b;c1?800k3k97088d;c1?800m3k97088f;c1?80?83k970871;c1?80?:3k970873;c1?xu6lho1<7?6{_330>;1>j0j=6396e8b5>;1>l0j=6396g8b5>;1?90j=639708b5>;1?;0j=639728b5>;1?=0j=639748b5>;1??0j=639768b5>;1?10j=639788b5>;1?h0j=6397c8b5>;1?j0j=6397e8b5>;1?l0j=6397g8b5>;1090j=639808b5>;10;0j=639828b5>{t9mkm6=4={_3f5>;1080:n95rs0fa4?6=:rT:hk5269195g2b59~w4be<3:1>vP>d`9>22`=9k>0q~?kb483>7}Y9m301;9m:0`7?xu6lk<1<75<5sW;o;6397b82f1=z{8ni47>52z\2`3=:>>21=o:4}r3gf<<72;qU=i;4=75b>4d33ty:hoo50;0xZ4b334<<57?m4:p5ade2909wS?k3:?530<6j=1vdcg94?4|V8o370882;3a0>{t9mhm6=4={_3f3>;1?=0:n95rs0f`4?6=:rT:i;5266195g2b59~w4bd<3:1>vP>e39>23e=9k>0q~?kc483>7}Y9mi01;8j:0`7?xu6lj<1<75<5sW>>46398581fd=z{8nh47>51`y]5m27=9;4>9d9>201=90o01;;7:0;f?80213;2i6395`82=`=:>4?b34<>h7?6e:?51`<61l16:8h518g89307283n70891;3:a>;1>;0:5h5267195m27=:;4>9d9>231=90o01;87:0;f?80113;2i6396`82=`=:>?h1=4k4=7:7>7d>3ty:hn750;12[7fn27=994>5d9>203=9i63959821`=:><31=8k4=77b>43b34<>n7?:e:?51f<6=l16:8j514g8933b28?n708:f;36a>;1>90:9h52673950c<5?<96<;j;<457?72m27=:94>5d9>233=9i63969821`=:>?31=8k4=74b>43b34<=n7?:e:?52f<6io16:;j51`d8930b28km7089f;3bb>;1?90:mk5266395d`<5?=96ag9>223=9hl01;99:0ce?800?3;jj6397982ec=:>>31=lh4=75b>4ga34<;1090:mk5269395d`<5?296vP>f99>23g=<:?0q~?kcb83>7}Y9o=01;86:516?xu6ljn1<7896s|1eaf>5<5sW;m8639668770=z{8nhj7>52z\2b6=:>?<18>;4}r3g`5<72;qU=k<4=746>1523ty:hi?50;0xZ4`634<=87:<5:p5ab52909wS?i0:?526<3;<1v7p}>de794?4|V8oo70890;601>{t9mn=6=4={_3fg>;1=o0??85rs0fg3?6=:rT:io5264g9063>;<46g?24=2wx=ijn:181[47827=9o4;349~w4bcj3:1>vP>fg9>20g=<:?0q~?kdb83>7}Y9oo01;;6:516?xu6lmn1<7896s|1eff>5<5sW;mo639568770=z{8noj7>52z\2bg=:><<18>;4}r3ga5<72;qU=k;4=776>1523ty:hh?50;0xZ4cf34<>87:<5:p5ac5290:5v395281f3=:>?i1m95267f9e1=:>?o1m95267d9e1=:>>:1m9526639e1=:>>81m9526619e1=:>>>1m9526679e1=:>><1m9526659e1=:>>21m95266;9e1=:>>k1m95266`9e1=:>>i1m95266f9e1=:>>o1m95266d9e1=:>1:1m9526939e1=:>181m9526919e1=z{8nn?7>52z?511<6j=16:;j54278yv7cm=0;6?u264795g2<5?896s|1eg5>5<5s4<>;7?m4:?535<3;<1v20?=9k>01;8i:516?xu6ll31<74d334<;1?=0??85rs0fff?6=:r7=9n4>b59>224=<:?0q~?keb83>7}:>1523ty:hhj50;0x933b28h?70887;601>{t9mon6=4={<46b?7e<27=;84;349~w4bbn3:1>v396182f1=:>>318>;4}r3gb5<72;q6:;?51c68931f2=9>7p}>dg394?4|5?<9652z?521<6j=16::j54278yv7cn=0;6?u267795g2<5?=i69=:;|q2`c3=838p1;89:0`7?800n3>896s|1ed5>5<5s4<=;7?m4:?5<5<3;<1v10:n95266g906323?=9k>01;6=:516?xu6lo31<74d334<3?7:<5:p5a`f2909w089b;3a0>;1080??85rs0fef?6=90q6:;m518g8930c283n7089e;3:a>;1>o0:5h5266295m27=;>4>9d9>222=90o01;9::0;f?800>3;2i6397682=`=:>>21=4k4=75:>4?b34<;1?o0:5h5269295m27=4>4>9d9>2=2=;1k0qpl98483>61=;;0>j6pg>0683>!24:3;;:6`;3083?>o68<0;6):<2;332>h3;80:76g>0583>!24:3;;:6`;3081?>o6m80;6):<2;3f4>h3;80;76g>dg83>!24:3;n<6`;3082?>o6ll0;6):<2;3f4>h3;80976g>de83>!24:3;n<6`;3080?>o6lk0;6):<2;3f4>h3;80?76g>d`83>!24:3;n<6`;3086?>o6l00;6):<2;3f4>h3;80=76g>d983>!24:3;n<6`;3084?>o6l>0;6):<2;3f4>h3;80376g>d783>!24:3;n<6`;308:?>o6l<0;6):<2;3f4>h3;80j76g>d583>!24:3;n<6`;308a?>o6l:0;6):<2;3f4>h3;80h76g>d383>!24:3;n<6`;308g?>o6m00;6):<2;3f4>h3;80n76g>e983>!24:3;n<6`;308e?>o6m>0;6):<2;3f4>h3;80:<65f1d494?"3;;0:i=5a423954=6=4+42095`64?:%606?7b82d??<4>4:9j5`4=83.???4>e19m067=9<10e:048?l7c93:1(9==:0g3?k2493;<76g;5983>>o61l0;66g;4583>>o6io0;66a>f`83>!24:3;m56`;3083?>i6n10;6):<2;3e=>h3;80:76a>f683>!24:3;m56`;3081?>i6n?0;6):<2;3e=>h3;80876a>f583>!24:3;m56`;3087?>i6n:0;6):<2;3e=>h3;80>76a>f383>!24:3;m56`;3085?>i6n80;6):<2;3e=>h3;80<76a>f183>!24:3;m56`;308;?>i6mo0;6):<2;3e=>h3;80276a>ed83>!24:3;m56`;308b?>i6mm0;6):<2;3e=>h3;80i76a>eb83>!24:3;m56`;308`?>i6mk0;6):<2;3e=>h3;80o76a=0383>!24:3;m56`;308f?>i5880;6):<2;3e=>h3;80m76a=0183>!24:3;m56`;30824>=h9ol1<7*;3382b<=i<:;1=<54o0df>5<#<:81=k74n512>44<3f;mh7>5$511>4`>3g>8=7?<;:m2bf<72->8>7?i9:l774<6<21d=kl50;&777<6n01e8>?51498k4`2290/8><51g;8j15628<07b?ja;29 15528l27c:<1;34?>d10?0;6<4?:1y'01b=:k=0D9;l;I664>i5j?0;66sm69594?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>121<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm69;94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>1k1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm69`94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>1i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm69f94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>1o1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm69d94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>0:1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm68394?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>081<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm68194?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>0>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm68794?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>0<1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm68594?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>021<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm68;94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>0k1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm68`94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>0i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm68f94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>0o1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm68d94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:l>50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>h;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a2d4=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm6`194??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:l:50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>h?1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a2d0=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm6`594??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:l650;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>h31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a2dg=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm6``94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:lm50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>hn1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a2dc=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm6`d94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:o>50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>k;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a2g4=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm6c194??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:o:50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>k?1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a2g0=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm6c594?5=83:p(9:k:3a`?M22k2B?9=5+2cg9=f=n:k31<75f2cc94?=h;1k1<75rs0feg?6=90qU==94=7;e>d5<5?k;6l=4=7c2>d5<5?k96l=4=7c0>d5<5?k?6l=4=7c6>d5<5?k=6l=4=7c4>d5<5?k36l=4=7c:>d5<5?kj6l=4=7ca>d5<5?kh6l=4=7cg>d5<5?kn6l=4=7ce>d5<5?h;6l=4=7`2>d5<5?h96l=4=7`0>d5<5?h?6l=4=7`6>d5<5?h=6l=4}r3gba<7283pR<>:;<4:b?g5347o=;<4b7?g5347o=;<4a7?g534e1094?4|V8no708m1;3a0>{t9l:86=4={_3gf>;1j:0:n95rs0g30?6=:rT:hl526c095g28:181[7c?27=mk4>b59~w4c703:1>vP>d79>2dd=9k>0q~?j0883>7}Y9m?01;ok:0`7?xu6m9k1<75<5sW;o?639a982f1=z{8o;o7>52z\2`7=:>hk1=o:4}r3f4a<72;qU=h74=7c:>4d33ty:i=k50;0xZ4c?340;296~X6m?16:l851c68yv7b980;6?uQ1d7893g528h?7p}>e0094?4|V8o?708n4;3a0>{t9l;86=4={_3f7>;1i:0:n95rs0g20?6=:rT:i?5268d95g2;<4b4?7e<2wx=h?8:181[22027=n:4=b`9~w4c603:1=luQ18g893>0283n70878;3:a>;1000:5h5269c95m27=4i4>9d9>2=c=90o01;6i:0;f?80>83;2i6399082=`=:>081=4k4=7;0>4?b34<287?6e:?5=0<61l16:48518g893?0283n70868;3:a>;1100:5h5268c95m27=5i4>9d9>2{_3bb>;10>0:9h5269:950c<5?226<;j;<4;e?72m27=4o4>5d9>2=e=9i6398g821`=:>0:1=8k4=7;2>43b34<2>7?:e:?5=6<6=l16:4:514g893?228?n70866;36a>;11>0:9h5268:950c<5?326<;j;<4:e?72m27=5o4>5d9>2m3;>i6399g82ec=:>h:1=lh4=7c2>4ga347?nf:?5e6<6io16:l:51`d893g228km708n6;3bb>;1i>0:mk526`:95d`<5?k26ag9>2de=9hl01;ok:0ce?80fm3;jj639ag82ec=:>k:1=lh4=7`2>4ga347?nf:?5f6<6io16:o:51`d893d228km708m6;3bb>{t9l;j6=4={_3ee>;11l0??85rs0g2f?6=:rT:j55268f9063vP>f29>27}Y9o801;77:516?xu6m;;1<7?3>896s|1d01>5<5sW;m<639978770=z{8o9?7>52z\2ac=:>0?18>;4}r3f61<72;qU=hk4=7;7>1523ty:i?;50;0xZ4cc34<2?7:<5:p5`412909wS?jc:?5=7<3;<1v7p}>e3;94?4|V;::7087f;601>{t9l8j6=4={_034>;10l0??85rs0g1f?6=:rT:jk5269f9063n4?:3y]5cc<5?2h69=:;|q2a7b=838pRvP>fc9>2=?=<:?0q~?j3183>7}Y9o?01;67:516?xu6m:;1<7896s|1d11>5<61r7=4;4=b79>2<`=i=16:l>5a59>2d7=i=16:l<5a59>2d5=i=16:l:5a59>2d3=i=16:l85a59>2d1=i=16:l65a59>2d?=i=16:lo5a59>2dd=i=16:lm5a59>2db=i=16:lk5a59>2d`=i=16:o>5a59>2g7=i=16:o<5a59>2g5=i=16:o:5a59>2g3=i=16:o85a59~w4c4;3:1>v398682f1=:>h:18>;4}r3f71<72;q6:5651c6893g62=9>7p}>e2794?4|5?22652z?53>896s|1d1b>5<5s4<3i7?m4:?5e2<3;<1v2<6=9k>01;o6:516?xu6m:n1<74d334;1i10??85rs0g0b?6=:r7=5>4>b59>2de=<:?0q~?j4183>7}:>0>1=o:4=7cg>1523ty:i9?50;0x93?228h?708nb;601>{t9l>96=4={<4:2?7e<27=mk4;349~w4c3;3:1>v399682f1=:>k:18>;4}r3f01<72;q6:4651c6893gb2=9>7p}>e5794?4|5?326i3;i8639b28770=z{8o?;7>52z?5=g<6j=16:o?54278yv7b<10;6?u268a95g2<5?h>69=:;|q2a1?=838p1;7k:0`7?80e>3>896s|1d6b>5<5s4<2i7?m4:?5f1<3;<1v0l1=4k4=7c3>4?b34;1i?0:5h526`595m27=ml4>9d9>2dd=90o01;ol:0;f?80fl3;2i639ad82=`=:>hl1=4k4=7`3>4?b34;1j?0:5h526c597=go7=t63802d??<4?;:k240<72->8>7??6:l774<632c:<94?:%606?77>2d??<4=;:k2a4<72->8>7?j0:l774<732c:hk4?:%606?7b82d??<4>;:k2``<72->8>7?j0:l774<532c:hi4?:%606?7b82d??<4<;:k2`g<72->8>7?j0:l774<332c:hl4?:%606?7b82d??<4:;:k2`<<72->8>7?j0:l774<132c:h54?:%606?7b82d??<48;:k2`2<72->8>7?j0:l7748>7?j0:l7748>7?j0:l7748>7?j0:l7748>7?j0:l774<6821b=h850;&777<6m91e8>?51098m4c2290/8><51d28j15628807d?j4;29 15528o;7c:<1;30?>o6m:0;6):<2;3f4>h3;80:865f1d094?"3;;0:i=5a423950=8>7?i9:l774<632e:j:4?:%606?7a12d??<4=;:m2b3<72->8>7?i9:l774<432e:j94?:%606?7a12d??<4;;:m2b6<72->8>7?i9:l774<232e:j?4?:%606?7a12d??<49;:m2b4<72->8>7?i9:l774<032e:j=4?:%606?7a12d??<47;:m2ac<72->8>7?i9:l774<>32e:ih4?:%606?7a12d??<4n;:m2aa<72->8>7?i9:l7748>7?i9:l7748>7?i9:l7740:9l5c`=83.???4>f89m067=9810c:008?j7al3:1(9==:0d:?k2493;876a>fb83>!24:3;m56`;30820>=h9oh1<7*;3382b<=i<:;1=854o0d6>5<#<:81=k74n512>40<3f;nm7>5$511>4`>3g>8=7?8;:`5f<<7280;6=u+45f96g1<@=?h7E::0:m1f3<722wi:oo50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a2gd=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:om50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a2gb=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:ok50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a2g`=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:n>50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a2f7=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:n<50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a2f5=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:n:50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a2f3=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:n850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a2f1=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:n650;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a2f?=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:no50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a2fd=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:nm50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a2fb=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:nk50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a2f`=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:i>50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a2a7=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:i<50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>m91<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a2a2=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm6e794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:i850;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>m=1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a2a>=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm6e;94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:io50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>mh1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a2ae=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm6ef94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:ik50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>ml1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a2`6=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm6d394??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:h<50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>l91<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a2`2=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm6d794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:h850;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>l=1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a2`>=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm6d;94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:ho50;194?6|,=>o6?ml;I66g>N3=91/>ok59b9j6g?=831b>oo50;9l7=g=831v2h901;jn:`1893be2h901;jl:`1893bc2h901;jj:`1893ba2h901;k?:`1893c62h901;k=:`1893c42h901;k;:`1893c22h901;k9:`1893c02h901;k7:`1893c>2h90q~?j4e83>4?|V8:>708k2;c1?80c;3k9708k4;c1?80c=3k9708k6;c1?80c?3k9708k8;c1?80c13k9708ka;c1?80cj3k9708kc;c1?80cl3k9708ke;c1?80cn3k9708j0;c1?80b93k9708j2;c1?80b;3k9708j4;c1?80b=3k9708j6;c1?80b?3k9708j8;c1?80b13k97p}>e5g94?7>sW;;8639d38b5>;1l:0j=639d58b5>;1l<0j=639d78b5>;1l>0j=639d98b5>;1l00j=639d`8b5>;1lk0j=639db8b5>;1lm0j=639dd8b5>;1lo0j=639e18b5>;1m80j=639e38b5>;1m:0j=639e58b5>;1m<0j=639e78b5>;1m>0j=639e98b5>;1m00j=6s|1d6e>5<5sW;n=639e682f1=z{8o><7>52z\2`c=:>l31=o:4}r3f14<72;qU=ik4=7g;>4d33ty:i8<50;0xZ4bc34e4494?4|V8n3708j3;3a0>{t9l?<6=4={_3g3>;1m;0:n95rs0g6b59~w4c2k3:1>vP>d39>2ab=9k>0q~?j5e83>7}Y9l301;jl:0`7?xu6m5<5sW;n;639d`82f1=z{8o=<7>52z\2a3=:>m31=o:4}r3f24<72;qU=h;4=7f6>4d33ty:i;<50;0xZ4c334<0;6?uQ1ea893b328h?7p}>e7494?4|V8n:708k3;3a0>{t9l<<6=4={_66<>;1mh09nl5rs0g54?b34;1k90:5h526b395m27=o94>9d9>2f3=90o01;m9:0;f?80d?3;2i639c982=`=:>j31=4k4=7ab>4?b34;1l90:5h526e395;1jl0:9h526cd950c<5?i;6<;j;<4`5?72m27=o?4>5d9>2f5=9i639c7821`=:>j=1=8k4=7a;>43b34;1kl0:9h526bd950c<5?n;6<;j;<4g5?72m27=h?4>ag9>2a5=9hl01;j;:0ce?80c=3;jj639d782ec=:>m=1=lh4=7f;>4ga34;1ll0:mk526ed95d`<5?o;6ag9>2`5=9hl01;k;:0ce?80b=3;jj639e782ec=:>l=1=lh4=7g;>4ga3454278yv7b>j0;6?uQ1g5893ea2=9>7p}>e7f94?4|V8l=708le;601>{t9l;1km0??85rs0g5b?6=:rT:j>526ba9063;<4`e?24=2wx=h9=:181[7a827=o44;349~w4c0;3:1>vP>eg9>2f>=<:?0q~?j7583>7}Y9lo01;m8:516?xu6m>?1<73>896s|1d55>5<5sW;no639c48770=z{8o<;7>52z\2ag=:>j>18>;4}r3f3=<72;qU>=<4=7a0>1523ty:i:750;0xZ766347:<5:p5`1f2909wS54278yv7b?j0;6?uQ1gg893da2=9>7p}>e6f94?4|V8lo708me;601>{t9l=n6=4={_3eg>;1jm0??85rs0g4b?6=:rT:jo526ca9063m91m9526e69e1=:>m?1m9526e49e1=:>m=1m9526e:9e1=:>m31m9526ec9e1=:>mh1m9526ea9e1=:>mn1m9526eg9e1=:>ml1m9526d29e1=:>l;1m9526d09e1=:>l91m9526d69e1=:>l?1m9526d49e1=:>l=1m9526d:9e1=:>l31m95rs0g;7?6=:r7=nl4>b59>2a5=<:?0q~?j8583>7}:>kh1=o:4=7f7>1523ty:i5;50;0x93dd28h?708k2;601>{t9l2=6=4={<4a`?7e<27=h;4;349~w4c??3:1>v39bd82f1=:>m=18>;4}r3f<=<72;q6:oh51c6893b22=9>7p}>e9;94?4|5?i;652z?5g7<6j=16:i654278yv7b0j0;6?u26b195g2<5?nh69=:;|q2a=b=838p1;m;:0`7?80cl3>896s|1d:f>5<5s42f1=9k>01;k?:516?xu6m0;1<74d334;1m;0??85rs0g:7?6=:r7=ol4>b59>2`5=<:?0q~?j9583>7}:>jh1=o:4=7g2>1523ty:i4;50;0x93ed28h?708j5;601>{t9l3=6=4={<4``?7e<27=i;4;349~w4c>?3:1>v39cd82f1=:>l>18>;4}r3f==<72;q6:nh51c6893c?2=9>7p}>e8;94?4|5?n;6518y>2a4=90o01;j<:0;f?80c<3;2i639d482=`=:>m<1=4k4=7f4>4?b34;1lm0:5h526eg95m27=i<4>9d9>2`4=90o01;k<:0;f?80b<3;2i639e482=`=:>l<1=4k4=7g4>4?b349533864~N3=91/89j51gf0?_72k39p:?4<8;6b>xo68>0;6):<2;332>h3;80;76g>0483>!24:3;;:6`;3082?>o68=0;6):<2;332>h3;80976g>e083>!24:3;n<6`;3083?>o6lo0;6):<2;3f4>h3;80:76g>dd83>!24:3;n<6`;3081?>o6lm0;6):<2;3f4>h3;80876g>dc83>!24:3;n<6`;3087?>o6lh0;6):<2;3f4>h3;80>76g>d883>!24:3;n<6`;3085?>o6l10;6):<2;3f4>h3;80<76g>d683>!24:3;n<6`;308;?>o6l?0;6):<2;3f4>h3;80276g>d483>!24:3;n<6`;308b?>o6l=0;6):<2;3f4>h3;80i76g>d283>!24:3;n<6`;308`?>o6l;0;6):<2;3f4>h3;80o76g>e883>!24:3;n<6`;308f?>o6m10;6):<2;3f4>h3;80m76g>e683>!24:3;n<6`;30824>=n9l<1<7*;3382a5=i<:;1=<54i0g6>5<#<:81=h>4n512>44<3`;n87>5$511>4c73g>8=7?<;:k2a6<72->8>7?j0:l774<6<21b=h<50;&777<6m91e8>?51498m4bd290/8><51d28j15628<07d?k1;29 15528o;7c:<1;34?>o3=10;66g>9d83>>o3<=0;66g>ag83>>i6nh0;6):<2;3e=>h3;80;76a>f983>!24:3;m56`;3082?>i6n>0;6):<2;3e=>h3;80976a>f783>!24:3;m56`;3080?>i6n=0;6):<2;3e=>h3;80?76a>f283>!24:3;m56`;3086?>i6n;0;6):<2;3e=>h3;80=76a>f083>!24:3;m56`;3084?>i6n90;6):<2;3e=>h3;80376a>eg83>!24:3;m56`;308:?>i6ml0;6):<2;3e=>h3;80j76a>ee83>!24:3;m56`;308a?>i6mj0;6):<2;3e=>h3;80h76a>ec83>!24:3;m56`;308g?>i58;0;6):<2;3e=>h3;80n76a=0083>!24:3;m56`;308e?>i5890;6):<2;3e=>h3;80:<65`1gd94?"3;;0:j45a423954=4:9l5cd=83.???4>f89m067=9<10c:048?j7bi3:1(9==:0d:?k2493;<76l9eb83>4<729q/89j52c58L13d3A>><6a=b783>>{e>ln1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm6dg94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>ll1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm6g294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>o;1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm6g094?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>o91<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm6g694?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>o?1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm6g494?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>o=1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm6g:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>o31<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm6gc94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>oh1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm6ga94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>on1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm6gg94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>ol1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm71294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?9;1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm71094?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?991<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm71694?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?9?1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a350=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm71594??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi;=650;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e?931<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a35g=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm71`94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi;=m50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e?9n1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a35c=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm71d94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi;<>50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e?8;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a344=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm70194??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi;<:50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e?8?1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a340=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm70594??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi;<650;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e?831<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a34g=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm70`94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi;o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e?8n1<7=50;2x 12c2;ih7E::c:J715=#:ko15n5f2c;94?=n:kk1<75`39c94?=z{8o2o7>518y]551<5>:>6l=4=625>d5<5>:<6l=4=62;>d5<5>:26l=4=62b>d5<5>:i6l=4=62`>d5<5>:o6l=4=62f>d5<5>:m6l=4=633>d5<5>;:6l=4=631>d5<5>;86l=4=637>d5<5>;>6l=4=635>d5<5>;<6l=4=63;>d5<5>;26l=4=63b>d5<5>;i6l=4=63`>d54n1:?451b59~w4cf83:1>vP>dg9>34e=9k>0q~?ja083>7}Y9mo01:?m:0`7?xu6mh81<75<5sW;on6381882f1=z{8oj87>52z\2`d=:?821=o:4}r3fe0<72;qU=i74=637>4d33ty:il850;0xZ4b?34=::7?m4:p5`g02909wS?k7:?450<6j=1ve`c94?4|V8n?709>2;3a0>{t9lki6=4={_3g7>;08l0:n95rs0gbg?6=:rT:h?5270295g2:m6b59~w4ce83:1>vP>e79>35e=9k>0q~?jb083>7}Y9l?01:>7:0`7?xu6mk81<75<5sW;n?6380882f1=z{8oi87>52z\2a7=:?9?1=o:4}r3ff0<72;qU=im4=624>4d33ty:io850;0xZ4b634=;:7?m4:p5`d02909wS::8:?45a<5jh1vo:1=4k4=7d2>4?b347?6e:?5b6<61l16:k:518g893`2283n708i6;3:a>;1n>0:5h526g:95m27=jo4>9d9>2ce=90o01;hk:0;f?80am3;2i639fg82=`=:?9:1=4k4=622>4?b34=;>7?6e:?446<61l16;=:518g8927c2;h27p}>ec;94?56sW;jj639ee821`=:>lo1=8k4=7ge>43b34;1n<0:9h526g4950c<5?l<6<;j;<4e5d9>2cg=9i639fe821`=:>oo1=8k4=7de>43b34=;<7?:e:?444<6=l16;=<514g8926428?n709?4;36a>;08<0:mk5271495d`<5>:<6ag9>35g=9hl01:>m:0ce?817k3;jj6380e82ec=:?9o1=lh4=62e>4ga34=:<7?nf:?454<6io16;<<51`d8927428km709>4;3bb>;09<0:mk5270495d`<5>;<6ag9>34g=9hl01:?m:0ce?816k3;jj6s|1d`b>5<5sW;mm638058770=z{8oin7>52z\2b==:?9918>;4}r3fff<72;qU=k94=621>1523ty:ioj50;0xZ4`134=;=7:<5:p5`db2909wS?i4:?445<3;<1v7p}>eb394?4|V8l:708id;601>{t9li96=4={_3e4>;1nj0??85rs0g`7?6=:rT:ik526g`9063vP>ec9>2c1=<:?0q~?jc983>7}Y:9801;h9:516?xu6mj31<7896s|1dab>5<5sW8;<639f58770=z{8ohn7>52z\2bc=:>o918>;4}r3fgf<72;qU=kk4=7d1>1523ty:inj50;0xZ4`c347p}>ee394?4|V8oj708jd;601>{t9ln96=4>9z?5af<5j?16;=;5a59>350=i=16;=95a59>35>=i=16;=75a59>35g=i=16;=l5a59>35e=i=16;=j5a59>35c=i=16;=h5a59>346=i=16;344=i=16;<=5a59>342=i=16;<;5a59>340=i=16;<95a59>34>=i=16;<75a59>34g=i=16;34e=i=1v2`c=9k>01:>8:516?xu6mm?1<74d334=;97:<5:p5`b12909w08i0;3a0>;0800??85rs0gg3?6=:r7=j<4>b59>35g=<:?0q~?jd983>7}:>o81=o:4=62;>1523ty:ii750;0x93`428h?709?c;601>{t9lnj6=4={<4e0?7e<27<v39f482f1=:?9h18>;4}r3f`f<72;q6:k851c68926a2=9>7p}>eef94?4|5?l<652z?5b<<6j=16;<<54278yv7bm90;6?u26gc95g2<5>;869=:;|q2a`7=838p1;hm:0`7?81693>896s|1dg1>5<5s42cc=9k>01:?;:516?xu6ml?1<74d334=:47:<5:p5`c12909w09?0;3a0>;0900??85rs0gf3?6=:r7<<<4>b59>341=<:?0q~?je983>7}:?981=o:4=63a>1523ty:ih750;0x926428h?709>c;601>{t9loj6=4={<530?7e<27<=l4;349~w4cbj3:1=4u271795:=6<7j;<533?7>m27<<54>9d9>35?=90o01:>n:0;f?817j3;2i6380b82=`=:?9n1=4k4=62f>4?b34=;j7?6e:?455<61l16;3;3:a>;09=0:5h5270795;=6<7j;<523?7>m27<=54>9d9>34?=90o01:?n:0;f?816j3;2i6381b82=`=:?8n1?5o4}|`45`<72=81?5497zJ715=#<=n1=8;j;[36g?3|0m09n76j:3g96c5<#<:81==j4n512>4=5<#<:81==j4n512>6=5<#<:81>=l4n512>4=5<#<:81>=l4n512>6=5<#<:81>=l4n512>0=6=4+420965d5<#<:81>=l4n512>2=5<#<:81>=l4n512><=5<#<:81>=l4n512>g=5<#<:81>=l4n512>a=6=4+420965d5<#<:81>=l4n512>c=4;h037?6=,=996?>m;o605?7632c:=84?:%606?76<2d??<4?;:k256<72->8>7?>4:l774<632c:=?4?:%606?76<2d??<4=;:k255<72->8>7?>4:l774<432c?944?::k2=`<722c?894?::k2ec<722e9?h4?:%606?44l2d??<4?;:m17f<72->8>7<8>7<8>7<8>7<8>7<32e9:94?:%606?44l2d??<4n;:m126<72->8>7<8>7<8>7<0:9l663=83.???4=3e9m067=9810c?9?:18'064=:?l0b9=>:198k70b290/8><527d8j1562810c?8k:18'064=:?l0b9=>:398k70d290/8><527d8j1562:10c?8m:18'064=:?l0b9=>:598k70f290/8><527d8j1562<10c?86:18'064=:?l0b9=>:798k70?290/8><527d8j1562>10c?77:18'064=:?l0b9=>:998k7?0290/8><527d8j1562010c?79:18'064=:?l0b9=>:`98k7?2290/8><527d8j1562k10c?7;:18'064=:?l0b9=>:b98k7?4290/8><527d8j1562m10c?66:18'064=:?l0b9=>:d98k71b290/8><527d8j1562o10c?9<:18'064=:?l0b9=>:028?j41?3:1(9==:34e?k2493;:76l81g83>4<729q/89j52c58L13d3A>><6a=b783>>{e?;:1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm73394?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?;81<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm73194?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?;>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm73794?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?;<1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm73594?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?;21<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm73;94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?;k1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm73`94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?;i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm73f94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?;o1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm73d94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?::1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm72394?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?:81<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm72194?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?:>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm72794?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?:<1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm72594?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?:21<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm72;94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?:k1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm72`94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?:i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm72f94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?:o1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm72d94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?=:1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm75394?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?=81<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm75194?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?=>1<7h50;2x 12c2=937E::c:J715=#:ko1h6gn1;29?lg52900el=50;9je1<722cj97>5;h3a0?6=3`82j7>5;h0b4?6=3`8j=7>5;h0b6?6=3`8j?7>5;h663?6=3`>>47>5;n67e?6=3f82i7>5;|`400<72o0;6=u+45f906><@=?h7E::0:&1f`<6>2cj=7>5;hc1>5<>of=3:17d?m4;29?l4>n3:17dm3:17pl84783>c<729q/89j542:8L13d3A>><6*=bd825>of93:17do=:188md5=831bm94?::kb1?6=3`;i87>5;h0:b?6=3`8j<7>5;h0b5?6=3`8j>7>5;h0b7?6=3`>>;7>5;h66?m7>5;n0:a?6=3th<8:4?:g83>5}#<=n18>64H57`?M2282.9nh4l;hc2>5<>of<3:17do::188m4d32900e?7i:188m7g72900e?o>:188m7g52900e?o<:188m1302900e9;7:188k12f2900c?7j:188yg1303:1j7>50z&70a<3;11C88m4H573?!4em3;;7do>:188md4=831bm>4?::kb0?6=3`k>6=44i0`7>5<5<5<5<5<1<75f28d94?=n:h:1<75f2`394?=n:h81<75f2`194?=n<<=1<75f44:94?=h<=k1<75`28g94?=zj>>i6=4i:183!23l3>846F;5b9K006<,;hn64h50;9j6d6=831b>l?50;9j6d4=831b>l=50;9j001=831b88650;9l01g=831d>4k50;9~f22d290m6=4?{%67`?2402B?9n5G4428 7db2820el?50;9je7<722cj?7>5;hc7>5<5N3=j1C88>4$3`f>`=ni80;66gn2;29?lg42900el:50;9je0<722c:n94?::k1=c<722c9m=4?::k1e4<722c9m?4?::k1e6<722c?9:4?::k71=<722e?8l4?::m1=`<722wi;9k50;d94?6|,=>o69=7;I66g>N3=91/>ok51e9je4<722cj>7>5;hc0>5<1<75fa483>>o6j=0;66g=9g83>>o5i90;66g=a083>>o5i;0;66g=a283>>o3=>0;66g;5983>>i3>{e?=l1<7h50;2x 12c2=937E::c:J715=#:ko1=95fa083>>of:3:17do<:188md2=831bm84?::k2f1<722c95k4?::k1e5<722c9m<4?::k1e7<722c9m>4?::k712<722c?954?::m70d<722e95h4?::a306=83l1<7>t$56g>15?3A>>o6F;519'6gc=m2cj=7>5;hc1>5<>of=3:17d?m4;29?l4>n3:17dm3:17pl85083>c<729q/89j542:8L13d3A>><6*=bd8f?lg62900el<50;9je6<722cj87>5;hc6>5<5<5<5<5<j6=44o3;f>5<>7>5f;294~"34h50;9j6d6=831b>l?50;9j6d4=831b>l=50;9j001=831b88650;9l01g=831d>4k50;9~f234290m6=4?{%67`?2402B?9n5G4428 7db2l1bm<4?::kb6?6=3`k86=44i`694?=ni<0;66g>b583>>o51o0;66g=a183>>o5i80;66g=a383>>o5i:0;66g;5683>>o3=10;66a;4`83>>i51l0;66sm74694?`=83:p(9:k:51;?M22k2B?9=5+2cg9a>of93:17do=:188md5=831bm94?::kb1?6=3`;i87>5;h0:b?6=3`8j<7>5;h0b5?6=3`8j>7>5;h0b7?6=3`>>;7>5;h66?m7>5;n0:a?6=3th<984?:g83>5}#<=n18>64H57`?M2282.9nh4j;hc2>5<>of<3:17do::188m4d32900e?7i:188m7g72900e?o>:188m7g52900e?o<:188m1302900e9;7:188k12f2900c?7j:188yg12>3:1?7>50z&70a<5kj1C88m4H573?!4em33h7d;0<=0j8638448b0>;0;0<10j8638488b0>;0;0;0;0=90j8638508b0>;0=;0j8638528b0>;0==0j8638548b0>{t9loo6=4>3z\24f=:?=>1m>527579e6=:?=<1m>527559e6=:?=21m>5275;9e6=:?=k1m>5275`9e6=:?=i1m>5275f9e6=:?=o1m>5275d9e6=:?<:1m>527439e6=:?<81m>527419e6=:?<>1m>527479e6=z{8oni7>512y]55d<5>>?6l<4=666>d4<5>>=6l<4=664>d4<5>>36l<4=66:>d4<5>>j6l<4=66a>d4<5>>h6l<4=66g>d4<5>>n6l<4=66e>d4<5>?;6l<4=672>d4<5>?96l<4=670>d4<5>??6l<4=676>d434=?87o>;<571?g634=?:7o>;<573?g634=?47o>;<57=?g634=?m7o>;<57f?g634=?o7o>;<57`?g634=?i7o>;<57b?g634=><7o>;<565?g634=>>7o>;<567?g634=>87o>;<561?g63ty:ik>50;0xZ76d34=>97?m4:p5``62909wSeg694?4|V;:<709:1;3a0>{t9ll>6=4={_032>;0=90:n95rs0ge2?6=:rT9<85275f95g2>m6=838pR?=;;<57a?7e<2wx=hh6:181[44;27<8n4>b59~w4cai3:1>vP=339>31d=9k>0q~?jfc83>7}Y::;01::n:0`7?xu6moi1<75<5sW89j6384982f1=z{8omi7>52z\160=:?==1=o:4}r3fbc<72;qU>4d33ty:j=>50;0xZ76a34=?:7?m4:p5c662909wS6384781e7=:?==1>l<4=66;>7g534=?57;0?;6?o=;<565?4f:27<9?4=a39>305=:h801:;;:3c1?812=38j>6s|1g20>5<6;rT:=>5275696d7<5>>>6?o>;<572?4f927<8:4=a09>31>=:h;01::6:3c2?813i38j=6384c81e4=:?=i1>l?4=66g>7g634=?i752`3892362;k:709:2;0b5>;0=:09m<5274696d7<5>?>6?o>;|q2b52=83;8wS?>2:?401<5i916;9;52`2892212;k;709;7;0b4>;0<109m=5275;96d6<5>>j6?o?;<57f?4f827<8n4=a19>31b=:h:01::j:3c3?813n38j<6385181e5=:?<;1>l>4=671>7g734=>?74h4=664>7?a34=?47<6f:?40<<51o16;9o528d8922e2;3m709;c;0:b>;0>m6?7i;<564?4>n27<9<4=9g9>304=:0l01:;<:3;e?812<382j6385481=c=z{8l;:7>52z\71<=:?<<1>oo4}r3e42<72;m27<><4>9d9>374=90o01:<<:0;f?815<3;2i6382482=`=:?;<1=4k4=604>4?b34=947?6e:?46<<61l16;?o518g8924e283n709=c;3:a>;0:m0:5h5273g958m6<7j;<504?7>m279d9>364=90o01:=<:0;f?814<3;2i6383482=`=:?:<1=4k4=614>4?b34=847?6e:?47<<61l16;>o518g8925e283n709;0;m0:5h5272g959m6<7j;<574?7>m27<8<4>9d9>314=90o01::<:0;f?812>38i56s|1g2;>5<4?rT:mk52732950c<5>8:6<;j;<516?72m27<>>4>5d9>372=93;>i63826821`=:?;21=8k4=60:>43b34=9m7?:e:?46g<6=l16;?m514g8924c28?n709=e;36a>;0:o0:9h52722950c<5>9:6<;j;<506?72m274>5d9>362=93;>i63836821`=:?:21=8k4=61:>43b34=8m7?:e:?47g<6=l16;>m514g8925c28?n709;0;o0:9h52752950c<5>>:6<;j;<576?72m27<8>4>5d9>312=<<=01::::574?813>3>>;638468712=:?=218894=66:>13034=?m7::7:?40g<3=>16;9m54458922c2=?<709;e;663>;0?:69;8;<566?22?27<9>4;569>302=<<=01:;::574?xu6n931<7896s|1g2b>5<5sW88o638318770=z{8l;n7>52z\17g=:?;l18>;4}r3e4f<72;qU>>o4=60f>1523ty:j=j50;0xZ75>34=9h7:<5:p5c6b2909wS<<8:?46f<3;<1v16;?l54278yv7a990;6?uQ2248924f2=9>7p}>f0394?4|V;<=709=9;601>{t9o;96=4={_051>;0:10??85rs0d27?6=:rT9:95273590638=69=:;|q2b43=838pR?8=;<511?24=2wx=k?9:181[41927<>94;349~w4`6?3:1>vP=569>375=<:?0q~?i1983>7}Y:=i01:<=:516?xu6n831<7896s|1g3b>5<5sW889638218770=z{8l:n7>52z\135=:?=918>;4}r3e5f<72;qU>;k4=661>1523ty:jf;296~X5>k16;>h54278yv7a:90;6?uQ27c8925b2=9>7p}>f3394?4|V;<2709{t9o896=4={_05<>;0;j0??85rs0d17?6=:rT9555272`906394?:3y]6<1<5>9j69=:;|q2b73=838pR?79;<50=?24=2wx=k<9:181[4>=27vP=959>361=<:?0q~?i2983>7}Y:0901:=9:516?xu6n;31<7896s|1g0b>5<5sW852z\136=:?:918>;4}r3e6f<72;qU>;94=611>1523ty:j?j50;06816n38i:638458b1>;0<=09m>527579e0=:?=?1>l=4=665>d3<5>>=6?o<;<573?g234=?;731?=i<16;9752`18922f2h?01::n:3c0?813j3k>709;b;0b7>;0>n6l;4=66f>7g434=?j7o:;<57b?4f;27<9=4n5:?415<5i:16;8?5a49>307=:h901:;=:`7892352;k8709:3;c6?812;38j?638558b1>;0==09m>527479e0=:?l=4}r3e6`<72;q6;?>51c6892222=>j7p}>f3d94?4|5>8:652z?466<6j=16;99545c8yv7a;;0;6?u273695g2<5>>369:n;|q2b65=838p1:<::0`7?81313>?m6s|1g17>5<5s4=9:7?m4:?40d<30:n95275`901g37>=9k>01::l:56b?xu6n:=1<74d334=?i7:;a:p5c5?2909w09=a;3a0>;0o4>b59>31b=<=k0q~?i3`83>7}:?;i1=o:4=673>12f3ty:j>l50;0x924c28h?709:1;67e>{t9o9h6=4={<51a?7e<27<9?4;4`9~w4`4l3:1>v382g82f1=:?<9189o4}r3e7`<72;q6;>>51c6892332=>j7p}>f2d94?4|5>9:652z?476<6j=16;98528g8yv7a<;0;6?u272695g2<5>>?6?7j;|q2b15=838p1:=::0`7?813?382i6s|1g67>5<5s4=8:7?m4:?40=<51l1v0:n95275;9636>=9k>01::n:3;f?xu6n==1<74d334=?n7<6e:p5c2?2909w09;0b59>31c=:0o0q~?i4`83>7}:?:i1=o:4=66e>7?b3ty:j9l50;0x925c28h?709;d;0:a>{t9o>h6=4={<50a?7e<27<9=4=9d9~w4`3l3:1>v383g82f1=:?<;1>4k4}r3e0`<72;q6;9>51c6892352;3n7p}>f5d94?4|5>>:6m2wx=k;?:181813:3;i86385581=`=z{8l>=7>52z?406<6j=16;8;528g8yv7a=;0;6<=t=667>13?34=?97::8:?403<3=116;99544:8922?2=?3709;9;66<>;0<5>>h69;7;<57`?22027<8h4;599>31`=<<201:;?:57;?81293>>463853871==:?<918864=677>13?34=>97::8:?413<40h1vqo9:7;2907<5>3>8wE::0:&70a<6=h4=f;j55c=83.???4>0e9m067=821b==m50;&777<68m1e8>?51:9j55d=83.???4>0e9m067=:21b==750;&777<68m1e8>?53:9j65e=83.???4=0c9m067=821b>=o50;&777<58k1e8>?51:9j65?=83.???4=0c9m067=:21b>=650;&777<58k1e8>?53:9j651=83.???4=0c9m067=<21b>=850;&777<58k1e8>?55:9j653=83.???4=0c9m067=>21b>=:50;&777<58k1e8>?57:9j662=83.???4=0c9m067=021b>>=50;&777<58k1e8>?59:9j664=83.???4=0c9m067=i21b>>?50;&777<58k1e8>?5b:9j666=83.???4=0c9m067=k21b>?h50;&777<58k1e8>?5d:9j673=83.???4=0c9m067=m21b>?5f:9j65`=83.???4=0c9m067=9910e?><:18'064=:9h0b9=>:038?l76=3:1(9==:037?k2493:07d?>3;29 15528;?7c:<1;38?l76:3:1(9==:037?k2493807d?>0;29 15528;?7c:<1;18?l2213:17d?6e;29?l23<3:17d?nf;29?j44m3:1(9==:31g?k2493:07b<07b<<8;29 1552;9o7c:<1;78?j44?3:1(9==:31g?k2493<07b<<6;29 1552;9o7c:<1;58?j41>3:1(9==:31g?k2493207b<95;29 1552;9o7c:<1;;8?j41<3:1(9==:31g?k2493k07b<93;29 1552;9o7c:<1;`8?j41:3:1(9==:31g?k2493i07b<91;29 1552;9o7c:<1;f8?j42?3:1(9==:31g?k2493o07b<;c;29 1552;9o7c:<1;d8?j4393:1(9==:31g?k2493;;76a=3483>!24:388h6`;30825>=h:>:1<7*;33812c=i<:;1<65`27g94?"3;;09:k5a42395>=h:?n1<7*;33812c=i<:;1>65`27a94?"3;;09:k5a42397>=h:?h1<7*;33812c=i<:;1865`27c94?"3;;09:k5a42391>=h:?31<7*;33812c=i<:;1:65`27:94?"3;;09:k5a42393>=h:021<7*;33812c=i<:;1465`28594?"3;;09:k5a4239=>=h:0<1<7*;33812c=i<:;1m65`28794?"3;;09:k5a4239f>=h:0>1<7*;33812c=i<:;1o65`28194?"3;;09:k5a4239`>=h:131<7*;33812c=i<:;1i65`26g94?"3;;09:k5a4239b>=h:>91<7*;33812c=i<:;1==54o344>5<#<:81>;h4n512>47<3k=>47>51;294~"35;|`41<<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th<9l4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`41g<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th<9n4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`41a<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th<9h4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`41c<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th<:=4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`424<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th<:?4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`426<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th<:94?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`420<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th<:;4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`422<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th<:54?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`42<<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th<:l4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`42g<72o0;6=u+45f906><@=?h7E::0:&1f`<6m2cj=7>5;hc1>5<>of=3:17d?m4;29?l4>n3:17dm3:17pl86b83>c<729q/89j542:8L13d3A>><6*=bd8g?lg62900el<50;9je6<722cj87>5;hc6>5<5<5<5<5<j6=44o3;f>5<5f;294~"34h50;9j6d6=831b>l?50;9j6d4=831b>l=50;9j001=831b88650;9l01g=831d>4k50;9~f20b290m6=4?{%67`?2402B?9n5G4428 7db2890el?50;9je7<722cj?7>5;hc7>5<5N3=j1C88>4$3`f>4?>of;3:17do;:188md3=831b=o:50;9j6<`=831b>l>50;9j6d7=831b>l<50;9j6d5=831b88950;9j00>=831d89o50;9l65<7s->?h7:<8:J71f=O<<:0(?lj:0c8md7=831bm?4?::kb7?6=3`k?6=44i`794?=n9k>1<75f28d94?=n:h:1<75f2`394?=n:h81<75f2`194?=n<<=1<75f44:94?=h<=k1<75`28g94?=zj>=:6=4i:183!23l3>846F;5b9K006<,;hn6<;4i`394?=ni;0;66gn3;29?lg32900el;50;9j5g2=831b>4h50;9j6d6=831b>l?50;9j6d4=831b>l=50;9j001=831b88650;9l01g=831d>4k50;9~f215290m6=4?{%67`?2402B?9n5G4428 7db28=0el?50;9je7<722cj?7>5;hc7>5<5N3=j1C88>4$3`f>==ni80;66gn2;29?lg42900el:50;9je0<722c:n94?::k1=c<722c9m=4?::k1e4<722c9m?4?::k1e6<722c?9:4?::k71=<722e?8l4?::m1=`<722wi;::50;d94?6|,=>o69=7;I66g>N3=91/>ok5b:kb5?6=3`k96=44i`194?=ni=0;66gn5;29?l7e<3:17d<6f;29?l4f83:17d"5jl0m7do>:188md4=831bm>4?::kb0?6=3`k>6=44i0`7>5<5<5<5<5<5;hc6>5<5<5<5<5<j6=44o3;f>5<5f;294~"34h50;9j6d6=831b>l?50;9j6d4=831b>l=50;9j001=831b88650;9l01g=831d>4k50;9~f21>290m6=4?{%67`?2402B?9n5G4428 7db28>0el?50;9je7<722cj?7>5;hc7>5<5N3=j1C88>4$3`f>`=ni80;66gn2;29?lg42900el:50;9je0<722c:n94?::k1=c<722c9m=4?::k1e4<722c9m?4?::k1e6<722c?9:4?::k71=<722e?8l4?::m1=`<722wi;:l50;d94?6|,=>o69=7;I66g>N3=91/>ok51c9je4<722cj>7>5;hc0>5<1<75fa483>>o6j=0;66g=9g83>>o5i90;66g=a083>>o5i;0;66g=a283>>o3=>0;66g;5983>>i3>{e?>i1<7h50;2x 12c2=937E::c:J715=#:ko1i6gn1;29?lg52900el=50;9je1<722cj97>5;h3a0?6=3`82j7>5;h0b4?6=3`8j=7>5;h0b6?6=3`8j?7>5;h663?6=3`>>47>5;n67e?6=3f82i7>5;|`43a<72:0;6=u+45f96fe<@=?h7E::0:&1f`<>k2c9n44?::k1fd<722e84l4?::p5c34290:?vP>0d9>33d=i=16;;m5a59>33b=i=16;;k5a59>33`=i=16;:>5a59>327=i=16;:<5a59>325=i=16;::5a59>323=i=16;:85a59>321=i=16;:65a59>32?=i=16;:o5a59>32d=i=16;:m5a59~w4`2<3:1=>uQ11a8920e2h901:8l:`18920c2h901:8j:`18920a2h901:9?:`1892162h901:9=:`1892142h901:9;:`1892122h901:99:`1892102h901:97:`18921>2h901:9n:`18921e2h901:9l:`18yv7a=<0;6<=t^02a?811j3k97099c;c1?811l3k97099e;c1?811n3k970980;c1?81093k970982;c1?810;3k970984;c1?810=3k970986;c1?810?3k970988;c1?81013k97098a;c1?810j3k97098c;c1?xu6n<<1<7?<{_33=>;0>k0j=6386b8b5>;0>m0j=6386d8b5>;0>o0j=638718b5>;0?80j=638738b5>;0?:0j=638758b5>;0?<0j=638778b5>;0?>0j=638798b5>;0?00j=6387`8b5>;0?k0j=6387b8b5>{t9o?<6=4={_03g>;0?j0:n95rs0d6=j67;<54=?7e<2wx=k;m:181[47?27<;54>b59~w4`2k3:1>vP=079>321=9k>0q~?i5e83>7}Y:9?01:9;:0`7?xu6n3;i86s|1g7e>5<5sW8886387482f1=z{8l=<7>52z\176=:?>91=o:4}r3e24<72;qU>><4=651>4d33ty:j;<50;0xZ75634=<=7?m4:p5c042909wS<<0:?435<6j=1v<0;6?uQ2378920b28h?7p}>f7494?4|V;;j7099b;3a0>{t9o<<6=4={_03b>;0>m0:n95rs0d55277a95g2;0?909m?5276396d4<5>=96?o=;<547?4f:27<;94=a39>323=:h801:99:3c1?810?38j>6387981e7=:?>31>l<4=65b>7g534=l?4=64e>7g634=<<7;0?<09m<5276496d7<5>=<6?o>;<5432g=:h;01:9m:3c2?810k38j=6s|1g4a>5<6;rT:=?5277`96d6<5>33`=:h:01:9?:3c3?810938j<6387381e5=:?>91>l>4=657>7g734=<97;0?h09m=5276`96d6<5>=h6?o?;|q2b3e=83;8wS?>0:?42g<51o16;;m528d8920c2;3m7099e;0:b>;0>o095k5276296<`<5>=:6?7i;<546?4>n27<;>4=9g9>322=:0l01:9::3;e?810>382j6387681=c=:?>21>4h4=65:>7?a34=m0;6?uQ44;8921c2;hj7p}>f7g94?73sW;2i6385882=`=:?4?b34=>o7?6e:?41a<61l16;8k518g8923a283n70990;3:a>;0>80:5h5277095<86<7j;<550?7>m27<:84>9d9>330=90o01:88:0;f?81103;2i6386882=`=:??k1=4k4=65g>7d>3ty:j;h50;06[7fn27<944>5d9>30g=9i6385e821`=:?43b34==<7?:e:?424<6=l16;;<514g8920428?n70994;36a>;0><0:9h52774950c<5><<6<;j;<555d9>33g=9>;6386e8712=:??o18894=64e>13034=<<7::7:?434<3=>16;:<5445892142=?<70984;663>;0?<0?9:527649001<5>=<69;8;<5432g=<<=01:9m:574?810k3>>;6s|1g53>5<5sW8<<6386`8770=z{8l<=7>52z\12`=:??318>;4}r3e37<72;qU>;j4=64;>1523ty:j:=50;0xZ70d34==;7:<5:p5c132909wS<9b:?423<3;<1vh16;;;54278yv7a??0;6?uQ27;892032=9>7p}>f6594?4|V;<370993;601>{t9o=36=4={_0:<>;0>;0??85rs0d4=?6=:rT95:527739063<;69=:;|q2b2d=838pR?7:;<56b?24=2wx=k9l:181[4><27<9h4;349~w4`0l3:1>vP=929>30b=<:?0q~?i7d83>7}Y:1301:;l:516?xu6n>l1<7896s|1g:3>5<5sW852z\122=:?<318>;4}r3e<7<72;?p1:;7:3`5?811j3k>7099b;0b7>;0>j0j96386b81e6=:??n1m85277f96d5<5>7g434==j7o:;<55b?4f;27<;=4n5:?435<5i:16;:?5a49>327=:h901:9=:`7892152;k870983;c6?810;38j?638758b1>;0?=09m>527679e0=:?>?1>l=4=655>d3<5>==6?o<;<543?g234=<;732?=i<16;:752`18921f2h?01:9n:3c0?810j3k>7098b;0b7>;0?j0j96387b81e6=z{8l3?7>52z?41<<6j=16;;m528g8yv7a0=0;6?u274c95g2<5>5<5s4=>o7?m4:?42`<51l1v30c=9k>01:9?:3;f?xu6n131<74d334=<=7<6e:p5c>f2909w0990;3a0>;0?;095h5rs0d;f?6=:r7<:<4>b59>325=:0o0q~?i8b83>7}:??81=o:4=656>7?b3ty:j5j50;0x920428h?70986;0:a>{t9o2n6=4={<550?7e<27<;94=9d9~w4`?n3:1>v386482f1=:?>=1>4k4}r3e=5<72;q6;;851c68921?2;3n7p}>f8394?4|5><<6m2wx=k7=:18181103;i86387`81=`=z{8l2?7>52z?42<<6j=16;:l528g8yv7a1=0;6?u277c95g2<5>=h6?7j;|q2b<3=83;8w099b;66<>;0>j0?955277f900><5>327=<<201:9=:57;?810;3>>463875871==:?>?18864=655>13?34=<;7::8:?43=<3=116;:7544:8921f2=?37098b;66<>;0?j0?955276f97=g5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<;k4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<4=4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<4<4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<4?4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<4>4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<494?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<484?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<4;4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<4:4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<454?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<444?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<4l4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<4o4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<4n4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<4i4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<4h4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<4k4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<5=4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<5<4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<5?4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<5>4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<594?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<584?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<5;4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<5:4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<554?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<544?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<5l4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<5o4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<5n4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<5i4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<5h4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<5k4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3tho7;t3181f?562;o1>k4ri02:>5<#<:81==64n512>5=5<#<:81>=l4n512>4=5<#<:81>=l4n512>6=5<#<:81>=l4n512>0=6=4+420965d5<#<:81>=l4n512>2=5<#<:81>=l4n512><=5<#<:81>=l4n512>g=5<#<:81>=l4n512>a=6=4+420965d5<#<:81>=l4n512>c=4;h037?6=,=996?>m;o605?7632c:==4?:%606?77n2d??<4?;:k71<<722c:5h4?::k701<722c:mk4?::m17`<72->8>7<;:m17g<72->8>7<8>7<8>7<8>7<8>7<4?:%606?44l2d??<4m;:m127<72->8>7<8>7<8>7<>;50;&777<5;m1e8>?51098k717290/8><527d8j1562910c?8j:18'064=:?l0b9=>:098k70c290/8><527d8j1562;10c?8l:18'064=:?l0b9=>:298k70e290/8><527d8j1562=10c?8n:18'064=:?l0b9=>:498k70>290/8><527d8j1562?10c?87:18'064=:?l0b9=>:698k7??290/8><527d8j1562110c?78:18'064=:?l0b9=>:898k7?1290/8><527d8j1562h10c?7::18'064=:?l0b9=>:c98k7?3290/8><527d8j1562j10c?7<:18'064=:?l0b9=>:e98k7>>290/8><527d8j1562l10c?9j:18'064=:?l0b9=>:g98k714290/8><527d8j15628:07b<97;29 1552;d0lo0;6<4?:1y'01b=:k=0D9;l;I664>i5j?0;66sm7d294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?l;1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm7d094?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?l91<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm7d694?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?l?1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm7d494?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?l=1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm7d:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?l31<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm7dc94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?lh1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm7da94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?ln1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm7dg94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?ll1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm7g294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?o;1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm7g094?`=83:p(9:k:51;?M22k2B?9=5+2cg92>of93:17do=:188md5=831bm94?::kb1?6=3`;i87>5;h0:b?6=3`8j<7>5;h0b5?6=3`8j>7>5;h0b7?6=3`>>;7>5;h66?m7>5;n0:a?6=3th4?:g83>5}#<=n18>64H57`?M2282.9nh49;hc2>5<>of<3:17do::188m4d32900e?7i:188m7g72900e?o>:188m7g52900e?o<:188m1302900e9;7:188k12f2900c?7j:188yg1a<3:1j7>50z&70a<3;11C88m4H573?!4em3<0el?50;9je7<722cj?7>5;hc7>5<5N3=j1C88>4$3`f>3=ni80;66gn2;29?lg42900el:50;9je0<722c:n94?::k1=c<722c9m=4?::k1e4<722c9m?4?::k1e6<722c?9:4?::k71=<722e?8l4?::m1=`<722wi;k850;d94?6|,=>o69=7;I66g>N3=91/>ok56:kb5?6=3`k96=44i`194?=ni=0;66gn5;29?l7e<3:17d<6f;29?l4f83:17d0;6k4?:1y'01b=<:20D9;l;I664>"5jl0=7do>:188md4=831bm>4?::kb0?6=3`k>6=44i0`7>5<5<5<5<5<>of;3:17do;:188md3=831b=o:50;9j6<`=831b>l>50;9j6d7=831b>l<50;9j6d5=831b88950;9j00>=831d89o50;9l65<7s->?h7:<8:J71f=O<<:0(?lj:79je4<722cj>7>5;hc0>5<1<75fa483>>o6j=0;66g=9g83>>o5i90;66g=a083>>o5i;0;66g=a283>>o3=>0;66g;5983>>i3>{e?ok1<7h50;2x 12c2=937E::c:J715=#:ko1:6gn1;29?lg52900el=50;9je1<722cj97>5;h3a0?6=3`82j7>5;h0b4?6=3`8j=7>5;h0b6?6=3`8j?7>5;h663?6=3`>>47>5;n67e?6=3f82i7>5;|`4bg<72o0;6=u+45f906><@=?h7E::0:&1f`<13`k:6=44i`094?=ni:0;66gn4;29?lg22900e1<75f28d94?=n:h:1<75f2`394?=n:h81<75f2`194?=n<<=1<75f44:94?=h<=k1<75`28g94?=zj>lo6=4i:183!23l3>846F;5b9K006<,;hn6;5fa083>>of:3:17do<:188md2=831bm84?::k2f1<722c95k4?::k1e5<722c9m<4?::k1e7<722c9m>4?::k712<722c?954?::m70d<722e95h4?::a3cc=83l1<7>t$56g>15?3A>>o6F;519'6gc=>2cj=7>5;hc1>5<>of=3:17d?m4;29?l4>n3:17dm3:17pl8fg83>c<729q/89j542:8L13d3A>><6*=bd85?lg62900el<50;9je6<722cj87>5;hc6>5<5<5<5<5<j6=44o3;f>5<5f;294~"34h50;9j6d6=831b>l?50;9j6d4=831b>l=50;9j001=831b88650;9l01g=831d>4k50;9~f=66290m6=4?{%67`?2402B?9n5G4428 7db2?1bm<4?::kb6?6=3`k86=44i`694?=ni<0;66g>b583>>o51o0;66g=a183>>o5i80;66g=a383>>o5i:0;66g;5683>>o3=10;66a;4`83>>i51l0;66sm81094?`=83:p(9:k:51;?M22k2B?9=5+2cg92>of93:17do=:188md5=831bm94?::kb1?6=3`;i87>5;h0:b?6=3`8j<7>5;h0b5?6=3`8j>7>5;h0b7?6=3`>>;7>5;h66?m7>5;n0:a?6=3th3<>4?:g83>5}#<=n18>64H57`?M2282.9nh4;;hc2>5<>of<3:17do::188m4d32900e?7i:188m7g72900e?o>:188m7g52900e?o<:188m1302900e9;7:188k12f2900c?7j:188yg>7<3:1?7>50z&70a<5kj1C88m4H573?!4em33h7d;0n;0j=638f28b5>;0n=0j=638f48b5>;0n?0j=638f68b5>;0n10j=638f88b5>;0nh0j=638fc8b5>;0nj0j=638fe8b5>;0nl0j=638fg8b5>;?890j=637008b5>;?8;0j=637028b5>{t9o3<6=4={_03g>;?8:0:n95rs0d:7;<:34?7e<2wx=k7m:181[47?27b59~w4`>k3:1>vP=079>3cc=9k>0q~?i9e83>7}Y:9?01:hm:0`7?xu6n0o1<75<5sW888638fb82f1=z{8lj<7>52z\176=:?ok1=o:4}r3ee4<72;qU>><4=6d:>4d33ty:jl<50;0xZ75634=m47?m4:p5cg42909wS<<0:?4b2<6j=1vf`494?4|V;;j709i2;3a0>{t9ok<6=4={_03b>;0n=0:n95rs0db527g195g27<6f:?4b6<51o16;k:528d892`22;3m709i6;0:b>;0n>095k527g:96<`<5>l26?7i;<5ee?4>n273ce=:0l01:hk:3;e?81am382j638fg81=c=:09:1>4h4=922>7?a342;>7<6f:?;46<51o1v4?b34=n87?6e:?4a0<61l16;h8518g892c0283n709j8;3:a>;0m00:5h527dc95oi6<7j;<5fg?7>m279d9>3`c=90o01:ki:0;f?81a83;2i638f082=`=:09>1>o74}r3eef<72;?pR5d9>3`4=9i638e4821`=:?l<1=8k4=6g4>43b34=n47?:e:?4a<<6=l16;ho514g892ce28?n709jc;36a>;0mm0:9h527dg950c<5>om6<;j;<5e4?72m275d9>3c4=<<=01:h<:574?81a<3>>;638f48712=:?o<18894=6d4>13034=m47::7:?4b<<3=>16;ko5445892`e2=?<709ic;663>;0nm0?9:527gg9001<5>lm69;8;<:34?22?273<<4;569><54=<<=015><:574?xu6nhn1<7896s|1gcf>5<5sW8=i638f18770=z{8ljj7>52z\12a=:?ll18>;4}r3ef5<72;qU>;m4=6gf>1523ty:jo?50;0xZ70e34=nh7:<5:p5cd52909wS<9a:?4af<3;<1v016;hl54278yv7aj=0;6?uQ27:892cf2=9>7p}>fc794?4|V;33709j9;601>{t9oh=6=4={_0:3>;0m10??85rs0da3?6=:rT95;527d59063o=69=:;|q2bg?=838pR?7;;<5f1?24=2wx=kln:181[4>;27vP=889>3`5=<:?0q~?ibb83>7}Y:>o01:k=:516?xu6nkn1<7896s|1g`f>5<5sW8=;638e18770=z{8lij7>590y>3a`=:k<01:h=:`0892`52h901:h=:`6892`52h?01:h=:3c3?81a:38j=638f381e7=:?o81>l=4=6d0>d4<5>l86l=4=6d0>d2<5>l86l;4=6d0>7g734=m?701:h;:`7892`32;k;709i4;0b5>;0n=09m?527g696d5<5>l>6l<4=6d6>d5<5>l>6l:4=6d6>d3<5>l>6?o?;<5e1?4f9273c3=:h901:h9:`0892`12h901:h9:`6892`12h?01:h9:3c3?81a>38j=638f781e7=:?o<1>l=4=6d4>d4<5>l<6l=4=6d4>d2<5>l<6l;4=6d4>7g734=m;701:h7:`7892`?2;k;709i8;0b5>;0n109m?527g:96d5<5>l26l<4=6d:>d5<5>l26l:4=6d:>d3<5>l26?o?;<5e=?4f9273c?=:h901:hn:`0892`f2h901:hn:`6892`f2h?01:hn:3c3?81ai38j=638f`81e7=:?ok1>l=4=6da>d4<5>li6l=4=6da>d2<5>li6l;4=6da>7g734=mn701:hl:`7892`d2;k;709ic;0b5>;0nj09m?527ga96d5<5>lo6l<4=6dg>d5<5>lo6l:4=6dg>d3<5>lo6?o?;<5e`?4f9273cb=:h901:hj:`0892`b2h901:hj:`6892`b2h?01:hj:3c3?81am38j=638fd81e7=:?oo1>l=4=6de>d4<5>lm6l=4=6de>d2<5>lm6l;4=6de>7g734=mj7?:`189=672h>015>?:`789=672;k;706?0;0b5>;?8909m?5281296d5<51::6l<4=922>d5<51::6l:4=922>d3<51::6?o?;<:35?4f9273<<4=a39><57=:h9015>=:`089=652h9015>=:`689=652h?015>=:3c3?8>7:38j=6370381e7=:0981>l=4=920>d4<51:86l=4=920>d2<51:86l;4=920>7g7342;?7l86?7j;|q2bf7=838p1:k>:0`7?81a<382i6s|1ga1>5<5s4=n>7?m4:?4b7<51l1v3`2=9k>01:h9:3;f?xu6nj?1<74d334=m;7<6e:p5ce12909w09j6;3a0>;0n1095h5rs0d`3?6=:r7b59>3c?=:0o0q~?ic983>7}:?l21=o:4=6db>7?b3ty:jn750;0x92c>28h?709ic;0:a>{t9oij6=4={<5fe?7e<27v38ec82f1=:?oh1>4k4}r3egf<72;q6;hm51c6892`b2;3n7p}>fbf94?4|5>oo6m2wx=kmj:18181bm3;i86370181=`=z{8lhj7>52z?4ac<6j=164=?528g8yv7al90;6?u27g295g2<51:96?7j;|q2ba7=838p1:h>:0`7?8>7;382i6s|1gf1>5<6;r73c5=<<201:h;:57;?81a=3>>4638f7871==:?o=18864=6d;>13?34=m57::8:?4bd<3=116;kl544:892`d2=?3709id;66<>;0nl0?95527gd900><51:;69;7;<:35?220273<55=<<2015>;:2:b?x{e09?1<7=50;2x 12c2=?i7E::c:J715=#;0<1=88m;h3ba?6=3`8h;7>5;n1;e?6=3th3<;4?:283>5}#<=n188l4H57`?M2282.85;4>57`8m4gb2900e?m8:188k6>f2900qo6?7;297?6=8r.?8i4;5c9K00e<@=?;7)=66;362g=n9ho1<75f2b594?=h;1k1<75rb92;>5<4290;w):;d;66f>N3=j1C88>4$2;5>431j2c:mh4?::k1g2<722e84l4?::a<5?=8391<7>t$56g>13e3A>>o6F;519'7<0=9<"41?0:9;l4i0cf>5<5<5<54;294~"34m50;9j015=831d>n650;9~f=6d290?6=4?{%67`?4c?2B?9n5G4428 6?128?=n6g>ad83>>o51j0;66g;4283>>i5k10;66sm81f94?2=83:p(9:k:3f4?M22k2B?9=5+3849500e3`;ji7>5;h0:g?6=3`>??7>5;n0`5}#<=n1>i94H57`?M2282.85;4>57`8m4gb2900e?7l:188m1242900c?m7:188yg>7n3:187>50z&70a<5io1C88m4H573?!4em380e<;j:188m4?b2900e0;291?6=8r.?8i4=b09K00e<@=?;7)=66;362g=#:ko1>6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e08;1<7;50;2x 12c2;h:7E::c:J715=#;0<1=88m;%0aa?45<5<6=44}c:26?6==3:1k1/>ok52:k21`<722c:5h4?::k2f1<722c??:4?::m770<722wi4<=50;794?6|,=>o6?l>;I66g>N3=91/?485144a?!4em380e<;j:188m4?b2900e6<3:197>50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm80794?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<55;294~"3t$56g>7d63A>>o6F;519'7<0=9<8;291?6=8r.?8i4=b09K00e<@=?;7)=66;362g=#:ko1>6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e0831<7;50;2x 12c2;h:7E::c:J715=#;0<1=88m;%0aa?45<5<6=44}c:2e?6==3:1k1/>ok52:k21`<722c:5h4?::k2f1<722c??:4?::m770<722wi4o6?l>;I66g>N3=91/?485144a?!4em380e<;j:188m4?b2900e6k3:197>50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm80f94?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<55;294~"3t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e0;;1<7;50;2x 12c2;h:7E::c:J715=#;0<1=88m;%0aa?45<5<6=44}c:16?6==3:1k1/>ok52:k21`<722c:5h4?::k2f1<722c??:4?::m770<722wi4?=50;794?6|,=>o6?l>;I66g>N3=91/?485144a?!4em380e<;j:188m4?b2900e5<3:197>50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm83794?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<55;294~"3t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e0;31<7;50;2x 12c2;h:7E::c:J715=#;0<1=88m;%0aa?45<5<6=44}c:1e?6==3:1k1/>ok52:k21`<722c:5h4?::k2f1<722c??:4?::m770<722wi4?l50;794?6|,=>o6?l>;I66g>N3=91/?485144a?!4em380e<;j:188m4?b2900e5k3:197>50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm83f94?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<55;294~"3t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e0:;1<7;50;2x 12c2;h:7E::c:J715=#;0<1=88m;%0aa?45<5<6=44}c:06?6==3:1k1/>ok52:k21`<722c:5h4?::k2f1<722c??:4?::m770<722wi4>=50;794?6|,=>o6?l>;I66g>N3=91/?485144a?!4em380e<;j:188m4?b2900e4<3:197>50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm82794?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<55;294~"3t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e0:31<7;50;2x 12c2;h:7E::c:J715=#;0<1=88m;%0aa?45<5<6=44}c:0e?6==3:1k1/>ok52:k21`<722c:5h4?::k2f1<722c??:4?::m770<722wi4>l50;794?6|,=>o6?l>;I66g>N3=91/?485144a?!4em380e<;j:188m4?b2900e4k3:197>50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm82f94?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<55;294~"3t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e0=;1<7;50;2x 12c2;h:7E::c:J715=#;0<1=88m;%0aa?45<5<6=44}c:76?6==3:1k1/>ok52:k21`<722c:5h4?::k2f1<722c??:4?::m770<722wi49=50;794?6|,=>o6?l>;I66g>N3=91/?485144a?!4em380e<;j:188m4?b2900e3<3:197>50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm85794?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<55;294~"3t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e0=31<7;50;2x 12c2;h:7E::c:J715=#;0<1=88m;%0aa?45<5<6=44}c:7e?6==3:1k1/>ok52:k21`<722c:5h4?::k2f1<722c??:4?::m770<722wi49l50;794?6|,=>o6?l>;I66g>N3=91/?485144a?!4em380e<;j:188m4?b2900e3k3:197>50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm85f94?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<55;294~"3t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e0<;1<7;50;2x 12c2;h:7E::c:J715=#;0<1=88m;%0aa?45<5<6=44}c:66?6==3:1k1/>ok52:k21`<722c:5h4?::k2f1<722c??:4?::m770<722wi48=50;794?6|,=>o6?l>;I66g>N3=91/?485144a?!4em380e<;j:188m4?b2900e2<3:197>50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm84794?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<:7>55;294~"3t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e0<31<7;50;2x 12c2;h:7E::c:J715=#;0<1=88m;%0aa?45<5<6=44}c:6e?6==3:1k1/>ok52:k21`<722c:5h4?::k2f1<722c??:4?::m770<722wi48l50;794?6|,=>o6?l>;I66g>N3=91/?485144a?!4em380e<;j:188m4?b2900e2k3:197>50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm84f94?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<i7>55;294~"3t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e0?;1<7;50;2x 12c2;h:7E::c:J715=#;0<1=88m;%0aa?45<5<6=44}c:56?6==3:1k1/>ok52:k21`<722c:5h4?::k2f1<722c??:4?::m770<722wi4;=50;794?6|,=>o6?l>;I66g>N3=91/?485144a?!4em380e<;j:188m4?b2900e1<3:197>50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm87794?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<55;294~"3t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e0?31<7;50;2x 12c2;h:7E::c:J715=#;0<1=88m;%0aa?45<5<6=44}c:5e?6==3:1k1/>ok52:k21`<722c:5h4?::k2f1<722c??:4?::m770<722wi4;l50;794?6|,=>o6?l>;I66g>N3=91/?485144a?!4em380e<;j:188m4?b2900e1k3:197>50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm87f94?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<55;294~"3t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e0>;1<7=50;2x 12c2=?i7E::c:J715=#;0<1=88m;h3ba?6=3`8h;7>5;n1;e?6=3th3;?4?:283>5}#<=n188l4H57`?M2282.85;4>57`8m4gb2900e?m8:188k6>f2900qo683;297?6=8r.?8i4;5c9K00e<@=?;7)=66;362g=n9ho1<75f2b594?=h;1k1<75rb957>5<4290;w):;d;66f>N3=j1C88>4$2;5>431j2c:mh4?::k1g2<722e84l4?::a<23=8391<7>t$56g>13e3A>>o6F;519'7<0=9<"41?0:9;l4i0cf>5<5<5<54;294~"34m50;9j015=831d>n650;9~f=1?290?6=4?{%67`?4c?2B?9n5G4428 6?128?=n6g>ad83>>o51j0;66g;4283>>i5k10;66sm86;94?2=83:p(9:k:3f4?M22k2B?9=5+3849500e3`;ji7>5;h0:g?6=3`>??7>5;n0`5}#<=n1>i94H57`?M2282.85;4>57`8m4gb2900e?7l:188m1242900c?m7:188yg>0j3:187>50z&70a<5l<1C88m4H573?!5>>3;>:o5f1`g94?=n:0i1<75f45194?=h;1k1<75rb95`>5<2290;w):;d;0`a>N3=j1C88>4$3`f>7gb3->8?7:9a:k1f<<722c9nl4?::k1fg<722c9nn4?::m0o6?mk;I66g>N3=91/>ok5a19j6g?=831b>oo50;9j6gd=831d?5o50;9~f=1b290>6=4?{%67`?4dm2B?9n5G4428 7db2090(9=<:546?l4e13:17d0<729q/89j52bg8L13d3A>><6*=bd8:a>"3;:0?::5f2c;94?=n:kk1<75f2c`94?=n:ki1<75`39c94?=zj12;6=49:183!23l38hj6F;5b9K006<,;hn6o6?mj;I66g>N3=91/>ok59g9'065=6n:188yg>?:3:187>50z&70a<5km1C88m4H573?!4em3337d1<729q/89j52bf8L13d3A>><6*=bd82f0=#<:918;o4i3`:>5<5<5;h0ae?6=3f93m7>5;|`;<0<72=0;6=u+45f96fb<@=?h7E::0:&1f`<5ih1/8>=54758m7d>2900e?ln:188m7de2900c>6n:188yg>?>3:1:7>50z&70a<5ko1C88m4H573?!4em38:7d1<729q/89j52bf8L13d3A>><6*=bd817>o5j00;66g=b`83>>o5jk0;66a<8`83>>{e0121<7=50;2x 12c2;ih7E::c:J715=#:ko1?;5f2c;94?=n:kk1<75`39c94?=zj1226=4<:183!23l38ho6F;5b9K006<,;hn65;n1;e?6=3th34l4?:583>5}#<=n1>nj4H57`?M2282.9nh4=a69'065=f2900qo67b;290?6=8r.?8i4=ce9K00e<@=?;7)4?:1y'01b=:ji0D9;l;I664>"5jl02o6g=b883>>o5jh0;66a<8`83>>{e01n1<7:50;2x 12c2;io7E::c:J715=#:ko15i5+421903>5<5<55;294~"3o6?mi;I66g>N3=91/>ok52c68m7d>2900e?ln:188m7de2900e?ll:188m7dc2900c>6n:188yg>>83:187>50z&70a<5km1C88m4H573?!4em382m6g=b883>>o5jh0;66g=bc83>>i40h0;66sm88394?2=83:p(9:k:3ag?M22k2B?9=5+2cg965<5<7>54;294~"35;h0af?6=3f93m7>5;|`;=6<72=0;6=u+45f96fb<@=?h7E::0:&1f`<5;2c9n44?::k1fd<722c9no4?::m0o6?ml;I66g>N3=91/>ok59b9j6g?=831b>oo50;9l7=g=831vn57::180>5<7s->?h74?:1y'01b=:ji0D9;l;I664>"5jl0296g=b883>>o5jh0;66a<8`83>>{e00=1<7=50;2x 12c2;ih7E::c:J715=#:ko1585f2c;94?=n:kk1<75`39c94?=zj1336=4::183!23l38hi6F;5b9K006<,;hn65;h0af?6=3`8io7>5;n1;e?6=3th3544?:583>5}#<=n1>nj4H57`?M2282.9nh46a:k1f<<722c9nl4?::k1fg<722e84l4?::a<t$56g>7ed3A>>o6F;519'6gc=:h<0(9=<:54a?l4e13:17d1<729q/89j52bf8L13d3A>><6*=bd8:e>o5j00;66g=b`83>>o5jk0;66a<8`83>>{e00i1<7=50;2x 12c2;ih7E::c:J715=#:ko15:5f2c;94?=n:kk1<75`39c94?=zj13o6=4;:183!23l38hh6F;5b9K006<,;hn6?7n;h0a=?6=3`8im7>5;h0af?6=3f93m7>5;|`;=`<72:0;6=u+45f96fe<@=?h7E::0:&1f`<>?2c9n44?::k1fd<722e84l4?::a<<`=8391<7>t$56g>7ed3A>>o6F;519'6gc=1>1b>o750;9j6gg=831d?5o50;9~f=g7290?6=4?{%67`?4dl2B?9n5G4428 7db20k0e?l6:188m7df2900e?lm:188k6>f2900qo6n1;290?6=8r.?8i4=ce9K00e<@=?;7)4?:1y'01b=:ji0D9;l;I664>"5jl02;6g=b883>>o5jh0;66a<8`83>>{e0h91<7=50;2x 12c2;ih7E::c:J715=#:ko15:5f2c;94?=n:kk1<75`39c94?=zj1k?6=4<:183!23l38ho6F;5b9K006<,;hn6494i3`:>5<5<53;294~"35;n1;e?6=3th3m;4?:583>5}#<=n1>nj4H57`?M2282.9nh4=4:k1f<<722c9nl4?::k1fg<722e84l4?::at$56g>7ed3A>>o6F;519'6gc=1j1b>o750;9j6gg=831d?5o50;9~f=g?290>6=4?{%67`?4dm2B?9n5G4428 7db2;3i7d"5jl09m45f2c;94?=n:kk1<75f2c`94?=n:ki1<75`39c94?=zj1kj6=4;:183!23l38hh6F;5b9K006<,;hn64o4i3`:>5<5<5;h0ae?6=3f93m7>5;|`;ef<72:0;6=u+45f96fe<@=?h7E::0:&1f`<5i?1b>o750;9j6gg=831d?5o50;9~f=gc290?6=4?{%67`?4dl2B?9n5G4428 7db28987d1<729q/89j52bf8L13d3A>><6*=bd81eg=n:k31<75f2cc94?=n:kh1<75`39c94?=zj1km6=4;:183!23l38hh6F;5b9K006<,;hn6?o8;h0a=?6=3`8im7>5;h0af?6=3f93m7>5;|`;f5<72:0;6=u+45f96fe<@=?h7E::0:&1f`<>?2c9n44?::k1fd<722e84l4?::at$56g>7ed3A>>o6F;519'6gc=1<1b>o750;9j6gg=831d?5o50;9~f=d529086=4?{%67`?4dk2B?9n5G4428 7db20?0e?l6:188m7df2900c>6n:188yg>e;3:1?7>50z&70a<5kj1C88m4H573?!4em33>7d4?:1y'01b=:ji0D9;l;I664>"5jl02o6*;32872a=n:k31<75f2cc94?=h;1k1<75rb9`6>5<4290;w):;d;0`g>N3=j1C88>4$3`f>5;n1;e?6=3th3n;4?:283>5}#<=n1>nm4H57`?M2282.9nh46c:&776<3>j1b>o750;9j6gg=831d?5o50;9~f=d029086=4?{%67`?4dk2B?9n5G4428 7db20?0e?l6:188m7df2900c>6n:188yg>e03:197>50z&70a<5kl1C88m4H573?!4em3397d"5jl02>6g=b883>>o5jh0;66g=bc83>>o5jj0;66a<8`83>>{e0kk1<7;50;2x 12c2;in7E::c:J715=#:ko15?5f2c;94?=n:kk1<75f2c`94?=n:ki1<75`39c94?=zj1hi6=4::183!23l38hi6F;5b9K006<,;hn64<4i3`:>5<5<5<55;294~"35;h0af?6=3`8io7>5;n1;e?6=3th3ni4?:483>5}#<=n1>nk4H57`?M2282.9nh462:k1f<<722c9nl4?::k1fg<722c9nn4?::m0o6?mj;I66g>N3=91/>ok5939j6g?=831b>oo50;9j6gd=831b>om50;9l7=g=831vn5li:186>5<7s->?h72900e?ln:188m7de2900e?ll:188k6>f2900qo6l0;291?6=8r.?8i4=cd9K00e<@=?;7)0<729q/89j52bg8L13d3A>><6*=bd8:6>o5j00;66g=b`83>>o5jk0;66g=bb83>>i40h0;66sm8b094?3=83:p(9:k:3af?M22k2B?9=5+2cg9=7=n:k31<75f2cc94?=n:kh1<75f2ca94?=h;1k1<75rb9a0>5<2290;w):;d;0`a>N3=j1C88>4$3`f><45<5<5;h0ae?6=3`8in7>5;h0ag?6=3f93m7>5;|`;g0<72<0;6=u+45f96fc<@=?h7E::0:&1f`<>:2c9n44?::k1fd<722c9no4?::k1ff<722e84l4?::at$56g>7eb3A>>o6F;519'6gc=1;1b>o750;9j6gg=831b>ol50;9j6ge=831d?5o50;9~f=e0290>6=4?{%67`?4dm2B?9n5G4428 7db2080e?l6:188m7df2900e?lm:188m7dd2900c>6n:188yg>d03:197>50z&70a<5kl1C88m4H573?!4em3397d"5jl02>6g=b883>>o5jh0;66g=bc83>>o5jj0;66a<8`83>>{e0jk1<7;50;2x 12c2;in7E::c:J715=#:ko15?5f2c;94?=n:kk1<75f2c`94?=n:ki1<75`39c94?=zj1ii6=4::183!23l38hi6F;5b9K006<,;hn64<4i3`:>5<5<5<55;294~"35;h0af?6=3`8io7>5;n1;e?6=3th3oi4?:483>5}#<=n1>nk4H57`?M2282.9nh462:k1f<<722c9nl4?::k1fg<722c9nn4?::m0o6?mj;I66g>N3=91/>ok5939j6g?=831b>oo50;9j6gd=831b>om50;9l7=g=831vn5mi:186>5<7s->?h72900e?ln:188m7de2900e?ll:188k6>f2900qo6k0;291?6=8r.?8i4=cd9K00e<@=?;7)0<729q/89j52bg8L13d3A>><6*=bd8:6>o5j00;66g=b`83>>o5jk0;66g=bb83>>i40h0;66sm8e094?3=83:p(9:k:3af?M22k2B?9=5+2cg9=7=n:k31<75f2cc94?=n:kh1<75f2ca94?=h;1k1<75rb9f0>5<2290;w):;d;0`a>N3=j1C88>4$3`f><45<5<5;h0ae?6=3`8in7>5;h0ag?6=3f93m7>5;|`;`0<72<0;6=u+45f96fc<@=?h7E::0:&1f`<>:2c9n44?::k1fd<722c9no4?::k1ff<722e84l4?::at$56g>7eb3A>>o6F;519'6gc=1;1b>o750;9j6gg=831b>ol50;9j6ge=831d?5o50;9~f=b0290>6=4?{%67`?4dm2B?9n5G4428 7db2080e?l6:188m7df2900e?lm:188m7dd2900c>6n:188yg>c03:197>50z&70a<5kl1C88m4H573?!4em3397d"5jl02>6g=b883>>o5jh0;66g=bc83>>o5jj0;66a<8`83>>{e0mk1<7;50;2x 12c2;in7E::c:J715=#:ko15?5f2c;94?=n:kk1<75f2c`94?=n:ki1<75`39c94?=zj1ni6=4::183!23l38hi6F;5b9K006<,;hn64<4i3`:>5<5<5<55;294~"35;h0af?6=3`8io7>5;n1;e?6=3th3hi4?:483>5}#<=n1>nk4H57`?M2282.9nh462:k1f<<722c9nl4?::k1fg<722c9nn4?::m0o6?mj;I66g>N3=91/>ok5939j6g?=831b>oo50;9j6gd=831b>om50;9l7=g=831vn5ji:186>5<7s->?h72900e?ln:188m7de2900e?ll:188k6>f2900qo6j0;291?6=8r.?8i4=cd9K00e<@=?;7)0<729q/89j52bg8L13d3A>><6*=bd8:6>o5j00;66g=b`83>>o5jk0;66g=bb83>>i40h0;66sm8d094?3=83:p(9:k:3af?M22k2B?9=5+2cg9=7=n:k31<75f2cc94?=n:kh1<75f2ca94?=h;1k1<75rb9g0>5<2290;w):;d;0`a>N3=j1C88>4$3`f><45<5<5;h0ae?6=3`8in7>5;h0ag?6=3f93m7>5;|`;a0<72<0;6=u+45f96fc<@=?h7E::0:&1f`<>:2c9n44?::k1fd<722c9no4?::k1ff<722e84l4?::a<`0=83?1<7>t$56g>7eb3A>>o6F;519'6gc=1;1b>o750;9j6gg=831b>ol50;9j6ge=831d?5o50;9~f=c0290>6=4?{%67`?4dm2B?9n5G4428 7db2080e?l6:188m7df2900e?lm:188m7dd2900c>6n:188yg>b03:197>50z&70a<5kl1C88m4H573?!4em3397d"5jl02>6g=b883>>o5jh0;66g=bc83>>o5jj0;66a<8`83>>{e0lk1<7;50;2x 12c2;in7E::c:J715=#:ko15?5f2c;94?=n:kk1<75f2c`94?=n:ki1<75`39c94?=zj1oi6=4::183!23l38hi6F;5b9K006<,;hn64<4i3`:>5<5<5<55;294~"35;h0af?6=3`8io7>5;n1;e?6=3th3ii4?:483>5}#<=n1>nk4H57`?M2282.9nh462:k1f<<722c9nl4?::k1fg<722c9nn4?::m0o6?mj;I66g>N3=91/>ok5939j6g?=831b>oo50;9j6gd=831b>om50;9l7=g=831vn5ki:186>5<7s->?h72900e?ln:188m7de2900e?ll:188k6>f2900qo6i0;291?6=8r.?8i4=cd9K00e<@=?;7)0<729q/89j52bg8L13d3A>><6*=bd8:6>o5j00;66g=b`83>>o5jk0;66g=bb83>>i40h0;66sm8g094?3=83:p(9:k:3af?M22k2B?9=5+2cg9=7=n:k31<75f2cc94?=n:kh1<75f2ca94?=h;1k1<75rb9d0>5<2290;w):;d;0`a>N3=j1C88>4$3`f><45<5<5;h0ae?6=3`8in7>5;h0ag?6=3f93m7>5;|`;b0<72<0;6=u+45f96fc<@=?h7E::0:&1f`<>:2c9n44?::k1fd<722c9no4?::k1ff<722e84l4?::at$56g>7eb3A>>o6F;519'6gc=1;1b>o750;9j6gg=831b>ol50;9j6ge=831d?5o50;9~f=`0290>6=4?{%67`?4dm2B?9n5G4428 7db2080e?l6:188m7df2900e?lm:188m7dd2900c>6n:188yg>a03:197>50z&70a<5kl1C88m4H573?!4em3397d"5jl02>6g=b883>>o5jh0;66g=bc83>>o5jj0;66a<8`83>>{e0ok1<7;50;2x 12c2;in7E::c:J715=#:ko15?5f2c;94?=n:kk1<75f2c`94?=n:ki1<75`39c94?=zj1li6=4::183!23l38hi6F;5b9K006<,;hn64<4i3`:>5<5<5<55;294~"35;h0af?6=3`8io7>5;n1;e?6=3th3ji4?:483>5}#<=n1>nk4H57`?M2282.9nh462:k1f<<722c9nl4?::k1fg<722c9nn4?::m0o6?mj;I66g>N3=91/>ok5939j6g?=831b>oo50;9j6gd=831b>om50;9l7=g=831vn5hi:186>5<7s->?h72900e?ln:188m7de2900e?ll:188k6>f2900qo7?0;291?6=8r.?8i4=cd9K00e<@=?;7)0<729q/89j52bg8L13d3A>><6*=bd8:6>o5j00;66g=b`83>>o5jk0;66g=bb83>>i40h0;66sm91094?3=83:p(9:k:3af?M22k2B?9=5+2cg9=7=n:k31<75f2cc94?=n:kh1<75f2ca94?=h;1k1<75rb820>5<2290;w):;d;0`a>N3=j1C88>4$3`f><45<5<5;h0ae?6=3`8in7>5;h0ag?6=3f93m7>5;|`:40<72<0;6=u+45f96fc<@=?h7E::0:&1f`<>:2c9n44?::k1fd<722c9no4?::k1ff<722e84l4?::a=50=83?1<7>t$56g>7eb3A>>o6F;519'6gc=1;1b>o750;9j6gg=831b>ol50;9j6ge=831d?5o50;9~f<60290>6=4?{%67`?4dm2B?9n5G4428 7db2080e?l6:188m7df2900e?lm:188m7dd2900c>6n:188yg?703:197>50z&70a<5kl1C88m4H573?!4em3397d800;684?:1y'01b=:jo0D9;l;I664>"5jl02>6g=b883>>o5jh0;66g=bc83>>o5jj0;66a<8`83>>{e19k1<7;50;2x 12c2;in7E::c:J715=#:ko15?5f2c;94?=n:kk1<75f2c`94?=n:ki1<75`39c94?=zj0:i6=4::183!23l38hi6F;5b9K006<,;hn64<4i3`:>5<5<5<55;294~"35;h0af?6=3`8io7>5;n1;e?6=3th25}#<=n1>nk4H57`?M2282.9nh462:k1f<<722c9nl4?::k1fg<722c9nn4?::m0o6?mj;I66g>N3=91/>ok5939j6g?=831b>oo50;9j6gd=831b>om50;9l7=g=831vn4>i:186>5<7s->?h72900e?ln:188m7de2900e?ll:188k6>f2900qo7>0;291?6=8r.?8i4=cd9K00e<@=?;7)0<729q/89j52bg8L13d3A>><6*=bd8:6>o5j00;66g=b`83>>o5jk0;66g=bb83>>i40h0;66sm90094?3=83:p(9:k:3af?M22k2B?9=5+2cg9=7=n:k31<75f2cc94?=n:kh1<75f2ca94?=h;1k1<75rb830>5<2290;w):;d;0`a>N3=j1C88>4$3`f><45<5<5;h0ae?6=3`8in7>5;h0ag?6=3f93m7>5;|`:50<72<0;6=u+45f96fc<@=?h7E::0:&1f`<>:2c9n44?::k1fd<722c9no4?::k1ff<722e84l4?::a=40=83?1<7>t$56g>7eb3A>>o6F;519'6gc=1;1b>o750;9j6gg=831b>ol50;9j6ge=831d?5o50;9~f<70290>6=4?{%67`?4dm2B?9n5G4428 7db2080e?l6:188m7df2900e?lm:188m7dd2900c>6n:188yg?603:187>50z&70a<5km1C88m4H573?!4em3897d1<729q/89j52bf8L13d3A>><6*=bd81=<=n:k31<75f2cc94?=n:kh1<75`39c94?=zj0;j6=4<:183!23l38ho6F;5b9K006<,;hn6?o9;h0a=?6=3`8im7>5;n1;e?6=3th2=o4?:283>5}#<=n1>nm4H57`?M2282.9nh4=a79j6g?=831b>oo50;9l7=g=831vn4?l:180>5<7s->?h76<729q/89j52ba8L13d3A>><6*=bd81e3=n:k31<75f2cc94?=h;1k1<75rb83f>5<2290;w):;d;0a5>N3=j1C88>4$2;5>431j2.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th2=k4?:483>5}#<=n1>o?4H57`?M2282.85;4>57`8 7db2;1b=8k50;9j5;50;9~f<47290>6=4?{%67`?4e92B?9n5G4428 6?128?=n6*=bd81?l72m3:17d?6e;29?l7e<3:17d:<7;29?j24=3:17pl62083>0<729q/89j52c38L13d3A>><6*<978213d<,;hn6?5f14g94?=n90o1<75f1c694?=n<:=1<75`42794?=zj0896=4::183!23l38i=6F;5b9K006<,:3=6<;9b:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`:66<72<0;6=u+45f96g7<@=?h7E::0:&0=3<6=?h0(?lj:39j50c=831b=4k50;9j5g2=831b8>950;9l063=831vn4<;:186>5<7s->?h779:075f>"5jl097d?:e;29?l7>m3:17d?m4;29?l24?3:17b:<5;29?xd>:<0;684?:1y'01b=:k;0D9;l;I664>"41?0:9;l4$3`f>7=n91<75f42594?=h<:?1<75rb805>5<2290;w):;d;0a5>N3=j1C88>4$2;5>431j2.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th2>:4?:483>5}#<=n1>o?4H57`?M2282.85;4>57`8 7db2;1b=8k50;9j5;50;9~f<4?290?6=4?{%67`?4e82B?9n5G4428m43b2900e50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm93c94?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<55;294~"3t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e1;o1<7;50;2x 12c2;h97E::c:J715=#;0<1=88m;%0aa?423`;>i7>5;h3:a?6=3`;i87>5;h677?6=3f>897>5;|`:6c<72=0;6=u+45f96g6<@=?h7E::0:k21`<722c:n94?::k772<722e??84?::a=66=83?1<7>t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e1:81<7;50;2x 12c2;h:7E::c:J715=#;0<1=88m;%0aa?45<5<6=44}c;07?6==3:1k1/>ok5249j50c=831b=4k50;9j5g2=831b89=50;9l063=831vn4=;:186>5<7s->?h779:075f>"5jl0996g>5d83>>o61l0;66g>b583>>o3<:0;66a;3483>>{e1:?1<7;50;2x 12c2;h97E::c:J715=#;0<1=88m;%0aa?423`;>i7>5;h3:a?6=3`;i87>5;h677?6=3f>897>5;|`:73<72<0;6=u+45f96g7<@=?h7E::0:&0=3<6=?h0(?lj:39j50c=831b=4k50;9j5g2=831b8>950;9l063=831vn4=8:186>5<7s->?h779:075f>"5jl097d?:e;29?l7>m3:17d?m4;29?l24?3:17b:<5;29?xd>;10;684?:1y'01b=:k;0D9;l;I664>"41?0:9;l4$3`f>7=n91<75f42594?=h<:?1<75rb81:>5<2290;w):;d;0a6>N3=j1C88>4$2;5>431j2.9nh4=5:k21`<722c:5h4?::k2f1<722c?8>4?::m770<722wi5>o50;794?6|,=>o6?l>;I66g>N3=91/?485144a?!4em380e<;j:188m4?b2900e50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm92a94?3=83:p(9:k:3`1?M22k2B?9=5+3849500e3-8ii7<:;h36a?6=3`;2i7>5;h3a0?6=3`>??7>5;n601?6=3th2?i4?:483>5}#<=n1>o<4H57`?M2282.85;4>57`8 7db2;?0e<;j:188m4?b2900e50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm92d94?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<55;294~"3t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e1=91<7<50;2x 12c2;ii7E::c:J715=#:ko1?;5f2c;94?=h;1k1<75rb867>5<5290;w):;d;0`f>N3=j1C88>4$3`f>605<54;294~"35;h0af?6=3f93m7>5;|`:03<72;0;6=u+45f96fd<@=?h7E::0:&1f`<4>2c9n44?::m0o6?mm;I66g>N3=91/>ok5379j6g?=831d?5o50;9~f<2?29096=4?{%67`?4dj2B?9n5G4428 7db2:<0e?l6:188k6>f2900qo7;9;296?6=8r.?8i4=cc9K00e<@=?;7)"5jl08:6g=b883>>i40h0;66sm95`94?4=83:p(9:k:3aa?M22k2B?9=5+2cg973=n:k31<75`39c94?=zj0>h6=4=:183!23l38hn6F;5b9K006<,;hn6>84i3`:>5<5;n1;e?6=3th28h4?:383>5}#<=n1>nl4H57`?M2282.9nh4<6:k1f<<722e84l4?::a=1`=8381<7>t$56g>7ee3A>>o6F;519'6gc=;?1b>o750;9l7=g=831vn4;?:181>5<7s->?h72900c>6n:188yg?293:1>7>50z&70a<5kk1C88m4H573?!4em39=7d7<729q/89j52b`8L13d3A>><6*=bd802>o5j00;66a<8`83>>{e1<91<7<50;2x 12c2;ii7E::c:J715=#:ko1?;5f2c;94?=h;1k1<75rb877>5<5290;w):;d;0`f>N3=j1C88>4$3`f>605<97>52;294~"35;|`:13<72;0;6=u+45f96fd<@=?h7E::0:&1f`<4>2c9n44?::m0o6?l?;I66g>N3=91/>ok52:k21`<722c:n94?::k772<722e??84?::a=0>=83>1<7>t$56g>7d73A>>o6F;519'6gc=:2c:9h4?::k2f1<722c??:4?::m770<722wi58750;194?6|,=>o6?ok;I66g>N3=91/>ok5249j50c=831b=o:50;9l063=831vn4;n:180>5<7s->?h7=j0;694?:1y'01b=:jn0D9;l;I664>"5jl09ml5f2c;94?=n:kk1<75f2c`94?=h;1k1<75rb87g>5<3290;w):;d;0``>N3=j1C88>4$3`f>7gf3->8?7:99:k1f<<722c9nl4?::k1fg<722e84l4?::a=0c=83?1<7>t$56g>7eb3A>>o6F;519'6gc=:h20(9=<:547?l4e13:17d0<729q/89j52bg8L13d3A>><6*=bd81e==#<:918;j4i3`:>5<5<5<55;294~"3j1b>o750;9j6gg=831b>ol50;9j6ge=831d?5o50;9~f<06290=6=4?{%67`?4dn2B?9n5G4428 7db2:=0(9=<:540?l4e13:17d>;0;684?:1y'01b=:jo0D9;l;I664>"5jl0946*;328726=n:k31<75f2cc94?=n:kh1<75f2ca94?=h;1k1<75rb840>5<1290;w):;d;0`b>N3=j1C88>4$3`f><7<,=986989;h0a=?6=3`8im7>5;h0af?6=3`8io7>5;h0a`?6=3f93m7>5;|`:21<72=0;6=u+45f96fb<@=?h7E::0:&1f`<5;2.??>4;679j6g?=831b>oo50;9j6gd=831d?5o50;9~f<02290?6=4?{%67`?4fn2B?9n5G4428 7db2;1b=8k50;9j55<7s->?h7f2900qo797;296?6=8r.?8i4=c79K00e<@=?;7d7<729q/89j52b48L13d3A>><6g=b983>>i40h0;66sm97;94?4=83:p(9:k:3a5?M22k2B?9=5f2c:94?=h;1k1<75rb84b>5<5290;w):;d;0`2>N3=j1C88>4i3`;>5<5;|`:2f<72;0;6=u+45f96f0<@=?h7E::0:k1f=<722e84l4?::a=3b=8381<7>t$56g>7e13A>>o6F;519j6g>=831d?5o50;9~f<0b29096=4?{%67`?4d>2B?9n5G4428m7d?2900c>6n:188yg?1n3:1>7>50z&70a<5k?1C88m4H573?l4e03:17b=7a;29?xd>?90;6?4?:1y'01b=:j<0D9;l;I664>o5j10;66a<8`83>>{e1>;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=24=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi5:=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e1>>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm96794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi5:850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=21=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm96:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1>31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=2g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi5:l50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e1>i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm96f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi5:k50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=2`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm99294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e11;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a==4=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi55=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e11>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm99794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi55850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a==1=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm99:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1131<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a==g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi55l50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e11i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm99f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi55k50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a==`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm98294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e10;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=<4=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi54=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e10>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm98794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi54850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=<1=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm98:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1031<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi54l50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e10i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm98f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi54k50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=<`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm9`294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1h;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=d4=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi5l=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e1h>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm9`794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi5l850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=d1=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm9`:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1h31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=dg=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi5ll50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e1hi1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm9`f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi5lk50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=d`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm9c294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1k;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=g4=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi5o=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e1k>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm9c794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi5o850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=g1=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm9c:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1k31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=gg=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi5ol50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e1ki1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm9cf94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi5ok50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=g`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm9b294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1j;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=f4=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi5n=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e1j>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm9b794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi5n850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=f1=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm9b:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1j31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=fg=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi5nl50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e1ji1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm9bf94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi5nk50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=f`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm9e294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1m;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=a4=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi5i=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e1m>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm9e794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi5i850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=a1=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm9e:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1m31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=ag=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi5il50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e1mi1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm9ef94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi5ik50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=a`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm9d294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1l;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=`4=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi5h=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e1l>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm9d794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi5h850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=`1=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm9d:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1l31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=`g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi5hl50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e1li1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm9df94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi5hk50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=``=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm9g294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1o;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=c4=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi5k=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e1o>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm9g794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi5k850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=c1=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm9g:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1o31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=cg=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi5kl50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e1oi1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm9gf94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi5kk50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=c`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma1294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei9;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae54=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim==50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei9>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma1794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim=850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae51=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma1:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei931<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae5g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim=l50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei9i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma1f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim=k50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae5`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma0294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei8;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae44=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim<=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei8>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma0794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim<850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae41=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma0:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei831<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae4g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wimo69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei8i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma0f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wimo6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae4`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma3294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei;;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae74=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim?=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei;>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma3794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim?850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae71=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma3:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei;31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae7g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim?l50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei;i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma3f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim?k50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae7`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma2294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei:;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae64=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim>=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei:>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma2794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim>850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae61=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma2:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei:31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae6g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim>l50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei:i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma2f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim>k50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae6`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma5294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei=;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae14=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim9=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei=>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma5794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim9850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae11=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma5:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei=31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae1g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim9l50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei=i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma5f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim9k50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae1`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma4294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei<;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae04=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim8=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei<>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma4794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim8850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae01=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma4:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei<31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae0g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim8l50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei6g>5d83>>o61l0;66g>b583>>i3;<0;66sma4f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim8k50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae0`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma7294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei?;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae34=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim;=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei?>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma7794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim;850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae31=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma7:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei?31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae3g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim;l50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei?i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma7f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim;k50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae3`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma6294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei>;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae24=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim:=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei>>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma6794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim:850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae21=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma6:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei>31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae2g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim:l50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei>i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma6f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim:k50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae2`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma9294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei1;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae=4=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim5=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei1>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma9794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim5850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae=1=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma9:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei131<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae=g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim5l50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei1i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma9f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim5k50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae=`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma8294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei0;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae<4=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim4=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei0>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma8794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim4850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae<1=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma8:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei031<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim4l50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei0i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma8f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim4k50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae<`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma`294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{eih;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::aed4=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wiml=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{eih>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma`794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wiml850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::aed1=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma`:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{eih31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::aedg=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wimll50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{eihi1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma`f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wimlk50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::aed`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66smac294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{eik;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::aeg4=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wimo=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{eik>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66smac794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wimo850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::aeg1=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66smac:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{eik31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::aegg=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wimol50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{eiki1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66smacf94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wimok50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::aeg`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66smab294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{eij;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::aef4=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wimn=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{eij>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66smab794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wimn850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::aef1=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66smab:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{t9<;>?>0:n95rs0744?6=:rTjh:5296;95g2;<4?:3y]ea0<50=>6b59~w430<3:1>vPnd29>=2d=9k>0q~?:7483>7}Yim80146>:0`7?xu6=><1<75<5sWkn<6368682f1=z{8?<47>52z\b`c=:1131=o:4}r363<<72;qUmik4=8:6>4d33ty:9:o50;0xZdbc3433h7?m4:p501e2909wSokc:?:56g94?4|Vhn270765;3a0>{t9<=m6=4={_cg4>;>180:n95rs07;4?6=:rTjok5298595g24<4?:3y]f74<5=3<6??<;|q21=4=838pRo<>;<6:3?46:2wx=86<:181[d5827?5:4=109~w43?<3:1>vPm1g9>0<1=:8:0q~?:8483>7}Yj8n01978:32f?xu6=1<1<7?38;h6s|14:4>5<5sWh:n63;96814f=z{8?347>52z\a5d=:<0=1>=o4}r36<<<72;qUn<74=5;4>76>3ty:95o50;0xZg7?34>2;7e2909wSl>7:?7=2<58>1v<;7c;296~Xe9?1684952148yv720m0;6?uQb07891?02;:>7p}>59g94?4|Vk;?70:67;030>{t9<2m6=4={_`1=>;31>09?95rs07:4?6=:rTi>55248596655<4?:3y]f71<5=3<6?==;|q21<4=838pRo<9;<6:3?4492wx=87<:181[d5=27?5:4=319~w43><3:1>vPm259>0<1=:;l0q~?:9483>7}Yj;901978:306?xu6=0<1<7?38:m6s|14;4>5<5sWh:?63;96814c=z{8?247>52z\a57=:<0=1>==4}r36=<<72;qUo=<4=5;4>74b3ty:94o50;0xZf6634>2;7<=c:p50?e2909wSm?0:?7=2<5:k1v<;6c;296~Xeno16849523c8yv721m0;6?uQbgf891?02;827p}>58g94?4|Vklh70:67;01<>{t9<3m6=4={_`ef>;31>09>:5rs07b4?6=:rTijl524859670m<4?:3y]fc?<5=3<6?<;;|q21d4=838pRoh7;<6:3?45;2wx=8o<:181[da?27?5:4=239~w43f<3:1>vPmf79>0<1=:;;0q~?:a483>7}Yjo?01978:303?xu6=h<1<7?38:j6s|14c4>5<5sWi;563;96815`=z{8?j47>52z\`4==:<0=1>77d3ty:9lo50;0xZf6134>2;7<>b:p50ge2909wSm?5:?7=2<5901v<;nc;296~Xd8=16849520:8yv72im0;6?uQc11891?02;;<7p}>5`g94?4|Vkln70:67;022>{t9;31>09=85rs07a4?6=:rTij?524859642n<4?:024[72>l1685>5451891>62=9<70:72;603>;30<0??:524949061<5=2<69=8;<6;0=g=<:=0196m:514?82?k3>8;63;8e8706=:<1o18>94=5:e>12434>2=7:<7:?7=7<3;>1684:5425891?22=9<70:66;603>;31>0?895255a9061<5;o4;369>12e=<:=0189k:514?830m3>8;63:7g8772=:=1:18>94=4:2>15034?3>7:<7:?6<6<3;>1695:5425890>22=9<70;76;670>;2j00?89525da9012<5?;m69:;;<466?23<27=484;459>2g>=<=>01;km:567?816m3>?8638568701=:?>o18>94=65e>15034=3<7:<7:?4<4<3;>16;5<5425892>42=9<70974;603>;00<0??:527949061<5>2<69=8;<5;3=g=<:=01:6m:514?81?k3>8;6388e8772=:?1o18>94=6:e>15034=2<7:<7:?4=4<3;>16;4<5425892?42=9<70964;603>;01<0??:527849061<5>3<69=8;<5:3k3>8;6389e8772=:?0o18>94=6;e>15034=j<7:<7:?4e4<3;>16;l<5425892g42=9<709n4;603>;0i<0??:527`49061<5>k<69=8;<5b3dg=<:=01:om:514?81fk3>8;638ae8772=:?ho18>94=6ce>15034=i<7:<7:?4f4<3;>16;o<5425892d42=9<709m4;603>;0j<0??:527c49061<5>h<69=8;<5a3gg=<:=01:lm:514?81ek3>8;638be8772=:?ko18>94=6`e>15034=h<7:<7:?4g4<3;>16;n<5425892e42=9<709l4;603>;0k<0??:527b49061<5>i<69=8;<5`3fg=<:=01:mm:514?81dk3>8;638ce8772=:?jo18>94=6ae>15034=o<7:<7:?4`4<3;>16;i<5425892b42=9<709k4;603>;0l<0??:527e49061<5>n<69=8;<5g3ag=<:=01:jm:514?81ck3>8;638de8772=:?mo189:4=933>150342:=7:<7:?;57<3;>164<=542589=732=9<706>5;603>;?9?0??:528059061<51;369=8;<:2=?24?273=l4;369><4d=<:=015?l:514?8>6l3>8;6371d8772=:08l18>94=903>1503429=7:<7:?;67<3;>164?=542589=432=9<706=5;603>;?:?0??:528359061<518369=8;<:1=?24?273>l4;369><7d=<:=0155l3>8;6372d8772=:0;l18>94=913>1503428=7:<7:?;77<3;>164>=542589=532=9<706<5;603>;?;?0??:528259061<519369=8;<:0=?24?273?l4;369><6d=<:=015=l:514?8>4l3>8;6373d8772=:0:l18>94=963>150342?=7:<7:?;07<3;>1649=542589=232=9<706;5;603>;?369=8;<:7=?24?2738l4;369><1d=<:=015:l:514?8>3l3>8;6374d8772=:0=l18>94=973>150342>=7:<7:?;17<3;>1648=542589=332=9<706:5;603>;?=?0??:528459061<51?369=8;<:6=?24?2739l4;369><0d=<:=015;l:514?8>2l3>8;6375d8772=:094=943>150342==7:<7:?;27<3;>164;=542589=032=9<70695;603>;?>?0??:528759061<51<369=8;<:5=?24?273:l4;369><3d=<:=0158l:514?8>1l3>8;6376d8772=:0?l18>94=953>1503423m7;>:00??:5293c9061<508i69=8;<;1g?24?272>i4;369>=7c=<=9014=6:560?8?4i3>8;6363c8772=:1:i189=4=81g>1243438i7:<7:?:7c<3;>1659>542589<262=9<707;2;603>;>=>0??:5294:9061<50?o6?ln;|q21g4=838j5vPncd9>025=9i63;7c82ec=:<>i1=lh4=5:3>43b34>3=7?:e:?7<7<6=l1685=514g891>328?n70:75;36a>;30?0:9h52495950c<5=236<;j;<6;=?72m27?4l4>5d9>0=d=9i63;8d821`=:<1l1=8k4=5;3>43b34>2=7?:e:?7=7<6=l1684=514g891?328?n70:65;36a>;31?0:9h5248595d`<5=lj6<;j;<6ef?72m27><84>5d9>150=98:07f?83703;>i63:08821`=:=9k1=8k4=42a>43b34?;o7?:e:?64a<6=l169=k514g8906a28?n70;>0;36a>;2980:9h52500950c<5<;86<;j;<720?72m27>=84>5d9>140=9i63:18821`=:=8k1=8k4=43a>43b34?:o7?:e:?677<6=l169>=514g8905328?n70;;2;l0:9h52554950c<5<><6<;j;<77g?72m27>9=4>5d9>10g=9i63:71821`=:=>;1=lh4=451>4ga34?;2?10:9h5256;950c<5<=j6<;j;<74f?72m27>;n4>5d9>12b=9i63:81821`=:=1;1=8k4=4:1>43b34?3?7?:e:?6<1<6=l1695;514g890>128km70;m9;3bb>;2mj0:mk5260d95d`<5??96ag9>2`d=9hl01:?j:0ce?812?3;jj6387d821`=:?>l1=8k4=6:3>43b34=3=7?:e:?4<7<6=l16;5=514g892>328?n70975;36a>;00?0:9h52795950c<5>236<;j;<5;=?72m27<4l4>5d9>3=d=9i6388d821`=:?1l1=8k4=6;3>43b34=2=7?:e:?4=7<6=l16;4=514g892?328?n70965;36a>;01?0:9h52785950c<5>336<;j;<5:=?72m27<5l4>5d9>3l3;>i6389d821`=:?0l1=8k4=6c3>43b34=j=7?:e:?4e7<6=l16;l=514g892g328?n709n5;36a>;0i?0:9h527`5950c<5>k36<;j;<5b=?72m275d9>3dd=9i638ad821`=:?hl1=8k4=6`3>43b34=i=7?:e:?4f7<6=l16;o=514g892d328?n709m5;36a>;0j?0:9h527c5950c<5>h36<;j;<5a=?72m275d9>3gd=9i638bd821`=:?kl1=8k4=6a3>43b34=h=7?:e:?4g7<6=l16;n=514g892e328?n709l5;36a>;0k?0:9h527b5950c<5>i36<;j;<5`=?72m275d9>3fd=9i638cd821`=:?jl1=8k4=6f3>43b34=o=7?:e:?4`7<6=l16;i=514g892b328?n709k5;36a>;0l?0:9h527e5950c<5>n36<;j;<5g=?72m275d9>3ad=9i638dd82ec=:09l1=8k4=933>43b342:=7?:e:?;57<6=l164<=514g89=7328?n706>5;36a>;?9?0:9h52805950c<51;36<;j;<:2=?72m273=l4>5d9><4d=96l3;>i6371d821`=:08l1=8k4=903>43b3429=7?:e:?;67<6=l164?=514g89=4328?n706=5;36a>;?:?0:9h52835950c<51836<;j;<:1=?72m273>l4>5d9><7d=95l3;>i6372d821`=:0;l1=8k4=913>43b3428=7?:e:?;77<6=l164>=514g89=5328?n706<5;36a>;?;?0:9h52825950c<51936<;j;<:0=?72m273?l4>5d9><6d=94l3;>i6373d821`=:0:l1=8k4=963>43b342?=7?:e:?;07<6=l1649=514g89=2328?n706;5;36a>;?36<;j;<:7=?72m2738l4>5d9><1d=93l3;>i6374d821`=:0=l1=8k4=973>43b342>=7?:e:?;17<6=l1648=514g89=3328?n706:5;36a>;?=?0:9h52845950c<51?36<;j;<:6=?72m2739l4>5d9><0d=92l3;>i6375d821`=:043b342==7?:e:?;27<6=l164;=514g89=0328?n70695;36a>;?>?0:9h52875950c<51<36<;j;<:5=?72m273:l4>5d9><3d=91l3;>i6376d821`=:0?l1=8k4=953>43b343:i7?:e:?:5c<6=l165?>514g89<4628?n707=2;36a>;>::0:9h52936950c<508>6<;j;<;12?72m272>:4>5d9>=7>=9i6362c821`=:1;i1=8k4=80g>43b3439i7?:e:?:6c<6=l165>>514g89<5628?n707<2;36a>;>;:0:9h52926950c<509>6<;j;<;02?72m272?:4>5d9>=6>=9i6363c821`=:1:i1=8k4=81g>43b3438i7?:e:?:7c<6=l1659>514g89<2628?n707;2;36a>;>=>0:9h5294:950c<50?26<;j;<;6e?72m272:84>5d9>=27=9hl0149=:07f?8?0;3;jj63675821`=:1>?1=lh4=855>43b343<;7?nf:?:3=<6=l165:751`d89<1f28?n7078b;3bb>;>?j0:9h5296f95d`<50=n6<;j;<;4b?7fn2724=4>5d9>==7=9hl0146=:07f?8??;3;jj63685821`=:11?1=lh4=8:5>43b3433;7?nf:?:<=<6=l1655751`d89<>f28?n7077b;3bb>;>0j0:9h5299f95d`<502n6<;j;<;;b?7fn2725=4>5d9>=<7=9hl0147=:07f?8?>;3;jj63695821`=:10?1=lh4=8;5>43b3432;7?nf:?:==<6=l1654751`d89;>1j0:9h5298f95d`<503n6<;j;<;:b?7fn272m=4>5d9>=d7=9hl014o=:07f?8?f;3;jj636a5821`=:1h?1=lh4=8c5>43b343j;7?nf:?:e=<6=l165l751`d89;>ij0:9h529`f95d`<50kn6<;j;<;bb?7fn272n=4>5d9>=g7=9hl014l=:07f?8?e;3;jj636b5821`=:1k?1=lh4=8`5>43b343i;7?nf:?:f=<6=l165o751`d89;>jj0:9h529cf95d`<50hn6<;j;<;ab?7fn272o=4>5d9>=f7=9hl014m=:07f?8?d;3;jj636c5821`=:1j?1=lh4=8a5>43b343h;7?nf:?:g=<6=l165n751`d89;>kj0:9h529bf95d`<50in6<;j;<;`b?7fn272h=4>5d9>=a7=9hl014j=:07f?8?c;3;jj636d5821`=:1m?1=lh4=8f5>43b343o;7?nf:?:`=<6=l165i751`d89;>lj0:9h529ef95d`<50nn6<;j;<;gb?7fn272i=4>5d9>=`7=9hl014k=:07f?8?b;3;jj636e5821`=:1l?1=lh4=8g5>43b343n;7?nf:?:a=<6=l165h751`d89;>mj0:9h529df95d`<50on6<;j;<;fb?7fn272j=4>5d9>=c7=9hl014h=:07f?8?a;3;jj636f5821`=:1o?1=lh4=8d5>43b343m;7?nf:?:b=<6=l165k751`d89<`f28?n707ib;3bb>;>nj0:9h529gf95d`<50ln6<;j;<;eb?7fn27j<=4>5d9>e57=9hl01l>=:07f?8g7;3;jj63n05821`=:i9?1=lh4=`25>43b34k;;7?nf:?b4=<6=l16m=751`d89d6f28?n70o?b;3bb>;f8j0:9h52a1f95d`<5h:n6<;j;5d9>e47=9hl01l?=:07f?8g6;3;jj63n15821`=:i8?1=lh4=`35>43b34k:;7?nf:?b5=<6=l16m<751`d89d7f28?n70o>b;3bb>;f9j0:9h52a0f95d`<5h;n6<;j;=4>5d9>e77=9hl01l<=:07f?8g5;3;jj63n25821`=:i;?1=lh4=`05>43b34k9;7?nf:?b6=<6=l16m?751`d89d4f28?n70o=b;3bb>;f:j0:9h52a3f95d`<5h8n6<;j;5d9>e67=9hl01l==:07f?8g4;3;jj63n35821`=:i:?1=lh4=`15>43b34k8;7?nf:?b7=<6=l16m>751`d89d5f28?n70o;f;j0:9h52a2f95d`<5h9n6<;j;5d9>e17=9hl01l:=:07f?8g3;3;jj63n45821`=:i=?1=lh4=`65>43b34k?;7?nf:?b0=<6=l16m9751`d89d2f28?n70o;b;3bb>;fn6<;j;5d9>e07=9hl01l;=:07f?8g2;3;jj63n55821`=:i43b34k>;7?nf:?b1=<6=l16m8751`d89d3f28?n70o:b;3bb>;f=j0:9h52a4f95d`<5h?n6<;j;5d9>e37=9hl01l8=:07f?8g1;3;jj63n65821`=:i??1=lh4=`45>43b34k=;7?nf:?b2=<6=l16m;751`d89d0f28?n70o9b;3bb>;f>j0:9h52a7f95d`<5h5d9>e27=9hl01l9=:07f?8g0;3;jj63n75821`=:i>?1=lh4=`55>43b34k<;7?nf:?b3=<6=l16m:751`d89d1f28?n70o8b;3bb>;f?j0:9h52a6f95d`<5h=n6<;j;5d9>e=7=9hl01l6=:07f?8g?;3;jj63n85821`=:i1?1=lh4=`:5>43b34k3;7?nf:?b<=<6=l16m5751`d89d>f28?n70o7b;3bb>;f0j0:9h52a9f95d`<5h2n6<;j;5d9>e<7=9hl01l7=:07f?8g>;3;jj63n95821`=:i0?1=lh4=`;5>43b34k2;7?nf:?b==<6=l16m4751`d89d?f28?n70o6b;3bb>;f1j0:9h52a8f95d`<5h3n6<;j;5d9>ed7=9hl01lo=:07f?8gf;3;jj63na5821`=:ih?1=lh4=`c5>43b34kj;7?nf:?be=<6=l16ml751`d89dgf28?n70onb;3bb>;fij0:9h52a`f95d`<5hkn6<;j;5d9>eg7=9hl01ll=:07f?8ge;3;jj63nb5821`=:ik?1=lh4=``5>43b34ki;7?nf:?bf=<6=l16mo751`d89ddf28?n70omb;3bb>;fjj0:9h52acf95d`<5hhn6<;j;5d9>ef7=9hl01lm=:07f?8gd;3;jj63nc5821`=:ij?1=lh4=`a5>43b34kh;7?nf:?bg=<6=l1v<;m3;2967g|Vhi270:8b;3b7>;3?k0:m85246`95d2<5==i627?;o4>a39>02d=9h:0199m:0;e?820j3;j;63;7c82eg=:<>h1=lo4=55`>4g434>;3?j0:m=5246a95<`<5==h6a`9>0=6=90o0196>:0;f?82?:3;2i63;8282=`=:<1>1=4k4=5:6>4?b34>3:7?6e:?7<2<61l16856518g891>>283n70:7a;3:a>;30k0:5h5249a95m27?4k4>9d9>0<6=90o0197>:0;f?82>:3;2i63;9282=`=:<0>1=4k4=5;5>4?b34>2;7?6e:?7bd<61l168kl518g89062283n70;?6;3:a>;28>0:5h5251:95m27>9d9>15e=90o018>k:0;f?837m3;2i63:0g82=`=:=8:1=4k4=432>4?b34?:>7?6e:?656<61l169<:518g89072283n70;>6;3:a>;29>0:5h5250:95m27>=o4>9d9>14e=90o018==:0;f?834;3;2i63:3582=`=:=:n1=4k4=41f>4?b34??:7?6e:?602<61l1699m518g89037283n70;:a;3:a>;2>l0:5h5257d95;<4>a49>127=9h>0189>:0c`?83093;j:63:7082e==:=>;1=l<4=452>4g734?<=7?n7:?634<6ik169:?51`c8901528k:70;82;3b1>;2?;0:m95256095de<5<=96;?4>a39>124=9h:0189=:0c4?830:3;jn63:7382ed=:=>91=l?4=450>4g234?;2?:0:m=5256195d1<5<=86;94>a09>122=9h?0189;:0c7?830<3;jo63:7582e3=:=>>1=l64=457>4g534?<87?n0:?631<6i>169::51``8901328kj70;85;3:a>;2??0:5h5256595m27>;l4>9d9>12d=90o0189l:0;f?830l3;2i63:7d82=`=:=>l1=4k4=4:3>4?b34?3=7?6e:?6<7<61l1695=518g890>3283n70;75;3:a>;20?0:5h525c;95m27=9?4>9d9>2=3=90o01;l7:0;f?80bj3;2i6381d82=`=:?<=1=4k4=6ff>4?b342;j7?6e:?;55<61l1643;3:a>;?9=0:5h5280795m273=54>9d9><4?=90o015?n:0;f?8>6j3;2i6371b82=`=:08n1=4k4=93f>4?b342:j7?6e:?;65<61l164??518g89=45283n706=3;3:a>;?:=0:5h5283795m273>54>9d9><7?=90o0155j3;2i6372b82=`=:0;n1=4k4=90f>4?b3429j7?6e:?;75<61l164>?518g89=55283n706<3;3:a>;?;=0:5h5282795m273?54>9d9><6?=90o015=n:0;f?8>4j3;2i6373b82=`=:0:n1=4k4=91f>4?b3428j7?6e:?;05<61l1649?518g89=25283n706;3;3:a>;?<=0:5h5285795=6<7j;<:73?7>m273854>9d9><1?=90o015:n:0;f?8>3j3;2i6374b82=`=:0=n1=4k4=96f>4?b342?j7?6e:?;15<61l1648?518g89=35283n706:3;3:a>;?==0:5h5284795m273954>9d9><0?=90o015;n:0;f?8>2j3;2i6375b82=`=:04?b342>j7?6e:?;25<61l164;?518g89=05283n70693;3:a>;?>=0:5h5287795m273:54>9d9><3?=90o0158n:0;f?8>1j3;2i6376b82=`=:0?n1=4k4=94f>4?b342=j7?6e:?;35<61l164:m52c;89=>52;h270673;0a=>;?0h09nl528c696g?<51h>6?l6;<:a2?4e1272=h4>9d9>=4`=90o0144?b343997?6e:?:63<61l165?9518g89<4?2=9<707=9;3:a>;>:h0:5h5293`95m272>h4>9d9>=7`=<:=014=?:0;f?8?493;2i6363382=`=:1:91=4k4=817>4?b343897?6e:?:73<61l165>9518g89<5?283n707<9;3:a>;>;h0:5h5292`95m272?h4>9d9>=6`=90o014:?:0;f?8?393;2i6364382=`=:1

ol4=87`>7d>343>h7;>?80:5h5296095m272;84>9d9>=20=90o01498:0;f?8?003;2i6367882=`=:1>k1=4k4=85a>4?b343;>080:5h5299095m272484>9d9>==0=90o01468:0;f?8??03;2i6368882=`=:11k1=4k4=8:a>4?b3433o7?6e:?:a283n70760;3:a>;>180:5h5298095m272584>9d9>=<0=90o01478:0;f?8?>03;2i6369882=`=:10k1=4k4=8;a>4?b3432o7?6e:?:=a<61l1654k518g89;>i80:5h529`095m272m84>9d9>=d0=90o014o8:0;f?8?f03;2i636a882=`=:1hk1=4k4=8ca>4?b343jo7?6e:?:ea<61l165lk518g89;>j80:5h529c095m272n84>9d9>=g0=90o014l8:0;f?8?e03;2i636b882=`=:1kk1=4k4=8`a>4?b343io7?6e:?:fa<61l165ok518g89;>k80:5h529b095m272o84>9d9>=f0=90o014m8:0;f?8?d03;2i636c882=`=:1jk1=4k4=8aa>4?b343ho7?6e:?:ga<61l165nk518g89;>l80:5h529e095m272h84>9d9>=a0=90o014j8:0;f?8?c03;2i636d882=`=:1mk1=4k4=8fa>4?b343oo7?6e:?:`a<61l165ik518g89;>m80:5h529d095m272i84>9d9>=`0=90o014k8:0;f?8?b03;2i636e882=`=:1lk1=4k4=8ga>4?b343no7?6e:?:aa<61l165hk518g89;>n80:5h529g095m272j84>9d9>=c0=90o014h8:0;f?8?a03;2i636f882=`=:1ok1=4k4=8da>4?b343mo7?6e:?:bc<61l16m=>518g89d66283n70o?2;3:a>;f>80:5h52a7095m27j:84>9d9>e30=90o01l88:0;f?8g103;2i63n6882=`=:i?k1=4k4=`4a>4?b34k=o7?6e:?b2a<61l16m;k518g89d0a283n70o80;3:a>;f?80:5h52a6095m27j;84>9d9>e20=90o01l98:0;f?8g003;2i63n7882=`=:i>k1=4k4=`5a>4?b34k;f080:5h52a9095m27j484>9d9>e=0=90o01l68:0;f?8g?03;2i63n8882=`=:i1k1=4k4=`:a>4?b34k3o7?6e:?ba283n70o60;3:a>;f180:5h52a8095m27j584>9d9>e<0=90o01l78:0;f?8g>03;2i63n9882=`=:i0k1=4k4=`;a>4?b34k2o7?6e:?b=a<61l16m4k518g89d?a283n70on0;3:a>;fi80:5h52a`095m27jm84>9d9>ed0=90o01lo8:0;f?8gf03;2i63na882=`=:ihk1=4k4=`ca>4?b34kjo7?6e:?bea<61l16mlk518g89dga283n70om0;3:a>;fj80:5h52ac095m27jn84>9d9>eg0=90o01ll8:0;f?8ge03;2i63nb882=`=:ikk1=4k4=``a>4?b34kio7?6e:?bfa<61l16mok518g89dda283n70ol0;3:a>;fk80:5h52ab095m27jo84>9d9>ef0=90o01lm8:0;f?8gd03;2i6s|14`7>5:452485900?<512:6?ln;<:;=?4ei2734i4=b89>f138im637a`81f<=:1

om4}r36f0<7289pRlh9;<73e?24?27>15e=<:=018>k:514?836k3;i86378d81ff=:01l1>oj4=9;2>7d>3422?7;>:=0??:5297396gb<50<96?l6;<;57?4ek272:94=b89~w43e>3:1ivPnf69>154=<=9018>;:0cf?836j3;i86378d81fg=:01l1>oo4=9;3>7d>3422?7;>>809no5297096gdn:4?:4a5[gdi2T:<4m4^02:f>X680k0R<>69:\24<10878Z46><2T:<4=4^02:6>X680;0R<>60:\24=`0`18Z46f:2T:X680l0R<>6e:\2409f8Z46?k2T:>:94^0042>X6:>?0R<<84:\26256P>2638Z44082T:>;k4^005`>X6:?i0R<<9b:\263g27:8Z441?2T:>;84^0051>X6:?90R<<92:\263724d8Z442m2T:>8j4^006g>X6:;6P>2448Z442=2T:>8:4^0067>X6:<80R<<:1:\260626a8Z440j2T:>:o4^004=>X6:>20R<<9f:\263256P>25g8Z443l2T:=;:4^0357>X69?80Ri6P>14f8Z472k2T:=8l4^036e>X69<30R:6P>17`8Z471i2T:=;74^035<>X69?=0R1478Z472<2T:?kh4^01ea>X6;on0R<=ic:\27cd3g;8Z45a02T:?k84^01e1>X6;o>0R<=i3:\27c43g28Z45bn2T:?hk4^01f`>X6;lh0R<=ja:\27`?3d58Z45b>2T:?h;4^01f0>X6;l90R<=j2:\27`63eg8Z45cl2T:?im4^01gf>X6;mk0R<=k9:\27a>4168Z427;2T:8=<4^0635>X6<9:0R<=i7:\27`e3e48Z45c=27?;<4=b79>02g=:0i0199m:51g?820j3;j=63;7c8704=:<>h1>i=4=55a>15b34>;3?k0??n5246`95<4<5==i6<7?;<64f?23827?;o4;439>02d=;0>0199m:2;1?820j392<63;7c825g=:<>h1=<74=55a>47?34>7:?73g<600168:l51958911e282=70:8b;3;1>;3?k0:495246`95=5<5==i6<6=;<64f?7?927?;o4>7g9>02d=9>o0199m:05g?820j3;h1=:o4=55a>41>34>168:l51648911e28=?70:8b;347>;3?k0:;?5246`9527<5==i6<9?;<64f?71n27?;o4>6d9>02d=9?n0199m:04`?820j3;=n63;7c822<=:<>h1=;64=55a>40034><168:l51768911e28<870:8b;356>;3?k0::<5246`9536<5==i6<6i;<64f?7?m27?;o4>8e9>02d=91i0199m:0:a?820j3;3m63;7c82<5=:<>h1=:;4=55a>40f34>;3?k0:?h5246`956e<5==i6<=m;<64f?74i27?;o4>389>02d=9:20199m:014?820j3;8:63;7c8202=:<>h1=984=55a>42234>:70:8b;374>;3?k0:?k5246`9563<5==i6c59>02d=9j90199m:0a1?820j3;h<63;7c82fc=:<>h1=ok4=55a>4dc34>;3?k0:n:5246`95f`<5==i6cb9>02d=9jh0199m:0ab?820j3;h563;7c82g==:<>h1=n?4=55a>4d134>34>;<64f?e134>;3?j0?8<5246a96a5<5==h69=j;<64g?24127?;n4;3c9>02e=<:k0199l:0c;?820k3>8j63;7b877f=:<>i1=4<4=55`>4?734>;3?j0:=o5246a954?<5==h6889>02e=91=0199l:0:5?820k3;3963;7b82<1=:<>i1=5=4=55`>4>534>;3?j0:;o5246a952g<5==h6<96;<64g?70027?;n4>769>02e=9><0199l:057?820k3;i1=:?4=55`>41734>l168:m517f8911d28;3?j0::45246a953><5==h6<88;<64g?71>27?;n4>649>02e=9?>0199l:040?820k3;=>63;7b8224=:<>i1=;>4=55`>4>a34>;3?j0:4=5246a9523<5==h6<8n;<64g?72n27?;n4=c59>02e=:j80199l:3a3?820k38ij63;7b827`=:<>i1=>m4=55`>45e34>;3?j0:8:5246a9510<5==h6<::;<64g?73<27?;n4>429>02e=9=80199l:062?820k3;?<63;7b827c=:<>i1=>;4=55`>4e034>;3?j0:nk5246a95gc<5==h6bc9>02e=9kk0199l:0`:?820k3;i463;7b82f2=:<>i1=nh4=55`>4eb34>;3?j0:o55246a95f7<5==h634>;<64g?c734>?27?;n4>949>02e=90>0199k:3;`?820m382o63;f`8706=:94=423>7?d34?;=7<6c:?646<51j169=:528a890622=9<70;?6;603>;28>0??:5251:9061<5<:269=8;<715?7fm27>>?4>ad9>171=9ho018<7:0cf?835j3;ji63:338772=:=:918>94=41g>15034?8i7:;3:?603<3;>1699954518902?28kn70;;b;3ba>;2944>ad9>10c=9ho0188=:0cf?831?3;ji63:718772=:=>;18>j4=452>4g434?<=7:;1:?634<5l:169:?542g890162=9270;81;60f>;2?80??l52563906`<5<=:6<7i;<745?24k27>;<4>939>127=90:0189>:563?83093>?>63:7080=1=:=>;1?4>4=452>6>b34?<=7=i9:?634<4n>169:?53g4890162:l>70;81;1e0>;2?808j>5256397c4<5<=:6>h>;<745?5bn27>;<4127=;ln0189>:2g`?830939nn63:7080ad=:=>;1?h74=452>6c?34?<=7=j7:?634<4m?169:?53d6890162:o870;81;1f6>;2?808i<5256397`6<5<=:6>ji;<745?5cm27>;<4127=;mi0189>:2fa?830939o563:7080`==:=>;1?i94=452>6b134?<=7=k5:?634<4l=169:?53e1890162:n970;81;1g5>;2?808h=5256397c`<5<=:6>hj;<745?5al27>;<4127=;oh0189>:2db?830939m<63:7080a0=:=>;1?io4=452>6ea34?<=7?>b:?634<690169:?510:8901628;<70;81;3;=>;2?80:4:5256395=0<5<=:6<6:;<745?7?<27>;<4>829>127=9180189>:0:2?83093;;1=:j4=452>41d34?<=7?8b:?634<6?h169:?516;8901628=370;81;343>;2?80:;;525639522<5<=:6<9<;<745?70:27>;<4>709>127=9>:0189>:04e?83093;=i63:70822a=:=>;1=;m4=452>40e34?<=7?99:?634<6>1169:?51758901628<=70;81;351>;2?80::9525639535<5<=:6<8=;<745?71927>;<4>619>127=91l0189>:0:f?83093;3h63:7082;1=5l4=452>4>f34?<=7?70:?634<6?<169:?517c8901628?m70;81;0`0>;2?809o?5256396f6<5<=:6?li;<745?74m27>;<4>3b9>127=9:h0189>:01b?83093;8563:70827==:=>;1=>94=452>45134?<=7?;7:?634<6?70;81;377>;2?80:8?525639517<5<=:6<:?;<745?74n27>;<4>349>127=lj169:?5d`9>127=l0169:?5d99>127=l>169:?5d79>127=l<169:?5d59>127=l:169:?5d39>127=l9169:?5cg9>127=kl169:?5ce9>127=kj169:?5cc9>127=kh169:?5c89>127=k1169:?5c69>127=m=169:?5e29>127=m;169:?5e09>127=m9169:?5dg9>127=ll169:?5de9>127=l8169:?5c79>127=90=0189>:0;6?83093;2863:73877a=:=>81=l=4=451>12634?<>7;2?;0??k5256095<`<5<=969=l;<746?7>:27>;?4>919>124=<=:0189=:561?830:392863:7380=5=:=>81?5k4=451>6`>34?<>7=i7:?637<4n?169:<53g7890152:l?70;82;1e7>;2?;08j?5256097c7<5<=96>ki;<746?5bm27>;?4124=;li0189=:2ga?830:39nm63:7380a<=:=>81?h64=451>6c034?<>7=j6:?637<4m=169:<53d1890152:o970;82;1f5>;2?;08i=5256097a`<5<=96>jj;<746?5cl27>;?4124=;mh0189=:2f:?830:39o463:7380`2=:=>81?i84=451>6b234?<>7=k4:?637<4l:169:<53e0890152:n:70;82;1g4>;2?;08jk5256097cc<5<=96>hk;<746?5ak27>;?4124=;ok0189=:2d3?830:39n963:7380`d=:=>81?nh4=451>47e34?<>7?>9:?637<691169:<510589015282270;82;3;3>;2?;0:4;5256095=3<5<=96<6;;<746?7?;27>;?4>839>124=91;0189=:05e?830:3;81=:m4=451>41e34?<>7?8a:?637<6?0169:<516:8901528=<70;82;342>;2?;0:;9525609525<5<=96<9=;<746?70927>;?4>719>124=9?l0189=:04f?830:3;=h63:73822f=:=>81=;l4=451>40>34?<>7?98:?637<6>>169:<51748901528<>70;82;350>;2?;0::>525609534<5<=96<8>;<746?71827>;?4>8g9>124=91o0189=:0:g?830:3;3o63:738281=5o4=451>4>734?<>7?85:?637<6>h169:<514d890152;i?70;82;0`6>;2?;09o=5256096g`<5<=96<=j;<746?74k27>;?4>3c9>124=9:k0189=:01:?830:3;8463:738272=:=>81=>84=451>42034?<>7?;6:?637<6<<169:<51568901528>870;82;376>;2?;0:8<525609516<5<=96<=i;<746?74=27>;?4kc:?637;?4k9:?637;?4k7:?63727>;?4k5:?637;?4k3:?637;?4k0:?637;?4le:?637;?4lc:?637;?4la:?637;?4l8:?637;?4j4:?637;?4j2:?637;?4j0:?637;?4ke:?637;?4k1:?63727>;?4>969>124=90?0189=:0;7?830;3>8h63:7282e6=:=>9189?4=450>7b434?;2?:0:5k52561906e<5<=86<7=;<747?7>827>;>4;419>125=<=80189<:2;7?830;392>63:7280=5=:=>91?5k4=450>47e34?9:?636<691169:=510589014282270;83;3;3>;2?:0:4;5256195=3<5<=86<6;;<747?7?;27>;>4>839>125=91;0189<:05e?830;3;91=:m4=450>41e34?;2?:0:;9525619525<5<=86<9=;<747?70927>;>4>719>125=9?l0189<:04f?830;3;=h63:72822f=:=>91=;l4=450>40>34?>169:=51748901428<>70;83;350>;2?:0::>525619534<5<=86<8>;<747?71827>;>4>8g9>125=91o0189<:0:g?830;3;3o63:728291=5o4=450>4>734?h169:=514d890142;i?70;83;0`6>;2?:09o=5256196g`<5<=86<=j;<747?74k27>;>4>3c9>125=9:k0189<:01:?830;3;8463:728272=:=>91=>84=450>42034?870;83;376>;2?:0:8<525619516<5<=86<=i;<747?74=27>;>4kc:?636;>4k9:?636;>4k7:?63627>;>4k5:?636;>4k3:?636;>4k0:?636;>4le:?636;>4lc:?636;>4la:?636;>4l8:?636;>4j4:?636;>4j2:?636;>4j0:?636;>4ke:?636;>4k1:?63627>;>4>969>125=90?0189<:0;7?830<3>8h63:7582e6=:=>>189?4=457>7b434?<87:;2?=0:5k52566906e<5<=?6<7=;<740?7>827>;94;419>122=<=80189;:2;7?830<392>63:7580=5=:=>>1?5k4=457>47e34?<87?>9:?631<691169::510589013282270;84;3;3>;2?=0:4;5256695=3<5<=?6<6;;<740?7?;27>;94>839>122=91;0189;:05e?830<3;>1=:m4=457>41e34?<87?8a:?631<6?0169::516:8901328=<70;84;342>;2?=0:;9525669525<5<=?6<9=;<740?70927>;94>719>122=9?l0189;:04f?830<3;=h63:75822f=:=>>1=;l4=457>40>34?<87?98:?631<6>>169::51748901328<>70;84;350>;2?=0::>525669534<5<=?6<8>;<740?71827>;94>8g9>122=91o0189;:0:g?830<3;3o63:7582>1=5o4=457>4>734?<87?85:?631<6>h169::514d890132;i?70;84;0`6>;2?=09o=5256696g`<5<=?6<=j;<740?74k27>;94>3c9>122=9:k0189;:01:?830<3;8463:758272=:=>>1=>84=457>42034?<87?;6:?631<6<<169::51568901328>870;84;376>;2?=0:8<525669516<5<=?6<=i;<740?74=27>;94kc:?631;94k9:?631;94k7:?63127>;94k5:?631;94k3:?631;94k0:?631;94le:?631;94lc:?631;94la:?631;94l8:?631;94j4:?631;94j2:?631;94j0:?631;94ke:?631;94k1:?63127>;94>969>122=90?0189;:0;7?830=3>8;63:778772=:=>=18>94=45;>15034?<57:<7:?;40<6il164=k51`g89=1e28kn70781;c2?8?093k970781;c7?8?0;3k970783;c0?8?0;3k?70785;c2?8?0=3k970785;c0?8?0=3k?70787;c2?8?0?3k970787;c0?8?0?3k?70789;c2?8?013k970789;c0?8?013k?7078b;c2?8?0j3k97078b;c0?8?0j3k?7078d;c2?8?0l3k97078d;c0?8?0l3k?7078f;c2?8?0n3k97078f;c0?8?0n3k?70771;c2?8??93k970771;c0?8??93k?70773;c2?8??;3k970773;c0?8??;3k?70775;c2?8??=3k970775;c0?8??=3k?70777;c2?8???3k970777;c0?8???3k?70779;c2?8??13k970779;c0?8??13k?7077b;c2?8??j3k97077b;c0?8??j3k?7077d;c2?8??l3k97077d;c0?8??l3k?7077f;c2?8??n3k97077f;c0?8??n3k?70761;c2?8?>93k970761;c0?8?>93k?70763;c2?8?>;3k970763;c0?8?>;3k?70765;c2?8?>=3k970765;c0?8?>=3k?70767;c2?8?>?3k970767;c0?8?>?3k?70769;c2?8?>13k870769;c7?8?>j3k97076b;c0?8?>j3k?7076d;c2?8?>l3k87076d;c7?8?>n3k87076f;c7?8?f93k9707n1;c0?8?f93k?707n3;c1?8?f;3k8707n3;c7?8?f=3k9707n5;c0?8?f=3k?707n7;c1?8?f?3k8707n7;c7?8?f13k9707n9;c0?8?f13k?707nb;c1?8?fj3k8707nb;c7?8?fl3k9707nd;c0?8?fl3k?707nf;c1?8?fn3k8707nf;c7?8?e93k9707m1;c0?8?e93k?707m3;c1?8?e;3k8707m3;c7?8?e=3k9707m5;c0?8?e=3k?707m7;c1?8?e?3k8707m7;c7?8?e13k9707m9;c0?8?e13k?707mb;c1?8?ej3k8707mb;c7?8?el3k9707md;c0?8?el3k?707mf;c1?8?en3k8707mf;c7?8?d93k9707l1;c0?8?d93k?707l3;c1?8?d;3k8707l3;c7?8?d=3k9707l5;c0?8?d=3k?707l7;c1?8?d?3k8707l7;c7?8?d13k9707l9;c0?8?d13k?707lb;c1?8?dj3k8707lb;c7?8?dl3k9707ld;c0?8?dl3k?707lf;c1?8?dn3k8707lf;c7?8?c93k9707k1;c0?8?c93k?707k3;c1?8?c;3k8707k3;c7?8?c=3k9707k5;c0?8?c=3k?707k7;c1?8?c?3k8707k7;c7?8?c13k9707k9;c0?8?c13k?707kb;c1?8?cj3k8707kb;c7?8?cl3k9707kd;c0?8?cl3k?707kf;c1?8?cn3k8707kf;c7?8?b93k9707j1;c0?8?b93k?707j3;c1?8?b;3k8707j3;c7?8?b=3k9707j5;c0?8?b=3k?707j7;c1?8?b?3k8707j7;c7?8?b13k9707j9;c0?8?b13k?707jb;c1?8?bj3k8707jb;c7?8?bl3k9707jd;c0?8?bl3k?707jf;c1?8?bn3k8707jf;c7?8?a93k9707i1;c0?8?a93k?707i3;c1?8?a;3k8707i3;c7?8?a=3k9707i5;c0?8?a=3k?707i7;c1?8?a?3k8707i7;c7?8?a13k9707i9;c0?8?a13k?707ib;c1?8?aj3k8707ib;c7?8?al3k:707if;c1?8?an3k8707if;c7?8g793k:70o?1;c1?8g793k870o?1;c7?8g7;3k:70o?5;c2?8g7?3k:70o?9;c2?8g7j3k:70o?d;c2?8g7n3k:70o>1;c2?8g6;3k:70o>5;c2?8g6?3k:70o>9;c2?8g6j3k:70o>d;c2?8g6n3k:70o=1;c2?8g5;3k:70o=5;c2?8g5?3k:70o=9;c2?8g5j3k:70o=d;c2?8g5n3k:70o<1;c2?8g4;3k:70o<5;c2?8g4?3k:70o<9;c2?8g4j3k:70o93k870o61;c7?8g>;3k870o63;c7?8g>=3k870o65;c7?8g>?3k870o67;c7?8g>13k870o69;c7?8g>j3k870o6b;c7?8g>l3k870o6d;c7?8g>n3k870o6f;c7?8gf93k870on1;c7?8gf;3k870on3;c7?8gf=3k870on5;c7?8gf?3k870on7;c7?8gf13k870on9;c7?8gfj3k870onb;c7?8gfl3k870ond;c7?8gfn3k870onf;c7?8ge93k870om1;c7?8ge;3k870om3;c7?8ge=3k870om5;c7?8ge?3k870om7;c7?8ge13k870om9;c7?8gej3k870omb;c7?8gel3k870omd;c7?8gen3k870omf;c7?8gd93k870ol1;c7?8gd;3k870ol3;c7?8gd=3k:70ol5;c1?8gd=3k?70ol7;c0?8gd?3k?7p}>5c:94?5|Vhih70680;601>;>9109nl5rs07a=?6=;rTo>i5282d9063<51o<6?ll;|q21gg=839pRi<6b=<:?015k9:3``?xu6=ki1<7=t^e0b?8>4k3>89637e581ff=z{8?ih7>53z\g6<=:0:h18>;4=9g1>7dd3ty:9ok50;1xZa4?3428m7:<5:?;a6<5jj1v<;mf;297~Xc:>164>7542789=c62;hh7p}>5b294?5|Vm8=706<8;601>;?lo09nn5rs07`5?6=;rTo>9528259063<51o;6?ll;|q21f4=839pRi<<;<:02?24=273hh4=bb9~w43d;3:1?vPk239><63=<:?015jl:3``?xu6=j>1<7=t^e02?8>4<3>89637de81ff=z{8?h97>53z\g65=:0:918>;4=9fa>7dd3ty:9n850;1xZa7a3428>7:<5:?;`<<5jj1v<;l7;297~Xc9l164>?542789=bf2;hh7p}>5b:94?5|Vm;o706<0;601>;?l109nn5rs07`=?6=;rTo=n5283d9063<51n=6?ll;|q21fg=839pRi?m;<:1a?24=273h:4=bb9~w43dj3:1?vPk189><7b=<:?015j::3``?xu6=ji1<7=t^e3;?8>5k3>89637d281ff=z{8?hh7>53z\g52=:0;h18>;4=9f7>7dd3ty:9nk50;1xZa713429m7:<5:?;`7<5jj1v<;lf;297~Xc9<164?7542789=b72;hh7p}>5e294?5|Vm;?706=8;601>;?l809nn5rs07g5?6=;rTo=>528359063<51im6?ll;|q21a4=839pRi?=;<:12?24=273oi4=bb9~w43c;3:1?vPk109><73=<:?015mj:3``?xu6=m>1<7=t^e33?8>5<3>89637cb81ff=z{8?o97>53z\g4`=:0;918>;4=9ab>7dd3ty:9i850;1xZa6c3429>7:<5:?;gg<5jj1v<;k7;297~Xc8j164??542789=e>2;hh7p}>5e:94?5|Vm:i706=0;601>;?k>09nn5rs07g=?6=;rTo6;<:2a?24=273o;4=bb9~w43cj3:1?vPk099><4b=<:?015m;:3``?xu6=mi1<7=t^e24?8>6k3>89637c481ff=z{8?oh7>53z\g43=:08h18>;4=9a0>7dd3ty:9ik50;1xZa62342:m7:<5:?;g4<5jj1v<;kf;297~Xc;;164<7542789=e52;hh7p}>5d294?5|Vm9:706>8;601>;?k909nn5rs07f5?6=;rTo?=528059063<51hn6?ll;|q21`4=839pRi<43=<:?015lk:3``?xu6=l>1<7=t^e06?8>6<3>89637bc81ff=z{8?n97>53z\g5d=:08918>;4=9``>7dd3ty:9h850;1xZa6a342:>7:<5:?;fd<5jj1v<;j7;297~Xc8=1645d:94?5|Vm:8706>0;601>;?j009nn5rs07f=?6=;rTni85287d9063<50;<6?ll;|q21`g=839pRhk;;<:5a?24=272=;4=bb9~w43bj3:1?vPje29><3b=<:?014?;:3``?xu6=li1<7=t^dg1?8>1k3>896361481ff=z{8?nh7>53z\fa4=:0?h18>;4=830>7dd3ty:9hk50;1xZ`c7342=m7:<5:?:54<5jj1v<;jf;297~Xblo164;7542789<752;hh7p}>5g294?5|Vlnn70698;601>;>9909nn5rs07e5?6=;rTnhn528759063<50:n6?ll;|q21c4=839pRhjm;<:52?24=272<33=<:?014>k:3``?xu6=o>1<7=t^df:?8>1<3>896360c81ff=z{8?m97>53z\f`==:0?918>;4=82`>7dd3ty:9k850;1xZ`b0342=>7:<5:?:4d<5jj1v<;i7;297~Xbl?164;?542789<6?2;hh7p}>5g:94?5|Vln>70690;601>;>8009nn5rs07e=?6=;rTnh95284d9063<50:<6?ll;|q21cg=839pRhj<;<:6a?24=272<84=bb9~w43aj3:1?vPjd09><0b=<:?014>9:3``?xu6=oi1<7=t^df3?8>2k3>896360581ff=z{8?mh7>53z\fgc=:0;4=821>7dd3ty:9kk50;1xZ`eb342>m7:<5:?:46<5jj1v<;if;297~Xbkm16487542789<662;hh7p}>61294?5|Vlih706:8;601>;?no09nn5rs0435?6=;rTnoo528459063<50:;6?ll;|q2254=839pRhmn;<:62?24=273jh4=bb9~w407;3:1?vPjc89><03=<:?015hl:3``?xu6>9>1<7=t^da;?8>2<3>89637fe81ff=z{8<;97>53z\fg3=:0<918>;4=9da>7dd3ty::=850;1xZ`e2342>>7:<5:?;b<<5jj1v<8?7;297~Xbk=1648?542789=`f2;hh7p}>61:94?5|Vli8706:0;601>;?n109nn5rs043=?6=;rTno?5285d9063<51l=6?ll;|q225g=839pRhm>;<:7a?24=273j:4=bb9~w407j3:1?vPjc19><1b=<:?015h::3``?xu6>9i1<7=t^d`e?8>3k3>89637f281ff=z{8<;h7>53z\ff`=:0=h18>;4=9d7>7dd3ty::=k50;1xZ`dc342?m7:<5:?;b7<5jj1v<8?f;297~Xbmh16497542789=`72;hh7p}>60294?5|Vlo2706;8;601>;?n809nn5rs0425?6=;rTni5528559063<51om6?ll;|q2244=839pRhk8;<:72?24=273ii4=bb9~w406;3:1?vPje79><13=<:?015kj:3``?xu6>8>1<7=t^dfg?8>3<3>89637eb81ff=z{8<:97>53z\f`7=:0=918>;4=9gb>7dd3ty::<850;1xZ`e0342?>7:<5:?;ag<5jj1v<8>7;297~Xbjj1649?542789=c>2;hh7p}>60:94?5|Vlhi706;0;601>;?m109nn5rs042=?6=irT:9;j4=5:g>1523423=7;?i009no528`c96gd<50?i6?lk;|q224g=838pR<;9c:?7=5<3;<1v<8>b;296~Xfn11684=54278yv719j0;6<:l{<646?5>127?;54=c69>02g=9ho0199m:3a2?820k38h=63;7g81=f=:n94=423>4gb34?;?7?ne:?66g<51j169>;52b58905a2;i<70;;e;3ba>;2=:095n5254g967=;<745?5>927>;<4<8g9>127=;1n0189>:3a2?830:392>63:7380=4=:=>81?5h4=451>6>c34?<>7;2?=085<5256697=`<5<=?6>6k;<740?4d9273;o4=9b9>=27=i:165:=5a09>===<`=i;165l?5a09>=d5=i8165l;5a09>=d1=i8165l75a09>=dd=i8165lj5a09>=d`=i8165o?5a09>=g5=i8165o;5a09>=g1=i8165o75a09>=gd=i8165oj5a09>=g`=i8165n?5a09>=f5=i8165n;5a09>=f1=i8165n75a09>=fd=i8165nj5a09>=f`=i8165i?5a09>=a5=i8165i;5a09>=a1=i8165i75a09>=ad=i8165ij5a09>=a`=i8165h?5a09>=`5=i8165h;5a09>=`1=i8165h75a09>=`d=i8165hj5a09>=``=i8165k?5a09>=c5=i8165k;5a09>=c1=i8165k75a09>=cd=i8165kj5a39>=cb=i:165kj5a59>=c`=i816m==5a39>e55=i:16m==5a59>e53=i;16m=;5a29>e53=i=16m=95a39>e51=i:16m=95a59>e5?=i;16m=75a29>e5?=i=16m=l5a39>e5d=i:16m=l5a59>e5b=i;16m=j5a29>e5b=i=16m=h5a39>e5`=i:16m=h5a59>e47=i;16me47=i=16m<=5a39>e45=i:16m<=5a59>e43=i;16m<;5a29>e43=i=16m<95a39>e41=i:16m<95a59>e4?=i;16m<75a29>e4?=i=16me4d=i:16me4b=i;16me4b=i=16me4`=i:16me77=i;16m??5a29>e77=i=16m?=5a39>e75=i:16m?=5a59>e73=i;16m?;5a29>e73=i=16m?95a39>e71=i:16m?95a59>e7?=i;16m?75a29>e7?=i=16m?l5a39>e7d=i:16m?l5a59>e7b=i;16m?j5a29>e7b=i=16m?h5a39>e7`=i:16m?h5a59>e67=i;16m>?5a29>e67=i=16m>=5a39>e65=i:16m>=5a59>e63=i;16m>;5a29>e63=i=16m>95a39>e61=i:16m>95a59>e6?=i;16m>75a29>e6?=i=16m>l5a39>e6d=i:16m>l5a59>e6b=i;16m>j5a29>e6b=i=16m>h5a39>e6`=i:16m>h5a59>e17=i;16m9?5a29>e17=i=16m9=5a39>e15=i:16m9=5a59>e13=i;16m9;5a29>e13=i=16m995a39>e11=i:16m995a59>e1?=i;16m975a29>e1?=i=16m9l5a39>e1d=i:16m9l5a59>e1b=i;16m9j5a29>e1b=i=16m9h5a39>e1`=i:16m9h5a59>e07=i;16m8?5a29>e07=i=16m8=5a39>e05=i:16m8=5a59>e03=i;16m8;5a29>e03=i=16m895a39>e01=i:16m895a59>e0?=i;16m875a29>e0?=i=16m8l5a39>e0d=i:16m8l5a59>e0b=i;16m8j5a29>e0b=i=16m8h5a39>e0`=i:16m8h5a59>e37=i=16m;;5a09>e33=i;16m;95a09>e31=i;16m;75a09>e3?=i;16m;l5a09>e3d=i;16m;j5a09>e3b=i;16m;h5a09>e3`=i;16m:?5a09>e27=i;16m:=5a09>e25=i;16m:;5a09>e23=i;16m:95a09>e21=i;16m:75a09>e2?=i;16m:l5a09>e2d=i;16m:j5a09>e2b=i;16m:h5a09>e2`=i;16m5?5a09>e=7=i;16m5=5a09>e=5=i;16m5;5a09>e=3=i;16m595a09>e=1=i;16m575a09>e=?=i;16m5l5a09>e=d=i;16m5j5a09>e=b=i;16m5h5a09>e=`=i;16m4?5a09>e<7=i;16m4=5a09>e<5=i;16m4;5a09>e<3=i;16m495a09>e<1=i;16m475a09>eeee<`=i;16ml?5a09>ed7=i;16ml=5a09>ed5=i;16ml;5a09>ed3=i;16ml95a09>ed1=i;16ml75a09>ed?=i;16mll5a09>edd=i;16mlj5a09>edb=i;16mlh5a09>ed`=i;16mo?5a09>eg7=i;16mo=5a09>eg5=i;16mo;5a09>eg3=i;16mo95a09>eg1=i;16mo75a09>eg?=i;16mol5a09>egd=i;16moj5a09>egb=i;16moh5a09>eg`=i;16mn?5a09>ef7=i;16mn=5a09>ef5=i;16mn;5a29>ef1=i816mn95a39~w406l3:1>v3;7282f1=:0>i1?5o4}r355`<72=q68:=54278911e2:3:70:8c;1:5>;??j09nn5rs042b?6=:r7?;94>b59><=4=;1k0q~?92183>1}:<>>18>;4=55a>6>b34>?4?:7y>023=<:?0199m:2:e?820j393h63;7b80i1?5j4=9:0>7de3ty::?=50;0x911128kn70:89;1;e>{t9?8?6=4;{<642?4>k27?584>b59>0<3=<:?01489:3`;?xu6>;?1<7124343=:7=7a:p53412909w0:86;1;e>;3?>0:mh5rs0413?6=:r7?;:4=9b9>=31=;1k0q~?92983>7}:<>=189=4=860>6>f3ty::?750;1x91102;i370:88;3ba>;3080:n95rs041e?6=:r7?;54<8`9>0=6=9k>0q~?92c83>7}:<>31=lk4=55b>6>f3ty::?m50;6x911>2;3h70:72;601>;?0k09n45289a96g?i4?:3y>02?=<=90156m:2:b?xu6>;o1<77e?34>3>7?m4:p534a2909w0:8a;677>;?0?084l5rs0404?6=:r7?;o4122=<;k0q~?93083>7}:<>h1?k94=457>14?3ty::><50;0x911e2:l=70;84;613>{t9?986=4={<64f?5a=27>;94;279~w404<3:1>v3;7c80b1=:=>>18?;4}r3570<72;q68:l53g1890132=8?7p}>62494?4|5==i6>h=;<740?25;2wx=;=8:181820j39m=63:758767=z{8<847>52z?73g<4mo169::54328yv71;00;6?u246`97`c<5<=?69?i;|q226g=838p199m:2gg?830<3>:i6s|171a>5<5s4>02d=;lk0189;:53a?xu6>:o1<76c>34?<87:>a:p535a2909w0:8b;1f<>;2?=0?=45rs0474?6=:r7?;o4122=<820q~?94083>7}:<>h1?h84=457>1703ty::9<50;0x911e2:o?70;84;621>{t9?>86=4={<64f?5b;27>;94;159~w403<3:1>v3;7c80a7=:=>>18<=4}r3500<72;q68:l53d3890132=;97p}>65494?4|5==i6>k?;<740?2692wx=;:8:181820j39oj63:758755=z{852z?73g<4ll169::541d8yv71<00;6?u246`97ab<5<=?69>j;|q221g=838p199m:2f`?830<3>;h6s|176a>5<5s4>02d=;m20189;:52:?xu6>=o1<76b034?<87:?8:p532a2909w0:8b;1g2>;2?=0?<:5rs0464?6=:r7?;o4122=<9<0q~?95083>7}:<>h1?i:4=457>1623ty::8<50;0x911e2:n870;84;630>{t9??86=4={<64f?5c:27>;94;029~w402<3:1>v3;7c80`4=:=>>18=<4}r3510<72;q68:l53e2890132=::7p}>64494?4|5==i6>hi;<740?2482wx=;;8:181820j39mi63:75876c=z{8<>47>52z?73g<4nm169::543g8yv71=00;6?u246`97ce<5<=?699o6s|177a>5<5s4>02d=;l?0189;:535?xu6>6bf34?<87:?b:p533a2909w0:8b;1`b>;2?=0?<=5rs0454?6=;r7?;o4>289>02e=9;301:jj:353?xu6>?;1<7=t=55a>44034>l1v<892;297~;3?k0:>;5246a9570<5>nn6?8k;|q2235=839p199m:006?820k3;99638dd812f=z{8<=87>53z?73g<6:=168:m5136892bb2;67794?5|5==i6<<<;<64g?75;273:1?v3;7c8267=:<>i1=?<4=6ff>70>3ty::;950;1x911e288:70:8c;315>;0ll09:55rs045339>02e=9:801:jj:3;;?xu6>?31<7=t=55a>45634>1v<89a;297~;3?k0:?=5246a9566<5>nn6?79;|q223d=839p199m:00e?820k3;9j638dd81=0=z{8<=o7>53z?73g<6:l168:m513g892bb2;3?7p}>67f94?5|5==i6<i1=?m4=6ff>7>>3ty::;h50;1x911e288i70:8c;31f>;0ll09;h5rs0444?6=;r7?;o4>2`9>02e=9;k01:jj:350?xu6>>;1<7=t=55a>44734>>1v<882;296~;3?k08o95290596gd4?:3y>02d=;j8014?9:3`a?xu6>>>1<76e6343:87;>9<09no5rs0442?6=:r7?;o4=45=:kh0q~?97683>7}:<>h1?ok4=832>7de3ty:::650;0x911e2:ho707>2;0af>{t9?=26=4={<64f?5ek272==4=bc9~w400i3:1>v3;7c80fd=:19o1>ol4}r353g<72;q68:l53c;89<6a2;hi7p}>66a94?4|5==i6>l7;<;3`?4ej2wx=;9k:181820j39i;6360c81fg=z{8<52z?73g<4j?165=m52c`8yv71?o0;6?u246`97g3<50:j6?lm;|q22=6=838p199m:2`7?8?7038in6s|17:2>5<5s4>4?:3y>02d=;k;014>::3`a?xu6>1>1<76ga343;:722909w0:8b;1ba>;>8=09no5rs04;2?6=:r7?;o4=54=:kh0q~?98683>7}:<>h1?lm4=820>7de3ty::5650;0x911e2:ki707?1;0af>{t9?226=4={<64f?5fi273jk4=bc9~w40?i3:1>v3;7c80e<=:19:1>ol4}r3569a94?4|5==i6>o8;<:eg?4ej2wx=;6k:181820j39j:637fe81fg=z{8<3i7>52z?73g<4i=164kl52c`8yv710o0;6?u246`97d5<51l26?lm;|q22<6=838p199m:2c1?8>ai38in6s|17;2>5<5s4>4?:3y>02d=;0l015h8:3`a?xu6>0>1<76?b342m97;?n:09no5rs04:2?6=:r7?;o4<9b9>7}:<>h1?4l4=9d1>7de3ty::4650;0x911e2:ij706i0;0af>{t9?326=4={<64f?5d1273j<4=bc9~w40>i3:1>v3;7c80g==:0ll1>ol4}r35=g<72;q68:l53b589=cc2;hi7p}>68a94?4|5==i6>m9;<:fa?4ej2wx=;7k:181820j39h9637eb81fg=z{8<2i7>52z?73g<4jk164ho52c`8yv711o0;6?u246`97g6<51oi6?lm;|q22d6=838p199m:2c6?8>b138in6s|17c2>5<5s4>;3?k0i563;7c8a<>;3?k0i;63;7c8a2>;3?k0i963n678770=z{852z?73gv3;7c8a7>;f>h0??85rs04b1?6=:r7?;o4m2:?b2`<3;<1v<8n6;296~;3?k0i<63n718770=z{852z?73gv3;7c8ba>;f?;0??85rs04b=?6=:r7?;o4nd:?b31<3;<1v<8na;296~;3?k0jo63n798770=z{852z?73gv3;7c8be>;f??0??85rs04b`?6=:r7?;o4n9:?b3`<3;<1v<8ne;296~;3?k0j463n818770=z{852z?73gv3;7c8`0>;f0=0??85rs04a5?6=:r7?;o4l3:?b<3<3;<1v<8m2;296~;3?k0h>63n838770=z{852z?73gv3;7c8`4>;f0h0??85rs04a1?6=:r7?;o4mf:?b<`<3;<1v<8m6;296~;3?k0ii63n918770=z{852z?73gv3;7c8a5>;f1=0??85rs04a=?6=:r7?;o4n6:?b=3<3;<1v<8ma;296~;3?j08j452561907g02e=;o=0189<:50;?xu6>ki1<76`134?;2?:0?>;5rs04aa?6=:r7?;n4125=<;?0q~?9bg83>7}:<>i1?k=4=450>1433ty::n>50;0x911d2:l970;83;617>{t9?i:6=4={<64g?5a927>;>4;239~w40d:3:1>v3;7b80ac=:=>918?>4}r35g6<72;q68:m53dg890142=;m7p}>6b694?4|5==h6>kk;<747?26m2wx=;m::181820k39no63:72875a=z{852z?73f<4mk169:=540a8yv71k>0;6?u246a97`g<5<=869?m;|q22f>=838p199l:2g:?830;3>:m6s|17a:>5<5s4>02e=;l<0189<:534?xu6>ji1<76c334?5:p53ec2909w0:8c;1f7>;2?:0?=95rs04`a?6=:r7?;n4125=<890q~?9cg83>7}:<>i1?h?4=450>1753ty::i>50;0x911d2:o;70;83;625>{t9?n:6=4={<64g?5cn27>;>4;119~w40c:3:1>v3;7b80``=:=>918=h4}r35`6<72;q68:m53ef890142=:n7p}>6e694?4|5==h6>jl;<747?27l2wx=;j::181820k39on63:72874f=z{852z?73f<4l0169:=541c8yv71l>0;6?u246a97a><5<=869>6;|q22a>=838p199l:2f4?830;3>;46s|17f:>5<5s4>1v<8ka;296~;3?j08h852561905002e=;m>0189<:526?xu6>mi1<76b434?;2?:0?<>5rs04ga?6=:r7?;n4125=<980q~?9dg83>7}:<>i1?i>4=450>1663ty::h>50;0x911d2:lm70;83;604>{t9?o:6=4={<64g?5am27>;>4;2g9~w40b:3:1>v3;7b80ba=:=>918?k4}r35a6<72;q68:m53ga890142=8o7p}>6d694?4|5==h6>hm;<747?25k2wx=;k::181820k39mm63:72876g=z{852z?73f<4n9169:=54338yv71m>0;6?u246a97`3<5<=869?9;|q22`>=838p199l:2fb?830;3>;n6s|17g:>5<5s4>02e=;j8015k::3`a?xu6>li1<76e6342n:7;?m=09no5rs04fa?6=:r7?;n4<`4=:kh0q~?9eg83>7}:<>i1?ok4=9g0>7de3ty::k>50;0x911d2:ho706j1;0af>{t9?l:6=4={<64g?5ek273hk4=bc9~w40a:3:1>v3;7b80fd=:0l:1>ol4}r35b6<72;q68:m53c;89=bb2;hi7p}>6g694?4|5==h6>l7;<:gg?4ej2wx=;h::181820k39i;637de81fg=z{852z?73f<4j?164il52c`8yv71n>0;6?u246a97g3<51n26?lm;|q22c>=838p199l:2`7?8>ci38in6s|17d:>5<5s4>02e=;k;015j8:3`a?xu6>oi1<76ga342o97;?l:09no5rs04ea?6=:r7?;n47}:<>i1?lm4=9f1>7de3ty:;=>50;0x911d2:ki706k0;0af>{t9>::6=4={<64g?5fi273h<4=bc9~w417:3:1>v3;7b80e<=:0jl1>ol4}r3446<72;q68:m53`:89=ec2;hi7p}>71694?4|5==h6>o8;<:`a?4ej2wx=:>::181820k39j:637cb81fg=z{8=;:7>52z?73f<4i=164no52c`8yv708>0;6?u246a97d5<51ii6?lm;|q235>=838p199l:2c1?8>d138in6s|162:>5<5s4>02e=;0l015m9:3`a?xu6?9i1<76?b342h87;?k<09no5rs053a?6=:r7?;n4<9b9>7}:<>i1?4l4=9a2>7de3ty:;<>50;0x911d2:ij706l2;0af>{t9>;:6=4={<64g?5d1273o=4=bc9~w416:3:1>v3;7b80g==:0ko1>ol4}r3456<72;q68:m53b589=da2;hi7p}>70694?4|5==h6>m9;<:a`?4ej2wx=:?::181820k39h9637bc81fg=z{8=::7>52z?73f<4jk164om52c`8yv709>0;6?u246a97g6<51hj6?lm;|q234>=838p199l:2c6?8>e038in6s|163:>5<5s4>a;29<~;3?j0io63;7b8ae>;3?j0i563;7b8a<>;3?j0i;63;7b8a2>;3?j0i963n938770=z{8=:n7>52z?73fv3;7b8a7>;f1j0??85rs052`?6=:r7?;n4m2:?b==<3;<1v<9>e;296~;3?j0i<63n9d8770=z{8=:j7>52z?73fv3;7b8ba>;fi=0??85rs0515?6=:r7?;n4nd:?be3<3;<1v<9=2;296~;3?j0jo63na38770=z{8=9?7>52z?73fv3;7b8be>;fij0??85rs0511?6=:r7?;n4n9:?be=<3;<1v<9=6;296~;3?j0j463nb18770=z{8=9;7>52z?73fv3;7b8`0>;fil0??85rs051=?6=:r7?;n4l3:?bf1<3;<1v<9=a;296~;3?j0h>63nb78770=z{8=9n7>52z?73fv3;7b8`4>;fjj0??85rs051`?6=:r7?;n4mf:?bf=<3;<1v<9=e;296~;3?j0ii63nbd8770=z{8=9j7>52z?73fv3;7b8a5>;fk;0??85rs0505?6=:r7?;n4n6:?bg1<3;<1v<9<2;292~;3?m0:mh5249;9063<512;6?l6;<:;1?4ei2735:4=b`9>e37=9k>0q~?83283>7}:<>n189=4=9:4>6>f3ty:;>:50;0x911c2;i370:7a;3a0>{t9>9>6=4;{<64a?7fm27?4o4;349><=7=:ki015o::3`b?xu6?:<1<7124342347=7a:p52502909w0:8e;1;e>;3?o0:mh5rs0500=e=9k>0q~?83883>7}:<>l189=4=9::>6>f3ty:;>o50;0x911a2:2j70:7d;3a0>{t9>9i6=493z?7<5<3;<164o652c;89=d>2;h2706ma;0a=>;?jk09n4528ca96g?<51ho6?l6;<:aa?4e1273nk4=b89>:3`:?8>d:38i5637c281f<=:0j>1>o74=9a6>7d>342h:72;h2706la;0a=>;?kk09n4528ba96g?<51io6?l6;<:`a?4e1273ok4=b89>:3`:?8>c:38i5637d281f<=:0m>1>o74=9f6>7d>342o:72;h2706ka;0a=>;?lk09n4528ea96g?<51no6?l6;<:ga?4e1273hk4=b89><`6=:k3015k>:3`:?8>b:38i5637e281f<=:0l>1>o74=9g6>7d>342n:72;h2706ja;0a=>;?mk09n4528da96g?<51oo6?l6;<:fa?4e1273ik4=b89>:3`:?8>a:38i5637f281f<=:0o>1>o74=9d6>7d>342m:72;h2706ia;0a=>;?nk09n4528ga96g?<51lo6?l6;<:ea?4e1273jk4=b89>=56=:k3014>>:3`:?8?7:38i56360281f<=:19>1>o74=826>7d>343;:72;h2707?a;0a=>;>8k09n45291a96g?<50:o6?l6;<;3a?4e1272=46=:k3014?>:3`:?8?6:38i56361281f<=:18>1>o74=836>7d>343::772a94?3|5=286k27>?n4>ad9>16b=<:?0189?:0`7?xu6?:n1<7:t=5:0>15234>387?m4:?67g<51j165;k52c:8yv70;l0;6?u24969063<5>nn63;i86377g8052z?7<0<3;<1654751c68yv70<80;6?u249595g2<51=n6>6n;|q2314=839p1968:516?8>0m38io6378181fa=z{8=??7>52z?7<=<6j=1645>539c8yv70<=0;6;u249:9063<51=n6?ln;<:4b?4e12734=4=bc9>=0c=:kh0147i:0`7?xu6?=?1<74d3342397=7a:p52212903w0:7a;601>;??l09no5286d96gd<512;6?ll;<:;1?4e1273mo4=b`9>=0c=:ki0149>:0`7?xu6?==1<74d33423=7=7a:p522?2909w0:7c;601>;?0809no5rs057=?6=:r7?4h4>b59><2b=;1k0q~?84`83>7}:<1o18>;4=5;4>4753ty:;9l50;0x91>a28h?70674;1;e>{t9>>h6=4={<6;b?24=27?5:4>119~w413l3:1:8u248295g2<51:m69=:;<:;g?4ei273n54=b`9>ej38im637bb81fd=:0kn1>oo4=9`f>7df342ij7;?k=09nl528b796gg<51i=6?ln;<:`3?4ei273o54=b`9>dj38im637cb81fd=:0jn1>oo4=9af>7df342hj7;?l=09nl528e796gg<51n=6?ln;<:g3?4ei273h54=b`9>cj38im637db81fd=:0mn1>oo4=9ff>7df342oj7;?m=09nl528d796gg<51o=6?ln;<:f3?4ei273i54=b`9><`?=:kk015kn:3`b?8>bj38im637eb81fd=:0ln1>oo4=9gf>7df342nj7;?n=09nl528g796gg<51l=6?ln;<:e3?4ei273j54=b`9>aj38im637fb81fd=:0on1>oo4=9df>7df342mj7;>8=09nl5291796gg<50:=6?ln;<;33?4ei272<54=b`9>=5?=:kk014>n:3`b?8?7j38im6360b81fd=:19n1>oo4=82f>7df343;j73;0ae>;>9=09nl5290796gg<50;=6?ln;<;23?4ei272=54=b89>=4?=:kh0q~?84d83>6}:<0:18>94=5;0>1503423m7=7a:p522a290?w0:61;3a0>;?j?09nl529669063<5h<86:516?836i3;i86s|1672>5<4s4>2>7?m4:?7=1<3;<165:=51c68yv70=;0;6>u24809063<51=o6?lm;<:;0?4ei2wx=:;<:18182>;3;i86378b8087>56z?7=1<6j=16848542789=1b2;h27068f;0ag>;?0909nl5289796gd0<0=9k>0156k:2:b?xu6?<<1<7;t=5;4>46e34?>87<6c:?;e3<5jh164l752c;89<4e2=9>7p}>74594?3|5=3<6<>6;<761?4>k273m;4=bc9>=:ki0147?53432n7?m4:?:ba<6j=1v<9:9;297~;31>095=529`195g2<5h:86n7>53z?7=2<50l165l?51c689d6>28h?7p}>74a94?5|5=3<6?6k;<;b=?7e<27jb59~w412l3:1?v3;96814d33ty:;8k50;1x91?02;2i707n7;3a0>;f8m0:n95rs056b?6=;r7?5:4=8`9>=db=9k>01l>i:0`7?xu6??:1<7=t=5;4>7>?343jj7?m4:?b56<6j=1v<991;297~;31>094:529c195g2<5h;>653z?7=2<50<165o?51c689d7028h?7p}>77694?5|5=3<6?6;;<;a3?7e<27j=44>b59~w411=3:1?v3;9681<6=:1k31=o:4=`3g>4d33ty:;;850;1x91?02;29707md;3a0>;f9o0:n95rs0553?6=;r7?5:4=809>=g`=9k>01l?m:0`7?xu6??21<7=t=5;4>7>7343in7?m4:?b66<6j=1v<999;297~;31>09;k529b395g2<5h8>653z?7=2<5?j165n951c689d4>28h?7p}>77a94?5|5=3<6?9m;<;`=?7e<27j>o4>b59~w411l3:1?v3;96813d=:1j?1=o:4=`04>4d33ty:;;k50;1x91?02;=2707ld;3a0>;f:m0:n95rs055b?6=;r7?5:4=799>=f`=9k>01l:1<7=t=5;4>710343hn7?m4:?b76<6j=1v<981;297~;31>09;;529e195g2<5h9>653z?7=2<5?=165i?51c689d5>28h?7p}>76694?5|5=3<6?9=;<;g3?7e<27j?o4>b59~w410=3:1?v3;968134=:1m31=o:4=`14>4d33ty:;:850;1x91?02;=;707kd;3a0>;f;o0:n95rs0543?6=;r7?5:4=6d9>=a`=9k>01l:>:0`7?xu6?>21<7=t=5;4>70c343on7?m4:?b7a<6j=1v<989;297~;31>09:n529d195g2<5h>8653z?7=2<5>h165h?51c689d2>28h?7p}>76a94?5|5=3<6?86;<;f=?7e<27j8o4>b59~w410l3:1?v3;96812==:1lh1=o:4=`64>4d33ty:;:k50;1x91?02;33707j7;3a0>;f=`b=9k>01l;>:0`7?xu6?1:1<7=t=5;4>7?1343nj7?m4:?b0a<6j=1v<971;297~;31>0958529g195g2<5h?>653z?7=2<51:165k?51c689d3428h?7p}>79694?5|5=3<6?66;<;e=?7e<27j944>b59~w41?=3:1?v3;96813`=:1oh1=o:4=`7a>4d33ty:;5850;1x91?02;=8707i7;3a0>;f=m0:n95rs05;3?6=;r7?5:4=669>=c`=9k>01l;i:0`7?xu6?121<74d334>mi7=7a:p52>>2908w0:ia;601>;2<9095n5297296ge0cd=9k>019hk:3a;?82am3;ji6s|16:a>5<3s4>mn7:<5:?7bf<6il169=h51c689<0>2;h37p}>79a94?3|5=lh6?7l;<73=?7e<27>?>4>b59>113=9ho018:9:516?xu6?1n1<71243422<7=7a:p52>b2909w0:ic;1;e>;3nm0:mh5rs05;b?6=161=:0i018=7:3;`?833?3>896s|16;3>5<5s4>mh7:;3:?;=4<40h1v<961;296~;3no0:mh5251297=g0c`=:0i018>n:516?8>?n38io6379981fd=:0031>oo4}r34=6<72;q68kh545189=?32:2j7p}>78694?4|5=lm6?m7;<73e?7e<2wx=:7::18183783>??636698052z?644<6il169=:539c8yv701>0;6?u25139015<50>?6>6n;|q23<>=838p18>>:3a;?837j3;i86s|16;:>5<5s4?;>7?ne:?646<40h1v<96a;292~;28;095n5251a9063<512m6?lm;<::0?4ei273554=bc9><7}:=981>n64=42`>4d33ty:;4m50;0x90642=>870662;1;e>{t9>3o6=4={<730?23;272884<8`9~w41>m3:1>v3:0581g==:=9n1=o:4}r34=c<72;q69=;51c6890702=9>7p}>7`294?4|5<:>69=:;<5ga?2212wx=:o>:181837>3;i863:188770=z{8=j>7>53z?643<3;<169:751c68927b2=?27p}>7`194?4|5<:<68963:0d82f1=:01o1>o74=9;3>7de3422=7{t9>k>6=4={<733:1;v3:098770=:01o1>oo4=9:e>7d>3422<77`594?4|5<:269=:;<5ga?7712wx=:o7:181837m3>896379e81f<=z{8=j57>52z?64c<3;<169>8528a8yv70ih0;68u250295g2<5<;969=:;<::a?4e1273m>4=b`9>=0`=:kh0q~?8ac83>6}:=8:18>;4=9;e>7d>342j87w0;>1;3a0>;29?0??85288`96g?<50<;6?lm;<;57?4ei2wx=:ok:18183693>896379b81f<=z{8=ji7>5bz?657<6j=169;?1m09no5288g96gg<513m6?ln;<:b4?4ei273m?4=b`9>=3g=:k20149?:3`;?xu6?hl1<74d3343=?7=7a:p52d72908w0;>3;601>;?i909n4528`396g?142=9k>0157::2:b?xu6?k81<76t=437>1523422h7;?i=09nl5297`96g>4?:3y>143=9k>01486:2:b?xu6?k>1<715234??=7<6c:p52d22909w0;>6;3a0>;>>8084l5rs05a2?6=:r7>=:4>b59>=34=;1k0q~?8b683>7}:=821=o:4=847>6>f3ty:;o650;6x907?2=9>7066b;0af>;?i809nl5297196g?14?=9k>0157<:2:b?xu6?kk1<715234?8>7?m4:p52de2903w0;>c;601>;?1809nl5288796gg<513j6?l6;<;6g?4ei272:<4=bb9>=35=:kh0148;:3`a?xu6?ki1<7=t=43g>4gb34?:j7?ne:?665<5k11v<9md;297~;29m09o:5250d9015<50>=6>6n;|q23gc=838p18?k:2:b?8?6m3;i86s|16`e>5<4s4?:i7?ne:?665<6il169?<52b:8yv70k90;6>u250g96f1<5<8;69:<;<;73?5?i2wx=:m>:181836m393m6361g82f1=z{8=h>7>55z?65c<51j1644<52c;89<7b2=9>707;5;0a=>;>>=4=9b9><<4=:kk014?i:516?8?3=38im6364681f<=z{8=h87>53z?664<5k>169?<545189=?12:2j7p}>7b794?4|5<8:6>6n;<;14?7e<2wx=:m9:186835:382o6379381fg=:00<1>o74=803>152343?97;2:<0:mh5253496f>175=:j=018<::560?8?30393m6s|16a:>5<5s4?9?7=7a:?:64<6j=1v<9la;297~;2:=0:mh5253495dc<5<836?m7;|q23fd=839p18<;:3a4?835>3>??636488052z?661<40h165?<51c68yv70km0;69u253796oo4=801>152343?57;2:10?8>5288597=g171=;1k014<<:0`7?xu6?m;1<7:t=40;>7?d3423;7u253;95dc<5<8j67e794?3|5<8j6?7l;<::94;349>=12=:k301487:3`;?xu6?m<1<7124342257=7a:p52b02908w0;=c;3ba>;2:m0:mh52520906317e=:0i0189::0`7?816m3;;5636248770=:1=k1>o74}r34`<<72:q69?m54518904c2;i<707;a;1;e>{t9>nj6=4<{<71g?4d027>>h4>ad9>166=9ho0q~?8dc83>7}:=;n1?5o4=806>4d33ty:;im50;7x904b2;3h70;86;3a0>;09l0:i6?l6;|q23ab=839p1853z?66`<5k1169?h51`g8905628kn7p}>7ed94?3|5<8m6?7l;<743?7e<27<=h4>0b9>=71=<:?014:l:3`:?xu6?l:1<7=t=40e>12434?8=7167=;1k014<8:0`7?xu6?l91<7=t=410>15234?<47?m4:?45`<68l1v<9j4;296~;2;=0:n95288c97=g162=<:?014<::514?8?5>3>8;636268772=z{8=n:7>53z?670<6il169>952b:8905c28h?7p}>7d594?4|5<9>6>6n;<70a?7e<2wx=:k7:181834>3;ji63:398052z?673<3<:1644m539c8yv70mh0;6?u252497=g<5<9<6>j393m6s|16g`>5<5s4?847?ne:?67<<40h1v<9jd;296~;2;10?8>5288f97=g16?=9ho018=n:2:b?xu6?ll1<77?d343=j7=7a:p52`72909w0;<9;677>;>>h084l5rs05e5?6=:r7>?l4>ad9>16d=;1k0q~?8f383>7}:=:k189=4=9;f>6>f3ty:;k=50;0x905e28kn70;{t9>l?6=4={<70f?23;2735k4<8`9~w41a=3:1>v3:3b81=f=:1?n1?5o4}r34b3<72;q69>m545189<172:2j7p}>7g594?5|5<9n69=:;<777?4>k2729k4=bb9~w41a03:1?v3:3g82e`=:==:1>n64=465>4d33ty:;k750;0x905a2:2j70;;7;3a0>{t9>lj6=4={<774?7fm27>8<4<8`9~w41aj3:1>v3:418706=:0h;1?5o4}r34bf<72;q699?51`g890252:2j7p}>7gf94?4|5<>:69:<;<:b4?5?i2wx=:hj:181833:3;ji63:428054z?607<51j1658o542789<072;h27079f;0a<>{t91:;6=4={<776?23;273m?4<8`9~w4>793:1>v3:4282e`=:==>1?5o4}r3;47<72;q699=545189=g42:2j7p}>81194?4|5<>?6;:181833<382o6366d8052z?601<3<:164l:539c8yv7?8?0;69u2557967?3:1>v3:448706=:1?h1?5o4}r3;4=<72:q699652b58902e2=>8706n5;1;e>{t91:26=4={<77l4>b59~w4>7i3:1?v3:4882e`=:==k1=lk4=46a>7e?3ty:4=l50;1x902>2;i<70;;a;677>;>844<8`9>=7?=9k>0q~?70e83>1}:==k1>4m4=9:;>7d>343957:<5:?:0a<5j01v<6?e;290~;26?l6;<;1e?24=2wx=5>i:181833k3;i863:4e81g==z{82:<7>54z?60f<3;<1699j528a89=>c2;hj707:b;0ae>{t91;:6=4={<77`?7fm27>8h4<8`9~w4>6:3:1>v3:4e8706=:0h=1?5o4}r3;56<72;q699j539c8902a28kn7p}>80694?4|5<>n69:<;<:b2?5?i2wx=5?::181833n3>??6364d8052z?60c<5k11698>51c68yv7?9>0;69u25429063<51k36?ln;<:b=?4ek273ml4=b`9~w4>603:1?v3:5082e`=:=<91?5o4=476>4gb3ty:4<750;1x90362;i<70;:5;677>;?i1084l5rs0:2e?6=:r7>9<4<8`9>=7e=9k>0q~?71c83>6}:=<81=lk4=477>4gb34?>97;2==0?8>528`;97=g104=;1k014124342jm7=7a:p5=7a2908w0;:6;0`3>;2=00?8>5295d97=g=4?:3y>100=;1k0144gb34?>47?ne:?61<<5k11v<6=2;297~;2=>09o:5254:9015<51ki6>6n;|q2<75=838p18;8:2:b?8?5l3;i86s|1907>5<3s4?>47<6c:?;3a<5j0164ll52c;89<4c2=9>7p}>83794?3|5=7c=<:?014:i:3`:?xu60;<1<74d3342jo7=7a:p5=402909w0;:a;601>;>1m0:n95rs0:19o4>ad9>10c=;1k0188>:0cf?xu60;31<7=t=47a>7e034?==7:;3:?;ea<40h1v<6=a;296~;2=k084l5292095g2o4?:2y>10e=9ho018;i:0cf?831838h46s|190`>5<4s4?>o753z?61a<5k>169;>545189=gb2:2j7p}>82294?4|56n;<;05?7e<2wx=5=>:181832m3>??637b1807>56z?61c<51j169:o51c68933528:<708m8;333>;?io09n45292290634?:7y>136=:0i0189m:0`7?802:3;;9639b98240=:0ho1>ol4=812>1523ty:4>:50;4x90062;3h70;8c;3a0>;1=;0:<9526c:9552<51ko6?lm;<;06?24=2wx=5=::180831:38h;63:668706=:0k81?5o4}r3;73<72;q69;<539c89<5228h?7p}>82594?5|5<<86:;4=c99~w4>403:1?v3:6281g2=:=??189=4=9`0>6>f3ty:4>750;0x90042:2j707<3;3a0>{t919j6=4<{<750?7fm27>:;4>ad9>131=:j20q~?73c83>6}:=?>1>n94=445>124342i=7=7a:p5=5d2909w0;94;1;e>;>;=0:n95rs0:0`?6=>r7>:84=9b9>1=5=9k>01;6::024?80bj3;;;637b281f<=:1:918>;4}r3;7`<72?q69;8528a890>328h?70875;331>;1mk0:<8528c396gg<509?69=:;|q2<6`=83lh1==:4=9`1>7df343897:<5:p5=272908w0;98;3ba>;2>00:mh5257g906313>=:0i0186>:0`7?816m3;:<636378770=:1<:1>o74}r3;07<72:q69;654518900>2;i<707:0;1;e>{t91>86=4<{<75:l4>ad9>13e=9ho0q~?74583>7}:=?31?5o4=815>4d33ty:49;50;7x900f2;3h70;70;3a0>;09l0:=?529259063<50?:6?l6;|q2<10=839p188n:560?831k38h;636508053z?62d<5k1169;l51`g8900c28kn7p}>85:94?3|5<129>=6>=<:?014;=:3`:?xu60=31<7=t=44a>12434?=h7j084l5292595g213b=;1k014=7:0`7?xu60=i1<77t=44f>4d3342jh7;?j;09n4528c196gg<50k;69=:;|q2<1b=832p188i:0`7?8?483>8;636308772=:1:818>94=810>124343887:;3:?:70<3<:1654k54278yv7?3n3:1?v3:718770=:=>o1=o:4=63f>4723ty:48>50;1x9016288270;82;31=>;09l09;=5rs0:65?6=;r7>;<4>269>124=9;=01:?j:34f?xu60<81<7=t=452>44134?<>7?=6:?45`<5>m1v<6:3;297~;2?80:>8525609573<5>;n6?8l;|q2<02=839p189>:007?830:3;986381d812g=z{82>97>53z?634<6::169:<51318927b2;84494?5|5<=:6<<=;<746?75:27<=h4=689~w4>2?3:1?v3:708264=:=>81=??4=63f>70?3ty:48650;1x9016289970;82;306>;09l09555rs0:6=?6=;r7>;<4>309>124=9:;01:?j:3;4?xu6045734?<>7?<0:?45`<51?1v<6:b;297~;2?80:>k52560957`<5>;n6?7:;|q2<0e=839p189>:00f?830:3;9i6381d81=1=z{82>h7>53z?634<6:m169:<513f8927b2;387p}>84g94?5|5<=:6<2n3:1?v3:70826g=:=>81=?l4=63f>71b3ty:4;>50;1x9016288j70;82;31e>;09l09;>5rs0:55?6=;r7>;<4>219>124=9;:01:?j:344?xu60?81<7:t=452>4e034?<=7?l5:?6<3<6m816:8<51gc8yv7?>:0;6>u256395f2<5<2=6<81=k94}r3;20<72:q69:?51b0890>128no708:2;3e2>{t91<=6=4<{<745?7d827>4;4>dc9>204=9o>0q~?76683>6}:=>;1=oh4=4:5>4bf34<>>7?i3:p5=0?2908w0;81;3aa>;20?0:h45264095c4127=9kn01869:0f;?802:3;m=6s|194b>5<4s4?<=7?mc:?6<3<6l>16:8<51g28yv7?>k0;6>u256395gd<5<2=6<81=hk4}r3;2a<72:q69:?51c;890>128n?708:2;3f`>{t914;4>d29>204=9li0q~?76g83>6}:=>;1=o94=4:5>4b534<>>7?jb:p5=172908w0;81;3`b>;20?0:i4526409654127=9jo01869:0g;?802:38;=6s|1951>5<4s4?<=7?ld:?6<3<6m>16:8<52128yv7??:0;6>u256395fe<5<2=6<81=kk4}r3;30<72:q69:?51bc890>128o?708:2;3e`>{t91==6=4<{<745?7d127>4;4>e29>204=9oi0q~?77683>6}:=>;1=n64=4:5>4c534<>>7?ib:p5=1?2908w0;81;3`5>;20?0:hn5264095c3127=9k<01869:0f2?802:3;nm6s|195b>5{t91=h6=4={<745?d434<397?i7:p5=1c2909w0;81;`1?80?=3;m:6s|195f>5<5s4?<=7l?;<4;1?7a<2wx=59i:18183093km70875;3e7>{t912;6=4={<745?gb34<397?i2:p5=>62909w0;81;cg?80?=3;m=6s|19:1>5<5s4?<=7ol;<4;1?7a82wx=56<:18183093ki70875;3fb>{t912?6=4={<745?gf34<397?je:p5=>22909w0;81;c:?80?=3;nh6s|19:5>5<5s4?<=7o7;<4;1?7bk2wx=568:18183093k<70875;3ff>{t91236=4={<745?e334<397>2909w0;81;a0?80?=38;=6s|19:b>5<5s4?<=7m=;<4;1?4782wx=56m:18183093i:70875;3eb>{t912h6=4={<745?e734<397?ie:p5=>c2909w0;81;`e?80?=3;mh6s|19:f>5<5s4?<=7lj;<4;1?7ak2wx=56i:18183093ho70875;3ef>{t913;6=4={<745?d634<397?i5:p5=?62909w0;81;c5?80?=3;nm6s|19;1>5<5s4?<=7:=a:?636<4n01v<663;296~;2?80?>55256197c1127=<;=0189<:2d5?xu600?1<714134?;2?:08j95rs0::3?6=:r7>;<4;259>125=;o90q~?79983>7}:=>;18?=4=450>6`53ty:44750;0x90162=8970;83;1e5>{t913j6=4={<745?25827>;>4>j3:1>v3:70875c=:=>91?hk4}r3;=f<72;q69:?540g890142:oo7p}>88f94?4|5<=:69?k;<747?5bk2wx=57j:18183093>:o63:7280ag=z{822j7>52z?634<39k169:=53dc8yv7?i90;6?u2563904g<5<=86>k6;|q2:53:?830;39n46s|19c1>5<5s4?<=7:>8:?636<4m>1v<6n3;296~;2?80?=:5256197`0127=<8?0189<:2g7?xu60h?1<717334?;2?:08i?5rs0:b3?6=:r7>;<4;139>125=;l;0q~?7a983>7}:=>;186c73ty:4l750;0x90162=;;70;83;1gb>{t91kj6=4={<745?27n27>;>4fj3:1>v3:70874`=:=>91?ij4}r3;ef<72;q69:?541f890142:nh7p}>8`f94?4|5<=:69>l;<747?5cj2wx=5oj:18183093>;m63:7280`<=z{82jj7>52z?634<380169:=53e:8yv7?j90;6?u2563905><5<=86>j8;|q2:524?830;39o:6s|19`1>5<5s4?<=7:?6:?636<4l<1v<6m3;296~;2?80?<85256197a2127=<9>0189<:2f0?xu60k?1<716434?;2?:08h<5rs0:a3?6=:r7>;<4;009>125=;m:0q~?7b983>7}:=>;18>>4=450>6`a3ty:4o750;0x90162=8m70;83;1ea>{t91hj6=4={<745?25m27>;>4ej3:1>v3:70876a=:=>91?km4}r3;ff<72;q69:?543a890142:li7p}>8cf94?4|5<=:699=63:7280b5=z{82ij7>52z?634<39?169:=53d78yv7?k90;6?u2563905d<5<=86>jn;|q2:523?830;39hj6s|19a1>5<3s4?<>7?l7:?637<6k<169hm51d3893d?28lj7p}>8b194?5|5<=96f99~w4>d<3:1?v3:7382g6=:=li1=ik4=7`;>4`03ty:4n;50;1x901528i970;jc;3g`>;1j10:j;5rs0:`2?6=;r7>;?4>c19>1`e=9mh01;l7:0d7?xu60j=1<7=t=451>4da34?no7?ka:?5f=<6n:1v<6l8;297~;2?;0:nh525da95a?<5?h3653z?637<6jj169hm51e5893d?28l;7p}>8b`94?5|5<=9627=n54>eg9~w4>dk3:1?v3:7382fd=:=li1=i;4=7`;>4cb3ty:4nj50;1x901528h270;jc;3g0>;1j10:ii5rs0:`a?6=;r7>;?4>b99>1`e=9m901;l7:0g`?xu60jl1<7=t=451>4d034?no7?k2:?5f=<6mk1v<6k0;297~;2?;0:ok525da95`?<5?h36?>=;|q27>53z?637<6km169hm51d5893d?2;:;7p}>8e194?5|5<=9627=n54>fg9~w4>c<3:1?v3:7382gg=:=li1=h;4=7`;>4`b3ty:4i;50;1x901528ij70;jc;3f0>;1j10:ji5rs0:g2?6=;r7>;?4>c89>1`e=9l901;l7:0d`?xu60m=1<7=t=451>4e?34?no7?j2:?5f=<6nk1v<6k8;297~;2?;0:o<525da95ae<5?h3658z?637;?4ma:?637;?4m8:?637;?4m6:?637f`9~w4>cj3:1>v3:738a0>;1mk0:j55rs0:gg?6=:r7>;?4m3:?5ag<6n>1v<6kd;296~;2?;0i>639ec82b3=z{82oi7>52z?637f59~w4>cn3:1>v3:738bb>;1mk0:j>5rs0:f4?6=:r7>;?4ne:?5ag<6n;1v<6j1;296~;2?;0jh639ec82b4=z{82n>7>52z?637f19~w4>b;3:1>v3:738bf>;1mk0:ik5rs0:f0?6=:r7>;?4na:?5ag<6ml1v<6j5;296~;2?;0j5639ec82aa=z{82n:7>52z?637eb9~w4>b?3:1>v3:738b3>;1mk0:io5rs0:f;?4l4:?5ag<58;1v<6j9;296~;2?;0h?639ec8144=z{82nm7>52z?637bj3:1>v3:738`5>;1mk0:jk5rs0:fg?6=:r7>;?4l0:?5ag<6nl1v<6jd;296~;2?;0ij639ec82ba=z{82ni7>52z?637fb9~w4>bn3:1>v3:738a`>;1mk0:jo5rs0:e4?6=:r7>;?4m1:?5ag<6n<1v<6i1;296~;2?;0j:639ec82ad=z{82m>7>52z?637<3:h169::53g;8yv7?n:0;6?u2560907><5<=?6>h8;|q25<5s4?<>7:=6:?631<4n<1v<6i6;296~;2?;0?>85256697c2124=<;>0189;:2d0?xu60o21<714434?<87=i2:p5=`>2909w0;82;616>;2?=08j<5rs0:ee?6=:r7>;?4;219>122=;ll0q~?7fc83>7}:=>8186cb3ty:4km50;0x90152=;n70;84;1f`>{t91lo6=4={<746?26l27>;94am3:1>v3:73875f=:=>>1?hl4}r3;bc<72;q69:<540`890132:oj7p}>91294?4|5<=969?n;<740?5b12wx=4>>:181830:3>:563:7580a==z{83;>7>52z?637<391169::53d58yv7>8:0;6?u25609041<5<=?6>k9;|q2=52=838p189=:536?830<39n86s|1826>5<5s4?<>7:>4:?631<4m:1v<7?6;296~;2?;0?=>5256697`4124=<880189;:2g2?xu61921<717634?<87=j0:p5<6>2909w0;82;624>;2?=08hk5rs0;3e?6=:r7>;?4;0g9>122=;mo0q~?60c83>7}:=>818=k4=457>6bc3ty:5=m50;0x90152=:o70;84;1gg>{t90:o6=4={<746?27k27>;94v3:73874d=:=>>1?i74}r3:4c<72;q69:<541;890132:n37p}>90294?4|5<=969>7;<740?5c?2wx=4?>:181830:3>;;63:7580`3=z{83:>7>52z?637<38?169::53e78yv7>9:0;6?u25609053<5<=?6>j;;|q2=42=838p189=:527?830<39o?6s|1836>5<5s4?<>7:?3:?631<4l;1v<7>6;296~;2?;0?124=<9;0189;:2f3?xu61821<715734?<87=if:p5<7>2909w0;82;61b>;2?=08jh5rs0;2e?6=:r7>;?4;2d9>122=;on0q~?61c83>7}:=>818?j4=457>6`d3ty:5{t90;o6=4={<746?25j27>;94v3:738764=:=>>1?k>4}r3:5c<72;q69:<5404890132:o>7p}>93294?4|5<=969>m;<740?5ci2wx=4<>:181830:3>;<63:7580gc=z{839>7>53z?636<6:0169::513;892302;=;7p}>93194?5|5<=86<<8;<740?75?27<9:4=6d9~w4?5<3:1?v3:728263=:=>>1=?84=674>70c3ty:5?;50;1x9014288>70;84;311>;0=>09:n5rs0;12?6=;r7>;>4>259>122=9;>01:;8:34a?xu61;=1<7=t=450>44434?<87?=3:?412<5>h1v<7=8;297~;2?:0:>?525669574<5>?<6?86;|q2=7?=839p189<:002?830<3;9=63856812==z{839m7>53z?636<6;;169::5120892302;337p}>93`94?5|5<=86<=>;<740?74927<9:4=969~w4?5k3:1?v3:728275=:=>>1=>>4=674>7?13ty:5?j50;1x9014288m70;84;31b>;0=>09585rs0;1a?6=;r7>;>4>2d9>122=9;o01:;8:3;7?xu61;l1<7=t=450>44c34?<87?=d:?412<51:1v<7<0;297~;2?:0:>n52566957e<5>?<6?66;|q2=67=839p189<:00a?830<3;9n63856813`=z{838>7>53z?636<6:h169::513c892302;=87p}>92194?5|5<=86<91=n;4=4:5>4`f34=h:7?m4:p5<522908w0;83;3`0>;20?0:j5527b595g2125=9j901869:0d4?81d03;i86s|1814>5<4s4?;10;6>u256195f6<5<2=6128l9709lc;3a0>{t909i6=4<{<747?7el27>4;4>f09>3fb=9k>0q~?63b83>6}:=>91=om4=4:5>4`734=hi7?m4:p5<5c2908w0;83;3af>;20?0:ik527bd95g2125=9kk01869:0gf?81c83;i86s|181e>5<4s4?<90;6>u256195g><5<2=6:180830;3;i;63:8782ag=:?m91=o:4}r3:07<72:q69:=51bd890>12;:9709k4;3a0>{t90>86=4<{<747?7dm27>4;4=009>3a3=9k>0q~?64583>6}:=>91=nj4=4:5>76734=o:7?m4:p5<222908w0;83;3`g>;20?0:jk527e595g2125=9jh01869:0df?81c03;i86s|1864>5<4s4?<10;6>u256195f?<5<2=6128l>709kc;3a0>{t90>i6=4<{<747?7e>27>4;4>e`9>3ab=9k>0q~?64b83><}:=>91nn525619fd=:=>91n4525619f==:=>91n:525619f3=:=>91n8525c;95cg<5>3=628l370967;3a0>{t90>n6=4<{<747?d434?i57?i7:?4==<6j=1v<7;f;297~;2?:0i>63:b882b3=:?031=o:4}r3:15<72:q69:=5b19>1g?=9o>01:7n:0`7?xu61<;1<7=t=450>d`<5;01j0:n95rs0;67?6=;r7>;>4nd:?6f<<6n816;4j51c68yv7>==0;6>u25619ef=:=k31=k>4=6;f>4d33ty:58;50;1x90142hh018l6:0ge?81>n3;i86s|1875>5<4s4?b59~w4?2?3:1?v3:728b=>;2j00:ii527`395g2125=i1169o751da892g528h?7p}>94;94?5|5<=86l94=4`:>4ce34=j?7?m4:p5<3f2908w0;83;a7?83e138;>638a582f1=z{83>n7>53z?636n44=009>3d3=9k>0q~?65b83>6}:=>91o?525c;9656<5>k=628lm709n7;3a0>{t90?n6=4<{<747?e734?i57?ie:?4e=<6j=1v<7:f;297~;2?:0ij63:b882ba=:?h31=o:4}r3:25<72:q69:=5bd9>1g?=9oi01:on:0`7?xu61?;1<7=t=450>gb<5;0ij0:n95rs0;57?6=;r7>;>4n6:?6f<<6mh16;lj51c68yv7>>=0;69u256695f1<5<=?6b59~w4?1=3:1?v3:7582g1=:=li1=k64=6ce>4d33ty:5;850;1x901328i870;jc;3e3>;0j90:n95rs0;53?6=;r7>;94>c39>1`e=9o<01:l>:0`7?xu61?21<7=t=457>4e734?no7?i4:?4f7<6j=1v<799;297~;2?=0:nk525da95c5<5>h86638b582f1=z{83=n7>53z?631<6jm169hm51g3892d228h?7p}>97a94?5|5<=?6b59~w4?1l3:1?v3:7582fg=:=li1=hh4=6`4>4d33ty:5;k50;1x901328hj70;jc;3fa>;0j10:n95rs0;5b?6=;r7>;94>b89>1`e=9ln01:l6:0`7?xu61>:1<7=t=457>4d?34?no7?jc:?4fd<6j=1v<781;297~;2?=0:n:525da95`d<5>hi6638bb82f1=z{8353z?631<6kl169hm5213892dc28h?7p}>96694?5|5<=?6b59~w4?0=3:1?v3:7582gf=:=li1=kh4=6`e>4d33ty:5:850;1x901328ii70;jc;3ea>;0k90:n95rs0;43?6=;r7>;94>c`9>1`e=9on01:m>:0`7?xu61>21<7=t=457>4e>34?no7?ic:?4g7<6j=1v<789;297~;2?=0:o5525da95cd<5>i8653z?631<6j?169hm51dc892e228h?7p}>96a94??|5<=?6om4=457>gg<5<=?6o74=457>g><5<=?6o94=457>g0<5<=?6o;4=73e>4`f34=53z?631f69>3=6=9k>0q~?67g83>6}:=>>1n?5260d95c0<5>2:6{t902:6=4<{<740?ga34<:j7?i3:?4<6<6j=1v<772;297~;2?=0ji6391g82b7=:?1>1=o:4}r3:<6<72:q69::5ae9>24`=9o;01:6::0`7?xu611>1<7=t=457>de<5?;m6f;3fb>;00>0:n95rs0;;2?6=;r7>;94na:?55c<6ml16;5651c68yv7>0>0;6>u25669e<=:>8l1=hj4=6::>4d33ty:55650;1x90132h201;?i:0g`?81?i3;i86s|18::>5<4s4?<87o8;<42b?7bj27<4o4>b59~w4??i3:1?v3:758`0>;19o09122=k:16:c28h?7p}>99a94?5|5<=?6n<4=73e>76734=3i7?m4:p5<>c2908w0;84;a2?806n3;mj6388g82f1=z{833i7>53z?631fd9>3<6=9k>0q~?68g83>6}:=>>1nk5260d95cb<5>3:6{t903:6=4<{<740?dc34<:j7?ib:?4=6<6j=1v<762;297~;2?=0i=6391g82b0=:?0>1=o:4}r3:=6<72:q69::5a79>24`=9lk01:7::0`7?xu610>1<715234=>;7??9:p5;0=>0:;:4;349>301=99i0q~?69683>7}:=>218>;4=674>46b3ty:54650;0x901>2=9>709:7;66=>{t90326=4<{<74e?24=27>4;4>069>1`e=99=0q~?69`83>6}:=>h18>;4=4:5>46234?no7??5:p5;20?0:<9525da955212b=9k>01;6::57;?80bj3>>4636738770=:ij?1=o:4}r3:=a<72=q69:j5427890d>2=?3708>f;66<>;?j<09nl5rs0;:a?6=:r7>;h4;349>301=98?0q~?69g83>7}:=>l18>;4=674>4743ty:5l>50;0x90>72=9>709:7;326>{t90k:6=4={<7;5?24=27<9:4>119~w4?f:3:19v3:8382f1=:><818864=7`;>13?34k=87:<5:?bg2<6j=1v<7n3;290~;20;0??852594900><58963:b88242=:>8l1==94}r3:e0<72:q695:5427890d>28:>708>f;331>{t90k=6=4<{<7;1?24=27>n44>059>24`=99>0q~?6a683>7}:=k31=h?4=6a5>1523ty:5l650;0x90d>28nm709l7;601>{t90k26=4={<7a=?7cm27v3:b882`a=:?j318>;4}r3:eg<72;q69o751e`892ef2=9>7p}>9`a94?4|552z?6f<<6l116;nj54278yv7>io0;6?u25c;95a1<5>in69=:;|q2=g6=838p18l6:0f5?81dn3>896s|18`2>5<5s4?i57?k5:?4`5<3;<1v<7m2;296~;2j00:h9527e390634?:3y>1g?=9m901:j=:516?xu61k>1<74b534=o?7:<5:p5;0l=0??85rs0;a2?6=:r7>n44>e99>3a3=<:?0q~?6b683>7}:=k31=h94=6f5>1523ty:5o650;0x90d>28o=709k7;601>{t90h26=4={<7a=?7b=27v3:b882a1=:?m318>;4}r3:fg<72;q69o751d1892bf2=9>7p}>9ca94?4|552z?6f<<6l816;ij54278yv7>jo0;6?u260d95`7<5>kn69=:;|q2=f6=838p1;?i:0fe?81fn3>896s|18a2>5<5s4<:j7?ke:?4f5<3;<1v<7l2;296~;19o0:hi527c390634?:3y>24`=9mh01:l=:516?xu61j>1<74bf34=i?7:<5:p5f;3g=>;0j=0??85rs0;`2?6=:r7==k4>d99>3g3=<:?0q~?6c683>7}:>8l1=i94=6`5>1523ty:5n650;0x937a28n=709m7;601>{t90i26=4={<42b?7c=27v391g82`1=:?k318>;4}r3:gg<72;q6:7p}>9ba94?4|5?;m652z?55c<6m116;oj54278yv7>ko0;6?u260d95`1<5>hn69=:;|q2=a6=838p1;?i:0g5?81en3>896s|18f2>5<5s4<:j7?j5:?4g5<3;<1v<7k2;296~;19o0:i9527b390634?:3y>24`=9l901:m=:516?xu61m>1<74c534=h?7:<5:p5f;3gg>;0k=0??85rs0;g2?6=:r7==k4>d09>3f3=<:?0q~?6d683>7}:><81=h?4=8a`>1523ty:5i650;0x933528nm707k4;601>{t90n26=4={<466?7cm272h;4;349~w4?ci3:1>v395382`a=:1m818>;4}r3:`g<72;q6:8<51e`897p}>9ea94?4|5??9652z?517<6l1165h>54278yv7>lo0;6?u264095a1<50nh69=:;|q2=`6=838p1;;=:0f5?8?b<3>896s|18g2>5<5s4<>>7?k5:?:a3<3;<1v<7j2;296~;1=;0:h9529d090634?:3y>204=9m9014kn:516?xu61l>1<74b5343no7:<5:p5;>m10??85rs0;f2?6=:r7=9?4>e99>=`c=<:?0q~?6e683>7}:><81=h94=8d3>1523ty:5h650;0x933528o=707i4;601>{t90o26=4={<466?7b=272j;4;349~w4?bi3:1>v395382a1=:1o818>;4}r3:ag<72;q6:8<51d189<`f2=9>7p}>9da94?4|5??9652z?517<6l816m=>54278yv7>mo0;6?u269795`7<5>3=69=:;|q2=c6=838p1;6::0fe?81>?3>896s|18d2>5<5s4<397?ke:?4==<3;<1v<7i2;296~;10<0:hi5278;90634?:3y>2=3=9mh01:7n:516?xu61o>1<74bf34=2n7:<5:p5<`22909w0875;3g=>;01j0??85rs0;e2?6=:r7=484>d99>37}:>1?1=i94=6;f>1523ty:5k650;0x93>228n=7096f;601>{t90l26=4={<4;1?7c=27v398482`1=:?h;18>;4}r3:bg<72;q6:5;51e1892g52=9>7p}>9ga94?4|5?2>652z?5<0<6m116;l;54278yv7>no0;6?u269795`1<5>k=69=:;|q2e56=838p1;6::0g5?81f?3>896s|1`22>5<5s4<397?j5:?4e=<3;<1v4?:3y>2=3=9l901:on:516?xu6i9>1<74c534=jn7:<5:p5d622909w0875;3gg>;0ij0??85rs0c32?6=:r7=484>d09>3db=<:?0q~?n0683>7}:>k21=h?4=8;`>1523ty:m=650;0x93d?28nm707n4;601>{t9h:26=4={<4av39b982`a=:1h818>;4}r3b4g<72;q6:o651e`897p}>a1a94?4|5?h36k:18180e03;o5636a98770=z{8k;i7>52z?5f=<6l1165lk54278yv7f8o0;6?u26c:95a1<50h;69=:;|q2e46=838p1;l7:0f5?8?e<3>896s|1`32>5<5s42;296~;1j10:h9529c090634?:3y>2g>=9m9014l7:516?xu6i8>1<74b5343im7:<5:p5d722909w08m8;3f=>;>jl0??85rs0c22?6=:r7=n54>e99>=f6=<:?0q~?n1683>7}:>k21=h94=8``>1523ty:m<650;0x93d?28o=707l2;601>{t9h;26=4={<4av39b982a1=:1j218>;4}r3b5g<72;q6:o651d1897p}>a0a94?4|5?h3652z?5f=<6l8165i>54278yv7f9o0;6?u26d`95`7<5>=n69=:;|q2e76=838p1;km:0fe?810n3>896s|1`02>5<5s4>4?:3y>2`d=9mh01:6=:516?xu6i;>1<74bf34=3?7:<5:p5d422909w08jb;3g=>;00=0??85rs0c12?6=:r7=io4>d99>3=3=<:?0q~?n2683>7}:>lh1=i94=6:5>1523ty:m?650;0x93ce28n=70977;601>{t9h826=4={<4ff?7c=27<454;349~w4g5i3:1>v39ec82`1=:?1318>;4}r3b6g<72;q6:hl51e1892>f2=9>7p}>a3a94?4|5?oi652z?5ag<6m116;5j54278yv7f:o0;6?u26d`95`1<5>2n69=:;|q2e66=838p1;km:0g5?81?n3>896s|1`12>5<5s44?:3y>2`d=9l901:7=:516?xu6i:>1<74c534=2?7:<5:p5d522909w08jb;3gg>;01=0??85rs0c02?6=:r7=io4>d09>3<3=<:?0q~?n3683>6}:?8o1>=m4=6ff>76d343<47:<5:p5d5?2908w09>e;03e>;0ll0934c=:9301:jj:32:?8?0>3>896s|1`1b>5<4s4=:i7u270g9651<5>nn6?>8;<;;4?24=2wx=l=l:180816m38;:638dd8143=:1>i18>;4}r3b7a<72:q6;70772;601>{t9h9n6=4<{<52a?47<27==2=<:?0q~?n3g83>6}:?8o1>>:4=6ff>753343347:<5:p5d272908w09>e;007>;0ll09?>5299c906334c=::801:jj:311?8??>3>896s|1`61>5<4s4=:i7<<1:?4``<5;81655k54278yv7f<:0;6>u270g9666<5>nn6?=?;<;:4?24=2wx=l:;:180816m389j638dd816c=:11i18>;4}r3b00<72:q6;70764;601>{t9h>=6=4<{<52a?46i27=<0=<:?0q~?n4683>6}:?8o1>=h4=6ff>76a3432>7:<5:p5d2?2908w09>e;037>;0ll09<>5298:906334c=::o01:;8:32`?xu6i=k1<775d34=>;7e;00f>;0=>09<45rs0c7g?6=:r7<=h4=3`9>301=:920q~?n4e83>7}:?8o1>>74=674>7603ty:m9k50;0x927b2;93709:7;032>{t9h>m6=4={<52a?44?27<9:4=049~w4g283:1>v381d8173=:?<=1>=:4}r3b14<72;q6;a4094?4|5>;n6?8:;<563?44;2wx=l;<:181816m38=8638568177=z{8k>87>52z?45`<5>:16;8952238yv7f=<0;6?u270g9634<5>?<6?=?;|q2e00=838p1:?j:342?812?389j6s|1`74>5<5s4=:i7<:7:?412<5:<1v34c=:=;01:;8:32e?xu6i75234=>;7l1=4k4=6:3>4?b34=3=7?6e:?4<7<61l16;5=518g892>3283n70975;3:a>;00?0:5h5279595236<7j;<5;=?7>m27<4l4>9d9>3=d=90o01:6l:0;f?81?l3;2i6388d82=`=:?1l1=4k4=6;3>4?b34=2=7?6e:?4=7<61l16;4=518g892?3283n70965;3:a>;01?0:5h5278595336<7j;<5:=?7>m27<5l4>9d9>3l3;2i6389d82=`=:?0l1=4k4=6c3>4?b34=j=7?6e:?4e7<61l16;l=518g892g3283n709n5;3:a>;0i?0:5h527`595k36<7j;<5b=?7>m279d9>3dd=90o01:ol:0;f?81fl3;2i637b580o7>530y>3dc=90o01:oi:0;f?81e83;2i638b082=`=:?k81=4k4=6`0>4?b34=i87?6e:?4f0<61l16;o8518g892d0283n709m8;3:a>;0j00:5h527cc95hi6<7j;<5ag?7>m279d9>3gc=90o01:li:0;f?81d83;2i638c082=`=:?j81=4k4=6a0>4?b34=h87?6e:?4g0<61l16;n8518g892e0283n709l8;3:a>;0k00:5h527bc95ii6<7j;<5`g?7>m279d9>3fc=90o01:mi:0;f?81c83;2i638d082=`=:?m81=4k4=6f0>4?b34=o87?6e:?4`0<61l16;i8518g892b0283n709k8;3:a>;0l00:5h527ec95ni6<7j;<5gg?7>m279d9>6}:09?1>n94=92f>124342i;7=7a:p5d3b2909w06?5;1;e>;>;m0:n95rs0c6b?6=;r73<;4>ad9><5g=9ho015>m:3a;?xu6i?:1<7=t=925>7e0342;m7:;3:?:16<40h1v<51=9ho015>m:0cf?8>7k38h46s|1`40>5<4s42;;7=0;6?u281597=g<509j67:0cf?8>7k3;ji6370e81g==z{8k=:7>53z?;4=<5k>164=m545189<322:2j7p}>a7594?4|51:36>6n;<;0f?7e<2wx=l87:1808>713;ji6370e82e`=:09o1>n64}r3b2<<72:q64=752b589=6c2=>8707:6;1;e>{t9hb59~w4g1j3:1hv370`81=f=:01k1>ol4=9:a>7df342i;7b;0ae>;>9j09nl5290f96gg<509269=:;<;67?4e1272:n4=b99~w4g1k3:1?v370c81=f=:1:k18>;4=877>7d>3ty:m;j50;1x9=6d2;3h707;>=<09n45rs0c5a?6=;r73=6e=<:?014;9:3`:?xu6i?l1<7=t=92f>7?d342i;7e1393m6s|1`51>5<5s42:=7?m4:?;f=<40h1v<45=9k>015ll:2:b?xu6i>?1<74d3342in7=7a:p5d112909w06>5;3a0>;?jm084l5rs0c43?6=:r73=;4>b59>7}:08=1=o:4=9`f>6>f3ty:m:750;0x9=7?28h?706l0;1;e>{t9h=j6=4={<:2=?7e<273o?4<8`9~w4g0j3:1>v371`82f1=:0j;1?5o4}r3b3f<72;q64a6f94?4|51;h66l3;i8637c58052z?;5`<6j=164n8539c8yv7f090;6?u280d95g2<51i36>6n;|q2e=7=838p15d?393m6s|1`:1>5<5s429=7?m4:?;g<<40h1v<75=9k>015mn:2:b?xu6i1?1<74d3342ho7=7a:p5d>12909w06=5;3a0>;?kl084l5rs0c;3?6=:r73>;4>b59>7}:0;=1=o:4=9ae>6>f3ty:m5750;0x9=4?28h?706k1;1;e>{t9h2j6=4={<:1=?7e<273h=4<8`9~w4g?j3:1>v372`82f1=:0m81?5o4}r3ba9f94?4|518h65l3;i8637d48052z?;6`<6j=164i9539c8yv7f190;6?u283d95g2<51n=6>6n;|q2e<7=838p15=?:0`7?8>c0393m6s|1`;1>5<5s428=7?m4:?;`d<40h1v<65=9k>015jm:2:b?xu6i0?1<74d3342oh7=7a:p5d?12909w06<5;3a0>;?lj084l5rs0c:3?6=:r73?;4>b59>7}:0:=1=o:4=9g3>6>f3ty:m4750;0x9=5?28h?706kf;1;e>{t9h3j6=4={<:0=?7e<273i<4<8`9~w4g>j3:1>v373`82f1=:0l91?5o4}r3b=f<72;q64>l51c689=c52:2j7p}>a8f94?4|519h64l3;i8637e78052z?;7`<6j=164h;539c8yv7fi90;6?u282d95g2<51o<6>6n;|q2ed7=838p15:?:0`7?8>b0393m6s|1`c1>5<5s42?=7?m4:?;a<<40h1v<15=9k>015kn:2:b?xu6ih?1<74d3342no7=7a:p5dg12909w06;5;3a0>;?ml084l5rs0cb3?6=:r738;4>b59><`b=;1k0q~?na983>7}:0==1=o:4=9ge>6>f3ty:ml750;0x9=2?28h?706i1;1;e>{t9hkj6=4={<:7=?7e<273j=4<8`9~w4gfj3:1>v374`82f1=:0o81?5o4}r3bef<72;q649l51c689=`32:2j7p}>a`f94?4|51>h63l3;i8637f48052z?;0`<6j=164k9539c8yv7fj90;6?u285d95g2<51l=6>6n;|q2eg7=838p15;?:0`7?8>a0393m6s|1``1>5<5s42>=7?m4:?;bd<40h1v<05=9k>015hm:2:b?xu6ik?1<74d3342mh7=7a:p5dd12909w06:5;3a0>;?nj084l5rs0ca3?6=:r739;4>b59>7}:0<=1=o:4=823>6>f3ty:mo750;0x9=3?28h?706if;1;e>{t9hhj6=4={<:6=?7e<272<<4<8`9~w4gej3:1>v375`82f1=:1991?5o4}r3bff<72;q648l51c689<652:2j7p}>acf94?4|51?h62l3;i8636078052z?;1`<6j=165=;539c8yv7fk90;6?u284d95g2<50:<6>6n;|q2ef7=838p158?:0`7?8?71393m6s|1`a1>5<5s42==7?m4:?:4=<40h1v;0:n95291c97=g<35=9k>014>l:2:b?xu6ij?1<74d3343;n7=7a:p5de12909w0695;3a0>;>8m084l5rs0c`3?6=:r73:;4>b59>=5`=;1k0q~?nc983>7}:0?=1=o:4=82f>6>f3ty:mn750;0x9=0?28h?707>0;1;e>{t9hij6=4={<:5=?7e<272=?4<8`9~w4gdj3:1>v376`82f1=:18;1?5o4}r3bgf<72;q64;l51c689<742:2j7p}>abf94?4|511l3;i8636158052z?;2`<6j=165<8539c8yv7fl90;6?u287d95g2<50;<6>6n;|q2ea7=838p159?:0`7?8?60393m6s|1`f1>5<4s42<=7?ne:?;33<6il164:952b:8yv7fl:0;6>u286396f1<51==69:<;<;2`?5?i2wx=lj;:1818>09393m6363d82f1=z{8ko97>53z?;37<6il164:951`g89=1?2;i37p}>ae494?5|51=96?m8;<:43?23;272=n4<8`9~w4gc?3:1>v377380{t9hn26=4<{<:47?4d?273;54;429>=4d=;1k0q~?nd`83>7}:0>91?5o4=863>4d33ty:mil50;1x9=1328kn70689;3ba>;??h09o55rs0cgg?6=;r73;94=c69><2?=<=9014?n:2:b?xu6imn1<76>f343?=7?m4:p5dbb2908w0685;3ba>;??h0:mh5286`97=g<23=:j=0159n:560?8?61393m6s|1`g3>5<5s42<97=7a:?:07<6j=1v0?382o6378781fd=:18i1>o74=81e>1523ty:mh=50;6x9=1?2;3h70676;0af>;>9k09n4529529063<2?=:0i01569:3``?8?6i38i5636408770=z{8kn97>54z?;3d<51j1645852cf89<7>2;h2707;2;601>{t9ho=6=4={<:4f?23;272:n4<8`9~w4gb?3:18v377b81fd=:0181>oo4=9:0>7df34kh47:<5:p5dc?2909w068c;0af>;f>;0??85rs0cf=?6=;r73;k4=b`9>=01=<:?014;j:3`:?xu6ilk1<77de343=97:<5:p5dce2908w066a;0ae>;>:10??85294a96gd896365c81f<=z{8knh7>53z?;ef<5jh165?h542789<3c2;hi7p}>adg94?3|51ko6?l6;<:ba?4e1273mk4=bc9>7df34k;>7:<5:p5d`7290==v37b7804?b34k;?7?6e:?b41<61l16m=;518g89d61283n70o?7;3:a>;f810:5h52a1;95m27j9d9>e5b=90o01l>j:0;f?8g7n3;2i63n1182=`=:i8;1=4k4=`31>4?b34k:?7?6e:?b51<61l16m<;518g89d71283n70o>7;3:a>;f910:5h52a0;95m27j=n4>9d9>e4b=90o01l?j:0;f?8g6n3;2i63n2182=`=:i;;1=4k4=`01>4?b34k9?7?6e:?b61<61l16m?;518g89d41283n70o=7;3:a>;f:10:5h52a3;95m27j>n4>9d9>e7b=90o01l4?b34k8?7?6e:?b71<61l16m>;518g89d51283n70o<7;3:a>;f;10:5h52a2;95m27j?n4>9d9>e6b=90o01l=j:0;f?8g4n3;2i63n4182=`=:i=;1=4k4=`61>4?b34k??7?6e:?b01<61l16m9;518g89d21283n70o;7;3:a>;f<10:5h52a5;95j6<7j;m27j8n4>9d9>e1b=90o01l:j:0;f?8g3n3;2i63n5182=`=:i<;1=4k4=`71>4?b34k>?7?6e:?b11<61l16m8;518g89d31283n70o:7;3:a>;f=10:5h52a4;95m27j9n4>9d9>e0b=90o01l;j:0;f?8g2n3;2i63n6182=`=z{8km=7>52z?:6=<6j=1658m539c8yv7fn;0;6?u293d95g2<50?o6>6n;|q2ec5=838p14;8:0`7?8?2m393m6s|1`d7>5<5s43>47?m4:?:1g<40h1v=00:n95294d97=g=0g=9k>0148?:2:b?xu6io=1<7152343<>7?m4:p5d`?2909w0783;601>;>?=0:n95rs0ce=?6=:r72;84;349>=20=9k>0q~?nf`83>7}:1>=18>;4=85;>4d33ty:mkl50;0x9<1>2=9>7078a;3a0>{t9hlh6=4={<;4f?24=272;n4>b59~w4gal3:1>v367e8770=:1>o1=o:4}r3bb`<72;q65:h542789<>728h?7p}>agd94?4|502:69=:;<;;6?7e<2wx=o>?:1818??;3>896368582f1=z{8h;=7>52z?:<0<3;<1655851c68yv7e8;0;6?u29959063<502365<5s433n7:<5:?:0m0??85299g95g2==`=<:?0147?:0`7?xu6j9=1<71523432>7?m4:p5g6?2909w0763;601>;>1=0:n95rs0`3=?6=:r72584;349>=<0=9k>0q~?m0`83>7}:10=18>;4=8;;>4d33ty:n=l50;0x92=9>7076a;3a0>{t9k:h6=4={<;:f?24=2725n4>b59~w4d7l3:1>v369e8770=:10o1=o:4}r3a4`<72;q654h542789b1d94?4|50k:69=:;<;b6?7e<2wx=o??:1818?f;3>89636a582f1=z{8h:=7>52z?:e0<3;<165l851c68yv7e9;0;6?u29`59063<50k365<5s43jn7:<5:?:ef<6j=1v5;296~;>im0??8529`g95g2=d`=<:?014l?:0`7?xu6j8=1<7152343i>7?m4:p5g7?2909w07m3;601>;>j=0:n95rs0`2=?6=:r72n84;349>=g0=9k>0q~?m1`83>7}:1k=18>;4=8`;>4d33ty:n2=9>707ma;3a0>{t9k;h6=4={<;af?24=272nn4>b59~w4d6l3:1>v36be8770=:1ko1=o:4}r3a5`<72;q65oh542789b0d94?4|50i:69=:;<;`6?7e<2wx=o89636c582f1=z{8h9=7>52z?:g0<3;<165n851c68yv7e:;0;6?u29b59063<50i365<5s43hn7:<5:?:gf<6j=1vkm0??8529bg95g2;4?:3y>=f`=<:?014j?:0`7?xu6j;=1<7152343o>7?m4:p5g4?2909w07k3;601>;>l=0:n95rs0`1=?6=:r72h84;349>=a0=9k>0q~?m2`83>7}:1m=18>;4=8f;>4d33ty:n?l50;0x92=9>707ka;3a0>{t9k8h6=4={<;gf?24=272hn4>b59~w4d5l3:1>v36de8770=:1mo1=o:4}r3a6`<72;q65ih542789b3d94?4|50o:69=:;<;f6?7e<2wx=o=?:1818?b;3>89636e582f1=z{8h8=7>52z?:a0<3;<165h851c68yv7e;;0;6?u29d59063<50o365<5s43nn7:<5:?:af<6j=1vmm0??8529dg95g2=``=<:?014h?:0`7?xu6j:=1<7152343m>7?m4:p5g5?2909w07i3;601>;>n=0:n95rs0`0=?6=:r72j84;349>=c0=9k>0q~?m3`83>7}:1o=18>;4=8d;>4d33ty:n>l50;0x9<`>2=9>707ia;3a0>{t9k9h6=4={<;ef?24=272jn4>b59~w4d4l3:1>v36fe8770=:1oo1=o:4}r3a7`<72;q65kk542789d0228h?7p}>b2d94?4|50lm69=:;8963n0382f1=z{8h?=7>52z?b46<3;<16m=:51c68yv7e<;0;6?u2a169063<5h<<6::516?8g7>3;i86s|1c67>5<5s4k;:7:<5:?b2<<6j=1v0??852a1:95g2e5>=<:?01l8m:0`7?xu6j==1<715234k;m7?m4:p5g2?2909w0o?a;601>;f>m0:n95rs0`7=?6=:r7je5e=9k>0q~?m4`83>7}:i9i18>;4=`4e>4d33ty:n9l50;0x9d6c2=9>70o?e;3a0>{t9k>h6=4={b59~w4d3l3:1>v3n0g8770=:i8:1=o:4}r3a0`<72;q6m<>542789d1428h?7p}>b5d94?4|5h;:69=:;8963n7482f1=z{8h>=7>52z?b56<3;<16m<:51c68yv7e=;0;6?u2a069063<5h=<63;i86s|1c77>5<5s4k::7:<5:?b3<<6j=1v0??852a0:95g2e4>=<:?01l9k:0`7?xu6j<=1<715234k:m7?m4:p5g3?2909w0o>a;601>;f?o0:n95rs0`6=?6=:r7j=o4;349>e4e=9k>0q~?m5`83>7}:i8i18>;4=`:6>4d33ty:n8l50;0x9d7c2=9>70o>e;3a0>{t9k?h6=4={b59~w4d2l3:1>v3n1g8770=:i;:1=o:4}r3a1`<72;q6m?>542789d>428h?7p}>b4d94?4|5h8:69=:;8963n8882f1=z{8h==7>52z?b66<3;<16m?:51c68yv7e>;0;6?u2a369063<5h2:63;i86s|1c47>5<5s4k9:7:<5:?b<2<6j=1v0??852a3:95g2e7>=<:?01l6m:0`7?xu6j?=1<715234k9m7?m4:p5g0?2909w0o=a;601>;f0m0:n95rs0`5=?6=:r7j>o4;349>e7e=9k>0q~?m6`83>7}:i;i18>;4=`:e>4d33ty:n;l50;0x9d4c2=9>70o=e;3a0>{t9k4>b59~w4d1l3:1>v3n2g8770=:i::1=o:4}r3a2`<72;q6m>>542789d?228h?7p}>b7d94?4|5h9:69=:;8963n9c82f1=z{8h<=7>52z?b76<3;<16m>:51c68yv7e?;0;6?u2a269063<5h3:63;i86s|1c57>5<5s4k8:7:<5:?b=<<6j=1v0??852a2:95g2e6>=<:?01l7i:0`7?xu6j>=1<715234k8m7?m4:p5g1?2909w0o;f1>0:n95rs0`4=?6=:r7j?o4;349>e6e=9k>0q~?m7`83>7}:i:i18>;4=`;g>4d33ty:n:l50;0x9d5c2=9>70o{t9k=h6=4={b59~w4d0l3:1>v3n3g8770=:i=:1=o:4}r3a3`<72;q6m9>542789dg428h?7p}>b6d94?4|5h>:69=:;8963na482f1=z{8h3=7>52z?b06<3;<16m9:51c68yv7e0;0;6?u2a569063<5hk263;i86s|1c:7>5<5s4k?:7:<5:?beg<6j=1v0??852a5:95g2e1>=<:?01ll>:0`7?xu6j1=1<715234k?m7?m4:p5g>?2909w0o;a;601>;fi>0:n95rs0`;=?6=:r7j8o4;349>e1e=9k>0q~?m8`83>7}:i=i18>;4=`ce>4d33ty:n5l50;0x9d2c2=9>70o;e;3a0>{t9k2h6=4={b59~w4d?l3:1>v3n4g8770=:i<:1=o:4}r3a<`<72;q6m8>542789dgc28h?7p}>b9d94?4|5h?:69=:;8963nb282f1=z{8h2=7>52z?b16<3;<16m8:51c68yv7e1;0;6?u2a469063<5hh<63;i86s|1c;7>5<5s4k>:7:<5:?bf<<6j=1v0??852a4:95g2e0>=<:?01llm:0`7?xu6j0=1<715234k>m7?m4:p5g??2909w0o:a;601>;fjm0:n95rs0`:=?6=:r7j9o4;349>e0e=9k>0q~?m9`83>7}:i;4=``e>4d33ty:n4l50;0x9d3c2=9>70o:e;3a0>{t9k3h6=4={b59~w4d>l3:1>v3n5g8770=:i?:1=o:4}r3a=`<72;q6m;>542789de428h?7p}>b8d94?4|5h<:69=:;8963n6582f1=z{8hj=7>52z?b20<3;<16m;851c68yv7ei;0;6?u2a759063<5h<365<5s4k=n7:<5:?b2f<6j=1vm0??852a7g95g2e3`=<:?01l9?:0`7?xu6jh=1<715234k<>7?m4:p5gg?2909w0o83;601>;f?=0:n95rs0`b=?6=:r7j;84;349>e20=9k>0q~?ma`83>7}:i>=18>;4=`5;>4d33ty:nll50;0x9d1>2=9>70o8a;3a0>{t9kkh6=4={b59~w4dfl3:1>v3n7e8770=:i>o1=o:4}r3ae`<72;q6m:h542789d>728h?7p}>b`d94?4|5h2:69=:;8963n8582f1=z{8hi=7>52z?b<0<3;<16m5851c68yv7ej;0;6?u2a959063<5h2365<5s4k3n7:<5:?be=`=<:?01l7?:0`7?xu6jk=1<715234k2>7?m4:p5gd?2909w0o63;601>;f1=0:n95rs0`a=?6=:r7j584;349>e<0=9k>0q~?mb`83>7}:i0=18>;4=`;;>4d33ty:nol50;0x9d?>2=9>70o6a;3a0>{t9khh6=4={b59~w4del3:1>v3n9e8770=:i0o1=o:4}r3af`<72;q6m4h542789dg728h?7p}>bcd94?4|5hk:69=:;8963na582f1=z{8hh=7>52z?be0<3;<16ml851c68yv7ek;0;6?u2a`59063<5hk365<5s4kjn7:<5:?bef<6j=1ved`=<:?01ll?:0`7?xu6jj=1<715234ki>7?m4:p5ge?2909w0om3;601>;fj=0:n95rs0``=?6=:r7jn84;349>eg0=9k>0q~?mc`83>7}:ik=18>;4=``;>4d33ty:nnl50;0x9dd>2=9>70oma;3a0>{t9kih6=4={b59~w4ddl3:1>v3nbe8770=:iko1=o:4}r3ag`<72;q6moh542789de728h?7p}>bbd94?4|5hi:69=:;8963nc582f1=z{8ho=7>52z?bg0<3;<16mn851c68yv7el;0;6?u2ab59063<5hi36026=9<{tijo1<7;3?90jo45+45d9776:44?:3y]500>34><<7?:689'01`=;;;0q~oi6;296~Xfn?168:>5ag48 12a2:<>7p}nf683>7}Yio=0199?:`d4?!23n393?6s|adc94?4|Vhn370:80;cg<>"35<5sWko;63;718b`2=#<=l1>io4}rcf3?6=:rTjh;524629ea0<,=>m6?jm;|qba3<72;qUmi;4=553>db23->?j7vPnd39>026=im80(9:i:3fe?xufm;0;6?uQae3891172hn:7):;f;0f4>{tio91<7;3?90jhk5+45d96`352z\b``=:<>:1mik4$56e>7`73tyjj=4?:3y]eab<5==;6ljk;%67b?4ak2wxmhh50;0xZdbd34><<7okc:&70c<48>1vlkj:181[gcj27?;=4ndc9'01`=;880q~ojd;296~Xflh168:>5aec8 12a2:;37p}neb83>7}Yim30199?:`f:?!23n39:56s|ad`94?4|Vhn;70:80;cg4>"35<5sWkhj63;718bgc=#<=l1?m1/89h530a8yv72>j0;6?uQ144`?82083;>:n5+45d974b52z\bb==:<>:1mk64$56e>67a3tyii44?:3y]f74<5==;6o<=;%67b?4b:2wxnh950;0xZg4634><<7l=1:&70c<5m:1vok9:181[d5827?;=4m219'01`=:l>0q~lj5;296~Xe9o168:>5b0d8 12a2;o=7p}me283>7}Yj8n0199?:c3g?!23n38n;6s|bd094?4|Vk;h70:80;`2g>"35<5sWh:n63;718a5g=#<=l1>h74}r`f4?6=:rTi=l524629f4g<,=>m6?kn;|qa`c<72;qUn<74=553>g7>3->?j77:?7351/89h52df8yvdck3:1>vPm179>026=j8<0(9:i:3gf?xuelk0;6?uQb07891172k;>7):;f;0fb>{tjmk1<7;3?90i>45+45d96c452z\a6==:<>:1n?64$56e>7`43tyiik4?:3y]f71<5==;6o<8;%67b?4a<2wxnhk50;0xZg4134><<7l=6:&70c<5n<1vokk:181[d5=27?;=4m249'01`=:o<0q~ljc;296~Xe:=168:>5b368 12a2;l<7p}mec83>7}Yj;90199?:c00?!23n38m46s|bdc94?4|Vk;n70:80;`2a>"35<5sWh:?63;718a56=#<=l1>ko4}r`g=?6=:rTi=?524629f44<,=>m6?hk;|q`5d<72;qUo=<4=553>f653->?j7=838pRn>>;<644?e792.?8k4=fg9~wf702909wSm?0:?7353:1>vPmfg9>026=jol0(9:i:222?xud9=0;6?uQbgf891172klo7):;f;136>{tk891<7;3?90ijo5+45d975252z\abd=:<>:1nko4$56e>6623tyh==4?:3y]fc?<5==;6oh6;%67b?57>2wxo=h50;0xZg`?34><<7li8:&70c<4811vn>j:181[da?27?;=4mf69'01`=;930q~m?d;296~Xen?168:>5bg48 12a2::j7p}l0b83>7}Yjo?0199?:cd6?!23n39;n6s|c1`94?4|Vkl?70:80;`e0>"35<5sWi;563;718`4<=#<=l1?=j4}ra15?6=:rTh<5524629g5><,=>m6>>j;|q`65<72;qUo=94=553>f603->?j7=?f:pg4`=838pRn>9;<644?e7>2.?8k4<119~wf7b2909wSm?5:?735vPl059>026=k9>0(9:i:230?xud9j0;6?uQc11891172j:87):;f;120>{tk8h1<76=4={_`e7>;3?90ij>5+45d974052z\ab7=:<>:1nk<4$56e>6703tynn94?:3y]`7b<5==;6i<<7j=c:&70c<4::1vhl>:181[b5j27?;=4k2c9'01`=;;>0q~km0;296~Xc:h168:>5d3c8 12a2:8>7p}jag83>7}Yl;30199?:e0:?!23n399:6s|e`g94?4|Vm8370:80;f1<>"3:5rsdcg>5<5sWn9;63;718g62=#<=l1??64}rgbg?6=:rTo>;524629`70<,=>m6><6;|qfed<72;qUh?:4=553>a433->?j7==a:pad?=838pRi<<;<644?b5;2.?8k4<2c9~w`g?2909wSj=2:?735vPk209>026=l;;0(9:i:20g?xubi?0;6?uQd32891172m8;7):;f;11a>{tmh?1<7;3?90o=h5+45d976652z\g5a=:<>:1h6563tynm?4?:3y]`4e<5==;6i?l;%67b?54:2wxil?50;0xZa7e34><<7j>b:&70c<4;:1vh7i:181[b6127?;=4k189'01`=;:>0q~k6e;296~Xc91168:>5d0:8 12a2:9>7p}j9e83>7}Yl8=0199?:e34?!23n398:6s|e8a94?4|Vm;=70:80;f22>"35<5sWn:963;718g50=#<=l1?>64}rg:e?6=:rTo=9524629`42<,=>m6>=6;|qf=<<72;qUh<=4=553>a743->?j7==838pRi?=;<644?b6:2.?8k4<3c9~w`?02909wSj>1:?735>3:1>vPk119>026=l8:0(9:i:21g?xub1=0;6?uQd1g891172m:n7):;f;10a>{tm091<7;3?90o52z\g4g=:<>:1h=l4$56e>6263tyn5=4?:3y]`5g<5==;6i>n;%67b?53:2wxi5h50;0xZa6>34><<7j?9:&70c<4<:1vh6j:181[b7027?;=4k099'01`=;=>0q~k7d;296~Xc8>168:>5d158 12a2:>>7p}j8b83>7}Yl9<0199?:e25?!23n39?:6s|e9`94?4|Vm:>70:80;f31>"35<5sWn8>63;718g77=#<=l1?964}rga=?6=:rTo?<524629`67<,=>m6>:6;|qff=<72;qUh>>4=553>a573->?j7=;a:pag1=838pRivPk249>026=l;?0(9:i:26g?xubik0;6?uQd0c891172m;j7):;f;17a>{tmh:1<76=4={_f30>;3?90o<95+45d970652z\g46=:<>:1h==4$56e>6363tym=84?:3y]a`3<5==;6hk:;%67b?52:2wxj<=50;0xZ`c334><<7kj4:&70c<4=:1vk?=:181[cb;27?;=4je29'01`=;<>0q~h>1;296~Xbm;168:>5ed08 12a2:?>7p}i1183>7}Yml;0199?:dg2?!23n39>:6s|f1d94?4|Vlo;70:80;gf4>"35<5sWooj63;718f`c=#<=l1?864}rd3`?6=:rTnhh524629aac<,=>m6>;6;|qe4g<72;qUiim4=553>`bd3->?j7=:a:pb5g=838pRhjm;<644?ccj2.?8k4<5c9~wc6>2909wSkka:?735vPjd89>026=mm30(9:i:27g?xua8>0;6?uQee:891172ln37):;f;16a>{tn9<1<76=4={_gg2>;3?90nh;5+45d973652z\f`0=:<>:1ii;4$56e>6063tym<>4?:3y]aa2<5==;6hj;;%67b?51:2wxj=<50;0xZ`b434><<7kk3:&70c<4>:1vk>?:181[cc927?;=4jd09'01`=;?>0q~kif;296~Xbl9168:>5ee28 12a2:<=7p}jfd83>7}Ymjl0199?:dae?!23n39=;6s|egf94?4|Vlin70:80;g`a>"35<5sWohh63;718fga=#<=l1?;74}rgef?6=:rTnon524629afe<,=>m6>8n;|qfbd<72;qUinl4=553>`ee3->?j7=9b:pac?=838pRhmn;<644?cdi2.?8k4<6b9~w``?2909wSkl9:?735vPjc99>026=mj20(9:i:24f?xubn<0;6?uQeb4891172li=7):;f;15b>{tmo>1<7;3?90no95+45d97277>52z\fg6=:<>:1in=4$56e>6153tynj<4?:3y]af4<5==;6hm=;%67b?50;2wxik>50;0xZ`e634><<7kl1:&70c<4?=1vhki:181[cd827?;=4jc19'01`=;>?0q~kje;296~Xbjo168:>5ecd8 12a2:==7p}jee83>7}Ymko0199?:d`f?!23n39<;6s|eda94?4|Vlho70:80;ga`>"35<5sWonm63;718fad=#<=l1?:74}rd2e?6=:rTni4524629a`?<,=>m6>9n;|qe5<<72;qUih64=553>`c?3->?j7=8b:pb4>=838pRhk8;<644?cb?2.?8k4<7b9~wc702909wSkj6:?7353:1>vPjde9>026=mmn0(9:i:25f?xua8j0;6?uQee0891172ln97):;f;14b>{tn9;1<7;3?90nnn5+45d97=752z\ffg=:<>:1iol4$56e>6>53twe8i79:181M2282we8i78:18fM2282we8i77:18fM2282we8i76:180M2282we8i7n:181M2282we8i7m:181M2282we8i7l:181M2282we8i7k:181M2282we8i7j:181M2282we8i7i:181M2282we8io?:181M2282we8io>:181M2282we8io=:181M2282we8io<:181M2282we8io;:181M2282we8io::181M2282we8io9:181M2282we8io8:181M2282we8io7:181M2282we8io6:181M2282we8ion:181M2282we8iom:181M2282we8iol:181M2282we8iok:181M2282we8ioj:181M2282we8ioi:181M2282we8il?:181M2282we8il>:180M2282we8il=:180M2282we8il<:180M2282we8il;:180M2282we8il::180M2282we8il9:180M2282we8il8:180M2282we8il7:180M2282we8il6:180M2282we8iln:180M2282we8ilm:180M2282we8ill:180M2282we8ilk:180M2282we8ilj:180M2282we8ili:180M2282we8im?:180M2282we8im>:180M2282we8im=:180M2282we8im<:180M2282we8im;:180M2282we8im::180M2282we8im9:180M2282we8im8:180M2282we8im7:180M2282we8im6:180M2282we8imn:180M2282we8imm:180M2282we8iml:180M2282we8imk:180M2282we8imj:180M2282we8imi:180M2282we8ij?:180M2282we8ij>:180M2282we8ij=:180M2282we8ij<:180M2282we8ij;:180M2282we8ij::180M2282we8ij9:180M2282we8ij8:180M2282we8ij7:180M2282we8ij6:180M2282we8ijn:180M2282we8ijm:180M2282we8ijl:180M2282we8ijk:180M2282we8ijj:180M2282we8iji:180M2282we8ik?:180M2282we8ik>:181M2282we8ik=:181M2282we8ik<:181M2282we8ik;:181M2282we8ik::181M2282we8ik9:181M2282we8ik8:181M2282we8ik7:181M2282we8ik6:181M2282we8ikn:181M2282we8ikm:181M2282we8ikl:181M2282we8ikk:181M2282we8ikj:181M2282we8iki:181M2282we8ih?:181M2282we8ih>:181M2282we8ih=:181M2282we8ih<:181M2282we8ih;:181M2282we8ih::181M2282we8ih9:181M2282we8ih8:181M2282we8ih7:181M2282we8ih6:181M2282we8ihn:181M2282we8ihm:181M2282we8ihl:181M2282we8ihk:181M2282we8ihj:181M2282we8ihi:181M2282we8h>?:181M2282we8h>>:181M2282we8h>=:181M2282we8h><:181M2282we8h>;:181M2282we8h>::181M2282we8h>9:181M2282we8h>8:181M2282we8h>7:181M2282we8h>6:181M2282we8h>n:181M2282we8h>m:181M2282we8h>l:181M2282we8h>k:181M2282we8h>j:181M2282we8h>i:181M2282we8h??:181M2282we8h?>:181M2282we8h?=:181M2282we8h?<:181M2282we8h?;:181M2282we8h?::181M2282we8h?9:181M2282we8h?8:181M2282we8h?7:181M2282we8h?6:181M2282we8h?n:181M2282we8h?m:181M2282we8h?l:181M2282we8h?k:181M2282we8h?j:181M2282we8h?i:181M2282we8h:181M2282we8h<=:181M2282we8h<<:181M2282we8h<;:181M2282we8h<::181M2282we8h<9:181M2282we8h<8:181M2282we8h<7:181M2282we8h<6:181M2282we8h:181M2282we8h==:181M2282we8h=<:181M2282we8h=;:181M2282we8h=::181M2282we8h=9:181M2282we8h=8:181M2282we8h=7:181M2282we8h=6:181M2282we8h=n:181M2282we8h=m:181M2282we8h=l:181M2282we8h=k:181M2282we8h=j:181M2282we8h=i:181M2282we8h:?:181M2282we8:9n:182M2282we8:9m:182M2282we8:ji:182M2282we8:k?:182M2282we8:k>:182M2282we8:k=:182M2282we8:k<:182M2282we8:k;:182M2282we8:k::182M2282we8:k9:182M2282we8:k8:182M2282we8:k7:182M2282we8:k6:182M2282we8:kn:182M2282we8:km:182M2282we8:kl:182M2282we8:kk:182M2282we8:kj:182M2282we8:ki:182M2282we8:h?:182M2282we8:h>:182M2282we8:h=:182M2282we8:h<:182M2282we8:h;:182M2282we8:h::182M2282we8:h9:182M2282we8:h8:182M2282we8:h7:182M2282we8:h6:182M2282we8:hn:182M2282we8:hm:182M2282we8:hl:182M2282we8:hk:182M2282we8:hj:182M2282we8:hi:182M2282we85>?:182M2282we85>>:182M2282we85>=:182M2282we85><:182M2282we85>;:182M2282we85>::182M2282we85>9:182M2282we85>8:182M2282we85>7:182M2282we85>6:182M2282we85>n:182M2282we85>m:182M2282we85>l:182M2282we85>k:182M2282we85>j:182M2282we85>i:182M2282we85??:182M2282we85?>:182M2282we85?=:182M2282we85?<:182M2282we85?;:182M2282we85?::182M2282we85?9:182M2282we85?8:182M2282we85?7:182M2282we85?6:182M2282we85?n:182M2282we85?m:182M2282we85?l:182M2282we85?k:182M2282we85?j:182M2282we85?i:182M2282we85:182M2282we85<=:182M2282we85<<:181M2282we85<;:181M2282we85<::181M2282we85<9:181M2282we85<8:181M2282we85<7:181M2282we85<6:181M2282we85:181M2282we85==:181M2282we85=<:181M2282we85=;:181M2282we85=::182M2282we85=9:182M2282we85=8:182M2282we85=7:182M2282we85=6:182M2282we85=n:182M2282we85=m:182M2282we85=l:182M2282we85=k:182M2282we85=j:182M2282we85=i:182M2282we85:?:182M2282we85:>:182M2282we85:=:182M2282we85:<:182M2282we85:;:182M2282we85:::182M2282we85:9:182M2282we85:8:182M2282we85:7:182M2282we85:6:182M2282we85:n:182M2282we85:m:182M2282we85:l:182M2282we85:k:182M2282we85:j:182M2282we85:i:182M2282we85;?:182M2282we85;>:182M2282we85;=:182M2282we85;<:182M2282we85;;:182M2282we85;::182M2282we85;9:182M2282we85;8:182M2282we85;7:182M2282we85;6:182M2282we85;n:182M2282we85;m:182M2282we85;l:182M2282we85;k:182M2282we85;j:182M2282we85;i:182M2282we858?:182M2282we858>:182M2282we858=:182M2282we858<:182M2282we858;:182M2282we858::182M2282we8589:182M2282we8588:182M2282we8587:182M2282we8586:182M2282we858n:182M2282we858m:182M2282we858l:182M2282we858k:182M2282we858j:182M2282we858i:182M2282we859?:182M2282we859>:182M2282we859=:182M2282we859<:182M2282we859;:182M2282we859::182M2282we8599:182M2282we8598:182M2282we8597:182M2282we8596:182M2282we859n:182M2282we859m:182M2282we859l:182M2282we859k:182M2282we859j:182M2282we859i:182M2282we856?:182M2282we856>:182M2282we856=:182M2282we856<:182M2282we856;:182M2282we856::182M2282we8569:182M2282we8568:182M2282we8567:182M2282we8566:182M2282we856n:182M2282we856m:182M2282we856l:182M2282we856k:182M2282we856j:182M2282we856i:182M2282we857?:182M2282we857>:182M2282we857=:182M2282we857<:182M2282we857;:182M2282we857::182M2282we8579:182M2282we8578:182M2282we8577:182M2282we8576:182M2282we857n:182M2282we857m:182M2282we857l:182M2282we857k:182M2282we857j:182M2282we857i:182M2282we85o?:182M2282we85o>:182M2282we85o=:182M2282we85o<:182M2282we85o;:182M2282we85o::182M2282we85o9:182M2282we85o8:182M2282we85o7:182M2282we85o6:182M2282we85on:182M2282we85om:182M2282we85ol:182M2282we85ok:182M2282we85oj:182M2282we85oi:182M2282we85l?:182M2282we85l>:182M2282we85l=:182M2282we85l<:182M2282we85l;:182M2282we85l::182M2282we85l9:182M2282we85l8:182M2282we85l7:182M2282we85l6:182M2282we85ln:182M2282we85lm:182M2282we85ll:182M2282we85lk:182M2282we85lj:182M2282we85li:182M2282we85m?:182M2282we85m>:182M2282we85m=:182M2282we85m<:182M2282we85m;:182M2282we85m::182M2282we85m9:182M2282we85m8:182M2282we85m7:182M2282we85m6:182M2282we85mn:182M2282we85mm:182M2282we85ml:182M2282we85mk:182M2282we85mj:182M2282we85mi:182M2282we85j?:182M2282we85j>:182M2282we85j=:182M2282we85j<:182M2282we85j;:182M2282we85j::182M2282we85j9:182M2282we85j8:182M2282we85j7:182M2282we85j6:182M2282we85jn:182M2282we85jm:182M2282we85jl:182M2282we85jk:182M2282we85jj:182M2282we85ji:182M2282we85k?:182M2282we85k>:182M2282we85k=:182M2282we85k<:182M2282we85k;:182M2282we85k::182M2282we85k9:182M2282we85k8:182M2282we85k7:182M2282we85k6:182M2282we85kn:182M2282we85km:182M2282we85kl:182M2282we85kk:182M2282we85kj:182M2282we85ki:182M2282we85h?:182M2282we85h>:182M2282we85h=:182M2282we85h<:182M2282we85h;:182M2282we85h::182M2282we85h9:182M2282we85h8:182M2282we85h7:182M2282we85h6:182M2282we85hn:182M2282we85hm:182M2282we85hl:182M2282we85hk:182M2282we85hj:182M2282we85hi:182M2282we84>?:182M2282we84>>:181M2282we84>=:182M2282we84><:182M2282we84>;:182M2282we84>::182M2282we84>9:182M2282we84>8:182M2282we84>7:182M2282we84>6:182M2282we84>n:182M2282we84>m:182M2282we84>l:182M2282we84>k:181M2282we84>j:182M2282we84>i:181M2282we84??:182M2282we84?>:182M2282we84?=:182M2282we84?<:182M2282we84?;:182M2282we84?::182M2282we84?9:182M2282we84?8:182M2282we84?7:182M2282we84?6:181M2282we84?n:181M2282we84?m:181M2282we84?l:181M2282we84?k:181M2282we84?j:181M2282we84?i:181M2282we84:181M2282we84<=:181M2282we84<<:181M2282we84<;:181M2282we84<::181M2282we84<9:181M2282we84<8:181M2282we84<7:181M2282we84<6:181M2282we84:181M2282we84==:181M2282we84=<:181M2282we84=;:181M2282we84=::181M2282we84=9:181M2282we84=8:181M2282we84=7:181M2282we84=6:181M2282we84=n:181M2282we84=m:181M2282we84=l:181M2282we84=k:181M2282we84=j:181M2282we84=i:181M2282we84:?:181M2282we84:>:181M2282we84:=:181M2282we84:<:181M2282we84:;:181M2282we84:::181M2282we84:9:181M2282we84:8:181M2282we84:7:181M2282we84:6:181M2282we84:n:181M2282we84:m:182M2282we84:l:182M2282we84:k:182M2282we84:j:182M2282we84:i:182M2282we84;?:182M2282we84;>:182M2282we84;=:182M2282we84;<:182M2282we84;;:182M2282we84;::182M2282we84;9:182M2282we84;8:182M2282we84;7:182M2282we84;6:182M2282we84;n:182M2282we84;m:182M2282we84;l:182M2282we84;k:182M2282we84;j:182M2282we84;i:182M2282we848?:182M2282we848>:182M2282we848=:182M5??2B?9=5rn5;57?6=:rB?9=5rn5;50?6=9rB84:5G4428yk2>><0;6?uG4428yk2>>?0;6>>0;6>10;6>00;6>h0;6?uG4428yk2>>k0;6>j0;6>m0;6>l0;6>o0;6?90;6?80;6?;0;6?:0;6?=0;6?<0;6??0;6?>0;6?10;6?00;6?h0;6?k0;6?j0;6?m0;6?l0;6?o0;6090;6080;60;0;60:0;60=0;60<0;60?0;60>0;6010;6000;60h0;60k0;60j0;60m0;60l0;60o0;6190;6180;61;0;61:0;61=0;61<0;61?0;61>0;6110;6100;61h0;61k0;6?uG3958L1373td?54m50;3xL1373td?54j50;3xL1373td?54k50;3xL1373td?54h50;0xL6>03A>><6sa48c3>5<6sA>><6sa48c2>5<6sA>><6sa48c1>5<5sA93;6F;519~j1?f;3:1=vF;519~j1?f<3:1=vF;519~j1?f=3:1=vF;519~j1?f>3:1>vF<869K0062m:4?:0yK0062m54?:0yK0062m44?:0yK0062ml4?:0yK0062mo4?:0yK0062mn4?:0yK0062mi4?:0yK0062mh4?:0yK0062mk4?:0yK0062n=4?:0yK0062n<4?:0yK0062n?4?:0yK0062n>4?:0yK0062n94?:0yK0062n84?:0yK0062n;4?:0yK0062n:4?:0yK0062n54?:0yK0062n44?:0yK0062nl4?:0yK0062no4?:0yK0062nn4?:0yK0062ni4?:0yK0062nh4?:0yK0062nk4?:0yK0062o=4?:0yK0062o<4?:0yK0062o?4?:0yK0062o>4?:0yK0062o94?:0yK0062o84?:0yK0062o;4?:0yK0062o:4?:0yK0062o54?:0yK0062o44?:0yK0062ol4?:0yK0062oo4?:0yK0062on4?:0yK0062oi4?:0yK0062oh4?:0yK0062ok4?:0yK0062h=4?:0yK0062h<4?:0yK0062h?4?:0yK0062h>4?:0yK0062h94?:0yK0062h84?:0yK0062h;4?:0yK0062h:4?:0yK0062h54?:0yK0062h44?:0yK0062hl4?:0yK0062ho4?:0yK0062hn4?:0yK0062hi4?:0yK0062hh4?:0yK0062hk4?:0yK0062i=4?:0yK0062i<4?:0yK0062i?4?:0yK0062i>4?:0yK0062i94?:0yK0062i84?:0yK0062i;4?:0yK0062i:4?:0yK0062i54?:0yK0062i44?:0yK0062il4?:0yK0062io4?:0yK0062in4?:0yK0062ii4?:0yK0062ih4?:0yK0062ik4?:0yK0062j=4?:0yK0062j<4?:0yK0062j?4?:0yK0062j>4?:0yK0062j94?:0yK0062j84?:2yK0062j;4?:2yK0062j:4?:2yK0062j54?:0yK0062j44?:0yK0062jl4?:0yK0062jo4?:0yK0062jn4?:0yK0062ji4?:0yK0062jh4?:0yK0062jk4?:0yK006j<=4?:2yK006j<<4?:2yK006jj<>4?:0yK006j<94?:3yK7=1<@=?;7p`;a1794?7|@=?;7p`;a1494?7|@=?;7p`;a1594?7|@=?;7p`;a1:94?4|@:2<7E::0:m0d6>290:wE::0:m0d6f290:wE::0:m0d6e2909wE=77:J715=zf=k;o7>51zJ715=zf=k;h7>51zJ715=zf=k;i7>51zJ715=zf=k;j7>51zJ715=zf=k:<7>51zJ715=zf=k:=7>51zJ715=zf=k:>7>52zJ0<2=O<<:0qc:n1283>7}O<<:0qc:n1583>7}O<<:0qc:n1483>7}O<<:0qc:n1783>7}O<<:0qc:n1683>7}O<<:0qc:n1983>7}O<<:0qc:n1883>7}O<<:0qc:n1`83>7}O<<:0qc:n1c83>7}O<<:0qc:n1b83>7}O<<:0qc:n1e83>7}O<<:0qc:n1d83>7}O<<:0qc:n1g83>7}O<<:0qc:n2183>7}O<<:0qc:n2083>7}O<<:0qc:n2383>7}O<<:0qc:n2283>7}O<<:0qc:n2583>7}O<<:0qc:n2483>6}O<<:0qc:n2783>6}O<<:0qc:n2683>6}O<<:0qc:n2983>6}O<<:0qc:n2883>6}O<<:0qc:n2`83>6}O<<:0qc:n2c83>6}O<<:0qc:n2b83>6}O<<:0qc:n2e83>6}O<<:0qc:n2d83>6}O<<:0qc:n2g83>6}O<<:0qc:n3183>6}O<<:0qc:n3083>6}O<<:0qc:n3383>6}O<<:0qc:n3283>6}O<<:0qc:n3583>6}O<<:0qc:n3483>6}O<<:0qc:n3783>6}O<<:0qc:n3683>6}O<<:0qc:n3983>6}O<<:0qc:n3883>6}O<<:0qc:n3`83>6}O<<:0qc:n3c83>6}O<<:0qc:n3b83>6}O<<:0qc:n3e83>7}O<<:0qc:n3d83>7}O<<:0qc:n3g83>7}O<<:0qc:n4183>7}O<<:0qc:n4083>7}O<<:0qc:n4383>7}O<<:0qc:n4283>7}O<<:0qc:n4583>7}O<<:0qc:n4483>7}O<<:0qc:n4783>7}O<<:0qc:n4683>7}O<<:0qc:n4983>7}O<<:0qc:n4883>7}O<<:0qc:n4`83>7}O<<:0qc:n4c83>7}O<<:0qc:n4b83>7}O<<:0qc:n4e83>7}O<<:0qc:n4d83>7}O<<:0qc:n4g83>7}O<<:0qc:n5183>7}O<<:0qc:n5083>7}O<<:0qc:n5383>7}O<<:0qc:n5283>7}O<<:0qc:n5583>7}O<<:0qc:n5483>4}O<<:0qc:n5783>4}O<<:0qc:n5683>4}O<<:0qc:n5983>4}O<<:0qc:n5883>4}O<<:0qc:n5`83>4}O<<:0qc:n5c83>4}O<<:0qc:n5b83>4}O<<:0qc:n5e83>4}O<<:0qc:n5d83>4}O<<:0qc:n5g83>4}O<<:0qc:n6183>4}O<<:0qc:n6083>4}O<<:0qc:n6383>4}O<<:0qc:n6283>4}O<<:0qc:n6583>4}O<<:0qc:n6483>4}O<<:0qc:n6783>4}O<<:0qc:n6683>4}O<<:0qc:n6983>4}O<<:0qc:n6883>4}O<<:0qc:n6`83>4}O<<:0qc:n6c83>4}O<<:0qc:n6b83>4}O<<:0qc:n6e83>4}O<<:0qc:n6d83>4}O<<:0qc:n6g83>4}O<<:0qc:n7183>4}O<<:0qc:n7083>4}O<<:0qc:n7383>4}O<<:0qc:n7283>4}O<<:0qc:n7583>4}O<<:0qc:n7483>4}O<<:0qc:n7783>4}O<<:0qc:n7683>4}O<<:0qc:n7983>4}O<<:0qc:n7883>4}O<<:0qc:n7`83>4}O<<:0qc:n7c83>4}O<<:0qc:n7b83>4}O<<:0qc:n7e83>4}O<<:0qc:n7d83>4}O<<:0qc:n7g83>4}O<<:0qc:n8183>4}O<<:0qc:n8083>4}O<<:0qc:n8383>4}O<<:0qc:n8283>4}O<<:0qc:n8583>4}O<<:0qc:n8483>6}O<<:0qc:n8783>6}O<<:0qc:n8683>6}O<<:0qc:n8983>6}O<<:0qc:n8883>6}O<<:0qc:n8`83>6}O<<:0qc:n8c83>6}O<<:0qc:n8b83>6}O<<:0qc:n8e83>6}O<<:0qc:n8d83>6}O<<:0qc:n8g83>6}O<<:0qc:n9183>6}O<<:0qc:n9083>6}O<<:0qc:n9383>6}O<<:0qc:n9283>6}O<<:0qc:n9583>6}O<<:0qc:n9483>6}O<<:0qc:n9783>6}O<<:0qc:n9683>6}O<<:0qc:n9983>6}O<<:0qc:n9883>6}O<<:0qc:n9`83>6}O<<:0qc:n9c83>6}O<<:0qc:n9b83>6}O<<:0qc:n9e83>7}O<<:0qc:n9d83>7}O<<:0qc:n9g83>7}O<<:0qc:na183>7}O<<:0qc:na083>7}O<<:0qc:na383>7}O<<:0qc:na283>7}O<<:0qc:na583>7}O<<:0qc:na483>7}O<<:0qc:na783>7}O<<:0qc:na683>7}O<<:0qc:na983>7}O<<:0qc:na883>7}O<<:0qc:na`83>7}O<<:0qc:nac83>7}O<<:0qc:nab83>7}O<<:0qc:nae83>7}O<<:0qc:nad83>7}O<<:0qc:nag83>7}O<<:0qc:nb183>7}O<<:0qc:nb083>7}O<<:0qc:nb383>7}O<<:0qc:nb283>7}O<<:0qc:nb583>7}O<<:0qc:nb483>4}O<<:0qc:nb783>4}O<<:0qc:nb683>4}O<<:0qc:nb983>4}O<<:0qc:nb883>4}O<<:0qc:nb`83>4}O<<:0qc:nbc83>4}O<<:0qc:nbb83>4}O<<:0qc:nbe83>4}O<<:0qc:nbd83>4}O<<:0qc:nbg83>4}O<<:0qc:nc183>4}O<<:0qc:nc083>4}O<<:0qc:nc383>4}O<<:0qc:nc283>4}O<<:0qc:nc583>4}O<<:0qc:nc483>4}O<<:0qc:nc783>4}O<<:0qc:nc683>4}O<<:0qc:nc983>4}O<<:0qc:nc883>4}O<<:0qc:nc`83>4}O<<:0qc:ncc83>4}O<<:0qc:ncb83>4}O<<:0qc:nce83>4}O<<:0qc:ncd83>4}O<<:0qc:ncg83>4}O<<:0qc:nd183>4}O<<:0qc:nd083>4}O<<:0qc:nd383>4}O<<:0qc:nd283>4}O<<:0qc:nd583>4}O<<:0qc:nd483>4}O<<:0qc:nd783>4}O<<:0qc:nd683>4}O<<:0qc:nd983>4}O<<:0qc:nd883>4}O<<:0qc:nd`83>4}O<<:0qc:ndc83>4}O<<:0qc:ndb83>4}O<<:0qc:nde83>4}O<<:0qc:ndd83>4}O<<:0qc:ndg83>4}O<<:0qc:ne183>4}O<<:0qc:ne083>4}O<<:0qc:ne383>4}O<<:0qc:ne283>4}O<<:0qc:ne583>4}O<<:0qc:ne483>7}O<<:0qc:ne783>7}O<<:0qc:ne683>7}O<<:0qc:ne983>7}O<<:0qc:ne883>7}O<<:0qc:ne`83>7}O<<:0qc:nec83>7}O<<:0qc:neb83>7}O<<:0qc:nee83>7}O<<:0qc:ned83>7}O<<:0qc:neg83>7}O<<:0qc:nf183>7}O<<:0qc:nf083>7}O<<:0qc:nf383>7}O<<:0qc:nf283>7}O<<:0qc:nf583>7}O<<:0qc:nf483>7}O<<:0qc:nf783>7}O<<:0qc:nf683>7}O<<:0qc:nf983>7}O<<:0qc:nf883>7}O<<:0qc:nf`83>7}O<<:0qc:nfc83>7}O<<:0qc:nfb83>7}O<<:0qc:nfe83>7}O<<:0qc:nfd83>7}O<<:0qc:nfg83>7}O<<:0qc:m0183>7}O<<:0qc:m0083>7}O<<:0qc:m0383>7}O<<:0qc:m0283>7}O<<:0qc:m0583>7}O<<:0qc:m0483>7}O<<:0qc:m0783>7}O<<:0qc:m0683>7}O<<:0qc:m0983>7}O<<:0qc:m0883>7}O<<:0qc:m0`83>7}O<<:0qc:m0c83>7}O<<:0qc:m0b83>7}O<<:0qc:m0e83>7}O<<:0qc:m0d83>7}O<<:0qc:m0g83>7}O<<:0qc:m1183>7}O<<:0qc:m1083>7}O<<:0qc:m1383>7}O<<:0qc:m1283>7}O<<:0qc:m1583>7}O<<:0qc:m1483>7}O<<:0qc:m1783>7}O<<:0qc:m1683>7}O<<:0qc:m1983>7}O<<:0qc:m1883>7}O<<:0qc:m1`83>7}O<<:0qc:m1c83>7}O<<:0qc:m1b83>7}O<<:0qc:m1e83>7}O<<:0qc:m1d83>7}O<<:0qc:m1g83>7}O<<:0qc:m2183>7}O<<:0qc:m2083>7}O<<:0qc:m2383>7}O<<:0qc:m2283>7}O<<:0qc:m2583>7}O<<:0qc:m2483>7}O<<:0qc:m2783>7}O<<:0qc:m2683>7}O<<:0qc:m2983>7}O<<:0qc:m2883>7}O<<:0qc:m2`83>7}O<<:0qc:m2c83>7}O<<:0qc:m2b83>7}O<<:0qc:m2e83>7}O<<:0qc:m2d83>7}O<<:0qc:m2g83>7}O<<:0qc:m3183>7}O<<:0qc:m3083>7}O<<:0qc:m3383>7}O<<:0qc:m3283>7}O<<:0qc:m3583>7}O<<:0qc:m3483>7}O<<:0qc:m3783>7}O<<:0qc:m3683>7}O<<:0qc:m3983>7}O<<:0qc:m3883>7}O<<:0qc:m3`83>7}O<<:0qc:m3c83>7}O<<:0qc:m3b83>7}O<<:0qc:m3e83>7}O<<:0qc:m3d83>7}O<<:0qc:m3g83>7}O<<:0qc:m4183>7}O<<:0qc:m4083>7}O<<:0qc:m4383>7}O<<:0qc:m4283>7}O<<:0qc:m4583>7}O<<:0qc:m4483>7}O<<:0qc:m4783>7}O<<:0qc:m4683>7}O<<:0qc:m4983>7}O<<:0qc:m4883>7}O<<:0qc:m4`83>7}O<<:0qc:m4c83>7}O<<:0qc:m4b83>7}O<<:0qc:m4e83>7}O<<:0qc:m4d83>7}O<<:0qc:m4g83>7}O<<:0qc:m5183>7}O<<:0qc:m5083>7}O<<:0qc:m5383>7}O<<:0qc:m5283>7}O<<:0qc:m5583>7}O<<:0qc:m5483>7}O<<:0qc:m5783>7}O<<:0qc:m5683>7}O<<:0qc:m5983>7}O<<:0qc:m5883>7}O<<:0qc:m5`83>7}O<<:0qc:m5c83>7}O<<:0qc:m5b83>6}O<<:0qc:m5e83>6}O<<:0qc:m5d83>6}O<<:0qc:m5g83>6}O<<:0qc:m6183>6}O<<:0qc:m6083>7}O<<:0qc:m6383>7}O<<:0qc:m6283>7}O<<:0qc:m6583>7}O<<:0qc:m6483>6}O<<:0qc:m6783>6}O<<:0qc:m6683>6}O<<:0qc:m6983>6}O<<:0qc:m6883>6}O<<:0qc:m6`83>7}O<<:0qc:m6c83>7}O<<:0qc:m6b83>7}O<<:0qc:m6e83>7}O<<:0qc:m6d83>7}O<<:0qc:m6g83>7}O<<:0qc:m7183>7}O<<:0qc:m7083>7}O<<:0qc:m7383>7}O<<:0qc:m7283>7}O<<:0qc:m7583>7}O<<:0qc:m7483>7}O<<:0qc:m7783>7}O<<:0qc:m7683>7}O<<:0qc:m7983>7}O<<:0qc:m7883>7}O<<:0qc:m7`83>7}O<<:0qc:m7c83>7}O<<:0qc:m7b83>7}O<<:0qc:m7e83>7}O<<:0qc:m7d83>7}O<<:0qc:m7g83>7}O<<:0qc:m8183>7}O<<:0qc:m8083>7}O<<:0qc:m8383>7}O<<:0qc:m8283>7}O<<:0qc:m8583>7}O<<:0qc:m8483>7}O<<:0qc:m8783>7}O<<:0qc:m8683>7}O<<:0qc:m8983>7}O<<:0qc:m8883>7}O<<:0qc:m8`83>7}O<<:0qc:m8c83>7}O<<:0qc:m8b83>7}O<<:0qc:m8e83>7}O<<:0qc:m8d83>7}O<<:0qc:m8g83>7}O<<:0qc:m9183>7}O<<:0qc:m9083>7}O<<:0qc:m9383>7}O<<:0qc:m9283>7}O<<:0qc:m9583>7}O<<:0qc:m9483>7}O<<:0qc:m9783>7}O<<:0qc:m9683>7}O<<:0qc:m9983>7}O<<:0qc:m9883>7}O<<:0qc:m9`83>7}O<<:0qc:m9c83>7}O<<:0qc:m9b83>7}O<<:0qc:m9e83>7}O<<:0qc:m9d83>7}O<<:0qc:m9g83>7}O<<:0qc:ma183>7}O<<:0qc:ma083>7}O<<:0qc:ma383>7}O<<:0qc:ma283>7}O<<:0qc:ma583>7}O<<:0qc:ma483>7}O<<:0qc:ma783>7}O<<:0qc:ma683>7}O<<:0qc:ma983>7}O<<:0qc:ma883>7}O<<:0qc:ma`83>7}O<<:0qc:mac83>7}O<<:0qc:mab83>7}O<<:0qc:mae83>7}O<<:0qc:mad83>7}O<<:0qc:mag83>7}O<<:0qc:mb183>7}O<<:0qc:mb083>7}O<<:0qc:mb383>7}O<<:0qc:mb283>7}O<<:0qc:mb583>7}O<<:0qc:mb483>7}O<<:0qc:mb783>7}O<<:0qc:mb683>7}O<<:0qc:mb983>7}O<<:0qc:mb883>7}O<<:0qc:mb`83>7}O<<:0qc:mbc83>7}O<<:0qc:mbb83>7}O<<:0qc:mbe83>7}O<<:0qc:mbd83>7}O<<:0qc:mbg83>7}O<<:0qc:mc183>7}O<<:0qc:mc083>7}O<<:0qc:mc383>7}O<<:0qc:mc283>7}O<<:0qc:mc583>7}O<<:0qc:mc483>7}O<<:0qc:mc783>7}O<<:0qc:mc683>7}O<<:0qc:mc983>7}O<<:0qc:mc883>7}O<<:0qc:mc`83>7}O<<:0qc:mcc83>7}O<<:0qc:mcb83>7}O<<:0qc:mce83>7}O<<:0qc:mcd83>7}O<<:0qc:mcg83>7}O<<:0qc:md183>7}O<<:0qc:md083>7}O<<:0qc:md383>7}O<<:0qc:md283>7}O<<:0qc:md583>7}O<<:0qc:md483>7}O<<:0qc:md783>7}O<<:0qc:md683>7}O<<:0qc:md983>7}O<<:0qc:md883>7}O<<:0qc:md`83>7}O<<:0qc:mdc83>7}O<<:0qc:mdb83>7}O<<:0qc:mde83>7}O<<:0qc:mdd83>7}O<<:0qc:mdg83>7}O<<:0qc:me183>7}O<<:0qc:me083>7}O<<:0qc:me383>7}O<<:0qc:me283>7}O<<:0qc:me583>7}O<<:0qc:me483>7}O<<:0qc:me783>7}O<<:0qc:me683>7}O<<:0qc:me983>7}O<<:0qc:me883>7}O<<:0qc:me`83>7}O<<:0qc:mec83>7}O<<:0qc:meb83>7}O<<:0qc:mee83>7}O<<:0qc:med83>7}O<<:0qc:meg83>7}O<<:0qc:mf183>7}O<<:0qc:mf083>7}O<<:0qc:mf383>7}O<<:0qc:mf283>7}O<<:0qc:mf583>7}O<<:0qc:mf483>7}O<<:0qc:mf783>7}O<<:0qc:mf683>7}O<<:0qc:mf983>7}O<<:0qc:mf883>7}O<<:0qc:mf`83>6}O<<:0qc:mfc83>6}O<<:0qc:mfb83>6}O<<:0qc:mfe83>6}O<<:0qc:mfd83>6}O<<:0qc:mfg83>6}O<<:0qc:l0183>6}O<<:0qc:l0083>6}O<<:0qc:l0383>6}O<<:0qc:l0283>6}O<<:0qc:l0583>6}O<<:0qc:l0483>6}O<<:0qc:l0783>6}O<<:0qc:l0683>6}O<<:0qc:l0983>6}O<<:0qc:l0883>6}O<<:0qc:l0`83>6}O<<:0qc:l0c83>6}O<<:0qc:l0b83>6}O<<:0qc:l0e83>6}O<<:0qc:l0d83>6}O<<:0qc:l0g83>6}O<<:0qc:l1183>6}O<<:0qc:l1083>6}O<<:0qc:l1383>6}O<<:0qc:l1283>6}O<<:0qc:l1583>6}O<<:0qc:l1483>6}O<<:0qc:l1783>6}O<<:0qc:l1683>6}O<<:0qc:l1983>6}O<<:0qc:l1883>6}O<<:0qc:l1`83>6}O<<:0qc:l1c83>6}O<<:0qc:l1b83>6}O<<:0qc:l1e83>6}O<<:0qc:l1d83>4}O<<:0qc:l1g83>4}O<<:0qc:l2183>4}O<<:0qc:l2083>4}O<<:0qc:l2383>4}O<<:0qc:l2283>4}O<<:0qc:l2583>4}O<<:0qc:l2483>4}O<<:0qc:l2783>4}O<<:0qc:l2683>4}O<<:0qc:l2983>4}O<<:0qc:l2883>4}O<<:0qc:l2`83>4}O<<:0qc:l2c83>4}O<<:0qc:l2b83>4}O<<:0qc:l2e83>4}O<<:0qc:l2d83>4}O<<:0qc:l2g83>4}O<<:0qc:l3183>4}O<<:0qc:l3083>4}O<<:0qc:l3383>4}O<<:0qc:l3283>4}O<<:0qc:l3583>4}O<<:0qc:l3483>4}O<<:0qc:l3783>4}O<<:0qc:l3683>4}O<<:0qc:l3983>4}O<<:0qc:l3883>4}O<<:0qc:l3`83>4}O<<:0qc:l3c83>4}O<<:0qc:l3b83>4}O<<:0qc:l3e83>4}O<<:0qc:l3d83>4}O<<:0qc:l3g83>4}O<<:0qc:l4183>4}O<<:0qc:l4083>4}O<<:0qc:l4383>4}O<<:0qc:l4283>4}O<<:0qc:l4583>4}O<<:0qc:l4483>4}O<<:0qc:l4783>4}O<<:0qc:l4683>4}O<<:0qc:l4983>4}O<<:0qc:l4883>4}O<<:0qc:l4`83>4}O<<:0qc:l4c83>4}O<<:0qc:l4b83>4}O<<:0qc:l4e83>4}O<<:0qc:l4d83>4}O<<:0qc:l4g83>4}O<<:0qc:l5183>4}O<<:0qc:l5083>4}O<<:0qc:l5383>4}O<<:0qc:l5283>4}O<<:0qc:l5583>4}O<<:0qc:l5483>4}O<<:0qc:l5783>4}O<<:0qc:l5683>4}O<<:0qc:l5983>4}O<<:0qc:l5883>4}O<<:0qc:l5`83>4}O<<:0qc:l5c83>4}O<<:0qc:l5b83>4}O<<:0qc:l5e83>4}O<<:0qc:l5d83>4}O<<:0qc:l5g83>4}O<<:0qc:l6183>4}O<<:0qc:l6083>4}O<<:0qc:l6383>4}O<<:0qc:l6283>4}O<<:0qc:l6583>4}O<<:0qc:l6483>4}O<<:0qc:l6783>4}O<<:0qc:l6683>4}O<<:0qc:l6983>4}O<<:0qc:l6883>4}O<<:0qc:l6`83>4}O<<:0qc:l6c83>4}O<<:0qc:l6b83>4}O<<:0qc:l6e83>4}O<<:0qc:l6d83>4}O<<:0qc:l6g83>4}O<<:0qc:l7183>4}O<<:0qc:l7083>4}O<<:0qc:l7383>4}O<<:0qc:l7283>4}O<<:0qc:l7583>4}O<<:0qc:l7483>4}O<<:0qc:l7783>4}O<<:0qc:l7683>4}O<<:0qc:l7983>4}O<<:0qc:l7883>4}O<<:0qc:l7`83>4}O<<:0qc:l7c83>4}O<<:0qc:l7b83>4}O<<:0qc:l7e83>4}O<<:0qc:l7d83>4}O<<:0qc:l7g83>4}O<<:0qc:l8183>4}O<<:0qc:l8083>4}O<<:0qc:l8383>4}O<<:0qc:l8283>4}O<<:0qc:l8583>4}O<<:0qc:l8483>4}O<<:0qc:l8783>4}O<<:0qc:l8683>4}O<<:0qc:l8983>4}O<<:0qc:l8883>4}O<<:0qc:l8`83>4}O<<:0qc:l8c83>4}O<<:0qc:l8b83>4}O<<:0qc:l8e83>4}O<<:0qc:l8d83>4}O<<:0qc:l8g83>4}O<<:0qc:l9183>4}O<<:0qc:l9083>4}O<<:0qc:l9383>4}O<<:0qc:l9283>4}O<<:0qc:l9583>4}O<<:0qc:l9483>4}O<<:0qc:l9783>4}O<<:0qc:l9683>4}O<<:0qc:l9983>4}O<<:0qc:l9883>4}O<<:0qc:l9`83>4}O<<:0qc:l9c83>4}O<<:0qc:l9b83>4}O<<:0qc:l9e83>4}O<<:0qc:l9d83>4}O<<:0qc:l9g83>4}O<<:0qc:la183>4}O<<:0qc:la083>4}O<<:0qc:la383>4}O<<:0qc:la283>4}O<<:0qc:la583>4}O<<:0qc:la483>4}O<<:0qc:la783>4}O<<:0qc:la683>4}O<<:0qc:la983>4}O<<:0qc:la883>4}O<<:0qc:la`83>4}O<<:0qc:lac83>4}O<<:0qc:lab83>4}O<<:0qc:lae83>4}O<<:0qc:lad83>4}O<<:0qc:lag83>4}O<<:0qc:lb183>4}O<<:0qc:lb083>4}O<<:0qc:lb383>4}O<<:0qc:lb283>4}O<<:0qc:lb583>4}O<<:0qc:lb483>4}O<<:0qc:lb783>4}O<<:0qc:lb683>4}O<<:0qc:lb983>4}O<<:0qc:lb883>4}O<<:0qc:lb`83>4}O<<:0qc:lbc83>4}O<<:0qc:lbb83>4}O<<:0qc:lbe83>4}O<<:0qc:lbd83>4}O<<:0qc:lbg83>4}O<<:0qc:lc183>4}O<<:0qc:lc083>4}O<<:0qc:lc383>4}O<<:0qc:lc283>4}O<<:0qc:lc583>4}O<<:0qc:lc483>4}O<<:0qc:lc783>4}O<<:0qc:lc683>4}O<<:0qc:lc983>4}O<<:0qc:lc883>4}O<<:0qc:lc`83>4}O<<:0qc:lcc83>4}O<<:0qc:lcb83>4}O<<:0qc:lce83>4}O<<:0qc:lcd83>4}O<<:0qc:lcg83>4}O<<:0qc:ld183>4}O<<:0qc:ld083>4}O<<:0qc:ld383>4}O<<:0qc:ld283>4}O<<:0qc:ld583>4}O<<:0qc:ld483>4}O<<:0qc:ld783>4}O<<:0qc:ld683>4}O<<:0qc:ld983>4}O<<:0qc:ld883>4}O<<:0qc:ld`83>4}O<<:0qc:ldc83>4}O<<:0qc:ldb83>4}O<<:0qc:lde83>4}O<<:0qc:ldd83>4}O<<:0qc:ldg83>4}O<<:0qc:le183>4}O<<:0qc:le083>4}O<<:0qc:le383>4}O<<:0qc:le283>4}O<<:0qc:le583>4}O<<:0qc:le483>4}O<<:0qc:le783>4}O<<:0qc:le683>4}O<<:0qc:le983>4}O<<:0qc:le883>4}O<<:0qc:le`83>4}O<<:0qc:lec83>4}O<<:0qc:leb83>4}O<<:0qc:lee83>4}O<<:0qc:led83>4}O<<:0qc:leg83>4}O<<:0qc:lf183>4}O<<:0qc:lf083>4}O<<:0qc:lf383>4}O<<:0qc:lf283>4}O<<:0qc:lf583>4}O<<:0qc:lf483>4}O<<:0qc:lf783>4}O<<:0qc:lf683>4}O<<:0qc:lf983>4}O<<:0qc:lf883>4}O<<:0qc:lf`83>4}O<<:0qc:lfc83>4}O<<:0qc:lfb83>4}O<<:0qc:lfe83>4}O<<:0qc:lfd83>4}O<<:0qc:lfg83>4}O<<:0qc:k0183>4}O<<:0qc:k0083>4}O<<:0qc:k0383>4}O<<:0qc:k0283>4}O<<:0qc:k0583>4}O<<:0qc:k0483>4}O<<:0qc:k0783>4}O<<:0qc:k0683>4}O<<:0qc:k0983>4}O<<:0qc:k0883>4}O<<:0qc:k0`83>4}O<<:0qc:k0c83>4}O<<:0qc:k0b83>4}O<<:0qc:k0e83>4}O<<:0qc:k0d83>4}O<<:0qc:k0g83>4}O<<:0qc:k1183>4}O<<:0qc:k1083>4}O<<:0qc:k1383>4}O<<:0qc:k1283>4}O<<:0qc:k1583>4}O<<:0qc:k1483>4}O<<:0qc:k1783>4}O<<:0qc:k1683>4}O<<:0qc:k1983>4}O<<:0qc:k1883>4}O<<:0qc:k1`83>4}O<<:0qc:k1c83>4}O<<:0qc:k1b83>4}O<<:0qc:k1e83>4}O<<:0qc:k1d83>4}O<<:0qc:k1g83>4}O<<:0qc:k2183>4}O<<:0qc:k2083>4}O<<:0qc:k2383>4}O<<:0qc:k2283>4}O<<:0qc:k2583>4}O<<:0qc:k2483>4}O<<:0qc:k2783>4}O<<:0qc:k2683>4}O<<:0qc:k2983>4}O<<:0qc:k2883>4}O<<:0qc:k2`83>4}O<<:0qc:k2c83>4}O<<:0qc:k2b83>4}O<<:0qc:k2e83>4}O<<:0qc:k2d83>4}O<<:0qc:k2g83>4}O<<:0qc:k3183>4}O<<:0qc:k3083>4}O<<:0qc:k3383>4}O<<:0qc:k3283>4}O<<:0qc:k3583>4}O<<:0qc:k3483>4}O<<:0qc:k3783>4}O<<:0qc:k3683>4}O<<:0qc:k3983>4}O<<:0qc:k3883>4}O<<:0qc:k3`83>4}O<<:0qc:k3c83>4}O<<:0qc:k3b83>4}O<<:0qc:k3e83>4}O<<:0qc:k3d83>4}O<<:0qc:k3g83>4}O<<:0qc:k4183>4}O<<:0qc:k4083>4}O<<:0qc:k4383>4}O<<:0qc:k4283>4}O<<:0qc:k4583>4}O<<:0qc:k4483>4}O<<:0qc:k4783>4}O<<:0qc:k4683>4}O<<:0qc:k4983>4}O<<:0qc:k4883>4}O<<:0qc:k4`83>4}O<<:0qc:k4c83>4}O<<:0qc:k4b83>4}O<<:0qc:k4e83>4}O<<:0qc:k4d83>4}O<<:0qc:k4g83>4}O<<:0qc:k5183>4}O<<:0qc:k5083>4}O<<:0qc:k5383>4}O<<:0qc:k5283>4}O<<:0qc:k5583>4}O<<:0qc:k5483>4}O<<:0qc:k5783>4}O<<:0qc:k5683>4}O<<:0qc:k5983>4}O<<:0qc:k5883>4}O<<:0qc:k5`83>4}O<<:0qc:k5c83>4}O<<:0qc:k5b83>4}O<<:0qc:k5e83>4}O<<:0qc:k5d83>4}O<<:0qc:k5g83>4}O<<:0qc:k6183>4}O<<:0qc:k6083>4}O<<:0qc:k6383>4}O<<:0qc:k6283>4}O<<:0qc:k6583>4}O<<:0qc:k6483>4}O<<:0qc:k6783>4}O<<:0qc:k6683>4}O<<:0qc:k6983>4}O<<:0qc:k6883>4}O<<:0qc:k6`83>4}O<<:0qc:k6c83>4}O<<:0qc:k6b83>4}O<<:0qc:k6e83>4}O<<:0qc:k6d83>4}O<<:0qc:k6g83>4}O<<:0qc:k7183>4}O<<:0qc:k7083>4}O<<:0qc:k7383>4}O<<:0qc:k7283>4}O<<:0qc:k7583>4}O<<:0qc:k7483>4}O<<:0qc:k7783>4}O<<:0qc:k7683>4}O<<:0qc:k7983>4}O<<:0qc:k7883>4}O<<:0qc:k7`83>4}O<<:0qc:k7c83>4}O<<:0qc:k7b83>4}O<<:0qc:k7e83>4}O<<:0qc:k7d83>4}O<<:0qc:k7g83>4}O<<:0qc:k8183>4}O<<:0qc:k8083>4}O<<:0qc:k8383>4}O<<:0qc:k8283>4}O<<:0qc:k8583>4}O<<:0qc:k8483>4}O<<:0qc:k8783>4}O<<:0qc:k8683>4}O<<:0qc:k8983>4}O<<:0qc:k8883>4}O<<:0qc:k8`83>4}O<<:0qc:k8c83>4}O<<:0qc:k8b83>4}O<<:0qc:k8e83>4}O<<:0qc:k8d83>4}O<<:0qc:k8g83>4}O<<:0qc:k9183>4}O<<:0qc:k9083>4}O<<:0qc:k9383>4}O<<:0qc:k9283>4}O<<:0qc:k9583>4}O<<:0qc:k9483>4}O<<:0qc?<6`83>4}O<<:0qc?<6c83>4}O<<:0qc?<6b83>4}O<<:0qc?<6e83>4}O<<:0qc?<6d83>4}O<<:0qc?<6g83>4}O<<:0qc?<7183>4}O<<:0qc?<7083>4}O<<:0qc?<7383>4}O<<:0qc?<7283>4}O<<:0qc?<7583>4}O<<:0qc?<7483>4}O<<:0qc?<7783>4}O<<:0qc?<7683>4}O<<:0qc?<7983>4}O<<:0qc?<7883>4}O<<:0qc?<7`83>4}O<<:0qc?<7c83>4}O<<:0qc?<7b83>4}O<<:0qc?<7e83>4}O<<:0qc?<7d83>4}O<<:0qc?<7g83>4}O<<:0qc?<8183>4}O<<:0qc?<8083>4}O<<:0qc?<8383>4}O<<:0qc?<8283>4}O<<:0qc?<8583>4}O<<:0qc?<8483>4}O<<:0qc?<8783>4}O<<:0qc?<8683>4}O<<:0qc?<8983>4}O<<:0qc?<8883>4}O<<:0qc?<8`83>4}O<<:0qc?<8c83>4}O<<:0qc?<8b83>4}O<<:0qc?<8e83>4}O<<:0qc?<8d83>4}O<<:0qc?<8g83>4}O<<:0qc?<9183>4}O<<:0qc?<9083>4}O<<:0qc?<9383>4}O<<:0qc?<9283>4}O<<:0qc?<9583>4}O<<:0qc?<9483>4}O<<:0qc?<9783>4}O<<:0qc?<9683>4}O<<:0qc?<9983>4}O<<:0qc?<9883>4}O<<:0qc?<9`83>4}O<<:0qc?<9c83>4}O<<:0qc?jd283>4}O<<:0qc?jd583>4}O<<:0qc?jd483>4}O<<:0qc?jd783>4}O<<:0qc?jd683>4}O<<:0qc?jd983>4}O<<:0qc?jd883>4}O<<:0qc?jd`83>4}O<<:0qc?jdc83>4}O<<:0qc?jdb83>4}O<<:0qc?jde83>4}O<<:0qc?jdd83>4}O<<:0qc?jdg83>4}O<<:0qc?je183>4}O<<:0qc?je083>4}O<<:0qc?je383>4}O<<:0qc?je283>4}O<<:0qc?je583>4}O<<:0qc?je483>4}O<<:0qc?je783>4}O<<:0qc?je683>4}O<<:0qc?je983>4}O<<:0qc?je883>4}O<<:0qc?je`83>4}O<<:0qc?jec83>4}O<<:0qc?jeb83>4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc<=bg83>4}O<<:0qc<=c183>4}O<<:0qc<=c083>4}O<<:0qc<=c383>4}O<<:0qc<=c283>4}O<<:0qc<=c583>4}O<<:0qc<=c483>4}O<<:0qc<=c783>4}O<<:0qc<=c683>4}O<<:0qc<=c983>4}O<<:0qc<=c883>4}O<<:0qc<=c`83>4}O<<:0qc<=cc83>4}O<<:0qc<=cb83>4}O<<:0qc<=ce83>4}O<<:0qc<=cd83>4}O<<:0qc<=cg83>4}O<<:0qc<=d183>4}O<<:0qc<=d083>4}O<<:0qc<=d383>4}O<<:0qc<=d283>4}O<<:0qc<=d583>4}O<<:0qc<=d483>4}O<<:0qc<=d783>4}O<<:0qc<=d683>4}O<<:0qc<=d983>4}O<<:0qc<;b483>4}O<<:0qc<;b783>4}O<<:0qc<;b683>4}O<<:0qc<;b983>4}O<<:0qc<;b883>4}O<<:0qc<;b`83>4}O<<:0qc<;bc83>4}O<<:0qc<;bb83>4}O<<:0qc<;be83>4}O<<:0qc<;bd83>4}O<<:0qc<;bg83>4}O<<:0qc<;c183>4}O<<:0qc<;c083>4}O<<:0qc<;c383>4}O<<:0qc<;c283>4}O<<:0qc<;c583>4}O<<:0qc<;c483>4}O<<:0qc<;c783>4}O<<:0qc<;c683>4}O<<:0qc<;c983>4}O<<:0qc<;c883>4}O<<:0qc<;c`83>4}O<<:0qc<;cc83>4}O<<:0qc<;cb83>4}O<<:0qc<;ce83>4}O<<:0qc<;cd83>4}O<<:0qc<9ac83>4}O<<:0qc<9ab83>4}O<<:0qc<9ae83>4}O<<:0qc<9ad83>4}O<<:0qc<9ag83>4}O<<:0qc<9b183>4}O<<:0qc<9b083>4}O<<:0qc<9b383>4}O<<:0qc<9b283>4}O<<:0qc<9b583>4}O<<:0qc<9b483>4}O<<:0qc<9b783>4}O<<:0qc<9b683>4}O<<:0qc<9b983>4}O<<:0qc<9b883>4}O<<:0qc<9b`83>4}O<<:0qc<9bc83>4}O<<:0qc<9bb83>4}O<<:0qc<9be83>4}O<<:0qc<9bd83>4}O<<:0qc<9bg83>4}O<<:0qc<9c183>4}O<<:0qc<9c083>4}O<<:0qc<9c383>4}O<<:0qc<9c283>4}O<<:0qc<9c583>4}O<<:0qc<7a083>4}O<<:0qc<7a383>4}O<<:0qc<7a283>4}O<<:0qc<7a583>4}O<<:0qc<7a483>4}O<<:0qc<7a783>4}O<<:0qc<7a683>4}O<<:0qc<7a983>4}O<<:0qc<7a883>4}O<<:0qc<7a`83>4}O<<:0qc<7ac83>4}O<<:0qc<7ab83>4}O<<:0qc<7ae83>4}O<<:0qc<7ad83>4}O<<:0qc<7ag83>4}O<<:0qc<7b183>4}O<<:0qc<7b083>4}O<<:0qc<7b383>4}O<<:0qc<7b283>4}O<<:0qc<7b583>4}O<<:0qc<7b483>4}O<<:0qc<7b783>4}O<<:0qc<7b683>4}O<<:0qc<7b983>4}O<<:0qc<7b883>4}O<<:0qc<7b`83>4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc=>1e83>4}O<<:0qc=>1d83>4}O<<:0qc=>1g83>4}O<<:0qc=>2183>4}O<<:0qc=>2083>4}O<<:0qc=>2383>4}O<<:0qc=>2283>4}O<<:0qc=>2583>4}O<<:0qc=>2483>4}O<<:0qc=>2783>4}O<<:0qc=>2683>4}O<<:0qc=>2983>4}O<<:0qc=>2883>4}O<<:0qc=>2`83>4}O<<:0qc=>2c83>4}O<<:0qc=>2b83>4}O<<:0qc=>2e83>4}O<<:0qc=>2d83>4}O<<:0qc=>2g83>4}O<<:0qc=>3183>4}O<<:0qc=:5683>4}O<<:0qc=:5983>4}O<<:0qc=:5883>4}O<<:0qc=:5`83>4}O<<:0qc=:5c83>4}O<<:0qc=:5b83>4}O<<:0qc=:5e83>4}O<<:0qc=:5d83>4}O<<:0qc=:5g83>4}O<<:0qc=:6183>4}O<<:0qc=:6083>4}O<<:0qc=:6383>4}O<<:0qc=:6283>4}O<<:0qc=:6583>4}O<<:0qc=:6483>4}O<<:0qc=:6783>4}O<<:0qc=:6683>4}O<<:0qc=:6983>4}O<<:0qc=:6883>4}O<<:0qc=:6`83>4}O<<:0qpsr@AAx10`22;io:hm:a|BCF~6zHIZpqMN \ No newline at end of file diff --git a/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.v b/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.v new file mode 100644 index 000000000..cd6e39d9f --- /dev/null +++ b/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.v @@ -0,0 +1,20014 @@ +//////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. +//////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor: Xilinx +// \ \ \/ Version: P.49d +// \ \ Application: netgen +// / / Filename: hbdec3.v +// /___/ /\ Timestamp: Wed Dec 4 13:32:32 2013 +// \ \ / \ +// \___\/\___\ +// +// Command : -intstyle ise -w -sim -ofmt verilog ./tmp/_cg/hbdec3.ngc ./tmp/_cg/hbdec3.v +// Device : 7k325tffg900-2 +// Input file : ./tmp/_cg/hbdec3.ngc +// Output file : ./tmp/_cg/hbdec3.v +// # of Modules : 1 +// Design Name : hbdec3 +// Xilinx : /opt/Xilinx/14.4/ISE_DS/ISE/ +// +// Purpose: +// This verilog netlist is a verification model and uses simulation +// primitives which may not represent the true implementation of the +// device, however the netlist is functionally correct and should not +// be modified. This file cannot be synthesized and should only be used +// with supported simulation tools. +// +// Reference: +// Command Line Tools User Guide, Chapter 23 and Synthesis and Simulation Design Guide, Chapter 6 +// +//////////////////////////////////////////////////////////////////////////////// + +`timescale 1 ns/1 ps + +module hbdec3 ( + sclr, ce, rfd, rdy, data_valid, coef_we, nd, clk, coef_ld, dout_1, dout_2, din_1, din_2, coef_din +)/* synthesis syn_black_box syn_noprune=1 */; + input sclr; + input ce; + output rfd; + output rdy; + output data_valid; + input coef_we; + input nd; + input clk; + input coef_ld; + output [47 : 0] dout_1; + output [47 : 0] dout_2; + input [23 : 0] din_1; + input [23 : 0] din_2; + input [17 : 0] coef_din; + + // synthesis translate_off + + wire NlwRenamedSig_OI_rfd; + wire \blk00000003/sig00000664 ; + wire \blk00000003/sig00000663 ; + wire \blk00000003/sig00000662 ; + wire \blk00000003/sig00000661 ; + wire \blk00000003/sig00000660 ; + wire \blk00000003/sig0000065f ; + wire \blk00000003/sig0000065e ; + wire \blk00000003/sig0000065d ; + wire \blk00000003/sig0000065c ; + wire \blk00000003/sig0000065b ; + wire \blk00000003/sig0000065a ; + wire \blk00000003/sig00000659 ; + wire \blk00000003/sig00000658 ; + wire \blk00000003/sig00000657 ; + wire \blk00000003/sig00000656 ; + wire \blk00000003/sig00000655 ; + wire \blk00000003/sig00000654 ; + wire \blk00000003/sig00000653 ; + wire \blk00000003/sig00000652 ; + wire \blk00000003/sig00000651 ; + wire \blk00000003/sig00000650 ; + wire \blk00000003/sig0000064f ; + wire \blk00000003/sig0000064e ; + wire \blk00000003/sig0000064d ; + wire \blk00000003/sig0000064c ; + wire \blk00000003/sig0000064b ; + wire \blk00000003/sig0000064a ; + wire \blk00000003/sig00000649 ; + wire \blk00000003/sig00000648 ; + wire \blk00000003/sig00000647 ; + wire \blk00000003/sig00000646 ; + wire \blk00000003/sig00000645 ; + wire \blk00000003/sig00000644 ; + wire \blk00000003/sig00000643 ; + wire \blk00000003/sig00000642 ; + wire \blk00000003/sig00000641 ; + wire \blk00000003/sig00000640 ; + wire \blk00000003/sig0000063f ; + wire \blk00000003/sig0000063e ; + wire \blk00000003/sig0000063d ; + wire \blk00000003/sig0000063c ; + wire \blk00000003/sig0000063b ; + wire \blk00000003/sig0000063a ; + wire \blk00000003/sig00000639 ; + wire \blk00000003/sig00000638 ; + wire \blk00000003/sig00000637 ; + wire \blk00000003/sig00000636 ; + wire \blk00000003/sig00000635 ; + wire \blk00000003/sig00000634 ; + wire \blk00000003/sig00000633 ; + wire \blk00000003/sig00000632 ; + wire \blk00000003/sig00000631 ; + wire \blk00000003/sig00000630 ; + wire \blk00000003/sig0000062f ; + wire \blk00000003/sig0000062e ; + wire \blk00000003/sig0000062d ; + wire \blk00000003/sig0000062c ; + wire \blk00000003/sig0000062b ; + wire \blk00000003/sig0000062a ; + wire \blk00000003/sig00000629 ; + wire \blk00000003/sig00000628 ; + wire \blk00000003/sig00000627 ; + wire \blk00000003/sig00000626 ; + wire \blk00000003/sig00000625 ; + wire \blk00000003/sig00000624 ; + wire \blk00000003/sig00000623 ; + wire \blk00000003/sig00000622 ; + wire \blk00000003/sig00000621 ; + wire \blk00000003/sig00000620 ; + wire \blk00000003/sig0000061f ; + wire \blk00000003/sig0000061e ; + wire \blk00000003/sig0000061d ; + wire \blk00000003/sig0000061c ; + wire \blk00000003/sig0000061b ; + wire \blk00000003/sig0000061a ; + wire \blk00000003/sig00000619 ; + wire \blk00000003/sig00000618 ; + wire \blk00000003/sig00000617 ; + wire \blk00000003/sig00000616 ; + wire \blk00000003/sig00000615 ; + wire \blk00000003/sig00000614 ; + wire \blk00000003/sig00000613 ; + wire \blk00000003/sig00000612 ; + wire \blk00000003/sig00000611 ; + wire \blk00000003/sig00000610 ; + wire \blk00000003/sig0000060f ; + wire \blk00000003/sig0000060e ; + wire \blk00000003/sig0000060d ; + wire \blk00000003/sig0000060c ; + wire \blk00000003/sig0000060b ; + wire \blk00000003/sig0000060a ; + wire \blk00000003/sig00000609 ; + wire \blk00000003/sig00000608 ; + wire \blk00000003/sig00000607 ; + wire \blk00000003/sig00000606 ; + wire \blk00000003/sig00000605 ; + wire \blk00000003/sig00000604 ; + wire \blk00000003/sig00000603 ; + wire \blk00000003/sig00000602 ; + wire \blk00000003/sig00000601 ; + wire \blk00000003/sig00000600 ; + wire \blk00000003/sig000005ff ; + wire \blk00000003/sig000005fe ; + wire \blk00000003/sig000005fd ; + wire \blk00000003/sig000005fc ; + wire \blk00000003/sig000005fb ; + wire \blk00000003/sig000005fa ; + wire \blk00000003/sig000005f9 ; + wire \blk00000003/sig000005f8 ; + wire \blk00000003/sig000005f7 ; + wire \blk00000003/sig000005f6 ; + wire \blk00000003/sig000005f5 ; + wire \blk00000003/sig000005f4 ; + wire \blk00000003/sig000005f3 ; + wire \blk00000003/sig000005f2 ; + wire \blk00000003/sig000005f1 ; + wire \blk00000003/sig000005f0 ; + wire \blk00000003/sig000005ef ; + wire \blk00000003/sig000005ee ; + wire \blk00000003/sig000005ed ; + wire \blk00000003/sig000005ec ; + wire \blk00000003/sig000005eb ; + wire \blk00000003/sig000005ea ; + wire \blk00000003/sig000005e9 ; + wire \blk00000003/sig000005e8 ; + wire \blk00000003/sig000005e7 ; + wire \blk00000003/sig000005e6 ; + wire \blk00000003/sig000005e5 ; + wire \blk00000003/sig000005e4 ; + wire \blk00000003/sig000005e3 ; + wire \blk00000003/sig000005e2 ; + wire \blk00000003/sig000005e1 ; + wire \blk00000003/sig000005e0 ; + wire \blk00000003/sig000005df ; + wire \blk00000003/sig000005de ; + wire \blk00000003/sig000005dd ; + wire \blk00000003/sig000005dc ; + wire \blk00000003/sig000005db ; + wire \blk00000003/sig000005da ; + wire \blk00000003/sig000005d9 ; + wire \blk00000003/sig000005d8 ; + wire \blk00000003/sig000005d7 ; + wire \blk00000003/sig000005d6 ; + wire \blk00000003/sig000005d5 ; + wire \blk00000003/sig000005d4 ; + wire \blk00000003/sig000005d3 ; + wire \blk00000003/sig000005d2 ; + wire \blk00000003/sig000005d1 ; + wire \blk00000003/sig000005d0 ; + wire \blk00000003/sig000005cf ; + wire \blk00000003/sig000005ce ; + wire \blk00000003/sig000005cd ; + wire \blk00000003/sig000005cc ; + wire \blk00000003/sig000005cb ; + wire \blk00000003/sig000005ca ; + wire \blk00000003/sig000005c9 ; + wire \blk00000003/sig000005c8 ; + wire \blk00000003/sig000005c7 ; + wire \blk00000003/sig000005c6 ; + wire \blk00000003/sig000005c5 ; + wire \blk00000003/sig000005c4 ; + wire \blk00000003/sig000005c3 ; + wire \blk00000003/sig000005c2 ; + wire \blk00000003/sig000005c1 ; + wire \blk00000003/sig000005c0 ; + wire \blk00000003/sig000005bf ; + wire \blk00000003/sig000005be ; + wire \blk00000003/sig000005bd ; + wire \blk00000003/sig000005bc ; + wire \blk00000003/sig000005bb ; + wire \blk00000003/sig000005ba ; + wire \blk00000003/sig000005b9 ; + wire \blk00000003/sig000005b8 ; + wire \blk00000003/sig000005b7 ; + wire \blk00000003/sig000005b6 ; + wire \blk00000003/sig000005b5 ; + wire \blk00000003/sig000005b4 ; + wire \blk00000003/sig000005b3 ; + wire \blk00000003/sig000005b2 ; + wire \blk00000003/sig000005b1 ; + wire \blk00000003/sig000005b0 ; + wire \blk00000003/sig000005af ; + wire \blk00000003/sig000005ae ; + wire \blk00000003/sig000005ad ; + wire \blk00000003/sig000005ac ; + wire \blk00000003/sig000005ab ; + wire \blk00000003/sig000005aa ; + wire \blk00000003/sig000005a9 ; + wire \blk00000003/sig000005a8 ; + wire \blk00000003/sig000005a7 ; + wire \blk00000003/sig000005a6 ; + wire \blk00000003/sig000005a5 ; + wire \blk00000003/sig000005a4 ; + wire \blk00000003/sig000005a3 ; + wire \blk00000003/sig000005a2 ; + wire \blk00000003/sig000005a1 ; + wire \blk00000003/sig000005a0 ; + wire \blk00000003/sig0000059f ; + wire \blk00000003/sig0000059e ; + wire \blk00000003/sig0000059d ; + wire \blk00000003/sig0000059c ; + wire \blk00000003/sig0000059b ; + wire \blk00000003/sig0000059a ; + wire \blk00000003/sig00000599 ; + wire \blk00000003/sig00000598 ; + wire \blk00000003/sig00000597 ; + wire \blk00000003/sig00000596 ; + wire \blk00000003/sig00000595 ; + wire \blk00000003/sig00000594 ; + wire \blk00000003/sig00000593 ; + wire \blk00000003/sig00000592 ; + wire \blk00000003/sig00000591 ; + wire \blk00000003/sig00000590 ; + wire \blk00000003/sig0000058f ; + wire \blk00000003/sig0000058e ; + wire \blk00000003/sig0000058d ; + wire \blk00000003/sig0000058c ; + wire \blk00000003/sig0000058b ; + wire \blk00000003/sig0000058a ; + wire \blk00000003/sig00000589 ; + wire \blk00000003/sig00000588 ; + wire \blk00000003/sig00000587 ; + wire \blk00000003/sig00000586 ; + wire \blk00000003/sig00000585 ; + wire \blk00000003/sig00000584 ; + wire \blk00000003/sig00000583 ; + wire \blk00000003/sig00000582 ; + wire \blk00000003/sig00000581 ; + wire \blk00000003/sig00000580 ; + wire \blk00000003/sig0000057f ; + wire \blk00000003/sig0000057e ; + wire \blk00000003/sig0000057d ; + wire \blk00000003/sig0000057c ; + wire \blk00000003/sig0000057b ; + wire \blk00000003/sig0000057a ; + wire \blk00000003/sig00000579 ; + wire \blk00000003/sig00000578 ; + wire \blk00000003/sig00000577 ; + wire \blk00000003/sig00000576 ; + wire \blk00000003/sig00000575 ; + wire \blk00000003/sig00000574 ; + wire \blk00000003/sig00000573 ; + wire \blk00000003/sig00000572 ; + wire \blk00000003/sig00000571 ; + wire \blk00000003/sig00000570 ; + wire \blk00000003/sig0000056f ; + wire \blk00000003/sig0000056e ; + wire \blk00000003/sig0000056d ; + wire \blk00000003/sig0000056c ; + wire \blk00000003/sig0000056b ; + wire \blk00000003/sig0000056a ; + wire \blk00000003/sig00000569 ; + wire \blk00000003/sig00000568 ; + wire \blk00000003/sig00000567 ; + wire \blk00000003/sig00000566 ; + wire \blk00000003/sig00000565 ; + wire \blk00000003/sig00000564 ; + wire \blk00000003/sig00000563 ; + wire \blk00000003/sig00000562 ; + wire \blk00000003/sig00000561 ; + wire \blk00000003/sig00000560 ; + wire \blk00000003/sig0000055f ; + wire \blk00000003/sig0000055e ; + wire \blk00000003/sig0000055d ; + wire \blk00000003/sig0000055c ; + wire \blk00000003/sig0000055b ; + wire \blk00000003/sig0000055a ; + wire \blk00000003/sig00000559 ; + wire \blk00000003/sig00000558 ; + wire \blk00000003/sig00000557 ; + wire \blk00000003/sig00000556 ; + wire \blk00000003/sig00000555 ; + wire \blk00000003/sig00000554 ; + wire \blk00000003/sig00000553 ; + wire \blk00000003/sig00000552 ; + wire \blk00000003/sig00000551 ; + wire \blk00000003/sig00000550 ; + wire \blk00000003/sig0000054f ; + wire \blk00000003/sig0000054e ; + wire \blk00000003/sig0000054d ; + wire \blk00000003/sig0000054c ; + wire \blk00000003/sig0000054b ; + wire \blk00000003/sig0000054a ; + wire \blk00000003/sig00000549 ; + wire \blk00000003/sig00000548 ; + wire \blk00000003/sig00000547 ; + wire \blk00000003/sig00000546 ; + wire \blk00000003/sig00000545 ; + wire \blk00000003/sig00000544 ; + wire \blk00000003/sig00000543 ; + wire \blk00000003/sig00000542 ; + wire \blk00000003/sig00000541 ; + wire \blk00000003/sig00000540 ; + wire \blk00000003/sig0000053f ; + wire \blk00000003/sig0000053e ; + wire \blk00000003/sig0000053d ; + wire \blk00000003/sig0000053c ; + wire \blk00000003/sig0000053b ; + wire \blk00000003/sig0000053a ; + wire \blk00000003/sig00000539 ; + wire \blk00000003/sig00000538 ; + wire \blk00000003/sig00000537 ; + wire \blk00000003/sig00000536 ; + wire \blk00000003/sig00000535 ; + wire \blk00000003/sig00000534 ; + wire \blk00000003/sig00000533 ; + wire \blk00000003/sig00000532 ; + wire \blk00000003/sig00000531 ; + wire \blk00000003/sig00000530 ; + wire \blk00000003/sig0000052f ; + wire \blk00000003/sig0000052e ; + wire \blk00000003/sig0000052d ; + wire \blk00000003/sig0000052c ; + wire \blk00000003/sig0000052b ; + wire \blk00000003/sig0000052a ; + wire \blk00000003/sig00000529 ; + wire \blk00000003/sig00000528 ; + wire \blk00000003/sig00000527 ; + wire \blk00000003/sig00000526 ; + wire \blk00000003/sig00000525 ; + wire \blk00000003/sig00000524 ; + wire \blk00000003/sig00000523 ; + wire \blk00000003/sig00000522 ; + wire \blk00000003/sig00000521 ; + wire \blk00000003/sig00000520 ; + wire \blk00000003/sig0000051f ; + wire \blk00000003/sig0000051e ; + wire \blk00000003/sig0000051d ; + wire \blk00000003/sig0000051c ; + wire \blk00000003/sig0000051b ; + wire \blk00000003/sig0000051a ; + wire \blk00000003/sig00000519 ; + wire \blk00000003/sig00000518 ; + wire \blk00000003/sig00000517 ; + wire \blk00000003/sig00000516 ; + wire \blk00000003/sig00000515 ; + wire \blk00000003/sig00000514 ; + wire \blk00000003/sig00000513 ; + wire \blk00000003/sig00000512 ; + wire \blk00000003/sig00000511 ; + wire \blk00000003/sig00000510 ; + wire \blk00000003/sig0000050f ; + wire \blk00000003/sig0000050e ; + wire \blk00000003/sig0000050d ; + wire \blk00000003/sig0000050c ; + wire \blk00000003/sig0000050b ; + wire \blk00000003/sig0000050a ; + wire \blk00000003/sig00000509 ; + wire \blk00000003/sig00000508 ; + wire \blk00000003/sig00000507 ; + wire \blk00000003/sig00000506 ; + wire \blk00000003/sig00000505 ; + wire \blk00000003/sig00000504 ; + wire \blk00000003/sig00000503 ; + wire \blk00000003/sig00000502 ; + wire \blk00000003/sig00000501 ; + wire \blk00000003/sig00000500 ; + wire \blk00000003/sig000004ff ; + wire \blk00000003/sig000004fe ; + wire \blk00000003/sig000004fd ; + wire \blk00000003/sig000004fc ; + wire \blk00000003/sig000004fb ; + wire \blk00000003/sig000004fa ; + wire \blk00000003/sig000004f9 ; + wire \blk00000003/sig000004f8 ; + wire \blk00000003/sig000004f7 ; + wire \blk00000003/sig000004f6 ; + wire \blk00000003/sig000004f5 ; + wire \blk00000003/sig000004f4 ; + wire \blk00000003/sig000004f3 ; + wire \blk00000003/sig000004f2 ; + wire \blk00000003/sig000004f1 ; + wire \blk00000003/sig000004f0 ; + wire \blk00000003/sig000004ef ; + wire \blk00000003/sig000004ee ; + wire \blk00000003/sig000004ed ; + wire \blk00000003/sig000004ec ; + wire \blk00000003/sig000004eb ; + wire \blk00000003/sig000004ea ; + wire \blk00000003/sig000004e9 ; + wire \blk00000003/sig000004e8 ; + wire \blk00000003/sig000004e7 ; + wire \blk00000003/sig000004e6 ; + wire \blk00000003/sig000004e5 ; + wire \blk00000003/sig000004e4 ; + wire \blk00000003/sig000004e3 ; + wire \blk00000003/sig000004e2 ; + wire \blk00000003/sig000004e1 ; + wire \blk00000003/sig000004e0 ; + wire \blk00000003/sig000004df ; + wire \blk00000003/sig000004de ; + wire \blk00000003/sig000004dd ; + wire \blk00000003/sig000004dc ; + wire \blk00000003/sig000004db ; + wire \blk00000003/sig000004da ; + wire \blk00000003/sig000004d9 ; + wire \blk00000003/sig000004d8 ; + wire \blk00000003/sig000004d7 ; + wire \blk00000003/sig000004d6 ; + wire \blk00000003/sig000004d5 ; + wire \blk00000003/sig000004d4 ; + wire \blk00000003/sig000004d3 ; + wire \blk00000003/sig000004d2 ; + wire \blk00000003/sig000004d1 ; + wire \blk00000003/sig000004d0 ; + wire \blk00000003/sig000004cf ; + wire \blk00000003/sig000004ce ; + wire \blk00000003/sig000004cd ; + wire \blk00000003/sig000004cc ; + wire \blk00000003/sig000004cb ; + wire \blk00000003/sig000004ca ; + wire \blk00000003/sig000004c9 ; + wire \blk00000003/sig000004c8 ; + wire \blk00000003/sig000004c7 ; + wire \blk00000003/sig000004c6 ; + wire \blk00000003/sig000004c5 ; + wire \blk00000003/sig000004c4 ; + wire \blk00000003/sig000004c3 ; + wire \blk00000003/sig000004c2 ; + wire \blk00000003/sig000004c1 ; + wire \blk00000003/sig000004c0 ; + wire \blk00000003/sig000004bf ; + wire \blk00000003/sig000004be ; + wire \blk00000003/sig000004bd ; + wire \blk00000003/sig000004bc ; + wire \blk00000003/sig000004bb ; + wire \blk00000003/sig000004ba ; + wire \blk00000003/sig000004b9 ; + wire \blk00000003/sig000004b8 ; + wire \blk00000003/sig000004b7 ; + wire \blk00000003/sig000004b6 ; + wire \blk00000003/sig000004b5 ; + wire \blk00000003/sig000004b4 ; + wire \blk00000003/sig000004b3 ; + wire \blk00000003/sig000004b2 ; + wire \blk00000003/sig000004b1 ; + wire \blk00000003/sig000004b0 ; + wire \blk00000003/sig000004af ; + wire \blk00000003/sig000004ae ; + wire \blk00000003/sig000004ad ; + wire \blk00000003/sig000004ac ; + wire \blk00000003/sig000004ab ; + wire \blk00000003/sig000004aa ; + wire \blk00000003/sig000004a9 ; + wire \blk00000003/sig000004a8 ; + wire \blk00000003/sig000004a7 ; + wire \blk00000003/sig000004a6 ; + wire \blk00000003/sig000004a5 ; + wire \blk00000003/sig000004a4 ; + wire \blk00000003/sig000004a3 ; + wire \blk00000003/sig000004a2 ; + wire \blk00000003/sig000004a1 ; + wire \blk00000003/sig000004a0 ; + wire \blk00000003/sig0000049f ; + wire \blk00000003/sig0000049e ; + wire \blk00000003/sig0000049d ; + wire \blk00000003/sig0000049c ; + wire \blk00000003/sig0000049b ; + wire \blk00000003/sig0000049a ; + wire \blk00000003/sig00000499 ; + wire \blk00000003/sig00000498 ; + wire \blk00000003/sig00000497 ; + wire \blk00000003/sig00000496 ; + wire \blk00000003/sig00000495 ; + wire \blk00000003/sig00000494 ; + wire \blk00000003/sig00000493 ; + wire \blk00000003/sig00000492 ; + wire \blk00000003/sig00000491 ; + wire \blk00000003/sig00000490 ; + wire \blk00000003/sig0000048f ; + wire \blk00000003/sig0000048e ; + wire \blk00000003/sig0000048d ; + wire \blk00000003/sig0000048c ; + wire \blk00000003/sig0000048b ; + wire \blk00000003/sig0000048a ; + wire \blk00000003/sig00000489 ; + wire \blk00000003/sig00000488 ; + wire \blk00000003/sig00000487 ; + wire \blk00000003/sig00000486 ; + wire \blk00000003/sig00000485 ; + wire \blk00000003/sig00000484 ; + wire \blk00000003/sig00000483 ; + wire \blk00000003/sig00000482 ; + wire \blk00000003/sig00000481 ; + wire \blk00000003/sig00000480 ; + wire \blk00000003/sig0000047f ; + wire \blk00000003/sig0000047e ; + wire \blk00000003/sig0000047d ; + wire \blk00000003/sig0000047c ; + wire \blk00000003/sig0000047b ; + wire \blk00000003/sig0000047a ; + wire \blk00000003/sig00000479 ; + wire \blk00000003/sig00000478 ; + wire \blk00000003/sig00000477 ; + wire \blk00000003/sig00000476 ; + wire \blk00000003/sig00000475 ; + wire \blk00000003/sig00000474 ; + wire \blk00000003/sig00000473 ; + wire \blk00000003/sig00000472 ; + wire \blk00000003/sig00000471 ; + wire \blk00000003/sig00000470 ; + wire \blk00000003/sig0000046f ; + wire \blk00000003/sig0000046e ; + wire \blk00000003/sig0000046d ; + wire \blk00000003/sig0000046c ; + wire \blk00000003/sig0000046b ; + wire \blk00000003/sig0000046a ; + wire \blk00000003/sig00000469 ; + wire \blk00000003/sig00000468 ; + wire \blk00000003/sig00000467 ; + wire \blk00000003/sig00000466 ; + wire \blk00000003/sig00000465 ; + wire \blk00000003/sig00000464 ; + wire \blk00000003/sig00000463 ; + wire \blk00000003/sig00000462 ; + wire \blk00000003/sig00000461 ; + wire \blk00000003/sig00000460 ; + wire \blk00000003/sig0000045f ; + wire \blk00000003/sig0000045e ; + wire \blk00000003/sig0000045d ; + wire \blk00000003/sig0000045c ; + wire \blk00000003/sig0000045b ; + wire \blk00000003/sig0000045a ; + wire \blk00000003/sig00000459 ; + wire \blk00000003/sig00000458 ; + wire \blk00000003/sig00000457 ; + wire \blk00000003/sig00000456 ; + wire \blk00000003/sig00000455 ; + wire \blk00000003/sig00000454 ; + wire \blk00000003/sig00000453 ; + wire \blk00000003/sig00000452 ; + wire \blk00000003/sig00000451 ; + wire \blk00000003/sig00000450 ; + wire \blk00000003/sig0000044f ; + wire \blk00000003/sig0000044e ; + wire \blk00000003/sig0000044d ; + wire \blk00000003/sig0000044c ; + wire \blk00000003/sig0000044b ; + wire \blk00000003/sig0000044a ; + wire \blk00000003/sig00000449 ; + wire \blk00000003/sig00000448 ; + wire \blk00000003/sig00000447 ; + wire \blk00000003/sig00000446 ; + wire \blk00000003/sig00000445 ; + wire \blk00000003/sig00000444 ; + wire \blk00000003/sig00000443 ; + wire \blk00000003/sig00000442 ; + wire \blk00000003/sig00000441 ; + wire \blk00000003/sig00000440 ; + wire \blk00000003/sig0000043f ; + wire \blk00000003/sig0000043e ; + wire \blk00000003/sig0000043d ; + wire \blk00000003/sig0000043c ; + wire \blk00000003/sig0000043b ; + wire \blk00000003/sig0000043a ; + wire \blk00000003/sig00000439 ; + wire \blk00000003/sig00000438 ; + wire \blk00000003/sig00000437 ; + wire \blk00000003/sig00000436 ; + wire \blk00000003/sig00000435 ; + wire \blk00000003/sig00000434 ; + wire \blk00000003/sig00000433 ; + wire \blk00000003/sig00000432 ; + wire \blk00000003/sig00000431 ; + wire \blk00000003/sig00000430 ; + wire \blk00000003/sig0000042f ; + wire \blk00000003/sig0000042e ; + wire \blk00000003/sig0000042d ; + wire \blk00000003/sig0000042c ; + wire \blk00000003/sig0000042b ; + wire \blk00000003/sig0000042a ; + wire \blk00000003/sig00000429 ; + wire \blk00000003/sig00000428 ; + wire \blk00000003/sig00000427 ; + wire \blk00000003/sig00000426 ; + wire \blk00000003/sig00000425 ; + wire \blk00000003/sig00000424 ; + wire \blk00000003/sig00000423 ; + wire \blk00000003/sig00000422 ; + wire \blk00000003/sig00000421 ; + wire \blk00000003/sig00000420 ; + wire \blk00000003/sig0000041f ; + wire \blk00000003/sig0000041e ; + wire \blk00000003/sig0000041d ; + wire \blk00000003/sig0000041c ; + wire \blk00000003/sig0000041b ; + wire \blk00000003/sig0000041a ; + wire \blk00000003/sig00000419 ; + wire \blk00000003/sig00000418 ; + wire \blk00000003/sig00000417 ; + wire \blk00000003/sig00000416 ; + wire \blk00000003/sig00000415 ; + wire \blk00000003/sig00000414 ; + wire \blk00000003/sig00000413 ; + wire \blk00000003/sig00000412 ; + wire \blk00000003/sig00000411 ; + wire \blk00000003/sig00000410 ; + wire \blk00000003/sig0000040f ; + wire \blk00000003/sig0000040e ; + wire \blk00000003/sig0000040d ; + wire \blk00000003/sig0000040c ; + wire \blk00000003/sig0000040b ; + wire \blk00000003/sig0000040a ; + wire \blk00000003/sig00000409 ; + wire \blk00000003/sig00000408 ; + wire \blk00000003/sig00000407 ; + wire \blk00000003/sig00000406 ; + wire \blk00000003/sig00000405 ; + wire \blk00000003/sig00000404 ; + wire \blk00000003/sig00000403 ; + wire \blk00000003/sig00000402 ; + wire \blk00000003/sig00000401 ; + wire \blk00000003/sig00000400 ; + wire \blk00000003/sig000003ff ; + wire \blk00000003/sig000003fe ; + wire \blk00000003/sig000003fd ; + wire \blk00000003/sig000003fc ; + wire \blk00000003/sig000003fb ; + wire \blk00000003/sig000003fa ; + wire \blk00000003/sig000003f9 ; + wire \blk00000003/sig000003f8 ; + wire \blk00000003/sig000003f7 ; + wire \blk00000003/sig000003f6 ; + wire \blk00000003/sig000003f5 ; + wire \blk00000003/sig000003f4 ; + wire \blk00000003/sig000003f3 ; + wire \blk00000003/sig000003f2 ; + wire \blk00000003/sig000003f1 ; + wire \blk00000003/sig000003f0 ; + wire \blk00000003/sig000003ef ; + wire \blk00000003/sig000003ee ; + wire \blk00000003/sig000003ed ; + wire \blk00000003/sig000003ec ; + wire \blk00000003/sig000003eb ; + wire \blk00000003/sig000003ea ; + wire \blk00000003/sig000003e9 ; + wire \blk00000003/sig000003e8 ; + wire \blk00000003/sig000003e7 ; + wire \blk00000003/sig000003e6 ; + wire \blk00000003/sig000003e5 ; + wire \blk00000003/sig000003e4 ; + wire \blk00000003/sig000003e3 ; + wire \blk00000003/sig000003e2 ; + wire \blk00000003/sig000003e1 ; + wire \blk00000003/sig000003e0 ; + wire \blk00000003/sig000003df ; + wire \blk00000003/sig000003de ; + wire \blk00000003/sig000003dd ; + wire \blk00000003/sig000003dc ; + wire \blk00000003/sig000003db ; + wire \blk00000003/sig000003da ; + wire \blk00000003/sig000003d9 ; + wire \blk00000003/sig000003d8 ; + wire \blk00000003/sig000003d7 ; + wire \blk00000003/sig000003d6 ; + wire \blk00000003/sig000003d5 ; + wire \blk00000003/sig000003d4 ; + wire \blk00000003/sig000003d3 ; + wire \blk00000003/sig000003d2 ; + wire \blk00000003/sig000003d1 ; + wire \blk00000003/sig000003d0 ; + wire \blk00000003/sig000003cf ; + wire \blk00000003/sig000003ce ; + wire \blk00000003/sig000003cd ; + wire \blk00000003/sig000003cc ; + wire \blk00000003/sig000003cb ; + wire \blk00000003/sig000003ca ; + wire \blk00000003/sig000003c9 ; + wire \blk00000003/sig000003c8 ; + wire \blk00000003/sig000003c7 ; + wire \blk00000003/sig000003c6 ; + wire \blk00000003/sig000003c5 ; + wire \blk00000003/sig000003c4 ; + wire \blk00000003/sig000003c3 ; + wire \blk00000003/sig000003c2 ; + wire \blk00000003/sig000003c1 ; + wire \blk00000003/sig000003c0 ; + wire \blk00000003/sig000003bf ; + wire \blk00000003/sig000003be ; + wire \blk00000003/sig000003bd ; + wire \blk00000003/sig000003bc ; + wire \blk00000003/sig000003bb ; + wire \blk00000003/sig000003ba ; + wire \blk00000003/sig000003b9 ; + wire \blk00000003/sig000003b8 ; + wire \blk00000003/sig000003b7 ; + wire \blk00000003/sig000003b6 ; + wire \blk00000003/sig000003b5 ; + wire \blk00000003/sig000003b4 ; + wire \blk00000003/sig000003b3 ; + wire \blk00000003/sig000003b2 ; + wire \blk00000003/sig000003b1 ; + wire \blk00000003/sig000003b0 ; + wire \blk00000003/sig000003af ; + wire \blk00000003/sig000003ae ; + wire \blk00000003/sig000003ad ; + wire \blk00000003/sig000003ac ; + wire \blk00000003/sig000003ab ; + wire \blk00000003/sig000003aa ; + wire \blk00000003/sig000003a9 ; + wire \blk00000003/sig000003a8 ; + wire \blk00000003/sig000003a7 ; + wire \blk00000003/sig000003a6 ; + wire \blk00000003/sig000003a5 ; + wire \blk00000003/sig000003a4 ; + wire \blk00000003/sig000003a3 ; + wire \blk00000003/sig000003a2 ; + wire \blk00000003/sig000003a1 ; + wire \blk00000003/sig000003a0 ; + wire \blk00000003/sig0000039f ; + wire \blk00000003/sig0000039e ; + wire \blk00000003/sig0000039d ; + wire \blk00000003/sig0000039c ; + wire \blk00000003/sig0000039b ; + wire \blk00000003/sig0000039a ; + wire \blk00000003/sig00000399 ; + wire \blk00000003/sig00000398 ; + wire \blk00000003/sig00000397 ; + wire \blk00000003/sig00000396 ; + wire \blk00000003/sig00000395 ; + wire \blk00000003/sig00000394 ; + wire \blk00000003/sig00000393 ; + wire \blk00000003/sig00000392 ; + wire \blk00000003/sig00000391 ; + wire \blk00000003/sig00000390 ; + wire \blk00000003/sig0000038f ; + wire \blk00000003/sig0000038e ; + wire \blk00000003/sig0000038d ; + wire \blk00000003/sig0000038c ; + wire \blk00000003/sig0000038b ; + wire \blk00000003/sig0000038a ; + wire \blk00000003/sig00000389 ; + wire \blk00000003/sig00000388 ; + wire \blk00000003/sig00000387 ; + wire \blk00000003/sig00000386 ; + wire \blk00000003/sig00000385 ; + wire \blk00000003/sig00000384 ; + wire \blk00000003/sig00000383 ; + wire \blk00000003/sig00000382 ; + wire \blk00000003/sig00000381 ; + wire \blk00000003/sig00000380 ; + wire \blk00000003/sig0000037f ; + wire \blk00000003/sig0000037e ; + wire \blk00000003/sig0000037d ; + wire \blk00000003/sig0000037c ; + wire \blk00000003/sig0000037b ; + wire \blk00000003/sig0000037a ; + wire \blk00000003/sig00000379 ; + wire \blk00000003/sig00000378 ; + wire \blk00000003/sig00000377 ; + wire \blk00000003/sig00000376 ; + wire \blk00000003/sig00000375 ; + wire \blk00000003/sig00000374 ; + wire \blk00000003/sig00000373 ; + wire \blk00000003/sig00000372 ; + wire \blk00000003/sig00000371 ; + wire \blk00000003/sig00000370 ; + wire \blk00000003/sig0000036f ; + wire \blk00000003/sig0000036e ; + wire \blk00000003/sig0000036d ; + wire \blk00000003/sig0000036c ; + wire \blk00000003/sig0000036b ; + wire \blk00000003/sig0000036a ; + wire \blk00000003/sig00000369 ; + wire \blk00000003/sig00000368 ; + wire \blk00000003/sig00000367 ; + wire \blk00000003/sig00000366 ; + wire \blk00000003/sig00000365 ; + wire \blk00000003/sig00000364 ; + wire \blk00000003/sig00000363 ; + wire \blk00000003/sig00000362 ; + wire \blk00000003/sig00000361 ; + wire \blk00000003/sig00000360 ; + wire \blk00000003/sig0000035f ; + wire \blk00000003/sig0000035e ; + wire \blk00000003/sig0000035d ; + wire \blk00000003/sig0000035c ; + wire \blk00000003/sig0000035b ; + wire \blk00000003/sig0000035a ; + wire \blk00000003/sig00000359 ; + wire \blk00000003/sig00000358 ; + wire \blk00000003/sig00000357 ; + wire \blk00000003/sig00000356 ; + wire \blk00000003/sig00000355 ; + wire \blk00000003/sig00000354 ; + wire \blk00000003/sig00000353 ; + wire \blk00000003/sig00000352 ; + wire \blk00000003/sig00000351 ; + wire \blk00000003/sig00000350 ; + wire \blk00000003/sig0000034f ; + wire \blk00000003/sig0000034e ; + wire \blk00000003/sig0000034d ; + wire \blk00000003/sig0000034c ; + wire \blk00000003/sig0000034b ; + wire \blk00000003/sig0000034a ; + wire \blk00000003/sig00000349 ; + wire \blk00000003/sig00000348 ; + wire \blk00000003/sig00000347 ; + wire \blk00000003/sig00000346 ; + wire \blk00000003/sig00000345 ; + wire \blk00000003/sig00000344 ; + wire \blk00000003/sig00000343 ; + wire \blk00000003/sig00000342 ; + wire \blk00000003/sig00000341 ; + wire \blk00000003/sig00000340 ; + wire \blk00000003/sig0000033f ; + wire \blk00000003/sig0000033e ; + wire \blk00000003/sig0000033d ; + wire \blk00000003/sig0000033c ; + wire \blk00000003/sig0000033b ; + wire \blk00000003/sig0000033a ; + wire \blk00000003/sig00000339 ; + wire \blk00000003/sig00000338 ; + wire \blk00000003/sig00000337 ; + wire \blk00000003/sig00000336 ; + wire \blk00000003/sig00000335 ; + wire \blk00000003/sig00000334 ; + wire \blk00000003/sig00000333 ; + wire \blk00000003/sig00000332 ; + wire \blk00000003/sig00000331 ; + wire \blk00000003/sig00000330 ; + wire \blk00000003/sig0000032f ; + wire \blk00000003/sig0000032e ; + wire \blk00000003/sig0000032d ; + wire \blk00000003/sig0000032c ; + wire \blk00000003/sig0000032b ; + wire \blk00000003/sig0000032a ; + wire \blk00000003/sig00000329 ; + wire \blk00000003/sig00000328 ; + wire \blk00000003/sig00000327 ; + wire \blk00000003/sig00000326 ; + wire \blk00000003/sig00000325 ; + wire \blk00000003/sig00000324 ; + wire \blk00000003/sig00000323 ; + wire \blk00000003/sig00000322 ; + wire \blk00000003/sig00000321 ; + wire \blk00000003/sig00000320 ; + wire \blk00000003/sig0000031f ; + wire \blk00000003/sig0000031e ; + wire \blk00000003/sig0000031d ; + wire \blk00000003/sig0000031c ; + wire \blk00000003/sig0000031b ; + wire \blk00000003/sig0000031a ; + wire \blk00000003/sig00000319 ; + wire \blk00000003/sig00000318 ; + wire \blk00000003/sig00000317 ; + wire \blk00000003/sig00000316 ; + wire \blk00000003/sig00000315 ; + wire \blk00000003/sig00000314 ; + wire \blk00000003/sig00000313 ; + wire \blk00000003/sig00000312 ; + wire \blk00000003/sig00000311 ; + wire \blk00000003/sig00000310 ; + wire \blk00000003/sig0000030f ; + wire \blk00000003/sig0000030e ; + wire \blk00000003/sig0000030d ; + wire \blk00000003/sig0000030c ; + wire \blk00000003/sig0000030b ; + wire \blk00000003/sig0000030a ; + wire \blk00000003/sig00000309 ; + wire \blk00000003/sig00000308 ; + wire \blk00000003/sig00000307 ; + wire \blk00000003/sig00000306 ; + wire \blk00000003/sig00000305 ; + wire \blk00000003/sig00000304 ; + wire \blk00000003/sig00000303 ; + wire \blk00000003/sig00000302 ; + wire \blk00000003/sig00000301 ; + wire \blk00000003/sig00000300 ; + wire \blk00000003/sig000002ff ; + wire \blk00000003/sig000002fe ; + wire \blk00000003/sig000002fd ; + wire \blk00000003/sig000002fc ; + wire \blk00000003/sig000002fb ; + wire \blk00000003/sig000002fa ; + wire \blk00000003/sig000002f9 ; + wire \blk00000003/sig000002f8 ; + wire \blk00000003/sig000002f7 ; + wire \blk00000003/sig000002f6 ; + wire \blk00000003/sig000002f5 ; + wire \blk00000003/sig000002f4 ; + wire \blk00000003/sig000002f3 ; + wire \blk00000003/sig000002f2 ; + wire \blk00000003/sig000002f1 ; + wire \blk00000003/sig000002f0 ; + wire \blk00000003/sig000002ef ; + wire \blk00000003/sig000002ee ; + wire \blk00000003/sig000002ed ; + wire \blk00000003/sig000002ec ; + wire \blk00000003/sig000002eb ; + wire \blk00000003/sig000002ea ; + wire \blk00000003/sig000002e9 ; + wire \blk00000003/sig000002e8 ; + wire \blk00000003/sig000002e7 ; + wire \blk00000003/sig000002e6 ; + wire \blk00000003/sig000002e5 ; + wire \blk00000003/sig000002e4 ; + wire \blk00000003/sig000002e3 ; + wire \blk00000003/sig000002e2 ; + wire \blk00000003/sig000002e1 ; + wire \blk00000003/sig000002e0 ; + wire \blk00000003/sig000002df ; + wire \blk00000003/sig000002de ; + wire \blk00000003/sig000002dd ; + wire \blk00000003/sig000002dc ; + wire \blk00000003/sig000002db ; + wire \blk00000003/sig000002da ; + wire \blk00000003/sig000002d9 ; + wire \blk00000003/sig000002d8 ; + wire \blk00000003/sig000002d7 ; + wire \blk00000003/sig000002d6 ; + wire \blk00000003/sig000002d5 ; + wire \blk00000003/sig000002d4 ; + wire \blk00000003/sig000002d3 ; + wire \blk00000003/sig000002d2 ; + wire \blk00000003/sig000002d1 ; + wire \blk00000003/sig000002d0 ; + wire \blk00000003/sig000002cf ; + wire \blk00000003/sig000002ce ; + wire \blk00000003/sig000002cd ; + wire \blk00000003/sig000002cc ; + wire \blk00000003/sig000002cb ; + wire \blk00000003/sig000002ca ; + wire \blk00000003/sig000002c9 ; + wire \blk00000003/sig000002c8 ; + wire \blk00000003/sig000002c7 ; + wire \blk00000003/sig000002c6 ; + wire \blk00000003/sig000002c5 ; + wire \blk00000003/sig000002c4 ; + wire \blk00000003/sig000002c3 ; + wire \blk00000003/sig000002c2 ; + wire \blk00000003/sig000002c1 ; + wire \blk00000003/sig000002c0 ; + wire \blk00000003/sig000002bf ; + wire \blk00000003/sig000002be ; + wire \blk00000003/sig000002bd ; + wire \blk00000003/sig000002bc ; + wire \blk00000003/sig000002bb ; + wire \blk00000003/sig000002ba ; + wire \blk00000003/sig000002b9 ; + wire \blk00000003/sig000002b8 ; + wire \blk00000003/sig000002b7 ; + wire \blk00000003/sig000002b6 ; + wire \blk00000003/sig000002b5 ; + wire \blk00000003/sig000002b4 ; + wire \blk00000003/sig000002b3 ; + wire \blk00000003/sig000002b2 ; + wire \blk00000003/sig000002b1 ; + wire \blk00000003/sig000002b0 ; + wire \blk00000003/sig000002af ; + wire \blk00000003/sig000002ae ; + wire \blk00000003/sig000002ad ; + wire \blk00000003/sig000002ac ; + wire \blk00000003/sig000002ab ; + wire \blk00000003/sig000002aa ; + wire \blk00000003/sig000002a9 ; + wire \blk00000003/sig000002a8 ; + wire \blk00000003/sig000002a7 ; + wire \blk00000003/sig000002a6 ; + wire \blk00000003/sig000002a5 ; + wire \blk00000003/sig000002a4 ; + wire \blk00000003/sig000002a3 ; + wire \blk00000003/sig000002a2 ; + wire \blk00000003/sig000002a1 ; + wire \blk00000003/sig000002a0 ; + wire \blk00000003/sig0000029f ; + wire \blk00000003/sig0000029e ; + wire \blk00000003/sig0000029d ; + wire \blk00000003/sig0000029c ; + wire \blk00000003/sig0000029b ; + wire \blk00000003/sig0000029a ; + wire \blk00000003/sig00000299 ; + wire \blk00000003/sig00000298 ; + wire \blk00000003/sig00000297 ; + wire \blk00000003/sig00000296 ; + wire \blk00000003/sig00000295 ; + wire \blk00000003/sig00000294 ; + wire \blk00000003/sig00000293 ; + wire \blk00000003/sig00000292 ; + wire \blk00000003/sig00000291 ; + wire \blk00000003/sig00000290 ; + wire \blk00000003/sig0000028f ; + wire \blk00000003/sig0000028e ; + wire \blk00000003/sig0000028d ; + wire \blk00000003/sig0000028c ; + wire \blk00000003/sig0000028b ; + wire \blk00000003/sig0000028a ; + wire \blk00000003/sig00000289 ; + wire \blk00000003/sig00000288 ; + wire \blk00000003/sig00000287 ; + wire \blk00000003/sig00000286 ; + wire \blk00000003/sig00000285 ; + wire \blk00000003/sig00000284 ; + wire \blk00000003/sig00000283 ; + wire \blk00000003/sig00000282 ; + wire \blk00000003/sig00000281 ; + wire \blk00000003/sig00000280 ; + wire \blk00000003/sig0000027f ; + wire \blk00000003/sig0000027e ; + wire \blk00000003/sig0000027d ; + wire \blk00000003/sig0000027c ; + wire \blk00000003/sig0000027b ; + wire \blk00000003/sig0000027a ; + wire \blk00000003/sig00000279 ; + wire \blk00000003/sig00000278 ; + wire \blk00000003/sig00000277 ; + wire \blk00000003/sig00000276 ; + wire \blk00000003/sig00000275 ; + wire \blk00000003/sig00000274 ; + wire \blk00000003/sig00000273 ; + wire \blk00000003/sig00000272 ; + wire \blk00000003/sig00000271 ; + wire \blk00000003/sig00000270 ; + wire \blk00000003/sig0000026f ; + wire \blk00000003/sig0000026e ; + wire \blk00000003/sig0000026d ; + wire \blk00000003/sig0000026c ; + wire \blk00000003/sig0000026b ; + wire \blk00000003/sig0000026a ; + wire \blk00000003/sig00000269 ; + wire \blk00000003/sig00000268 ; + wire \blk00000003/sig00000267 ; + wire \blk00000003/sig00000266 ; + wire \blk00000003/sig00000265 ; + wire \blk00000003/sig00000264 ; + wire \blk00000003/sig00000263 ; + wire \blk00000003/sig00000262 ; + wire \blk00000003/sig00000261 ; + wire \blk00000003/sig00000260 ; + wire \blk00000003/sig0000025f ; + wire \blk00000003/sig0000025e ; + wire \blk00000003/sig0000025d ; + wire \blk00000003/sig0000025c ; + wire \blk00000003/sig0000025b ; + wire \blk00000003/sig0000025a ; + wire \blk00000003/sig00000259 ; + wire \blk00000003/sig00000258 ; + wire \blk00000003/sig00000257 ; + wire \blk00000003/sig00000256 ; + wire \blk00000003/sig00000255 ; + wire \blk00000003/sig00000254 ; + wire \blk00000003/sig00000253 ; + wire \blk00000003/sig00000252 ; + wire \blk00000003/sig00000251 ; + wire \blk00000003/sig00000250 ; + wire \blk00000003/sig0000024f ; + wire \blk00000003/sig0000024e ; + wire \blk00000003/sig0000024d ; + wire \blk00000003/sig0000024c ; + wire \blk00000003/sig0000024b ; + wire \blk00000003/sig0000024a ; + wire \blk00000003/sig00000249 ; + wire \blk00000003/sig00000248 ; + wire \blk00000003/sig00000247 ; + wire \blk00000003/sig00000246 ; + wire \blk00000003/sig00000245 ; + wire \blk00000003/sig00000244 ; + wire \blk00000003/sig00000243 ; + wire \blk00000003/sig00000242 ; + wire \blk00000003/sig00000241 ; + wire \blk00000003/sig00000240 ; + wire \blk00000003/sig0000023f ; + wire \blk00000003/sig0000023e ; + wire \blk00000003/sig0000023d ; + wire \blk00000003/sig0000023c ; + wire \blk00000003/sig0000023b ; + wire \blk00000003/sig0000023a ; + wire \blk00000003/sig00000239 ; + wire \blk00000003/sig00000238 ; + wire \blk00000003/sig00000237 ; + wire \blk00000003/sig00000236 ; + wire \blk00000003/sig00000235 ; + wire \blk00000003/sig00000234 ; + wire \blk00000003/sig00000233 ; + wire \blk00000003/sig00000232 ; + wire \blk00000003/sig00000231 ; + wire \blk00000003/sig00000230 ; + wire \blk00000003/sig0000022f ; + wire \blk00000003/sig0000022e ; + wire \blk00000003/sig0000022d ; + wire \blk00000003/sig0000022c ; + wire \blk00000003/sig0000022b ; + wire \blk00000003/sig0000022a ; + wire \blk00000003/sig00000229 ; + wire \blk00000003/sig00000228 ; + wire \blk00000003/sig00000227 ; + wire \blk00000003/sig00000226 ; + wire \blk00000003/sig00000225 ; + wire \blk00000003/sig00000224 ; + wire \blk00000003/sig00000223 ; + wire \blk00000003/sig00000222 ; + wire \blk00000003/sig00000221 ; + wire \blk00000003/sig00000220 ; + wire \blk00000003/sig0000021f ; + wire \blk00000003/sig0000021e ; + wire \blk00000003/sig0000021d ; + wire \blk00000003/sig0000021c ; + wire \blk00000003/sig0000021b ; + wire \blk00000003/sig0000021a ; + wire \blk00000003/sig00000219 ; + wire \blk00000003/sig00000218 ; + wire \blk00000003/sig00000217 ; + wire \blk00000003/sig00000216 ; + wire \blk00000003/sig00000215 ; + wire \blk00000003/sig00000214 ; + wire \blk00000003/sig00000213 ; + wire \blk00000003/sig00000212 ; + wire \blk00000003/sig00000211 ; + wire \blk00000003/sig00000210 ; + wire \blk00000003/sig0000020f ; + wire \blk00000003/sig0000020e ; + wire \blk00000003/sig0000020d ; + wire \blk00000003/sig0000020c ; + wire \blk00000003/sig0000020b ; + wire \blk00000003/sig0000020a ; + wire \blk00000003/sig00000209 ; + wire \blk00000003/sig00000208 ; + wire \blk00000003/sig00000207 ; + wire \blk00000003/sig00000206 ; + wire \blk00000003/sig00000205 ; + wire \blk00000003/sig00000204 ; + wire \blk00000003/sig00000203 ; + wire \blk00000003/sig00000202 ; + wire \blk00000003/sig00000201 ; + wire \blk00000003/sig00000200 ; + wire \blk00000003/sig000001ff ; + wire \blk00000003/sig000001fe ; + wire \blk00000003/sig000001fd ; + wire \blk00000003/sig000001fc ; + wire \blk00000003/sig000001fb ; + wire \blk00000003/sig000001fa ; + wire \blk00000003/sig000001f9 ; + wire \blk00000003/sig000001f8 ; + wire \blk00000003/sig000001f7 ; + wire \blk00000003/sig000001f6 ; + wire \blk00000003/sig000001f5 ; + wire \blk00000003/sig000001f4 ; + wire \blk00000003/sig000001f3 ; + wire \blk00000003/sig000001f2 ; + wire \blk00000003/sig000001f1 ; + wire \blk00000003/sig000001f0 ; + wire \blk00000003/sig000001ef ; + wire \blk00000003/sig000001ee ; + wire \blk00000003/sig000001ed ; + wire \blk00000003/sig000001ec ; + wire \blk00000003/sig000001eb ; + wire \blk00000003/sig000001ea ; + wire \blk00000003/sig000001e9 ; + wire \blk00000003/sig000001e8 ; + wire \blk00000003/sig000001e7 ; + wire \blk00000003/sig000001e6 ; + wire \blk00000003/sig000001e5 ; + wire \blk00000003/sig000001e4 ; + wire \blk00000003/sig000001e3 ; + wire \blk00000003/sig000001e2 ; + wire \blk00000003/sig000001e1 ; + wire \blk00000003/sig000001e0 ; + wire \blk00000003/sig000001df ; + wire \blk00000003/sig000001de ; + wire \blk00000003/sig000001dd ; + wire \blk00000003/sig000001dc ; + wire \blk00000003/sig000001db ; + wire \blk00000003/sig000001da ; + wire \blk00000003/sig000001d9 ; + wire \blk00000003/sig000001d8 ; + wire \blk00000003/sig000001d7 ; + wire \blk00000003/sig000001d6 ; + wire \blk00000003/sig000001d5 ; + wire \blk00000003/sig000001d4 ; + wire \blk00000003/sig000001d3 ; + wire \blk00000003/sig000001d2 ; + wire \blk00000003/sig000001d1 ; + wire \blk00000003/sig000001d0 ; + wire \blk00000003/sig000001cf ; + wire \blk00000003/sig000001ce ; + wire \blk00000003/sig000001cd ; + wire \blk00000003/sig000001cc ; + wire \blk00000003/sig000001cb ; + wire \blk00000003/sig000001ca ; + wire \blk00000003/sig000001c9 ; + wire \blk00000003/sig000001c8 ; + wire \blk00000003/sig000001c7 ; + wire \blk00000003/sig000001c6 ; + wire \blk00000003/sig000001c5 ; + wire \blk00000003/sig000001c4 ; + wire \blk00000003/sig000001c3 ; + wire \blk00000003/sig000001c2 ; + wire \blk00000003/sig000001c1 ; + wire \blk00000003/sig000001c0 ; + wire \blk00000003/sig000001bf ; + wire \blk00000003/sig000001be ; + wire \blk00000003/sig000001bd ; + wire \blk00000003/sig000001bc ; + wire \blk00000003/sig000001bb ; + wire \blk00000003/sig000001ba ; + wire \blk00000003/sig000001b9 ; + wire \blk00000003/sig000001b8 ; + wire \blk00000003/sig000001b7 ; + wire \blk00000003/sig000001b6 ; + wire \blk00000003/sig000001b5 ; + wire \blk00000003/sig000001b4 ; + wire \blk00000003/sig000001b3 ; + wire \blk00000003/sig000001b2 ; + wire \blk00000003/sig000001b1 ; + wire \blk00000003/sig000001b0 ; + wire \blk00000003/sig000001af ; + wire \blk00000003/sig000001ae ; + wire \blk00000003/sig000001ad ; + wire \blk00000003/sig000001ac ; + wire \blk00000003/sig000001ab ; + wire \blk00000003/sig000001aa ; + wire \blk00000003/sig000001a9 ; + wire \blk00000003/sig000001a8 ; + wire \blk00000003/sig000001a7 ; + wire \blk00000003/sig000001a6 ; + wire \blk00000003/sig000001a5 ; + wire \blk00000003/sig000001a4 ; + wire \blk00000003/sig000001a3 ; + wire \blk00000003/sig000001a2 ; + wire \blk00000003/sig000001a1 ; + wire \blk00000003/sig000001a0 ; + wire \blk00000003/sig0000019f ; + wire \blk00000003/sig0000019e ; + wire \blk00000003/sig0000019d ; + wire \blk00000003/sig0000019c ; + wire \blk00000003/sig0000019b ; + wire \blk00000003/sig0000019a ; + wire \blk00000003/sig00000199 ; + wire \blk00000003/sig00000198 ; + wire \blk00000003/sig00000197 ; + wire \blk00000003/sig00000196 ; + wire \blk00000003/sig00000195 ; + wire \blk00000003/sig00000194 ; + wire \blk00000003/sig00000193 ; + wire \blk00000003/sig00000192 ; + wire \blk00000003/sig00000191 ; + wire \blk00000003/sig00000190 ; + wire \blk00000003/sig0000018f ; + wire \blk00000003/sig0000018e ; + wire \blk00000003/sig0000018d ; + wire \blk00000003/sig0000018c ; + wire \blk00000003/sig0000018b ; + wire \blk00000003/sig0000018a ; + wire \blk00000003/sig00000189 ; + wire \blk00000003/sig00000188 ; + wire \blk00000003/sig00000187 ; + wire \blk00000003/sig00000186 ; + wire \blk00000003/sig00000185 ; + wire \blk00000003/sig00000184 ; + wire \blk00000003/sig00000183 ; + wire \blk00000003/sig00000182 ; + wire \blk00000003/sig00000181 ; + wire \blk00000003/sig00000180 ; + wire \blk00000003/sig0000017f ; + wire \blk00000003/sig0000017e ; + wire \blk00000003/sig0000017d ; + wire \blk00000003/sig0000017c ; + wire \blk00000003/sig0000017b ; + wire \blk00000003/sig0000017a ; + wire \blk00000003/sig00000179 ; + wire \blk00000003/sig00000178 ; + wire \blk00000003/sig00000177 ; + wire \blk00000003/sig00000176 ; + wire \blk00000003/sig00000175 ; + wire \blk00000003/sig00000174 ; + wire \blk00000003/sig00000173 ; + wire \blk00000003/sig00000172 ; + wire \blk00000003/sig00000171 ; + wire \blk00000003/sig00000170 ; + wire \blk00000003/sig0000016f ; + wire \blk00000003/sig0000016e ; + wire \blk00000003/sig0000016d ; + wire \blk00000003/sig0000016c ; + wire \blk00000003/sig0000016b ; + wire \blk00000003/sig0000016a ; + wire \blk00000003/sig00000169 ; + wire \blk00000003/sig00000168 ; + wire \blk00000003/sig00000167 ; + wire \blk00000003/sig00000166 ; + wire \blk00000003/sig00000165 ; + wire \blk00000003/sig00000164 ; + wire \blk00000003/sig00000163 ; + wire \blk00000003/sig00000162 ; + wire \blk00000003/sig00000161 ; + wire \blk00000003/sig00000160 ; + wire \blk00000003/sig0000015f ; + wire \blk00000003/sig0000015e ; + wire \blk00000003/sig0000015d ; + wire \blk00000003/sig0000015c ; + wire \blk00000003/sig0000015b ; + wire \blk00000003/sig0000015a ; + wire \blk00000003/sig00000159 ; + wire \blk00000003/sig00000158 ; + wire \blk00000003/sig00000157 ; + wire \blk00000003/sig00000156 ; + wire \blk00000003/sig00000155 ; + wire \blk00000003/sig00000154 ; + wire \blk00000003/sig00000153 ; + wire \blk00000003/sig00000152 ; + wire \blk00000003/sig00000151 ; + wire \blk00000003/sig00000150 ; + wire \blk00000003/sig0000014f ; + wire \blk00000003/sig0000014e ; + wire \blk00000003/sig0000014d ; + wire \blk00000003/sig0000014c ; + wire \blk00000003/sig0000014b ; + wire \blk00000003/sig0000014a ; + wire \blk00000003/sig00000149 ; + wire \blk00000003/sig00000148 ; + wire \blk00000003/sig00000147 ; + wire \blk00000003/sig00000146 ; + wire \blk00000003/sig00000145 ; + wire \blk00000003/sig00000144 ; + wire \blk00000003/sig00000143 ; + wire \blk00000003/sig00000142 ; + wire \blk00000003/sig00000141 ; + wire \blk00000003/sig00000140 ; + wire \blk00000003/sig0000013f ; + wire \blk00000003/sig0000013e ; + wire \blk00000003/sig0000013d ; + wire \blk00000003/sig0000013c ; + wire \blk00000003/sig0000013b ; + wire \blk00000003/sig0000013a ; + wire \blk00000003/sig00000139 ; + wire \blk00000003/sig00000138 ; + wire \blk00000003/sig00000137 ; + wire \blk00000003/sig00000136 ; + wire \blk00000003/sig00000135 ; + wire \blk00000003/sig00000134 ; + wire \blk00000003/sig00000133 ; + wire \blk00000003/sig00000132 ; + wire \blk00000003/sig00000131 ; + wire \blk00000003/sig00000130 ; + wire \blk00000003/sig0000012f ; + wire \blk00000003/sig0000012e ; + wire \blk00000003/sig0000012d ; + wire \blk00000003/sig0000012c ; + wire \blk00000003/sig0000012b ; + wire \blk00000003/sig0000012a ; + wire \blk00000003/sig00000129 ; + wire \blk00000003/sig00000128 ; + wire \blk00000003/sig00000127 ; + wire \blk00000003/sig00000126 ; + wire \blk00000003/sig00000125 ; + wire \blk00000003/sig00000124 ; + wire \blk00000003/sig00000123 ; + wire \blk00000003/sig00000122 ; + wire \blk00000003/sig00000121 ; + wire \blk00000003/sig00000120 ; + wire \blk00000003/sig0000011f ; + wire \blk00000003/sig0000011e ; + wire \blk00000003/sig0000011d ; + wire \blk00000003/sig0000011c ; + wire \blk00000003/sig0000011b ; + wire \blk00000003/sig0000011a ; + wire \blk00000003/sig00000119 ; + wire \blk00000003/sig00000118 ; + wire \blk00000003/sig00000117 ; + wire \blk00000003/sig00000116 ; + wire \blk00000003/sig00000115 ; + wire \blk00000003/sig00000114 ; + wire \blk00000003/sig00000113 ; + wire \blk00000003/sig00000112 ; + wire \blk00000003/sig00000111 ; + wire \blk00000003/sig00000110 ; + wire \blk00000003/sig0000010f ; + wire \blk00000003/sig0000010e ; + wire \blk00000003/sig0000010d ; + wire \blk00000003/sig0000010c ; + wire \blk00000003/sig0000010b ; + wire \blk00000003/sig0000010a ; + wire \blk00000003/sig00000109 ; + wire \blk00000003/sig00000108 ; + wire \blk00000003/sig00000107 ; + wire \blk00000003/sig00000106 ; + wire \blk00000003/sig00000105 ; + wire \blk00000003/sig00000104 ; + wire \blk00000003/sig00000103 ; + wire \blk00000003/sig00000102 ; + wire \blk00000003/sig00000101 ; + wire \blk00000003/sig00000100 ; + wire \blk00000003/sig000000ff ; + wire \blk00000003/sig000000fe ; + wire \blk00000003/sig000000fd ; + wire \blk00000003/sig000000fc ; + wire \blk00000003/sig000000fb ; + wire \blk00000003/sig000000fa ; + wire \blk00000003/sig000000f9 ; + wire \blk00000003/sig000000f8 ; + wire \blk00000003/sig000000f7 ; + wire \blk00000003/sig000000f6 ; + wire \blk00000003/sig000000f5 ; + wire \blk00000003/sig000000f4 ; + wire \blk00000003/sig000000f3 ; + wire \blk00000003/sig000000f2 ; + wire \blk00000003/sig000000f1 ; + wire \blk00000003/sig000000f0 ; + wire \blk00000003/sig000000ef ; + wire \blk00000003/sig000000ee ; + wire \blk00000003/sig000000ed ; + wire \blk00000003/sig000000ec ; + wire \blk00000003/sig000000eb ; + wire \blk00000003/sig000000ea ; + wire \blk00000003/sig000000e9 ; + wire \blk00000003/sig000000e8 ; + wire \blk00000003/sig000000e7 ; + wire \blk00000003/sig000000e6 ; + wire \blk00000003/sig000000e5 ; + wire \blk00000003/sig000000e4 ; + wire \blk00000003/sig000000e3 ; + wire \blk00000003/sig000000e2 ; + wire \blk00000003/sig000000e1 ; + wire \blk00000003/sig000000e0 ; + wire \blk00000003/sig000000df ; + wire \blk00000003/sig000000de ; + wire \blk00000003/sig000000dd ; + wire \blk00000003/sig000000dc ; + wire \blk00000003/sig000000db ; + wire \blk00000003/sig000000da ; + wire \blk00000003/sig000000d9 ; + wire \blk00000003/sig000000d8 ; + wire \blk00000003/sig000000d7 ; + wire \blk00000003/sig000000d6 ; + wire \blk00000003/sig000000d5 ; + wire \blk00000003/sig000000d4 ; + wire \blk00000003/sig000000d3 ; + wire \blk00000003/sig000000d2 ; + wire \blk00000003/sig000000d1 ; + wire \blk00000003/sig000000d0 ; + wire \blk00000003/sig000000cf ; + wire \blk00000003/sig000000ce ; + wire \blk00000003/sig000000cd ; + wire \blk00000003/sig000000cc ; + wire \blk00000003/sig000000cb ; + wire \blk00000003/sig000000ca ; + wire \blk00000003/sig000000c9 ; + wire \blk00000003/sig000000c8 ; + wire \blk00000003/sig000000c7 ; + wire \blk00000003/sig000000c6 ; + wire \blk00000003/sig000000c5 ; + wire \blk00000003/sig000000c4 ; + wire \blk00000003/sig000000c3 ; + wire \blk00000003/sig000000c2 ; + wire \blk00000003/sig000000c1 ; + wire \blk00000003/sig000000c0 ; + wire \blk00000003/sig000000bf ; + wire \blk00000003/sig000000be ; + wire \blk00000003/sig000000bd ; + wire \blk00000003/sig000000bc ; + wire \blk00000003/sig000000bb ; + wire \blk00000003/sig000000ba ; + wire \blk00000003/sig000000b9 ; + wire \blk00000003/sig000000b8 ; + wire \blk00000003/sig000000b7 ; + wire \blk00000003/sig000000b6 ; + wire \blk00000003/sig000000b5 ; + wire \blk00000003/sig000000b4 ; + wire \blk00000003/sig000000b3 ; + wire \blk00000003/sig000000b2 ; + wire \blk00000003/sig000000b1 ; + wire \blk00000003/sig000000b0 ; + wire \blk00000003/sig000000af ; + wire \blk00000003/sig000000ae ; + wire \blk00000003/sig0000004a ; + wire \blk00000003/sig00000049 ; + wire \blk00000003/blk0000002a/sig000006fd ; + wire \blk00000003/blk0000002a/sig000006fc ; + wire \blk00000003/blk0000002a/sig000006fb ; + wire \blk00000003/blk0000002a/sig000006fa ; + wire \blk00000003/blk0000002a/sig000006f9 ; + wire \blk00000003/blk0000002a/sig000006f8 ; + wire \blk00000003/blk0000002a/sig000006f7 ; + wire \blk00000003/blk0000002a/sig000006f6 ; + wire \blk00000003/blk0000002a/sig000006f5 ; + wire \blk00000003/blk0000002a/sig000006f4 ; + wire \blk00000003/blk0000002a/sig000006f3 ; + wire \blk00000003/blk0000002a/sig000006f2 ; + wire \blk00000003/blk0000002a/sig000006f1 ; + wire \blk00000003/blk0000002a/sig000006f0 ; + wire \blk00000003/blk0000002a/sig000006ef ; + wire \blk00000003/blk0000002a/sig000006ee ; + wire \blk00000003/blk0000002a/sig000006ed ; + wire \blk00000003/blk0000002a/sig000006ec ; + wire \blk00000003/blk0000002a/sig000006eb ; + wire \blk00000003/blk0000002a/sig000006ea ; + wire \blk00000003/blk0000002a/sig000006e9 ; + wire \blk00000003/blk0000002a/sig000006e8 ; + wire \blk00000003/blk0000002a/sig000006e7 ; + wire \blk00000003/blk0000002a/sig000006e6 ; + wire \blk00000003/blk0000002a/sig000006e5 ; + wire \blk00000003/blk0000002a/sig000006e4 ; + wire \blk00000003/blk0000002a/sig000006e3 ; + wire \blk00000003/blk0000002a/sig000006e2 ; + wire \blk00000003/blk0000002a/sig000006e1 ; + wire \blk00000003/blk0000002a/sig000006e0 ; + wire \blk00000003/blk0000002a/sig000006df ; + wire \blk00000003/blk0000002a/sig000006de ; + wire \blk00000003/blk0000002a/sig000006dd ; + wire \blk00000003/blk0000002a/sig000006dc ; + wire \blk00000003/blk0000002a/sig000006db ; + wire \blk00000003/blk0000002a/sig000006da ; + wire \blk00000003/blk0000002a/sig000006d9 ; + wire \blk00000003/blk0000002a/sig000006d8 ; + wire \blk00000003/blk0000002a/sig000006d7 ; + wire \blk00000003/blk0000002a/sig000006d6 ; + wire \blk00000003/blk0000002a/sig000006d5 ; + wire \blk00000003/blk0000002a/sig000006d4 ; + wire \blk00000003/blk0000002a/sig000006d3 ; + wire \blk00000003/blk0000002a/sig000006d2 ; + wire \blk00000003/blk0000002a/sig000006d1 ; + wire \blk00000003/blk0000002a/sig000006d0 ; + wire \blk00000003/blk0000002a/sig000006cf ; + wire \blk00000003/blk0000002a/sig000006ce ; + wire \blk00000003/blk0000002a/sig000006cd ; + wire \blk00000003/blk0000002a/sig000006cc ; + wire \blk00000003/blk00000119/sig0000074d ; + wire \blk00000003/blk00000119/sig0000074c ; + wire \blk00000003/blk00000119/sig0000074b ; + wire \blk00000003/blk00000119/sig0000074a ; + wire \blk00000003/blk00000119/sig00000749 ; + wire \blk00000003/blk00000119/sig00000748 ; + wire \blk00000003/blk00000119/sig00000747 ; + wire \blk00000003/blk00000119/sig00000746 ; + wire \blk00000003/blk00000119/sig00000745 ; + wire \blk00000003/blk00000119/sig00000744 ; + wire \blk00000003/blk00000119/sig00000743 ; + wire \blk00000003/blk00000119/sig00000742 ; + wire \blk00000003/blk00000119/sig00000741 ; + wire \blk00000003/blk00000119/sig00000740 ; + wire \blk00000003/blk00000119/sig0000073f ; + wire \blk00000003/blk00000119/sig0000073e ; + wire \blk00000003/blk00000119/sig0000073d ; + wire \blk00000003/blk00000119/sig0000073c ; + wire \blk00000003/blk00000119/sig0000073b ; + wire \blk00000003/blk00000119/sig0000073a ; + wire \blk00000003/blk00000119/sig00000739 ; + wire \blk00000003/blk00000119/sig00000738 ; + wire \blk00000003/blk00000119/sig00000737 ; + wire \blk00000003/blk00000119/sig00000736 ; + wire \blk00000003/blk00000119/sig00000735 ; + wire \blk00000003/blk00000119/sig00000734 ; + wire \blk00000003/blk0000014c/sig0000079d ; + wire \blk00000003/blk0000014c/sig0000079c ; + wire \blk00000003/blk0000014c/sig0000079b ; + wire \blk00000003/blk0000014c/sig0000079a ; + wire \blk00000003/blk0000014c/sig00000799 ; + wire \blk00000003/blk0000014c/sig00000798 ; + wire \blk00000003/blk0000014c/sig00000797 ; + wire \blk00000003/blk0000014c/sig00000796 ; + wire \blk00000003/blk0000014c/sig00000795 ; + wire \blk00000003/blk0000014c/sig00000794 ; + wire \blk00000003/blk0000014c/sig00000793 ; + wire \blk00000003/blk0000014c/sig00000792 ; + wire \blk00000003/blk0000014c/sig00000791 ; + wire \blk00000003/blk0000014c/sig00000790 ; + wire \blk00000003/blk0000014c/sig0000078f ; + wire \blk00000003/blk0000014c/sig0000078e ; + wire \blk00000003/blk0000014c/sig0000078d ; + wire \blk00000003/blk0000014c/sig0000078c ; + wire \blk00000003/blk0000014c/sig0000078b ; + wire \blk00000003/blk0000014c/sig0000078a ; + wire \blk00000003/blk0000014c/sig00000789 ; + wire \blk00000003/blk0000014c/sig00000788 ; + wire \blk00000003/blk0000014c/sig00000787 ; + wire \blk00000003/blk0000014c/sig00000786 ; + wire \blk00000003/blk0000014c/sig00000785 ; + wire \blk00000003/blk0000014c/sig00000784 ; + wire \blk00000003/blk0000017f/sig000007ed ; + wire \blk00000003/blk0000017f/sig000007ec ; + wire \blk00000003/blk0000017f/sig000007eb ; + wire \blk00000003/blk0000017f/sig000007ea ; + wire \blk00000003/blk0000017f/sig000007e9 ; + wire \blk00000003/blk0000017f/sig000007e8 ; + wire \blk00000003/blk0000017f/sig000007e7 ; + wire \blk00000003/blk0000017f/sig000007e6 ; + wire \blk00000003/blk0000017f/sig000007e5 ; + wire \blk00000003/blk0000017f/sig000007e4 ; + wire \blk00000003/blk0000017f/sig000007e3 ; + wire \blk00000003/blk0000017f/sig000007e2 ; + wire \blk00000003/blk0000017f/sig000007e1 ; + wire \blk00000003/blk0000017f/sig000007e0 ; + wire \blk00000003/blk0000017f/sig000007df ; + wire \blk00000003/blk0000017f/sig000007de ; + wire \blk00000003/blk0000017f/sig000007dd ; + wire \blk00000003/blk0000017f/sig000007dc ; + wire \blk00000003/blk0000017f/sig000007db ; + wire \blk00000003/blk0000017f/sig000007da ; + wire \blk00000003/blk0000017f/sig000007d9 ; + wire \blk00000003/blk0000017f/sig000007d8 ; + wire \blk00000003/blk0000017f/sig000007d7 ; + wire \blk00000003/blk0000017f/sig000007d6 ; + wire \blk00000003/blk0000017f/sig000007d5 ; + wire \blk00000003/blk0000017f/sig000007d4 ; + wire \blk00000003/blk000001b2/sig0000083d ; + wire \blk00000003/blk000001b2/sig0000083c ; + wire \blk00000003/blk000001b2/sig0000083b ; + wire \blk00000003/blk000001b2/sig0000083a ; + wire \blk00000003/blk000001b2/sig00000839 ; + wire \blk00000003/blk000001b2/sig00000838 ; + wire \blk00000003/blk000001b2/sig00000837 ; + wire \blk00000003/blk000001b2/sig00000836 ; + wire \blk00000003/blk000001b2/sig00000835 ; + wire \blk00000003/blk000001b2/sig00000834 ; + wire \blk00000003/blk000001b2/sig00000833 ; + wire \blk00000003/blk000001b2/sig00000832 ; + wire \blk00000003/blk000001b2/sig00000831 ; + wire \blk00000003/blk000001b2/sig00000830 ; + wire \blk00000003/blk000001b2/sig0000082f ; + wire \blk00000003/blk000001b2/sig0000082e ; + wire \blk00000003/blk000001b2/sig0000082d ; + wire \blk00000003/blk000001b2/sig0000082c ; + wire \blk00000003/blk000001b2/sig0000082b ; + wire \blk00000003/blk000001b2/sig0000082a ; + wire \blk00000003/blk000001b2/sig00000829 ; + wire \blk00000003/blk000001b2/sig00000828 ; + wire \blk00000003/blk000001b2/sig00000827 ; + wire \blk00000003/blk000001b2/sig00000826 ; + wire \blk00000003/blk000001b2/sig00000825 ; + wire \blk00000003/blk000001b2/sig00000824 ; + wire \blk00000003/blk000001e5/sig0000088d ; + wire \blk00000003/blk000001e5/sig0000088c ; + wire \blk00000003/blk000001e5/sig0000088b ; + wire \blk00000003/blk000001e5/sig0000088a ; + wire \blk00000003/blk000001e5/sig00000889 ; + wire \blk00000003/blk000001e5/sig00000888 ; + wire \blk00000003/blk000001e5/sig00000887 ; + wire \blk00000003/blk000001e5/sig00000886 ; + wire \blk00000003/blk000001e5/sig00000885 ; + wire \blk00000003/blk000001e5/sig00000884 ; + wire \blk00000003/blk000001e5/sig00000883 ; + wire \blk00000003/blk000001e5/sig00000882 ; + wire \blk00000003/blk000001e5/sig00000881 ; + wire \blk00000003/blk000001e5/sig00000880 ; + wire \blk00000003/blk000001e5/sig0000087f ; + wire \blk00000003/blk000001e5/sig0000087e ; + wire \blk00000003/blk000001e5/sig0000087d ; + wire \blk00000003/blk000001e5/sig0000087c ; + wire \blk00000003/blk000001e5/sig0000087b ; + wire \blk00000003/blk000001e5/sig0000087a ; + wire \blk00000003/blk000001e5/sig00000879 ; + wire \blk00000003/blk000001e5/sig00000878 ; + wire \blk00000003/blk000001e5/sig00000877 ; + wire \blk00000003/blk000001e5/sig00000876 ; + wire \blk00000003/blk000001e5/sig00000875 ; + wire \blk00000003/blk000001e5/sig00000874 ; + wire \blk00000003/blk00000218/sig000008dd ; + wire \blk00000003/blk00000218/sig000008dc ; + wire \blk00000003/blk00000218/sig000008db ; + wire \blk00000003/blk00000218/sig000008da ; + wire \blk00000003/blk00000218/sig000008d9 ; + wire \blk00000003/blk00000218/sig000008d8 ; + wire \blk00000003/blk00000218/sig000008d7 ; + wire \blk00000003/blk00000218/sig000008d6 ; + wire \blk00000003/blk00000218/sig000008d5 ; + wire \blk00000003/blk00000218/sig000008d4 ; + wire \blk00000003/blk00000218/sig000008d3 ; + wire \blk00000003/blk00000218/sig000008d2 ; + wire \blk00000003/blk00000218/sig000008d1 ; + wire \blk00000003/blk00000218/sig000008d0 ; + wire \blk00000003/blk00000218/sig000008cf ; + wire \blk00000003/blk00000218/sig000008ce ; + wire \blk00000003/blk00000218/sig000008cd ; + wire \blk00000003/blk00000218/sig000008cc ; + wire \blk00000003/blk00000218/sig000008cb ; + wire \blk00000003/blk00000218/sig000008ca ; + wire \blk00000003/blk00000218/sig000008c9 ; + wire \blk00000003/blk00000218/sig000008c8 ; + wire \blk00000003/blk00000218/sig000008c7 ; + wire \blk00000003/blk00000218/sig000008c6 ; + wire \blk00000003/blk00000218/sig000008c5 ; + wire \blk00000003/blk00000218/sig000008c4 ; + wire \blk00000003/blk0000024b/sig0000092d ; + wire \blk00000003/blk0000024b/sig0000092c ; + wire \blk00000003/blk0000024b/sig0000092b ; + wire \blk00000003/blk0000024b/sig0000092a ; + wire \blk00000003/blk0000024b/sig00000929 ; + wire \blk00000003/blk0000024b/sig00000928 ; + wire \blk00000003/blk0000024b/sig00000927 ; + wire \blk00000003/blk0000024b/sig00000926 ; + wire \blk00000003/blk0000024b/sig00000925 ; + wire \blk00000003/blk0000024b/sig00000924 ; + wire \blk00000003/blk0000024b/sig00000923 ; + wire \blk00000003/blk0000024b/sig00000922 ; + wire \blk00000003/blk0000024b/sig00000921 ; + wire \blk00000003/blk0000024b/sig00000920 ; + wire \blk00000003/blk0000024b/sig0000091f ; + wire \blk00000003/blk0000024b/sig0000091e ; + wire \blk00000003/blk0000024b/sig0000091d ; + wire \blk00000003/blk0000024b/sig0000091c ; + wire \blk00000003/blk0000024b/sig0000091b ; + wire \blk00000003/blk0000024b/sig0000091a ; + wire \blk00000003/blk0000024b/sig00000919 ; + wire \blk00000003/blk0000024b/sig00000918 ; + wire \blk00000003/blk0000024b/sig00000917 ; + wire \blk00000003/blk0000024b/sig00000916 ; + wire \blk00000003/blk0000024b/sig00000915 ; + wire \blk00000003/blk0000024b/sig00000914 ; + wire \blk00000003/blk0000027e/sig0000097d ; + wire \blk00000003/blk0000027e/sig0000097c ; + wire \blk00000003/blk0000027e/sig0000097b ; + wire \blk00000003/blk0000027e/sig0000097a ; + wire \blk00000003/blk0000027e/sig00000979 ; + wire \blk00000003/blk0000027e/sig00000978 ; + wire \blk00000003/blk0000027e/sig00000977 ; + wire \blk00000003/blk0000027e/sig00000976 ; + wire \blk00000003/blk0000027e/sig00000975 ; + wire \blk00000003/blk0000027e/sig00000974 ; + wire \blk00000003/blk0000027e/sig00000973 ; + wire \blk00000003/blk0000027e/sig00000972 ; + wire \blk00000003/blk0000027e/sig00000971 ; + wire \blk00000003/blk0000027e/sig00000970 ; + wire \blk00000003/blk0000027e/sig0000096f ; + wire \blk00000003/blk0000027e/sig0000096e ; + wire \blk00000003/blk0000027e/sig0000096d ; + wire \blk00000003/blk0000027e/sig0000096c ; + wire \blk00000003/blk0000027e/sig0000096b ; + wire \blk00000003/blk0000027e/sig0000096a ; + wire \blk00000003/blk0000027e/sig00000969 ; + wire \blk00000003/blk0000027e/sig00000968 ; + wire \blk00000003/blk0000027e/sig00000967 ; + wire \blk00000003/blk0000027e/sig00000966 ; + wire \blk00000003/blk0000027e/sig00000965 ; + wire \blk00000003/blk0000027e/sig00000964 ; + wire \blk00000003/blk000002b1/sig000009e4 ; + wire \blk00000003/blk000002b1/sig000009e3 ; + wire \blk00000003/blk000002b1/sig000009e2 ; + wire \blk00000003/blk000002b1/sig000009e1 ; + wire \blk00000003/blk000002b1/sig000009e0 ; + wire \blk00000003/blk000002b1/sig000009df ; + wire \blk00000003/blk000002b1/sig000009de ; + wire \blk00000003/blk000002b1/sig000009dd ; + wire \blk00000003/blk000002b1/sig000009dc ; + wire \blk00000003/blk000002b1/sig000009db ; + wire \blk00000003/blk000002b1/sig000009da ; + wire \blk00000003/blk000002b1/sig000009d9 ; + wire \blk00000003/blk000002b1/sig000009d8 ; + wire \blk00000003/blk000002b1/sig000009d7 ; + wire \blk00000003/blk000002b1/sig000009d6 ; + wire \blk00000003/blk000002b1/sig000009d5 ; + wire \blk00000003/blk000002b1/sig000009d4 ; + wire \blk00000003/blk000002b1/sig000009d3 ; + wire \blk00000003/blk000002b1/sig000009d2 ; + wire \blk00000003/blk000002b1/sig000009d1 ; + wire \blk00000003/blk000002b1/sig000009d0 ; + wire \blk00000003/blk000002b1/sig000009cf ; + wire \blk00000003/blk000002b1/sig000009ce ; + wire \blk00000003/blk000002b1/sig000009cd ; + wire \blk00000003/blk000002b1/sig000009cc ; + wire \blk00000003/blk000002b1/sig000009cb ; + wire \blk00000003/blk000002b1/sig000009ca ; + wire \blk00000003/blk000002b1/sig000009c9 ; + wire \blk00000003/blk000002b1/sig000009c8 ; + wire \blk00000003/blk000002b1/sig000009c7 ; + wire \blk00000003/blk000002b1/sig000009c6 ; + wire \blk00000003/blk000002b1/sig000009c5 ; + wire \blk00000003/blk000002b1/sig000009c4 ; + wire \blk00000003/blk000002b1/sig000009c3 ; + wire \blk00000003/blk000002b1/sig000009c2 ; + wire \blk00000003/blk000002b1/sig000009c1 ; + wire \blk00000003/blk000002b1/sig000009c0 ; + wire \blk00000003/blk000002b1/sig000009bf ; + wire \blk00000003/blk000002ea/sig00000a27 ; + wire \blk00000003/blk000002ea/sig00000a26 ; + wire \blk00000003/blk000002ea/sig00000a25 ; + wire \blk00000003/blk000002ea/sig00000a24 ; + wire \blk00000003/blk000002ea/sig00000a23 ; + wire \blk00000003/blk000002ea/sig00000a22 ; + wire \blk00000003/blk000002ea/sig00000a21 ; + wire \blk00000003/blk000002ea/sig00000a20 ; + wire \blk00000003/blk000002ea/sig00000a1f ; + wire \blk00000003/blk000002ea/sig00000a1e ; + wire \blk00000003/blk000002ea/sig00000a1d ; + wire \blk00000003/blk000002ea/sig00000a1c ; + wire \blk00000003/blk000002ea/sig00000a1b ; + wire \blk00000003/blk000002ea/sig00000a1a ; + wire \blk00000003/blk000002ea/sig00000a19 ; + wire \blk00000003/blk000002ea/sig00000a18 ; + wire \blk00000003/blk000002ea/sig00000a17 ; + wire \blk00000003/blk000002ea/sig00000a16 ; + wire \blk00000003/blk000002ea/sig00000a15 ; + wire \blk00000003/blk000002ea/sig00000a14 ; + wire \blk00000003/blk00000371/sig00000a64 ; + wire \blk00000003/blk00000371/sig00000a63 ; + wire \blk00000003/blk00000371/sig00000a62 ; + wire \blk00000003/blk00000371/sig00000a61 ; + wire \blk00000003/blk00000371/sig00000a60 ; + wire \blk00000003/blk00000371/sig00000a5f ; + wire \blk00000003/blk00000371/sig00000a5e ; + wire \blk00000003/blk00000371/sig00000a5d ; + wire \blk00000003/blk00000371/sig00000a5c ; + wire \blk00000003/blk00000371/sig00000a5b ; + wire \blk00000003/blk00000371/sig00000a5a ; + wire \blk00000003/blk00000371/sig00000a59 ; + wire \blk00000003/blk00000371/sig00000a58 ; + wire \blk00000003/blk00000371/sig00000a57 ; + wire \blk00000003/blk00000371/sig00000a56 ; + wire \blk00000003/blk00000371/sig00000a55 ; + wire \blk00000003/blk00000371/sig00000a54 ; + wire \blk00000003/blk00000371/sig00000a53 ; + wire \blk00000003/blk00000371/sig00000a52 ; + wire \blk00000003/blk00000371/sig00000a51 ; + wire NLW_blk00000001_P_UNCONNECTED; + wire NLW_blk00000002_G_UNCONNECTED; + wire \NLW_blk00000003/blk0000065a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000658_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000656_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000654_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000652_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000650_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000648_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000646_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000644_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000642_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000640_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000638_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000636_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000634_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000632_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000630_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000062e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000062c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000062a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000628_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000626_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000624_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000622_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000620_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000061e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000061c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000061a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000618_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000616_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000614_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000612_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000610_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000060e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000060c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000060a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000608_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000606_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000604_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000602_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000600_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005fe_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005fc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005fa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005f8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005f6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005f4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005f2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005f0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ee_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ec_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ea_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005e8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005e6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005e4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005e2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ce_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005cc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ca_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005be_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005bc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ba_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000059e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000059c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000059a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000598_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000596_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000594_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000592_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000590_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000058e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000058c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000058a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000588_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000586_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000584_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000580_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000057e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000057c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000057a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000578_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000576_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000574_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000572_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000570_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000056e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000056c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000056a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000568_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000566_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000564_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000562_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000560_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000055e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000055c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000055a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000558_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000556_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000554_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000552_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000550_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000548_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000546_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000544_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000542_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000540_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000053e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000053c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000053a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000538_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000536_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000534_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000532_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000530_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000052e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000052c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000052a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000528_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000526_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000524_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000522_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000520_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000518_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000516_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000514_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000512_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000510_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000050e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000050c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000050a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000508_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000506_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000504_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000409_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000409_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000039d_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000039d_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e2_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e1_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000dd_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000dd_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d8_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d7_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d6_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d5_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d4_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d3_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cf_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ce_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cd_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cc_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cb_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ca_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c9_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c2_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c2_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000bd_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000bd_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b8_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b8_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b2_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b2_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a0_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009e_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk00000096_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000095_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000094_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000093_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000092_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000090_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000008f_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000019_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000d_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000a_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000009_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000008b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000008a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000089_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000088_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000087_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000086_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000085_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000084_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000083_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000082_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000081_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000080_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000007f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000007e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000007d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000007c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000007b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000007a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000079_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000078_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000077_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000076_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000075_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000074_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000073_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000072_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000071_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000070_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000006f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000006e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000006d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000006c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000006b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000006a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000069_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000068_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000067_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000066_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000065_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000064_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000063_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000062_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000061_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000060_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000005f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000005e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000005d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000005c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk0000014a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000149_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000148_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000147_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000146_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000145_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000144_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000143_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000142_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000141_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000140_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk0000013f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk0000013e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk0000013d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk0000013c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk0000013b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk0000013a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000139_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000138_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000137_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000136_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000135_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000134_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000133_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk0000017d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk0000017c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk0000017b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk0000017a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk00000179_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk00000178_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk00000177_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk00000176_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk00000175_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk00000174_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk00000173_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk00000172_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk00000171_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk00000170_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk0000016f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk0000016e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk0000016d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk0000016c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk0000016b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk0000016a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk00000169_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk00000168_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk00000167_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk00000166_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001b0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001a0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk0000019f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk0000019e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk0000019d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk0000019c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk0000019b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk0000019a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk00000199_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001e3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001e2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001ce_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001cd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001cc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000216_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000215_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000214_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000213_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000212_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000211_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000210_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk0000020f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk0000020e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk0000020d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk0000020c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk0000020b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk0000020a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000209_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000208_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000207_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000206_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000205_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000204_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000203_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000202_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000201_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000200_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk000001ff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000249_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000248_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000247_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000246_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000245_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000244_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000243_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000242_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000241_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000240_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk0000023f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk0000023e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk0000023d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk0000023c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk0000023b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk0000023a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000239_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000238_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000237_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000236_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000235_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000234_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000233_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000232_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk0000027c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk0000027b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk0000027a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000279_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000278_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000277_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000276_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000275_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000274_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000273_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000272_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000271_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000270_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk0000026f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk0000026e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk0000026d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk0000026c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk0000026b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk0000026a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000269_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000268_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000267_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000266_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000265_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002a0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk0000029f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk0000029e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk0000029d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk0000029c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk0000029b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk0000029a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk00000299_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk00000298_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk0000030f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk0000030e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk0000030d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk0000030c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk0000030b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk0000030a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk00000309_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk00000308_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk00000307_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk00000306_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk00000305_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk00000304_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk00000303_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk00000302_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk00000301_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk00000300_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk000002ff_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk000002fe_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk00000396_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk00000395_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk00000394_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk00000393_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk00000392_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk00000391_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk00000390_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk0000038f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk0000038e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk0000038d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk0000038c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk0000038b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk0000038a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk00000389_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk00000388_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk00000387_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk00000386_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk00000385_SPO_UNCONNECTED ; + wire [17 : 0] coef_din_0; + wire [23 : 0] din_1_1; + wire [23 : 0] din_2_2; + wire [47 : 0] NlwRenamedSig_OI_dout_1; + wire [47 : 0] NlwRenamedSig_OI_dout_2; + assign + rfd = NlwRenamedSig_OI_rfd, + dout_1[47] = NlwRenamedSig_OI_dout_1[47], + dout_1[46] = NlwRenamedSig_OI_dout_1[46], + dout_1[45] = NlwRenamedSig_OI_dout_1[45], + dout_1[44] = NlwRenamedSig_OI_dout_1[44], + dout_1[43] = NlwRenamedSig_OI_dout_1[43], + dout_1[42] = NlwRenamedSig_OI_dout_1[42], + dout_1[41] = NlwRenamedSig_OI_dout_1[41], + dout_1[40] = NlwRenamedSig_OI_dout_1[40], + dout_1[39] = NlwRenamedSig_OI_dout_1[39], + dout_1[38] = NlwRenamedSig_OI_dout_1[38], + dout_1[37] = NlwRenamedSig_OI_dout_1[37], + dout_1[36] = NlwRenamedSig_OI_dout_1[36], + dout_1[35] = NlwRenamedSig_OI_dout_1[35], + dout_1[34] = NlwRenamedSig_OI_dout_1[34], + dout_1[33] = NlwRenamedSig_OI_dout_1[33], + dout_1[32] = NlwRenamedSig_OI_dout_1[32], + dout_1[31] = NlwRenamedSig_OI_dout_1[31], + dout_1[30] = NlwRenamedSig_OI_dout_1[30], + dout_1[29] = NlwRenamedSig_OI_dout_1[29], + dout_1[28] = NlwRenamedSig_OI_dout_1[28], + dout_1[27] = NlwRenamedSig_OI_dout_1[27], + dout_1[26] = NlwRenamedSig_OI_dout_1[26], + dout_1[25] = NlwRenamedSig_OI_dout_1[25], + dout_1[24] = NlwRenamedSig_OI_dout_1[24], + dout_1[23] = NlwRenamedSig_OI_dout_1[23], + dout_1[22] = NlwRenamedSig_OI_dout_1[22], + dout_1[21] = NlwRenamedSig_OI_dout_1[21], + dout_1[20] = NlwRenamedSig_OI_dout_1[20], + dout_1[19] = NlwRenamedSig_OI_dout_1[19], + dout_1[18] = NlwRenamedSig_OI_dout_1[18], + dout_1[17] = NlwRenamedSig_OI_dout_1[17], + dout_1[16] = NlwRenamedSig_OI_dout_1[16], + dout_1[15] = NlwRenamedSig_OI_dout_1[15], + dout_1[14] = NlwRenamedSig_OI_dout_1[14], + dout_1[13] = NlwRenamedSig_OI_dout_1[13], + dout_1[12] = NlwRenamedSig_OI_dout_1[12], + dout_1[11] = NlwRenamedSig_OI_dout_1[11], + dout_1[10] = NlwRenamedSig_OI_dout_1[10], + dout_1[9] = NlwRenamedSig_OI_dout_1[9], + dout_1[8] = NlwRenamedSig_OI_dout_1[8], + dout_1[7] = NlwRenamedSig_OI_dout_1[7], + dout_1[6] = NlwRenamedSig_OI_dout_1[6], + dout_1[5] = NlwRenamedSig_OI_dout_1[5], + dout_1[4] = NlwRenamedSig_OI_dout_1[4], + dout_1[3] = NlwRenamedSig_OI_dout_1[3], + dout_1[2] = NlwRenamedSig_OI_dout_1[2], + dout_1[1] = NlwRenamedSig_OI_dout_1[1], + dout_1[0] = NlwRenamedSig_OI_dout_1[0], + dout_2[47] = NlwRenamedSig_OI_dout_2[47], + dout_2[46] = NlwRenamedSig_OI_dout_2[46], + dout_2[45] = NlwRenamedSig_OI_dout_2[45], + dout_2[44] = NlwRenamedSig_OI_dout_2[44], + dout_2[43] = NlwRenamedSig_OI_dout_2[43], + dout_2[42] = NlwRenamedSig_OI_dout_2[42], + dout_2[41] = NlwRenamedSig_OI_dout_2[41], + dout_2[40] = NlwRenamedSig_OI_dout_2[40], + dout_2[39] = NlwRenamedSig_OI_dout_2[39], + dout_2[38] = NlwRenamedSig_OI_dout_2[38], + dout_2[37] = NlwRenamedSig_OI_dout_2[37], + dout_2[36] = NlwRenamedSig_OI_dout_2[36], + dout_2[35] = NlwRenamedSig_OI_dout_2[35], + dout_2[34] = NlwRenamedSig_OI_dout_2[34], + dout_2[33] = NlwRenamedSig_OI_dout_2[33], + dout_2[32] = NlwRenamedSig_OI_dout_2[32], + dout_2[31] = NlwRenamedSig_OI_dout_2[31], + dout_2[30] = NlwRenamedSig_OI_dout_2[30], + dout_2[29] = NlwRenamedSig_OI_dout_2[29], + dout_2[28] = NlwRenamedSig_OI_dout_2[28], + dout_2[27] = NlwRenamedSig_OI_dout_2[27], + dout_2[26] = NlwRenamedSig_OI_dout_2[26], + dout_2[25] = NlwRenamedSig_OI_dout_2[25], + dout_2[24] = NlwRenamedSig_OI_dout_2[24], + dout_2[23] = NlwRenamedSig_OI_dout_2[23], + dout_2[22] = NlwRenamedSig_OI_dout_2[22], + dout_2[21] = NlwRenamedSig_OI_dout_2[21], + dout_2[20] = NlwRenamedSig_OI_dout_2[20], + dout_2[19] = NlwRenamedSig_OI_dout_2[19], + dout_2[18] = NlwRenamedSig_OI_dout_2[18], + dout_2[17] = NlwRenamedSig_OI_dout_2[17], + dout_2[16] = NlwRenamedSig_OI_dout_2[16], + dout_2[15] = NlwRenamedSig_OI_dout_2[15], + dout_2[14] = NlwRenamedSig_OI_dout_2[14], + dout_2[13] = NlwRenamedSig_OI_dout_2[13], + dout_2[12] = NlwRenamedSig_OI_dout_2[12], + dout_2[11] = NlwRenamedSig_OI_dout_2[11], + dout_2[10] = NlwRenamedSig_OI_dout_2[10], + dout_2[9] = NlwRenamedSig_OI_dout_2[9], + dout_2[8] = NlwRenamedSig_OI_dout_2[8], + dout_2[7] = NlwRenamedSig_OI_dout_2[7], + dout_2[6] = NlwRenamedSig_OI_dout_2[6], + dout_2[5] = NlwRenamedSig_OI_dout_2[5], + dout_2[4] = NlwRenamedSig_OI_dout_2[4], + dout_2[3] = NlwRenamedSig_OI_dout_2[3], + dout_2[2] = NlwRenamedSig_OI_dout_2[2], + dout_2[1] = NlwRenamedSig_OI_dout_2[1], + dout_2[0] = NlwRenamedSig_OI_dout_2[0], + din_1_1[23] = din_1[23], + din_1_1[22] = din_1[22], + din_1_1[21] = din_1[21], + din_1_1[20] = din_1[20], + din_1_1[19] = din_1[19], + din_1_1[18] = din_1[18], + din_1_1[17] = din_1[17], + din_1_1[16] = din_1[16], + din_1_1[15] = din_1[15], + din_1_1[14] = din_1[14], + din_1_1[13] = din_1[13], + din_1_1[12] = din_1[12], + din_1_1[11] = din_1[11], + din_1_1[10] = din_1[10], + din_1_1[9] = din_1[9], + din_1_1[8] = din_1[8], + din_1_1[7] = din_1[7], + din_1_1[6] = din_1[6], + din_1_1[5] = din_1[5], + din_1_1[4] = din_1[4], + din_1_1[3] = din_1[3], + din_1_1[2] = din_1[2], + din_1_1[1] = din_1[1], + din_1_1[0] = din_1[0], + din_2_2[23] = din_2[23], + din_2_2[22] = din_2[22], + din_2_2[21] = din_2[21], + din_2_2[20] = din_2[20], + din_2_2[19] = din_2[19], + din_2_2[18] = din_2[18], + din_2_2[17] = din_2[17], + din_2_2[16] = din_2[16], + din_2_2[15] = din_2[15], + din_2_2[14] = din_2[14], + din_2_2[13] = din_2[13], + din_2_2[12] = din_2[12], + din_2_2[11] = din_2[11], + din_2_2[10] = din_2[10], + din_2_2[9] = din_2[9], + din_2_2[8] = din_2[8], + din_2_2[7] = din_2[7], + din_2_2[6] = din_2[6], + din_2_2[5] = din_2[5], + din_2_2[4] = din_2[4], + din_2_2[3] = din_2[3], + din_2_2[2] = din_2[2], + din_2_2[1] = din_2[1], + din_2_2[0] = din_2[0], + coef_din_0[17] = coef_din[17], + coef_din_0[16] = coef_din[16], + coef_din_0[15] = coef_din[15], + coef_din_0[14] = coef_din[14], + coef_din_0[13] = coef_din[13], + coef_din_0[12] = coef_din[12], + coef_din_0[11] = coef_din[11], + coef_din_0[10] = coef_din[10], + coef_din_0[9] = coef_din[9], + coef_din_0[8] = coef_din[8], + coef_din_0[7] = coef_din[7], + coef_din_0[6] = coef_din[6], + coef_din_0[5] = coef_din[5], + coef_din_0[4] = coef_din[4], + coef_din_0[3] = coef_din[3], + coef_din_0[2] = coef_din[2], + coef_din_0[1] = coef_din[1], + coef_din_0[0] = coef_din[0]; + VCC blk00000001 ( + .P(NLW_blk00000001_P_UNCONNECTED) + ); + GND blk00000002 ( + .G(NLW_blk00000002_G_UNCONNECTED) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000664 ), + .Q(\blk00000003/sig00000579 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000065a ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000434 ), + .Q(\blk00000003/sig00000664 ), + .Q15(\NLW_blk00000003/blk0000065a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000659 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000663 ), + .Q(\blk00000003/sig00000502 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000658 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000042e ), + .Q(\blk00000003/sig00000663 ), + .Q15(\NLW_blk00000003/blk00000658_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000657 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000662 ), + .Q(\blk00000003/sig000001c3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000656 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000630 ), + .Q(\blk00000003/sig00000662 ), + .Q15(\NLW_blk00000003/blk00000656_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000655 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000661 ), + .Q(\blk00000003/sig000001c2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000654 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000062e ), + .Q(\blk00000003/sig00000661 ), + .Q15(\NLW_blk00000003/blk00000654_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000653 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000660 ), + .Q(\blk00000003/sig000001c1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000652 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000062c ), + .Q(\blk00000003/sig00000660 ), + .Q15(\NLW_blk00000003/blk00000652_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000651 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000065f ), + .Q(\blk00000003/sig000001c0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000650 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000062a ), + .Q(\blk00000003/sig0000065f ), + .Q15(\NLW_blk00000003/blk00000650_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000065e ), + .Q(\blk00000003/sig000001be ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064e ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000628 ), + .Q(\blk00000003/sig0000065e ), + .Q15(\NLW_blk00000003/blk0000064e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000065d ), + .Q(\blk00000003/sig000001bd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064c ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000626 ), + .Q(\blk00000003/sig0000065d ), + .Q15(\NLW_blk00000003/blk0000064c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000065c ), + .Q(\blk00000003/sig000001bf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064a ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000624 ), + .Q(\blk00000003/sig0000065c ), + .Q15(\NLW_blk00000003/blk0000064a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000649 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000065b ), + .Q(\blk00000003/sig000001bc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000648 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000061e ), + .Q(\blk00000003/sig0000065b ), + .Q15(\NLW_blk00000003/blk00000648_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000647 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000065a ), + .Q(\blk00000003/sig000001bb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000646 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000622 ), + .Q(\blk00000003/sig0000065a ), + .Q15(\NLW_blk00000003/blk00000646_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000645 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000659 ), + .Q(\blk00000003/sig000001b9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000644 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000618 ), + .Q(\blk00000003/sig00000659 ), + .Q15(\NLW_blk00000003/blk00000644_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000643 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000658 ), + .Q(\blk00000003/sig000001b8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000642 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000061c ), + .Q(\blk00000003/sig00000658 ), + .Q15(\NLW_blk00000003/blk00000642_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000641 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000657 ), + .Q(\blk00000003/sig000001ba ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000640 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000620 ), + .Q(\blk00000003/sig00000657 ), + .Q15(\NLW_blk00000003/blk00000640_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000656 ), + .Q(\blk00000003/sig000001b6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063e ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000616 ), + .Q(\blk00000003/sig00000656 ), + .Q15(\NLW_blk00000003/blk0000063e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000655 ), + .Q(\blk00000003/sig000001b5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063c ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000614 ), + .Q(\blk00000003/sig00000655 ), + .Q15(\NLW_blk00000003/blk0000063c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000654 ), + .Q(\blk00000003/sig000001b7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063a ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000061a ), + .Q(\blk00000003/sig00000654 ), + .Q15(\NLW_blk00000003/blk0000063a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000639 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000653 ), + .Q(\blk00000003/sig000001b3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000638 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000612 ), + .Q(\blk00000003/sig00000653 ), + .Q15(\NLW_blk00000003/blk00000638_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000637 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000652 ), + .Q(\blk00000003/sig000001b2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000636 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000610 ), + .Q(\blk00000003/sig00000652 ), + .Q15(\NLW_blk00000003/blk00000636_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000635 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000651 ), + .Q(\blk00000003/sig000001b4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000634 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000060e ), + .Q(\blk00000003/sig00000651 ), + .Q15(\NLW_blk00000003/blk00000634_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000633 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000650 ), + .Q(\blk00000003/sig000001b1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000632 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000608 ), + .Q(\blk00000003/sig00000650 ), + .Q15(\NLW_blk00000003/blk00000632_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000631 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000064f ), + .Q(\blk00000003/sig000001b0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000630 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000060c ), + .Q(\blk00000003/sig0000064f ), + .Q15(\NLW_blk00000003/blk00000630_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000062f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000064e ), + .Q(\blk00000003/sig000001ae ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000062e ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000602 ), + .Q(\blk00000003/sig0000064e ), + .Q15(\NLW_blk00000003/blk0000062e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000062d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000064d ), + .Q(\blk00000003/sig000001ad ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000062c ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000606 ), + .Q(\blk00000003/sig0000064d ), + .Q15(\NLW_blk00000003/blk0000062c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000062b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000064c ), + .Q(\blk00000003/sig000001af ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000062a ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000060a ), + .Q(\blk00000003/sig0000064c ), + .Q15(\NLW_blk00000003/blk0000062a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000629 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000064b ), + .Q(\blk00000003/sig0000014b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000628 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000600 ), + .Q(\blk00000003/sig0000064b ), + .Q15(\NLW_blk00000003/blk00000628_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000627 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000064a ), + .Q(\blk00000003/sig0000014a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000626 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005fe ), + .Q(\blk00000003/sig0000064a ), + .Q15(\NLW_blk00000003/blk00000626_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000625 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000649 ), + .Q(\blk00000003/sig000001ac ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000624 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000604 ), + .Q(\blk00000003/sig00000649 ), + .Q15(\NLW_blk00000003/blk00000624_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000623 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000648 ), + .Q(\blk00000003/sig00000148 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000622 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005fc ), + .Q(\blk00000003/sig00000648 ), + .Q15(\NLW_blk00000003/blk00000622_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000647 ), + .Q(\blk00000003/sig00000147 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000620 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005fa ), + .Q(\blk00000003/sig00000647 ), + .Q15(\NLW_blk00000003/blk00000620_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000061f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000646 ), + .Q(\blk00000003/sig00000149 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000061e ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005f8 ), + .Q(\blk00000003/sig00000646 ), + .Q15(\NLW_blk00000003/blk0000061e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000061d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000645 ), + .Q(\blk00000003/sig00000146 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000061c ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005f2 ), + .Q(\blk00000003/sig00000645 ), + .Q15(\NLW_blk00000003/blk0000061c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000061b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000644 ), + .Q(\blk00000003/sig00000145 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000061a ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005f6 ), + .Q(\blk00000003/sig00000644 ), + .Q15(\NLW_blk00000003/blk0000061a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000619 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000643 ), + .Q(\blk00000003/sig00000143 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000618 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005ec ), + .Q(\blk00000003/sig00000643 ), + .Q15(\NLW_blk00000003/blk00000618_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000617 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000642 ), + .Q(\blk00000003/sig00000142 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000616 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005f0 ), + .Q(\blk00000003/sig00000642 ), + .Q15(\NLW_blk00000003/blk00000616_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000615 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000641 ), + .Q(\blk00000003/sig00000144 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000614 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005f4 ), + .Q(\blk00000003/sig00000641 ), + .Q15(\NLW_blk00000003/blk00000614_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000613 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000640 ), + .Q(\blk00000003/sig00000140 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000612 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005ea ), + .Q(\blk00000003/sig00000640 ), + .Q15(\NLW_blk00000003/blk00000612_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000611 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000063f ), + .Q(\blk00000003/sig0000013f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000610 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005e8 ), + .Q(\blk00000003/sig0000063f ), + .Q15(\NLW_blk00000003/blk00000610_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000060f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000063e ), + .Q(\blk00000003/sig00000141 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000060e ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005ee ), + .Q(\blk00000003/sig0000063e ), + .Q15(\NLW_blk00000003/blk0000060e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000060d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000063d ), + .Q(\blk00000003/sig0000013d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000060c ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005e6 ), + .Q(\blk00000003/sig0000063d ), + .Q15(\NLW_blk00000003/blk0000060c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000060b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000063c ), + .Q(\blk00000003/sig0000013c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000060a ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005e4 ), + .Q(\blk00000003/sig0000063c ), + .Q15(\NLW_blk00000003/blk0000060a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000609 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000063b ), + .Q(\blk00000003/sig0000013e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000608 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005e2 ), + .Q(\blk00000003/sig0000063b ), + .Q15(\NLW_blk00000003/blk00000608_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000607 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000063a ), + .Q(\blk00000003/sig0000013b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000606 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005e0 ), + .Q(\blk00000003/sig0000063a ), + .Q15(\NLW_blk00000003/blk00000606_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000605 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000639 ), + .Q(\blk00000003/sig0000013a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000604 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005de ), + .Q(\blk00000003/sig00000639 ), + .Q15(\NLW_blk00000003/blk00000604_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000603 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000638 ), + .Q(\blk00000003/sig00000138 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000602 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005dc ), + .Q(\blk00000003/sig00000638 ), + .Q15(\NLW_blk00000003/blk00000602_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000601 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000637 ), + .Q(\blk00000003/sig00000137 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000600 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005da ), + .Q(\blk00000003/sig00000637 ), + .Q15(\NLW_blk00000003/blk00000600_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000636 ), + .Q(\blk00000003/sig00000139 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005fe ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005d8 ), + .Q(\blk00000003/sig00000636 ), + .Q15(\NLW_blk00000003/blk000005fe_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000635 ), + .Q(\blk00000003/sig00000136 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005fc ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005d6 ), + .Q(\blk00000003/sig00000635 ), + .Q15(\NLW_blk00000003/blk000005fc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000634 ), + .Q(\blk00000003/sig00000135 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005fa ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005d4 ), + .Q(\blk00000003/sig00000634 ), + .Q15(\NLW_blk00000003/blk000005fa_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000633 ), + .Q(\blk00000003/sig00000134 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005f8 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005d0 ), + .Q(\blk00000003/sig00000633 ), + .Q15(\NLW_blk00000003/blk000005f8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000632 ), + .Q(\blk00000003/sig00000434 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005f6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001e1 ), + .Q(\blk00000003/sig00000632 ), + .Q15(\NLW_blk00000003/blk000005f6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000631 ), + .Q(\blk00000003/sig0000057a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005f4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ae ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001c4 ), + .Q(\blk00000003/sig00000631 ), + .Q15(\NLW_blk00000003/blk000005f4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005f3 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig0000062f ), + .Q(\blk00000003/sig00000630 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005f2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000219 ), + .Q(\blk00000003/sig0000062f ), + .Q15(\NLW_blk00000003/blk000005f2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005f1 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig0000062d ), + .Q(\blk00000003/sig0000062e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005f0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000218 ), + .Q(\blk00000003/sig0000062d ), + .Q15(\NLW_blk00000003/blk000005f0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ef ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig0000062b ), + .Q(\blk00000003/sig0000062c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ee ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000217 ), + .Q(\blk00000003/sig0000062b ), + .Q15(\NLW_blk00000003/blk000005ee_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ed ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000629 ), + .Q(\blk00000003/sig0000062a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ec ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000216 ), + .Q(\blk00000003/sig00000629 ), + .Q15(\NLW_blk00000003/blk000005ec_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005eb ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000627 ), + .Q(\blk00000003/sig00000628 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ea ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000214 ), + .Q(\blk00000003/sig00000627 ), + .Q15(\NLW_blk00000003/blk000005ea_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e9 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000625 ), + .Q(\blk00000003/sig00000626 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005e8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000213 ), + .Q(\blk00000003/sig00000625 ), + .Q15(\NLW_blk00000003/blk000005e8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e7 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000623 ), + .Q(\blk00000003/sig00000624 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005e6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000215 ), + .Q(\blk00000003/sig00000623 ), + .Q15(\NLW_blk00000003/blk000005e6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e5 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000621 ), + .Q(\blk00000003/sig00000622 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005e4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000211 ), + .Q(\blk00000003/sig00000621 ), + .Q15(\NLW_blk00000003/blk000005e4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e3 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig0000061f ), + .Q(\blk00000003/sig00000620 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005e2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000210 ), + .Q(\blk00000003/sig0000061f ), + .Q15(\NLW_blk00000003/blk000005e2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e1 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig0000061d ), + .Q(\blk00000003/sig0000061e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005e0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000212 ), + .Q(\blk00000003/sig0000061d ), + .Q15(\NLW_blk00000003/blk000005e0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005df ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig0000061b ), + .Q(\blk00000003/sig0000061c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005de ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig0000020e ), + .Q(\blk00000003/sig0000061b ), + .Q15(\NLW_blk00000003/blk000005de_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005dd ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000619 ), + .Q(\blk00000003/sig0000061a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005dc ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig0000020d ), + .Q(\blk00000003/sig00000619 ), + .Q15(\NLW_blk00000003/blk000005dc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005db ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000617 ), + .Q(\blk00000003/sig00000618 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005da ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig0000020f ), + .Q(\blk00000003/sig00000617 ), + .Q15(\NLW_blk00000003/blk000005da_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005d9 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000615 ), + .Q(\blk00000003/sig00000616 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005d8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig0000020c ), + .Q(\blk00000003/sig00000615 ), + .Q15(\NLW_blk00000003/blk000005d8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005d7 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000613 ), + .Q(\blk00000003/sig00000614 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005d6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig0000020b ), + .Q(\blk00000003/sig00000613 ), + .Q15(\NLW_blk00000003/blk000005d6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005d5 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000611 ), + .Q(\blk00000003/sig00000612 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005d4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000209 ), + .Q(\blk00000003/sig00000611 ), + .Q15(\NLW_blk00000003/blk000005d4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005d3 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig0000060f ), + .Q(\blk00000003/sig00000610 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005d2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000208 ), + .Q(\blk00000003/sig0000060f ), + .Q15(\NLW_blk00000003/blk000005d2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005d1 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig0000060d ), + .Q(\blk00000003/sig0000060e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005d0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig0000020a ), + .Q(\blk00000003/sig0000060d ), + .Q15(\NLW_blk00000003/blk000005d0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005cf ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig0000060b ), + .Q(\blk00000003/sig0000060c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ce ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000206 ), + .Q(\blk00000003/sig0000060b ), + .Q15(\NLW_blk00000003/blk000005ce_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005cd ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000609 ), + .Q(\blk00000003/sig0000060a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005cc ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000205 ), + .Q(\blk00000003/sig00000609 ), + .Q15(\NLW_blk00000003/blk000005cc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005cb ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000607 ), + .Q(\blk00000003/sig00000608 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ca ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000207 ), + .Q(\blk00000003/sig00000607 ), + .Q15(\NLW_blk00000003/blk000005ca_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c9 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000605 ), + .Q(\blk00000003/sig00000606 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000203 ), + .Q(\blk00000003/sig00000605 ), + .Q15(\NLW_blk00000003/blk000005c8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c7 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000603 ), + .Q(\blk00000003/sig00000604 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000202 ), + .Q(\blk00000003/sig00000603 ), + .Q15(\NLW_blk00000003/blk000005c6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c5 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000601 ), + .Q(\blk00000003/sig00000602 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000204 ), + .Q(\blk00000003/sig00000601 ), + .Q15(\NLW_blk00000003/blk000005c4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c3 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005ff ), + .Q(\blk00000003/sig00000600 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000201 ), + .Q(\blk00000003/sig000005ff ), + .Q15(\NLW_blk00000003/blk000005c2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c1 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005fd ), + .Q(\blk00000003/sig000005fe ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000200 ), + .Q(\blk00000003/sig000005fd ), + .Q15(\NLW_blk00000003/blk000005c0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005bf ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005fb ), + .Q(\blk00000003/sig000005fc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005be ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001fe ), + .Q(\blk00000003/sig000005fb ), + .Q15(\NLW_blk00000003/blk000005be_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005bd ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005f9 ), + .Q(\blk00000003/sig000005fa ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005bc ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001fd ), + .Q(\blk00000003/sig000005f9 ), + .Q15(\NLW_blk00000003/blk000005bc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005bb ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005f7 ), + .Q(\blk00000003/sig000005f8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ba ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001ff ), + .Q(\blk00000003/sig000005f7 ), + .Q15(\NLW_blk00000003/blk000005ba_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b9 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005f5 ), + .Q(\blk00000003/sig000005f6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001fb ), + .Q(\blk00000003/sig000005f5 ), + .Q15(\NLW_blk00000003/blk000005b8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b7 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005f3 ), + .Q(\blk00000003/sig000005f4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001fa ), + .Q(\blk00000003/sig000005f3 ), + .Q15(\NLW_blk00000003/blk000005b6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005f1 ), + .Q(\blk00000003/sig000005f2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001fc ), + .Q(\blk00000003/sig000005f1 ), + .Q15(\NLW_blk00000003/blk000005b4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b3 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005ef ), + .Q(\blk00000003/sig000005f0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001f8 ), + .Q(\blk00000003/sig000005ef ), + .Q15(\NLW_blk00000003/blk000005b2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b1 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005ed ), + .Q(\blk00000003/sig000005ee ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001f7 ), + .Q(\blk00000003/sig000005ed ), + .Q15(\NLW_blk00000003/blk000005b0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005af ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005eb ), + .Q(\blk00000003/sig000005ec ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ae ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001f9 ), + .Q(\blk00000003/sig000005eb ), + .Q15(\NLW_blk00000003/blk000005ae_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ad ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005e9 ), + .Q(\blk00000003/sig000005ea ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ac ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001f6 ), + .Q(\blk00000003/sig000005e9 ), + .Q15(\NLW_blk00000003/blk000005ac_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ab ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005e7 ), + .Q(\blk00000003/sig000005e8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005aa ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001f5 ), + .Q(\blk00000003/sig000005e7 ), + .Q15(\NLW_blk00000003/blk000005aa_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a9 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005e5 ), + .Q(\blk00000003/sig000005e6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001f3 ), + .Q(\blk00000003/sig000005e5 ), + .Q15(\NLW_blk00000003/blk000005a8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a7 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005e3 ), + .Q(\blk00000003/sig000005e4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001f2 ), + .Q(\blk00000003/sig000005e3 ), + .Q15(\NLW_blk00000003/blk000005a6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a5 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005e1 ), + .Q(\blk00000003/sig000005e2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001f4 ), + .Q(\blk00000003/sig000005e1 ), + .Q15(\NLW_blk00000003/blk000005a4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a3 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005df ), + .Q(\blk00000003/sig000005e0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001f1 ), + .Q(\blk00000003/sig000005df ), + .Q15(\NLW_blk00000003/blk000005a2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a1 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005dd ), + .Q(\blk00000003/sig000005de ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001f0 ), + .Q(\blk00000003/sig000005dd ), + .Q15(\NLW_blk00000003/blk000005a0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000059f ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005db ), + .Q(\blk00000003/sig000005dc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000059e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001ee ), + .Q(\blk00000003/sig000005db ), + .Q15(\NLW_blk00000003/blk0000059e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000059d ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005d9 ), + .Q(\blk00000003/sig000005da ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000059c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001ed ), + .Q(\blk00000003/sig000005d9 ), + .Q15(\NLW_blk00000003/blk0000059c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000059b ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005d7 ), + .Q(\blk00000003/sig000005d8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000059a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001ef ), + .Q(\blk00000003/sig000005d7 ), + .Q15(\NLW_blk00000003/blk0000059a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000599 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005d5 ), + .Q(\blk00000003/sig000005d6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000598 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001ec ), + .Q(\blk00000003/sig000005d5 ), + .Q15(\NLW_blk00000003/blk00000598_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000597 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005d3 ), + .Q(\blk00000003/sig000005d4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000596 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001eb ), + .Q(\blk00000003/sig000005d3 ), + .Q15(\NLW_blk00000003/blk00000596_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000595 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005d2 ), + .Q(\blk00000003/sig00000581 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000594 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000435 ), + .Q(\blk00000003/sig000005d2 ), + .Q15(\NLW_blk00000003/blk00000594_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000593 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005d1 ), + .Q(\blk00000003/sig00000480 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000592 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000219 ), + .Q(\blk00000003/sig000005d1 ), + .Q15(\NLW_blk00000003/blk00000592_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000591 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005cf ), + .Q(\blk00000003/sig000005d0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000590 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001ea ), + .Q(\blk00000003/sig000005cf ), + .Q15(\NLW_blk00000003/blk00000590_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000058f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005ce ), + .Q(\blk00000003/sig0000047e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000058e ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000217 ), + .Q(\blk00000003/sig000005ce ), + .Q15(\NLW_blk00000003/blk0000058e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000058d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005cd ), + .Q(\blk00000003/sig0000047d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000058c ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000216 ), + .Q(\blk00000003/sig000005cd ), + .Q15(\NLW_blk00000003/blk0000058c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000058b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005cc ), + .Q(\blk00000003/sig0000047f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000058a ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000218 ), + .Q(\blk00000003/sig000005cc ), + .Q15(\NLW_blk00000003/blk0000058a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000589 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005cb ), + .Q(\blk00000003/sig0000047b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000588 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000214 ), + .Q(\blk00000003/sig000005cb ), + .Q15(\NLW_blk00000003/blk00000588_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000587 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005ca ), + .Q(\blk00000003/sig0000047a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000586 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000213 ), + .Q(\blk00000003/sig000005ca ), + .Q15(\NLW_blk00000003/blk00000586_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000585 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005c9 ), + .Q(\blk00000003/sig0000047c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000584 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000215 ), + .Q(\blk00000003/sig000005c9 ), + .Q15(\NLW_blk00000003/blk00000584_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000583 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005c8 ), + .Q(\blk00000003/sig00000479 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000212 ), + .Q(\blk00000003/sig000005c8 ), + .Q15(\NLW_blk00000003/blk00000582_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000581 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005c7 ), + .Q(\blk00000003/sig00000478 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000580 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000211 ), + .Q(\blk00000003/sig000005c7 ), + .Q15(\NLW_blk00000003/blk00000580_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000057f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005c6 ), + .Q(\blk00000003/sig00000476 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000057e ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020f ), + .Q(\blk00000003/sig000005c6 ), + .Q15(\NLW_blk00000003/blk0000057e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000057d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005c5 ), + .Q(\blk00000003/sig00000475 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000057c ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020e ), + .Q(\blk00000003/sig000005c5 ), + .Q15(\NLW_blk00000003/blk0000057c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000057b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005c4 ), + .Q(\blk00000003/sig00000477 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000057a ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000210 ), + .Q(\blk00000003/sig000005c4 ), + .Q15(\NLW_blk00000003/blk0000057a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000579 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005c3 ), + .Q(\blk00000003/sig00000473 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000578 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020c ), + .Q(\blk00000003/sig000005c3 ), + .Q15(\NLW_blk00000003/blk00000578_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000577 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005c2 ), + .Q(\blk00000003/sig00000472 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000576 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020b ), + .Q(\blk00000003/sig000005c2 ), + .Q15(\NLW_blk00000003/blk00000576_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000575 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005c1 ), + .Q(\blk00000003/sig00000474 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000574 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020d ), + .Q(\blk00000003/sig000005c1 ), + .Q15(\NLW_blk00000003/blk00000574_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000573 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005c0 ), + .Q(\blk00000003/sig00000470 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000572 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000209 ), + .Q(\blk00000003/sig000005c0 ), + .Q15(\NLW_blk00000003/blk00000572_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000571 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005bf ), + .Q(\blk00000003/sig0000046f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000570 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000208 ), + .Q(\blk00000003/sig000005bf ), + .Q15(\NLW_blk00000003/blk00000570_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000056f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005be ), + .Q(\blk00000003/sig00000471 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000056e ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020a ), + .Q(\blk00000003/sig000005be ), + .Q15(\NLW_blk00000003/blk0000056e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000056d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005bd ), + .Q(\blk00000003/sig0000046e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000056c ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000207 ), + .Q(\blk00000003/sig000005bd ), + .Q15(\NLW_blk00000003/blk0000056c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000056b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005bc ), + .Q(\blk00000003/sig0000046d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000056a ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000206 ), + .Q(\blk00000003/sig000005bc ), + .Q15(\NLW_blk00000003/blk0000056a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005bb ), + .Q(\blk00000003/sig0000046b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000568 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000204 ), + .Q(\blk00000003/sig000005bb ), + .Q15(\NLW_blk00000003/blk00000568_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000567 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005ba ), + .Q(\blk00000003/sig0000046a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000566 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000203 ), + .Q(\blk00000003/sig000005ba ), + .Q15(\NLW_blk00000003/blk00000566_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000565 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005b9 ), + .Q(\blk00000003/sig0000046c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000564 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000205 ), + .Q(\blk00000003/sig000005b9 ), + .Q15(\NLW_blk00000003/blk00000564_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000563 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005b8 ), + .Q(\blk00000003/sig000004b0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000562 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000201 ), + .Q(\blk00000003/sig000005b8 ), + .Q15(\NLW_blk00000003/blk00000562_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000561 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005b7 ), + .Q(\blk00000003/sig000004af ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000560 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000200 ), + .Q(\blk00000003/sig000005b7 ), + .Q15(\NLW_blk00000003/blk00000560_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000055f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005b6 ), + .Q(\blk00000003/sig00000469 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000055e ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000202 ), + .Q(\blk00000003/sig000005b6 ), + .Q15(\NLW_blk00000003/blk0000055e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000055d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005b5 ), + .Q(\blk00000003/sig000004ad ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000055c ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fe ), + .Q(\blk00000003/sig000005b5 ), + .Q15(\NLW_blk00000003/blk0000055c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000055b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005b4 ), + .Q(\blk00000003/sig000004ac ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000055a ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fd ), + .Q(\blk00000003/sig000005b4 ), + .Q15(\NLW_blk00000003/blk0000055a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000559 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005b3 ), + .Q(\blk00000003/sig000004ae ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000558 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ff ), + .Q(\blk00000003/sig000005b3 ), + .Q15(\NLW_blk00000003/blk00000558_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000557 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005b2 ), + .Q(\blk00000003/sig000004ab ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000556 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fc ), + .Q(\blk00000003/sig000005b2 ), + .Q15(\NLW_blk00000003/blk00000556_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000555 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005b1 ), + .Q(\blk00000003/sig000004aa ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000554 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fb ), + .Q(\blk00000003/sig000005b1 ), + .Q15(\NLW_blk00000003/blk00000554_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000553 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005b0 ), + .Q(\blk00000003/sig000004a8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000552 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f9 ), + .Q(\blk00000003/sig000005b0 ), + .Q15(\NLW_blk00000003/blk00000552_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000551 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005af ), + .Q(\blk00000003/sig000004a7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000550 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f8 ), + .Q(\blk00000003/sig000005af ), + .Q15(\NLW_blk00000003/blk00000550_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005ae ), + .Q(\blk00000003/sig000004a9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054e ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fa ), + .Q(\blk00000003/sig000005ae ), + .Q15(\NLW_blk00000003/blk0000054e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005ad ), + .Q(\blk00000003/sig000004a6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054c ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f7 ), + .Q(\blk00000003/sig000005ad ), + .Q15(\NLW_blk00000003/blk0000054c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005ac ), + .Q(\blk00000003/sig000004a5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054a ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f6 ), + .Q(\blk00000003/sig000005ac ), + .Q15(\NLW_blk00000003/blk0000054a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000549 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005ab ), + .Q(\blk00000003/sig000004a3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000548 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f4 ), + .Q(\blk00000003/sig000005ab ), + .Q15(\NLW_blk00000003/blk00000548_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000547 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005aa ), + .Q(\blk00000003/sig000004a2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000546 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f3 ), + .Q(\blk00000003/sig000005aa ), + .Q15(\NLW_blk00000003/blk00000546_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000545 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005a9 ), + .Q(\blk00000003/sig000004a4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000544 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f5 ), + .Q(\blk00000003/sig000005a9 ), + .Q15(\NLW_blk00000003/blk00000544_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000543 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005a8 ), + .Q(\blk00000003/sig000004a1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000542 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f2 ), + .Q(\blk00000003/sig000005a8 ), + .Q15(\NLW_blk00000003/blk00000542_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000541 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005a7 ), + .Q(\blk00000003/sig000004a0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000540 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f1 ), + .Q(\blk00000003/sig000005a7 ), + .Q15(\NLW_blk00000003/blk00000540_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000053f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005a6 ), + .Q(\blk00000003/sig0000049e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000053e ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ef ), + .Q(\blk00000003/sig000005a6 ), + .Q15(\NLW_blk00000003/blk0000053e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000053d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005a5 ), + .Q(\blk00000003/sig0000049d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000053c ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ee ), + .Q(\blk00000003/sig000005a5 ), + .Q15(\NLW_blk00000003/blk0000053c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000053b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005a4 ), + .Q(\blk00000003/sig0000049f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000053a ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f0 ), + .Q(\blk00000003/sig000005a4 ), + .Q15(\NLW_blk00000003/blk0000053a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000539 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005a3 ), + .Q(\blk00000003/sig0000049b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000538 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ec ), + .Q(\blk00000003/sig000005a3 ), + .Q15(\NLW_blk00000003/blk00000538_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000537 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005a2 ), + .Q(\blk00000003/sig0000049a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000536 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001eb ), + .Q(\blk00000003/sig000005a2 ), + .Q15(\NLW_blk00000003/blk00000536_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000535 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005a1 ), + .Q(\blk00000003/sig0000049c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000534 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ed ), + .Q(\blk00000003/sig000005a1 ), + .Q15(\NLW_blk00000003/blk00000534_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000533 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005a0 ), + .Q(\blk00000003/sig000002de ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000532 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001d6 ), + .Q(\blk00000003/sig000005a0 ), + .Q15(\NLW_blk00000003/blk00000532_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000531 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000059f ), + .Q(\blk00000003/sig000002df ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000530 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002b9 ), + .Q(\blk00000003/sig0000059f ), + .Q15(\NLW_blk00000003/blk00000530_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000059e ), + .Q(\blk00000003/sig00000499 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000052e ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ea ), + .Q(\blk00000003/sig0000059e ), + .Q15(\NLW_blk00000003/blk0000052e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000059d ), + .Q(\blk00000003/sig00000580 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000052c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001d2 ), + .Q(\blk00000003/sig0000059d ), + .Q15(\NLW_blk00000003/blk0000052c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000059c ), + .Q(\blk00000003/sig000004da ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000052a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[0]), + .Q(\blk00000003/sig0000059c ), + .Q15(\NLW_blk00000003/blk0000052a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000529 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000059b ), + .Q(\blk00000003/sig000004d8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000528 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[2]), + .Q(\blk00000003/sig0000059b ), + .Q15(\NLW_blk00000003/blk00000528_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000527 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000059a ), + .Q(\blk00000003/sig000004d7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000526 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[3]), + .Q(\blk00000003/sig0000059a ), + .Q15(\NLW_blk00000003/blk00000526_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000525 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000599 ), + .Q(\blk00000003/sig000004d9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000524 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[1]), + .Q(\blk00000003/sig00000599 ), + .Q15(\NLW_blk00000003/blk00000524_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000523 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000598 ), + .Q(\blk00000003/sig000004d5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000522 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[5]), + .Q(\blk00000003/sig00000598 ), + .Q15(\NLW_blk00000003/blk00000522_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000521 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000597 ), + .Q(\blk00000003/sig000004d4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000520 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[6]), + .Q(\blk00000003/sig00000597 ), + .Q15(\NLW_blk00000003/blk00000520_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000596 ), + .Q(\blk00000003/sig000004d6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[4]), + .Q(\blk00000003/sig00000596 ), + .Q15(\NLW_blk00000003/blk0000051e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000595 ), + .Q(\blk00000003/sig000004d2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[8]), + .Q(\blk00000003/sig00000595 ), + .Q15(\NLW_blk00000003/blk0000051c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000594 ), + .Q(\blk00000003/sig000004d1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[9]), + .Q(\blk00000003/sig00000594 ), + .Q15(\NLW_blk00000003/blk0000051a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000519 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000593 ), + .Q(\blk00000003/sig000004d3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000518 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[7]), + .Q(\blk00000003/sig00000593 ), + .Q15(\NLW_blk00000003/blk00000518_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000517 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000592 ), + .Q(\blk00000003/sig000004d0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000516 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[10]), + .Q(\blk00000003/sig00000592 ), + .Q15(\NLW_blk00000003/blk00000516_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000515 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000591 ), + .Q(\blk00000003/sig000004cf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000514 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[11]), + .Q(\blk00000003/sig00000591 ), + .Q15(\NLW_blk00000003/blk00000514_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000513 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000590 ), + .Q(\blk00000003/sig000004cd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000512 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[13]), + .Q(\blk00000003/sig00000590 ), + .Q15(\NLW_blk00000003/blk00000512_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000511 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000058f ), + .Q(\blk00000003/sig000004cc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000510 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[14]), + .Q(\blk00000003/sig0000058f ), + .Q15(\NLW_blk00000003/blk00000510_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000050f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000058e ), + .Q(\blk00000003/sig000004ce ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000050e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[12]), + .Q(\blk00000003/sig0000058e ), + .Q15(\NLW_blk00000003/blk0000050e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000050d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000058d ), + .Q(\blk00000003/sig000004ca ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000050c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[16]), + .Q(\blk00000003/sig0000058d ), + .Q15(\NLW_blk00000003/blk0000050c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000050b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000058c ), + .Q(\blk00000003/sig000004c9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000050a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[17]), + .Q(\blk00000003/sig0000058c ), + .Q15(\NLW_blk00000003/blk0000050a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000509 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000058b ), + .Q(\blk00000003/sig000004cb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000508 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[15]), + .Q(\blk00000003/sig0000058b ), + .Q15(\NLW_blk00000003/blk00000508_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000507 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000058a ), + .Q(\blk00000003/sig000001e1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000506 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001e3 ), + .Q(\blk00000003/sig0000058a ), + .Q15(\NLW_blk00000003/blk00000506_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000505 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000589 ), + .Q(\blk00000003/sig0000042e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000504 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001d8 ), + .Q(\blk00000003/sig00000589 ), + .Q15(\NLW_blk00000003/blk00000504_Q15_UNCONNECTED ) + ); + INV \blk00000003/blk00000503 ( + .I(\blk00000003/sig00000240 ), + .O(\blk00000003/sig00000288 ) + ); + INV \blk00000003/blk00000502 ( + .I(\blk00000003/sig00000291 ), + .O(\blk00000003/sig00000281 ) + ); + INV \blk00000003/blk00000501 ( + .I(\blk00000003/sig000001cf ), + .O(\blk00000003/sig00000296 ) + ); + INV \blk00000003/blk00000500 ( + .I(\blk00000003/sig00000298 ), + .O(\blk00000003/sig00000287 ) + ); + INV \blk00000003/blk000004ff ( + .I(\blk00000003/sig000004fd ), + .O(\blk00000003/sig00000578 ) + ); + INV \blk00000003/blk000004fe ( + .I(\blk00000003/sig00000244 ), + .O(\blk00000003/sig00000299 ) + ); + INV \blk00000003/blk000004fd ( + .I(\blk00000003/sig00000240 ), + .O(\blk00000003/sig00000282 ) + ); + INV \blk00000003/blk000004fc ( + .I(\blk00000003/sig0000021d ), + .O(\blk00000003/sig00000245 ) + ); + INV \blk00000003/blk000004fb ( + .I(\blk00000003/sig00000267 ), + .O(\blk00000003/sig00000227 ) + ); + INV \blk00000003/blk000004fa ( + .I(\blk00000003/sig000001cd ), + .O(\blk00000003/sig000000b9 ) + ); + INV \blk00000003/blk000004f9 ( + .I(\blk00000003/sig000000b6 ), + .O(\blk00000003/sig000000b7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000502 ), + .Q(\blk00000003/sig0000057c ) + ); + LUT3 #( + .INIT ( 8'h08 )) + \blk00000003/blk000004f7 ( + .I0(coef_ld), + .I1(\blk00000003/sig00000236 ), + .I2(\blk00000003/sig0000024d ), + .O(\blk00000003/sig00000249 ) + ); + LUT5 #( + .INIT ( 32'h4F444444 )) + \blk00000003/blk000004f6 ( + .I0(\blk00000003/sig0000024a ), + .I1(\blk00000003/sig0000023e ), + .I2(\blk00000003/sig0000024d ), + .I3(coef_ld), + .I4(\blk00000003/sig00000236 ), + .O(\blk00000003/sig00000241 ) + ); + LUT4 #( + .INIT ( 16'h1000 )) + \blk00000003/blk000004f5 ( + .I0(coef_ld), + .I1(\blk00000003/sig00000238 ), + .I2(coef_we), + .I3(\blk00000003/sig00000236 ), + .O(\blk00000003/sig00000248 ) + ); + LUT5 #( + .INIT ( 32'h20AA2020 )) + \blk00000003/blk000004f4 ( + .I0(\blk00000003/sig00000236 ), + .I1(\blk00000003/sig00000238 ), + .I2(coef_we), + .I3(\blk00000003/sig0000024d ), + .I4(coef_ld), + .O(\blk00000003/sig00000247 ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk000004f3 ( + .I0(\blk00000003/sig00000291 ), + .I1(ce), + .I2(\blk00000003/sig0000023e ), + .I3(\blk00000003/sig0000021b ), + .O(\blk00000003/sig00000588 ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk000004f2 ( + .I0(\blk00000003/sig00000298 ), + .I1(ce), + .I2(\blk00000003/sig0000023c ), + .I3(\blk00000003/sig00000289 ), + .O(\blk00000003/sig00000587 ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk000004f1 ( + .I0(\blk00000003/sig0000057b ), + .I1(ce), + .I2(\blk00000003/sig000001d6 ), + .I3(\blk00000003/sig000001d8 ), + .O(\blk00000003/sig00000585 ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk000004f0 ( + .I0(ce), + .I1(sclr), + .I2(\blk00000003/sig0000057f ), + .O(\blk00000003/sig00000584 ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk000004ef ( + .I0(ce), + .I1(\blk00000003/sig0000024d ), + .I2(\blk00000003/sig0000057d ), + .O(\blk00000003/sig00000583 ) + ); + LUT5 #( + .INIT ( 32'h6AAAAAAA )) + \blk00000003/blk000004ee ( + .I0(\blk00000003/sig0000057e ), + .I1(\blk00000003/sig000002a2 ), + .I2(ce), + .I3(nd), + .I4(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig00000586 ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk000004ed ( + .C(clk), + .D(\blk00000003/sig00000588 ), + .Q(\blk00000003/sig00000291 ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk000004ec ( + .C(clk), + .D(\blk00000003/sig00000587 ), + .Q(\blk00000003/sig00000298 ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk000004eb ( + .C(clk), + .D(\blk00000003/sig00000586 ), + .R(sclr), + .Q(\blk00000003/sig0000057e ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ea ( + .C(clk), + .D(\blk00000003/sig00000585 ), + .R(sclr), + .Q(\blk00000003/sig0000057b ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004e9 ( + .I0(\blk00000003/sig00000500 ), + .O(\blk00000003/sig000004fb ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004e8 ( + .I0(\blk00000003/sig000004ff ), + .O(\blk00000003/sig000004f8 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004e7 ( + .I0(\blk00000003/sig000004fe ), + .O(\blk00000003/sig000004f5 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004e6 ( + .I0(\blk00000003/sig000004fd ), + .O(\blk00000003/sig000004f2 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004e5 ( + .I0(\blk00000003/sig000002da ), + .O(\blk00000003/sig000002db ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004e4 ( + .I0(\blk00000003/sig000002d7 ), + .O(\blk00000003/sig000002d8 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004e3 ( + .I0(\blk00000003/sig000002d3 ), + .O(\blk00000003/sig000002d4 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004e2 ( + .I0(\blk00000003/sig000002b7 ), + .O(\blk00000003/sig000002b1 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004e1 ( + .I0(\blk00000003/sig0000057e ), + .O(\blk00000003/sig000002a7 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004e0 ( + .I0(\blk00000003/sig0000029f ), + .O(\blk00000003/sig0000029d ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004df ( + .I0(\blk00000003/sig00000271 ), + .O(\blk00000003/sig00000272 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004de ( + .I0(\blk00000003/sig0000026e ), + .O(\blk00000003/sig0000026f ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004dd ( + .I0(\blk00000003/sig0000026a ), + .O(\blk00000003/sig0000026b ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004dc ( + .I0(\blk00000003/sig00000260 ), + .O(\blk00000003/sig0000025d ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004db ( + .I0(\blk00000003/sig0000025f ), + .O(\blk00000003/sig0000025a ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004da ( + .I0(\blk00000003/sig00000255 ), + .O(\blk00000003/sig00000252 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004d9 ( + .I0(\blk00000003/sig00000254 ), + .O(\blk00000003/sig0000024f ) + ); + LUT3 #( + .INIT ( 8'h08 )) + \blk00000003/blk000004d8 ( + .I0(\blk00000003/sig00000254 ), + .I1(\blk00000003/sig00000255 ), + .I2(\blk00000003/sig00000258 ), + .O(\blk00000003/sig0000022f ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004d7 ( + .I0(\blk00000003/sig00000267 ), + .O(\blk00000003/sig00000229 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004d6 ( + .I0(\blk00000003/sig000001cd ), + .O(\blk00000003/sig000000ba ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000572 ), + .R(sclr), + .Q(\blk00000003/sig00000577 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000056f ), + .R(sclr), + .Q(\blk00000003/sig00000576 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000056c ), + .R(sclr), + .Q(\blk00000003/sig00000575 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000569 ), + .R(sclr), + .Q(\blk00000003/sig00000574 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000566 ), + .R(sclr), + .Q(\blk00000003/sig00000573 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000004d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004f0 ), + .S(sclr), + .Q(\blk00000003/sig00000501 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000004cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004fc ), + .S(sclr), + .Q(\blk00000003/sig00000500 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004f9 ), + .R(sclr), + .Q(\blk00000003/sig000004ff ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004f6 ), + .R(sclr), + .Q(\blk00000003/sig000004fe ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000004cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004f3 ), + .S(sclr), + .Q(\blk00000003/sig000004fd ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002dd ), + .R(\blk00000003/sig000002e0 ), + .Q(\blk00000003/sig000002da ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002dc ), + .R(\blk00000003/sig000002e0 ), + .Q(\blk00000003/sig000002d7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002d6 ), + .R(\blk00000003/sig000002e0 ), + .Q(\blk00000003/sig000002d3 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000004c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002c8 ), + .S(\blk00000003/sig000002df ), + .Q(\blk00000003/sig000002d1 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000004c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ce ), + .S(\blk00000003/sig000002df ), + .Q(\blk00000003/sig000002d0 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000004c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002cb ), + .S(\blk00000003/sig000002df ), + .Q(\blk00000003/sig000002cf ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002bc ), + .R(\blk00000003/sig000002df ), + .Q(\blk00000003/sig000002c6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002c2 ), + .R(\blk00000003/sig000002df ), + .Q(\blk00000003/sig000002c5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002bf ), + .R(\blk00000003/sig000002df ), + .Q(\blk00000003/sig000002c4 ) + ); + FDR \blk00000003/blk000004c2 ( + .C(clk), + .D(\blk00000003/sig00000584 ), + .R(ce), + .Q(\blk00000003/sig0000057f ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000004c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b2 ), + .S(sclr), + .Q(\blk00000003/sig000002b7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b5 ), + .R(sclr), + .Q(\blk00000003/sig000002b6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ac ), + .R(sclr), + .Q(\blk00000003/sig000001e9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002af ), + .R(sclr), + .Q(\blk00000003/sig000001e8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029b ), + .R(sclr), + .Q(\blk00000003/sig000002a0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029e ), + .R(sclr), + .Q(\blk00000003/sig0000029f ) + ); + FDR \blk00000003/blk000004bb ( + .C(clk), + .D(\blk00000003/sig00000583 ), + .R(ce), + .Q(\blk00000003/sig0000057d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000274 ), + .R(\blk00000003/sig00000277 ), + .Q(\blk00000003/sig00000271 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000273 ), + .R(\blk00000003/sig00000277 ), + .Q(\blk00000003/sig0000026e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000026d ), + .R(\blk00000003/sig00000277 ), + .Q(\blk00000003/sig0000026a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000266 ), + .R(coef_ld), + .Q(\blk00000003/sig00000267 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000262 ), + .R(sclr), + .Q(\blk00000003/sig00000263 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000025e ), + .R(sclr), + .Q(\blk00000003/sig00000260 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000025b ), + .R(sclr), + .Q(\blk00000003/sig0000025f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000257 ), + .R(coef_ld), + .Q(\blk00000003/sig00000258 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000253 ), + .R(coef_ld), + .Q(\blk00000003/sig00000255 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000250 ), + .R(coef_ld), + .Q(\blk00000003/sig00000254 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000004b0 ( + .I0(\blk00000003/sig00000573 ), + .I1(\blk00000003/sig000004fd ), + .O(\blk00000003/sig00000565 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000004af ( + .I0(\blk00000003/sig00000574 ), + .I1(\blk00000003/sig000004fd ), + .O(\blk00000003/sig00000568 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000004ae ( + .I0(\blk00000003/sig00000575 ), + .I1(\blk00000003/sig000004fd ), + .O(\blk00000003/sig0000056b ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000004ad ( + .I0(\blk00000003/sig00000576 ), + .I1(\blk00000003/sig000004fd ), + .O(\blk00000003/sig0000056e ) + ); + LUT3 #( + .INIT ( 8'hDE )) + \blk00000003/blk000004ac ( + .I0(\blk00000003/sig00000577 ), + .I1(\blk00000003/sig000004fd ), + .I2(\blk00000003/sig000001df ), + .O(\blk00000003/sig00000571 ) + ); + LUT3 #( + .INIT ( 8'h04 )) + \blk00000003/blk000004ab ( + .I0(\blk00000003/sig000001df ), + .I1(\blk00000003/sig0000004a ), + .I2(\blk00000003/sig000004fd ), + .O(\blk00000003/sig00000563 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000004aa ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000104 ), + .I3(NlwRenamedSig_OI_dout_2[47]), + .O(\blk00000003/sig00000562 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000004a9 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000105 ), + .I3(NlwRenamedSig_OI_dout_2[46]), + .O(\blk00000003/sig00000561 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000004a8 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000107 ), + .I3(NlwRenamedSig_OI_dout_2[44]), + .O(\blk00000003/sig0000055f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000004a7 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000106 ), + .I3(NlwRenamedSig_OI_dout_2[45]), + .O(\blk00000003/sig00000560 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000004a6 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000108 ), + .I3(NlwRenamedSig_OI_dout_2[43]), + .O(\blk00000003/sig0000055e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000004a5 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000010a ), + .I3(NlwRenamedSig_OI_dout_2[41]), + .O(\blk00000003/sig0000055c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000004a4 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000109 ), + .I3(NlwRenamedSig_OI_dout_2[42]), + .O(\blk00000003/sig0000055d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000004a3 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000010b ), + .I3(NlwRenamedSig_OI_dout_2[40]), + .O(\blk00000003/sig0000055b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000004a2 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000010d ), + .I3(NlwRenamedSig_OI_dout_2[38]), + .O(\blk00000003/sig00000559 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000004a1 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000010c ), + .I3(NlwRenamedSig_OI_dout_2[39]), + .O(\blk00000003/sig0000055a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000004a0 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000010e ), + .I3(NlwRenamedSig_OI_dout_2[37]), + .O(\blk00000003/sig00000558 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000049f ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000110 ), + .I3(NlwRenamedSig_OI_dout_2[35]), + .O(\blk00000003/sig00000556 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000049e ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000010f ), + .I3(NlwRenamedSig_OI_dout_2[36]), + .O(\blk00000003/sig00000557 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000049d ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000111 ), + .I3(NlwRenamedSig_OI_dout_2[34]), + .O(\blk00000003/sig00000555 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000049c ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000113 ), + .I3(NlwRenamedSig_OI_dout_2[32]), + .O(\blk00000003/sig00000553 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000049b ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000112 ), + .I3(NlwRenamedSig_OI_dout_2[33]), + .O(\blk00000003/sig00000554 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000049a ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000114 ), + .I3(NlwRenamedSig_OI_dout_2[31]), + .O(\blk00000003/sig00000552 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000499 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000116 ), + .I3(NlwRenamedSig_OI_dout_2[29]), + .O(\blk00000003/sig00000550 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000498 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000115 ), + .I3(NlwRenamedSig_OI_dout_2[30]), + .O(\blk00000003/sig00000551 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000497 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000117 ), + .I3(NlwRenamedSig_OI_dout_2[28]), + .O(\blk00000003/sig0000054f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000496 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000119 ), + .I3(NlwRenamedSig_OI_dout_2[26]), + .O(\blk00000003/sig0000054d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000495 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000118 ), + .I3(NlwRenamedSig_OI_dout_2[27]), + .O(\blk00000003/sig0000054e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000494 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000011a ), + .I3(NlwRenamedSig_OI_dout_2[25]), + .O(\blk00000003/sig0000054c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000493 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000011c ), + .I3(NlwRenamedSig_OI_dout_2[23]), + .O(\blk00000003/sig0000054a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000492 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000011b ), + .I3(NlwRenamedSig_OI_dout_2[24]), + .O(\blk00000003/sig0000054b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000491 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000011d ), + .I3(NlwRenamedSig_OI_dout_2[22]), + .O(\blk00000003/sig00000549 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000490 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000011f ), + .I3(NlwRenamedSig_OI_dout_2[20]), + .O(\blk00000003/sig00000547 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000048f ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000011e ), + .I3(NlwRenamedSig_OI_dout_2[21]), + .O(\blk00000003/sig00000548 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000048e ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000120 ), + .I3(NlwRenamedSig_OI_dout_2[19]), + .O(\blk00000003/sig00000546 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000048d ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000122 ), + .I3(NlwRenamedSig_OI_dout_2[17]), + .O(\blk00000003/sig00000544 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000048c ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000121 ), + .I3(NlwRenamedSig_OI_dout_2[18]), + .O(\blk00000003/sig00000545 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000048b ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000123 ), + .I3(NlwRenamedSig_OI_dout_2[16]), + .O(\blk00000003/sig00000543 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000048a ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000125 ), + .I3(NlwRenamedSig_OI_dout_2[14]), + .O(\blk00000003/sig00000541 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000489 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000124 ), + .I3(NlwRenamedSig_OI_dout_2[15]), + .O(\blk00000003/sig00000542 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000488 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000126 ), + .I3(NlwRenamedSig_OI_dout_2[13]), + .O(\blk00000003/sig00000540 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000487 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000128 ), + .I3(NlwRenamedSig_OI_dout_2[11]), + .O(\blk00000003/sig0000053e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000486 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000127 ), + .I3(NlwRenamedSig_OI_dout_2[12]), + .O(\blk00000003/sig0000053f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000485 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000129 ), + .I3(NlwRenamedSig_OI_dout_2[10]), + .O(\blk00000003/sig0000053d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000484 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000012b ), + .I3(NlwRenamedSig_OI_dout_2[8]), + .O(\blk00000003/sig0000053b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000483 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000012a ), + .I3(NlwRenamedSig_OI_dout_2[9]), + .O(\blk00000003/sig0000053c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000482 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000012c ), + .I3(NlwRenamedSig_OI_dout_2[7]), + .O(\blk00000003/sig0000053a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000481 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000012e ), + .I3(NlwRenamedSig_OI_dout_2[5]), + .O(\blk00000003/sig00000538 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000480 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000012d ), + .I3(NlwRenamedSig_OI_dout_2[6]), + .O(\blk00000003/sig00000539 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000047f ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000012f ), + .I3(NlwRenamedSig_OI_dout_2[4]), + .O(\blk00000003/sig00000537 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000047e ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000131 ), + .I3(NlwRenamedSig_OI_dout_2[2]), + .O(\blk00000003/sig00000535 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000047d ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000130 ), + .I3(NlwRenamedSig_OI_dout_2[3]), + .O(\blk00000003/sig00000536 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000047c ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000132 ), + .I3(NlwRenamedSig_OI_dout_2[1]), + .O(\blk00000003/sig00000534 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000047b ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000133 ), + .I3(NlwRenamedSig_OI_dout_2[0]), + .O(\blk00000003/sig00000533 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000047a ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000017c ), + .I3(NlwRenamedSig_OI_dout_1[47]), + .O(\blk00000003/sig00000532 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000479 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000017e ), + .I3(NlwRenamedSig_OI_dout_1[45]), + .O(\blk00000003/sig00000530 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000478 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000017d ), + .I3(NlwRenamedSig_OI_dout_1[46]), + .O(\blk00000003/sig00000531 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000477 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000017f ), + .I3(NlwRenamedSig_OI_dout_1[44]), + .O(\blk00000003/sig0000052f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000476 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000181 ), + .I3(NlwRenamedSig_OI_dout_1[42]), + .O(\blk00000003/sig0000052d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000475 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000180 ), + .I3(NlwRenamedSig_OI_dout_1[43]), + .O(\blk00000003/sig0000052e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000474 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000182 ), + .I3(NlwRenamedSig_OI_dout_1[41]), + .O(\blk00000003/sig0000052c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000473 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000184 ), + .I3(NlwRenamedSig_OI_dout_1[39]), + .O(\blk00000003/sig0000052a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000472 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000183 ), + .I3(NlwRenamedSig_OI_dout_1[40]), + .O(\blk00000003/sig0000052b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000471 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000185 ), + .I3(NlwRenamedSig_OI_dout_1[38]), + .O(\blk00000003/sig00000529 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000470 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000187 ), + .I3(NlwRenamedSig_OI_dout_1[36]), + .O(\blk00000003/sig00000527 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000046f ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000186 ), + .I3(NlwRenamedSig_OI_dout_1[37]), + .O(\blk00000003/sig00000528 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000046e ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000188 ), + .I3(NlwRenamedSig_OI_dout_1[35]), + .O(\blk00000003/sig00000526 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000046d ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000018a ), + .I3(NlwRenamedSig_OI_dout_1[33]), + .O(\blk00000003/sig00000524 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000046c ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000189 ), + .I3(NlwRenamedSig_OI_dout_1[34]), + .O(\blk00000003/sig00000525 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000046b ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000018b ), + .I3(NlwRenamedSig_OI_dout_1[32]), + .O(\blk00000003/sig00000523 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000046a ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000018d ), + .I3(NlwRenamedSig_OI_dout_1[30]), + .O(\blk00000003/sig00000521 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000469 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000018c ), + .I3(NlwRenamedSig_OI_dout_1[31]), + .O(\blk00000003/sig00000522 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000468 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000018e ), + .I3(NlwRenamedSig_OI_dout_1[29]), + .O(\blk00000003/sig00000520 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000467 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000190 ), + .I3(NlwRenamedSig_OI_dout_1[27]), + .O(\blk00000003/sig0000051e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000466 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000018f ), + .I3(NlwRenamedSig_OI_dout_1[28]), + .O(\blk00000003/sig0000051f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000465 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000191 ), + .I3(NlwRenamedSig_OI_dout_1[26]), + .O(\blk00000003/sig0000051d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000464 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000193 ), + .I3(NlwRenamedSig_OI_dout_1[24]), + .O(\blk00000003/sig0000051b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000463 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000192 ), + .I3(NlwRenamedSig_OI_dout_1[25]), + .O(\blk00000003/sig0000051c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000462 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000194 ), + .I3(NlwRenamedSig_OI_dout_1[23]), + .O(\blk00000003/sig0000051a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000461 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000196 ), + .I3(NlwRenamedSig_OI_dout_1[21]), + .O(\blk00000003/sig00000518 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000460 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000195 ), + .I3(NlwRenamedSig_OI_dout_1[22]), + .O(\blk00000003/sig00000519 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000045f ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000197 ), + .I3(NlwRenamedSig_OI_dout_1[20]), + .O(\blk00000003/sig00000517 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000045e ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000199 ), + .I3(NlwRenamedSig_OI_dout_1[18]), + .O(\blk00000003/sig00000515 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000045d ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000198 ), + .I3(NlwRenamedSig_OI_dout_1[19]), + .O(\blk00000003/sig00000516 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000045c ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000019a ), + .I3(NlwRenamedSig_OI_dout_1[17]), + .O(\blk00000003/sig00000514 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000045b ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000019c ), + .I3(NlwRenamedSig_OI_dout_1[15]), + .O(\blk00000003/sig00000512 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000045a ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000019b ), + .I3(NlwRenamedSig_OI_dout_1[16]), + .O(\blk00000003/sig00000513 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000459 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000019d ), + .I3(NlwRenamedSig_OI_dout_1[14]), + .O(\blk00000003/sig00000511 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000458 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000019f ), + .I3(NlwRenamedSig_OI_dout_1[12]), + .O(\blk00000003/sig0000050f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000457 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000019e ), + .I3(NlwRenamedSig_OI_dout_1[13]), + .O(\blk00000003/sig00000510 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000456 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig000001a0 ), + .I3(NlwRenamedSig_OI_dout_1[11]), + .O(\blk00000003/sig0000050e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000455 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig000001a2 ), + .I3(NlwRenamedSig_OI_dout_1[9]), + .O(\blk00000003/sig0000050c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000454 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig000001a1 ), + .I3(NlwRenamedSig_OI_dout_1[10]), + .O(\blk00000003/sig0000050d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000453 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig000001a3 ), + .I3(NlwRenamedSig_OI_dout_1[8]), + .O(\blk00000003/sig0000050b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000452 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig000001a5 ), + .I3(NlwRenamedSig_OI_dout_1[6]), + .O(\blk00000003/sig00000509 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000451 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig000001a4 ), + .I3(NlwRenamedSig_OI_dout_1[7]), + .O(\blk00000003/sig0000050a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000450 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig000001a6 ), + .I3(NlwRenamedSig_OI_dout_1[5]), + .O(\blk00000003/sig00000508 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000044f ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig000001a8 ), + .I3(NlwRenamedSig_OI_dout_1[3]), + .O(\blk00000003/sig00000506 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000044e ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig000001a7 ), + .I3(NlwRenamedSig_OI_dout_1[4]), + .O(\blk00000003/sig00000507 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000044d ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig000001a9 ), + .I3(NlwRenamedSig_OI_dout_1[2]), + .O(\blk00000003/sig00000505 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000044c ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig000001ab ), + .I3(NlwRenamedSig_OI_dout_1[0]), + .O(\blk00000003/sig00000503 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000044b ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig000001aa ), + .I3(NlwRenamedSig_OI_dout_1[1]), + .O(\blk00000003/sig00000504 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk0000044a ( + .I0(\blk00000003/sig00000501 ), + .I1(\blk00000003/sig000004fd ), + .O(\blk00000003/sig000004ef ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000449 ( + .I0(ce), + .I1(\blk00000003/sig000001e1 ), + .O(\blk00000003/sig00000582 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000448 ( + .I0(ce), + .I1(\blk00000003/sig0000042f ), + .O(\blk00000003/sig000004ee ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000447 ( + .I0(ce), + .I1(\blk00000003/sig00000581 ), + .O(\blk00000003/sig000004ed ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000446 ( + .I0(\blk00000003/sig000002cf ), + .I1(\blk00000003/sig000002de ), + .O(\blk00000003/sig000002ca ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000445 ( + .I0(\blk00000003/sig000002de ), + .I1(\blk00000003/sig000002d1 ), + .O(\blk00000003/sig000002c7 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000444 ( + .I0(\blk00000003/sig000002de ), + .I1(\blk00000003/sig000002d0 ), + .O(\blk00000003/sig000002cd ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000443 ( + .I0(\blk00000003/sig000002de ), + .I1(\blk00000003/sig00000580 ), + .O(\blk00000003/sig000002c3 ) + ); + LUT3 #( + .INIT ( 8'hEA )) + \blk00000003/blk00000442 ( + .I0(\blk00000003/sig000002c4 ), + .I1(\blk00000003/sig000002de ), + .I2(\blk00000003/sig00000580 ), + .O(\blk00000003/sig000002be ) + ); + LUT3 #( + .INIT ( 8'hF8 )) + \blk00000003/blk00000441 ( + .I0(\blk00000003/sig00000580 ), + .I1(\blk00000003/sig000002de ), + .I2(\blk00000003/sig000002c5 ), + .O(\blk00000003/sig000002c1 ) + ); + LUT3 #( + .INIT ( 8'hBC )) + \blk00000003/blk00000440 ( + .I0(\blk00000003/sig00000580 ), + .I1(\blk00000003/sig000002de ), + .I2(\blk00000003/sig000002c6 ), + .O(\blk00000003/sig000002bb ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk0000043f ( + .I0(sclr), + .I1(\blk00000003/sig0000057f ), + .O(\blk00000003/sig000002b8 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk0000043e ( + .I0(\blk00000003/sig000002b6 ), + .I1(\blk00000003/sig000001d8 ), + .O(\blk00000003/sig000002b4 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk0000043d ( + .I0(nd), + .I1(\blk00000003/sig000002a9 ), + .I2(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig000002b0 ) + ); + LUT4 #( + .INIT ( 16'hEAAA )) + \blk00000003/blk0000043c ( + .I0(\blk00000003/sig000001e8 ), + .I1(nd), + .I2(NlwRenamedSig_OI_rfd), + .I3(\blk00000003/sig000002a9 ), + .O(\blk00000003/sig000002ae ) + ); + LUT4 #( + .INIT ( 16'hDFA0 )) + \blk00000003/blk0000043b ( + .I0(nd), + .I1(\blk00000003/sig000002a9 ), + .I2(NlwRenamedSig_OI_rfd), + .I3(\blk00000003/sig000001e9 ), + .O(\blk00000003/sig000002ab ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000043a ( + .I0(nd), + .I1(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig000002a4 ) + ); + LUT3 #( + .INIT ( 8'h09 )) + \blk00000003/blk00000439 ( + .I0(\blk00000003/sig0000057e ), + .I1(\blk00000003/sig000001e8 ), + .I2(\blk00000003/sig000001e9 ), + .O(\blk00000003/sig000002a6 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000438 ( + .I0(\blk00000003/sig000002a0 ), + .I1(\blk00000003/sig000001c7 ), + .O(\blk00000003/sig0000029a ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000437 ( + .I0(\blk00000003/sig0000023d ), + .I1(\blk00000003/sig00000244 ), + .O(\blk00000003/sig00000297 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000436 ( + .I0(\blk00000003/sig00000244 ), + .I1(\blk00000003/sig0000023c ), + .O(\blk00000003/sig00000294 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000435 ( + .I0(\blk00000003/sig00000244 ), + .I1(\blk00000003/sig00000240 ), + .O(\blk00000003/sig00000292 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk00000434 ( + .I0(\blk00000003/sig00000242 ), + .I1(\blk00000003/sig0000024a ), + .I2(\blk00000003/sig00000244 ), + .O(\blk00000003/sig0000028d ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk00000433 ( + .I0(\blk00000003/sig00000242 ), + .I1(\blk00000003/sig00000240 ), + .I2(\blk00000003/sig00000244 ), + .O(\blk00000003/sig0000028f ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000432 ( + .I0(\blk00000003/sig0000023d ), + .I1(\blk00000003/sig00000240 ), + .O(\blk00000003/sig00000286 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000431 ( + .I0(\blk00000003/sig0000023c ), + .I1(\blk00000003/sig00000240 ), + .O(\blk00000003/sig00000284 ) + ); + LUT3 #( + .INIT ( 8'hDF )) + \blk00000003/blk00000430 ( + .I0(\blk00000003/sig0000023a ), + .I1(\blk00000003/sig00000244 ), + .I2(\blk00000003/sig00000240 ), + .O(\blk00000003/sig0000027f ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000042f ( + .I0(\blk00000003/sig0000023f ), + .I1(\blk00000003/sig00000240 ), + .O(\blk00000003/sig0000027b ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk0000042e ( + .I0(\blk00000003/sig0000023e ), + .I1(\blk00000003/sig00000240 ), + .I2(\blk00000003/sig0000024a ), + .O(\blk00000003/sig0000027d ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk0000042d ( + .I0(\blk00000003/sig0000024d ), + .I1(\blk00000003/sig0000057d ), + .O(\blk00000003/sig00000276 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk0000042c ( + .I0(coef_we), + .I1(\blk00000003/sig00000224 ), + .I2(\blk00000003/sig0000022c ), + .O(\blk00000003/sig00000268 ) + ); + LUT4 #( + .INIT ( 16'hDAAA )) + \blk00000003/blk0000042b ( + .I0(\blk00000003/sig00000267 ), + .I1(\blk00000003/sig00000224 ), + .I2(\blk00000003/sig0000022c ), + .I3(coef_we), + .O(\blk00000003/sig00000265 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk0000042a ( + .I0(\blk00000003/sig00000263 ), + .I1(\blk00000003/sig000001c4 ), + .O(\blk00000003/sig00000261 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000429 ( + .I0(\blk00000003/sig00000258 ), + .I1(coef_we), + .O(\blk00000003/sig00000256 ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000428 ( + .I0(coef_ld), + .I1(\blk00000003/sig0000024d ), + .O(\blk00000003/sig00000243 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000427 ( + .I0(coef_we), + .I1(\blk00000003/sig0000022c ), + .O(\blk00000003/sig00000225 ) + ); + LUT3 #( + .INIT ( 8'h08 )) + \blk00000003/blk00000426 ( + .I0(\blk00000003/sig00000238 ), + .I1(coef_we), + .I2(coef_ld), + .O(\blk00000003/sig0000024b ) + ); + LUT3 #( + .INIT ( 8'h08 )) + \blk00000003/blk00000425 ( + .I0(\blk00000003/sig00000254 ), + .I1(\blk00000003/sig00000255 ), + .I2(\blk00000003/sig00000258 ), + .O(\blk00000003/sig0000022e ) + ); + LUT3 #( + .INIT ( 8'hDF )) + \blk00000003/blk00000424 ( + .I0(coef_ld), + .I1(\blk00000003/sig0000024d ), + .I2(\blk00000003/sig00000236 ), + .O(\blk00000003/sig00000222 ) + ); + LUT3 #( + .INIT ( 8'hDF )) + \blk00000003/blk00000423 ( + .I0(coef_we), + .I1(\blk00000003/sig00000238 ), + .I2(\blk00000003/sig00000236 ), + .O(\blk00000003/sig0000021f ) + ); + LUT5 #( + .INIT ( 32'hFFFF2AAA )) + \blk00000003/blk00000422 ( + .I0(\blk00000003/sig00000238 ), + .I1(coef_we), + .I2(\blk00000003/sig0000022c ), + .I3(\blk00000003/sig00000224 ), + .I4(coef_ld), + .O(\blk00000003/sig00000237 ) + ); + LUT4 #( + .INIT ( 16'hFF8A )) + \blk00000003/blk00000421 ( + .I0(\blk00000003/sig00000236 ), + .I1(\blk00000003/sig00000238 ), + .I2(coef_we), + .I3(coef_ld), + .O(\blk00000003/sig00000235 ) + ); + LUT3 #( + .INIT ( 8'h80 )) + \blk00000003/blk00000420 ( + .I0(nd), + .I1(\blk00000003/sig000002a2 ), + .I2(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig000001e7 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000041f ( + .I0(\blk00000003/sig000000be ), + .I1(\blk00000003/sig000001df ), + .O(\blk00000003/sig000001e5 ) + ); + LUT3 #( + .INIT ( 8'h10 )) + \blk00000003/blk0000041e ( + .I0(\blk00000003/sig000000be ), + .I1(\blk00000003/sig000004fd ), + .I2(\blk00000003/sig0000057c ), + .O(\blk00000003/sig000000bf ) + ); + LUT3 #( + .INIT ( 8'hEA )) + \blk00000003/blk0000041d ( + .I0(sclr), + .I1(ce), + .I2(\blk00000003/sig000004fd ), + .O(\blk00000003/sig000001e0 ) + ); + LUT2 #( + .INIT ( 4'hD )) + \blk00000003/blk0000041c ( + .I0(NlwRenamedSig_OI_rfd), + .I1(nd), + .O(\blk00000003/sig000001cb ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk0000041b ( + .I0(\blk00000003/sig0000029f ), + .I1(\blk00000003/sig000002a0 ), + .O(\blk00000003/sig000001c8 ) + ); + LUT3 #( + .INIT ( 8'h08 )) + \blk00000003/blk0000041a ( + .I0(\blk00000003/sig0000025f ), + .I1(\blk00000003/sig00000260 ), + .I2(\blk00000003/sig00000263 ), + .O(\blk00000003/sig000001c5 ) + ); + LUT5 #( + .INIT ( 32'h00008000 )) + \blk00000003/blk00000419 ( + .I0(\blk00000003/sig00000573 ), + .I1(\blk00000003/sig00000574 ), + .I2(\blk00000003/sig00000575 ), + .I3(\blk00000003/sig00000576 ), + .I4(\blk00000003/sig00000577 ), + .O(\blk00000003/sig000000c1 ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk00000418 ( + .I0(\blk00000003/sig000001d8 ), + .I1(\blk00000003/sig000001c4 ), + .I2(\blk00000003/sig000001e6 ), + .O(\blk00000003/sig000001d7 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000417 ( + .I0(\blk00000003/sig000002b7 ), + .I1(\blk00000003/sig000001e4 ), + .O(\blk00000003/sig000001dd ) + ); + LUT3 #( + .INIT ( 8'hD8 )) + \blk00000003/blk00000416 ( + .I0(ce), + .I1(\blk00000003/sig00000579 ), + .I2(\blk00000003/sig000000b4 ), + .O(\blk00000003/sig000000b3 ) + ); + LUT3 #( + .INIT ( 8'h72 )) + \blk00000003/blk00000415 ( + .I0(ce), + .I1(\blk00000003/sig00000579 ), + .I2(\blk00000003/sig000000b2 ), + .O(\blk00000003/sig000000b1 ) + ); + LUT4 #( + .INIT ( 16'h8F88 )) + \blk00000003/blk00000414 ( + .I0(NlwRenamedSig_OI_rfd), + .I1(nd), + .I2(\blk00000003/sig000001da ), + .I3(\blk00000003/sig000001c7 ), + .O(\blk00000003/sig000001d9 ) + ); + LUT5 #( + .INIT ( 32'hCEEE8AAA )) + \blk00000003/blk00000413 ( + .I0(\blk00000003/sig000001c4 ), + .I1(\blk00000003/sig000001e6 ), + .I2(\blk00000003/sig000001d6 ), + .I3(\blk00000003/sig000001d8 ), + .I4(\blk00000003/sig000001d4 ), + .O(\blk00000003/sig000001d5 ) + ); + LUT4 #( + .INIT ( 16'h8808 )) + \blk00000003/blk00000412 ( + .I0(\blk00000003/sig000001d6 ), + .I1(\blk00000003/sig0000057b ), + .I2(\blk00000003/sig000001d8 ), + .I3(\blk00000003/sig000001e6 ), + .O(\blk00000003/sig000001d1 ) + ); + LUT4 #( + .INIT ( 16'h5540 )) + \blk00000003/blk00000411 ( + .I0(\blk00000003/sig000001e6 ), + .I1(\blk00000003/sig000001d6 ), + .I2(\blk00000003/sig000001d8 ), + .I3(\blk00000003/sig000001d4 ), + .O(\blk00000003/sig000001d3 ) + ); + LUT3 #( + .INIT ( 8'h9A )) + \blk00000003/blk00000410 ( + .I0(\blk00000003/sig000002b6 ), + .I1(\blk00000003/sig000002b7 ), + .I2(\blk00000003/sig000001e4 ), + .O(\blk00000003/sig000001db ) + ); + LUT4 #( + .INIT ( 16'hFDA8 )) + \blk00000003/blk0000040f ( + .I0(ce), + .I1(\blk00000003/sig00000579 ), + .I2(\blk00000003/sig0000057a ), + .I3(\blk00000003/sig000000b0 ), + .O(\blk00000003/sig000000af ) + ); + MUXCY \blk00000003/blk0000040e ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ae ), + .S(\blk00000003/sig00000578 ), + .O(\blk00000003/sig00000570 ) + ); + MUXCY_L \blk00000003/blk0000040d ( + .CI(\blk00000003/sig00000570 ), + .DI(\blk00000003/sig00000577 ), + .S(\blk00000003/sig00000571 ), + .LO(\blk00000003/sig0000056d ) + ); + MUXCY_L \blk00000003/blk0000040c ( + .CI(\blk00000003/sig0000056d ), + .DI(\blk00000003/sig00000576 ), + .S(\blk00000003/sig0000056e ), + .LO(\blk00000003/sig0000056a ) + ); + MUXCY_L \blk00000003/blk0000040b ( + .CI(\blk00000003/sig0000056a ), + .DI(\blk00000003/sig00000575 ), + .S(\blk00000003/sig0000056b ), + .LO(\blk00000003/sig00000567 ) + ); + MUXCY_L \blk00000003/blk0000040a ( + .CI(\blk00000003/sig00000567 ), + .DI(\blk00000003/sig00000574 ), + .S(\blk00000003/sig00000568 ), + .LO(\blk00000003/sig00000564 ) + ); + MUXCY_D \blk00000003/blk00000409 ( + .CI(\blk00000003/sig00000564 ), + .DI(\blk00000003/sig00000573 ), + .S(\blk00000003/sig00000565 ), + .O(\NLW_blk00000003/blk00000409_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk00000409_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000408 ( + .CI(\blk00000003/sig00000570 ), + .LI(\blk00000003/sig00000571 ), + .O(\blk00000003/sig00000572 ) + ); + XORCY \blk00000003/blk00000407 ( + .CI(\blk00000003/sig0000056d ), + .LI(\blk00000003/sig0000056e ), + .O(\blk00000003/sig0000056f ) + ); + XORCY \blk00000003/blk00000406 ( + .CI(\blk00000003/sig0000056a ), + .LI(\blk00000003/sig0000056b ), + .O(\blk00000003/sig0000056c ) + ); + XORCY \blk00000003/blk00000405 ( + .CI(\blk00000003/sig00000567 ), + .LI(\blk00000003/sig00000568 ), + .O(\blk00000003/sig00000569 ) + ); + XORCY \blk00000003/blk00000404 ( + .CI(\blk00000003/sig00000564 ), + .LI(\blk00000003/sig00000565 ), + .O(\blk00000003/sig00000566 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000403 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000563 ), + .R(sclr), + .Q(\blk00000003/sig0000004a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000402 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000562 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[47]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000401 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000561 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[46]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000400 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000560 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[45]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000055f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[44]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000055e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[43]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000055d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[42]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000055c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[41]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000055b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[40]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000055a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[39]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000559 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[38]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000558 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[37]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000557 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[36]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000556 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[35]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000555 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[34]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000554 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[33]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000553 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[32]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000552 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[31]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000551 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[30]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000550 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[29]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000054f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[28]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000054e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[27]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000054d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[26]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000054c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[25]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000054b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[24]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000054a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[23]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000549 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[22]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000548 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[21]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000547 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[20]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000546 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[19]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000545 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[18]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000544 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[17]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000543 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[16]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000542 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[15]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000541 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[14]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000540 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[13]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003df ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000053f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[12]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003de ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000053e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[11]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003dd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000053d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[10]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000053c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[9]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003db ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000053b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[8]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003da ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000053a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[7]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000539 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[6]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000538 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[5]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000537 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[4]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000536 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[3]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000535 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[2]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000534 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[1]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000533 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[0]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000532 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[47]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000531 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[46]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000530 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[45]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000052f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[44]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000052e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[43]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000052d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[42]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000052c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[41]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000052b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[40]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000052a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[39]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000529 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[38]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000528 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[37]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000527 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[36]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000526 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[35]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000525 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[34]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000524 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[33]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000523 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[32]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000522 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[31]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000521 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[30]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000520 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[29]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000051f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[28]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003be ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000051e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[27]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000051d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[26]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000051c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[25]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000051b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[24]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000051a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[23]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000519 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[22]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000518 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[21]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000517 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[20]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000516 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[19]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000515 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[18]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000514 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[17]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000513 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[16]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000512 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[15]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000511 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[14]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000510 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[13]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003af ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000050f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[12]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000050e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[11]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000050d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[10]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000050c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[9]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000050b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[8]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000050a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[7]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000509 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[6]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000508 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[5]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000507 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[4]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000506 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[3]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003a5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000505 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[2]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000504 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[1]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003a3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000503 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[0]) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000502 ), + .Q(\blk00000003/sig000001df ) + ); + MUXCY_L \blk00000003/blk000003a1 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000501 ), + .S(\blk00000003/sig000004ef ), + .LO(\blk00000003/sig000004fa ) + ); + MUXCY_L \blk00000003/blk000003a0 ( + .CI(\blk00000003/sig000004fa ), + .DI(\blk00000003/sig00000500 ), + .S(\blk00000003/sig000004fb ), + .LO(\blk00000003/sig000004f7 ) + ); + MUXCY_L \blk00000003/blk0000039f ( + .CI(\blk00000003/sig000004f7 ), + .DI(\blk00000003/sig000004ff ), + .S(\blk00000003/sig000004f8 ), + .LO(\blk00000003/sig000004f4 ) + ); + MUXCY_L \blk00000003/blk0000039e ( + .CI(\blk00000003/sig000004f4 ), + .DI(\blk00000003/sig000004fe ), + .S(\blk00000003/sig000004f5 ), + .LO(\blk00000003/sig000004f1 ) + ); + MUXCY_D \blk00000003/blk0000039d ( + .CI(\blk00000003/sig000004f1 ), + .DI(\blk00000003/sig000004fd ), + .S(\blk00000003/sig000004f2 ), + .O(\NLW_blk00000003/blk0000039d_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk0000039d_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk0000039c ( + .CI(\blk00000003/sig000004fa ), + .LI(\blk00000003/sig000004fb ), + .O(\blk00000003/sig000004fc ) + ); + XORCY \blk00000003/blk0000039b ( + .CI(\blk00000003/sig000004f7 ), + .LI(\blk00000003/sig000004f8 ), + .O(\blk00000003/sig000004f9 ) + ); + XORCY \blk00000003/blk0000039a ( + .CI(\blk00000003/sig000004f4 ), + .LI(\blk00000003/sig000004f5 ), + .O(\blk00000003/sig000004f6 ) + ); + XORCY \blk00000003/blk00000399 ( + .CI(\blk00000003/sig000004f1 ), + .LI(\blk00000003/sig000004f2 ), + .O(\blk00000003/sig000004f3 ) + ); + XORCY \blk00000003/blk00000398 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig000004ef ), + .O(\blk00000003/sig000004f0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000370 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003dd ), + .R(sclr), + .Q(\blk00000003/sig00000450 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036f ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003dc ), + .R(sclr), + .Q(\blk00000003/sig0000044f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036e ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003db ), + .R(sclr), + .Q(\blk00000003/sig0000044e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003da ), + .R(sclr), + .Q(\blk00000003/sig0000044d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036c ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003d9 ), + .R(sclr), + .Q(\blk00000003/sig0000044c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036b ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003d8 ), + .R(sclr), + .Q(\blk00000003/sig0000044b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036a ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003d7 ), + .R(sclr), + .Q(\blk00000003/sig0000044a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000369 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003d6 ), + .R(sclr), + .Q(\blk00000003/sig00000449 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000368 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003d5 ), + .R(sclr), + .Q(\blk00000003/sig00000448 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000367 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003d4 ), + .R(sclr), + .Q(\blk00000003/sig00000447 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000366 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003d3 ), + .R(sclr), + .Q(\blk00000003/sig00000446 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000365 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003d2 ), + .R(sclr), + .Q(\blk00000003/sig00000445 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000364 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003d1 ), + .R(sclr), + .Q(\blk00000003/sig00000444 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000363 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003d0 ), + .R(sclr), + .Q(\blk00000003/sig00000443 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000362 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003cf ), + .R(sclr), + .Q(\blk00000003/sig00000442 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000361 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003ce ), + .R(sclr), + .Q(\blk00000003/sig00000441 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000360 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003cd ), + .R(sclr), + .Q(\blk00000003/sig00000440 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035f ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003cc ), + .R(sclr), + .Q(\blk00000003/sig0000043f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035e ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003cb ), + .R(sclr), + .Q(\blk00000003/sig0000043e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035d ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003ca ), + .R(sclr), + .Q(\blk00000003/sig0000043d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035c ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003c9 ), + .R(sclr), + .Q(\blk00000003/sig0000043c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035b ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003c8 ), + .R(sclr), + .Q(\blk00000003/sig0000043b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035a ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003c7 ), + .R(sclr), + .Q(\blk00000003/sig0000043a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000359 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003c6 ), + .R(sclr), + .Q(\blk00000003/sig00000439 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000358 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig0000040d ), + .R(sclr), + .Q(\blk00000003/sig00000468 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000357 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig0000040c ), + .R(sclr), + .Q(\blk00000003/sig00000467 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000356 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig0000040b ), + .R(sclr), + .Q(\blk00000003/sig00000466 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000355 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig0000040a ), + .R(sclr), + .Q(\blk00000003/sig00000465 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000354 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig00000409 ), + .R(sclr), + .Q(\blk00000003/sig00000464 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000353 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig00000408 ), + .R(sclr), + .Q(\blk00000003/sig00000463 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000352 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig00000407 ), + .R(sclr), + .Q(\blk00000003/sig00000462 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig00000406 ), + .R(sclr), + .Q(\blk00000003/sig00000461 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000350 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig00000405 ), + .R(sclr), + .Q(\blk00000003/sig00000460 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034f ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig00000404 ), + .R(sclr), + .Q(\blk00000003/sig0000045f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034e ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig00000403 ), + .R(sclr), + .Q(\blk00000003/sig0000045e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034d ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig00000402 ), + .R(sclr), + .Q(\blk00000003/sig0000045d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034c ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig00000401 ), + .R(sclr), + .Q(\blk00000003/sig0000045c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034b ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig00000400 ), + .R(sclr), + .Q(\blk00000003/sig0000045b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003ff ), + .R(sclr), + .Q(\blk00000003/sig0000045a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000349 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003fe ), + .R(sclr), + .Q(\blk00000003/sig00000459 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003fd ), + .R(sclr), + .Q(\blk00000003/sig00000458 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000347 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003fc ), + .R(sclr), + .Q(\blk00000003/sig00000457 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000346 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003fb ), + .R(sclr), + .Q(\blk00000003/sig00000456 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000345 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003fa ), + .R(sclr), + .Q(\blk00000003/sig00000455 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000344 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003f9 ), + .R(sclr), + .Q(\blk00000003/sig00000454 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000343 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003f8 ), + .R(sclr), + .Q(\blk00000003/sig00000453 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000342 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003f7 ), + .R(sclr), + .Q(\blk00000003/sig00000452 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000341 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003f6 ), + .R(sclr), + .Q(\blk00000003/sig00000451 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000340 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003f5 ), + .R(sclr), + .Q(\blk00000003/sig00000498 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000033f ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003f4 ), + .R(sclr), + .Q(\blk00000003/sig00000497 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000033e ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003f3 ), + .R(sclr), + .Q(\blk00000003/sig00000496 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000033d ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003f2 ), + .R(sclr), + .Q(\blk00000003/sig00000495 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000033c ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003f1 ), + .R(sclr), + .Q(\blk00000003/sig00000494 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000033b ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003f0 ), + .R(sclr), + .Q(\blk00000003/sig00000493 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000033a ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003ef ), + .R(sclr), + .Q(\blk00000003/sig00000492 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000339 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003ee ), + .R(sclr), + .Q(\blk00000003/sig00000491 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000338 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003ed ), + .R(sclr), + .Q(\blk00000003/sig00000490 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000337 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003ec ), + .R(sclr), + .Q(\blk00000003/sig0000048f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000336 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003eb ), + .R(sclr), + .Q(\blk00000003/sig0000048e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000335 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003ea ), + .R(sclr), + .Q(\blk00000003/sig0000048d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000334 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003e9 ), + .R(sclr), + .Q(\blk00000003/sig0000048c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000333 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003e8 ), + .R(sclr), + .Q(\blk00000003/sig0000048b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000332 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003e7 ), + .R(sclr), + .Q(\blk00000003/sig0000048a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000331 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003e6 ), + .R(sclr), + .Q(\blk00000003/sig00000489 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000330 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003e5 ), + .R(sclr), + .Q(\blk00000003/sig00000488 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000032f ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003e4 ), + .R(sclr), + .Q(\blk00000003/sig00000487 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000032e ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003e3 ), + .R(sclr), + .Q(\blk00000003/sig00000486 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000032d ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003e2 ), + .R(sclr), + .Q(\blk00000003/sig00000485 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000032c ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003e1 ), + .R(sclr), + .Q(\blk00000003/sig00000484 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000032b ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003e0 ), + .R(sclr), + .Q(\blk00000003/sig00000483 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000032a ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003df ), + .R(sclr), + .Q(\blk00000003/sig00000482 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000329 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003de ), + .R(sclr), + .Q(\blk00000003/sig00000481 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000328 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000425 ), + .R(sclr), + .Q(\blk00000003/sig000004c8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000424 ), + .R(sclr), + .Q(\blk00000003/sig000004c7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000326 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000423 ), + .R(sclr), + .Q(\blk00000003/sig000004c6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000325 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000422 ), + .R(sclr), + .Q(\blk00000003/sig000004c5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000324 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000421 ), + .R(sclr), + .Q(\blk00000003/sig000004c4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000323 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000420 ), + .R(sclr), + .Q(\blk00000003/sig000004c3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000322 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig0000041f ), + .R(sclr), + .Q(\blk00000003/sig000004c2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000321 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig0000041e ), + .R(sclr), + .Q(\blk00000003/sig000004c1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000320 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig0000041d ), + .R(sclr), + .Q(\blk00000003/sig000004c0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031f ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig0000041c ), + .R(sclr), + .Q(\blk00000003/sig000004bf ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig0000041b ), + .R(sclr), + .Q(\blk00000003/sig000004be ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031d ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig0000041a ), + .R(sclr), + .Q(\blk00000003/sig000004bd ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031c ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000419 ), + .R(sclr), + .Q(\blk00000003/sig000004bc ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031b ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000418 ), + .R(sclr), + .Q(\blk00000003/sig000004bb ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031a ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000417 ), + .R(sclr), + .Q(\blk00000003/sig000004ba ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000319 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000416 ), + .R(sclr), + .Q(\blk00000003/sig000004b9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000318 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000415 ), + .R(sclr), + .Q(\blk00000003/sig000004b8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000317 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000414 ), + .R(sclr), + .Q(\blk00000003/sig000004b7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000316 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000413 ), + .R(sclr), + .Q(\blk00000003/sig000004b6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000412 ), + .R(sclr), + .Q(\blk00000003/sig000004b5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000314 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000411 ), + .R(sclr), + .Q(\blk00000003/sig000004b4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000313 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000410 ), + .R(sclr), + .Q(\blk00000003/sig000004b3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000312 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig0000040f ), + .R(sclr), + .Q(\blk00000003/sig000004b2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000311 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig0000040e ), + .R(sclr), + .Q(\blk00000003/sig000004b1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000118 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002d1 ), + .R(sclr), + .Q(\blk00000003/sig00000438 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002d0 ), + .R(sclr), + .Q(\blk00000003/sig00000437 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000116 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002cf ), + .R(sclr), + .Q(\blk00000003/sig00000436 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000115 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000434 ), + .R(sclr), + .Q(\blk00000003/sig00000435 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000114 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002d3 ), + .R(sclr), + .Q(\blk00000003/sig00000433 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000113 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002d7 ), + .R(sclr), + .Q(\blk00000003/sig00000432 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000112 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002da ), + .R(sclr), + .Q(\blk00000003/sig00000431 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000111 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002e1 ), + .R(sclr), + .Q(\blk00000003/sig00000430 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000110 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000042e ), + .R(sclr), + .Q(\blk00000003/sig0000042f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002c6 ), + .R(sclr), + .Q(\blk00000003/sig0000042d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002c5 ), + .R(sclr), + .Q(\blk00000003/sig0000042c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002c4 ), + .R(sclr), + .Q(\blk00000003/sig0000042b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000234 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000042a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000275 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000429 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000271 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000428 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000109 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000026e ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000427 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000108 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000026a ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000426 ) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk00000107 ( + .PATTERNBDETECT(\NLW_blk00000003/blk00000107_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk00000107_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk00000107_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk00000107_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk00000107_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk00000107_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk00000107_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000107_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000107_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000107_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000107_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000107_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000107_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000107_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000107_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000107_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000107_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000107_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000107_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000107_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000107_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig000000ae , +\blk00000003/sig00000049 , \blk00000003/sig000000ae }), + .PCIN({\blk00000003/sig00000384 , \blk00000003/sig00000385 , \blk00000003/sig00000386 , \blk00000003/sig00000387 , \blk00000003/sig00000388 , +\blk00000003/sig00000389 , \blk00000003/sig0000038a , \blk00000003/sig0000038b , \blk00000003/sig0000038c , \blk00000003/sig0000038d , +\blk00000003/sig0000038e , \blk00000003/sig0000038f , \blk00000003/sig00000390 , \blk00000003/sig00000391 , \blk00000003/sig00000392 , +\blk00000003/sig00000393 , \blk00000003/sig00000394 , \blk00000003/sig00000395 , \blk00000003/sig00000396 , \blk00000003/sig00000397 , +\blk00000003/sig00000398 , \blk00000003/sig00000399 , \blk00000003/sig0000039a , \blk00000003/sig0000039b , \blk00000003/sig0000039c , +\blk00000003/sig0000039d , \blk00000003/sig0000039e , \blk00000003/sig0000039f , \blk00000003/sig000003a0 , \blk00000003/sig000003a1 , +\blk00000003/sig000003a2 , \blk00000003/sig000003a3 , \blk00000003/sig000003a4 , \blk00000003/sig000003a5 , \blk00000003/sig000003a6 , +\blk00000003/sig000003a7 , \blk00000003/sig000003a8 , \blk00000003/sig000003a9 , \blk00000003/sig000003aa , \blk00000003/sig000003ab , +\blk00000003/sig000003ac , \blk00000003/sig000003ad , \blk00000003/sig000003ae , \blk00000003/sig000003af , \blk00000003/sig000003b0 , +\blk00000003/sig000003b1 , \blk00000003/sig000003b2 , \blk00000003/sig000003b3 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk00000107_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000107_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000107_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000107_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000003b4 , \blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 , \blk00000003/sig000003b8 , +\blk00000003/sig000003b9 , \blk00000003/sig000003ba , \blk00000003/sig000003bb , \blk00000003/sig000003bc , \blk00000003/sig000003bd , +\blk00000003/sig000003be , \blk00000003/sig000003bf , \blk00000003/sig000003c0 , \blk00000003/sig000003c1 , \blk00000003/sig000003c2 , +\blk00000003/sig000003c3 , \blk00000003/sig000003c4 , \blk00000003/sig000003c5 }), + .BCOUT({\NLW_blk00000003/blk00000107_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig000003f6 , \blk00000003/sig000003f6 , \blk00000003/sig000003f7 , \blk00000003/sig000003f8 , \blk00000003/sig000003f9 , +\blk00000003/sig000003fa , \blk00000003/sig000003fb , \blk00000003/sig000003fc , \blk00000003/sig000003fd , \blk00000003/sig000003fe , +\blk00000003/sig000003ff , \blk00000003/sig00000400 , \blk00000003/sig00000401 , \blk00000003/sig00000402 , \blk00000003/sig00000403 , +\blk00000003/sig00000404 , \blk00000003/sig00000405 , \blk00000003/sig00000406 , \blk00000003/sig00000407 , \blk00000003/sig00000408 , +\blk00000003/sig00000409 , \blk00000003/sig0000040a , \blk00000003/sig0000040b , \blk00000003/sig0000040c , \blk00000003/sig0000040d }), + .P({\NLW_blk00000003/blk00000107_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<0>_UNCONNECTED }), + .A({\blk00000003/sig0000040e , \blk00000003/sig0000040e , \blk00000003/sig0000040e , \blk00000003/sig0000040e , \blk00000003/sig0000040e , +\blk00000003/sig0000040e , \blk00000003/sig0000040e , \blk00000003/sig0000040f , \blk00000003/sig00000410 , \blk00000003/sig00000411 , +\blk00000003/sig00000412 , \blk00000003/sig00000413 , \blk00000003/sig00000414 , \blk00000003/sig00000415 , \blk00000003/sig00000416 , +\blk00000003/sig00000417 , \blk00000003/sig00000418 , \blk00000003/sig00000419 , \blk00000003/sig0000041a , \blk00000003/sig0000041b , +\blk00000003/sig0000041c , \blk00000003/sig0000041d , \blk00000003/sig0000041e , \blk00000003/sig0000041f , \blk00000003/sig00000420 , +\blk00000003/sig00000421 , \blk00000003/sig00000422 , \blk00000003/sig00000423 , \blk00000003/sig00000424 , \blk00000003/sig00000425 }), + .PCOUT({\blk00000003/sig000000c2 , \blk00000003/sig000000c3 , \blk00000003/sig000000c4 , \blk00000003/sig000000c5 , \blk00000003/sig000000c6 , +\blk00000003/sig000000c7 , \blk00000003/sig000000c8 , \blk00000003/sig000000c9 , \blk00000003/sig000000ca , \blk00000003/sig000000cb , +\blk00000003/sig000000cc , \blk00000003/sig000000cd , \blk00000003/sig000000ce , \blk00000003/sig000000cf , \blk00000003/sig000000d0 , +\blk00000003/sig000000d1 , \blk00000003/sig000000d2 , \blk00000003/sig000000d3 , \blk00000003/sig000000d4 , \blk00000003/sig000000d5 , +\blk00000003/sig000000d6 , \blk00000003/sig000000d7 , \blk00000003/sig000000d8 , \blk00000003/sig000000d9 , \blk00000003/sig000000da , +\blk00000003/sig000000db , \blk00000003/sig000000dc , \blk00000003/sig000000dd , \blk00000003/sig000000de , \blk00000003/sig000000df , +\blk00000003/sig000000e0 , \blk00000003/sig000000e1 , \blk00000003/sig000000e2 , \blk00000003/sig000000e3 , \blk00000003/sig000000e4 , +\blk00000003/sig000000e5 , \blk00000003/sig000000e6 , \blk00000003/sig000000e7 , \blk00000003/sig000000e8 , \blk00000003/sig000000e9 , +\blk00000003/sig000000ea , \blk00000003/sig000000eb , \blk00000003/sig000000ec , \blk00000003/sig000000ed , \blk00000003/sig000000ee , +\blk00000003/sig000000ef , \blk00000003/sig000000f0 , \blk00000003/sig000000f1 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk00000106 ( + .PATTERNBDETECT(\NLW_blk00000003/blk00000106_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk00000106_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk00000106_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk00000106_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk00000106_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk00000106_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk00000106_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000106_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000106_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000106_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000106_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000106_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000106_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000106_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000106_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000106_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000106_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000106_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000106_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000106_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000106_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig000000ae , +\blk00000003/sig00000049 , \blk00000003/sig000000ae }), + .PCIN({\blk00000003/sig00000324 , \blk00000003/sig00000325 , \blk00000003/sig00000326 , \blk00000003/sig00000327 , \blk00000003/sig00000328 , +\blk00000003/sig00000329 , \blk00000003/sig0000032a , \blk00000003/sig0000032b , \blk00000003/sig0000032c , \blk00000003/sig0000032d , +\blk00000003/sig0000032e , \blk00000003/sig0000032f , \blk00000003/sig00000330 , \blk00000003/sig00000331 , \blk00000003/sig00000332 , +\blk00000003/sig00000333 , \blk00000003/sig00000334 , \blk00000003/sig00000335 , \blk00000003/sig00000336 , \blk00000003/sig00000337 , +\blk00000003/sig00000338 , \blk00000003/sig00000339 , \blk00000003/sig0000033a , \blk00000003/sig0000033b , \blk00000003/sig0000033c , +\blk00000003/sig0000033d , \blk00000003/sig0000033e , \blk00000003/sig0000033f , \blk00000003/sig00000340 , \blk00000003/sig00000341 , +\blk00000003/sig00000342 , \blk00000003/sig00000343 , \blk00000003/sig00000344 , \blk00000003/sig00000345 , \blk00000003/sig00000346 , +\blk00000003/sig00000347 , \blk00000003/sig00000348 , \blk00000003/sig00000349 , \blk00000003/sig0000034a , \blk00000003/sig0000034b , +\blk00000003/sig0000034c , \blk00000003/sig0000034d , \blk00000003/sig0000034e , \blk00000003/sig0000034f , \blk00000003/sig00000350 , +\blk00000003/sig00000351 , \blk00000003/sig00000352 , \blk00000003/sig00000353 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk00000106_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000106_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000106_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000106_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000003b4 , \blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 , \blk00000003/sig000003b8 , +\blk00000003/sig000003b9 , \blk00000003/sig000003ba , \blk00000003/sig000003bb , \blk00000003/sig000003bc , \blk00000003/sig000003bd , +\blk00000003/sig000003be , \blk00000003/sig000003bf , \blk00000003/sig000003c0 , \blk00000003/sig000003c1 , \blk00000003/sig000003c2 , +\blk00000003/sig000003c3 , \blk00000003/sig000003c4 , \blk00000003/sig000003c5 }), + .BCOUT({\NLW_blk00000003/blk00000106_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig000003c6 , \blk00000003/sig000003c6 , \blk00000003/sig000003c7 , \blk00000003/sig000003c8 , \blk00000003/sig000003c9 , +\blk00000003/sig000003ca , \blk00000003/sig000003cb , \blk00000003/sig000003cc , \blk00000003/sig000003cd , \blk00000003/sig000003ce , +\blk00000003/sig000003cf , \blk00000003/sig000003d0 , \blk00000003/sig000003d1 , \blk00000003/sig000003d2 , \blk00000003/sig000003d3 , +\blk00000003/sig000003d4 , \blk00000003/sig000003d5 , \blk00000003/sig000003d6 , \blk00000003/sig000003d7 , \blk00000003/sig000003d8 , +\blk00000003/sig000003d9 , \blk00000003/sig000003da , \blk00000003/sig000003db , \blk00000003/sig000003dc , \blk00000003/sig000003dd }), + .P({\NLW_blk00000003/blk00000106_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<0>_UNCONNECTED }), + .A({\blk00000003/sig000003de , \blk00000003/sig000003de , \blk00000003/sig000003de , \blk00000003/sig000003de , \blk00000003/sig000003de , +\blk00000003/sig000003de , \blk00000003/sig000003de , \blk00000003/sig000003df , \blk00000003/sig000003e0 , \blk00000003/sig000003e1 , +\blk00000003/sig000003e2 , \blk00000003/sig000003e3 , \blk00000003/sig000003e4 , \blk00000003/sig000003e5 , \blk00000003/sig000003e6 , +\blk00000003/sig000003e7 , \blk00000003/sig000003e8 , \blk00000003/sig000003e9 , \blk00000003/sig000003ea , \blk00000003/sig000003eb , +\blk00000003/sig000003ec , \blk00000003/sig000003ed , \blk00000003/sig000003ee , \blk00000003/sig000003ef , \blk00000003/sig000003f0 , +\blk00000003/sig000003f1 , \blk00000003/sig000003f2 , \blk00000003/sig000003f3 , \blk00000003/sig000003f4 , \blk00000003/sig000003f5 }), + .PCOUT({\blk00000003/sig0000014c , \blk00000003/sig0000014d , \blk00000003/sig0000014e , \blk00000003/sig0000014f , \blk00000003/sig00000150 , +\blk00000003/sig00000151 , \blk00000003/sig00000152 , \blk00000003/sig00000153 , \blk00000003/sig00000154 , \blk00000003/sig00000155 , +\blk00000003/sig00000156 , \blk00000003/sig00000157 , \blk00000003/sig00000158 , \blk00000003/sig00000159 , \blk00000003/sig0000015a , +\blk00000003/sig0000015b , \blk00000003/sig0000015c , \blk00000003/sig0000015d , \blk00000003/sig0000015e , \blk00000003/sig0000015f , +\blk00000003/sig00000160 , \blk00000003/sig00000161 , \blk00000003/sig00000162 , \blk00000003/sig00000163 , \blk00000003/sig00000164 , +\blk00000003/sig00000165 , \blk00000003/sig00000166 , \blk00000003/sig00000167 , \blk00000003/sig00000168 , \blk00000003/sig00000169 , +\blk00000003/sig0000016a , \blk00000003/sig0000016b , \blk00000003/sig0000016c , \blk00000003/sig0000016d , \blk00000003/sig0000016e , +\blk00000003/sig0000016f , \blk00000003/sig00000170 , \blk00000003/sig00000171 , \blk00000003/sig00000172 , \blk00000003/sig00000173 , +\blk00000003/sig00000174 , \blk00000003/sig00000175 , \blk00000003/sig00000176 , \blk00000003/sig00000177 , \blk00000003/sig00000178 , +\blk00000003/sig00000179 , \blk00000003/sig0000017a , \blk00000003/sig0000017b }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk00000105 ( + .PATTERNBDETECT(\NLW_blk00000003/blk00000105_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk00000105_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk00000105_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk00000105_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk00000105_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk00000105_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk00000105_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000105_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000105_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000105_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000105_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000105_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000105_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000105_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000105_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000105_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000105_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000105_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000105_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000105_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000105_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig000000ae , +\blk00000003/sig00000049 , \blk00000003/sig000000ae }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk00000105_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000105_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000105_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000105_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000002e2 , \blk00000003/sig000002e3 , \blk00000003/sig000002e4 , \blk00000003/sig000002e5 , \blk00000003/sig000002e6 , +\blk00000003/sig000002e7 , \blk00000003/sig000002e8 , \blk00000003/sig000002e9 , \blk00000003/sig000002ea , \blk00000003/sig000002eb , +\blk00000003/sig000002ec , \blk00000003/sig000002ed , \blk00000003/sig000002ee , \blk00000003/sig000002ef , \blk00000003/sig000002f0 , +\blk00000003/sig000002f1 , \blk00000003/sig000002f2 , \blk00000003/sig000002f3 }), + .BCOUT({\NLW_blk00000003/blk00000105_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig00000354 , \blk00000003/sig00000354 , \blk00000003/sig00000355 , \blk00000003/sig00000356 , \blk00000003/sig00000357 , +\blk00000003/sig00000358 , \blk00000003/sig00000359 , \blk00000003/sig0000035a , \blk00000003/sig0000035b , \blk00000003/sig0000035c , +\blk00000003/sig0000035d , \blk00000003/sig0000035e , \blk00000003/sig0000035f , \blk00000003/sig00000360 , \blk00000003/sig00000361 , +\blk00000003/sig00000362 , \blk00000003/sig00000363 , \blk00000003/sig00000364 , \blk00000003/sig00000365 , \blk00000003/sig00000366 , +\blk00000003/sig00000367 , \blk00000003/sig00000368 , \blk00000003/sig00000369 , \blk00000003/sig0000036a , \blk00000003/sig0000036b }), + .P({\NLW_blk00000003/blk00000105_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<0>_UNCONNECTED }), + .A({\blk00000003/sig0000036c , \blk00000003/sig0000036c , \blk00000003/sig0000036c , \blk00000003/sig0000036c , \blk00000003/sig0000036c , +\blk00000003/sig0000036c , \blk00000003/sig0000036c , \blk00000003/sig0000036d , \blk00000003/sig0000036e , \blk00000003/sig0000036f , +\blk00000003/sig00000370 , \blk00000003/sig00000371 , \blk00000003/sig00000372 , \blk00000003/sig00000373 , \blk00000003/sig00000374 , +\blk00000003/sig00000375 , \blk00000003/sig00000376 , \blk00000003/sig00000377 , \blk00000003/sig00000378 , \blk00000003/sig00000379 , +\blk00000003/sig0000037a , \blk00000003/sig0000037b , \blk00000003/sig0000037c , \blk00000003/sig0000037d , \blk00000003/sig0000037e , +\blk00000003/sig0000037f , \blk00000003/sig00000380 , \blk00000003/sig00000381 , \blk00000003/sig00000382 , \blk00000003/sig00000383 }), + .PCOUT({\blk00000003/sig00000384 , \blk00000003/sig00000385 , \blk00000003/sig00000386 , \blk00000003/sig00000387 , \blk00000003/sig00000388 , +\blk00000003/sig00000389 , \blk00000003/sig0000038a , \blk00000003/sig0000038b , \blk00000003/sig0000038c , \blk00000003/sig0000038d , +\blk00000003/sig0000038e , \blk00000003/sig0000038f , \blk00000003/sig00000390 , \blk00000003/sig00000391 , \blk00000003/sig00000392 , +\blk00000003/sig00000393 , \blk00000003/sig00000394 , \blk00000003/sig00000395 , \blk00000003/sig00000396 , \blk00000003/sig00000397 , +\blk00000003/sig00000398 , \blk00000003/sig00000399 , \blk00000003/sig0000039a , \blk00000003/sig0000039b , \blk00000003/sig0000039c , +\blk00000003/sig0000039d , \blk00000003/sig0000039e , \blk00000003/sig0000039f , \blk00000003/sig000003a0 , \blk00000003/sig000003a1 , +\blk00000003/sig000003a2 , \blk00000003/sig000003a3 , \blk00000003/sig000003a4 , \blk00000003/sig000003a5 , \blk00000003/sig000003a6 , +\blk00000003/sig000003a7 , \blk00000003/sig000003a8 , \blk00000003/sig000003a9 , \blk00000003/sig000003aa , \blk00000003/sig000003ab , +\blk00000003/sig000003ac , \blk00000003/sig000003ad , \blk00000003/sig000003ae , \blk00000003/sig000003af , \blk00000003/sig000003b0 , +\blk00000003/sig000003b1 , \blk00000003/sig000003b2 , \blk00000003/sig000003b3 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk00000104 ( + .PATTERNBDETECT(\NLW_blk00000003/blk00000104_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk00000104_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk00000104_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk00000104_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk00000104_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk00000104_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk00000104_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000104_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000104_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000104_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000104_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000104_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000104_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000104_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000104_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000104_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000104_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000104_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000104_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000104_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000104_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig000000ae , +\blk00000003/sig00000049 , \blk00000003/sig000000ae }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk00000104_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000104_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000104_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000104_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000002e2 , \blk00000003/sig000002e3 , \blk00000003/sig000002e4 , \blk00000003/sig000002e5 , \blk00000003/sig000002e6 , +\blk00000003/sig000002e7 , \blk00000003/sig000002e8 , \blk00000003/sig000002e9 , \blk00000003/sig000002ea , \blk00000003/sig000002eb , +\blk00000003/sig000002ec , \blk00000003/sig000002ed , \blk00000003/sig000002ee , \blk00000003/sig000002ef , \blk00000003/sig000002f0 , +\blk00000003/sig000002f1 , \blk00000003/sig000002f2 , \blk00000003/sig000002f3 }), + .BCOUT({\NLW_blk00000003/blk00000104_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig000002f4 , \blk00000003/sig000002f4 , \blk00000003/sig000002f5 , \blk00000003/sig000002f6 , \blk00000003/sig000002f7 , +\blk00000003/sig000002f8 , \blk00000003/sig000002f9 , \blk00000003/sig000002fa , \blk00000003/sig000002fb , \blk00000003/sig000002fc , +\blk00000003/sig000002fd , \blk00000003/sig000002fe , \blk00000003/sig000002ff , \blk00000003/sig00000300 , \blk00000003/sig00000301 , +\blk00000003/sig00000302 , \blk00000003/sig00000303 , \blk00000003/sig00000304 , \blk00000003/sig00000305 , \blk00000003/sig00000306 , +\blk00000003/sig00000307 , \blk00000003/sig00000308 , \blk00000003/sig00000309 , \blk00000003/sig0000030a , \blk00000003/sig0000030b }), + .P({\NLW_blk00000003/blk00000104_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<0>_UNCONNECTED }), + .A({\blk00000003/sig0000030c , \blk00000003/sig0000030c , \blk00000003/sig0000030c , \blk00000003/sig0000030c , \blk00000003/sig0000030c , +\blk00000003/sig0000030c , \blk00000003/sig0000030c , \blk00000003/sig0000030d , \blk00000003/sig0000030e , \blk00000003/sig0000030f , +\blk00000003/sig00000310 , \blk00000003/sig00000311 , \blk00000003/sig00000312 , \blk00000003/sig00000313 , \blk00000003/sig00000314 , +\blk00000003/sig00000315 , \blk00000003/sig00000316 , \blk00000003/sig00000317 , \blk00000003/sig00000318 , \blk00000003/sig00000319 , +\blk00000003/sig0000031a , \blk00000003/sig0000031b , \blk00000003/sig0000031c , \blk00000003/sig0000031d , \blk00000003/sig0000031e , +\blk00000003/sig0000031f , \blk00000003/sig00000320 , \blk00000003/sig00000321 , \blk00000003/sig00000322 , \blk00000003/sig00000323 }), + .PCOUT({\blk00000003/sig00000324 , \blk00000003/sig00000325 , \blk00000003/sig00000326 , \blk00000003/sig00000327 , \blk00000003/sig00000328 , +\blk00000003/sig00000329 , \blk00000003/sig0000032a , \blk00000003/sig0000032b , \blk00000003/sig0000032c , \blk00000003/sig0000032d , +\blk00000003/sig0000032e , \blk00000003/sig0000032f , \blk00000003/sig00000330 , \blk00000003/sig00000331 , \blk00000003/sig00000332 , +\blk00000003/sig00000333 , \blk00000003/sig00000334 , \blk00000003/sig00000335 , \blk00000003/sig00000336 , \blk00000003/sig00000337 , +\blk00000003/sig00000338 , \blk00000003/sig00000339 , \blk00000003/sig0000033a , \blk00000003/sig0000033b , \blk00000003/sig0000033c , +\blk00000003/sig0000033d , \blk00000003/sig0000033e , \blk00000003/sig0000033f , \blk00000003/sig00000340 , \blk00000003/sig00000341 , +\blk00000003/sig00000342 , \blk00000003/sig00000343 , \blk00000003/sig00000344 , \blk00000003/sig00000345 , \blk00000003/sig00000346 , +\blk00000003/sig00000347 , \blk00000003/sig00000348 , \blk00000003/sig00000349 , \blk00000003/sig0000034a , \blk00000003/sig0000034b , +\blk00000003/sig0000034c , \blk00000003/sig0000034d , \blk00000003/sig0000034e , \blk00000003/sig0000034f , \blk00000003/sig00000350 , +\blk00000003/sig00000351 , \blk00000003/sig00000352 , \blk00000003/sig00000353 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000103 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001ce ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000102 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002df ), + .Q(\blk00000003/sig000002e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000101 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002de ), + .Q(\blk00000003/sig000002d2 ) + ); + XORCY \blk00000003/blk00000100 ( + .CI(\blk00000003/sig000002d9 ), + .LI(\blk00000003/sig000002db ), + .O(\blk00000003/sig000002dd ) + ); + XORCY \blk00000003/blk000000ff ( + .CI(\blk00000003/sig000002d5 ), + .LI(\blk00000003/sig000002d8 ), + .O(\blk00000003/sig000002dc ) + ); + MUXCY_D \blk00000003/blk000000fe ( + .CI(\blk00000003/sig000002d9 ), + .DI(\blk00000003/sig000002da ), + .S(\blk00000003/sig000002db ), + .O(\NLW_blk00000003/blk000000fe_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000fe_LO_UNCONNECTED ) + ); + MUXCY_L \blk00000003/blk000000fd ( + .CI(\blk00000003/sig000002d5 ), + .DI(\blk00000003/sig000002d7 ), + .S(\blk00000003/sig000002d8 ), + .LO(\blk00000003/sig000002d9 ) + ); + XORCY \blk00000003/blk000000fc ( + .CI(\blk00000003/sig000002d2 ), + .LI(\blk00000003/sig000002d4 ), + .O(\blk00000003/sig000002d6 ) + ); + MUXCY_L \blk00000003/blk000000fb ( + .CI(\blk00000003/sig000002d2 ), + .DI(\blk00000003/sig000002d3 ), + .S(\blk00000003/sig000002d4 ), + .LO(\blk00000003/sig000002d5 ) + ); + MUXCY_L \blk00000003/blk000000fa ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000002d1 ), + .S(\blk00000003/sig000002c7 ), + .LO(\blk00000003/sig000002cc ) + ); + MUXCY_L \blk00000003/blk000000f9 ( + .CI(\blk00000003/sig000002cc ), + .DI(\blk00000003/sig000002d0 ), + .S(\blk00000003/sig000002cd ), + .LO(\blk00000003/sig000002c9 ) + ); + MUXCY_D \blk00000003/blk000000f8 ( + .CI(\blk00000003/sig000002c9 ), + .DI(\blk00000003/sig000002cf ), + .S(\blk00000003/sig000002ca ), + .O(\NLW_blk00000003/blk000000f8_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000f8_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000f7 ( + .CI(\blk00000003/sig000002cc ), + .LI(\blk00000003/sig000002cd ), + .O(\blk00000003/sig000002ce ) + ); + XORCY \blk00000003/blk000000f6 ( + .CI(\blk00000003/sig000002c9 ), + .LI(\blk00000003/sig000002ca ), + .O(\blk00000003/sig000002cb ) + ); + XORCY \blk00000003/blk000000f5 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig000002c7 ), + .O(\blk00000003/sig000002c8 ) + ); + MUXCY_L \blk00000003/blk000000f4 ( + .CI(\blk00000003/sig000002ba ), + .DI(\blk00000003/sig000002c6 ), + .S(\blk00000003/sig000002bb ), + .LO(\blk00000003/sig000002c0 ) + ); + MUXCY_L \blk00000003/blk000000f3 ( + .CI(\blk00000003/sig000002c0 ), + .DI(\blk00000003/sig000002c5 ), + .S(\blk00000003/sig000002c1 ), + .LO(\blk00000003/sig000002bd ) + ); + MUXCY_D \blk00000003/blk000000f2 ( + .CI(\blk00000003/sig000002bd ), + .DI(\blk00000003/sig000002c4 ), + .S(\blk00000003/sig000002be ), + .O(\NLW_blk00000003/blk000000f2_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000f2_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000f1 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ae ), + .S(\blk00000003/sig000002c3 ), + .O(\blk00000003/sig000002ba ) + ); + XORCY \blk00000003/blk000000f0 ( + .CI(\blk00000003/sig000002c0 ), + .LI(\blk00000003/sig000002c1 ), + .O(\blk00000003/sig000002c2 ) + ); + XORCY \blk00000003/blk000000ef ( + .CI(\blk00000003/sig000002bd ), + .LI(\blk00000003/sig000002be ), + .O(\blk00000003/sig000002bf ) + ); + XORCY \blk00000003/blk000000ee ( + .CI(\blk00000003/sig000002ba ), + .LI(\blk00000003/sig000002bb ), + .O(\blk00000003/sig000002bc ) + ); + FDE \blk00000003/blk000000ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b8 ), + .Q(\blk00000003/sig000002b9 ) + ); + MUXCY_L \blk00000003/blk000000ec ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000002b7 ), + .S(\blk00000003/sig000002b1 ), + .LO(\blk00000003/sig000002b3 ) + ); + MUXCY_D \blk00000003/blk000000eb ( + .CI(\blk00000003/sig000002b3 ), + .DI(\blk00000003/sig000002b6 ), + .S(\blk00000003/sig000002b4 ), + .O(\NLW_blk00000003/blk000000eb_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000eb_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000ea ( + .CI(\blk00000003/sig000002b3 ), + .LI(\blk00000003/sig000002b4 ), + .O(\blk00000003/sig000002b5 ) + ); + XORCY \blk00000003/blk000000e9 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig000002b1 ), + .O(\blk00000003/sig000002b2 ) + ); + MUXCY_L \blk00000003/blk000000e8 ( + .CI(\blk00000003/sig000002aa ), + .DI(\blk00000003/sig000001e9 ), + .S(\blk00000003/sig000002ab ), + .LO(\blk00000003/sig000002ad ) + ); + MUXCY_D \blk00000003/blk000000e7 ( + .CI(\blk00000003/sig000002ad ), + .DI(\blk00000003/sig000001e8 ), + .S(\blk00000003/sig000002ae ), + .O(\NLW_blk00000003/blk000000e7_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000e7_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000e6 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ae ), + .S(\blk00000003/sig000002b0 ), + .O(\blk00000003/sig000002aa ) + ); + XORCY \blk00000003/blk000000e5 ( + .CI(\blk00000003/sig000002ad ), + .LI(\blk00000003/sig000002ae ), + .O(\blk00000003/sig000002af ) + ); + XORCY \blk00000003/blk000000e4 ( + .CI(\blk00000003/sig000002aa ), + .LI(\blk00000003/sig000002ab ), + .O(\blk00000003/sig000002ac ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a8 ), + .R(sclr), + .Q(\blk00000003/sig000002a9 ) + ); + MUXCY_D \blk00000003/blk000000e2 ( + .CI(\blk00000003/sig000002a5 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000002a7 ), + .O(\NLW_blk00000003/blk000000e2_O_UNCONNECTED ), + .LO(\blk00000003/sig000002a8 ) + ); + MUXCY_D \blk00000003/blk000000e1 ( + .CI(\blk00000003/sig000000ae ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000002a6 ), + .O(\blk00000003/sig000002a3 ), + .LO(\NLW_blk00000003/blk000000e1_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000e0 ( + .CI(\blk00000003/sig000002a3 ), + .DI(\blk00000003/sig000002a2 ), + .S(\blk00000003/sig000002a4 ), + .O(\blk00000003/sig000002a5 ), + .LO(\blk00000003/sig000002a1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000df ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a1 ), + .R(sclr), + .Q(\blk00000003/sig000002a2 ) + ); + MUXCY_L \blk00000003/blk000000de ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000002a0 ), + .S(\blk00000003/sig0000029a ), + .LO(\blk00000003/sig0000029c ) + ); + MUXCY_D \blk00000003/blk000000dd ( + .CI(\blk00000003/sig0000029c ), + .DI(\blk00000003/sig0000029f ), + .S(\blk00000003/sig0000029d ), + .O(\NLW_blk00000003/blk000000dd_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000dd_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000dc ( + .CI(\blk00000003/sig0000029c ), + .LI(\blk00000003/sig0000029d ), + .O(\blk00000003/sig0000029e ) + ); + XORCY \blk00000003/blk000000db ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig0000029a ), + .O(\blk00000003/sig0000029b ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000000da ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000028b ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000221 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000028a ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000021e ) + ); + MUXCY_D \blk00000003/blk000000d8 ( + .CI(\blk00000003/sig0000021e ), + .DI(\blk00000003/sig00000298 ), + .S(\blk00000003/sig00000299 ), + .O(\blk00000003/sig00000295 ), + .LO(\NLW_blk00000003/blk000000d8_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d7 ( + .CI(\blk00000003/sig00000295 ), + .DI(\blk00000003/sig00000296 ), + .S(\blk00000003/sig00000297 ), + .O(\blk00000003/sig00000293 ), + .LO(\NLW_blk00000003/blk000000d7_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d6 ( + .CI(\blk00000003/sig00000293 ), + .DI(\blk00000003/sig00000289 ), + .S(\blk00000003/sig00000294 ), + .O(\blk00000003/sig00000290 ), + .LO(\NLW_blk00000003/blk000000d6_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d5 ( + .CI(\blk00000003/sig00000290 ), + .DI(\blk00000003/sig00000291 ), + .S(\blk00000003/sig00000292 ), + .O(\blk00000003/sig0000028e ), + .LO(\NLW_blk00000003/blk000000d5_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d4 ( + .CI(\blk00000003/sig0000028e ), + .DI(\blk00000003/sig00000246 ), + .S(\blk00000003/sig0000028f ), + .O(\blk00000003/sig0000028c ), + .LO(\NLW_blk00000003/blk000000d4_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d3 ( + .CI(\blk00000003/sig0000028c ), + .DI(\blk00000003/sig0000021b ), + .S(\blk00000003/sig0000028d ), + .O(\NLW_blk00000003/blk000000d3_O_UNCONNECTED ), + .LO(\blk00000003/sig0000028a ) + ); + XORCY \blk00000003/blk000000d2 ( + .CI(\blk00000003/sig0000028a ), + .LI(\blk00000003/sig000000ae ), + .O(\blk00000003/sig0000028b ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000000d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000279 ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000289 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000278 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000001ce ) + ); + MUXCY_D \blk00000003/blk000000cf ( + .CI(\blk00000003/sig000001ce ), + .DI(\blk00000003/sig00000287 ), + .S(\blk00000003/sig00000288 ), + .O(\blk00000003/sig00000285 ), + .LO(\NLW_blk00000003/blk000000cf_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000ce ( + .CI(\blk00000003/sig00000285 ), + .DI(\blk00000003/sig000001cf ), + .S(\blk00000003/sig00000286 ), + .O(\blk00000003/sig00000283 ), + .LO(\NLW_blk00000003/blk000000ce_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000cd ( + .CI(\blk00000003/sig00000283 ), + .DI(\blk00000003/sig000001ce ), + .S(\blk00000003/sig00000284 ), + .O(\blk00000003/sig00000280 ), + .LO(\NLW_blk00000003/blk000000cd_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000cc ( + .CI(\blk00000003/sig00000280 ), + .DI(\blk00000003/sig00000281 ), + .S(\blk00000003/sig00000282 ), + .O(\blk00000003/sig0000027e ), + .LO(\NLW_blk00000003/blk000000cc_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000cb ( + .CI(\blk00000003/sig0000027e ), + .DI(\blk00000003/sig00000221 ), + .S(\blk00000003/sig0000027f ), + .O(\blk00000003/sig0000027a ), + .LO(\NLW_blk00000003/blk000000cb_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000ca ( + .CI(\blk00000003/sig0000027c ), + .DI(\blk00000003/sig00000221 ), + .S(\blk00000003/sig0000027d ), + .O(\NLW_blk00000003/blk000000ca_O_UNCONNECTED ), + .LO(\blk00000003/sig00000278 ) + ); + MUXCY_D \blk00000003/blk000000c9 ( + .CI(\blk00000003/sig0000027a ), + .DI(\blk00000003/sig0000023b ), + .S(\blk00000003/sig0000027b ), + .O(\blk00000003/sig0000027c ), + .LO(\NLW_blk00000003/blk000000c9_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000c8 ( + .CI(\blk00000003/sig00000278 ), + .LI(\blk00000003/sig000000ae ), + .O(\blk00000003/sig00000279 ) + ); + FDE \blk00000003/blk000000c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000276 ), + .Q(\blk00000003/sig00000277 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000021e ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000275 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000024c ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000269 ) + ); + XORCY \blk00000003/blk000000c4 ( + .CI(\blk00000003/sig00000270 ), + .LI(\blk00000003/sig00000272 ), + .O(\blk00000003/sig00000274 ) + ); + XORCY \blk00000003/blk000000c3 ( + .CI(\blk00000003/sig0000026c ), + .LI(\blk00000003/sig0000026f ), + .O(\blk00000003/sig00000273 ) + ); + MUXCY_D \blk00000003/blk000000c2 ( + .CI(\blk00000003/sig00000270 ), + .DI(\blk00000003/sig00000271 ), + .S(\blk00000003/sig00000272 ), + .O(\NLW_blk00000003/blk000000c2_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000c2_LO_UNCONNECTED ) + ); + MUXCY_L \blk00000003/blk000000c1 ( + .CI(\blk00000003/sig0000026c ), + .DI(\blk00000003/sig0000026e ), + .S(\blk00000003/sig0000026f ), + .LO(\blk00000003/sig00000270 ) + ); + XORCY \blk00000003/blk000000c0 ( + .CI(\blk00000003/sig00000269 ), + .LI(\blk00000003/sig0000026b ), + .O(\blk00000003/sig0000026d ) + ); + MUXCY_L \blk00000003/blk000000bf ( + .CI(\blk00000003/sig00000269 ), + .DI(\blk00000003/sig0000026a ), + .S(\blk00000003/sig0000026b ), + .LO(\blk00000003/sig0000026c ) + ); + MUXCY \blk00000003/blk000000be ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ae ), + .S(\blk00000003/sig00000268 ), + .O(\blk00000003/sig00000264 ) + ); + MUXCY_D \blk00000003/blk000000bd ( + .CI(\blk00000003/sig00000264 ), + .DI(\blk00000003/sig00000267 ), + .S(\blk00000003/sig00000265 ), + .O(\NLW_blk00000003/blk000000bd_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000bd_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000bc ( + .CI(\blk00000003/sig00000264 ), + .LI(\blk00000003/sig00000265 ), + .O(\blk00000003/sig00000266 ) + ); + MUXCY_L \blk00000003/blk000000bb ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000263 ), + .S(\blk00000003/sig00000261 ), + .LO(\blk00000003/sig0000025c ) + ); + XORCY \blk00000003/blk000000ba ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000261 ), + .O(\blk00000003/sig00000262 ) + ); + MUXCY_L \blk00000003/blk000000b9 ( + .CI(\blk00000003/sig0000025c ), + .DI(\blk00000003/sig00000260 ), + .S(\blk00000003/sig0000025d ), + .LO(\blk00000003/sig00000259 ) + ); + MUXCY_D \blk00000003/blk000000b8 ( + .CI(\blk00000003/sig00000259 ), + .DI(\blk00000003/sig0000025f ), + .S(\blk00000003/sig0000025a ), + .O(\NLW_blk00000003/blk000000b8_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000b8_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000b7 ( + .CI(\blk00000003/sig0000025c ), + .LI(\blk00000003/sig0000025d ), + .O(\blk00000003/sig0000025e ) + ); + XORCY \blk00000003/blk000000b6 ( + .CI(\blk00000003/sig00000259 ), + .LI(\blk00000003/sig0000025a ), + .O(\blk00000003/sig0000025b ) + ); + MUXCY_L \blk00000003/blk000000b5 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000258 ), + .S(\blk00000003/sig00000256 ), + .LO(\blk00000003/sig00000251 ) + ); + XORCY \blk00000003/blk000000b4 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000256 ), + .O(\blk00000003/sig00000257 ) + ); + MUXCY_L \blk00000003/blk000000b3 ( + .CI(\blk00000003/sig00000251 ), + .DI(\blk00000003/sig00000255 ), + .S(\blk00000003/sig00000252 ), + .LO(\blk00000003/sig0000024e ) + ); + MUXCY_D \blk00000003/blk000000b2 ( + .CI(\blk00000003/sig0000024e ), + .DI(\blk00000003/sig00000254 ), + .S(\blk00000003/sig0000024f ), + .O(\NLW_blk00000003/blk000000b2_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000b2_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000b1 ( + .CI(\blk00000003/sig00000251 ), + .LI(\blk00000003/sig00000252 ), + .O(\blk00000003/sig00000253 ) + ); + XORCY \blk00000003/blk000000b0 ( + .CI(\blk00000003/sig0000024e ), + .LI(\blk00000003/sig0000024f ), + .O(\blk00000003/sig00000250 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000af ( + .C(clk), + .CE(ce), + .D(coef_ld), + .Q(\blk00000003/sig0000024d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ae ( + .C(clk), + .CE(ce), + .D(coef_we), + .Q(\blk00000003/sig0000024c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e2 ), + .Q(\blk00000003/sig00000240 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000024b ), + .Q(\blk00000003/sig00000233 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000249 ), + .Q(\blk00000003/sig0000024a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000248 ), + .Q(\blk00000003/sig00000231 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000247 ), + .Q(\blk00000003/sig0000023e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000245 ), + .Q(\blk00000003/sig00000246 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000243 ), + .Q(\blk00000003/sig00000244 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000241 ), + .Q(\blk00000003/sig00000242 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000240 ), + .Q(\blk00000003/sig0000023c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000023e ), + .Q(\blk00000003/sig0000023f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000023c ), + .Q(\blk00000003/sig0000023d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000021d ), + .Q(\blk00000003/sig0000023b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000236 ), + .Q(\blk00000003/sig0000023a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000230 ), + .R(coef_ld), + .Q(\NLW_blk00000003/blk000000a0_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000022d ), + .R(coef_ld), + .Q(\blk00000003/sig0000022c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000022a ), + .R(coef_ld), + .Q(\NLW_blk00000003/blk0000009e_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000226 ), + .R(coef_ld), + .Q(\blk00000003/sig00000224 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000021e ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000239 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000237 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000238 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000235 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000236 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000099 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000233 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000234 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000098 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000231 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000232 ) + ); + MUXCY_D \blk00000003/blk00000097 ( + .CI(coef_we), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig0000022f ), + .O(\blk00000003/sig00000228 ), + .LO(\blk00000003/sig00000230 ) + ); + MUXCY_D \blk00000003/blk00000096 ( + .CI(\blk00000003/sig000000ae ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig0000022e ), + .O(\blk00000003/sig0000022b ), + .LO(\NLW_blk00000003/blk00000096_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk00000095 ( + .CI(\blk00000003/sig0000022b ), + .DI(\blk00000003/sig0000022c ), + .S(coef_we), + .O(\NLW_blk00000003/blk00000095_O_UNCONNECTED ), + .LO(\blk00000003/sig0000022d ) + ); + MUXCY_D \blk00000003/blk00000094 ( + .CI(\blk00000003/sig00000228 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000229 ), + .O(\NLW_blk00000003/blk00000094_O_UNCONNECTED ), + .LO(\blk00000003/sig0000022a ) + ); + MUXCY_D \blk00000003/blk00000093 ( + .CI(\blk00000003/sig000000ae ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000227 ), + .O(\blk00000003/sig00000223 ), + .LO(\NLW_blk00000003/blk00000093_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk00000092 ( + .CI(\blk00000003/sig00000223 ), + .DI(\blk00000003/sig00000224 ), + .S(\blk00000003/sig00000225 ), + .O(\NLW_blk00000003/blk00000092_O_UNCONNECTED ), + .LO(\blk00000003/sig00000226 ) + ); + XORCY \blk00000003/blk00000091 ( + .CI(\blk00000003/sig0000021c ), + .LI(\blk00000003/sig000000ae ), + .O(\blk00000003/sig0000021a ) + ); + MUXCY_D \blk00000003/blk00000090 ( + .CI(\blk00000003/sig00000220 ), + .DI(\blk00000003/sig00000221 ), + .S(\blk00000003/sig00000222 ), + .O(\NLW_blk00000003/blk00000090_O_UNCONNECTED ), + .LO(\blk00000003/sig0000021c ) + ); + MUXCY_D \blk00000003/blk0000008f ( + .CI(\blk00000003/sig0000021d ), + .DI(\blk00000003/sig0000021e ), + .S(\blk00000003/sig0000021f ), + .O(\blk00000003/sig00000220 ), + .LO(\NLW_blk00000003/blk0000008f_LO_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000008e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000021c ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000021d ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk0000008d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000021a ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000021b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000029 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e7 ), + .R(sclr), + .Q(\blk00000003/sig000001e6 ) + ); + FDR #( + .INIT ( 1'b1 )) + \blk00000003/blk00000028 ( + .C(clk), + .D(\blk00000003/sig000000b6 ), + .R(sclr), + .Q(\blk00000003/sig000000b6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000027 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e6 ), + .R(sclr), + .Q(\blk00000003/sig000001e3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000026 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e5 ), + .R(\blk00000003/sig000001e0 ), + .Q(data_valid) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000025 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e3 ), + .R(sclr), + .Q(\blk00000003/sig000001e4 ) + ); + FDRE \blk00000003/blk00000024 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e1 ), + .R(sclr), + .Q(\blk00000003/sig000001e2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000023 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001df ), + .R(\blk00000003/sig000001e0 ), + .Q(rdy) + ); + FDSE \blk00000003/blk00000022 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001dd ), + .S(sclr), + .Q(\blk00000003/sig000001de ) + ); + FDRE \blk00000003/blk00000021 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001db ), + .R(sclr), + .Q(\blk00000003/sig000001dc ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000020 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001cc ), + .S(sclr), + .Q(NlwRenamedSig_OI_rfd) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001ca ), + .R(sclr), + .Q(\blk00000003/sig000001da ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d9 ), + .R(sclr), + .Q(\blk00000003/sig000001c7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001c6 ), + .R(sclr), + .Q(\blk00000003/sig000001d8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d7 ), + .R(sclr), + .Q(\blk00000003/sig000001c4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d5 ), + .R(sclr), + .Q(\blk00000003/sig000001d6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d3 ), + .R(sclr), + .Q(\blk00000003/sig000001d4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000019 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d1 ), + .R(sclr), + .Q(\NLW_blk00000003/blk00000019_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000018 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d1 ), + .R(sclr), + .Q(\blk00000003/sig000001d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000017 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001cf ), + .Q(\blk00000003/sig000001d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000016 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001ce ), + .Q(\blk00000003/sig000001cf ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000015 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000000c0 ), + .R(sclr), + .Q(\blk00000003/sig000000be ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000014 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000000bb ), + .R(sclr), + .Q(\NLW_blk00000003/blk00000014_Q_UNCONNECTED ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000013 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000000bc ), + .S(sclr), + .Q(\blk00000003/sig000001cd ) + ); + MUXCY \blk00000003/blk00000012 ( + .CI(\blk00000003/sig000001c9 ), + .DI(\blk00000003/sig000000ae ), + .S(\blk00000003/sig000001cb ), + .O(\blk00000003/sig000001cc ) + ); + MUXCY_D \blk00000003/blk00000011 ( + .CI(\blk00000003/sig000001c7 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000001c8 ), + .O(\blk00000003/sig000001c9 ), + .LO(\blk00000003/sig000001ca ) + ); + MUXCY_D \blk00000003/blk00000010 ( + .CI(\blk00000003/sig000001c4 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000001c5 ), + .O(\NLW_blk00000003/blk00000010_O_UNCONNECTED ), + .LO(\blk00000003/sig000001c6 ) + ); + DSP48E1 #( + .ACASCREG ( 2 ), + .ADREG ( 0 ), + .ALUMODEREG ( 1 ), + .AREG ( 2 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 2 ), + .BREG ( 2 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 0 ), + .INMODEREG ( 0 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "FALSE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk0000000f ( + .PATTERNBDETECT(\NLW_blk00000003/blk0000000f_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(ce), + .CEAD(\blk00000003/sig00000049 ), + .MULTSIGNOUT(\NLW_blk00000003/blk0000000f_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk0000000f_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk0000000f_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk0000000f_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(\blk00000003/sig00000049 ), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(ce), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk0000000f_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk0000000f_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000b0 , \blk00000003/sig00000049 , \blk00000003/sig000000b4 , +\blk00000003/sig000000b2 , \blk00000003/sig000000b4 }), + .PCIN({\blk00000003/sig0000014c , \blk00000003/sig0000014d , \blk00000003/sig0000014e , \blk00000003/sig0000014f , \blk00000003/sig00000150 , +\blk00000003/sig00000151 , \blk00000003/sig00000152 , \blk00000003/sig00000153 , \blk00000003/sig00000154 , \blk00000003/sig00000155 , +\blk00000003/sig00000156 , \blk00000003/sig00000157 , \blk00000003/sig00000158 , \blk00000003/sig00000159 , \blk00000003/sig0000015a , +\blk00000003/sig0000015b , \blk00000003/sig0000015c , \blk00000003/sig0000015d , \blk00000003/sig0000015e , \blk00000003/sig0000015f , +\blk00000003/sig00000160 , \blk00000003/sig00000161 , \blk00000003/sig00000162 , \blk00000003/sig00000163 , \blk00000003/sig00000164 , +\blk00000003/sig00000165 , \blk00000003/sig00000166 , \blk00000003/sig00000167 , \blk00000003/sig00000168 , \blk00000003/sig00000169 , +\blk00000003/sig0000016a , \blk00000003/sig0000016b , \blk00000003/sig0000016c , \blk00000003/sig0000016d , \blk00000003/sig0000016e , +\blk00000003/sig0000016f , \blk00000003/sig00000170 , \blk00000003/sig00000171 , \blk00000003/sig00000172 , \blk00000003/sig00000173 , +\blk00000003/sig00000174 , \blk00000003/sig00000175 , \blk00000003/sig00000176 , \blk00000003/sig00000177 , \blk00000003/sig00000178 , +\blk00000003/sig00000179 , \blk00000003/sig0000017a , \blk00000003/sig0000017b }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk0000000f_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000000f_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000000f_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000000f2 , \blk00000003/sig000000f3 , \blk00000003/sig000000f4 , \blk00000003/sig000000f5 , \blk00000003/sig000000f6 , +\blk00000003/sig000000f7 , \blk00000003/sig000000f8 , \blk00000003/sig000000f9 , \blk00000003/sig000000fa , \blk00000003/sig000000fb , +\blk00000003/sig000000fc , \blk00000003/sig000000fd , \blk00000003/sig000000fe , \blk00000003/sig000000ff , \blk00000003/sig00000100 , +\blk00000003/sig00000101 , \blk00000003/sig00000102 , \blk00000003/sig00000103 }), + .BCOUT({\NLW_blk00000003/blk0000000f_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000000f_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000000f_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000000f_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000000f_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000000f_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000000f_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000000f_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000000f_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000000f_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\blk00000003/sig0000017c , \blk00000003/sig0000017d , \blk00000003/sig0000017e , \blk00000003/sig0000017f , \blk00000003/sig00000180 , +\blk00000003/sig00000181 , \blk00000003/sig00000182 , \blk00000003/sig00000183 , \blk00000003/sig00000184 , \blk00000003/sig00000185 , +\blk00000003/sig00000186 , \blk00000003/sig00000187 , \blk00000003/sig00000188 , \blk00000003/sig00000189 , \blk00000003/sig0000018a , +\blk00000003/sig0000018b , \blk00000003/sig0000018c , \blk00000003/sig0000018d , \blk00000003/sig0000018e , \blk00000003/sig0000018f , +\blk00000003/sig00000190 , \blk00000003/sig00000191 , \blk00000003/sig00000192 , \blk00000003/sig00000193 , \blk00000003/sig00000194 , +\blk00000003/sig00000195 , \blk00000003/sig00000196 , \blk00000003/sig00000197 , \blk00000003/sig00000198 , \blk00000003/sig00000199 , +\blk00000003/sig0000019a , \blk00000003/sig0000019b , \blk00000003/sig0000019c , \blk00000003/sig0000019d , \blk00000003/sig0000019e , +\blk00000003/sig0000019f , \blk00000003/sig000001a0 , \blk00000003/sig000001a1 , \blk00000003/sig000001a2 , \blk00000003/sig000001a3 , +\blk00000003/sig000001a4 , \blk00000003/sig000001a5 , \blk00000003/sig000001a6 , \blk00000003/sig000001a7 , \blk00000003/sig000001a8 , +\blk00000003/sig000001a9 , \blk00000003/sig000001aa , \blk00000003/sig000001ab }), + .A({\blk00000003/sig000001ac , \blk00000003/sig000001ac , \blk00000003/sig000001ac , \blk00000003/sig000001ac , \blk00000003/sig000001ac , +\blk00000003/sig000001ac , \blk00000003/sig000001ac , \blk00000003/sig000001ad , \blk00000003/sig000001ae , \blk00000003/sig000001af , +\blk00000003/sig000001b0 , \blk00000003/sig000001b1 , \blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , +\blk00000003/sig000001b5 , \blk00000003/sig000001b6 , \blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 , +\blk00000003/sig000001ba , \blk00000003/sig000001bb , \blk00000003/sig000001bc , \blk00000003/sig000001bd , \blk00000003/sig000001be , +\blk00000003/sig000001bf , \blk00000003/sig000001c0 , \blk00000003/sig000001c1 , \blk00000003/sig000001c2 , \blk00000003/sig000001c3 }), + .PCOUT({\NLW_blk00000003/blk0000000f_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<0>_UNCONNECTED }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 2 ), + .ADREG ( 0 ), + .ALUMODEREG ( 1 ), + .AREG ( 2 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 2 ), + .BREG ( 2 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 0 ), + .INMODEREG ( 0 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "FALSE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk0000000e ( + .PATTERNBDETECT(\NLW_blk00000003/blk0000000e_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(ce), + .CEAD(\blk00000003/sig00000049 ), + .MULTSIGNOUT(\NLW_blk00000003/blk0000000e_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk0000000e_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk0000000e_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk0000000e_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(\blk00000003/sig00000049 ), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(ce), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk0000000e_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk0000000e_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000b0 , \blk00000003/sig00000049 , \blk00000003/sig000000b4 , +\blk00000003/sig000000b2 , \blk00000003/sig000000b4 }), + .PCIN({\blk00000003/sig000000c2 , \blk00000003/sig000000c3 , \blk00000003/sig000000c4 , \blk00000003/sig000000c5 , \blk00000003/sig000000c6 , +\blk00000003/sig000000c7 , \blk00000003/sig000000c8 , \blk00000003/sig000000c9 , \blk00000003/sig000000ca , \blk00000003/sig000000cb , +\blk00000003/sig000000cc , \blk00000003/sig000000cd , \blk00000003/sig000000ce , \blk00000003/sig000000cf , \blk00000003/sig000000d0 , +\blk00000003/sig000000d1 , \blk00000003/sig000000d2 , \blk00000003/sig000000d3 , \blk00000003/sig000000d4 , \blk00000003/sig000000d5 , +\blk00000003/sig000000d6 , \blk00000003/sig000000d7 , \blk00000003/sig000000d8 , \blk00000003/sig000000d9 , \blk00000003/sig000000da , +\blk00000003/sig000000db , \blk00000003/sig000000dc , \blk00000003/sig000000dd , \blk00000003/sig000000de , \blk00000003/sig000000df , +\blk00000003/sig000000e0 , \blk00000003/sig000000e1 , \blk00000003/sig000000e2 , \blk00000003/sig000000e3 , \blk00000003/sig000000e4 , +\blk00000003/sig000000e5 , \blk00000003/sig000000e6 , \blk00000003/sig000000e7 , \blk00000003/sig000000e8 , \blk00000003/sig000000e9 , +\blk00000003/sig000000ea , \blk00000003/sig000000eb , \blk00000003/sig000000ec , \blk00000003/sig000000ed , \blk00000003/sig000000ee , +\blk00000003/sig000000ef , \blk00000003/sig000000f0 , \blk00000003/sig000000f1 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk0000000e_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000000e_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000000e_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000000f2 , \blk00000003/sig000000f3 , \blk00000003/sig000000f4 , \blk00000003/sig000000f5 , \blk00000003/sig000000f6 , +\blk00000003/sig000000f7 , \blk00000003/sig000000f8 , \blk00000003/sig000000f9 , \blk00000003/sig000000fa , \blk00000003/sig000000fb , +\blk00000003/sig000000fc , \blk00000003/sig000000fd , \blk00000003/sig000000fe , \blk00000003/sig000000ff , \blk00000003/sig00000100 , +\blk00000003/sig00000101 , \blk00000003/sig00000102 , \blk00000003/sig00000103 }), + .BCOUT({\NLW_blk00000003/blk0000000e_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000000e_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000000e_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000000e_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000000e_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000000e_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000000e_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000000e_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000000e_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000000e_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\blk00000003/sig00000104 , \blk00000003/sig00000105 , \blk00000003/sig00000106 , \blk00000003/sig00000107 , \blk00000003/sig00000108 , +\blk00000003/sig00000109 , \blk00000003/sig0000010a , \blk00000003/sig0000010b , \blk00000003/sig0000010c , \blk00000003/sig0000010d , +\blk00000003/sig0000010e , \blk00000003/sig0000010f , \blk00000003/sig00000110 , \blk00000003/sig00000111 , \blk00000003/sig00000112 , +\blk00000003/sig00000113 , \blk00000003/sig00000114 , \blk00000003/sig00000115 , \blk00000003/sig00000116 , \blk00000003/sig00000117 , +\blk00000003/sig00000118 , \blk00000003/sig00000119 , \blk00000003/sig0000011a , \blk00000003/sig0000011b , \blk00000003/sig0000011c , +\blk00000003/sig0000011d , \blk00000003/sig0000011e , \blk00000003/sig0000011f , \blk00000003/sig00000120 , \blk00000003/sig00000121 , +\blk00000003/sig00000122 , \blk00000003/sig00000123 , \blk00000003/sig00000124 , \blk00000003/sig00000125 , \blk00000003/sig00000126 , +\blk00000003/sig00000127 , \blk00000003/sig00000128 , \blk00000003/sig00000129 , \blk00000003/sig0000012a , \blk00000003/sig0000012b , +\blk00000003/sig0000012c , \blk00000003/sig0000012d , \blk00000003/sig0000012e , \blk00000003/sig0000012f , \blk00000003/sig00000130 , +\blk00000003/sig00000131 , \blk00000003/sig00000132 , \blk00000003/sig00000133 }), + .A({\blk00000003/sig00000134 , \blk00000003/sig00000134 , \blk00000003/sig00000134 , \blk00000003/sig00000134 , \blk00000003/sig00000134 , +\blk00000003/sig00000134 , \blk00000003/sig00000134 , \blk00000003/sig00000135 , \blk00000003/sig00000136 , \blk00000003/sig00000137 , +\blk00000003/sig00000138 , \blk00000003/sig00000139 , \blk00000003/sig0000013a , \blk00000003/sig0000013b , \blk00000003/sig0000013c , +\blk00000003/sig0000013d , \blk00000003/sig0000013e , \blk00000003/sig0000013f , \blk00000003/sig00000140 , \blk00000003/sig00000141 , +\blk00000003/sig00000142 , \blk00000003/sig00000143 , \blk00000003/sig00000144 , \blk00000003/sig00000145 , \blk00000003/sig00000146 , +\blk00000003/sig00000147 , \blk00000003/sig00000148 , \blk00000003/sig00000149 , \blk00000003/sig0000014a , \blk00000003/sig0000014b }), + .PCOUT({\NLW_blk00000003/blk0000000e_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<0>_UNCONNECTED }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + MUXCY_D \blk00000003/blk0000000d ( + .CI(\blk00000003/sig000000ae ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000c1 ), + .O(\blk00000003/sig000000bd ), + .LO(\NLW_blk00000003/blk0000000d_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk0000000c ( + .CI(\blk00000003/sig000000bd ), + .DI(\blk00000003/sig000000be ), + .S(\blk00000003/sig000000bf ), + .O(\blk00000003/sig000000b5 ), + .LO(\blk00000003/sig000000c0 ) + ); + XORCY \blk00000003/blk0000000b ( + .CI(\blk00000003/sig000000bb ), + .LI(\blk00000003/sig000000ae ), + .O(\blk00000003/sig000000bc ) + ); + MUXCY_D \blk00000003/blk0000000a ( + .CI(\blk00000003/sig000000b8 ), + .DI(\blk00000003/sig000000b9 ), + .S(\blk00000003/sig000000ba ), + .O(\NLW_blk00000003/blk0000000a_O_UNCONNECTED ), + .LO(\blk00000003/sig000000bb ) + ); + MUXCY_D \blk00000003/blk00000009 ( + .CI(\blk00000003/sig000000b5 ), + .DI(\blk00000003/sig000000b6 ), + .S(\blk00000003/sig000000b7 ), + .O(\blk00000003/sig000000b8 ), + .LO(\NLW_blk00000003/blk00000009_LO_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk00000008 ( + .C(clk), + .D(\blk00000003/sig000000b3 ), + .Q(\blk00000003/sig000000b4 ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk00000007 ( + .C(clk), + .D(\blk00000003/sig000000b1 ), + .Q(\blk00000003/sig000000b2 ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk00000006 ( + .C(clk), + .D(\blk00000003/sig000000af ), + .Q(\blk00000003/sig000000b0 ) + ); + VCC \blk00000003/blk00000005 ( + .P(\blk00000003/sig000000ae ) + ); + GND \blk00000003/blk00000004 ( + .G(\blk00000003/sig00000049 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000002a/blk0000008c ( + .I0(nd), + .I1(ce), + .O(\blk00000003/blk0000002a/sig000006fd ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000008b ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[22]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000008b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006fb ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000008a ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[21]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000008a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006fa ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000089 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[23]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000089_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006fc ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000088 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[19]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000088_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006f8 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000087 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[18]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000087_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006f7 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000086 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[20]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000086_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006f9 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000085 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[16]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000085_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006f5 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000084 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[15]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000084_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006f4 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000083 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[17]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000083_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006f6 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000082 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[13]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000082_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006f2 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000081 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[12]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000081_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006f1 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000080 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[14]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000080_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006f3 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000007f ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[10]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000007f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006ef ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000007e ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[9]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000007e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006ee ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000007d ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[11]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000007d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006f0 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000007c ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[7]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000007c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006ec ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000007b ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[6]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000007b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006eb ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000007a ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[8]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000007a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006ed ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000079 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[4]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000079_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006e9 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000078 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[3]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000078_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006e8 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000077 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[5]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000077_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006ea ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000076 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[1]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000076_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006e6 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000075 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[0]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000075_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006e5 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000074 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[2]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000074_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006e7 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000073 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[22]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000073_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006e3 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000072 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[21]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000072_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006e2 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000071 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[23]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000071_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006e4 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000070 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[19]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000070_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006e0 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000006f ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[18]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000006f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006df ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000006e ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[20]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000006e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006e1 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000006d ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[16]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000006d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006dd ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000006c ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[15]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000006c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006dc ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000006b ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[17]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000006b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006de ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000006a ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[13]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000006a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006da ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000069 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[12]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000069_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006d9 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000068 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[14]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000068_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006db ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000067 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[10]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000067_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006d7 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000066 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[9]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000066_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006d6 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000065 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[11]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000065_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006d8 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000064 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[7]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000064_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006d4 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000063 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[6]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000063_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006d3 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000062 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[8]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000062_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006d5 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000061 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[4]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000061_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006d1 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000060 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[3]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000060_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006d0 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000005f ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[5]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000005f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006d2 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000005e ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[1]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000005e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006ce ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000005d ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[0]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000005d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006cd ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000005c ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[2]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000005c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000005b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006fc ), + .Q(\blk00000003/sig000001ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000005a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006fb ), + .Q(\blk00000003/sig000001eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000059 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006fa ), + .Q(\blk00000003/sig000001ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000058 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006f9 ), + .Q(\blk00000003/sig000001ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000057 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006f8 ), + .Q(\blk00000003/sig000001ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000056 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006f7 ), + .Q(\blk00000003/sig000001ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000055 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006f6 ), + .Q(\blk00000003/sig000001f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000054 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006f5 ), + .Q(\blk00000003/sig000001f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000053 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006f4 ), + .Q(\blk00000003/sig000001f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000052 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006f3 ), + .Q(\blk00000003/sig000001f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000051 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006f2 ), + .Q(\blk00000003/sig000001f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000050 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006f1 ), + .Q(\blk00000003/sig000001f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000004f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006f0 ), + .Q(\blk00000003/sig000001f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000004e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006ef ), + .Q(\blk00000003/sig000001f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000004d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006ee ), + .Q(\blk00000003/sig000001f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000004c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006ed ), + .Q(\blk00000003/sig000001f9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000004b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006ec ), + .Q(\blk00000003/sig000001fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000004a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006eb ), + .Q(\blk00000003/sig000001fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000049 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006ea ), + .Q(\blk00000003/sig000001fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000048 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006e9 ), + .Q(\blk00000003/sig000001fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000047 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006e8 ), + .Q(\blk00000003/sig000001fe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000046 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006e7 ), + .Q(\blk00000003/sig000001ff ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000045 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006e6 ), + .Q(\blk00000003/sig00000200 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000044 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006e5 ), + .Q(\blk00000003/sig00000201 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000043 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006e4 ), + .Q(\blk00000003/sig00000202 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000042 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006e3 ), + .Q(\blk00000003/sig00000203 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000041 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006e2 ), + .Q(\blk00000003/sig00000204 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000040 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006e1 ), + .Q(\blk00000003/sig00000205 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000003f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006e0 ), + .Q(\blk00000003/sig00000206 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000003e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006df ), + .Q(\blk00000003/sig00000207 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000003d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006de ), + .Q(\blk00000003/sig00000208 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000003c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006dd ), + .Q(\blk00000003/sig00000209 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000003b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006dc ), + .Q(\blk00000003/sig0000020a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000003a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006db ), + .Q(\blk00000003/sig0000020b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000039 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006da ), + .Q(\blk00000003/sig0000020c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000038 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006d9 ), + .Q(\blk00000003/sig0000020d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000037 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006d8 ), + .Q(\blk00000003/sig0000020e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000036 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006d7 ), + .Q(\blk00000003/sig0000020f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000035 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006d6 ), + .Q(\blk00000003/sig00000210 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000034 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006d5 ), + .Q(\blk00000003/sig00000211 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000033 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006d4 ), + .Q(\blk00000003/sig00000212 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000032 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006d3 ), + .Q(\blk00000003/sig00000213 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000031 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006d2 ), + .Q(\blk00000003/sig00000214 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000030 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006d1 ), + .Q(\blk00000003/sig00000215 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000002f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006d0 ), + .Q(\blk00000003/sig00000216 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000002e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006cf ), + .Q(\blk00000003/sig00000217 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000002d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006ce ), + .Q(\blk00000003/sig00000218 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000002c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006cd ), + .Q(\blk00000003/sig00000219 ) + ); + GND \blk00000003/blk0000002a/blk0000002b ( + .G(\blk00000003/blk0000002a/sig000006cc ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000119/blk0000014b ( + .I0(ce), + .I1(\blk00000003/sig00000435 ), + .O(\blk00000003/blk00000119/sig0000074d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk0000014a ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig000002f5 ), + .Q(\blk00000003/blk00000119/sig0000074b ), + .Q15(\NLW_blk00000003/blk00000119/blk0000014a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000149 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig000002f6 ), + .Q(\blk00000003/blk00000119/sig0000074a ), + .Q15(\NLW_blk00000003/blk00000119/blk00000149_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000148 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig000002f4 ), + .Q(\blk00000003/blk00000119/sig0000074c ), + .Q15(\NLW_blk00000003/blk00000119/blk00000148_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000147 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig000002f8 ), + .Q(\blk00000003/blk00000119/sig00000748 ), + .Q15(\NLW_blk00000003/blk00000119/blk00000147_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000146 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig000002f9 ), + .Q(\blk00000003/blk00000119/sig00000747 ), + .Q15(\NLW_blk00000003/blk00000119/blk00000146_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000145 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig000002f7 ), + .Q(\blk00000003/blk00000119/sig00000749 ), + .Q15(\NLW_blk00000003/blk00000119/blk00000145_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000144 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig000002fb ), + .Q(\blk00000003/blk00000119/sig00000745 ), + .Q15(\NLW_blk00000003/blk00000119/blk00000144_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000143 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig000002fc ), + .Q(\blk00000003/blk00000119/sig00000744 ), + .Q15(\NLW_blk00000003/blk00000119/blk00000143_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000142 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig000002fa ), + .Q(\blk00000003/blk00000119/sig00000746 ), + .Q15(\NLW_blk00000003/blk00000119/blk00000142_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000141 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig000002fe ), + .Q(\blk00000003/blk00000119/sig00000742 ), + .Q15(\NLW_blk00000003/blk00000119/blk00000141_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000140 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig000002ff ), + .Q(\blk00000003/blk00000119/sig00000741 ), + .Q15(\NLW_blk00000003/blk00000119/blk00000140_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk0000013f ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig000002fd ), + .Q(\blk00000003/blk00000119/sig00000743 ), + .Q15(\NLW_blk00000003/blk00000119/blk0000013f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk0000013e ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig00000301 ), + .Q(\blk00000003/blk00000119/sig0000073f ), + .Q15(\NLW_blk00000003/blk00000119/blk0000013e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk0000013d ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig00000302 ), + .Q(\blk00000003/blk00000119/sig0000073e ), + .Q15(\NLW_blk00000003/blk00000119/blk0000013d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk0000013c ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig00000300 ), + .Q(\blk00000003/blk00000119/sig00000740 ), + .Q15(\NLW_blk00000003/blk00000119/blk0000013c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk0000013b ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig00000304 ), + .Q(\blk00000003/blk00000119/sig0000073c ), + .Q15(\NLW_blk00000003/blk00000119/blk0000013b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk0000013a ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig00000305 ), + .Q(\blk00000003/blk00000119/sig0000073b ), + .Q15(\NLW_blk00000003/blk00000119/blk0000013a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000139 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig00000303 ), + .Q(\blk00000003/blk00000119/sig0000073d ), + .Q15(\NLW_blk00000003/blk00000119/blk00000139_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000138 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig00000307 ), + .Q(\blk00000003/blk00000119/sig00000739 ), + .Q15(\NLW_blk00000003/blk00000119/blk00000138_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000137 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig00000308 ), + .Q(\blk00000003/blk00000119/sig00000738 ), + .Q15(\NLW_blk00000003/blk00000119/blk00000137_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000136 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig00000306 ), + .Q(\blk00000003/blk00000119/sig0000073a ), + .Q15(\NLW_blk00000003/blk00000119/blk00000136_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000135 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig0000030a ), + .Q(\blk00000003/blk00000119/sig00000736 ), + .Q15(\NLW_blk00000003/blk00000119/blk00000135_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000134 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig0000030b ), + .Q(\blk00000003/blk00000119/sig00000735 ), + .Q15(\NLW_blk00000003/blk00000119/blk00000134_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000133 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig00000309 ), + .Q(\blk00000003/blk00000119/sig00000737 ), + .Q15(\NLW_blk00000003/blk00000119/blk00000133_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk00000132 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig0000074c ), + .Q(\blk00000003/sig000003c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk00000131 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig0000074b ), + .Q(\blk00000003/sig000003c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk00000130 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig0000074a ), + .Q(\blk00000003/sig000003c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk0000012f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000749 ), + .Q(\blk00000003/sig000003c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk0000012e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000748 ), + .Q(\blk00000003/sig000003ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk0000012d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000747 ), + .Q(\blk00000003/sig000003cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk0000012c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000746 ), + .Q(\blk00000003/sig000003cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk0000012b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000745 ), + .Q(\blk00000003/sig000003cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk0000012a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000744 ), + .Q(\blk00000003/sig000003ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk00000129 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000743 ), + .Q(\blk00000003/sig000003cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk00000128 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000742 ), + .Q(\blk00000003/sig000003d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk00000127 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000741 ), + .Q(\blk00000003/sig000003d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk00000126 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000740 ), + .Q(\blk00000003/sig000003d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk00000125 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig0000073f ), + .Q(\blk00000003/sig000003d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk00000124 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig0000073e ), + .Q(\blk00000003/sig000003d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk00000123 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig0000073d ), + .Q(\blk00000003/sig000003d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk00000122 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig0000073c ), + .Q(\blk00000003/sig000003d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk00000121 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig0000073b ), + .Q(\blk00000003/sig000003d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk00000120 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig0000073a ), + .Q(\blk00000003/sig000003d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk0000011f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000739 ), + .Q(\blk00000003/sig000003d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk0000011e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000738 ), + .Q(\blk00000003/sig000003da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk0000011d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000737 ), + .Q(\blk00000003/sig000003db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk0000011c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000736 ), + .Q(\blk00000003/sig000003dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk0000011b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000735 ), + .Q(\blk00000003/sig000003dd ) + ); + GND \blk00000003/blk00000119/blk0000011a ( + .G(\blk00000003/blk00000119/sig00000734 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000014c/blk0000017e ( + .I0(ce), + .I1(\blk00000003/sig0000042f ), + .O(\blk00000003/blk0000014c/sig0000079d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk0000017d ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig0000043a ), + .Q(\blk00000003/blk0000014c/sig0000079b ), + .Q15(\NLW_blk00000003/blk0000014c/blk0000017d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk0000017c ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig0000043b ), + .Q(\blk00000003/blk0000014c/sig0000079a ), + .Q15(\NLW_blk00000003/blk0000014c/blk0000017c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk0000017b ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig00000439 ), + .Q(\blk00000003/blk0000014c/sig0000079c ), + .Q15(\NLW_blk00000003/blk0000014c/blk0000017b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk0000017a ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig0000043d ), + .Q(\blk00000003/blk0000014c/sig00000798 ), + .Q15(\NLW_blk00000003/blk0000014c/blk0000017a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk00000179 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig0000043e ), + .Q(\blk00000003/blk0000014c/sig00000797 ), + .Q15(\NLW_blk00000003/blk0000014c/blk00000179_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk00000178 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig0000043c ), + .Q(\blk00000003/blk0000014c/sig00000799 ), + .Q15(\NLW_blk00000003/blk0000014c/blk00000178_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk00000177 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig00000440 ), + .Q(\blk00000003/blk0000014c/sig00000795 ), + .Q15(\NLW_blk00000003/blk0000014c/blk00000177_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk00000176 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig00000441 ), + .Q(\blk00000003/blk0000014c/sig00000794 ), + .Q15(\NLW_blk00000003/blk0000014c/blk00000176_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk00000175 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig0000043f ), + .Q(\blk00000003/blk0000014c/sig00000796 ), + .Q15(\NLW_blk00000003/blk0000014c/blk00000175_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk00000174 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig00000443 ), + .Q(\blk00000003/blk0000014c/sig00000792 ), + .Q15(\NLW_blk00000003/blk0000014c/blk00000174_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk00000173 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig00000444 ), + .Q(\blk00000003/blk0000014c/sig00000791 ), + .Q15(\NLW_blk00000003/blk0000014c/blk00000173_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk00000172 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig00000442 ), + .Q(\blk00000003/blk0000014c/sig00000793 ), + .Q15(\NLW_blk00000003/blk0000014c/blk00000172_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk00000171 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig00000446 ), + .Q(\blk00000003/blk0000014c/sig0000078f ), + .Q15(\NLW_blk00000003/blk0000014c/blk00000171_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk00000170 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig00000447 ), + .Q(\blk00000003/blk0000014c/sig0000078e ), + .Q15(\NLW_blk00000003/blk0000014c/blk00000170_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk0000016f ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig00000445 ), + .Q(\blk00000003/blk0000014c/sig00000790 ), + .Q15(\NLW_blk00000003/blk0000014c/blk0000016f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk0000016e ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig00000449 ), + .Q(\blk00000003/blk0000014c/sig0000078c ), + .Q15(\NLW_blk00000003/blk0000014c/blk0000016e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk0000016d ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig0000044a ), + .Q(\blk00000003/blk0000014c/sig0000078b ), + .Q15(\NLW_blk00000003/blk0000014c/blk0000016d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk0000016c ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig00000448 ), + .Q(\blk00000003/blk0000014c/sig0000078d ), + .Q15(\NLW_blk00000003/blk0000014c/blk0000016c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk0000016b ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig0000044c ), + .Q(\blk00000003/blk0000014c/sig00000789 ), + .Q15(\NLW_blk00000003/blk0000014c/blk0000016b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk0000016a ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig0000044d ), + .Q(\blk00000003/blk0000014c/sig00000788 ), + .Q15(\NLW_blk00000003/blk0000014c/blk0000016a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk00000169 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig0000044b ), + .Q(\blk00000003/blk0000014c/sig0000078a ), + .Q15(\NLW_blk00000003/blk0000014c/blk00000169_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk00000168 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig0000044f ), + .Q(\blk00000003/blk0000014c/sig00000786 ), + .Q15(\NLW_blk00000003/blk0000014c/blk00000168_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk00000167 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig00000450 ), + .Q(\blk00000003/blk0000014c/sig00000785 ), + .Q15(\NLW_blk00000003/blk0000014c/blk00000167_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk00000166 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig0000044e ), + .Q(\blk00000003/blk0000014c/sig00000787 ), + .Q15(\NLW_blk00000003/blk0000014c/blk00000166_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000165 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig0000079c ), + .Q(\blk00000003/sig000003de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000164 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig0000079b ), + .Q(\blk00000003/sig000003df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000163 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig0000079a ), + .Q(\blk00000003/sig000003e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000162 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000799 ), + .Q(\blk00000003/sig000003e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000161 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000798 ), + .Q(\blk00000003/sig000003e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000160 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000797 ), + .Q(\blk00000003/sig000003e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk0000015f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000796 ), + .Q(\blk00000003/sig000003e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk0000015e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000795 ), + .Q(\blk00000003/sig000003e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk0000015d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000794 ), + .Q(\blk00000003/sig000003e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk0000015c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000793 ), + .Q(\blk00000003/sig000003e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk0000015b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000792 ), + .Q(\blk00000003/sig000003e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk0000015a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000791 ), + .Q(\blk00000003/sig000003e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000159 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000790 ), + .Q(\blk00000003/sig000003ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000158 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig0000078f ), + .Q(\blk00000003/sig000003eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000157 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig0000078e ), + .Q(\blk00000003/sig000003ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000156 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig0000078d ), + .Q(\blk00000003/sig000003ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000155 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig0000078c ), + .Q(\blk00000003/sig000003ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000154 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig0000078b ), + .Q(\blk00000003/sig000003ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000153 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig0000078a ), + .Q(\blk00000003/sig000003f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000152 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000789 ), + .Q(\blk00000003/sig000003f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000151 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000788 ), + .Q(\blk00000003/sig000003f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000150 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000787 ), + .Q(\blk00000003/sig000003f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk0000014f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000786 ), + .Q(\blk00000003/sig000003f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk0000014e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000785 ), + .Q(\blk00000003/sig000003f5 ) + ); + GND \blk00000003/blk0000014c/blk0000014d ( + .G(\blk00000003/blk0000014c/sig00000784 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000017f/blk000001b1 ( + .I0(ce), + .I1(\blk00000003/sig00000435 ), + .O(\blk00000003/blk0000017f/sig000007ed ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001b0 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000355 ), + .Q(\blk00000003/blk0000017f/sig000007eb ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001b0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001af ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000356 ), + .Q(\blk00000003/blk0000017f/sig000007ea ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001af_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001ae ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000354 ), + .Q(\blk00000003/blk0000017f/sig000007ec ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001ae_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001ad ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000358 ), + .Q(\blk00000003/blk0000017f/sig000007e8 ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001ad_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001ac ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000359 ), + .Q(\blk00000003/blk0000017f/sig000007e7 ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001ac_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001ab ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000357 ), + .Q(\blk00000003/blk0000017f/sig000007e9 ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001ab_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001aa ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig0000035b ), + .Q(\blk00000003/blk0000017f/sig000007e5 ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001aa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001a9 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig0000035c ), + .Q(\blk00000003/blk0000017f/sig000007e4 ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001a9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001a8 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig0000035a ), + .Q(\blk00000003/blk0000017f/sig000007e6 ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001a8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001a7 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig0000035e ), + .Q(\blk00000003/blk0000017f/sig000007e2 ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001a7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001a6 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig0000035f ), + .Q(\blk00000003/blk0000017f/sig000007e1 ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001a6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001a5 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig0000035d ), + .Q(\blk00000003/blk0000017f/sig000007e3 ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001a5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001a4 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000361 ), + .Q(\blk00000003/blk0000017f/sig000007df ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001a4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001a3 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000362 ), + .Q(\blk00000003/blk0000017f/sig000007de ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001a3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001a2 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000360 ), + .Q(\blk00000003/blk0000017f/sig000007e0 ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001a2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001a1 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000364 ), + .Q(\blk00000003/blk0000017f/sig000007dc ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001a1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001a0 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000365 ), + .Q(\blk00000003/blk0000017f/sig000007db ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001a0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk0000019f ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000363 ), + .Q(\blk00000003/blk0000017f/sig000007dd ), + .Q15(\NLW_blk00000003/blk0000017f/blk0000019f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk0000019e ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000367 ), + .Q(\blk00000003/blk0000017f/sig000007d9 ), + .Q15(\NLW_blk00000003/blk0000017f/blk0000019e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk0000019d ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000368 ), + .Q(\blk00000003/blk0000017f/sig000007d8 ), + .Q15(\NLW_blk00000003/blk0000017f/blk0000019d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk0000019c ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000366 ), + .Q(\blk00000003/blk0000017f/sig000007da ), + .Q15(\NLW_blk00000003/blk0000017f/blk0000019c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk0000019b ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig0000036a ), + .Q(\blk00000003/blk0000017f/sig000007d6 ), + .Q15(\NLW_blk00000003/blk0000017f/blk0000019b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk0000019a ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig0000036b ), + .Q(\blk00000003/blk0000017f/sig000007d5 ), + .Q15(\NLW_blk00000003/blk0000017f/blk0000019a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk00000199 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000369 ), + .Q(\blk00000003/blk0000017f/sig000007d7 ), + .Q15(\NLW_blk00000003/blk0000017f/blk00000199_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000198 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007ec ), + .Q(\blk00000003/sig000003f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000197 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007eb ), + .Q(\blk00000003/sig000003f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000196 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007ea ), + .Q(\blk00000003/sig000003f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000195 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007e9 ), + .Q(\blk00000003/sig000003f9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000194 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007e8 ), + .Q(\blk00000003/sig000003fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000193 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007e7 ), + .Q(\blk00000003/sig000003fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000192 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007e6 ), + .Q(\blk00000003/sig000003fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000191 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007e5 ), + .Q(\blk00000003/sig000003fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000190 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007e4 ), + .Q(\blk00000003/sig000003fe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk0000018f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007e3 ), + .Q(\blk00000003/sig000003ff ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk0000018e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007e2 ), + .Q(\blk00000003/sig00000400 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk0000018d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007e1 ), + .Q(\blk00000003/sig00000401 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk0000018c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007e0 ), + .Q(\blk00000003/sig00000402 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk0000018b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007df ), + .Q(\blk00000003/sig00000403 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk0000018a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007de ), + .Q(\blk00000003/sig00000404 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000189 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007dd ), + .Q(\blk00000003/sig00000405 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000188 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007dc ), + .Q(\blk00000003/sig00000406 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000187 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007db ), + .Q(\blk00000003/sig00000407 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000186 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007da ), + .Q(\blk00000003/sig00000408 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000185 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007d9 ), + .Q(\blk00000003/sig00000409 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000184 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007d8 ), + .Q(\blk00000003/sig0000040a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000183 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007d7 ), + .Q(\blk00000003/sig0000040b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000182 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007d6 ), + .Q(\blk00000003/sig0000040c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000181 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007d5 ), + .Q(\blk00000003/sig0000040d ) + ); + GND \blk00000003/blk0000017f/blk00000180 ( + .G(\blk00000003/blk0000017f/sig000007d4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000001b2/blk000001e4 ( + .I0(ce), + .I1(\blk00000003/sig0000042f ), + .O(\blk00000003/blk000001b2/sig0000083d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001e3 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000452 ), + .Q(\blk00000003/blk000001b2/sig0000083b ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001e3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001e2 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000453 ), + .Q(\blk00000003/blk000001b2/sig0000083a ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001e2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001e1 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000451 ), + .Q(\blk00000003/blk000001b2/sig0000083c ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001e1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001e0 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000455 ), + .Q(\blk00000003/blk000001b2/sig00000838 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001e0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001df ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000456 ), + .Q(\blk00000003/blk000001b2/sig00000837 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001df_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001de ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000454 ), + .Q(\blk00000003/blk000001b2/sig00000839 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001de_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001dd ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000458 ), + .Q(\blk00000003/blk000001b2/sig00000835 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001dd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001dc ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000459 ), + .Q(\blk00000003/blk000001b2/sig00000834 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001dc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001db ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000457 ), + .Q(\blk00000003/blk000001b2/sig00000836 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001db_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001da ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig0000045b ), + .Q(\blk00000003/blk000001b2/sig00000832 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001da_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001d9 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig0000045c ), + .Q(\blk00000003/blk000001b2/sig00000831 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001d9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001d8 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig0000045a ), + .Q(\blk00000003/blk000001b2/sig00000833 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001d8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001d7 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig0000045e ), + .Q(\blk00000003/blk000001b2/sig0000082f ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001d7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001d6 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig0000045f ), + .Q(\blk00000003/blk000001b2/sig0000082e ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001d6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001d5 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig0000045d ), + .Q(\blk00000003/blk000001b2/sig00000830 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001d5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001d4 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000461 ), + .Q(\blk00000003/blk000001b2/sig0000082c ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001d4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001d3 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000462 ), + .Q(\blk00000003/blk000001b2/sig0000082b ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001d3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001d2 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000460 ), + .Q(\blk00000003/blk000001b2/sig0000082d ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001d2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001d1 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000464 ), + .Q(\blk00000003/blk000001b2/sig00000829 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001d1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001d0 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000465 ), + .Q(\blk00000003/blk000001b2/sig00000828 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001d0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001cf ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000463 ), + .Q(\blk00000003/blk000001b2/sig0000082a ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001cf_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001ce ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000467 ), + .Q(\blk00000003/blk000001b2/sig00000826 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001ce_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001cd ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000468 ), + .Q(\blk00000003/blk000001b2/sig00000825 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001cd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001cc ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000466 ), + .Q(\blk00000003/blk000001b2/sig00000827 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001cc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig0000083c ), + .Q(\blk00000003/sig0000040e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig0000083b ), + .Q(\blk00000003/sig0000040f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig0000083a ), + .Q(\blk00000003/sig00000410 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000839 ), + .Q(\blk00000003/sig00000411 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000838 ), + .Q(\blk00000003/sig00000412 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000837 ), + .Q(\blk00000003/sig00000413 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000836 ), + .Q(\blk00000003/sig00000414 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000835 ), + .Q(\blk00000003/sig00000415 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000834 ), + .Q(\blk00000003/sig00000416 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000833 ), + .Q(\blk00000003/sig00000417 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000832 ), + .Q(\blk00000003/sig00000418 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000831 ), + .Q(\blk00000003/sig00000419 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000830 ), + .Q(\blk00000003/sig0000041a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig0000082f ), + .Q(\blk00000003/sig0000041b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig0000082e ), + .Q(\blk00000003/sig0000041c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig0000082d ), + .Q(\blk00000003/sig0000041d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig0000082c ), + .Q(\blk00000003/sig0000041e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig0000082b ), + .Q(\blk00000003/sig0000041f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig0000082a ), + .Q(\blk00000003/sig00000420 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000829 ), + .Q(\blk00000003/sig00000421 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000828 ), + .Q(\blk00000003/sig00000422 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000827 ), + .Q(\blk00000003/sig00000423 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000826 ), + .Q(\blk00000003/sig00000424 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000825 ), + .Q(\blk00000003/sig00000425 ) + ); + GND \blk00000003/blk000001b2/blk000001b3 ( + .G(\blk00000003/blk000001b2/sig00000824 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000001e5/blk00000217 ( + .I0(ce), + .I1(\blk00000003/sig00000434 ), + .O(\blk00000003/blk000001e5/sig0000088d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000216 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig0000046a ), + .Q(\blk00000003/blk000001e5/sig0000088b ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000216_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000215 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig0000046b ), + .Q(\blk00000003/blk000001e5/sig0000088a ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000215_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000214 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig00000469 ), + .Q(\blk00000003/blk000001e5/sig0000088c ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000214_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000213 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig0000046d ), + .Q(\blk00000003/blk000001e5/sig00000888 ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000213_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000212 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig0000046e ), + .Q(\blk00000003/blk000001e5/sig00000887 ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000212_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000211 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig0000046c ), + .Q(\blk00000003/blk000001e5/sig00000889 ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000211_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000210 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig00000470 ), + .Q(\blk00000003/blk000001e5/sig00000885 ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000210_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk0000020f ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig00000471 ), + .Q(\blk00000003/blk000001e5/sig00000884 ), + .Q15(\NLW_blk00000003/blk000001e5/blk0000020f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk0000020e ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig0000046f ), + .Q(\blk00000003/blk000001e5/sig00000886 ), + .Q15(\NLW_blk00000003/blk000001e5/blk0000020e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk0000020d ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig00000473 ), + .Q(\blk00000003/blk000001e5/sig00000882 ), + .Q15(\NLW_blk00000003/blk000001e5/blk0000020d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk0000020c ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig00000474 ), + .Q(\blk00000003/blk000001e5/sig00000881 ), + .Q15(\NLW_blk00000003/blk000001e5/blk0000020c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk0000020b ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig00000472 ), + .Q(\blk00000003/blk000001e5/sig00000883 ), + .Q15(\NLW_blk00000003/blk000001e5/blk0000020b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk0000020a ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig00000476 ), + .Q(\blk00000003/blk000001e5/sig0000087f ), + .Q15(\NLW_blk00000003/blk000001e5/blk0000020a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000209 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig00000477 ), + .Q(\blk00000003/blk000001e5/sig0000087e ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000209_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000208 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig00000475 ), + .Q(\blk00000003/blk000001e5/sig00000880 ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000208_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000207 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig00000479 ), + .Q(\blk00000003/blk000001e5/sig0000087c ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000207_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000206 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig0000047a ), + .Q(\blk00000003/blk000001e5/sig0000087b ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000206_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000205 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig00000478 ), + .Q(\blk00000003/blk000001e5/sig0000087d ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000205_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000204 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig0000047c ), + .Q(\blk00000003/blk000001e5/sig00000879 ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000204_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000203 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig0000047d ), + .Q(\blk00000003/blk000001e5/sig00000878 ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000203_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000202 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig0000047b ), + .Q(\blk00000003/blk000001e5/sig0000087a ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000202_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000201 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig0000047f ), + .Q(\blk00000003/blk000001e5/sig00000876 ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000201_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000200 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig00000480 ), + .Q(\blk00000003/blk000001e5/sig00000875 ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000200_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk000001ff ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig0000047e ), + .Q(\blk00000003/blk000001e5/sig00000877 ), + .Q15(\NLW_blk00000003/blk000001e5/blk000001ff_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig0000088c ), + .Q(\blk00000003/sig000002f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig0000088b ), + .Q(\blk00000003/sig000002f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig0000088a ), + .Q(\blk00000003/sig000002f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000889 ), + .Q(\blk00000003/sig000002f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000888 ), + .Q(\blk00000003/sig000002f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000887 ), + .Q(\blk00000003/sig000002f9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000886 ), + .Q(\blk00000003/sig000002fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000885 ), + .Q(\blk00000003/sig000002fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000884 ), + .Q(\blk00000003/sig000002fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000883 ), + .Q(\blk00000003/sig000002fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000882 ), + .Q(\blk00000003/sig000002fe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000881 ), + .Q(\blk00000003/sig000002ff ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000880 ), + .Q(\blk00000003/sig00000300 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig0000087f ), + .Q(\blk00000003/sig00000301 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig0000087e ), + .Q(\blk00000003/sig00000302 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig0000087d ), + .Q(\blk00000003/sig00000303 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig0000087c ), + .Q(\blk00000003/sig00000304 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig0000087b ), + .Q(\blk00000003/sig00000305 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig0000087a ), + .Q(\blk00000003/sig00000306 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000879 ), + .Q(\blk00000003/sig00000307 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000878 ), + .Q(\blk00000003/sig00000308 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000877 ), + .Q(\blk00000003/sig00000309 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000876 ), + .Q(\blk00000003/sig0000030a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000875 ), + .Q(\blk00000003/sig0000030b ) + ); + GND \blk00000003/blk000001e5/blk000001e6 ( + .G(\blk00000003/blk000001e5/sig00000874 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000218/blk0000024a ( + .I0(ce), + .I1(\blk00000003/sig0000042e ), + .O(\blk00000003/blk00000218/sig000008dd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000249 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000482 ), + .Q(\blk00000003/blk00000218/sig000008db ), + .Q15(\NLW_blk00000003/blk00000218/blk00000249_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000248 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000483 ), + .Q(\blk00000003/blk00000218/sig000008da ), + .Q15(\NLW_blk00000003/blk00000218/blk00000248_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000247 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000481 ), + .Q(\blk00000003/blk00000218/sig000008dc ), + .Q15(\NLW_blk00000003/blk00000218/blk00000247_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000246 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000485 ), + .Q(\blk00000003/blk00000218/sig000008d8 ), + .Q15(\NLW_blk00000003/blk00000218/blk00000246_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000245 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000486 ), + .Q(\blk00000003/blk00000218/sig000008d7 ), + .Q15(\NLW_blk00000003/blk00000218/blk00000245_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000244 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000484 ), + .Q(\blk00000003/blk00000218/sig000008d9 ), + .Q15(\NLW_blk00000003/blk00000218/blk00000244_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000243 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000488 ), + .Q(\blk00000003/blk00000218/sig000008d5 ), + .Q15(\NLW_blk00000003/blk00000218/blk00000243_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000242 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000489 ), + .Q(\blk00000003/blk00000218/sig000008d4 ), + .Q15(\NLW_blk00000003/blk00000218/blk00000242_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000241 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000487 ), + .Q(\blk00000003/blk00000218/sig000008d6 ), + .Q15(\NLW_blk00000003/blk00000218/blk00000241_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000240 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig0000048b ), + .Q(\blk00000003/blk00000218/sig000008d2 ), + .Q15(\NLW_blk00000003/blk00000218/blk00000240_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk0000023f ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig0000048c ), + .Q(\blk00000003/blk00000218/sig000008d1 ), + .Q15(\NLW_blk00000003/blk00000218/blk0000023f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk0000023e ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig0000048a ), + .Q(\blk00000003/blk00000218/sig000008d3 ), + .Q15(\NLW_blk00000003/blk00000218/blk0000023e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk0000023d ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig0000048e ), + .Q(\blk00000003/blk00000218/sig000008cf ), + .Q15(\NLW_blk00000003/blk00000218/blk0000023d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk0000023c ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig0000048f ), + .Q(\blk00000003/blk00000218/sig000008ce ), + .Q15(\NLW_blk00000003/blk00000218/blk0000023c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk0000023b ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig0000048d ), + .Q(\blk00000003/blk00000218/sig000008d0 ), + .Q15(\NLW_blk00000003/blk00000218/blk0000023b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk0000023a ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000491 ), + .Q(\blk00000003/blk00000218/sig000008cc ), + .Q15(\NLW_blk00000003/blk00000218/blk0000023a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000239 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000492 ), + .Q(\blk00000003/blk00000218/sig000008cb ), + .Q15(\NLW_blk00000003/blk00000218/blk00000239_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000238 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000490 ), + .Q(\blk00000003/blk00000218/sig000008cd ), + .Q15(\NLW_blk00000003/blk00000218/blk00000238_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000237 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000494 ), + .Q(\blk00000003/blk00000218/sig000008c9 ), + .Q15(\NLW_blk00000003/blk00000218/blk00000237_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000236 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000495 ), + .Q(\blk00000003/blk00000218/sig000008c8 ), + .Q15(\NLW_blk00000003/blk00000218/blk00000236_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000235 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000493 ), + .Q(\blk00000003/blk00000218/sig000008ca ), + .Q15(\NLW_blk00000003/blk00000218/blk00000235_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000234 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000497 ), + .Q(\blk00000003/blk00000218/sig000008c6 ), + .Q15(\NLW_blk00000003/blk00000218/blk00000234_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000233 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000498 ), + .Q(\blk00000003/blk00000218/sig000008c5 ), + .Q15(\NLW_blk00000003/blk00000218/blk00000233_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000232 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000496 ), + .Q(\blk00000003/blk00000218/sig000008c7 ), + .Q15(\NLW_blk00000003/blk00000218/blk00000232_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk00000231 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008dc ), + .Q(\blk00000003/sig0000030c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk00000230 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008db ), + .Q(\blk00000003/sig0000030d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk0000022f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008da ), + .Q(\blk00000003/sig0000030e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk0000022e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008d9 ), + .Q(\blk00000003/sig0000030f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk0000022d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008d8 ), + .Q(\blk00000003/sig00000310 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk0000022c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008d7 ), + .Q(\blk00000003/sig00000311 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk0000022b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008d6 ), + .Q(\blk00000003/sig00000312 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk0000022a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008d5 ), + .Q(\blk00000003/sig00000313 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk00000229 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008d4 ), + .Q(\blk00000003/sig00000314 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk00000228 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008d3 ), + .Q(\blk00000003/sig00000315 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk00000227 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008d2 ), + .Q(\blk00000003/sig00000316 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk00000226 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008d1 ), + .Q(\blk00000003/sig00000317 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk00000225 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008d0 ), + .Q(\blk00000003/sig00000318 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk00000224 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008cf ), + .Q(\blk00000003/sig00000319 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk00000223 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008ce ), + .Q(\blk00000003/sig0000031a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk00000222 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008cd ), + .Q(\blk00000003/sig0000031b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk00000221 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008cc ), + .Q(\blk00000003/sig0000031c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk00000220 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008cb ), + .Q(\blk00000003/sig0000031d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk0000021f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008ca ), + .Q(\blk00000003/sig0000031e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk0000021e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008c9 ), + .Q(\blk00000003/sig0000031f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk0000021d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008c8 ), + .Q(\blk00000003/sig00000320 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk0000021c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008c7 ), + .Q(\blk00000003/sig00000321 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk0000021b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008c6 ), + .Q(\blk00000003/sig00000322 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk0000021a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008c5 ), + .Q(\blk00000003/sig00000323 ) + ); + GND \blk00000003/blk00000218/blk00000219 ( + .G(\blk00000003/blk00000218/sig000008c4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000024b/blk0000027d ( + .I0(ce), + .I1(\blk00000003/sig00000434 ), + .O(\blk00000003/blk0000024b/sig0000092d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk0000027c ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig0000049a ), + .Q(\blk00000003/blk0000024b/sig0000092b ), + .Q15(\NLW_blk00000003/blk0000024b/blk0000027c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk0000027b ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig0000049b ), + .Q(\blk00000003/blk0000024b/sig0000092a ), + .Q15(\NLW_blk00000003/blk0000024b/blk0000027b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk0000027a ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig00000499 ), + .Q(\blk00000003/blk0000024b/sig0000092c ), + .Q15(\NLW_blk00000003/blk0000024b/blk0000027a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000279 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig0000049d ), + .Q(\blk00000003/blk0000024b/sig00000928 ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000279_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000278 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig0000049e ), + .Q(\blk00000003/blk0000024b/sig00000927 ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000278_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000277 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig0000049c ), + .Q(\blk00000003/blk0000024b/sig00000929 ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000277_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000276 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004a0 ), + .Q(\blk00000003/blk0000024b/sig00000925 ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000276_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000275 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004a1 ), + .Q(\blk00000003/blk0000024b/sig00000924 ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000275_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000274 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig0000049f ), + .Q(\blk00000003/blk0000024b/sig00000926 ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000274_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000273 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004a3 ), + .Q(\blk00000003/blk0000024b/sig00000922 ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000273_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000272 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004a4 ), + .Q(\blk00000003/blk0000024b/sig00000921 ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000272_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000271 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004a2 ), + .Q(\blk00000003/blk0000024b/sig00000923 ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000271_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000270 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004a6 ), + .Q(\blk00000003/blk0000024b/sig0000091f ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000270_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk0000026f ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004a7 ), + .Q(\blk00000003/blk0000024b/sig0000091e ), + .Q15(\NLW_blk00000003/blk0000024b/blk0000026f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk0000026e ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004a5 ), + .Q(\blk00000003/blk0000024b/sig00000920 ), + .Q15(\NLW_blk00000003/blk0000024b/blk0000026e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk0000026d ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004a9 ), + .Q(\blk00000003/blk0000024b/sig0000091c ), + .Q15(\NLW_blk00000003/blk0000024b/blk0000026d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk0000026c ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004aa ), + .Q(\blk00000003/blk0000024b/sig0000091b ), + .Q15(\NLW_blk00000003/blk0000024b/blk0000026c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk0000026b ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004a8 ), + .Q(\blk00000003/blk0000024b/sig0000091d ), + .Q15(\NLW_blk00000003/blk0000024b/blk0000026b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk0000026a ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004ac ), + .Q(\blk00000003/blk0000024b/sig00000919 ), + .Q15(\NLW_blk00000003/blk0000024b/blk0000026a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000269 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004ad ), + .Q(\blk00000003/blk0000024b/sig00000918 ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000269_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000268 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004ab ), + .Q(\blk00000003/blk0000024b/sig0000091a ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000268_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000267 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004af ), + .Q(\blk00000003/blk0000024b/sig00000916 ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000267_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000266 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004b0 ), + .Q(\blk00000003/blk0000024b/sig00000915 ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000266_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000265 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004ae ), + .Q(\blk00000003/blk0000024b/sig00000917 ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000265_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000264 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig0000092c ), + .Q(\blk00000003/sig00000354 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000263 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig0000092b ), + .Q(\blk00000003/sig00000355 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000262 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig0000092a ), + .Q(\blk00000003/sig00000356 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000261 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000929 ), + .Q(\blk00000003/sig00000357 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000260 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000928 ), + .Q(\blk00000003/sig00000358 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk0000025f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000927 ), + .Q(\blk00000003/sig00000359 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk0000025e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000926 ), + .Q(\blk00000003/sig0000035a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk0000025d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000925 ), + .Q(\blk00000003/sig0000035b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk0000025c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000924 ), + .Q(\blk00000003/sig0000035c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk0000025b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000923 ), + .Q(\blk00000003/sig0000035d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk0000025a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000922 ), + .Q(\blk00000003/sig0000035e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000259 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000921 ), + .Q(\blk00000003/sig0000035f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000258 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000920 ), + .Q(\blk00000003/sig00000360 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000257 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig0000091f ), + .Q(\blk00000003/sig00000361 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000256 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig0000091e ), + .Q(\blk00000003/sig00000362 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000255 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig0000091d ), + .Q(\blk00000003/sig00000363 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000254 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig0000091c ), + .Q(\blk00000003/sig00000364 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000253 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig0000091b ), + .Q(\blk00000003/sig00000365 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000252 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig0000091a ), + .Q(\blk00000003/sig00000366 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000251 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000919 ), + .Q(\blk00000003/sig00000367 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000250 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000918 ), + .Q(\blk00000003/sig00000368 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk0000024f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000917 ), + .Q(\blk00000003/sig00000369 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk0000024e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000916 ), + .Q(\blk00000003/sig0000036a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk0000024d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000915 ), + .Q(\blk00000003/sig0000036b ) + ); + GND \blk00000003/blk0000024b/blk0000024c ( + .G(\blk00000003/blk0000024b/sig00000914 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000027e/blk000002b0 ( + .I0(ce), + .I1(\blk00000003/sig0000042e ), + .O(\blk00000003/blk0000027e/sig0000097d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002af ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004b2 ), + .Q(\blk00000003/blk0000027e/sig0000097b ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002af_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002ae ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004b3 ), + .Q(\blk00000003/blk0000027e/sig0000097a ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002ae_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002ad ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004b1 ), + .Q(\blk00000003/blk0000027e/sig0000097c ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002ad_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002ac ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004b5 ), + .Q(\blk00000003/blk0000027e/sig00000978 ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002ac_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002ab ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004b6 ), + .Q(\blk00000003/blk0000027e/sig00000977 ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002ab_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002aa ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004b4 ), + .Q(\blk00000003/blk0000027e/sig00000979 ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002aa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002a9 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004b8 ), + .Q(\blk00000003/blk0000027e/sig00000975 ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002a9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002a8 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004b9 ), + .Q(\blk00000003/blk0000027e/sig00000974 ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002a8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002a7 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004b7 ), + .Q(\blk00000003/blk0000027e/sig00000976 ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002a7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002a6 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004bb ), + .Q(\blk00000003/blk0000027e/sig00000972 ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002a6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002a5 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004bc ), + .Q(\blk00000003/blk0000027e/sig00000971 ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002a5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002a4 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004ba ), + .Q(\blk00000003/blk0000027e/sig00000973 ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002a4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002a3 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004be ), + .Q(\blk00000003/blk0000027e/sig0000096f ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002a3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002a2 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004bf ), + .Q(\blk00000003/blk0000027e/sig0000096e ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002a2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002a1 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004bd ), + .Q(\blk00000003/blk0000027e/sig00000970 ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002a1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002a0 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004c1 ), + .Q(\blk00000003/blk0000027e/sig0000096c ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002a0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk0000029f ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004c2 ), + .Q(\blk00000003/blk0000027e/sig0000096b ), + .Q15(\NLW_blk00000003/blk0000027e/blk0000029f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk0000029e ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004c0 ), + .Q(\blk00000003/blk0000027e/sig0000096d ), + .Q15(\NLW_blk00000003/blk0000027e/blk0000029e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk0000029d ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004c4 ), + .Q(\blk00000003/blk0000027e/sig00000969 ), + .Q15(\NLW_blk00000003/blk0000027e/blk0000029d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk0000029c ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004c5 ), + .Q(\blk00000003/blk0000027e/sig00000968 ), + .Q15(\NLW_blk00000003/blk0000027e/blk0000029c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk0000029b ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004c3 ), + .Q(\blk00000003/blk0000027e/sig0000096a ), + .Q15(\NLW_blk00000003/blk0000027e/blk0000029b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk0000029a ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004c7 ), + .Q(\blk00000003/blk0000027e/sig00000966 ), + .Q15(\NLW_blk00000003/blk0000027e/blk0000029a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk00000299 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004c8 ), + .Q(\blk00000003/blk0000027e/sig00000965 ), + .Q15(\NLW_blk00000003/blk0000027e/blk00000299_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk00000298 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004c6 ), + .Q(\blk00000003/blk0000027e/sig00000967 ), + .Q15(\NLW_blk00000003/blk0000027e/blk00000298_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000297 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig0000097c ), + .Q(\blk00000003/sig0000036c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000296 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig0000097b ), + .Q(\blk00000003/sig0000036d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000295 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig0000097a ), + .Q(\blk00000003/sig0000036e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000294 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000979 ), + .Q(\blk00000003/sig0000036f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000293 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000978 ), + .Q(\blk00000003/sig00000370 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000292 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000977 ), + .Q(\blk00000003/sig00000371 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000291 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000976 ), + .Q(\blk00000003/sig00000372 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000290 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000975 ), + .Q(\blk00000003/sig00000373 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk0000028f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000974 ), + .Q(\blk00000003/sig00000374 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk0000028e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000973 ), + .Q(\blk00000003/sig00000375 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk0000028d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000972 ), + .Q(\blk00000003/sig00000376 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk0000028c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000971 ), + .Q(\blk00000003/sig00000377 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk0000028b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000970 ), + .Q(\blk00000003/sig00000378 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk0000028a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig0000096f ), + .Q(\blk00000003/sig00000379 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000289 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig0000096e ), + .Q(\blk00000003/sig0000037a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000288 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig0000096d ), + .Q(\blk00000003/sig0000037b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000287 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig0000096c ), + .Q(\blk00000003/sig0000037c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000286 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig0000096b ), + .Q(\blk00000003/sig0000037d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000285 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig0000096a ), + .Q(\blk00000003/sig0000037e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000284 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000969 ), + .Q(\blk00000003/sig0000037f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000283 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000968 ), + .Q(\blk00000003/sig00000380 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000282 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000967 ), + .Q(\blk00000003/sig00000381 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000281 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000966 ), + .Q(\blk00000003/sig00000382 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000280 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000965 ), + .Q(\blk00000003/sig00000383 ) + ); + GND \blk00000003/blk0000027e/blk0000027f ( + .G(\blk00000003/blk0000027e/sig00000964 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000002b1/blk000002e9 ( + .I0(ce), + .I1(\blk00000003/sig00000234 ), + .O(\blk00000003/blk000002b1/sig000009e4 ) + ); + RAM32X1D #( + .INIT ( 32'h00000055 )) + \blk00000003/blk000002b1/blk000002e8 ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004c9 ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009d1 ), + .DPO(\blk00000003/blk000002b1/sig000009e3 ) + ); + RAM32X1D #( + .INIT ( 32'h00000055 )) + \blk00000003/blk000002b1/blk000002e7 ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004ca ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009d0 ), + .DPO(\blk00000003/blk000002b1/sig000009e2 ) + ); + RAM32X1D #( + .INIT ( 32'h00000055 )) + \blk00000003/blk000002b1/blk000002e6 ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004cb ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009cf ), + .DPO(\blk00000003/blk000002b1/sig000009e1 ) + ); + RAM32X1D #( + .INIT ( 32'h00000055 )) + \blk00000003/blk000002b1/blk000002e5 ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004cc ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009ce ), + .DPO(\blk00000003/blk000002b1/sig000009e0 ) + ); + RAM32X1D #( + .INIT ( 32'h00000055 )) + \blk00000003/blk000002b1/blk000002e4 ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004cd ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009cd ), + .DPO(\blk00000003/blk000002b1/sig000009df ) + ); + RAM32X1D #( + .INIT ( 32'h00000055 )) + \blk00000003/blk000002b1/blk000002e3 ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004ce ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009cc ), + .DPO(\blk00000003/blk000002b1/sig000009de ) + ); + RAM32X1D #( + .INIT ( 32'h00000095 )) + \blk00000003/blk000002b1/blk000002e2 ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004d0 ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009ca ), + .DPO(\blk00000003/blk000002b1/sig000009dc ) + ); + RAM32X1D #( + .INIT ( 32'h000000E5 )) + \blk00000003/blk000002b1/blk000002e1 ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004d1 ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009c9 ), + .DPO(\blk00000003/blk000002b1/sig000009db ) + ); + RAM32X1D #( + .INIT ( 32'h00000055 )) + \blk00000003/blk000002b1/blk000002e0 ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004cf ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009cb ), + .DPO(\blk00000003/blk000002b1/sig000009dd ) + ); + RAM32X1D #( + .INIT ( 32'h000000BD )) + \blk00000003/blk000002b1/blk000002df ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004d2 ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009c8 ), + .DPO(\blk00000003/blk000002b1/sig000009da ) + ); + RAM32X1D #( + .INIT ( 32'h000000F1 )) + \blk00000003/blk000002b1/blk000002de ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004d3 ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009c7 ), + .DPO(\blk00000003/blk000002b1/sig000009d9 ) + ); + RAM32X1D #( + .INIT ( 32'h0000000B )) + \blk00000003/blk000002b1/blk000002dd ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004d4 ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009c6 ), + .DPO(\blk00000003/blk000002b1/sig000009d8 ) + ); + RAM32X1D #( + .INIT ( 32'h000000DC )) + \blk00000003/blk000002b1/blk000002dc ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004d5 ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009c5 ), + .DPO(\blk00000003/blk000002b1/sig000009d7 ) + ); + RAM32X1D #( + .INIT ( 32'h00000057 )) + \blk00000003/blk000002b1/blk000002db ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004d6 ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009c4 ), + .DPO(\blk00000003/blk000002b1/sig000009d6 ) + ); + RAM32X1D #( + .INIT ( 32'h00000037 )) + \blk00000003/blk000002b1/blk000002da ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004d7 ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009c3 ), + .DPO(\blk00000003/blk000002b1/sig000009d5 ) + ); + RAM32X1D #( + .INIT ( 32'h00000072 )) + \blk00000003/blk000002b1/blk000002d9 ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004d9 ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009c1 ), + .DPO(\blk00000003/blk000002b1/sig000009d3 ) + ); + RAM32X1D #( + .INIT ( 32'h000000A7 )) + \blk00000003/blk000002b1/blk000002d8 ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004da ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009c0 ), + .DPO(\blk00000003/blk000002b1/sig000009d2 ) + ); + RAM32X1D #( + .INIT ( 32'h00000047 )) + \blk00000003/blk000002b1/blk000002d7 ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004d8 ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009c2 ), + .DPO(\blk00000003/blk000002b1/sig000009d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009e3 ), + .Q(\blk00000003/sig000002e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009e2 ), + .Q(\blk00000003/sig000002e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009e1 ), + .Q(\blk00000003/sig000002e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002d3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009e0 ), + .Q(\blk00000003/sig000002e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009df ), + .Q(\blk00000003/sig000002e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009de ), + .Q(\blk00000003/sig000002e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009dd ), + .Q(\blk00000003/sig000002e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009dc ), + .Q(\blk00000003/sig000002e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009db ), + .Q(\blk00000003/sig000002ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009da ), + .Q(\blk00000003/sig000002eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009d9 ), + .Q(\blk00000003/sig000002ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009d8 ), + .Q(\blk00000003/sig000002ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009d7 ), + .Q(\blk00000003/sig000002ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009d6 ), + .Q(\blk00000003/sig000002ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009d5 ), + .Q(\blk00000003/sig000002f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009d4 ), + .Q(\blk00000003/sig000002f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009d3 ), + .Q(\blk00000003/sig000002f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009d2 ), + .Q(\blk00000003/sig000002f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009d1 ), + .Q(\blk00000003/sig000004db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009d0 ), + .Q(\blk00000003/sig000004dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009cf ), + .Q(\blk00000003/sig000004dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009ce ), + .Q(\blk00000003/sig000004de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009cd ), + .Q(\blk00000003/sig000004df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009cc ), + .Q(\blk00000003/sig000004e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009cb ), + .Q(\blk00000003/sig000004e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009ca ), + .Q(\blk00000003/sig000004e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009c9 ), + .Q(\blk00000003/sig000004e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009c8 ), + .Q(\blk00000003/sig000004e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009c7 ), + .Q(\blk00000003/sig000004e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009c6 ), + .Q(\blk00000003/sig000004e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009c5 ), + .Q(\blk00000003/sig000004e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009c4 ), + .Q(\blk00000003/sig000004e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009c3 ), + .Q(\blk00000003/sig000004e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009c2 ), + .Q(\blk00000003/sig000004ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009c1 ), + .Q(\blk00000003/sig000004eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009c0 ), + .Q(\blk00000003/sig000004ec ) + ); + GND \blk00000003/blk000002b1/blk000002b2 ( + .G(\blk00000003/blk000002b1/sig000009bf ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000002ea/blk00000310 ( + .I0(ce), + .I1(\blk00000003/sig0000042a ), + .O(\blk00000003/blk000002ea/sig00000a27 ) + ); + RAM32X1D #( + .INIT ( 32'h00000055 )) + \blk00000003/blk000002ea/blk0000030f ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004db ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk0000030f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a26 ) + ); + RAM32X1D #( + .INIT ( 32'h000000D5 )) + \blk00000003/blk000002ea/blk0000030e ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004dc ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk0000030e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a25 ) + ); + RAM32X1D #( + .INIT ( 32'h00000055 )) + \blk00000003/blk000002ea/blk0000030d ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004dd ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk0000030d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a24 ) + ); + RAM32X1D #( + .INIT ( 32'h00000095 )) + \blk00000003/blk000002ea/blk0000030c ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004de ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk0000030c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a23 ) + ); + RAM32X1D #( + .INIT ( 32'h00000025 )) + \blk00000003/blk000002ea/blk0000030b ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004df ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk0000030b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a22 ) + ); + RAM32X1D #( + .INIT ( 32'h00000079 )) + \blk00000003/blk000002ea/blk0000030a ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004e0 ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk0000030a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a21 ) + ); + RAM32X1D #( + .INIT ( 32'h000000FB )) + \blk00000003/blk000002ea/blk00000309 ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004e2 ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk00000309_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a1f ) + ); + RAM32X1D #( + .INIT ( 32'h00000056 )) + \blk00000003/blk000002ea/blk00000308 ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004e3 ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk00000308_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a1e ) + ); + RAM32X1D #( + .INIT ( 32'h0000002E )) + \blk00000003/blk000002ea/blk00000307 ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004e1 ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk00000307_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a20 ) + ); + RAM32X1D #( + .INIT ( 32'h00000015 )) + \blk00000003/blk000002ea/blk00000306 ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004e4 ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk00000306_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a1d ) + ); + RAM32X1D #( + .INIT ( 32'h000000B2 )) + \blk00000003/blk000002ea/blk00000305 ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004e5 ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk00000305_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a1c ) + ); + RAM32X1D #( + .INIT ( 32'h0000009F )) + \blk00000003/blk000002ea/blk00000304 ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004e6 ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk00000304_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a1b ) + ); + RAM32X1D #( + .INIT ( 32'h000000CE )) + \blk00000003/blk000002ea/blk00000303 ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004e7 ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk00000303_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a1a ) + ); + RAM32X1D #( + .INIT ( 32'h000000C4 )) + \blk00000003/blk000002ea/blk00000302 ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004e8 ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk00000302_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a19 ) + ); + RAM32X1D #( + .INIT ( 32'h00000094 )) + \blk00000003/blk000002ea/blk00000301 ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004e9 ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk00000301_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a18 ) + ); + RAM32X1D #( + .INIT ( 32'h00000024 )) + \blk00000003/blk000002ea/blk00000300 ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004eb ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk00000300_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a16 ) + ); + RAM32X1D #( + .INIT ( 32'h00000047 )) + \blk00000003/blk000002ea/blk000002ff ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004ec ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk000002ff_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a15 ) + ); + RAM32X1D #( + .INIT ( 32'h000000E6 )) + \blk00000003/blk000002ea/blk000002fe ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004ea ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk000002fe_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a17 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a26 ), + .Q(\blk00000003/sig000003b4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a25 ), + .Q(\blk00000003/sig000003b5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a24 ), + .Q(\blk00000003/sig000003b6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a23 ), + .Q(\blk00000003/sig000003b7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a22 ), + .Q(\blk00000003/sig000003b8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a21 ), + .Q(\blk00000003/sig000003b9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a20 ), + .Q(\blk00000003/sig000003ba ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a1f ), + .Q(\blk00000003/sig000003bb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a1e ), + .Q(\blk00000003/sig000003bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a1d ), + .Q(\blk00000003/sig000003bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a1c ), + .Q(\blk00000003/sig000003be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a1b ), + .Q(\blk00000003/sig000003bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a1a ), + .Q(\blk00000003/sig000003c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a19 ), + .Q(\blk00000003/sig000003c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a18 ), + .Q(\blk00000003/sig000003c2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a17 ), + .Q(\blk00000003/sig000003c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a16 ), + .Q(\blk00000003/sig000003c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a15 ), + .Q(\blk00000003/sig000003c5 ) + ); + GND \blk00000003/blk000002ea/blk000002eb ( + .G(\blk00000003/blk000002ea/sig00000a14 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000371/blk00000397 ( + .I0(ce), + .I1(\blk00000003/sig00000232 ), + .O(\blk00000003/blk00000371/sig00000a64 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk00000371/blk00000396 ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004c9 ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk00000396_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a63 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk00000395 ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004ca ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk00000395_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a62 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk00000394 ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004cb ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk00000394_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a61 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk00000393 ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004cc ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk00000393_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a60 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk00000392 ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004cd ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk00000392_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a5f ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk00000391 ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004ce ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk00000391_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a5e ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk00000390 ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004d0 ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk00000390_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a5c ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk0000038f ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004d1 ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk0000038f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a5b ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk0000038e ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004cf ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk0000038e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a5d ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk0000038d ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004d2 ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk0000038d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a5a ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk0000038c ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004d3 ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk0000038c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a59 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk0000038b ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004d4 ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk0000038b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a58 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk0000038a ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004d5 ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk0000038a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a57 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk00000389 ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004d6 ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk00000389_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a56 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk00000388 ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004d7 ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk00000388_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a55 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk00000387 ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004d9 ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk00000387_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a53 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk00000386 ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004da ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk00000386_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a52 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk00000385 ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004d8 ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk00000385_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a54 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk00000384 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a63 ), + .Q(\blk00000003/sig000000f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk00000383 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a62 ), + .Q(\blk00000003/sig000000f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk00000382 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a61 ), + .Q(\blk00000003/sig000000f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk00000381 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a60 ), + .Q(\blk00000003/sig000000f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk00000380 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a5f ), + .Q(\blk00000003/sig000000f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk0000037f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a5e ), + .Q(\blk00000003/sig000000f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk0000037e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a5d ), + .Q(\blk00000003/sig000000f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk0000037d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a5c ), + .Q(\blk00000003/sig000000f9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk0000037c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a5b ), + .Q(\blk00000003/sig000000fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk0000037b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a5a ), + .Q(\blk00000003/sig000000fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk0000037a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a59 ), + .Q(\blk00000003/sig000000fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk00000379 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a58 ), + .Q(\blk00000003/sig000000fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk00000378 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a57 ), + .Q(\blk00000003/sig000000fe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk00000377 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a56 ), + .Q(\blk00000003/sig000000ff ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk00000376 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a55 ), + .Q(\blk00000003/sig00000100 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk00000375 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a54 ), + .Q(\blk00000003/sig00000101 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk00000374 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a53 ), + .Q(\blk00000003/sig00000102 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk00000373 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a52 ), + .Q(\blk00000003/sig00000103 ) + ); + GND \blk00000003/blk00000371/blk00000372 ( + .G(\blk00000003/blk00000371/sig00000a51 ) + ); + +// synthesis translate_on + +endmodule + +// synthesis translate_off + +`ifndef GLBL +`define GLBL + +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (weak1, weak0) GSR = GSR_int; + assign (weak1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + +endmodule + +`endif + +// synthesis translate_on diff --git a/fpga/usrp3/top/n3xx/dboards/common/Makefile.srcs b/fpga/usrp3/top/n3xx/dboards/common/Makefile.srcs new file mode 100644 index 000000000..2439e8b40 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/common/Makefile.srcs @@ -0,0 +1,18 @@ +# +# Copyright 2018 Ettus Research, a National Instruments Company +# +# SPDX-License-Identifier: LGPL-3.0-or-later +# + +################################################## +# DB COMMON Sources +################################################## +DB_COMMON_SRCS = $(abspath $(addprefix $(BASE_DIR)/n3xx/dboards/common/, \ +PkgRegs.vhd \ +sync/CrossTrigger.vhd \ +sync/Pulser.vhd \ +sync/TdcTop.vhd \ +sync/TdcWrapper.vhd \ +sync/TdcCore.edf \ +sync/SyncRegsIfc.edf \ +)) diff --git a/fpga/usrp3/top/n3xx/dboards/common/PkgRegs.vhd b/fpga/usrp3/top/n3xx/dboards/common/PkgRegs.vhd new file mode 100644 index 000000000..783e86e9c --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/common/PkgRegs.vhd @@ -0,0 +1,314 @@ +-- +-- Copyright 2018 Ettus Research, a National Instruments Company +-- +-- SPDX-License-Identifier: LGPL-3.0-or-later +-- +-- This package contains functions for reading and writing N310 registers. + +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + + +package PkgRegs is + + + -- RegPort Type Definitions : --------------------------------------------------------- + -- ------------------------------------------------------------------------------------ + + constant kAddressWidth : integer := 16; + + subtype InterfaceData_t is std_logic_vector(31 downto 0); + type RegDataAry_t is array (natural range <>) of InterfaceData_t; + constant kRegPortDataZero : InterfaceData_t := (others => '0'); + + -- The type of the signal used to communicate from the Interface + -- component to the frameworks + type RegPortIn_t is record + Address : unsigned(kAddressWidth - 1 downto 0); + Data : InterfaceData_t; + Rd : boolean; -- Must be a one clock cycle pulse + Wt : boolean; -- Must be a one clock cycle pulse + end record; + + -- The type of the signal used to communicate to the Interface + -- component from the frameworks + -- Ready is just the Ready signal from the Handshake component. + -- Address in RegPortIn_t should be valid in the cycle where Data, DataValid, + -- or Ready are being sampled by the bus communication interface. + type RegPortOut_t is record + Data : InterfaceData_t; + DataValid : boolean; -- Must be a one clock cycle pulse + Ready : boolean; -- Must be valid one clock after Wt assertion + end record; + + -- Constants for the RegPort + constant kRegPortInZero : RegPortIn_t := ( + Address => to_unsigned(0,kAddressWidth), + Data => (others => '0'), + Rd => false, + Wt => false); + + constant kRegPortOutZero : RegPortOut_t := ( + Data => (others=>'0'), + DataValid => false, + Ready => true); + + + + -- Register Offset Types : ------------------------------------------------------------ + -- ------------------------------------------------------------------------------------ + + -- Custom type for defining register spaces. Is it assumed that all defined register + -- addresses for each space are kOffset <= Address < kOffset+kWidth. Therefore when + -- Address equals kOffset+kWidth, we are not talking to this space but the space + -- above it. + type RegOffset_t is record + kOffset : integer; + kWidth : integer; + end record; + + constant kRegOffsetZero : RegOffset_t := (kOffset => 16#0#, kWidth => 16#04#); + + + + -- Access Functions : ----------------------------------------------------------------- + -- ------------------------------------------------------------------------------------ + + -- Helper function to combine register ports on their way back upstream. + function "+" (L, R : RegPortOut_t) return RegPortOut_t; + + function Mask(RegPortIn : in RegPortIn_t; + kRegisterOffset : in RegOffset_t) return RegPortIn_t; + + -- Helper functions to determine when a register is targeted by the RegPort. There + -- are three groups: RegSelected, RegWrite, and RegRead. The latter two call + -- RegSelected to determine if a register is targeted and being read or written. + -- RegSelected is also overloaded to accommodate the RegOffset_t type. + -- function RegSelected (RegPortIn : RegPortIn_t; + -- RegisterOffset : RegOffset_t) return boolean; + function RegSelected (RegOffset : integer; + RegPortIn : RegPortIn_t) return boolean; + function RegWrite (Address : integer; + RegPortIn : RegPortIn_t) return boolean; + function RegRead (Address : integer; + RegPortIn : RegPortIn_t) return boolean; + + function OrArray(ArrayIn : RegDataAry_t) return std_logic_vector; + + + + + -- Flattening Functions : ------------------------------------------------------------- + -- ------------------------------------------------------------------------------------ + + constant kFlatRegPortInSize : natural := kAddressWidth + + InterfaceData_t'length + + 2; + + subtype FlatRegPortIn_t is std_logic_vector(kFlatRegPortInSize-1 downto 0); + + constant kFlatRegPortOutSize : natural := InterfaceData_t'length + + 2; + + subtype FlatRegPortOut_t is std_logic_vector(kFlatRegPortOutSize-1 downto 0); + + function Flatten(Var : RegPortIn_t) return FlatRegPortIn_t; + function Unflatten(Var : FlatRegPortIn_t) return RegPortIn_t; + + function Flatten(Var : RegPortOut_t) return FlatRegPortOut_t; + function Unflatten(Var : FlatRegPortOut_t) return RegPortOut_t; + + + + +end PkgRegs; + + +package body PkgRegs is + + -- Combines RegPortOut_t types together + function "+" (L, R : RegPortOut_t) return RegPortOut_t + is + variable ReturnVal : RegPortOut_t; + begin + ReturnVal := kRegPortOutZero; + ReturnVal.Data := L.Data or R.Data; + ReturnVal.DataValid := L.DataValid or R.DataValid; + ReturnVal.Ready := L.Ready and R.Ready; + return ReturnVal; + end function; + + + -- This function lops off the portion of the register bus that is + -- decoded in the InAddrSpace function in order to reduce the number of bits + -- decoded by the register read logic. Also, the Rd and Wt strobes are gated + -- as well. + function Mask(RegPortIn : in RegPortIn_t; + kRegisterOffset : in RegOffset_t) return RegPortIn_t + is + variable RegPortInVar : RegPortIn_t; + variable InSpace : boolean := false; + begin + + InSpace := (RegPortIn.Address >= kRegisterOffset.kOffset) and + (RegPortIn.Address < kRegisterOffset.kOffset + kRegisterOffset.kWidth); + + -- Compare the most significant bits of the address bus downto the LSb + -- that we just calculated. + if InSpace then + -- If in address space then allow Rd and Wt to assert + RegPortInVar.Rd := RegPortIn.Rd; + RegPortInVar.Wt := RegPortIn.Wt; + else + RegPortInVar.Rd := kRegPortInZero.Rd; + RegPortInVar.Wt := kRegPortInZero.Wt; + end if; + + RegPortInVar.Data := RegPortIn.Data; + RegPortInVar.Address := RegPortIn.Address - kRegisterOffset.kOffset; + return RegPortInVar; + end function Mask; + + + -- Returns true when this chip is selected and the address matches the register. + -- Note that RegOffset is divided by 4 before being compared against the register + -- port Address value. + function RegSelected (RegOffset : integer; + RegPortIn : RegPortIn_t) return boolean is + begin + return RegPortIn.Address = to_unsigned(RegOffset, RegPortIn.Address'length); + end function RegSelected; + + -- Returns true when the register is being written. + function RegWrite (Address : integer; + RegPortIn : RegPortIn_t) return boolean is + begin + return RegSelected(Address, RegPortIn) and RegPortIn.Wt; + end function RegWrite; + + -- Returns true when the register is being read. + function RegRead (Address : integer; + RegPortIn : RegPortIn_t) return boolean is + begin + return RegSelected(Address, RegPortIn) and RegPortIn.Rd; + end function RegRead; + + -- Overloaded version of RegSelected for the RegOffset_t + -- NOTE!!! Offset <= Address < Offset+Width + -- Therefore, this function assumes that when Address = Offset+Width we are talking to + -- a different register group than the one given in RegisterOffset. + -- function RegSelected (RegPortIn : RegPortIn_t; + -- RegisterOffset : RegOffset_t) return boolean is + -- begin + -- return (RegPortIn.Address >= to_unsigned(RegisterOffset.kOffset, RegPortIn.Address'length)) and + -- (RegPortIn.Address < to_unsigned(RegisterOffset.kOffset + RegisterOffset.kWidth, RegPortIn.Address'length)); + -- end function RegSelected; + + function OrArray(ArrayIn : RegDataAry_t) return std_logic_vector + is + variable ReturnVar : std_logic_vector(ArrayIn(ArrayIn'right)'range); + begin + ReturnVar := (others => '0'); + for i in ArrayIn'range loop + ReturnVar := ReturnVar or ArrayIn(i); + end loop; + return ReturnVar; + end function OrArray; + + + function to_Boolean (s : std_ulogic) return boolean is + begin + return (To_X01(s)='1'); + end to_Boolean; + + function to_StdLogic(b : boolean) return std_ulogic is + begin + if b then + return '1'; + else + return '0'; + end if; + end to_StdLogic; + + + + ----------------------------------------------------- + -- REG PORTS (FROM PkgCommunicationInterface) + -- + -- subtype InterfaceData_t is std_logic_vector(31 downto 0); + -- + -- constant kAddressWidth : positive := kAddressWidth - 2; + -- + -- type RegPortIn_t is record + -- Address : unsigned(kAddressWidth - 1 downto 0); + -- Data : InterfaceData_t; + -- Rd : boolean; -- Must be a one clock cycle pulse + -- Wt : boolean; -- Must be a one clock cycle pulse + -- end record; + + function Flatten(Var : RegPortIn_t) return FlatRegPortIn_t is + variable Index : natural; + variable RetVar : FlatRegPortIn_t; + begin + Index := 0; + RetVar(Index) := to_StdLogic(Var.Wt); Index := Index + 1; + RetVar(Index) := to_StdLogic(Var.Rd); Index := Index + 1; + RetVar(Index + Var.Data'length - 1 downto Index) := std_logic_vector(Var.Data); + Index := Index + Var.Data'length; + RetVar(Index + Var.Address'length - 1 downto Index) := std_logic_vector(Var.Address); + Index := Index + Var.Address'length; + + return RetVar; + end function Flatten; + + function Unflatten(Var : FlatRegPortIn_t) return RegPortIn_t is + variable Index : natural; + variable RetVal : RegPortIn_t; + begin + Index := 0; + RetVal.Wt := to_Boolean(Var(Index)); Index := Index + 1; + RetVal.Rd := to_Boolean(Var(Index)); Index := Index + 1; + RetVal.Data := InterfaceData_t(Var(Index + RetVal.Data'length - 1 downto Index)); + Index := Index + RetVal.Data'length; + RetVal.Address := unsigned(Var(Index + RetVal.Address'length - 1 downto Index)); + Index := Index + RetVal.Address'length; + + return RetVal; + end function Unflatten; + + -- type RegPortOut_t is record + -- Data : InterfaceData_t; + -- DataValid : boolean; -- Must be a one clock cycle pulse + -- Ready : boolean; -- Must be valid one clock after Wt assertion + -- end record; + + function Flatten(Var : RegPortOut_t) return FlatRegPortOut_t is + variable Index : natural; + variable RetVar : FlatRegPortOut_t; + begin + Index := 0; + RetVar(Index) := to_StdLogic(Var.Ready); Index := Index + 1; + RetVar(Index) := to_StdLogic(Var.DataValid); Index := Index + 1; + RetVar(Index + Var.Data'length - 1 downto Index) := std_logic_vector(Var.Data); + Index := Index + Var.Data'length; + + return RetVar; + end function Flatten; + + function Unflatten(Var : FlatRegPortOut_t) return RegPortOut_t is + variable Index : natural; + variable RetVal : RegPortOut_t; + begin + Index := 0; + RetVal.Ready := to_Boolean(Var(Index)); Index := Index + 1; + RetVal.DataValid := to_Boolean(Var(Index)); Index := Index + 1; + RetVal.Data := InterfaceData_t(Var(Index + RetVal.Data'length - 1 downto Index)); + Index := Index + RetVal.Data'length; + + return RetVal; + end function Unflatten; + + + +end PkgRegs; diff --git a/fpga/usrp3/top/n3xx/dboards/common/sync/CrossTrigger.vhd b/fpga/usrp3/top/n3xx/dboards/common/sync/CrossTrigger.vhd new file mode 100644 index 000000000..70a873501 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/common/sync/CrossTrigger.vhd @@ -0,0 +1,414 @@ +------------------------------------------------------------------------------- +-- +-- Copyright 2018 Ettus Research, a National Instruments Company +-- +-- SPDX-License-Identifier: LGPL-3.0-or-later +-- +-- +-- Purpose: +-- +-- Uses the RP and SP edges to cross a trigger from the RefClk domain to +-- the SampleClk domain. The RP FE captures the input trigger and sends it to +-- the SampleClk domain. There, it is double-synchronized but only allowed to pass +-- when the SP RE occurs. The trigger (now in the SampleClk domain) is then passed +-- through an elastic buffer before being sent on it's merry way. +-- +-- Below is the latency through this module. If you assert rTriggerIn before or after +-- the rRP RE, then you need to add/subtract the distance to the rRP RE. +-- +-- Deterministic latency through this module is (starting at the rRP RE): +-- Measured difference between rRP and sSP rising edges (using a TDC, positive value +-- if rRP rises before sSP). +-- + One period of sSP +-- + Two periods of SampleClk (Double Sync) +-- + (sElasticBufferPtr value + 1) * SampleClk Period +-- + One period of SampleClk +-- +-- How much skew between RP and SP can we allow and still safely pass triggers? +-- Our "launch" edge is essentially the RP FE, and our "latch" edge is the SP RE. +-- Consider the no skew (RP and SP edges align) case first. Our setup and hold budget +-- is balanced at T/2. Based on this, it seems we can tolerate almost T/2 skew in either +-- direction (ignoring a few Reference and Sample Clock cycles here and there). +-- My recommendation is to keep the skew to a minimum, like less than T/4. +-- In the context of the FTDC project for N310, this should be a no-brainer since +-- the SP pulses are started only a few RefClk cycles after RP. The skew is +-- easily verified by taking a FTDC measurement. If the skew is less than T/4, you can +-- sleep easy. If not, then I recommend doing a comprehensive analysis of how much +-- settling time you have between the trigger being launched from the RefClk domain +-- and latched in the SampleClk domain. +-- +-- vreview_group Tdc +------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +library unisim; + use unisim.vcomponents.all; + + +entity CrossTrigger is + port ( + aReset : in boolean; + + RefClk : in std_logic; + -- For convenience while writing this, I have only considered the N3x0 case where + -- rRP is slightly ahead of sSP in phase. + rRP : in boolean; + -- De-asserts the clock cycle after rTriggerIn asserts. Re-asserts after the + -- second falling edge of rRP, indicating new triggers can be accepted. + rReadyForInput : out boolean; + -- Only one pulse will be output for each rising edge of rTriggerIn. rTriggerIn is + -- ignored when rReadyForInput is de-asserted. All levels are ignored when Enable + -- is de-asserted. + rEnableTrigger : in boolean; + rTriggerIn : in boolean; + + SampleClk : in std_logic; + sSP : in boolean; + -- An elastic buffer just before the output is used to compensate for skew + -- in sSP pulses across boards. Default should be in the middle of the 4 bit + -- range at 7. + sElasticBufferPtr : in unsigned(3 downto 0); + -- Single-cycle pulse output. + sTriggerOut : out boolean + ); +end CrossTrigger; + + +architecture rtl of CrossTrigger is + + --vhook_sigstart + --vhook_sigend + + signal rRpFE, + rRpDly, + rTriggerToSClk, + rTriggerCaptured, + sSpRE, + sSpDly : boolean; + + signal sTriggerBuffer : unsigned(2**sElasticBufferPtr'length-1 downto 0); + signal sTriggerInSClk, sTriggerInSClk_ms : boolean; + + function to_StdLogic(b : boolean) return std_ulogic is + begin + if b then + return '1'; + else + return '0'; + end if; + end to_StdLogic; + + function to_Boolean (s : std_ulogic) return boolean is + begin + return (To_X01(s)='1'); + end to_Boolean; + + attribute async_reg : string; + attribute async_reg of sTriggerInSClk : signal is "TRUE"; + attribute async_reg of sTriggerInSClk_ms : signal is "TRUE"; + +begin + + -- Reference Clock Domain Trigger Capture : ------------------------------------------- + -- The trigger input is captured whenever it is high. The captured value is reset + -- by the falling edge of rRP. + -- ------------------------------------------------------------------------------------ + + rRpFE <= rRpDly and not rRP; + + CaptureTrigger : process(aReset, RefClk) + begin + if aReset then + rTriggerCaptured <= false; + rRpDly <= false; + elsif rising_edge(RefClk) then + rRpDly <= rRP; + if not rEnableTrigger then + rTriggerCaptured <= false; + elsif rTriggerIn then + -- Capture trigger whenever the input is asserted (so this will work with single + -- cycle and multi-cycle pulses). + rTriggerCaptured <= true; + elsif rRpFE then + -- Reset the captured trigger one cycle after the rRP FE. + rTriggerCaptured <= false; + end if; + end if; + end process; + + + -- Send Trigger To Sample Clock Domain : ---------------------------------------------- + -- Send the captured trigger on the falling edge of rRP. + -- ------------------------------------------------------------------------------------ + SendTrigger : process(aReset, RefClk) + begin + if aReset then + rTriggerToSClk <= false; + elsif rising_edge(RefClk) then + if not rEnableTrigger then + rTriggerToSClk <= false; + elsif rRpFE then + rTriggerToSClk <= rTriggerCaptured; + end if; + end if; + end process; + + rReadyForInput <= not (rTriggerToSClk or rTriggerCaptured); + + -- Capture Trigger in Sample Clock Domain : ------------------------------------------- + -- On the rising edge of sSP, capture the trigger. To keep things free of + -- metastability, we double-sync the trigger into the SampleClk domain first. + -- ------------------------------------------------------------------------------------ + + ReceiveAndProcessTrigger : process(aReset, SampleClk) + begin + if aReset then + sSpDly <= false; + sTriggerBuffer <= (others => '0'); + sTriggerOut <= false; + sTriggerInSClk_ms <= false; + sTriggerInSClk <= false; + elsif rising_edge(SampleClk) then + -- Edge detector delays. + sSpDly <= sSP; + + -- Double-synchronizer for trigger. + sTriggerInSClk_ms <= rTriggerToSClk; + sTriggerInSClk <= sTriggerInSClk_ms; + + -- Delay chain for the elastic buffer. Move to the left people! Note that this + -- operation incurs at least one cycle of delay. Also note the trigger input is + -- gated with the SP RE. + sTriggerBuffer <= sTriggerBuffer(sTriggerBuffer'high-1 downto 0) & + to_stdlogic(sTriggerInSClk and sSpRE); + + -- Based on the buffer pointer value select and flop the output one more time. + sTriggerOut <= to_boolean(sTriggerBuffer(to_integer(sElasticBufferPtr))); + end if; + end process; + + -- Rising edge detectors. + sSpRE <= sSP and not sSpDly; + + +end rtl; + + + + + +-------------------------------------------------------------------------------- +-- Testbench for CrossTrigger +-- +-- Meh coverage on the triggers so far... but this tests general operation +-- and latency. +-------------------------------------------------------------------------------- + +--synopsys translate_off +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +entity tb_CrossTrigger is end tb_CrossTrigger; + +architecture test of tb_CrossTrigger is + + -- Sets up a 1.25 MHz period. + constant kClksPerPulseMaxBits: integer := 10; + constant kRpPeriodInRClks : integer := 8; + constant kRpHighTimeInRClks : integer := 4; + constant kSpPeriodInRClks : integer := 100; + constant kSpHighTimeInRClks : integer := 50; + + --vhook_sigstart + signal aReset: boolean; + signal RefClk: std_logic := '0'; + signal rEnablePulser: boolean; + signal rEnableTrigger: boolean; + signal rReadyForInput: boolean; + signal rRP: boolean; + signal rTriggerIn: boolean; + signal SampleClk: std_logic := '0'; + signal sElasticBufferPtr: unsigned(3 downto 0); + signal sEnablePulser: boolean; + signal sSP: boolean; + signal sTriggerOut: boolean; + --vhook_sigend + + signal StopSim : boolean; + -- shared variable Rand : Random_t; + constant kSPer : time := 8.000 ns; -- 125.00 MHz + constant kRPer : time := 100.000 ns; -- 10.00 MHz + + signal rRfiExpected: boolean:= true; + signal sTriggerOutExpected: boolean:= false; + + procedure ClkWait( + signal Clk : in std_logic; + X : positive := 1) is + begin + for i in 1 to X loop + wait until rising_edge(Clk); + end loop; + end procedure ClkWait; + +begin + + SampleClk <= not SampleClk after kSPer/2 when not StopSim else '0'; + RefClk <= not RefClk after kRPer/2 when not StopSim else '0'; + + --vhook_e Pulser RpPulser + --vhook_a Clk RefClk + --vhook_a cLoadLimits true + --vhook_a cPeriod to_unsigned(kRpPeriodInRClks,kClksPerPulseMaxBits) + --vhook_a cHighTime to_unsigned(kRpHighTimeInRClks,kClksPerPulseMaxBits) + --vhook_a cEnablePulse rEnablePulser + --vhook_a cPulse rRP + RpPulser: entity work.Pulser (rtl) + generic map (kClksPerPulseMaxBits => kClksPerPulseMaxBits) --integer range 3:32 :=16 + port map ( + aReset => aReset, --in boolean + Clk => RefClk, --in std_logic + cLoadLimits => true, --in boolean + cPeriod => to_unsigned(kRpPeriodInRClks,kClksPerPulseMaxBits), --in unsigned(kClksPerPulseMaxBits-1:0) + cHighTime => to_unsigned(kRpHighTimeInRClks,kClksPerPulseMaxBits), --in unsigned(kClksPerPulseMaxBits-1:0) + cEnablePulse => rEnablePulser, --in boolean + cPulse => rRP); --out boolean + + --vhook_e Pulser SpPulser + --vhook_a Clk SampleClk + --vhook_a cLoadLimits true + --vhook_a cPeriod to_unsigned(kSpPeriodInRClks,kClksPerPulseMaxBits) + --vhook_a cHighTime to_unsigned(kSpHighTimeInRClks,kClksPerPulseMaxBits) + --vhook_a cEnablePulse sEnablePulser + --vhook_a cPulse sSP + SpPulser: entity work.Pulser (rtl) + generic map (kClksPerPulseMaxBits => kClksPerPulseMaxBits) --integer range 3:32 :=16 + port map ( + aReset => aReset, --in boolean + Clk => SampleClk, --in std_logic + cLoadLimits => true, --in boolean + cPeriod => to_unsigned(kSpPeriodInRClks,kClksPerPulseMaxBits), --in unsigned(kClksPerPulseMaxBits-1:0) + cHighTime => to_unsigned(kSpHighTimeInRClks,kClksPerPulseMaxBits), --in unsigned(kClksPerPulseMaxBits-1:0) + cEnablePulse => sEnablePulser, --in boolean + cPulse => sSP); --out boolean + + + main: process + procedure SendTrigger is + begin + assert rReadyForInput + report "RFI isn't high, so we can't issue a trigger" severity error; + + -- Give it some action. We need to ideally test this for every phase offset of + -- rTriggerIn with respect to the rising edge of rRP, but let's get to that later. + -- For now, wait until a rising edge on rRP and then wait for most of the period + -- to issue the trigger. + wait until rRP and not rRP'delayed; + wait for (kRpPeriodInRClks-3)*kRPer; + rTriggerIn <= true; + ClkWait(RefClk); + rTriggerIn <= false; + rRfiExpected <= false; + + -- At this point, we wait until a sSP RE, plus two SampleClks, plus sElasticBufferPtr + -- plus 1 worth of SampleClks, plus one more SampleClk, and then the trigger + -- should appear. + wait until not rRP and rRP'delayed; + wait until sSP and not sSP'delayed; + ClkWait(SampleClk,1); + ClkWait(SampleClk, to_integer(sElasticBufferPtr)+1); + sTriggerOutExpected <= true; + ClkWait(SampleClk,1); + sTriggerOutExpected <= false; + wait until not rRP and rRP'delayed; + ClkWait(RefClk,1); + rRfiExpected <= true; + end procedure SendTrigger; + + begin + rEnablePulser <= false; + sEnablePulser <= false; + rEnableTrigger <= true; + sElasticBufferPtr <= to_unsigned(7, sElasticBufferPtr'length); + + aReset <= true, false after 10 ns; + ClkWait(RefClk,5); + + -- Start up the pulsers and ensure nothing comes out of the trigger for a while. + rEnablePulser <= true; + ClkWait(RefClk, 3); + ClkWait(SampleClk, 2); + sEnablePulser <= true; + + ClkWait(RefClk, kRpPeriodInRClks*5); + assert (not sTriggerOut) and sTriggerOut'stable(kRpPeriodInRClks*5*kRPer) + report "Rogue activity on sTriggerOut before rTriggerIn asserted!" severity error; + assert (rReadyForInput) and rReadyForInput'stable(kRpPeriodInRClks*5*kRPer) + report "Ready for Input was not high before trigger!" severity error; + + + SendTrigger; + + ClkWait(RefClk, kRpPeriodInRClks*5); + + SendTrigger; + + ClkWait(RefClk, kRpPeriodInRClks*5); + + -- Turn off the trigger enable and send a trigger. + rEnableTrigger <= false; + ClkWait(RefClk); + rTriggerIn <= true; + ClkWait(RefClk); + rTriggerIn <= false; + + -- And nothing should happen. + ClkWait(RefClk, kRpPeriodInRClks*5); + assert (not sTriggerOut) and sTriggerOut'stable(kRpPeriodInRClks*5*kRPer) + report "Rogue activity on sTriggerOut before rTriggerIn asserted!" severity error; + + + ClkWait(RefClk, kRpPeriodInRClks*5); + StopSim <= true; + wait; + end process; + + + CheckRfi : process(RefClk) + begin + if falling_edge(RefClk) then + assert rReadyForInput = rRfiExpected + report "RFI didn't match expected" severity error; + end if; + end process; + + CheckTrigOut : process(SampleClk) + begin + if falling_edge(SampleClk) then + assert sTriggerOut = sTriggerOutExpected + report "Trigger Out didn't match expected" severity error; + end if; + end process; + + + --vhook_e CrossTrigger dutx + dutx: entity work.CrossTrigger (rtl) + port map ( + aReset => aReset, --in boolean + RefClk => RefClk, --in std_logic + rRP => rRP, --in boolean + rReadyForInput => rReadyForInput, --out boolean + rEnableTrigger => rEnableTrigger, --in boolean + rTriggerIn => rTriggerIn, --in boolean + SampleClk => SampleClk, --in std_logic + sSP => sSP, --in boolean + sElasticBufferPtr => sElasticBufferPtr, --in unsigned(3:0) + sTriggerOut => sTriggerOut); --out boolean + + +end test; +--synopsys translate_on diff --git a/fpga/usrp3/top/n3xx/dboards/common/sync/Pulser.vhd b/fpga/usrp3/top/n3xx/dboards/common/sync/Pulser.vhd new file mode 100644 index 000000000..c6cb30f24 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/common/sync/Pulser.vhd @@ -0,0 +1,362 @@ +------------------------------------------------------------------------------- +-- +-- Copyright 2018 Ettus Research, a National Instruments Company +-- +-- SPDX-License-Identifier: LGPL-3.0-or-later +-- +-- +-- Purpose: +-- +-- The purpose of this module is to create a psuedo-clock "pulse" on the output +-- cPulse whenever cEnablePulse is asserted. +-- +-- The output period and high time are determined by the inputs cPeriod and +-- cHighTime, where cPeriod must be greater than cHighTime+2. When these values +-- are valid at the inputs, pulse cLoadLimits to load them into the pulser routine. +-- It is not recommended to leave cEnablePulse asserted when loading new limits. +-- +-- Dynamic period and duty cycle setup: +-- 1) Disable the pulser by de-asserting cEnablePulse. +-- 2) Load new period and duty cycle by modifying cPeriod and cHighTime. Pulse +-- cLoadLimits for at least one Clk cycle. +-- 3) Enable the pulser by asserting cEnablePulse. +-- 4) Repeat 1-3 as necessary. +-- +-- Static period and duty cycle setup: +-- 1) Tie cLoadLimits to asserted. +-- 2) Tie cPeriod and cHighTime to static values. +-- 3) Enable and disable the pulser by asserting and de-asserting cEnablePulser at will. +-- This input can also be tied asserted in this case. +-- +-- vreview_group Tdc +------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + use ieee.math_real.all; + +entity Pulser is + generic ( + -- The pulse counter is kClksPerPulseMaxBits wide. + -- Why 16? Then both cPeriod and cHighTime fit nicely into one 32 bit register! + -- Minimum of 3 to make our default values for cHighTime work out. + kClksPerPulseMaxBits : integer range 3 to 32 := 16 + ); + port ( + aReset : in boolean; + Clk : in std_logic; + + -- Pulse cLoadLimits when cPeriod and cHighTime are valid. Is it not recommended to + -- load new limits when the output is enabled. + -- Alternatively, cLoadLimits can be tied high if cPeriod and cHighTime are also + -- tied to static values. + cLoadLimits : in boolean; + cPeriod : in unsigned(kClksPerPulseMaxBits - 1 downto 0); + cHighTime : in unsigned(kClksPerPulseMaxBits - 1 downto 0); + + -- When cEnablePulse is de-asserted, cPulse idles low on the following cycle. + -- When asserted, cPulse will then assert within a few cycles. + -- This input can be tied high, if desired, and the pulses will start several + -- clock cycles after aReset de-assertion. + cEnablePulse : in boolean; + + -- When cEnablePulse is asserted, cPulse will produce a rising edge every + -- cPeriod of the Clk input and a falling edge cHighTime cycles after + -- the rising edge. + cPulse : out boolean + ); +end Pulser; + + +architecture rtl of Pulser is + + signal cCounter, + cPeriodStored, + cHighTimeStored : unsigned(cPeriod'range); + + signal cSafeToStart_ms, cSafeToStart, cSafeToStartDly : boolean; + + attribute ASYNC_REG : string; + attribute ASYNC_REG of cSafeToStart_ms : signal is "true"; + attribute ASYNC_REG of cSafeToStart : signal is "true"; + +begin + + --synthesis translate_off + CheckInputRanges : process(Clk) + begin + if falling_edge(Clk) then + -- +2 since we have the output high offset from the zero of the counter + assert (cPeriodStored > cHighTimeStored + 2) + report "cPeriod is not greater than cHighTime + 2" severity error; + -- Ensure the high time is greater than 1... + assert (cHighTimeStored > 1) + report "cHighTime is not greater than 1" severity error; + end if; + end process; + --synthesis translate_on + + + -- ------------------------------------------------------------------------------------ + -- !!! SAFE COUNTER STARTUP !!! + -- This counter starts safely, meaning it cannot start counting immediately after + -- aReset de-assertion, because the counter cannot start until cSafeToStart asserts, + -- which cannot happen until 1-2 clock cycles after aReset de-assertion. + -- ------------------------------------------------------------------------------------ + CountFreqRefPeriod: process(aReset, Clk) + begin + if aReset then + cCounter <= (others => '0'); + cSafeToStart_ms <= false; + cSafeToStart <= false; + cSafeToStartDly <= false; + cPulse <= false; + cPeriodStored <= (others => '1'); + -- This is a rather arbitrary start value, but we are guaranteed that it is + -- less than the reset value of cPeriodStored as well as greater than 2, + -- so it works well enough in case the module isn't set up correctly. + cHighTimeStored <= to_unsigned(kClksPerPulseMaxBits+2,cHighTimeStored'length); + elsif rising_edge(Clk) then + -- Create a safe counter startup signal that asserts shortly after + -- aReset de-assertion. + cSafeToStart_ms <= true; + cSafeToStart <= cSafeToStart_ms; + -- In the case where cLoadLimits and cEnablePulse are tied high, we need to give + -- them one cycle to load before starting the counter, so we delay cSafeToStart + -- by one for the counter. + cSafeToStartDly <= cSafeToStart; + + if cEnablePulse and cSafeToStartDly then + -- Simple counter increment until ceiling reached, then roll over. + if cCounter >= cPeriodStored - 1 then + cCounter <= (others => '0'); + else + cCounter <= cCounter + 1; + end if; + + -- Pulse the output when counter is between 1 and cHighTimeStored. + if cCounter = 1 then + cPulse <= true; + elsif cCounter >= cHighTimeStored+1 then + cPulse <= false; + end if; + + else + cPulse <= false; + cCounter <= (others => '0'); + end if; + + if cLoadLimits and cSafeToStart then + cPeriodStored <= cPeriod; + cHighTimeStored <= cHighTime; + end if; + end if; + end process; + +end rtl; + + +-------------------------------------------------------------------------------- +-- Testbench for Pulser +-------------------------------------------------------------------------------- + +--synopsys translate_off +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + use ieee.math_real.all; + +entity tb_Pulser is end tb_Pulser; + +architecture test of tb_Pulser is + + constant kClksPerPulseMaxBits : integer := 16; + + --vhook_sigstart + signal aReset: boolean; + signal cEnablePulse: boolean; + signal cHighTime: unsigned(kClksPerPulseMaxBits-1 downto 0); + signal Clk: std_logic := '0'; + signal cLoadLimits: boolean; + signal cPeriod: unsigned(kClksPerPulseMaxBits-1 downto 0); + signal cPulse: boolean; + signal cPulseDut2: boolean; + --vhook_sigend + + signal StopSim : boolean; + constant kPer : time := 10 ns; + + signal CheckPulse : boolean := false; + signal cPulseSl : std_logic := '0'; + signal cPulseDut2Sl : std_logic := '0'; + + procedure ClkWait(X : positive := 1) is + begin + for i in 1 to X loop + wait until rising_edge(Clk); + end loop; + end procedure ClkWait; + +begin + + Clk <= not Clk after kPer/2 when not StopSim else '0'; + + main: process + begin + cEnablePulse <= false; + aReset <= true, false after 10 ns; + ClkWait(5); + + -- Ensure the pulse is quiet for a while. + ClkWait(100); + assert cPulse'stable(kPer*100) and not cPulse + report "pulse not stable at false at startup" + severity error; + + + -- Set up, then enable the pulse; expect it to go high after a few cycles. + cPeriod <= to_unsigned(250,cPeriod'length); + cHighTime <= to_unsigned(100,cPeriod'length); + cLoadLimits <= true; + ClkWait; + cLoadLimits <= false; + cEnablePulse <= true; + ClkWait(2); -- pulse rises here + wait until falling_edge(Clk); + assert cPulse report "cPulse not high two cycles after enabling" severity error; + -- After another clock cycle the checker below should be primed, so we can enable it. + ClkWait; + CheckPulse <= true; + ClkWait(to_integer(cHighTime)-1); + wait until falling_edge(Clk); + assert not cPulse report "Pulse not low after high requirement" severity error; + + -- Check the pulse high and low for a few cycles (duplicated below, but this also + -- checks that it actually is toggling). + for i in 0 to 100 loop + ClkWait(to_integer(cPeriod) - to_integer(cHighTime)); + wait until falling_edge(Clk); + assert cPulse report "Pulse not high when expected" severity error; + ClkWait(to_integer(cHighTime)); + wait until falling_edge(Clk); + assert not cPulse report "Pulse not low after high requirement" severity error; + end loop; + + -- Disable pulse, and check that it goes away for a long time + cEnablePulse <= false; + CheckPulse <= false; + -- 2 is about the max time for it to go away. + ClkWait(2); + ClkWait(2**kClksPerPulseMaxBits); + assert (not cPulse) and cPulse'stable(2**kClksPerPulseMaxBits*kPer) + report "disable didn't work" severity error; + + + -- Re-do all the initial tests with different periods and such. + + -- Enable the pulse, expect it to go high after a few cycles + cPeriod <= to_unsigned(10,cPeriod'length); + cHighTime <= to_unsigned(5,cPeriod'length); + cLoadLimits <= true; + ClkWait; + cLoadLimits <= false; + cEnablePulse <= true; + ClkWait(2); -- pulse rises here + wait until falling_edge(Clk); + assert cPulse report "cPulse not high two cycles after enabling" severity error; + -- After another clock cycle the checker below should be primed, so we can enable it. + ClkWait; + CheckPulse <= true; + ClkWait(to_integer(cHighTime)-1); + wait until falling_edge(Clk); + assert not cPulse report "Pulse not low after high requirement" severity error; + + -- Check the pulse high and low for a few cycles (duplicated below, but this also + -- checks that it actually is toggling). + for i in 0 to 100 loop + ClkWait(to_integer(cPeriod) - to_integer(cHighTime)); + wait until falling_edge(Clk); + assert cPulse report "Pulse not high when expected" severity error; + ClkWait(to_integer(cHighTime)); + wait until falling_edge(Clk); + assert not cPulse report "Pulse not low after high requirement" severity error; + end loop; + + ClkWait(100); + + + StopSim <= true; + wait; + end process; + + cPulseSl <= '1' when cPulse else '0'; + + -- Test the period and duty cycle of the pulse. + CheckPulseSpecs : process(cPulseSl) + variable LastRise : time := 0 ns; + begin + if falling_edge(cPulseSl) then + assert (not CheckPulse) or (now - LastRise = kPer*to_integer(cHighTime)) + report "High cycles requirement not met" severity error; + elsif rising_edge(cPulseSl) then + assert (not CheckPulse) or (now - LastRise = kPer*to_integer(cPeriod)) + report "Period requirement not met" & LF & + "Act: " & time'image(now-LastRise) & LF & + "Req: " & time'image(kPer*to_integer(cPeriod)) + severity error; + LastRise := now; + end if; + end process; + + --vhook_e Pulser dutx + dutx: entity work.Pulser (rtl) + generic map (kClksPerPulseMaxBits => kClksPerPulseMaxBits) --integer range 3:32 :=16 + port map ( + aReset => aReset, --in boolean + Clk => Clk, --in std_logic + cLoadLimits => cLoadLimits, --in boolean + cPeriod => cPeriod, --in unsigned(kClksPerPulseMaxBits-1:0) + cHighTime => cHighTime, --in unsigned(kClksPerPulseMaxBits-1:0) + cEnablePulse => cEnablePulse, --in boolean + cPulse => cPulse); --out boolean + + + --vhook_e Pulser dut2 + --vhook_a cLoadLimits true + --vhook_a cPeriod to_unsigned(5,kClksPerPulseMaxBits) + --vhook_a cHighTime to_unsigned(2,kClksPerPulseMaxBits) + --vhook_a cEnablePulse true + --vhook_a cPulse cPulseDut2 + dut2: entity work.Pulser (rtl) + generic map (kClksPerPulseMaxBits => kClksPerPulseMaxBits) --integer range 3:32 :=16 + port map ( + aReset => aReset, --in boolean + Clk => Clk, --in std_logic + cLoadLimits => true, --in boolean + cPeriod => to_unsigned(5,kClksPerPulseMaxBits), --in unsigned(kClksPerPulseMaxBits-1:0) + cHighTime => to_unsigned(2,kClksPerPulseMaxBits), --in unsigned(kClksPerPulseMaxBits-1:0) + cEnablePulse => true, --in boolean + cPulse => cPulseDut2); --out boolean + + cPulseDut2Sl <= '1' when cPulseDut2 else '0'; + + CheckDut2 : process (cPulseDut2Sl) + variable LastRise : time := 0 ns; + begin + if falling_edge(cPulseDut2Sl) then + assert (not CheckPulse) or (now - LastRise = kPer*2) + report "DUT 2 High cycles requirement not met" severity error; + elsif rising_edge(cPulseDut2Sl) then + assert (not CheckPulse) or (now - LastRise = kPer*5) + report "DUT 2 Period requirement not met" & LF & + "Act: " & time'image(now-LastRise) & LF & + "Req: " & time'image(kPer*5) + severity error; + LastRise := now; + end if; + end process; + + +end test; +--synopsys translate_on diff --git a/fpga/usrp3/top/n3xx/dboards/common/sync/SyncRegsIfc.edf b/fpga/usrp3/top/n3xx/dboards/common/sync/SyncRegsIfc.edf new file mode 100644 index 000000000..a3886f6d4 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/common/sync/SyncRegsIfc.edf @@ -0,0 +1,23420 @@ +(edif SyncRegsIfc + (edifversion 2 0 0) + (edifLevel 0) + (keywordmap (keywordlevel 0)) +(status + (written + (timeStamp 2018 03 16 14 23 36) + (program "Vivado" (version "2017.4")) + (comment "Built on 'Fri Dec 15 20:55:39 MST 2017'") + (comment "Built by 'xbuild'") + ) +) + (Library hdi_primitives + (edifLevel 0) + (technology (numberDefinition )) + (cell LUT3 (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port O (direction OUTPUT)) + (port I0 (direction INPUT)) + (port I1 (direction INPUT)) + (port I2 (direction INPUT)) + ) + ) + ) + (cell LUT2 (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port O (direction OUTPUT)) + (port I0 (direction INPUT)) + (port I1 (direction INPUT)) + ) + ) + ) + (cell FDCE (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port Q (direction OUTPUT)) + (port C (direction INPUT)) + (port CE (direction INPUT)) + (port CLR (direction INPUT)) + (port D (direction INPUT)) + ) + ) + ) + (cell GND (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port G (direction OUTPUT)) + ) + ) + ) + (cell LUT4 (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port O (direction OUTPUT)) + (port I0 (direction INPUT)) + (port I1 (direction INPUT)) + (port I2 (direction INPUT)) + (port I3 (direction INPUT)) + ) + ) + ) + (cell LUT1 (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port O (direction OUTPUT)) + (port I0 (direction INPUT)) + ) + ) + ) + (cell VCC (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port P (direction OUTPUT)) + ) + ) + ) + (cell LUT6 (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port O (direction OUTPUT)) + (port I0 (direction INPUT)) + (port I1 (direction INPUT)) + (port I2 (direction INPUT)) + (port I3 (direction INPUT)) + (port I4 (direction INPUT)) + (port I5 (direction INPUT)) + ) + ) + ) + (cell LUT5 (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port O (direction OUTPUT)) + (port I0 (direction INPUT)) + (port I1 (direction INPUT)) + (port I2 (direction INPUT)) + (port I3 (direction INPUT)) + (port I4 (direction INPUT)) + ) + ) + ) + (cell FDPE (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port Q (direction OUTPUT)) + (port C (direction INPUT)) + (port CE (direction INPUT)) + (port D (direction INPUT)) + (port PRE (direction INPUT)) + ) + ) + ) + (cell INV (celltype GENERIC) + (view netlist (viewtype NETLIST) + (interface + (port I (direction INPUT)) + (port O (direction OUTPUT)) + ) + ) + ) + ) + (Library work + (edifLevel 0) + (technology (numberDefinition )) + (cell SyncRegsIfc (celltype GENERIC) + (view SyncRegsIfc (viewtype NETLIST) + (interface + (port BusClk (direction INPUT)) + (port MeasClk (direction INPUT)) + (port RefClk (direction INPUT)) + (port SampleClk (direction INPUT)) + (port aBusReset (direction INPUT)) + (port aTdcReset (direction OUTPUT)) + (port bBusReset (direction INPUT)) + (port mOffsetsDone (direction INPUT)) + (port mOffsetsValid (direction INPUT)) + (port rEnablePpsCrossing (direction OUTPUT)) + (port rEnableTdc (direction OUTPUT)) + (port rLoadRePulseCounts (direction OUTPUT)) + (port rLoadRpCounts (direction OUTPUT)) + (port rLoadRptCounts (direction OUTPUT)) + (port rPpsPulseCaptured (direction INPUT)) + (port rReRunEnable (direction OUTPUT)) + (port rResetTdc (direction OUTPUT)) + (port rResetTdcDone (direction INPUT)) + (port sLoadSpCounts (direction OUTPUT)) + (port sLoadSptCounts (direction OUTPUT)) + (port (array (rename bRegPortInFlat "bRegPortInFlat[49:0]") 50) (direction INPUT)) + (port (array (rename bRegPortOutFlat "bRegPortOutFlat[33:0]") 34) (direction OUTPUT)) + (port (array (rename mRpOffset "mRpOffset[39:0]") 40) (direction INPUT)) + (port (array (rename mSpOffset "mSpOffset[39:0]") 40) (direction INPUT)) + (port (array (rename rPulserEnableDelayVal "rPulserEnableDelayVal[3:0]") 4) (direction OUTPUT)) + (port (array (rename rRePulseHighTimeInRClks "rRePulseHighTimeInRClks[23:0]") 24) (direction OUTPUT)) + (port (array (rename rRePulsePeriodInRClks "rRePulsePeriodInRClks[23:0]") 24) (direction OUTPUT)) + (port (array (rename rRpHighTimeInRClks "rRpHighTimeInRClks[15:0]") 16) (direction OUTPUT)) + (port (array (rename rRpPeriodInRClks "rRpPeriodInRClks[15:0]") 16) (direction OUTPUT)) + (port (array (rename rRptHighTimeInRClks "rRptHighTimeInRClks[15:0]") 16) (direction OUTPUT)) + (port (array (rename rRptPeriodInRClks "rRptPeriodInRClks[15:0]") 16) (direction OUTPUT)) + (port (array (rename sPpsClkCrossDelayVal "sPpsClkCrossDelayVal[3:0]") 4) (direction OUTPUT)) + (port (array (rename sSpHighTimeInSClks "sSpHighTimeInSClks[15:0]") 16) (direction OUTPUT)) + (port (array (rename sSpPeriodInSClks "sSpPeriodInSClks[15:0]") 16) (direction OUTPUT)) + (port (array (rename sSptHighTimeInSClks "sSptHighTimeInSClks[15:0]") 16) (direction OUTPUT)) + (port (array (rename sSptPeriodInSClks "sSptPeriodInSClks[15:0]") 16) (direction OUTPUT)) + ) + (contents + (instance (rename BlkIn_iDlyPush_i_1 "BlkIn.iDlyPush_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h80")) + (property SOFT_HLUTNM (string "soft_lutpair22")) + ) + (instance (rename BlkIn_iDlyPush_i_1__0 "BlkIn.iDlyPush_i_1__0") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h20")) + (property SOFT_HLUTNM (string "soft_lutpair23")) + ) + (instance (rename BlkIn_iDlyPush_i_1__1 "BlkIn.iDlyPush_i_1__1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h20")) + (property SOFT_HLUTNM (string "soft_lutpair24")) + ) + (instance (rename BlkIn_iDlyPush_i_1__2 "BlkIn.iDlyPush_i_1__2") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair24")) + ) + (instance (rename BlkIn_iDlyPush_i_1__3 "BlkIn.iDlyPush_i_1__3") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h80")) + (property SOFT_HLUTNM (string "soft_lutpair25")) + ) + (instance (rename BlkIn_iDlyPush_i_1__4 "BlkIn.iDlyPush_i_1__4") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h20")) + (property SOFT_HLUTNM (string "soft_lutpair26")) + ) + (instance (rename BlkOut_oDataValid_i_1 "BlkOut.oDataValid_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h6")) + ) + (instance (rename BlkOut_oDataValid_i_1__0 "BlkOut.oDataValid_i_1__0") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h6")) + ) + (instance (rename BlkOut_oDataValid_i_1__1 "BlkOut.oDataValid_i_1__1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h6")) + ) + (instance (rename BlkOut_oDataValid_i_1__2 "BlkOut.oDataValid_i_1__2") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h6")) + ) + (instance (rename BlkOut_oDataValid_i_1__3 "BlkOut.oDataValid_i_1__3") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h6")) + ) + (instance (rename EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "EnableTdcDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "EnableTdcDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename EnableTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx "EnableTdcDs/DoubleSyncBasex/iDlySigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance GND (viewref netlist (cellref GND (libraryref hdi_primitives)))) + (instance (rename Gen0_FDCEx_i_1 "Gen0.FDCEx_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'h4000")) + ) + (instance (rename Gen0_FDCEx_i_1__0 "Gen0.FDCEx_i_1__0") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'h0400")) + ) + (instance (rename Gen0_FDCEx_i_1__1 "Gen0.FDCEx_i_1__1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'h0400")) + ) + (instance (rename Gen0_FDCEx_i_1__10 "Gen0.FDCEx_i_1__10") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + ) + (instance (rename Gen0_FDCEx_i_1__11 "Gen0.FDCEx_i_1__11") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + ) + (instance (rename Gen0_FDCEx_i_1__2 "Gen0.FDCEx_i_1__2") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'h0100")) + ) + (instance (rename Gen0_FDCEx_i_1__3 "Gen0.FDCEx_i_1__3") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'h4000")) + ) + (instance (rename Gen0_FDCEx_i_1__4 "Gen0.FDCEx_i_1__4") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'h0400")) + ) + (instance (rename Gen0_FDCEx_i_1__5 "Gen0.FDCEx_i_1__5") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h6")) + ) + (instance (rename Gen0_FDCEx_i_1__6 "Gen0.FDCEx_i_1__6") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h6")) + ) + (instance (rename Gen0_FDCEx_i_1__7 "Gen0.FDCEx_i_1__7") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h6")) + ) + (instance (rename Gen0_FDCEx_i_1__8 "Gen0.FDCEx_i_1__8") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h6")) + ) + (instance (rename Gen0_FDCEx_i_1__9 "Gen0.FDCEx_i_1__9") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + ) + (instance (rename Gen0_FDCEx_i_2 "Gen0.FDCEx_i_2") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property INIT (string "2'h1")) + ) + (instance (rename Gen0_FDCEx_i_2__0 "Gen0.FDCEx_i_2__0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property INIT (string "2'h1")) + ) + (instance (rename Gen0_FDCEx_i_2__1 "Gen0.FDCEx_i_2__1") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property INIT (string "2'h1")) + ) + (instance (rename Gen0_FDCEx_i_2__2 "Gen0.FDCEx_i_2__2") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property INIT (string "2'h1")) + ) + (instance (rename Gen0_FDCEx_i_2__3 "Gen0.FDCEx_i_2__3") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property INIT (string "2'h1")) + ) + (instance (rename Gen0_FDCEx_i_2__4 "Gen0.FDCEx_i_2__4") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property INIT (string "2'h1")) + ) + (instance (rename Gen0_FDCEx_i_2__5 "Gen0.FDCEx_i_2__5") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property INIT (string "2'h1")) + ) + (instance (rename Gen0_FDCEx_i_2__6 "Gen0.FDCEx_i_2__6") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property INIT (string "2'h1")) + ) + (instance (rename Gen0_FDCEx_i_2__7 "Gen0.FDCEx_i_2__7") (viewref netlist (cellref LUT1 (libraryref hdi_primitives))) + (property INIT (string "2'h1")) + ) + (instance (rename Gen0_FDCEx_i_3 "Gen0.FDCEx_i_3") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'h0400")) + (property SOFT_HLUTNM (string "soft_lutpair4")) + ) + (instance (rename Gen0_FDCEx_i_3__0 "Gen0.FDCEx_i_3__0") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'h0008")) + (property SOFT_HLUTNM (string "soft_lutpair5")) + ) + (instance (rename Gen0_FDCEx_i_3__1 "Gen0.FDCEx_i_3__1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'h0002")) + (property SOFT_HLUTNM (string "soft_lutpair4")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iDlyPush_reg "IncomingOffsetHs/HBx/BlkIn.iDlyPush_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_0_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_10_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_11_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_12_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_13_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_14_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_15_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_16_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_17_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_18_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_19_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_1_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_20_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_21_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_22_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_23_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_24_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_25_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_26_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_27_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_28_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_29_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_2_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_30_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_31_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_32_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[32]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_33_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[33]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_34_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[34]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_35_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[35]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_36_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[36]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_37_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[37]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_38_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[38]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_39_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[39]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_3_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_40_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[40]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_41_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[41]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_42_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[42]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_43_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[43]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_44_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[44]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_45_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[45]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_46_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[46]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_47_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[47]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_48_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[48]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_49_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[49]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_4_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_50_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[50]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_51_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[51]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_52_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[52]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_53_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[53]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_54_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[54]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_55_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[55]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_56_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[56]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_57_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[57]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_58_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[58]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_59_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[59]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_5_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_60_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[60]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_61_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[61]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_62_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[62]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_63_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[63]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_64_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[64]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_65_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[65]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_66_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[66]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_67_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[67]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_68_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[68]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_69_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[69]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_6_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_70_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[70]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_71_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[71]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_72_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[72]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_73_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[73]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_74_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[74]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_75_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[75]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_76_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[76]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_77_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[77]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_78_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[78]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_79_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[79]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_7_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_8_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_9_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkIn.iPushTogglex/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[0].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[10].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[11].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[12].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[13].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[14].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[15].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[16].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[17].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[18].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[19].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[1].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[20].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[21].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[22].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[23].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[24].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[25].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[26].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[27].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[28].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[29].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[2].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[30].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_31__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[31].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_32__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[32].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_33__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[33].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_34__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[34].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_35__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[35].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_36__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[36].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_37__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[37].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_38__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[38].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_39__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[39].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[3].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_40__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[40].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_41__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[41].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_42__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[42].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_43__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[43].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_44__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[44].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_45__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[45].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_46__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[46].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_47__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[47].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_48__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[48].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_49__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[49].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[4].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_50__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[50].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_51__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[51].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_52__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[52].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_53__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[53].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_54__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[54].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_55__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[55].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_56__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[56].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_57__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[57].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_58__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[58].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_59__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[59].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[5].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_60__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[60].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_61__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[61].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_62__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[62].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_63__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[63].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_64__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[64].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_65__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[65].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_66__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[66].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_67__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[67].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_68__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[68].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_69__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[69].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[6].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_70__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[70].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_71__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[71].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_72__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[72].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_73__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[73].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_74__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[74].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_75__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[75].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_76__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[76].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_77__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[77].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_78__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[78].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_79__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[79].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[7].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[8].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[9].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_oDataValid_reg "IncomingOffsetHs/HBx/BlkOut.oDataValid_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.oPushToggle1x/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_oPushToggle2_reg "IncomingOffsetHs/HBx/BlkOut.oPushToggle2_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename IncomingOffsetHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.oPushToggle_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[0].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[0].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[10].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[10].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[11].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[11].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[12].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[12].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[13].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[13].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[14].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[14].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[15].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[15].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[16].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[16].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[17].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[17].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[18].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[18].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[19].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[19].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[1].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[1].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[20].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[20].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[21].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[21].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[22].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[22].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[23].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[23].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[24].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[24].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[25].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[25].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[26].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[26].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[27].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[27].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[28].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[28].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[29].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[29].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[2].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[2].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[30].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[30].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[31].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[31].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[32].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[32].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[33].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[33].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[34].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[34].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[35].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[35].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[36].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[36].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[37].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[37].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[38].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[38].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[39].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[39].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[3].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[3].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[40].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[40].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[41].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[41].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[42].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[42].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[43].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[43].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[44].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[44].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[45].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[45].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[46].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[46].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[47].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[47].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[48].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[48].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[49].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[49].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[4].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[4].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[50].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[50].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[51].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[51].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[52].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[52].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[53].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[53].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[54].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[54].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[55].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[55].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[56].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[56].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[57].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[57].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[58].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[58].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[59].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[59].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[5].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[5].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[60].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[60].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[61].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[61].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[62].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[62].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[63].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[63].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[64].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[64].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[65].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[65].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[66].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[66].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[67].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[67].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[68].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[68].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[69].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[69].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[6].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[6].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[70].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[70].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[71].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[71].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[72].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[72].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[73].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[73].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[74].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[74].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[75].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[75].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[76].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[76].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[77].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[77].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[78].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[78].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[79].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[79].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[7].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[7].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[8].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[8].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[9].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[9].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsValidDs/DoubleSyncSlAsyncInx/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsValidDs/DoubleSyncSlAsyncInx/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "PpsCapturedDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "PpsCapturedDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename PpsCapturedDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx "PpsCapturedDs/DoubleSyncBasex/iDlySigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "PpsCrossEnDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "PpsCrossEnDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename PpsCrossEnDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx "PpsCrossEnDs/DoubleSyncBasex/iDlySigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename PpsDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg "PpsDelayValCrossingHs/HBx/BlkIn.iDlyPush_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_ "PpsDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_ "PpsDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_ "PpsDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_ "PpsDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename PpsDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx "PpsDelayValCrossingHs/HBx/BlkIn.iPushTogglex/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx "PpsDelayValCrossingHs/HBx/BlkOut.ODataFlop/GenFlops[0].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx "PpsDelayValCrossingHs/HBx/BlkOut.ODataFlop/GenFlops[1].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx "PpsDelayValCrossingHs/HBx/BlkOut.ODataFlop/GenFlops[2].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx "PpsDelayValCrossingHs/HBx/BlkOut.ODataFlop/GenFlops[3].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename PpsDelayValCrossingHs_HBx_BlkOut_oDataValid_reg "PpsDelayValCrossingHs/HBx/BlkOut.oDataValid_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx "PpsDelayValCrossingHs/HBx/BlkOut.oPushToggle1x/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg "PpsDelayValCrossingHs/HBx/BlkOut.oPushToggle2_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx "PpsDelayValCrossingHs/HBx/BlkOut.oPushToggle_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg "PulserEnableDelayValCrossingHs/HBx/BlkIn.iDlyPush_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_ "PulserEnableDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_ "PulserEnableDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_ "PulserEnableDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_ "PulserEnableDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx "PulserEnableDelayValCrossingHs/HBx/BlkIn.iPushTogglex/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx "PulserEnableDelayValCrossingHs/HBx/BlkOut.ODataFlop/GenFlops[0].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx "PulserEnableDelayValCrossingHs/HBx/BlkOut.ODataFlop/GenFlops[1].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx "PulserEnableDelayValCrossingHs/HBx/BlkOut.ODataFlop/GenFlops[2].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx "PulserEnableDelayValCrossingHs/HBx/BlkOut.ODataFlop/GenFlops[3].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_oDataValid_reg "PulserEnableDelayValCrossingHs/HBx/BlkOut.oDataValid_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx "PulserEnableDelayValCrossingHs/HBx/BlkOut.oPushToggle1x/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg "PulserEnableDelayValCrossingHs/HBx/BlkOut.oPushToggle2_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx "PulserEnableDelayValCrossingHs/HBx/BlkOut.oPushToggle_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse1CntHs_BlkIn_iDlyPush_reg "RePulse1CntHs/BlkIn.iDlyPush_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_0_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_10_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_11_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_12_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_13_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_14_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_15_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_16_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_17_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_18_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_19_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_1_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_20_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_21_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_22_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_23_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_24_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_25_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_26_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_27_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_28_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_29_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_2_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_30_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_31_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_3_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_4_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_5_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_6_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_7_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_8_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_9_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkIn_iPushTogglex_Gen0_FDCEx "RePulse1CntHs/BlkIn.iPushTogglex/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[0].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[10].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[11].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[12].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[13].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[14].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[15].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[16].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[17].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[18].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[19].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[1].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[20].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[21].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[22].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[23].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[2].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[3].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[4].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[5].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[6].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[7].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[8].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[9].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse1CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx "RePulse1CntHs/BlkOut.oPushToggle1x/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse1CntHs_BlkOut_oPushToggle2_reg "RePulse1CntHs/BlkOut.oPushToggle2_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse1CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx "RePulse1CntHs/BlkOut.oPushToggle_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse2CntHs_BlkIn_iDlyPush_reg "RePulse2CntHs/BlkIn.iDlyPush_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_0_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_10_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_11_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_12_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_13_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_14_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_15_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_16_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_17_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_18_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_19_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_1_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_20_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_21_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_22_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_23_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_24_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_25_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_26_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_27_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_28_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_29_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_2_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_30_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_31_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_3_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_4_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_5_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_6_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_7_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_8_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_9_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkIn_iPushTogglex_Gen0_FDCEx "RePulse2CntHs/BlkIn.iPushTogglex/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[0].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[10].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[11].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[12].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[13].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[14].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[15].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[16].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[17].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[18].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[19].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[1].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[20].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[21].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[22].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[2].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[3].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[4].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[5].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[6].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[7].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[8].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[9].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse2CntHs_BlkOut_oDataValid_reg "RePulse2CntHs/BlkOut.oDataValid_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx "RePulse2CntHs/BlkOut.oPushToggle1x/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulse2CntHs_BlkOut_oPushToggle2_reg "RePulse2CntHs/BlkOut.oPushToggle2_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RePulse2CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx "RePulse2CntHs/BlkOut.oPushToggle_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[0].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[0].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[10].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[10].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[11].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[11].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[12].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[12].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[13].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[13].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[14].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[14].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[15].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[15].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[16].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[16].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[17].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[17].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[18].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[18].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[19].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[19].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[1].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[1].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[20].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[20].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[21].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[21].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[22].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[22].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[23].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[23].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[24].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[24].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[25].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[25].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[26].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[26].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[27].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[27].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[28].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[28].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[29].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[29].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[2].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[2].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[30].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[30].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[31].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[31].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[3].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[3].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[4].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[4].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[5].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[5].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[6].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[6].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[7].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[7].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[8].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[8].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[9].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[9].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[0].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[0].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[10].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[10].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[11].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[11].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[12].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[12].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[13].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[13].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[14].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[14].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[15].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[15].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[16].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[16].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[17].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[17].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[18].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[18].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[19].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[19].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[1].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[1].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[20].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[20].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[21].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[21].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[22].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[22].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[23].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[23].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[24].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[24].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[25].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[25].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[26].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[26].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[27].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[27].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[28].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[28].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[29].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[29].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[2].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[2].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[30].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[30].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[31].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[31].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[3].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[3].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[4].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[4].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[5].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[5].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[6].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[6].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[7].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[7].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[8].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[8].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[9].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[9].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "ReRunEnableDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "ReRunEnableDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename ReRunEnableDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx "ReRunEnableDs/DoubleSyncBasex/iDlySigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "ResetDoneDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "ResetDoneDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename ResetDoneDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx "ResetDoneDs/DoubleSyncBasex/iDlySigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "ResetTdcDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "ResetTdcDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename ResetTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx "ResetTdcDs/DoubleSyncBasex/iDlySigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkIn_iDlyPush_reg "RpCntHs/BlkIn.iDlyPush_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_0_ "RpCntHs/BlkIn.iLclStoredData_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_10_ "RpCntHs/BlkIn.iLclStoredData_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_11_ "RpCntHs/BlkIn.iLclStoredData_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_12_ "RpCntHs/BlkIn.iLclStoredData_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_13_ "RpCntHs/BlkIn.iLclStoredData_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_14_ "RpCntHs/BlkIn.iLclStoredData_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_15_ "RpCntHs/BlkIn.iLclStoredData_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_16_ "RpCntHs/BlkIn.iLclStoredData_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_17_ "RpCntHs/BlkIn.iLclStoredData_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_18_ "RpCntHs/BlkIn.iLclStoredData_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_19_ "RpCntHs/BlkIn.iLclStoredData_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_1_ "RpCntHs/BlkIn.iLclStoredData_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_20_ "RpCntHs/BlkIn.iLclStoredData_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_21_ "RpCntHs/BlkIn.iLclStoredData_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_22_ "RpCntHs/BlkIn.iLclStoredData_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_23_ "RpCntHs/BlkIn.iLclStoredData_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_24_ "RpCntHs/BlkIn.iLclStoredData_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_25_ "RpCntHs/BlkIn.iLclStoredData_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_26_ "RpCntHs/BlkIn.iLclStoredData_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_27_ "RpCntHs/BlkIn.iLclStoredData_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_28_ "RpCntHs/BlkIn.iLclStoredData_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_29_ "RpCntHs/BlkIn.iLclStoredData_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_2_ "RpCntHs/BlkIn.iLclStoredData_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_30_ "RpCntHs/BlkIn.iLclStoredData_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_31_ "RpCntHs/BlkIn.iLclStoredData_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_3_ "RpCntHs/BlkIn.iLclStoredData_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_4_ "RpCntHs/BlkIn.iLclStoredData_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_5_ "RpCntHs/BlkIn.iLclStoredData_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_6_ "RpCntHs/BlkIn.iLclStoredData_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_7_ "RpCntHs/BlkIn.iLclStoredData_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_8_ "RpCntHs/BlkIn.iLclStoredData_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_9_ "RpCntHs/BlkIn.iLclStoredData_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx "RpCntHs/BlkIn.iPushTogglex/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[0].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[10].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[11].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[12].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[13].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[14].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[15].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[16].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[17].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[18].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[19].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[1].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[20].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[21].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[22].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[23].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[24].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[25].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[26].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[27].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[28].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[29].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[2].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[30].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[3].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[4].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[5].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[6].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[7].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[8].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[9].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_oDataValid_reg "RpCntHs/BlkOut.oDataValid_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx "RpCntHs/BlkOut.oPushToggle1x/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntHs_BlkOut_oPushToggle2_reg "RpCntHs/BlkOut.oPushToggle2_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx "RpCntHs/BlkOut.oPushToggle_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[0].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[0].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[10].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[10].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[11].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[11].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[12].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[12].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[13].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[13].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[14].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[14].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[15].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[15].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[16].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[16].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[17].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[17].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[18].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[18].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[19].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[19].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[1].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[1].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[20].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[20].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[21].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[21].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[22].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[22].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[23].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[23].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[24].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[24].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[25].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[25].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[26].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[26].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[27].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[27].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[28].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[28].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[29].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[29].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[2].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[2].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[30].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[30].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[31].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[31].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[3].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[3].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[4].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[4].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[5].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[5].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[6].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[6].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[7].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[7].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[8].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[8].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[9].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[9].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkIn_iDlyPush_reg "RptCntHs/BlkIn.iDlyPush_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_0_ "RptCntHs/BlkIn.iLclStoredData_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_10_ "RptCntHs/BlkIn.iLclStoredData_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_11_ "RptCntHs/BlkIn.iLclStoredData_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_12_ "RptCntHs/BlkIn.iLclStoredData_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_13_ "RptCntHs/BlkIn.iLclStoredData_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_14_ "RptCntHs/BlkIn.iLclStoredData_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_15_ "RptCntHs/BlkIn.iLclStoredData_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_16_ "RptCntHs/BlkIn.iLclStoredData_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_17_ "RptCntHs/BlkIn.iLclStoredData_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_18_ "RptCntHs/BlkIn.iLclStoredData_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_19_ "RptCntHs/BlkIn.iLclStoredData_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_1_ "RptCntHs/BlkIn.iLclStoredData_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_20_ "RptCntHs/BlkIn.iLclStoredData_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_21_ "RptCntHs/BlkIn.iLclStoredData_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_22_ "RptCntHs/BlkIn.iLclStoredData_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_23_ "RptCntHs/BlkIn.iLclStoredData_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_24_ "RptCntHs/BlkIn.iLclStoredData_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_25_ "RptCntHs/BlkIn.iLclStoredData_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_26_ "RptCntHs/BlkIn.iLclStoredData_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_27_ "RptCntHs/BlkIn.iLclStoredData_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_28_ "RptCntHs/BlkIn.iLclStoredData_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_29_ "RptCntHs/BlkIn.iLclStoredData_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_2_ "RptCntHs/BlkIn.iLclStoredData_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_30_ "RptCntHs/BlkIn.iLclStoredData_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_31_ "RptCntHs/BlkIn.iLclStoredData_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_3_ "RptCntHs/BlkIn.iLclStoredData_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_4_ "RptCntHs/BlkIn.iLclStoredData_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_5_ "RptCntHs/BlkIn.iLclStoredData_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_6_ "RptCntHs/BlkIn.iLclStoredData_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_7_ "RptCntHs/BlkIn.iLclStoredData_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_8_ "RptCntHs/BlkIn.iLclStoredData_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_9_ "RptCntHs/BlkIn.iLclStoredData_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx "RptCntHs/BlkIn.iPushTogglex/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[0].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[10].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[11].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[12].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[13].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[14].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[15].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[16].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[17].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[18].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[19].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[1].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[20].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[21].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[22].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[23].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[24].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[25].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[26].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[27].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[28].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[29].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[2].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[30].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[3].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[4].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[5].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[6].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[7].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[8].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[9].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_oDataValid_reg "RptCntHs/BlkOut.oDataValid_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx "RptCntHs/BlkOut.oPushToggle1x/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntHs_BlkOut_oPushToggle2_reg "RptCntHs/BlkOut.oPushToggle2_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename RptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx "RptCntHs/BlkOut.oPushToggle_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[0].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[0].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[10].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[10].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[11].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[11].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[12].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[12].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[13].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[13].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[14].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[14].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[15].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[15].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[16].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[16].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[17].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[17].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[18].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[18].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[19].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[19].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[1].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[1].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[20].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[20].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[21].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[21].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[22].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[22].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[23].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[23].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[24].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[24].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[25].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[25].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[26].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[26].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[27].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[27].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[28].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[28].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[29].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[29].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[2].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[2].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[30].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[30].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[31].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[31].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[3].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[3].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[4].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[4].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[5].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[5].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[6].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[6].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[7].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[7].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[8].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[8].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[9].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[9].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkIn_iDlyPush_reg "SpCntHs/BlkIn.iDlyPush_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_0_ "SpCntHs/BlkIn.iLclStoredData_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_10_ "SpCntHs/BlkIn.iLclStoredData_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_11_ "SpCntHs/BlkIn.iLclStoredData_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_12_ "SpCntHs/BlkIn.iLclStoredData_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_13_ "SpCntHs/BlkIn.iLclStoredData_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_14_ "SpCntHs/BlkIn.iLclStoredData_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_15_ "SpCntHs/BlkIn.iLclStoredData_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_16_ "SpCntHs/BlkIn.iLclStoredData_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_17_ "SpCntHs/BlkIn.iLclStoredData_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_18_ "SpCntHs/BlkIn.iLclStoredData_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_19_ "SpCntHs/BlkIn.iLclStoredData_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_1_ "SpCntHs/BlkIn.iLclStoredData_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_20_ "SpCntHs/BlkIn.iLclStoredData_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_21_ "SpCntHs/BlkIn.iLclStoredData_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_22_ "SpCntHs/BlkIn.iLclStoredData_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_23_ "SpCntHs/BlkIn.iLclStoredData_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_24_ "SpCntHs/BlkIn.iLclStoredData_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_25_ "SpCntHs/BlkIn.iLclStoredData_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_26_ "SpCntHs/BlkIn.iLclStoredData_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_27_ "SpCntHs/BlkIn.iLclStoredData_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_28_ "SpCntHs/BlkIn.iLclStoredData_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_29_ "SpCntHs/BlkIn.iLclStoredData_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_2_ "SpCntHs/BlkIn.iLclStoredData_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_30_ "SpCntHs/BlkIn.iLclStoredData_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_31_ "SpCntHs/BlkIn.iLclStoredData_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_3_ "SpCntHs/BlkIn.iLclStoredData_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_4_ "SpCntHs/BlkIn.iLclStoredData_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_5_ "SpCntHs/BlkIn.iLclStoredData_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_6_ "SpCntHs/BlkIn.iLclStoredData_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_7_ "SpCntHs/BlkIn.iLclStoredData_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_8_ "SpCntHs/BlkIn.iLclStoredData_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_9_ "SpCntHs/BlkIn.iLclStoredData_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx "SpCntHs/BlkIn.iPushTogglex/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[0].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[10].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[11].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[12].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[13].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[14].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[15].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[16].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[17].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[18].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[19].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[1].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[20].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[21].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[22].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[23].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[24].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[25].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[26].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[27].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[28].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[29].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[2].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[30].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[3].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[4].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[5].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[6].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[7].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[8].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[9].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_oDataValid_reg "SpCntHs/BlkOut.oDataValid_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx "SpCntHs/BlkOut.oPushToggle1x/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntHs_BlkOut_oPushToggle2_reg "SpCntHs/BlkOut.oPushToggle2_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx "SpCntHs/BlkOut.oPushToggle_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[0].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[0].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[10].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[10].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[11].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[11].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[12].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[12].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[13].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[13].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[14].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[14].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[15].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[15].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[16].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[16].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[17].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[17].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[18].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[18].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[19].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[19].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[1].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[1].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[20].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[20].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[21].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[21].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[22].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[22].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[23].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[23].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[24].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[24].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[25].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[25].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[26].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[26].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[27].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[27].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[28].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[28].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[29].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[29].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[2].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[2].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[30].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[30].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[31].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[31].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[3].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[3].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[4].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[4].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[5].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[5].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[6].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[6].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[7].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[7].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[8].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[8].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[9].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[9].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkIn_iDlyPush_reg "SptCntHs/BlkIn.iDlyPush_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_0_ "SptCntHs/BlkIn.iLclStoredData_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_10_ "SptCntHs/BlkIn.iLclStoredData_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_11_ "SptCntHs/BlkIn.iLclStoredData_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_12_ "SptCntHs/BlkIn.iLclStoredData_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_13_ "SptCntHs/BlkIn.iLclStoredData_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_14_ "SptCntHs/BlkIn.iLclStoredData_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_15_ "SptCntHs/BlkIn.iLclStoredData_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_16_ "SptCntHs/BlkIn.iLclStoredData_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_17_ "SptCntHs/BlkIn.iLclStoredData_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_18_ "SptCntHs/BlkIn.iLclStoredData_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_19_ "SptCntHs/BlkIn.iLclStoredData_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_1_ "SptCntHs/BlkIn.iLclStoredData_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_20_ "SptCntHs/BlkIn.iLclStoredData_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_21_ "SptCntHs/BlkIn.iLclStoredData_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_22_ "SptCntHs/BlkIn.iLclStoredData_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_23_ "SptCntHs/BlkIn.iLclStoredData_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_24_ "SptCntHs/BlkIn.iLclStoredData_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_25_ "SptCntHs/BlkIn.iLclStoredData_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_26_ "SptCntHs/BlkIn.iLclStoredData_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_27_ "SptCntHs/BlkIn.iLclStoredData_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_28_ "SptCntHs/BlkIn.iLclStoredData_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_29_ "SptCntHs/BlkIn.iLclStoredData_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_2_ "SptCntHs/BlkIn.iLclStoredData_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_30_ "SptCntHs/BlkIn.iLclStoredData_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_31_ "SptCntHs/BlkIn.iLclStoredData_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_3_ "SptCntHs/BlkIn.iLclStoredData_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_4_ "SptCntHs/BlkIn.iLclStoredData_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_5_ "SptCntHs/BlkIn.iLclStoredData_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_6_ "SptCntHs/BlkIn.iLclStoredData_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_7_ "SptCntHs/BlkIn.iLclStoredData_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_8_ "SptCntHs/BlkIn.iLclStoredData_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_9_ "SptCntHs/BlkIn.iLclStoredData_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx "SptCntHs/BlkIn.iPushTogglex/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[0].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[10].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[11].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[12].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[13].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[14].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[15].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[16].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[17].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[18].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[19].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[1].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[20].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[21].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[22].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[23].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[24].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[25].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[26].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[27].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[28].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[29].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[2].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[30].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[3].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[4].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[5].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[6].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[7].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[8].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[9].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_oDataValid_reg "SptCntHs/BlkOut.oDataValid_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx "SptCntHs/BlkOut.oPushToggle1x/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntHs_BlkOut_oPushToggle2_reg "SptCntHs/BlkOut.oPushToggle2_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename SptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx "SptCntHs/BlkOut.oPushToggle_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[0].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[0].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[10].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[10].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[11].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[11].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[12].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[12].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[13].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[13].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[14].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[14].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[15].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[15].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[16].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[16].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[17].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[17].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[18].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[18].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[19].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[19].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[1].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[1].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[20].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[20].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[21].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[21].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[22].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[22].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[23].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[23].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[24].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[24].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[25].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[25].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[26].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[26].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[27].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[27].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[28].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[28].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[29].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[29].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[2].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[2].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[30].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[30].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[31].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[31].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[3].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[3].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[4].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[4].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[5].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[5].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[6].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[6].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[7].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[7].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[8].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[8].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[9].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance (rename SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[9].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property IS_CLR_INVERTED (string "1'b0")) + (property IS_C_INVERTED (string "1'b0")) + (property IS_D_INVERTED (string "1'b0")) + (property ASYNC_REG (boolean (true))) + (property box_type (string "PRIMITIVE")) + ) + (instance VCC (viewref netlist (cellref VCC (libraryref hdi_primitives)))) + (instance aTdcResetLcl_i_1 (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'hBF80")) + ) + (instance aTdcResetLcl_i_2 (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h0200000000000000")) + ) + (instance aTdcResetLcl_i_3 (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property INIT (string "32'h00001000")) + (property SOFT_HLUTNM (string "soft_lutpair2")) + ) + (instance aTdcResetLcl_i_4 (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'h0001")) + ) + (instance aTdcResetLcl_i_5 (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'h0001")) + ) + (instance aTdcResetLcl_reg (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property ORIG_CELL_NAME (string "aTdcResetLcl_reg")) + ) + (instance aTdcResetLcl_reg_rep (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property ORIG_CELL_NAME (string "aTdcResetLcl_reg")) + ) + (instance aTdcResetLcl_reg_rep__0 (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property ORIG_CELL_NAME (string "aTdcResetLcl_reg")) + ) + (instance aTdcResetLcl_reg_rep__1 (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property ORIG_CELL_NAME (string "aTdcResetLcl_reg")) + ) + (instance aTdcResetLcl_reg_rep__2 (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property ORIG_CELL_NAME (string "aTdcResetLcl_reg")) + ) + (instance aTdcResetLcl_reg_rep__3 (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + (property ORIG_CELL_NAME (string "aTdcResetLcl_reg")) + ) + (instance aTdcResetLcl_rep_i_1 (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'hBF80")) + ) + (instance aTdcResetLcl_rep_i_1__0 (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'hBF80")) + ) + (instance aTdcResetLcl_rep_i_1__1 (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'hBF80")) + ) + (instance aTdcResetLcl_rep_i_1__2 (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'hBF80")) + ) + (instance aTdcResetLcl_rep_i_1__3 (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'hBF80")) + ) + (instance bClearTdcRegs_i_1 (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property INIT (string "32'h00000080")) + (property SOFT_HLUTNM (string "soft_lutpair1")) + ) + (instance bClearTdcRegs_i_2 (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h0000000000000080")) + ) + (instance bClearTdcRegs_i_3 (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair22")) + ) + (instance bClearTdcRegs_reg (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance bEnableTdc_i_1 (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h1101111111000000")) + ) + (instance bEnableTdc_reg (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance bOffsetUpdated_i_1 (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property INIT (string "32'h05030500")) + ) + (instance bOffsetUpdated_reg (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bPpsClkCrossDelayVal_0__i_1 "bPpsClkCrossDelayVal[0]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair8")) + ) + (instance (rename bPpsClkCrossDelayVal_1__i_1 "bPpsClkCrossDelayVal[1]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair7")) + ) + (instance (rename bPpsClkCrossDelayVal_2__i_1 "bPpsClkCrossDelayVal[2]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair10")) + ) + (instance (rename bPpsClkCrossDelayVal_3__i_1 "bPpsClkCrossDelayVal[3]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'hFFF8")) + ) + (instance (rename bPpsClkCrossDelayVal_3__i_2 "bPpsClkCrossDelayVal[3]_i_2") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair19")) + ) + (instance (rename bPpsClkCrossDelayVal_3__i_3 "bPpsClkCrossDelayVal[3]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h0000000000000100")) + ) + (instance (rename bPpsClkCrossDelayVal_reg_0_ "bPpsClkCrossDelayVal_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bPpsClkCrossDelayVal_reg_1_ "bPpsClkCrossDelayVal_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bPpsClkCrossDelayVal_reg_2_ "bPpsClkCrossDelayVal_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bPpsClkCrossDelayVal_reg_3_ "bPpsClkCrossDelayVal_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance bPpsClkCrossEn_i_1 (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h1101111111000000")) + ) + (instance bPpsClkCrossEn_reg (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bPulserEnableDelayVal_0__i_1 "bPulserEnableDelayVal[0]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'hFE")) + (property SOFT_HLUTNM (string "soft_lutpair8")) + ) + (instance (rename bPulserEnableDelayVal_1__i_1 "bPulserEnableDelayVal[1]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair29")) + ) + (instance (rename bPulserEnableDelayVal_2__i_1 "bPulserEnableDelayVal[2]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair9")) + ) + (instance (rename bPulserEnableDelayVal_3__i_1 "bPulserEnableDelayVal[3]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'hFFF8")) + ) + (instance (rename bPulserEnableDelayVal_3__i_2 "bPulserEnableDelayVal[3]_i_2") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair6")) + ) + (instance (rename bPulserEnableDelayVal_reg_0_ "bPulserEnableDelayVal_reg[0]") (viewref netlist (cellref FDPE (libraryref hdi_primitives))) + (property INIT (string "1'b1")) + ) + (instance (rename bPulserEnableDelayVal_reg_1_ "bPulserEnableDelayVal_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bPulserEnableDelayVal_reg_2_ "bPulserEnableDelayVal_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bPulserEnableDelayVal_reg_3_ "bPulserEnableDelayVal_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance bPushPpsDelayVal_i_1 (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h0080000000000000")) + ) + (instance bPushPpsDelayVal_i_2 (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h01")) + (property SOFT_HLUTNM (string "soft_lutpair0")) + ) + (instance bPushPpsDelayVal_i_3 (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair27")) + ) + (instance bPushPpsDelayVal_i_4 (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h1")) + (property SOFT_HLUTNM (string "soft_lutpair25")) + ) + (instance bPushPpsDelayVal_reg (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance bPushPulserEnableDelayVal_i_1 (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h0080000000000000")) + ) + (instance bPushPulserEnableDelayVal_reg (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance bReRunEnable_i_1 (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h1101111111000000")) + ) + (instance bReRunEnable_reg (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_Data__0__i_1 "bRegPortOutLcl[Data][0]_i_1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property INIT (string "32'hFFFFFFFE")) + ) + (instance (rename bRegPortOutLcl_Data__0__i_2 "bRegPortOutLcl[Data][0]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__0__i_3 "bRegPortOutLcl[Data][0]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__0__i_4 "bRegPortOutLcl[Data][0]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__0__i_5 "bRegPortOutLcl[Data][0]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hAAAAFAAAAAAAEEAA")) + ) + (instance (rename bRegPortOutLcl_Data__0__i_6 "bRegPortOutLcl[Data][0]_i_6") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'hF888")) + ) + (instance (rename bRegPortOutLcl_Data__0__i_7 "bRegPortOutLcl[Data][0]_i_7") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h0000000000002000")) + ) + (instance (rename bRegPortOutLcl_Data__10__i_1 "bRegPortOutLcl[Data][10]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFFFFFFFFEFEFE")) + ) + (instance (rename bRegPortOutLcl_Data__10__i_2 "bRegPortOutLcl[Data][10]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__10__i_3 "bRegPortOutLcl[Data][10]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h88F8888888888888")) + ) + (instance (rename bRegPortOutLcl_Data__10__i_4 "bRegPortOutLcl[Data][10]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__11__i_1 "bRegPortOutLcl[Data][11]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'hFFFE")) + ) + (instance (rename bRegPortOutLcl_Data__11__i_2 "bRegPortOutLcl[Data][11]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__11__i_3 "bRegPortOutLcl[Data][11]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__11__i_4 "bRegPortOutLcl[Data][11]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__12__i_1 "bRegPortOutLcl[Data][12]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFFFFFFFFFFFEA")) + ) + (instance (rename bRegPortOutLcl_Data__12__i_2 "bRegPortOutLcl[Data][12]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__12__i_3 "bRegPortOutLcl[Data][12]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__12__i_4 "bRegPortOutLcl[Data][12]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__13__i_1 "bRegPortOutLcl[Data][13]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFFFFFFFFFFBAA")) + ) + (instance (rename bRegPortOutLcl_Data__13__i_2 "bRegPortOutLcl[Data][13]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__13__i_3 "bRegPortOutLcl[Data][13]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__13__i_4 "bRegPortOutLcl[Data][13]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__14__i_1 "bRegPortOutLcl[Data][14]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'hFFFE")) + ) + (instance (rename bRegPortOutLcl_Data__14__i_2 "bRegPortOutLcl[Data][14]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__14__i_3 "bRegPortOutLcl[Data][14]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__14__i_4 "bRegPortOutLcl[Data][14]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__15__i_1 "bRegPortOutLcl[Data][15]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'hFE")) + ) + (instance (rename bRegPortOutLcl_Data__15__i_2 "bRegPortOutLcl[Data][15]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__15__i_3 "bRegPortOutLcl[Data][15]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__15__i_4 "bRegPortOutLcl[Data][15]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__16__i_1 "bRegPortOutLcl[Data][16]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFFFFFFFFFFEEE")) + ) + (instance (rename bRegPortOutLcl_Data__16__i_2 "bRegPortOutLcl[Data][16]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__16__i_3 "bRegPortOutLcl[Data][16]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFF002000200020")) + ) + (instance (rename bRegPortOutLcl_Data__16__i_4 "bRegPortOutLcl[Data][16]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hF080008000000000")) + ) + (instance (rename bRegPortOutLcl_Data__16__i_5 "bRegPortOutLcl[Data][16]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__17__i_1 "bRegPortOutLcl[Data][17]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFFFFFFFFFFFEA")) + ) + (instance (rename bRegPortOutLcl_Data__17__i_2 "bRegPortOutLcl[Data][17]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__17__i_3 "bRegPortOutLcl[Data][17]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__17__i_4 "bRegPortOutLcl[Data][17]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__18__i_1 "bRegPortOutLcl[Data][18]_i_1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property INIT (string "32'hFFFFFFEA")) + ) + (instance (rename bRegPortOutLcl_Data__18__i_2 "bRegPortOutLcl[Data][18]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__18__i_3 "bRegPortOutLcl[Data][18]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__18__i_4 "bRegPortOutLcl[Data][18]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__19__i_1 "bRegPortOutLcl[Data][19]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFFFFFFFFFFEAA")) + ) + (instance (rename bRegPortOutLcl_Data__19__i_2 "bRegPortOutLcl[Data][19]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__19__i_3 "bRegPortOutLcl[Data][19]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__19__i_4 "bRegPortOutLcl[Data][19]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__1__i_1 "bRegPortOutLcl[Data][1]_i_1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property INIT (string "32'hFFFFFFFE")) + ) + (instance (rename bRegPortOutLcl_Data__1__i_2 "bRegPortOutLcl[Data][1]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFF88F888F888F8")) + ) + (instance (rename bRegPortOutLcl_Data__1__i_3 "bRegPortOutLcl[Data][1]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__1__i_4 "bRegPortOutLcl[Data][1]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__1__i_5 "bRegPortOutLcl[Data][1]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__20__i_1 "bRegPortOutLcl[Data][20]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'hFFFE")) + ) + (instance (rename bRegPortOutLcl_Data__20__i_2 "bRegPortOutLcl[Data][20]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__20__i_3 "bRegPortOutLcl[Data][20]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__20__i_4 "bRegPortOutLcl[Data][20]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__21__i_1 "bRegPortOutLcl[Data][21]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'hFFFE")) + ) + (instance (rename bRegPortOutLcl_Data__21__i_2 "bRegPortOutLcl[Data][21]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__21__i_3 "bRegPortOutLcl[Data][21]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__21__i_4 "bRegPortOutLcl[Data][21]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__22__i_1 "bRegPortOutLcl[Data][22]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'hFFFE")) + ) + (instance (rename bRegPortOutLcl_Data__22__i_2 "bRegPortOutLcl[Data][22]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__22__i_3 "bRegPortOutLcl[Data][22]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__22__i_4 "bRegPortOutLcl[Data][22]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__23__i_1 "bRegPortOutLcl[Data][23]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'hFE")) + ) + (instance (rename bRegPortOutLcl_Data__23__i_2 "bRegPortOutLcl[Data][23]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__23__i_3 "bRegPortOutLcl[Data][23]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__23__i_4 "bRegPortOutLcl[Data][23]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__24__i_1 "bRegPortOutLcl[Data][24]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFFFFFFFFFFEAA")) + ) + (instance (rename bRegPortOutLcl_Data__24__i_2 "bRegPortOutLcl[Data][24]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__24__i_3 "bRegPortOutLcl[Data][24]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__24__i_4 "bRegPortOutLcl[Data][24]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__25__i_1 "bRegPortOutLcl[Data][25]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFFFFFFFFFFEAA")) + ) + (instance (rename bRegPortOutLcl_Data__25__i_2 "bRegPortOutLcl[Data][25]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__25__i_3 "bRegPortOutLcl[Data][25]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h0000100000000000")) + ) + (instance (rename bRegPortOutLcl_Data__25__i_4 "bRegPortOutLcl[Data][25]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__25__i_5 "bRegPortOutLcl[Data][25]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__26__i_1 "bRegPortOutLcl[Data][26]_i_1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property INIT (string "32'hFFFFFFEA")) + ) + (instance (rename bRegPortOutLcl_Data__26__i_2 "bRegPortOutLcl[Data][26]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__26__i_3 "bRegPortOutLcl[Data][26]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h0020000000000000")) + ) + (instance (rename bRegPortOutLcl_Data__26__i_4 "bRegPortOutLcl[Data][26]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__26__i_5 "bRegPortOutLcl[Data][26]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__27__i_1 "bRegPortOutLcl[Data][27]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFFFFFFFFFFFEA")) + ) + (instance (rename bRegPortOutLcl_Data__27__i_2 "bRegPortOutLcl[Data][27]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__27__i_3 "bRegPortOutLcl[Data][27]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h0000000000200000")) + ) + (instance (rename bRegPortOutLcl_Data__27__i_4 "bRegPortOutLcl[Data][27]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h0000120000000000")) + ) + (instance (rename bRegPortOutLcl_Data__27__i_5 "bRegPortOutLcl[Data][27]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__27__i_6 "bRegPortOutLcl[Data][27]_i_6") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__27__i_7 "bRegPortOutLcl[Data][27]_i_7") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h0040000000000000")) + ) + (instance (rename bRegPortOutLcl_Data__28__i_1 "bRegPortOutLcl[Data][28]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFFFFFFFFEFEFE")) + ) + (instance (rename bRegPortOutLcl_Data__28__i_10 "bRegPortOutLcl[Data][28]_i_10") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h1")) + ) + (instance (rename bRegPortOutLcl_Data__28__i_2 "bRegPortOutLcl[Data][28]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__28__i_3 "bRegPortOutLcl[Data][28]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h88F8888888888888")) + ) + (instance (rename bRegPortOutLcl_Data__28__i_4 "bRegPortOutLcl[Data][28]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h0004000C00000000")) + ) + (instance (rename bRegPortOutLcl_Data__28__i_5 "bRegPortOutLcl[Data][28]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h0800000000000000")) + ) + (instance (rename bRegPortOutLcl_Data__28__i_6 "bRegPortOutLcl[Data][28]_i_6") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__28__i_7 "bRegPortOutLcl[Data][28]_i_7") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'h0040")) + (property SOFT_HLUTNM (string "soft_lutpair3")) + ) + (instance (rename bRegPortOutLcl_Data__28__i_8 "bRegPortOutLcl[Data][28]_i_8") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h0000000000008000")) + ) + (instance (rename bRegPortOutLcl_Data__28__i_9 "bRegPortOutLcl[Data][28]_i_9") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h1")) + (property SOFT_HLUTNM (string "soft_lutpair5")) + ) + (instance (rename bRegPortOutLcl_Data__29__i_1 "bRegPortOutLcl[Data][29]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'hFFFE")) + ) + (instance (rename bRegPortOutLcl_Data__29__i_2 "bRegPortOutLcl[Data][29]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__29__i_3 "bRegPortOutLcl[Data][29]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__29__i_4 "bRegPortOutLcl[Data][29]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__2__i_1 "bRegPortOutLcl[Data][2]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFFFFFFFFEFEFE")) + ) + (instance (rename bRegPortOutLcl_Data__2__i_2 "bRegPortOutLcl[Data][2]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__2__i_3 "bRegPortOutLcl[Data][2]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__2__i_4 "bRegPortOutLcl[Data][2]_i_4") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'hF8")) + (property SOFT_HLUTNM (string "soft_lutpair21")) + ) + (instance (rename bRegPortOutLcl_Data__2__i_5 "bRegPortOutLcl[Data][2]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__30__i_1 "bRegPortOutLcl[Data][30]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'hFFFE")) + ) + (instance (rename bRegPortOutLcl_Data__30__i_2 "bRegPortOutLcl[Data][30]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__30__i_3 "bRegPortOutLcl[Data][30]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__30__i_4 "bRegPortOutLcl[Data][30]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h0000002000000000")) + ) + (instance (rename bRegPortOutLcl_Data__30__i_5 "bRegPortOutLcl[Data][30]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__30__i_6 "bRegPortOutLcl[Data][30]_i_6") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h0000004000000000")) + ) + (instance (rename bRegPortOutLcl_Data__31__i_1 "bRegPortOutLcl[Data][31]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'hFE")) + ) + (instance (rename bRegPortOutLcl_Data__31__i_10 "bRegPortOutLcl[Data][31]_i_10") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h0000020000000000")) + ) + (instance (rename bRegPortOutLcl_Data__31__i_11 "bRegPortOutLcl[Data][31]_i_11") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h0800000000000000")) + ) + (instance (rename bRegPortOutLcl_Data__31__i_12 "bRegPortOutLcl[Data][31]_i_12") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h8")) + (property SOFT_HLUTNM (string "soft_lutpair23")) + ) + (instance (rename bRegPortOutLcl_Data__31__i_2 "bRegPortOutLcl[Data][31]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__31__i_3 "bRegPortOutLcl[Data][31]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__31__i_4 "bRegPortOutLcl[Data][31]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__31__i_5 "bRegPortOutLcl[Data][31]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h0010000000000000")) + ) + (instance (rename bRegPortOutLcl_Data__31__i_6 "bRegPortOutLcl[Data][31]_i_6") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h0000800000000000")) + ) + (instance (rename bRegPortOutLcl_Data__31__i_7 "bRegPortOutLcl[Data][31]_i_7") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h0000200000000000")) + ) + (instance (rename bRegPortOutLcl_Data__31__i_8 "bRegPortOutLcl[Data][31]_i_8") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h0000200000000000")) + ) + (instance (rename bRegPortOutLcl_Data__31__i_9 "bRegPortOutLcl[Data][31]_i_9") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h0000020000000000")) + ) + (instance (rename bRegPortOutLcl_Data__3__i_1 "bRegPortOutLcl[Data][3]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'hFFFE")) + ) + (instance (rename bRegPortOutLcl_Data__3__i_2 "bRegPortOutLcl[Data][3]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__3__i_3 "bRegPortOutLcl[Data][3]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__3__i_4 "bRegPortOutLcl[Data][3]_i_4") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'hF888")) + ) + (instance (rename bRegPortOutLcl_Data__3__i_5 "bRegPortOutLcl[Data][3]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__4__i_1 "bRegPortOutLcl[Data][4]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance (rename bRegPortOutLcl_Data__4__i_2 "bRegPortOutLcl[Data][4]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__4__i_3 "bRegPortOutLcl[Data][4]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__4__i_4 "bRegPortOutLcl[Data][4]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__4__i_5 "bRegPortOutLcl[Data][4]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h0001000000000000")) + ) + (instance (rename bRegPortOutLcl_Data__4__i_6 "bRegPortOutLcl[Data][4]_i_6") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h000000C00000E000")) + ) + (instance (rename bRegPortOutLcl_Data__4__i_7 "bRegPortOutLcl[Data][4]_i_7") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'hF888")) + ) + (instance (rename bRegPortOutLcl_Data__5__i_1 "bRegPortOutLcl[Data][5]_i_1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property INIT (string "32'hFFFFFFFE")) + ) + (instance (rename bRegPortOutLcl_Data__5__i_2 "bRegPortOutLcl[Data][5]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFF88F888F888F8")) + ) + (instance (rename bRegPortOutLcl_Data__5__i_3 "bRegPortOutLcl[Data][5]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__5__i_4 "bRegPortOutLcl[Data][5]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__5__i_5 "bRegPortOutLcl[Data][5]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__6__i_1 "bRegPortOutLcl[Data][6]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFFFFFFFFEFEFE")) + ) + (instance (rename bRegPortOutLcl_Data__6__i_2 "bRegPortOutLcl[Data][6]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__6__i_3 "bRegPortOutLcl[Data][6]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__6__i_4 "bRegPortOutLcl[Data][6]_i_4") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'hF8")) + (property SOFT_HLUTNM (string "soft_lutpair21")) + ) + (instance (rename bRegPortOutLcl_Data__6__i_5 "bRegPortOutLcl[Data][6]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__7__i_1 "bRegPortOutLcl[Data][7]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'hFFFE")) + ) + (instance (rename bRegPortOutLcl_Data__7__i_2 "bRegPortOutLcl[Data][7]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__7__i_3 "bRegPortOutLcl[Data][7]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__7__i_4 "bRegPortOutLcl[Data][7]_i_4") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'hF888")) + ) + (instance (rename bRegPortOutLcl_Data__7__i_5 "bRegPortOutLcl[Data][7]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__7__i_6 "bRegPortOutLcl[Data][7]_i_6") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h0000000000008000")) + ) + (instance (rename bRegPortOutLcl_Data__8__i_1 "bRegPortOutLcl[Data][8]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'hFFFE")) + ) + (instance (rename bRegPortOutLcl_Data__8__i_2 "bRegPortOutLcl[Data][8]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__8__i_3 "bRegPortOutLcl[Data][8]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__8__i_4 "bRegPortOutLcl[Data][8]_i_4") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'hF888")) + ) + (instance (rename bRegPortOutLcl_Data__8__i_5 "bRegPortOutLcl[Data][8]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__8__i_6 "bRegPortOutLcl[Data][8]_i_6") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'h8000")) + (property SOFT_HLUTNM (string "soft_lutpair3")) + ) + (instance (rename bRegPortOutLcl_Data__9__i_1 "bRegPortOutLcl[Data][9]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'hFFFE")) + ) + (instance (rename bRegPortOutLcl_Data__9__i_2 "bRegPortOutLcl[Data][9]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_Data__9__i_3 "bRegPortOutLcl[Data][9]_i_3") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property INIT (string "32'hFFFF88F8")) + ) + (instance (rename bRegPortOutLcl_Data__9__i_4 "bRegPortOutLcl[Data][9]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'h88F8888888888888")) + ) + (instance (rename bRegPortOutLcl_Data__9__i_5 "bRegPortOutLcl[Data][9]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFF888F888F888")) + ) + (instance (rename bRegPortOutLcl_reg_Data__0_ "bRegPortOutLcl_reg[Data][0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__10_ "bRegPortOutLcl_reg[Data][10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__11_ "bRegPortOutLcl_reg[Data][11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__12_ "bRegPortOutLcl_reg[Data][12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__13_ "bRegPortOutLcl_reg[Data][13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__14_ "bRegPortOutLcl_reg[Data][14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__15_ "bRegPortOutLcl_reg[Data][15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__16_ "bRegPortOutLcl_reg[Data][16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__17_ "bRegPortOutLcl_reg[Data][17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__18_ "bRegPortOutLcl_reg[Data][18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__19_ "bRegPortOutLcl_reg[Data][19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__1_ "bRegPortOutLcl_reg[Data][1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__20_ "bRegPortOutLcl_reg[Data][20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__21_ "bRegPortOutLcl_reg[Data][21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__22_ "bRegPortOutLcl_reg[Data][22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__23_ "bRegPortOutLcl_reg[Data][23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__24_ "bRegPortOutLcl_reg[Data][24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__25_ "bRegPortOutLcl_reg[Data][25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__26_ "bRegPortOutLcl_reg[Data][26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__27_ "bRegPortOutLcl_reg[Data][27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__28_ "bRegPortOutLcl_reg[Data][28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__29_ "bRegPortOutLcl_reg[Data][29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__2_ "bRegPortOutLcl_reg[Data][2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__30_ "bRegPortOutLcl_reg[Data][30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__31_ "bRegPortOutLcl_reg[Data][31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__3_ "bRegPortOutLcl_reg[Data][3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__4_ "bRegPortOutLcl_reg[Data][4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__5_ "bRegPortOutLcl_reg[Data][5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__6_ "bRegPortOutLcl_reg[Data][6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__7_ "bRegPortOutLcl_reg[Data][7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__8_ "bRegPortOutLcl_reg[Data][8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRegPortOutLcl_reg_Data__9_ "bRegPortOutLcl_reg[Data][9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance bResetTdc_i_1 (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFEFFFFFFFEEEEEE")) + ) + (instance bResetTdc_reg (viewref netlist (cellref FDPE (libraryref hdi_primitives))) + (property INIT (string "1'b1")) + ) + (instance (rename bRpOffsetStored_0__i_1 "bRpOffsetStored[0]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair49")) + ) + (instance (rename bRpOffsetStored_10__i_1 "bRpOffsetStored[10]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair44")) + ) + (instance (rename bRpOffsetStored_11__i_1 "bRpOffsetStored[11]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair43")) + ) + (instance (rename bRpOffsetStored_12__i_1 "bRpOffsetStored[12]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair43")) + ) + (instance (rename bRpOffsetStored_13__i_1 "bRpOffsetStored[13]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair42")) + ) + (instance (rename bRpOffsetStored_14__i_1 "bRpOffsetStored[14]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair42")) + ) + (instance (rename bRpOffsetStored_15__i_1 "bRpOffsetStored[15]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair41")) + ) + (instance (rename bRpOffsetStored_16__i_1 "bRpOffsetStored[16]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair41")) + ) + (instance (rename bRpOffsetStored_17__i_1 "bRpOffsetStored[17]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair40")) + ) + (instance (rename bRpOffsetStored_18__i_1 "bRpOffsetStored[18]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair40")) + ) + (instance (rename bRpOffsetStored_19__i_1 "bRpOffsetStored[19]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair39")) + ) + (instance (rename bRpOffsetStored_1__i_1 "bRpOffsetStored[1]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair48")) + ) + (instance (rename bRpOffsetStored_20__i_1 "bRpOffsetStored[20]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair39")) + ) + (instance (rename bRpOffsetStored_21__i_1 "bRpOffsetStored[21]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair38")) + ) + (instance (rename bRpOffsetStored_22__i_1 "bRpOffsetStored[22]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair38")) + ) + (instance (rename bRpOffsetStored_23__i_1 "bRpOffsetStored[23]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair37")) + ) + (instance (rename bRpOffsetStored_24__i_1 "bRpOffsetStored[24]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair37")) + ) + (instance (rename bRpOffsetStored_25__i_1 "bRpOffsetStored[25]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair36")) + ) + (instance (rename bRpOffsetStored_26__i_1 "bRpOffsetStored[26]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair36")) + ) + (instance (rename bRpOffsetStored_27__i_1 "bRpOffsetStored[27]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair35")) + ) + (instance (rename bRpOffsetStored_28__i_1 "bRpOffsetStored[28]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair35")) + ) + (instance (rename bRpOffsetStored_29__i_1 "bRpOffsetStored[29]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair34")) + ) + (instance (rename bRpOffsetStored_2__i_1 "bRpOffsetStored[2]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair48")) + ) + (instance (rename bRpOffsetStored_30__i_1 "bRpOffsetStored[30]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair34")) + ) + (instance (rename bRpOffsetStored_31__i_1 "bRpOffsetStored[31]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair33")) + ) + (instance (rename bRpOffsetStored_32__i_1 "bRpOffsetStored[32]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair33")) + ) + (instance (rename bRpOffsetStored_33__i_1 "bRpOffsetStored[33]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair32")) + ) + (instance (rename bRpOffsetStored_34__i_1 "bRpOffsetStored[34]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair32")) + ) + (instance (rename bRpOffsetStored_35__i_1 "bRpOffsetStored[35]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair31")) + ) + (instance (rename bRpOffsetStored_36__i_1 "bRpOffsetStored[36]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair31")) + ) + (instance (rename bRpOffsetStored_37__i_1 "bRpOffsetStored[37]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair30")) + ) + (instance (rename bRpOffsetStored_38__i_1 "bRpOffsetStored[38]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair30")) + ) + (instance (rename bRpOffsetStored_39__i_1 "bRpOffsetStored[39]_i_1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property INIT (string "32'hFFFF8000")) + ) + (instance (rename bRpOffsetStored_39__i_2 "bRpOffsetStored[39]_i_2") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair29")) + ) + (instance (rename bRpOffsetStored_39__i_3 "bRpOffsetStored[39]_i_3") (viewref netlist (cellref LUT4 (libraryref hdi_primitives))) + (property INIT (string "16'h0008")) + (property SOFT_HLUTNM (string "soft_lutpair2")) + ) + (instance (rename bRpOffsetStored_39__i_4 "bRpOffsetStored[39]_i_4") (viewref netlist (cellref LUT5 (libraryref hdi_primitives))) + (property INIT (string "32'h00000004")) + (property SOFT_HLUTNM (string "soft_lutpair0")) + ) + (instance (rename bRpOffsetStored_3__i_1 "bRpOffsetStored[3]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair47")) + ) + (instance (rename bRpOffsetStored_4__i_1 "bRpOffsetStored[4]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair47")) + ) + (instance (rename bRpOffsetStored_5__i_1 "bRpOffsetStored[5]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair46")) + ) + (instance (rename bRpOffsetStored_6__i_1 "bRpOffsetStored[6]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair46")) + ) + (instance (rename bRpOffsetStored_7__i_1 "bRpOffsetStored[7]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair45")) + ) + (instance (rename bRpOffsetStored_8__i_1 "bRpOffsetStored[8]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair45")) + ) + (instance (rename bRpOffsetStored_9__i_1 "bRpOffsetStored[9]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair44")) + ) + (instance (rename bRpOffsetStored_reg_0_ "bRpOffsetStored_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_10_ "bRpOffsetStored_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_11_ "bRpOffsetStored_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_12_ "bRpOffsetStored_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_13_ "bRpOffsetStored_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_14_ "bRpOffsetStored_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_15_ "bRpOffsetStored_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_16_ "bRpOffsetStored_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_17_ "bRpOffsetStored_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_18_ "bRpOffsetStored_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_19_ "bRpOffsetStored_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_1_ "bRpOffsetStored_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_20_ "bRpOffsetStored_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_21_ "bRpOffsetStored_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_22_ "bRpOffsetStored_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_23_ "bRpOffsetStored_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_24_ "bRpOffsetStored_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_25_ "bRpOffsetStored_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_26_ "bRpOffsetStored_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_27_ "bRpOffsetStored_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_28_ "bRpOffsetStored_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_29_ "bRpOffsetStored_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_2_ "bRpOffsetStored_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_30_ "bRpOffsetStored_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_31_ "bRpOffsetStored_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_32_ "bRpOffsetStored_reg[32]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_33_ "bRpOffsetStored_reg[33]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_34_ "bRpOffsetStored_reg[34]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_35_ "bRpOffsetStored_reg[35]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_36_ "bRpOffsetStored_reg[36]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_37_ "bRpOffsetStored_reg[37]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_38_ "bRpOffsetStored_reg[38]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_39_ "bRpOffsetStored_reg[39]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_3_ "bRpOffsetStored_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_4_ "bRpOffsetStored_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_5_ "bRpOffsetStored_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_6_ "bRpOffsetStored_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_7_ "bRpOffsetStored_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_8_ "bRpOffsetStored_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bRpOffsetStored_reg_9_ "bRpOffsetStored_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_0__i_1 "bScratch[0]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair28")) + ) + (instance (rename bScratch_10__i_1 "bScratch[10]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair14")) + ) + (instance (rename bScratch_11__i_1 "bScratch[11]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair15")) + ) + (instance (rename bScratch_12__i_1 "bScratch[12]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair17")) + ) + (instance (rename bScratch_13__i_1 "bScratch[13]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair16")) + ) + (instance (rename bScratch_14__i_1 "bScratch[14]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair17")) + ) + (instance (rename bScratch_15__i_1 "bScratch[15]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair18")) + ) + (instance (rename bScratch_1__i_1 "bScratch[1]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair6")) + ) + (instance (rename bScratch_20__i_1 "bScratch[20]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair16")) + ) + (instance (rename bScratch_21__i_1 "bScratch[21]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair20")) + ) + (instance (rename bScratch_22__i_1 "bScratch[22]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair14")) + ) + (instance (rename bScratch_23__i_1 "bScratch[23]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair20")) + ) + (instance (rename bScratch_24__i_1 "bScratch[24]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair28")) + ) + (instance (rename bScratch_28__i_1 "bScratch[28]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair12")) + ) + (instance (rename bScratch_29__i_1 "bScratch[29]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair19")) + ) + (instance (rename bScratch_2__i_1 "bScratch[2]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair7")) + ) + (instance (rename bScratch_30__i_1 "bScratch[30]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair13")) + ) + (instance (rename bScratch_31__i_1 "bScratch[31]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives))) + (property INIT (string "64'hFFFFFFFF00800000")) + ) + (instance (rename bScratch_31__i_2 "bScratch[31]_i_2") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair11")) + ) + (instance (rename bScratch_31__i_3 "bScratch[31]_i_3") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h40")) + (property SOFT_HLUTNM (string "soft_lutpair27")) + ) + (instance (rename bScratch_31__i_4 "bScratch[31]_i_4") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair26")) + ) + (instance (rename bScratch_31__i_5 "bScratch[31]_i_5") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'hE")) + (property SOFT_HLUTNM (string "soft_lutpair1")) + ) + (instance (rename bScratch_3__i_1 "bScratch[3]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair9")) + ) + (instance (rename bScratch_4__i_1 "bScratch[4]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair15")) + ) + (instance (rename bScratch_5__i_1 "bScratch[5]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair10")) + ) + (instance (rename bScratch_6__i_1 "bScratch[6]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair11")) + ) + (instance (rename bScratch_7__i_1 "bScratch[7]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair12")) + ) + (instance (rename bScratch_8__i_1 "bScratch[8]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair18")) + ) + (instance (rename bScratch_9__i_1 "bScratch[9]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives))) + (property INIT (string "8'h02")) + (property SOFT_HLUTNM (string "soft_lutpair13")) + ) + (instance (rename bScratch_reg_0_ "bScratch_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_10_ "bScratch_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_11_ "bScratch_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_12_ "bScratch_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_13_ "bScratch_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_14_ "bScratch_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_15_ "bScratch_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_16_ "bScratch_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_17_ "bScratch_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_18_ "bScratch_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_19_ "bScratch_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_1_ "bScratch_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_20_ "bScratch_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_21_ "bScratch_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_22_ "bScratch_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_23_ "bScratch_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_24_ "bScratch_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_25_ "bScratch_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_26_ "bScratch_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_27_ "bScratch_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_28_ "bScratch_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_29_ "bScratch_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_2_ "bScratch_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_30_ "bScratch_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_31_ "bScratch_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_3_ "bScratch_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_4_ "bScratch_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_5_ "bScratch_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_6_ "bScratch_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_7_ "bScratch_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_8_ "bScratch_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bScratch_reg_9_ "bScratch_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_0__i_1 "bSpOffsetStored[0]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + ) + (instance (rename bSpOffsetStored_10__i_1 "bSpOffsetStored[10]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair60")) + ) + (instance (rename bSpOffsetStored_11__i_1 "bSpOffsetStored[11]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair59")) + ) + (instance (rename bSpOffsetStored_12__i_1 "bSpOffsetStored[12]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair59")) + ) + (instance (rename bSpOffsetStored_13__i_1 "bSpOffsetStored[13]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair58")) + ) + (instance (rename bSpOffsetStored_14__i_1 "bSpOffsetStored[14]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair58")) + ) + (instance (rename bSpOffsetStored_15__i_1 "bSpOffsetStored[15]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair57")) + ) + (instance (rename bSpOffsetStored_16__i_1 "bSpOffsetStored[16]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair57")) + ) + (instance (rename bSpOffsetStored_17__i_1 "bSpOffsetStored[17]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair56")) + ) + (instance (rename bSpOffsetStored_18__i_1 "bSpOffsetStored[18]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair56")) + ) + (instance (rename bSpOffsetStored_19__i_1 "bSpOffsetStored[19]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair55")) + ) + (instance (rename bSpOffsetStored_1__i_1 "bSpOffsetStored[1]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair64")) + ) + (instance (rename bSpOffsetStored_20__i_1 "bSpOffsetStored[20]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair55")) + ) + (instance (rename bSpOffsetStored_21__i_1 "bSpOffsetStored[21]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair54")) + ) + (instance (rename bSpOffsetStored_22__i_1 "bSpOffsetStored[22]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair54")) + ) + (instance (rename bSpOffsetStored_23__i_1 "bSpOffsetStored[23]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair53")) + ) + (instance (rename bSpOffsetStored_24__i_1 "bSpOffsetStored[24]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair53")) + ) + (instance (rename bSpOffsetStored_25__i_1 "bSpOffsetStored[25]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair52")) + ) + (instance (rename bSpOffsetStored_26__i_1 "bSpOffsetStored[26]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair52")) + ) + (instance (rename bSpOffsetStored_27__i_1 "bSpOffsetStored[27]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair51")) + ) + (instance (rename bSpOffsetStored_28__i_1 "bSpOffsetStored[28]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair51")) + ) + (instance (rename bSpOffsetStored_29__i_1 "bSpOffsetStored[29]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair50")) + ) + (instance (rename bSpOffsetStored_2__i_1 "bSpOffsetStored[2]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair64")) + ) + (instance (rename bSpOffsetStored_30__i_1 "bSpOffsetStored[30]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair50")) + ) + (instance (rename bSpOffsetStored_31__i_1 "bSpOffsetStored[31]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair49")) + ) + (instance (rename bSpOffsetStored_3__i_1 "bSpOffsetStored[3]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair63")) + ) + (instance (rename bSpOffsetStored_4__i_1 "bSpOffsetStored[4]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair63")) + ) + (instance (rename bSpOffsetStored_5__i_1 "bSpOffsetStored[5]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair62")) + ) + (instance (rename bSpOffsetStored_6__i_1 "bSpOffsetStored[6]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair62")) + ) + (instance (rename bSpOffsetStored_7__i_1 "bSpOffsetStored[7]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair61")) + ) + (instance (rename bSpOffsetStored_8__i_1 "bSpOffsetStored[8]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair61")) + ) + (instance (rename bSpOffsetStored_9__i_1 "bSpOffsetStored[9]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives))) + (property INIT (string "4'h2")) + (property SOFT_HLUTNM (string "soft_lutpair60")) + ) + (instance (rename bSpOffsetStored_reg_0_ "bSpOffsetStored_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_10_ "bSpOffsetStored_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_11_ "bSpOffsetStored_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_12_ "bSpOffsetStored_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_13_ "bSpOffsetStored_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_14_ "bSpOffsetStored_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_15_ "bSpOffsetStored_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_16_ "bSpOffsetStored_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_17_ "bSpOffsetStored_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_18_ "bSpOffsetStored_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_19_ "bSpOffsetStored_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_1_ "bSpOffsetStored_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_20_ "bSpOffsetStored_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_21_ "bSpOffsetStored_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_22_ "bSpOffsetStored_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_23_ "bSpOffsetStored_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_24_ "bSpOffsetStored_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_25_ "bSpOffsetStored_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_26_ "bSpOffsetStored_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_27_ "bSpOffsetStored_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_28_ "bSpOffsetStored_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_29_ "bSpOffsetStored_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_2_ "bSpOffsetStored_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_30_ "bSpOffsetStored_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_31_ "bSpOffsetStored_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_3_ "bSpOffsetStored_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_4_ "bSpOffsetStored_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_5_ "bSpOffsetStored_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_6_ "bSpOffsetStored_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_7_ "bSpOffsetStored_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_8_ "bSpOffsetStored_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename bSpOffsetStored_reg_9_ "bSpOffsetStored_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename rPulserEnableDelayVal_reg_0_ "rPulserEnableDelayVal_reg[0]") (viewref netlist (cellref FDPE (libraryref hdi_primitives))) + (property INIT (string "1'b1")) + ) + (instance (rename rPulserEnableDelayVal_reg_1_ "rPulserEnableDelayVal_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename rPulserEnableDelayVal_reg_2_ "rPulserEnableDelayVal_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename rPulserEnableDelayVal_reg_3_ "rPulserEnableDelayVal_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename sPpsClkCrossDelayVal_reg_0_ "sPpsClkCrossDelayVal_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename sPpsClkCrossDelayVal_reg_1_ "sPpsClkCrossDelayVal_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename sPpsClkCrossDelayVal_reg_2_ "sPpsClkCrossDelayVal_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (instance (rename sPpsClkCrossDelayVal_reg_3_ "sPpsClkCrossDelayVal_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives))) + (property INIT (string "1'b0")) + ) + (net (rename &_const0_ "") (joined + (portref CLR (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref PpsCapturedDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref ResetDoneDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref G (instanceref GND)) + (portref (member bRegPortOutFlat 32)) + (portref (member rRePulseHighTimeInRClks 0)) + (portref (member rRpHighTimeInRClks 0)) + (portref (member rRptHighTimeInRClks 0)) + (portref (member sSpHighTimeInSClks 0)) + (portref (member sSptHighTimeInSClks 0)) + ) + ) + (net (rename &_const1_ "") (joined + (portref CE (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref EnableTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iDlyPush_reg)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_oDataValid_reg)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle2_reg)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref PpsCapturedDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + (portref CE (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref PpsCrossEnDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg)) + (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oDataValid_reg)) + (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg)) + (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg)) + (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oDataValid_reg)) + (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg)) + (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iDlyPush_reg)) + (portref CE (instanceref RePulse1CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref CE (instanceref RePulse1CntHs_BlkOut_oPushToggle2_reg)) + (portref CE (instanceref RePulse1CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iDlyPush_reg)) + (portref CE (instanceref RePulse2CntHs_BlkOut_oDataValid_reg)) + (portref CE (instanceref RePulse2CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref CE (instanceref RePulse2CntHs_BlkOut_oPushToggle2_reg)) + (portref CE (instanceref RePulse2CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref ReRunEnableDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + (portref CE (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref ResetDoneDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + (portref CE (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref ResetTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkIn_iDlyPush_reg)) + (portref CE (instanceref RpCntHs_BlkOut_oDataValid_reg)) + (portref CE (instanceref RpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_oPushToggle2_reg)) + (portref CE (instanceref RpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkIn_iDlyPush_reg)) + (portref CE (instanceref RptCntHs_BlkOut_oDataValid_reg)) + (portref CE (instanceref RptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_oPushToggle2_reg)) + (portref CE (instanceref RptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkIn_iDlyPush_reg)) + (portref CE (instanceref SpCntHs_BlkOut_oDataValid_reg)) + (portref CE (instanceref SpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_oPushToggle2_reg)) + (portref CE (instanceref SpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkIn_iDlyPush_reg)) + (portref CE (instanceref SptCntHs_BlkOut_oDataValid_reg)) + (portref CE (instanceref SptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_oPushToggle2_reg)) + (portref CE (instanceref SptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CE (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CE (instanceref aTdcResetLcl_reg)) + (portref CE (instanceref aTdcResetLcl_reg_rep)) + (portref CE (instanceref aTdcResetLcl_reg_rep__0)) + (portref CE (instanceref aTdcResetLcl_reg_rep__1)) + (portref CE (instanceref aTdcResetLcl_reg_rep__2)) + (portref CE (instanceref aTdcResetLcl_reg_rep__3)) + (portref CE (instanceref bClearTdcRegs_reg)) + (portref CE (instanceref bEnableTdc_reg)) + (portref CE (instanceref bOffsetUpdated_reg)) + (portref CE (instanceref bPpsClkCrossEn_reg)) + (portref CE (instanceref bPushPpsDelayVal_reg)) + (portref CE (instanceref bPushPulserEnableDelayVal_reg)) + (portref CE (instanceref bReRunEnable_reg)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__0_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__10_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__11_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__12_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__13_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__14_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__15_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__16_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__17_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__18_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__19_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__1_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__20_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__21_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__22_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__23_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__24_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__25_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__26_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__27_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__28_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__29_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__2_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__30_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__31_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__3_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__4_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__5_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__6_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__7_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__8_)) + (portref CE (instanceref bRegPortOutLcl_reg_Data__9_)) + (portref CE (instanceref bResetTdc_reg)) + (portref P (instanceref VCC)) + (portref (member bRegPortOutFlat 33)) + ) + ) + (net BusClk (joined + (portref C (instanceref EnableTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_31__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_32__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_33__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_34__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_35__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_36__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_37__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_38__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_39__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_40__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_41__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_42__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_43__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_44__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_45__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_46__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_47__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_48__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_49__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_50__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_51__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_52__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_53__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_54__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_55__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_56__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_57__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_58__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_59__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_60__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_61__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_62__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_63__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_64__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_65__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_66__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_67__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_68__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_69__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_70__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_71__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_72__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_73__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_74__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_75__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_76__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_77__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_78__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_79__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_oDataValid_reg)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle2_reg)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref PpsCrossEnDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg)) + (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_)) + (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_)) + (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_)) + (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_)) + (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg)) + (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_)) + (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_)) + (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_)) + (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_)) + (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref C (instanceref RePulse1CntHs_BlkIn_iDlyPush_reg)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_0_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_10_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_11_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_12_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_13_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_14_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_15_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_16_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_17_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_18_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_19_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_1_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_20_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_21_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_22_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_23_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_24_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_25_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_26_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_27_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_28_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_29_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_2_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_30_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_31_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_3_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_4_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_5_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_6_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_7_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_8_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_9_)) + (portref C (instanceref RePulse1CntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref C (instanceref RePulse2CntHs_BlkIn_iDlyPush_reg)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_0_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_10_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_11_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_12_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_13_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_14_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_15_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_16_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_17_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_18_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_19_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_1_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_20_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_21_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_22_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_23_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_24_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_25_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_26_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_27_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_28_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_29_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_2_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_30_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_31_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_3_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_4_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_5_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_6_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_7_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_8_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_9_)) + (portref C (instanceref RePulse2CntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref ReRunEnableDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + (portref C (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref ResetTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkIn_iDlyPush_reg)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_0_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_10_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_11_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_12_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_13_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_14_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_15_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_16_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_17_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_18_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_19_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_1_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_20_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_21_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_22_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_23_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_24_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_25_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_26_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_27_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_28_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_29_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_2_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_30_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_31_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_3_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_4_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_5_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_6_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_7_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_8_)) + (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_9_)) + (portref C (instanceref RpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkIn_iDlyPush_reg)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_0_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_10_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_11_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_12_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_13_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_14_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_15_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_16_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_17_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_18_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_19_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_1_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_20_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_21_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_22_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_23_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_24_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_25_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_26_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_27_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_28_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_29_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_2_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_30_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_31_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_3_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_4_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_5_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_6_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_7_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_8_)) + (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_9_)) + (portref C (instanceref RptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkIn_iDlyPush_reg)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_0_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_10_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_11_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_12_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_13_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_14_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_15_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_16_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_17_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_18_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_19_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_1_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_20_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_21_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_22_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_23_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_24_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_25_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_26_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_27_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_28_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_29_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_2_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_30_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_31_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_3_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_4_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_5_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_6_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_7_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_8_)) + (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_9_)) + (portref C (instanceref SpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkIn_iDlyPush_reg)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_0_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_10_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_11_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_12_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_13_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_14_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_15_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_16_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_17_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_18_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_19_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_1_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_20_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_21_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_22_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_23_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_24_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_25_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_26_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_27_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_28_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_29_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_2_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_30_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_31_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_3_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_4_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_5_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_6_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_7_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_8_)) + (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_9_)) + (portref C (instanceref SptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref aTdcResetLcl_reg)) + (portref C (instanceref aTdcResetLcl_reg_rep)) + (portref C (instanceref aTdcResetLcl_reg_rep__0)) + (portref C (instanceref aTdcResetLcl_reg_rep__1)) + (portref C (instanceref aTdcResetLcl_reg_rep__2)) + (portref C (instanceref aTdcResetLcl_reg_rep__3)) + (portref C (instanceref bClearTdcRegs_reg)) + (portref C (instanceref bEnableTdc_reg)) + (portref C (instanceref bOffsetUpdated_reg)) + (portref C (instanceref bPpsClkCrossDelayVal_reg_0_)) + (portref C (instanceref bPpsClkCrossDelayVal_reg_1_)) + (portref C (instanceref bPpsClkCrossDelayVal_reg_2_)) + (portref C (instanceref bPpsClkCrossDelayVal_reg_3_)) + (portref C (instanceref bPpsClkCrossEn_reg)) + (portref C (instanceref bPulserEnableDelayVal_reg_0_)) + (portref C (instanceref bPulserEnableDelayVal_reg_1_)) + (portref C (instanceref bPulserEnableDelayVal_reg_2_)) + (portref C (instanceref bPulserEnableDelayVal_reg_3_)) + (portref C (instanceref bPushPpsDelayVal_reg)) + (portref C (instanceref bPushPulserEnableDelayVal_reg)) + (portref C (instanceref bReRunEnable_reg)) + (portref C (instanceref bRegPortOutLcl_reg_Data__0_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__10_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__11_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__12_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__13_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__14_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__15_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__16_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__17_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__18_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__19_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__1_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__20_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__21_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__22_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__23_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__24_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__25_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__26_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__27_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__28_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__29_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__2_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__30_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__31_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__3_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__4_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__5_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__6_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__7_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__8_)) + (portref C (instanceref bRegPortOutLcl_reg_Data__9_)) + (portref C (instanceref bResetTdc_reg)) + (portref C (instanceref bRpOffsetStored_reg_0_)) + (portref C (instanceref bRpOffsetStored_reg_10_)) + (portref C (instanceref bRpOffsetStored_reg_11_)) + (portref C (instanceref bRpOffsetStored_reg_12_)) + (portref C (instanceref bRpOffsetStored_reg_13_)) + (portref C (instanceref bRpOffsetStored_reg_14_)) + (portref C (instanceref bRpOffsetStored_reg_15_)) + (portref C (instanceref bRpOffsetStored_reg_16_)) + (portref C (instanceref bRpOffsetStored_reg_17_)) + (portref C (instanceref bRpOffsetStored_reg_18_)) + (portref C (instanceref bRpOffsetStored_reg_19_)) + (portref C (instanceref bRpOffsetStored_reg_1_)) + (portref C (instanceref bRpOffsetStored_reg_20_)) + (portref C (instanceref bRpOffsetStored_reg_21_)) + (portref C (instanceref bRpOffsetStored_reg_22_)) + (portref C (instanceref bRpOffsetStored_reg_23_)) + (portref C (instanceref bRpOffsetStored_reg_24_)) + (portref C (instanceref bRpOffsetStored_reg_25_)) + (portref C (instanceref bRpOffsetStored_reg_26_)) + (portref C (instanceref bRpOffsetStored_reg_27_)) + (portref C (instanceref bRpOffsetStored_reg_28_)) + (portref C (instanceref bRpOffsetStored_reg_29_)) + (portref C (instanceref bRpOffsetStored_reg_2_)) + (portref C (instanceref bRpOffsetStored_reg_30_)) + (portref C (instanceref bRpOffsetStored_reg_31_)) + (portref C (instanceref bRpOffsetStored_reg_32_)) + (portref C (instanceref bRpOffsetStored_reg_33_)) + (portref C (instanceref bRpOffsetStored_reg_34_)) + (portref C (instanceref bRpOffsetStored_reg_35_)) + (portref C (instanceref bRpOffsetStored_reg_36_)) + (portref C (instanceref bRpOffsetStored_reg_37_)) + (portref C (instanceref bRpOffsetStored_reg_38_)) + (portref C (instanceref bRpOffsetStored_reg_39_)) + (portref C (instanceref bRpOffsetStored_reg_3_)) + (portref C (instanceref bRpOffsetStored_reg_4_)) + (portref C (instanceref bRpOffsetStored_reg_5_)) + (portref C (instanceref bRpOffsetStored_reg_6_)) + (portref C (instanceref bRpOffsetStored_reg_7_)) + (portref C (instanceref bRpOffsetStored_reg_8_)) + (portref C (instanceref bRpOffsetStored_reg_9_)) + (portref C (instanceref bScratch_reg_0_)) + (portref C (instanceref bScratch_reg_10_)) + (portref C (instanceref bScratch_reg_11_)) + (portref C (instanceref bScratch_reg_12_)) + (portref C (instanceref bScratch_reg_13_)) + (portref C (instanceref bScratch_reg_14_)) + (portref C (instanceref bScratch_reg_15_)) + (portref C (instanceref bScratch_reg_16_)) + (portref C (instanceref bScratch_reg_17_)) + (portref C (instanceref bScratch_reg_18_)) + (portref C (instanceref bScratch_reg_19_)) + (portref C (instanceref bScratch_reg_1_)) + (portref C (instanceref bScratch_reg_20_)) + (portref C (instanceref bScratch_reg_21_)) + (portref C (instanceref bScratch_reg_22_)) + (portref C (instanceref bScratch_reg_23_)) + (portref C (instanceref bScratch_reg_24_)) + (portref C (instanceref bScratch_reg_25_)) + (portref C (instanceref bScratch_reg_26_)) + (portref C (instanceref bScratch_reg_27_)) + (portref C (instanceref bScratch_reg_28_)) + (portref C (instanceref bScratch_reg_29_)) + (portref C (instanceref bScratch_reg_2_)) + (portref C (instanceref bScratch_reg_30_)) + (portref C (instanceref bScratch_reg_31_)) + (portref C (instanceref bScratch_reg_3_)) + (portref C (instanceref bScratch_reg_4_)) + (portref C (instanceref bScratch_reg_5_)) + (portref C (instanceref bScratch_reg_6_)) + (portref C (instanceref bScratch_reg_7_)) + (portref C (instanceref bScratch_reg_8_)) + (portref C (instanceref bScratch_reg_9_)) + (portref C (instanceref bSpOffsetStored_reg_0_)) + (portref C (instanceref bSpOffsetStored_reg_10_)) + (portref C (instanceref bSpOffsetStored_reg_11_)) + (portref C (instanceref bSpOffsetStored_reg_12_)) + (portref C (instanceref bSpOffsetStored_reg_13_)) + (portref C (instanceref bSpOffsetStored_reg_14_)) + (portref C (instanceref bSpOffsetStored_reg_15_)) + (portref C (instanceref bSpOffsetStored_reg_16_)) + (portref C (instanceref bSpOffsetStored_reg_17_)) + (portref C (instanceref bSpOffsetStored_reg_18_)) + (portref C (instanceref bSpOffsetStored_reg_19_)) + (portref C (instanceref bSpOffsetStored_reg_1_)) + (portref C (instanceref bSpOffsetStored_reg_20_)) + (portref C (instanceref bSpOffsetStored_reg_21_)) + (portref C (instanceref bSpOffsetStored_reg_22_)) + (portref C (instanceref bSpOffsetStored_reg_23_)) + (portref C (instanceref bSpOffsetStored_reg_24_)) + (portref C (instanceref bSpOffsetStored_reg_25_)) + (portref C (instanceref bSpOffsetStored_reg_26_)) + (portref C (instanceref bSpOffsetStored_reg_27_)) + (portref C (instanceref bSpOffsetStored_reg_28_)) + (portref C (instanceref bSpOffsetStored_reg_29_)) + (portref C (instanceref bSpOffsetStored_reg_2_)) + (portref C (instanceref bSpOffsetStored_reg_30_)) + (portref C (instanceref bSpOffsetStored_reg_31_)) + (portref C (instanceref bSpOffsetStored_reg_3_)) + (portref C (instanceref bSpOffsetStored_reg_4_)) + (portref C (instanceref bSpOffsetStored_reg_5_)) + (portref C (instanceref bSpOffsetStored_reg_6_)) + (portref C (instanceref bSpOffsetStored_reg_7_)) + (portref C (instanceref bSpOffsetStored_reg_8_)) + (portref C (instanceref bSpOffsetStored_reg_9_)) + (portref BusClk) + ) + ) + (net (rename EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_ms "EnableTdcDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename EnableTdcDs_DoubleSyncBasex_iDlySig "EnableTdcDs/DoubleSyncBasex/iDlySig") (joined + (portref D (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref EnableTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + ) + + (property RTL_KEEP (string "true")) + ) + (net (rename Gen0_FDCEx_i_1__10_n_0 "Gen0.FDCEx_i_1__10_n_0") (joined + (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_)) + (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_)) + (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_)) + (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_)) + (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref O (instanceref Gen0_FDCEx_i_1__10)) + ) + ) + (net (rename Gen0_FDCEx_i_1__11_n_0 "Gen0.FDCEx_i_1__11_n_0") (joined + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_0_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_10_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_11_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_12_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_13_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_14_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_15_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_16_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_17_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_18_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_19_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_1_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_20_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_21_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_22_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_23_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_24_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_25_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_26_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_27_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_28_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_29_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_2_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_30_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_31_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_32_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_33_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_34_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_35_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_36_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_37_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_38_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_39_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_3_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_40_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_41_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_42_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_43_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_44_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_45_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_46_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_47_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_48_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_49_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_4_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_50_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_51_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_52_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_53_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_54_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_55_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_56_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_57_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_58_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_59_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_5_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_60_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_61_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_62_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_63_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_64_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_65_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_66_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_67_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_68_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_69_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_6_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_70_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_71_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_72_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_73_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_74_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_75_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_76_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_77_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_78_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_79_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_7_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_8_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_9_)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref O (instanceref Gen0_FDCEx_i_1__11)) + ) + ) + (net (rename Gen0_FDCEx_i_1__9_n_0 "Gen0.FDCEx_i_1__9_n_0") (joined + (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_)) + (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_)) + (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_)) + (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_)) + (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref O (instanceref Gen0_FDCEx_i_1__9)) + ) + ) + (net (rename Gen0_FDCEx_i_3__0_n_0 "Gen0.FDCEx_i_3__0_n_0") (joined + (portref I0 (instanceref BlkIn_iDlyPush_i_1__1)) + (portref I0 (instanceref BlkIn_iDlyPush_i_1__2)) + (portref I3 (instanceref Gen0_FDCEx_i_1__1)) + (portref I3 (instanceref Gen0_FDCEx_i_1__2)) + (portref O (instanceref Gen0_FDCEx_i_3__0)) + ) + ) + (net (rename Gen0_FDCEx_i_3__1_n_0 "Gen0.FDCEx_i_3__1_n_0") (joined + (portref I0 (instanceref BlkIn_iDlyPush_i_1__3)) + (portref I0 (instanceref BlkIn_iDlyPush_i_1__4)) + (portref I3 (instanceref Gen0_FDCEx_i_1__3)) + (portref I3 (instanceref Gen0_FDCEx_i_1__4)) + (portref O (instanceref Gen0_FDCEx_i_3__1)) + ) + ) + (net (rename Gen0_FDCEx_i_3_n_0 "Gen0.FDCEx_i_3_n_0") (joined + (portref I0 (instanceref BlkIn_iDlyPush_i_1)) + (portref I0 (instanceref BlkIn_iDlyPush_i_1__0)) + (portref I3 (instanceref Gen0_FDCEx_i_1)) + (portref I3 (instanceref Gen0_FDCEx_i_1__0)) + (portref O (instanceref Gen0_FDCEx_i_3)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__0_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[0]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_0_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__10_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[10]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_10_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__11_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[11]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_11_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__12_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[12]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_12_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__13_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[13]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_13_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__14_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[14]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_14_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__15_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[15]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_15_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__16_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[16]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_16_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__17_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[17]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_17_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__18_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[18]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_18_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__19_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[19]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_19_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__1_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[1]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_1_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__20_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[20]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_20_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__21_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[21]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_21_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__22_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[22]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_22_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__23_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[23]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_23_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__24_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[24]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_24_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__25_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[25]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_25_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__26_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[26]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_26_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__27_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[27]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_27_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__28_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[28]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_28_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__29_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[29]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_29_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__2_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[2]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_2_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__30_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[30]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_30_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__31_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[31]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_31__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_31_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__32_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[32]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_32__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_32_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__33_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[33]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_33__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_33_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__34_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[34]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_34__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_34_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__35_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[35]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_35__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_35_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__36_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[36]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_36__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_36_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__37_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[37]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_37__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_37_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__38_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[38]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_38__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_38_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__39_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[39]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_39__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_39_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__3_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[3]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_3_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__40_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[40]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_40__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_40_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__41_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[41]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_41__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_41_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__42_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[42]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_42__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_42_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__43_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[43]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_43__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_43_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__44_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[44]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_44__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_44_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__45_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[45]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_45__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_45_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__46_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[46]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_46__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_46_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__47_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[47]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_47__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_47_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__48_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[48]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_48__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_48_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__49_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[49]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_49__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_49_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__4_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[4]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_4_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__50_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[50]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_50__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_50_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__51_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[51]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_51__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_51_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__52_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[52]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_52__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_52_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__53_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[53]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_53__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_53_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__54_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[54]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_54__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_54_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__55_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[55]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_55__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_55_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__56_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[56]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_56__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_56_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__57_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[57]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_57__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_57_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__58_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[58]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_58__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_58_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__59_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[59]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_59__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_59_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__5_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[5]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_5_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__60_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[60]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_60__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_60_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__61_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[61]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_61__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_61_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__62_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[62]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_62__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_62_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__63_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[63]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_63__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_63_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__64_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[64]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_64__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_64_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__65_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[65]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_65__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_65_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__66_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[66]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_66__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_66_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__67_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[67]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_67__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_67_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__68_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[68]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_68__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_68_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__69_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[69]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_69__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_69_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__6_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[6]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_6_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__70_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[70]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_70__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_70_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__71_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[71]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_71__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_71_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__72_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[72]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_72__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_72_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__73_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[73]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_73__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_73_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__74_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[74]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_74__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_74_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__75_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[75]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_75__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_75_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__76_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[76]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_76__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_76_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__77_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[77]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_77__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_77_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__78_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[78]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_78__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_78_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__79_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[79]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_79__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_79_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__7_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[7]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_7_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__8_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[8]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_8_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__9_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[9]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_9_)) + ) + ) + (net (rename IncomingOffsetHs_HBx_BlkOut_oPushToggle2_reg_n_0 "IncomingOffsetHs/HBx/BlkOut.oPushToggle2_reg_n_0") (joined + (portref I1 (instanceref Gen0_FDCEx_i_1__7)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle2_reg)) + ) + ) + (net (rename IncomingOffsetHs_HBx_iDlyPush "IncomingOffsetHs/HBx/iDlyPush") (joined + (portref I1 (instanceref Gen0_FDCEx_i_1__11)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iDlyPush_reg)) + ) + ) + (net (rename IncomingOffsetHs_HBx_iPushToggle "IncomingOffsetHs/HBx/iPushToggle") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref I0 (instanceref Gen0_FDCEx_i_2__1)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx)) + ) + ) + (net (rename IncomingOffsetHs_HBx_iPushToggleNx "IncomingOffsetHs/HBx/iPushToggleNx") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref O (instanceref Gen0_FDCEx_i_2__1)) + ) + ) + (net (rename IncomingOffsetHs_HBx_oPushToggle0_ms "IncomingOffsetHs/HBx/oPushToggle0_ms") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + ) + ) + (net (rename IncomingOffsetHs_HBx_oPushToggle1 "IncomingOffsetHs/HBx/oPushToggle1") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle2_reg)) + (portref I0 (instanceref Gen0_FDCEx_i_1__7)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx)) + ) + ) + (net (rename IncomingOffsetHs_HBx_oPushToggleChanged "IncomingOffsetHs/HBx/oPushToggleChanged") (joined + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_31__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_32__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_33__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_34__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_35__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_36__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_37__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_38__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_39__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_40__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_41__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_42__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_43__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_44__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_45__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_46__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_47__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_48__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_49__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_50__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_51__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_52__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_53__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_54__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_55__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_56__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_57__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_58__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_59__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_60__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_61__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_62__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_63__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_64__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_65__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_66__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_67__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_68__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_69__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_70__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_71__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_72__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_73__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_74__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_75__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_76__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_77__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_78__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_79__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_oDataValid_reg)) + (portref O (instanceref Gen0_FDCEx_i_1__7)) + ) + ) + (net MeasClk (joined + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iDlyPush_reg)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_0_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_10_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_11_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_12_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_13_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_14_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_15_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_16_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_17_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_18_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_19_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_1_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_20_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_21_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_22_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_23_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_24_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_25_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_26_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_27_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_28_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_29_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_2_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_30_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_31_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_32_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_33_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_34_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_35_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_36_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_37_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_38_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_39_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_3_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_40_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_41_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_42_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_43_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_44_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_45_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_46_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_47_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_48_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_49_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_4_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_50_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_51_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_52_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_53_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_54_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_55_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_56_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_57_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_58_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_59_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_5_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_60_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_61_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_62_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_63_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_64_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_65_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_66_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_67_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_68_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_69_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_6_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_70_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_71_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_72_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_73_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_74_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_75_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_76_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_77_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_78_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_79_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_7_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_8_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_9_)) + (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref MeasClk) + ) + ) + (net (rename OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[0].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[10].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[11].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[12].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[13].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[14].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[15].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[16].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[17].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[18].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[19].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[1].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[20].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[21].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[22].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[23].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[24].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[25].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[26].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[27].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[28].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[29].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[2].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[30].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[31].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[32].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[33].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[34].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[35].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[36].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[37].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[38].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[39].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[3].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[40].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[41].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[42].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[43].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[44].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[45].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[46].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[47].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[48].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[49].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[4].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[50].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[51].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[52].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[53].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[54].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[55].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[56].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[57].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[58].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[59].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[5].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[60].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[61].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[62].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[63].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[64].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[65].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[66].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[67].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[68].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[69].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[6].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[70].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[71].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[72].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[73].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[74].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[75].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[76].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[77].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[78].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[79].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[7].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[8].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[9].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSig_ms "OffsetsValidDs/DoubleSyncSlAsyncInx/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_ms "PpsCapturedDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename PpsCapturedDs_DoubleSyncBasex_iDlySig "PpsCapturedDs/DoubleSyncBasex/iDlySig") (joined + (portref D (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref PpsCapturedDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + ) + + (property RTL_KEEP (string "true")) + ) + (net (rename PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_ms "PpsCrossEnDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename PpsCrossEnDs_DoubleSyncBasex_iDlySig "PpsCrossEnDs/DoubleSyncBasex/iDlySig") (joined + (portref D (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref PpsCrossEnDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + ) + + (property RTL_KEEP (string "true")) + ) + (net (rename PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_n_0__0_ "PpsDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg_n_0_[0]") (joined + (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_)) + ) + ) + (net (rename PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_n_0__1_ "PpsDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg_n_0_[1]") (joined + (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_)) + ) + ) + (net (rename PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_n_0__2_ "PpsDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg_n_0_[2]") (joined + (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_)) + ) + ) + (net (rename PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_n_0__3_ "PpsDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg_n_0_[3]") (joined + (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_)) + ) + ) + (net (rename PpsDelayValCrossingHs_HBx_iDlyPush "PpsDelayValCrossingHs/HBx/iDlyPush") (joined + (portref I1 (instanceref Gen0_FDCEx_i_1__9)) + (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg)) + ) + ) + (net (rename PpsDelayValCrossingHs_HBx_iPushToggle "PpsDelayValCrossingHs/HBx/iPushToggle") (joined + (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref I0 (instanceref Gen0_FDCEx_i_2)) + (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx)) + ) + ) + (net (rename PpsDelayValCrossingHs_HBx_iPushToggleNx "PpsDelayValCrossingHs/HBx/iPushToggleNx") (joined + (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref O (instanceref Gen0_FDCEx_i_2)) + ) + ) + (net (rename PpsDelayValCrossingHs_HBx_oPushToggle0_ms "PpsDelayValCrossingHs/HBx/oPushToggle0_ms") (joined + (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + ) + ) + (net (rename PpsDelayValCrossingHs_HBx_oPushToggle1 "PpsDelayValCrossingHs/HBx/oPushToggle1") (joined + (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg)) + (portref I0 (instanceref Gen0_FDCEx_i_1__5)) + (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx)) + ) + ) + (net (rename PpsDelayValCrossingHs_HBx_oPushToggleChanged "PpsDelayValCrossingHs/HBx/oPushToggleChanged") (joined + (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oDataValid_reg)) + (portref O (instanceref Gen0_FDCEx_i_1__5)) + ) + ) + (net (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_n_0__0_ "PulserEnableDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg_n_0_[0]") (joined + (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_)) + ) + ) + (net (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_n_0__1_ "PulserEnableDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg_n_0_[1]") (joined + (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_)) + ) + ) + (net (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_n_0__2_ "PulserEnableDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg_n_0_[2]") (joined + (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_)) + ) + ) + (net (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_n_0__3_ "PulserEnableDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg_n_0_[3]") (joined + (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_)) + ) + ) + (net (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_oDataValid_reg_n_0 "PulserEnableDelayValCrossingHs/HBx/BlkOut.oDataValid_reg_n_0") (joined + (portref CE (instanceref rPulserEnableDelayVal_reg_0_)) + (portref CE (instanceref rPulserEnableDelayVal_reg_1_)) + (portref CE (instanceref rPulserEnableDelayVal_reg_2_)) + (portref CE (instanceref rPulserEnableDelayVal_reg_3_)) + (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oDataValid_reg)) + ) + ) + (net (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg_n_0 "PulserEnableDelayValCrossingHs/HBx/BlkOut.oPushToggle2_reg_n_0") (joined + (portref I1 (instanceref Gen0_FDCEx_i_1__6)) + (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg)) + ) + ) + (net (rename PulserEnableDelayValCrossingHs_HBx_iDlyPush "PulserEnableDelayValCrossingHs/HBx/iDlyPush") (joined + (portref I1 (instanceref Gen0_FDCEx_i_1__10)) + (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg)) + ) + ) + (net (rename PulserEnableDelayValCrossingHs_HBx_iPushToggle "PulserEnableDelayValCrossingHs/HBx/iPushToggle") (joined + (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref I0 (instanceref Gen0_FDCEx_i_2__0)) + (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx)) + ) + ) + (net (rename PulserEnableDelayValCrossingHs_HBx_iPushToggleNx "PulserEnableDelayValCrossingHs/HBx/iPushToggleNx") (joined + (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref O (instanceref Gen0_FDCEx_i_2__0)) + ) + ) + (net (rename PulserEnableDelayValCrossingHs_HBx_oPushToggle0_ms "PulserEnableDelayValCrossingHs/HBx/oPushToggle0_ms") (joined + (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + ) + ) + (net (rename PulserEnableDelayValCrossingHs_HBx_oPushToggle1 "PulserEnableDelayValCrossingHs/HBx/oPushToggle1") (joined + (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg)) + (portref I0 (instanceref Gen0_FDCEx_i_1__6)) + (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx)) + ) + ) + (net (rename PulserEnableDelayValCrossingHs_HBx_oPushToggleChanged "PulserEnableDelayValCrossingHs/HBx/oPushToggleChanged") (joined + (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oDataValid_reg)) + (portref O (instanceref Gen0_FDCEx_i_1__6)) + ) + ) + (net (rename RePulse1CntHs_BlkIn_iDlyPush_reg_n_0 "RePulse1CntHs/BlkIn.iDlyPush_reg_n_0") (joined + (portref I0 (instanceref Gen0_FDCEx_i_1__2)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iDlyPush_reg)) + ) + ) + (net (rename RePulse1CntHs_BlkOut_oPushToggle2_reg_n_0 "RePulse1CntHs/BlkOut.oPushToggle2_reg_n_0") (joined + (portref I1 (instanceref Gen0_FDCEx_i_1__8)) + (portref Q (instanceref RePulse1CntHs_BlkOut_oPushToggle2_reg)) + ) + ) + (net (rename RePulse1CntHs_iPushPulse "RePulse1CntHs/iPushPulse") (joined + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_0_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_10_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_11_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_12_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_13_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_14_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_15_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_16_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_17_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_18_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_19_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_1_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_20_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_21_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_22_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_23_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_24_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_25_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_26_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_27_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_28_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_29_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_2_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_30_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_31_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_3_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_4_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_5_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_6_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_7_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_8_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_9_)) + (portref CE (instanceref RePulse1CntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref O (instanceref Gen0_FDCEx_i_1__2)) + ) + ) + (net (rename RePulse1CntHs_iPushToggle "RePulse1CntHs/iPushToggle") (joined + (portref D (instanceref RePulse1CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref I0 (instanceref Gen0_FDCEx_i_2__4)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + ) + ) + (net (rename RePulse1CntHs_iPushToggleNx "RePulse1CntHs/iPushToggleNx") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref O (instanceref Gen0_FDCEx_i_2__4)) + ) + ) + (net (rename RePulse1CntHs_oPushToggle0_ms "RePulse1CntHs/oPushToggle0_ms") (joined + (portref D (instanceref RePulse1CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulse1CntHs_oPushToggle1 "RePulse1CntHs/oPushToggle1") (joined + (portref D (instanceref RePulse1CntHs_BlkOut_oPushToggle2_reg)) + (portref I0 (instanceref Gen0_FDCEx_i_1__8)) + (portref Q (instanceref RePulse1CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + ) + ) + (net (rename RePulse1CntHs_oPushToggleChanged "RePulse1CntHs/oPushToggleChanged") (joined + (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref O (instanceref Gen0_FDCEx_i_1__8)) + ) + ) + (net (rename RePulse2CntHs_BlkIn_iDlyPush_reg_n_0 "RePulse2CntHs/BlkIn.iDlyPush_reg_n_0") (joined + (portref I0 (instanceref Gen0_FDCEx_i_1__1)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iDlyPush_reg)) + ) + ) + (net (rename RePulse2CntHs_BlkOut_oPushToggle2_reg_n_0 "RePulse2CntHs/BlkOut.oPushToggle2_reg_n_0") (joined + (portref I1 (instanceref BlkOut_oDataValid_i_1__1)) + (portref Q (instanceref RePulse2CntHs_BlkOut_oPushToggle2_reg)) + ) + ) + (net (rename RePulse2CntHs_iPushPulse "RePulse2CntHs/iPushPulse") (joined + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_0_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_10_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_11_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_12_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_13_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_14_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_15_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_16_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_17_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_18_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_19_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_1_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_20_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_21_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_22_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_23_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_24_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_25_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_26_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_27_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_28_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_29_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_2_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_30_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_31_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_3_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_4_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_5_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_6_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_7_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_8_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_9_)) + (portref CE (instanceref RePulse2CntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref O (instanceref Gen0_FDCEx_i_1__1)) + ) + ) + (net (rename RePulse2CntHs_iPushToggle "RePulse2CntHs/iPushToggle") (joined + (portref D (instanceref RePulse2CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref I0 (instanceref Gen0_FDCEx_i_2__5)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + ) + ) + (net (rename RePulse2CntHs_iPushToggleNx "RePulse2CntHs/iPushToggleNx") (joined + (portref D (instanceref RePulse2CntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref O (instanceref Gen0_FDCEx_i_2__5)) + ) + ) + (net (rename RePulse2CntHs_oPushToggle0_ms "RePulse2CntHs/oPushToggle0_ms") (joined + (portref D (instanceref RePulse2CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulse2CntHs_oPushToggle1 "RePulse2CntHs/oPushToggle1") (joined + (portref D (instanceref RePulse2CntHs_BlkOut_oPushToggle2_reg)) + (portref I0 (instanceref BlkOut_oDataValid_i_1__1)) + (portref Q (instanceref RePulse2CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + ) + ) + (net (rename RePulse2CntHs_oPushToggleChanged "RePulse2CntHs/oPushToggleChanged") (joined + (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulse2CntHs_BlkOut_oDataValid_reg)) + (portref O (instanceref BlkOut_oDataValid_i_1__1)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[0].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[10].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[11].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[12].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[13].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[14].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[15].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[16].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[17].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[18].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[19].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[1].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[20].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[21].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[22].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[23].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[24].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[25].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[26].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[27].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[28].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[29].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[2].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[30].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[31].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[3].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[4].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[5].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[6].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[7].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[8].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[9].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[0].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[10].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[11].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[12].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[13].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[14].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[15].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[16].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[17].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[18].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[19].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[1].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[20].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[21].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[22].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[23].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[24].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[25].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[26].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[27].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[28].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[29].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[2].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[30].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[31].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[3].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[4].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[5].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[6].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[7].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[8].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[9].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_ms "ReRunEnableDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename ReRunEnableDs_DoubleSyncBasex_iDlySig "ReRunEnableDs/DoubleSyncBasex/iDlySig") (joined + (portref D (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref ReRunEnableDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + ) + + (property RTL_KEEP (string "true")) + ) + (net RefClk (joined + (portref C (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref PpsCapturedDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + (portref C (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oDataValid_reg)) + (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg)) + (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse1CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref C (instanceref RePulse1CntHs_BlkOut_oPushToggle2_reg)) + (portref C (instanceref RePulse1CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RePulse2CntHs_BlkOut_oDataValid_reg)) + (portref C (instanceref RePulse2CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref C (instanceref RePulse2CntHs_BlkOut_oPushToggle2_reg)) + (portref C (instanceref RePulse2CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref C (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref ResetDoneDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + (portref C (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref C (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_oDataValid_reg)) + (portref C (instanceref RpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref C (instanceref RpCntHs_BlkOut_oPushToggle2_reg)) + (portref C (instanceref RpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_oDataValid_reg)) + (portref C (instanceref RptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref C (instanceref RptCntHs_BlkOut_oPushToggle2_reg)) + (portref C (instanceref RptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref C (instanceref rPulserEnableDelayVal_reg_0_)) + (portref C (instanceref rPulserEnableDelayVal_reg_1_)) + (portref C (instanceref rPulserEnableDelayVal_reg_2_)) + (portref C (instanceref rPulserEnableDelayVal_reg_3_)) + (portref RefClk) + ) + ) + (net RegWrite53_out (joined + (portref D (instanceref SptCntHs_BlkIn_iDlyPush_reg)) + (portref O (instanceref BlkIn_iDlyPush_i_1)) + ) + ) + (net RegWrite54_out (joined + (portref D (instanceref RptCntHs_BlkIn_iDlyPush_reg)) + (portref O (instanceref BlkIn_iDlyPush_i_1__0)) + ) + ) + (net RegWrite55_out (joined + (portref D (instanceref RePulse2CntHs_BlkIn_iDlyPush_reg)) + (portref O (instanceref BlkIn_iDlyPush_i_1__1)) + ) + ) + (net RegWrite56_out (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iDlyPush_reg)) + (portref O (instanceref BlkIn_iDlyPush_i_1__2)) + ) + ) + (net RegWrite57_out (joined + (portref D (instanceref SpCntHs_BlkIn_iDlyPush_reg)) + (portref O (instanceref BlkIn_iDlyPush_i_1__3)) + ) + ) + (net RegWrite58_out (joined + (portref D (instanceref RpCntHs_BlkIn_iDlyPush_reg)) + (portref O (instanceref BlkIn_iDlyPush_i_1__4)) + ) + ) + (net (rename ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_ms "ResetDoneDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename ResetDoneDs_DoubleSyncBasex_iDlySig "ResetDoneDs/DoubleSyncBasex/iDlySig") (joined + (portref D (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref ResetDoneDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + ) + + (property RTL_KEEP (string "true")) + ) + (net (rename ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_ms "ResetTdcDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename ResetTdcDs_DoubleSyncBasex_iDlySig "ResetTdcDs/DoubleSyncBasex/iDlySig") (joined + (portref D (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref ResetTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + ) + + (property RTL_KEEP (string "true")) + ) + (net (rename RpCntHs_BlkOut_oPushToggle2_reg_n_0 "RpCntHs/BlkOut.oPushToggle2_reg_n_0") (joined + (portref I1 (instanceref BlkOut_oDataValid_i_1)) + (portref Q (instanceref RpCntHs_BlkOut_oPushToggle2_reg)) + ) + ) + (net (rename RpCntHs_iPushPulse "RpCntHs/iPushPulse") (joined + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_0_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_10_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_11_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_12_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_13_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_14_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_15_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_16_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_17_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_18_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_19_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_1_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_20_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_21_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_22_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_23_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_24_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_25_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_26_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_27_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_28_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_29_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_2_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_30_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_31_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_3_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_4_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_5_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_6_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_7_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_8_)) + (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_9_)) + (portref CE (instanceref RpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref O (instanceref Gen0_FDCEx_i_1__4)) + ) + ) + (net (rename RpCntHs_iPushToggle "RpCntHs/iPushToggle") (joined + (portref D (instanceref RpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref I0 (instanceref Gen0_FDCEx_i_2__2)) + (portref Q (instanceref RpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + ) + ) + (net (rename RpCntHs_iPushToggleNx "RpCntHs/iPushToggleNx") (joined + (portref D (instanceref RpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref O (instanceref Gen0_FDCEx_i_2__2)) + ) + ) + (net (rename RpCntHs_oPushToggle0_ms "RpCntHs/oPushToggle0_ms") (joined + (portref D (instanceref RpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntHs_oPushToggle1 "RpCntHs/oPushToggle1") (joined + (portref D (instanceref RpCntHs_BlkOut_oPushToggle2_reg)) + (portref I0 (instanceref BlkOut_oDataValid_i_1)) + (portref Q (instanceref RpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + ) + ) + (net (rename RpCntHs_oPushToggleChanged "RpCntHs/oPushToggleChanged") (joined + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntHs_BlkOut_oDataValid_reg)) + (portref O (instanceref BlkOut_oDataValid_i_1)) + ) + ) + (net (rename RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[0].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[10].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[11].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[12].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[13].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[14].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[15].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[16].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[17].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[18].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[19].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[1].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[20].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[21].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[22].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[23].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[24].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[25].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[26].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[27].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[28].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[29].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[2].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[30].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[31].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[3].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[4].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[5].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[6].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[7].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[8].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[9].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntHs_BlkIn_iDlyPush_reg_n_0 "RptCntHs/BlkIn.iDlyPush_reg_n_0") (joined + (portref I0 (instanceref Gen0_FDCEx_i_1__0)) + (portref Q (instanceref RptCntHs_BlkIn_iDlyPush_reg)) + ) + ) + (net (rename RptCntHs_BlkOut_oPushToggle2_reg_n_0 "RptCntHs/BlkOut.oPushToggle2_reg_n_0") (joined + (portref I1 (instanceref BlkOut_oDataValid_i_1__2)) + (portref Q (instanceref RptCntHs_BlkOut_oPushToggle2_reg)) + ) + ) + (net (rename RptCntHs_iPushPulse "RptCntHs/iPushPulse") (joined + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_0_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_10_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_11_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_12_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_13_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_14_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_15_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_16_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_17_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_18_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_19_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_1_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_20_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_21_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_22_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_23_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_24_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_25_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_26_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_27_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_28_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_29_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_2_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_30_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_31_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_3_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_4_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_5_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_6_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_7_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_8_)) + (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_9_)) + (portref CE (instanceref RptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref O (instanceref Gen0_FDCEx_i_1__0)) + ) + ) + (net (rename RptCntHs_iPushToggle "RptCntHs/iPushToggle") (joined + (portref D (instanceref RptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref I0 (instanceref Gen0_FDCEx_i_2__6)) + (portref Q (instanceref RptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + ) + ) + (net (rename RptCntHs_iPushToggleNx "RptCntHs/iPushToggleNx") (joined + (portref D (instanceref RptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref O (instanceref Gen0_FDCEx_i_2__6)) + ) + ) + (net (rename RptCntHs_oPushToggle0_ms "RptCntHs/oPushToggle0_ms") (joined + (portref D (instanceref RptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntHs_oPushToggle1 "RptCntHs/oPushToggle1") (joined + (portref D (instanceref RptCntHs_BlkOut_oPushToggle2_reg)) + (portref I0 (instanceref BlkOut_oDataValid_i_1__2)) + (portref Q (instanceref RptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + ) + ) + (net (rename RptCntHs_oPushToggleChanged "RptCntHs/oPushToggleChanged") (joined + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntHs_BlkOut_oDataValid_reg)) + (portref O (instanceref BlkOut_oDataValid_i_1__2)) + ) + ) + (net (rename RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[0].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[10].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[11].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[12].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[13].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[14].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[15].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[16].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[17].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[18].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[19].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[1].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[20].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[21].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[22].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[23].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[24].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[25].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[26].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[27].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[28].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[29].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[2].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[30].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[31].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[3].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[4].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[5].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[6].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[7].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[8].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[9].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net SampleClk (joined + (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oDataValid_reg)) + (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg)) + (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_oDataValid_reg)) + (portref C (instanceref SpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref C (instanceref SpCntHs_BlkOut_oPushToggle2_reg)) + (portref C (instanceref SpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_oDataValid_reg)) + (portref C (instanceref SptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref C (instanceref SptCntHs_BlkOut_oPushToggle2_reg)) + (portref C (instanceref SptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref C (instanceref sPpsClkCrossDelayVal_reg_0_)) + (portref C (instanceref sPpsClkCrossDelayVal_reg_1_)) + (portref C (instanceref sPpsClkCrossDelayVal_reg_2_)) + (portref C (instanceref sPpsClkCrossDelayVal_reg_3_)) + (portref SampleClk) + ) + ) + (net (rename SpCntHs_BlkIn_iDlyPush_reg_n_0 "SpCntHs/BlkIn.iDlyPush_reg_n_0") (joined + (portref I0 (instanceref Gen0_FDCEx_i_1__3)) + (portref Q (instanceref SpCntHs_BlkIn_iDlyPush_reg)) + ) + ) + (net (rename SpCntHs_BlkOut_oPushToggle2_reg_n_0 "SpCntHs/BlkOut.oPushToggle2_reg_n_0") (joined + (portref I1 (instanceref BlkOut_oDataValid_i_1__0)) + (portref Q (instanceref SpCntHs_BlkOut_oPushToggle2_reg)) + ) + ) + (net (rename SpCntHs_iPushPulse "SpCntHs/iPushPulse") (joined + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_0_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_10_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_11_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_12_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_13_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_14_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_15_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_16_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_17_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_18_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_19_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_1_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_20_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_21_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_22_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_23_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_24_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_25_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_26_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_27_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_28_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_29_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_2_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_30_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_31_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_3_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_4_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_5_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_6_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_7_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_8_)) + (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_9_)) + (portref CE (instanceref SpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref O (instanceref Gen0_FDCEx_i_1__3)) + ) + ) + (net (rename SpCntHs_iPushToggle "SpCntHs/iPushToggle") (joined + (portref D (instanceref SpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref I0 (instanceref Gen0_FDCEx_i_2__3)) + (portref Q (instanceref SpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + ) + ) + (net (rename SpCntHs_iPushToggleNx "SpCntHs/iPushToggleNx") (joined + (portref D (instanceref SpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref O (instanceref Gen0_FDCEx_i_2__3)) + ) + ) + (net (rename SpCntHs_oPushToggle0_ms "SpCntHs/oPushToggle0_ms") (joined + (portref D (instanceref SpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntHs_oPushToggle1 "SpCntHs/oPushToggle1") (joined + (portref D (instanceref SpCntHs_BlkOut_oPushToggle2_reg)) + (portref I0 (instanceref BlkOut_oDataValid_i_1__0)) + (portref Q (instanceref SpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + ) + ) + (net (rename SpCntHs_oPushToggleChanged "SpCntHs/oPushToggleChanged") (joined + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntHs_BlkOut_oDataValid_reg)) + (portref O (instanceref BlkOut_oDataValid_i_1__0)) + ) + ) + (net (rename SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[0].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[10].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[11].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[12].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[13].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[14].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[15].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[16].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[17].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[18].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[19].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[1].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[20].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[21].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[22].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[23].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[24].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[25].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[26].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[27].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[28].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[29].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[2].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[30].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[31].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[3].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[4].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[5].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[6].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[7].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[8].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[9].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntHs_BlkIn_iDlyPush_reg_n_0 "SptCntHs/BlkIn.iDlyPush_reg_n_0") (joined + (portref I0 (instanceref Gen0_FDCEx_i_1)) + (portref Q (instanceref SptCntHs_BlkIn_iDlyPush_reg)) + ) + ) + (net (rename SptCntHs_BlkOut_oPushToggle2_reg_n_0 "SptCntHs/BlkOut.oPushToggle2_reg_n_0") (joined + (portref I1 (instanceref BlkOut_oDataValid_i_1__3)) + (portref Q (instanceref SptCntHs_BlkOut_oPushToggle2_reg)) + ) + ) + (net (rename SptCntHs_iPushPulse "SptCntHs/iPushPulse") (joined + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_0_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_10_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_11_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_12_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_13_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_14_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_15_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_16_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_17_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_18_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_19_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_1_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_20_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_21_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_22_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_23_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_24_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_25_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_26_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_27_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_28_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_29_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_2_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_30_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_31_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_3_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_4_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_5_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_6_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_7_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_8_)) + (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_9_)) + (portref CE (instanceref SptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref O (instanceref Gen0_FDCEx_i_1)) + ) + ) + (net (rename SptCntHs_iPushToggle "SptCntHs/iPushToggle") (joined + (portref D (instanceref SptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref I0 (instanceref Gen0_FDCEx_i_2__7)) + (portref Q (instanceref SptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + ) + ) + (net (rename SptCntHs_iPushToggleNx "SptCntHs/iPushToggleNx") (joined + (portref D (instanceref SptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref O (instanceref Gen0_FDCEx_i_2__7)) + ) + ) + (net (rename SptCntHs_oPushToggle0_ms "SptCntHs/oPushToggle0_ms") (joined + (portref D (instanceref SptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntHs_oPushToggle1 "SptCntHs/oPushToggle1") (joined + (portref D (instanceref SptCntHs_BlkOut_oPushToggle2_reg)) + (portref I0 (instanceref BlkOut_oDataValid_i_1__3)) + (portref Q (instanceref SptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + ) + ) + (net (rename SptCntHs_oPushToggleChanged "SptCntHs/oPushToggleChanged") (joined + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntHs_BlkOut_oDataValid_reg)) + (portref O (instanceref BlkOut_oDataValid_i_1__3)) + ) + ) + (net (rename SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[0].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[10].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[11].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[12].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[13].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[14].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[15].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[16].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[17].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[18].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[19].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[1].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[20].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[21].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[22].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[23].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[24].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[25].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[26].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[27].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[28].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[29].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[2].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[30].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[31].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[3].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[4].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[5].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[6].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[7].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[8].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net (rename SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[9].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined + (portref D (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref Q (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + ) + ) + (net aBusReset (joined + (portref CLR (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref EnableTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + (portref CLR (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref PpsCrossEnDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg)) + (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_)) + (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_)) + (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_)) + (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_)) + (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oDataValid_reg)) + (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg)) + (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg)) + (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_)) + (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_)) + (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_)) + (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_)) + (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oDataValid_reg)) + (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg)) + (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref CLR (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref ReRunEnableDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + (portref CLR (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref CLR (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref CLR (instanceref ResetTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + (portref CLR (instanceref aTdcResetLcl_reg)) + (portref CLR (instanceref aTdcResetLcl_reg_rep)) + (portref CLR (instanceref aTdcResetLcl_reg_rep__0)) + (portref CLR (instanceref aTdcResetLcl_reg_rep__1)) + (portref CLR (instanceref aTdcResetLcl_reg_rep__2)) + (portref CLR (instanceref aTdcResetLcl_reg_rep__3)) + (portref CLR (instanceref bClearTdcRegs_reg)) + (portref CLR (instanceref bEnableTdc_reg)) + (portref CLR (instanceref bOffsetUpdated_reg)) + (portref CLR (instanceref bPpsClkCrossDelayVal_reg_0_)) + (portref CLR (instanceref bPpsClkCrossDelayVal_reg_1_)) + (portref CLR (instanceref bPpsClkCrossDelayVal_reg_2_)) + (portref CLR (instanceref bPpsClkCrossDelayVal_reg_3_)) + (portref CLR (instanceref bPpsClkCrossEn_reg)) + (portref CLR (instanceref bPulserEnableDelayVal_reg_1_)) + (portref CLR (instanceref bPulserEnableDelayVal_reg_2_)) + (portref CLR (instanceref bPulserEnableDelayVal_reg_3_)) + (portref CLR (instanceref bPushPpsDelayVal_reg)) + (portref CLR (instanceref bPushPulserEnableDelayVal_reg)) + (portref CLR (instanceref bReRunEnable_reg)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__0_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__10_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__11_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__12_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__13_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__14_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__15_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__16_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__17_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__18_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__19_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__1_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__20_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__21_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__22_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__23_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__24_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__25_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__26_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__27_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__28_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__29_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__2_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__30_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__31_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__3_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__4_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__5_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__6_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__7_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__8_)) + (portref CLR (instanceref bRegPortOutLcl_reg_Data__9_)) + (portref CLR (instanceref bRpOffsetStored_reg_0_)) + (portref CLR (instanceref bRpOffsetStored_reg_10_)) + (portref CLR (instanceref bRpOffsetStored_reg_11_)) + (portref CLR (instanceref bRpOffsetStored_reg_12_)) + (portref CLR (instanceref bRpOffsetStored_reg_13_)) + (portref CLR (instanceref bRpOffsetStored_reg_14_)) + (portref CLR (instanceref bRpOffsetStored_reg_15_)) + (portref CLR (instanceref bRpOffsetStored_reg_16_)) + (portref CLR (instanceref bRpOffsetStored_reg_17_)) + (portref CLR (instanceref bRpOffsetStored_reg_18_)) + (portref CLR (instanceref bRpOffsetStored_reg_19_)) + (portref CLR (instanceref bRpOffsetStored_reg_1_)) + (portref CLR (instanceref bRpOffsetStored_reg_20_)) + (portref CLR (instanceref bRpOffsetStored_reg_21_)) + (portref CLR (instanceref bRpOffsetStored_reg_22_)) + (portref CLR (instanceref bRpOffsetStored_reg_23_)) + (portref CLR (instanceref bRpOffsetStored_reg_24_)) + (portref CLR (instanceref bRpOffsetStored_reg_25_)) + (portref CLR (instanceref bRpOffsetStored_reg_26_)) + (portref CLR (instanceref bRpOffsetStored_reg_27_)) + (portref CLR (instanceref bRpOffsetStored_reg_28_)) + (portref CLR (instanceref bRpOffsetStored_reg_29_)) + (portref CLR (instanceref bRpOffsetStored_reg_2_)) + (portref CLR (instanceref bRpOffsetStored_reg_30_)) + (portref CLR (instanceref bRpOffsetStored_reg_31_)) + (portref CLR (instanceref bRpOffsetStored_reg_32_)) + (portref CLR (instanceref bRpOffsetStored_reg_33_)) + (portref CLR (instanceref bRpOffsetStored_reg_34_)) + (portref CLR (instanceref bRpOffsetStored_reg_35_)) + (portref CLR (instanceref bRpOffsetStored_reg_36_)) + (portref CLR (instanceref bRpOffsetStored_reg_37_)) + (portref CLR (instanceref bRpOffsetStored_reg_38_)) + (portref CLR (instanceref bRpOffsetStored_reg_39_)) + (portref CLR (instanceref bRpOffsetStored_reg_3_)) + (portref CLR (instanceref bRpOffsetStored_reg_4_)) + (portref CLR (instanceref bRpOffsetStored_reg_5_)) + (portref CLR (instanceref bRpOffsetStored_reg_6_)) + (portref CLR (instanceref bRpOffsetStored_reg_7_)) + (portref CLR (instanceref bRpOffsetStored_reg_8_)) + (portref CLR (instanceref bRpOffsetStored_reg_9_)) + (portref CLR (instanceref bScratch_reg_0_)) + (portref CLR (instanceref bScratch_reg_10_)) + (portref CLR (instanceref bScratch_reg_11_)) + (portref CLR (instanceref bScratch_reg_12_)) + (portref CLR (instanceref bScratch_reg_13_)) + (portref CLR (instanceref bScratch_reg_14_)) + (portref CLR (instanceref bScratch_reg_15_)) + (portref CLR (instanceref bScratch_reg_16_)) + (portref CLR (instanceref bScratch_reg_17_)) + (portref CLR (instanceref bScratch_reg_18_)) + (portref CLR (instanceref bScratch_reg_19_)) + (portref CLR (instanceref bScratch_reg_1_)) + (portref CLR (instanceref bScratch_reg_20_)) + (portref CLR (instanceref bScratch_reg_21_)) + (portref CLR (instanceref bScratch_reg_22_)) + (portref CLR (instanceref bScratch_reg_23_)) + (portref CLR (instanceref bScratch_reg_24_)) + (portref CLR (instanceref bScratch_reg_25_)) + (portref CLR (instanceref bScratch_reg_26_)) + (portref CLR (instanceref bScratch_reg_27_)) + (portref CLR (instanceref bScratch_reg_28_)) + (portref CLR (instanceref bScratch_reg_29_)) + (portref CLR (instanceref bScratch_reg_2_)) + (portref CLR (instanceref bScratch_reg_30_)) + (portref CLR (instanceref bScratch_reg_31_)) + (portref CLR (instanceref bScratch_reg_3_)) + (portref CLR (instanceref bScratch_reg_4_)) + (portref CLR (instanceref bScratch_reg_5_)) + (portref CLR (instanceref bScratch_reg_6_)) + (portref CLR (instanceref bScratch_reg_7_)) + (portref CLR (instanceref bScratch_reg_8_)) + (portref CLR (instanceref bScratch_reg_9_)) + (portref CLR (instanceref bSpOffsetStored_reg_0_)) + (portref CLR (instanceref bSpOffsetStored_reg_10_)) + (portref CLR (instanceref bSpOffsetStored_reg_11_)) + (portref CLR (instanceref bSpOffsetStored_reg_12_)) + (portref CLR (instanceref bSpOffsetStored_reg_13_)) + (portref CLR (instanceref bSpOffsetStored_reg_14_)) + (portref CLR (instanceref bSpOffsetStored_reg_15_)) + (portref CLR (instanceref bSpOffsetStored_reg_16_)) + (portref CLR (instanceref bSpOffsetStored_reg_17_)) + (portref CLR (instanceref bSpOffsetStored_reg_18_)) + (portref CLR (instanceref bSpOffsetStored_reg_19_)) + (portref CLR (instanceref bSpOffsetStored_reg_1_)) + (portref CLR (instanceref bSpOffsetStored_reg_20_)) + (portref CLR (instanceref bSpOffsetStored_reg_21_)) + (portref CLR (instanceref bSpOffsetStored_reg_22_)) + (portref CLR (instanceref bSpOffsetStored_reg_23_)) + (portref CLR (instanceref bSpOffsetStored_reg_24_)) + (portref CLR (instanceref bSpOffsetStored_reg_25_)) + (portref CLR (instanceref bSpOffsetStored_reg_26_)) + (portref CLR (instanceref bSpOffsetStored_reg_27_)) + (portref CLR (instanceref bSpOffsetStored_reg_28_)) + (portref CLR (instanceref bSpOffsetStored_reg_29_)) + (portref CLR (instanceref bSpOffsetStored_reg_2_)) + (portref CLR (instanceref bSpOffsetStored_reg_30_)) + (portref CLR (instanceref bSpOffsetStored_reg_31_)) + (portref CLR (instanceref bSpOffsetStored_reg_3_)) + (portref CLR (instanceref bSpOffsetStored_reg_4_)) + (portref CLR (instanceref bSpOffsetStored_reg_5_)) + (portref CLR (instanceref bSpOffsetStored_reg_6_)) + (portref CLR (instanceref bSpOffsetStored_reg_7_)) + (portref CLR (instanceref bSpOffsetStored_reg_8_)) + (portref CLR (instanceref bSpOffsetStored_reg_9_)) + (portref CLR (instanceref rPulserEnableDelayVal_reg_1_)) + (portref CLR (instanceref rPulserEnableDelayVal_reg_2_)) + (portref CLR (instanceref rPulserEnableDelayVal_reg_3_)) + (portref CLR (instanceref sPpsClkCrossDelayVal_reg_0_)) + (portref CLR (instanceref sPpsClkCrossDelayVal_reg_1_)) + (portref CLR (instanceref sPpsClkCrossDelayVal_reg_2_)) + (portref CLR (instanceref sPpsClkCrossDelayVal_reg_3_)) + (portref PRE (instanceref bPulserEnableDelayVal_reg_0_)) + (portref PRE (instanceref bResetTdc_reg)) + (portref PRE (instanceref rPulserEnableDelayVal_reg_0_)) + (portref aBusReset) + ) + ) + (net aTdcReset (joined + (portref CLR (instanceref RptCntHs_BlkIn_iDlyPush_reg)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_0_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_10_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_11_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_12_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_13_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_14_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_15_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_16_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_17_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_18_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_19_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_1_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_20_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_21_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_22_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_23_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_24_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_25_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_26_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_27_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_28_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_29_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_2_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_30_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_31_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_3_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_4_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_5_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_6_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_7_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_8_)) + (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_9_)) + (portref CLR (instanceref RptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_oDataValid_reg)) + (portref CLR (instanceref RptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref CLR (instanceref RptCntHs_BlkOut_oPushToggle2_reg)) + (portref CLR (instanceref RptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref I3 (instanceref aTdcResetLcl_i_1)) + (portref I3 (instanceref aTdcResetLcl_rep_i_1)) + (portref I3 (instanceref aTdcResetLcl_rep_i_1__0)) + (portref I3 (instanceref aTdcResetLcl_rep_i_1__1)) + (portref I3 (instanceref aTdcResetLcl_rep_i_1__2)) + (portref I3 (instanceref aTdcResetLcl_rep_i_1__3)) + (portref Q (instanceref aTdcResetLcl_reg)) + (portref aTdcReset) + ) + ) + (net aTdcResetLcl_i_1_n_0 (joined + (portref D (instanceref aTdcResetLcl_reg)) + (portref O (instanceref aTdcResetLcl_i_1)) + ) + ) + (net aTdcResetLcl_i_2_n_0 (joined + (portref I1 (instanceref aTdcResetLcl_i_1)) + (portref I1 (instanceref aTdcResetLcl_rep_i_1)) + (portref I1 (instanceref aTdcResetLcl_rep_i_1__0)) + (portref I1 (instanceref aTdcResetLcl_rep_i_1__1)) + (portref I1 (instanceref aTdcResetLcl_rep_i_1__2)) + (portref I1 (instanceref aTdcResetLcl_rep_i_1__3)) + (portref O (instanceref aTdcResetLcl_i_2)) + ) + ) + (net aTdcResetLcl_i_3_n_0 (joined + (portref I1 (instanceref bRegPortOutLcl_Data__27__i_7)) + (portref I1 (instanceref bRegPortOutLcl_Data__28__i_5)) + (portref I1 (instanceref bRegPortOutLcl_Data__28__i_7)) + (portref I1 (instanceref bRegPortOutLcl_Data__31__i_11)) + (portref I2 (instanceref aTdcResetLcl_i_1)) + (portref I2 (instanceref aTdcResetLcl_rep_i_1)) + (portref I2 (instanceref aTdcResetLcl_rep_i_1__0)) + (portref I2 (instanceref aTdcResetLcl_rep_i_1__1)) + (portref I2 (instanceref aTdcResetLcl_rep_i_1__2)) + (portref I2 (instanceref aTdcResetLcl_rep_i_1__3)) + (portref I2 (instanceref bRegPortOutLcl_Data__0__i_7)) + (portref I2 (instanceref bRegPortOutLcl_Data__25__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__31__i_6)) + (portref I2 (instanceref bRegPortOutLcl_Data__31__i_7)) + (portref I2 (instanceref bRegPortOutLcl_Data__31__i_8)) + (portref I2 (instanceref bRegPortOutLcl_Data__7__i_6)) + (portref I2 (instanceref bRegPortOutLcl_Data__8__i_6)) + (portref I5 (instanceref bPushPpsDelayVal_i_1)) + (portref I5 (instanceref bPushPulserEnableDelayVal_i_1)) + (portref O (instanceref aTdcResetLcl_i_3)) + ) + ) + (net aTdcResetLcl_i_4_n_0 (joined + (portref I1 (instanceref bRpOffsetStored_39__i_3)) + (portref I2 (instanceref aTdcResetLcl_i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__28__i_8)) + (portref I2 (instanceref bRegPortOutLcl_Data__30__i_6)) + (portref O (instanceref aTdcResetLcl_i_4)) + ) + ) + (net aTdcResetLcl_i_5_n_0 (joined + (portref I0 (instanceref bRpOffsetStored_39__i_3)) + (portref I1 (instanceref bRegPortOutLcl_Data__30__i_6)) + (portref I3 (instanceref aTdcResetLcl_i_3)) + (portref I3 (instanceref bRegPortOutLcl_Data__28__i_8)) + (portref O (instanceref aTdcResetLcl_i_5)) + ) + ) + (net aTdcResetLcl_reg_rep__0_n_0 (joined + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iDlyPush_reg)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_0_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_10_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_11_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_12_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_13_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_14_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_15_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_16_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_17_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_18_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_19_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_1_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_20_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_21_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_22_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_23_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_24_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_25_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_26_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_27_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_28_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_29_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_2_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_30_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_31_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_32_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_33_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_34_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_35_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_36_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_37_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_38_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_39_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_3_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_40_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_41_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_42_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_43_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_44_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_45_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_46_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_47_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_48_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_49_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_4_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_50_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_51_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_52_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_53_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_54_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_55_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_56_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_57_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_58_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_59_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_5_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_60_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_61_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_62_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_63_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_64_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_65_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_66_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_67_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_68_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_69_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_6_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_70_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_71_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_72_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_73_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_74_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_75_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_76_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_77_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_78_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_79_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_7_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_8_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_9_)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_31__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_32__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_33__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_34__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_35__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_36__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_37__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_38__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_39__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_40__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_41__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_42__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_43__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_44__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_45__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_46__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_47__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_48__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_49__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_50__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_51__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_52__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_53__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_54__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_55__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_56__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_57__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_58__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_59__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_60__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_61__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_62__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_63__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_64__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_65__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_66__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_67__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_68__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_69__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_70__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_71__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_72__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_73__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_74__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_75__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_76__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_77__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_78__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_79__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_oDataValid_reg)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle2_reg)) + (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref Q (instanceref aTdcResetLcl_reg_rep__0)) + ) + ) + (net aTdcResetLcl_reg_rep__1_n_0 (joined + (portref CLR (instanceref RpCntHs_BlkIn_iDlyPush_reg)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_0_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_10_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_11_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_12_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_13_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_14_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_15_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_16_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_17_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_18_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_19_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_1_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_20_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_21_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_22_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_23_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_24_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_25_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_26_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_27_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_28_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_29_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_2_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_30_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_31_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_3_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_4_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_5_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_6_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_7_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_8_)) + (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_9_)) + (portref CLR (instanceref RpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_oDataValid_reg)) + (portref CLR (instanceref RpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref CLR (instanceref RpCntHs_BlkOut_oPushToggle2_reg)) + (portref CLR (instanceref RpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref Q (instanceref aTdcResetLcl_reg_rep__1)) + ) + ) + (net aTdcResetLcl_reg_rep__2_n_0 (joined + (portref CLR (instanceref RePulse1CntHs_BlkIn_iDlyPush_reg)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_0_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_10_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_11_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_12_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_13_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_14_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_15_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_16_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_17_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_18_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_19_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_1_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_20_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_21_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_22_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_23_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_24_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_25_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_26_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_27_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_28_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_29_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_2_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_30_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_31_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_3_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_4_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_5_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_6_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_7_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_8_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_9_)) + (portref CLR (instanceref RePulse1CntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse1CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref CLR (instanceref RePulse1CntHs_BlkOut_oPushToggle2_reg)) + (portref CLR (instanceref RePulse1CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iDlyPush_reg)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_0_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_10_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_11_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_12_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_13_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_14_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_15_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_16_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_17_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_18_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_19_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_1_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_20_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_21_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_22_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_23_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_24_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_25_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_26_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_27_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_28_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_29_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_2_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_30_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_31_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_3_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_4_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_5_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_6_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_7_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_8_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_9_)) + (portref CLR (instanceref RePulse2CntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref RePulse2CntHs_BlkOut_oDataValid_reg)) + (portref CLR (instanceref RePulse2CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref CLR (instanceref RePulse2CntHs_BlkOut_oPushToggle2_reg)) + (portref CLR (instanceref RePulse2CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref Q (instanceref aTdcResetLcl_reg_rep__2)) + ) + ) + (net aTdcResetLcl_reg_rep__3_n_0 (joined + (portref CLR (instanceref SptCntHs_BlkIn_iDlyPush_reg)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_0_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_10_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_11_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_12_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_13_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_14_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_15_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_16_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_17_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_18_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_19_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_1_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_20_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_21_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_22_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_23_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_24_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_25_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_26_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_27_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_28_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_29_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_2_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_30_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_31_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_3_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_4_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_5_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_6_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_7_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_8_)) + (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_9_)) + (portref CLR (instanceref SptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_oDataValid_reg)) + (portref CLR (instanceref SptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref CLR (instanceref SptCntHs_BlkOut_oPushToggle2_reg)) + (portref CLR (instanceref SptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref Q (instanceref aTdcResetLcl_reg_rep__3)) + ) + ) + (net aTdcResetLcl_reg_rep_n_0 (joined + (portref CLR (instanceref SpCntHs_BlkIn_iDlyPush_reg)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_0_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_10_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_11_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_12_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_13_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_14_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_15_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_16_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_17_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_18_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_19_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_1_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_20_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_21_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_22_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_23_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_24_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_25_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_26_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_27_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_28_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_29_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_2_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_30_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_31_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_3_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_4_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_5_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_6_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_7_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_8_)) + (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_9_)) + (portref CLR (instanceref SpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_oDataValid_reg)) + (portref CLR (instanceref SpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx)) + (portref CLR (instanceref SpCntHs_BlkOut_oPushToggle2_reg)) + (portref CLR (instanceref SpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx)) + (portref Q (instanceref aTdcResetLcl_reg_rep)) + ) + ) + (net aTdcResetLcl_rep_i_1__0_n_0 (joined + (portref D (instanceref aTdcResetLcl_reg_rep__0)) + (portref O (instanceref aTdcResetLcl_rep_i_1__0)) + ) + ) + (net aTdcResetLcl_rep_i_1__1_n_0 (joined + (portref D (instanceref aTdcResetLcl_reg_rep__1)) + (portref O (instanceref aTdcResetLcl_rep_i_1__1)) + ) + ) + (net aTdcResetLcl_rep_i_1__2_n_0 (joined + (portref D (instanceref aTdcResetLcl_reg_rep__2)) + (portref O (instanceref aTdcResetLcl_rep_i_1__2)) + ) + ) + (net aTdcResetLcl_rep_i_1__3_n_0 (joined + (portref D (instanceref aTdcResetLcl_reg_rep__3)) + (portref O (instanceref aTdcResetLcl_rep_i_1__3)) + ) + ) + (net aTdcResetLcl_rep_i_1_n_0 (joined + (portref D (instanceref aTdcResetLcl_reg_rep)) + (portref O (instanceref aTdcResetLcl_rep_i_1)) + ) + ) + (net bBusReset (joined + (portref I0 (instanceref bOffsetUpdated_i_1)) + (portref I0 (instanceref bPulserEnableDelayVal_0__i_1)) + (portref I0 (instanceref bRegPortOutLcl_Data__30__i_6)) + (portref I1 (instanceref bEnableTdc_i_1)) + (portref I1 (instanceref bPpsClkCrossDelayVal_0__i_1)) + (portref I1 (instanceref bPpsClkCrossDelayVal_1__i_1)) + (portref I1 (instanceref bPpsClkCrossDelayVal_2__i_1)) + (portref I1 (instanceref bPpsClkCrossDelayVal_3__i_2)) + (portref I1 (instanceref bPpsClkCrossEn_i_1)) + (portref I1 (instanceref bPulserEnableDelayVal_1__i_1)) + (portref I1 (instanceref bPulserEnableDelayVal_2__i_1)) + (portref I1 (instanceref bPulserEnableDelayVal_3__i_2)) + (portref I1 (instanceref bReRunEnable_i_1)) + (portref I1 (instanceref bResetTdc_i_1)) + (portref I1 (instanceref bRpOffsetStored_0__i_1)) + (portref I1 (instanceref bRpOffsetStored_10__i_1)) + (portref I1 (instanceref bRpOffsetStored_11__i_1)) + (portref I1 (instanceref bRpOffsetStored_12__i_1)) + (portref I1 (instanceref bRpOffsetStored_13__i_1)) + (portref I1 (instanceref bRpOffsetStored_14__i_1)) + (portref I1 (instanceref bRpOffsetStored_15__i_1)) + (portref I1 (instanceref bRpOffsetStored_16__i_1)) + (portref I1 (instanceref bRpOffsetStored_17__i_1)) + (portref I1 (instanceref bRpOffsetStored_18__i_1)) + (portref I1 (instanceref bRpOffsetStored_19__i_1)) + (portref I1 (instanceref bRpOffsetStored_1__i_1)) + (portref I1 (instanceref bRpOffsetStored_20__i_1)) + (portref I1 (instanceref bRpOffsetStored_21__i_1)) + (portref I1 (instanceref bRpOffsetStored_22__i_1)) + (portref I1 (instanceref bRpOffsetStored_23__i_1)) + (portref I1 (instanceref bRpOffsetStored_24__i_1)) + (portref I1 (instanceref bRpOffsetStored_25__i_1)) + (portref I1 (instanceref bRpOffsetStored_26__i_1)) + (portref I1 (instanceref bRpOffsetStored_27__i_1)) + (portref I1 (instanceref bRpOffsetStored_28__i_1)) + (portref I1 (instanceref bRpOffsetStored_29__i_1)) + (portref I1 (instanceref bRpOffsetStored_2__i_1)) + (portref I1 (instanceref bRpOffsetStored_30__i_1)) + (portref I1 (instanceref bRpOffsetStored_31__i_1)) + (portref I1 (instanceref bRpOffsetStored_32__i_1)) + (portref I1 (instanceref bRpOffsetStored_33__i_1)) + (portref I1 (instanceref bRpOffsetStored_34__i_1)) + (portref I1 (instanceref bRpOffsetStored_35__i_1)) + (portref I1 (instanceref bRpOffsetStored_36__i_1)) + (portref I1 (instanceref bRpOffsetStored_37__i_1)) + (portref I1 (instanceref bRpOffsetStored_38__i_1)) + (portref I1 (instanceref bRpOffsetStored_39__i_2)) + (portref I1 (instanceref bRpOffsetStored_3__i_1)) + (portref I1 (instanceref bRpOffsetStored_4__i_1)) + (portref I1 (instanceref bRpOffsetStored_5__i_1)) + (portref I1 (instanceref bRpOffsetStored_6__i_1)) + (portref I1 (instanceref bRpOffsetStored_7__i_1)) + (portref I1 (instanceref bRpOffsetStored_8__i_1)) + (portref I1 (instanceref bRpOffsetStored_9__i_1)) + (portref I1 (instanceref bScratch_0__i_1)) + (portref I1 (instanceref bScratch_10__i_1)) + (portref I1 (instanceref bScratch_11__i_1)) + (portref I1 (instanceref bScratch_12__i_1)) + (portref I1 (instanceref bScratch_13__i_1)) + (portref I1 (instanceref bScratch_14__i_1)) + (portref I1 (instanceref bScratch_15__i_1)) + (portref I1 (instanceref bScratch_1__i_1)) + (portref I1 (instanceref bScratch_20__i_1)) + (portref I1 (instanceref bScratch_21__i_1)) + (portref I1 (instanceref bScratch_22__i_1)) + (portref I1 (instanceref bScratch_23__i_1)) + (portref I1 (instanceref bScratch_24__i_1)) + (portref I1 (instanceref bScratch_28__i_1)) + (portref I1 (instanceref bScratch_29__i_1)) + (portref I1 (instanceref bScratch_2__i_1)) + (portref I1 (instanceref bScratch_30__i_1)) + (portref I1 (instanceref bScratch_31__i_2)) + (portref I1 (instanceref bScratch_31__i_5)) + (portref I1 (instanceref bScratch_3__i_1)) + (portref I1 (instanceref bScratch_4__i_1)) + (portref I1 (instanceref bScratch_5__i_1)) + (portref I1 (instanceref bScratch_6__i_1)) + (portref I1 (instanceref bScratch_7__i_1)) + (portref I1 (instanceref bScratch_8__i_1)) + (portref I1 (instanceref bScratch_9__i_1)) + (portref I1 (instanceref bSpOffsetStored_0__i_1)) + (portref I1 (instanceref bSpOffsetStored_10__i_1)) + (portref I1 (instanceref bSpOffsetStored_11__i_1)) + (portref I1 (instanceref bSpOffsetStored_12__i_1)) + (portref I1 (instanceref bSpOffsetStored_13__i_1)) + (portref I1 (instanceref bSpOffsetStored_14__i_1)) + (portref I1 (instanceref bSpOffsetStored_15__i_1)) + (portref I1 (instanceref bSpOffsetStored_16__i_1)) + (portref I1 (instanceref bSpOffsetStored_17__i_1)) + (portref I1 (instanceref bSpOffsetStored_18__i_1)) + (portref I1 (instanceref bSpOffsetStored_19__i_1)) + (portref I1 (instanceref bSpOffsetStored_1__i_1)) + (portref I1 (instanceref bSpOffsetStored_20__i_1)) + (portref I1 (instanceref bSpOffsetStored_21__i_1)) + (portref I1 (instanceref bSpOffsetStored_22__i_1)) + (portref I1 (instanceref bSpOffsetStored_23__i_1)) + (portref I1 (instanceref bSpOffsetStored_24__i_1)) + (portref I1 (instanceref bSpOffsetStored_25__i_1)) + (portref I1 (instanceref bSpOffsetStored_26__i_1)) + (portref I1 (instanceref bSpOffsetStored_27__i_1)) + (portref I1 (instanceref bSpOffsetStored_28__i_1)) + (portref I1 (instanceref bSpOffsetStored_29__i_1)) + (portref I1 (instanceref bSpOffsetStored_2__i_1)) + (portref I1 (instanceref bSpOffsetStored_30__i_1)) + (portref I1 (instanceref bSpOffsetStored_31__i_1)) + (portref I1 (instanceref bSpOffsetStored_3__i_1)) + (portref I1 (instanceref bSpOffsetStored_4__i_1)) + (portref I1 (instanceref bSpOffsetStored_5__i_1)) + (portref I1 (instanceref bSpOffsetStored_6__i_1)) + (portref I1 (instanceref bSpOffsetStored_7__i_1)) + (portref I1 (instanceref bSpOffsetStored_8__i_1)) + (portref I1 (instanceref bSpOffsetStored_9__i_1)) + (portref I2 (instanceref bPpsClkCrossDelayVal_3__i_1)) + (portref I2 (instanceref bPulserEnableDelayVal_3__i_1)) + (portref I3 (instanceref bClearTdcRegs_i_1)) + (portref I4 (instanceref aTdcResetLcl_i_3)) + (portref I4 (instanceref bRegPortOutLcl_Data__28__i_8)) + (portref I4 (instanceref bRpOffsetStored_39__i_1)) + (portref bBusReset) + ) + ) + (net bClearTdcRegs (joined + (portref D (instanceref bScratch_reg_0_)) + (portref O (instanceref bScratch_0__i_1)) + ) + ) + (net bClearTdcRegs_i_1_n_0 (joined + (portref D (instanceref bClearTdcRegs_reg)) + (portref O (instanceref bClearTdcRegs_i_1)) + ) + ) + (net bClearTdcRegs_i_2_n_0 (joined + (portref I2 (instanceref bClearTdcRegs_i_1)) + (portref O (instanceref bClearTdcRegs_i_2)) + ) + ) + (net bClearTdcRegs_i_3_n_0 (joined + (portref I0 (instanceref bClearTdcRegs_i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__31__i_7)) + (portref I0 (instanceref bRegPortOutLcl_Data__31__i_9)) + (portref O (instanceref bClearTdcRegs_i_3)) + ) + ) + (net bClearTdcRegs_reg_n_0 (joined + (portref I0 (instanceref bEnableTdc_i_1)) + (portref I0 (instanceref bPpsClkCrossEn_i_1)) + (portref I0 (instanceref bReRunEnable_i_1)) + (portref I0 (instanceref bResetTdc_i_1)) + (portref I0 (instanceref bScratch_31__i_5)) + (portref I1 (instanceref bPulserEnableDelayVal_0__i_1)) + (portref I2 (instanceref aTdcResetLcl_i_2)) + (portref I2 (instanceref bPpsClkCrossDelayVal_0__i_1)) + (portref I2 (instanceref bPpsClkCrossDelayVal_1__i_1)) + (portref I2 (instanceref bPpsClkCrossDelayVal_2__i_1)) + (portref I2 (instanceref bPpsClkCrossDelayVal_3__i_2)) + (portref I2 (instanceref bPulserEnableDelayVal_1__i_1)) + (portref I2 (instanceref bPulserEnableDelayVal_2__i_1)) + (portref I2 (instanceref bPulserEnableDelayVal_3__i_2)) + (portref I2 (instanceref bScratch_0__i_1)) + (portref I2 (instanceref bScratch_10__i_1)) + (portref I2 (instanceref bScratch_11__i_1)) + (portref I2 (instanceref bScratch_12__i_1)) + (portref I2 (instanceref bScratch_13__i_1)) + (portref I2 (instanceref bScratch_14__i_1)) + (portref I2 (instanceref bScratch_15__i_1)) + (portref I2 (instanceref bScratch_1__i_1)) + (portref I2 (instanceref bScratch_20__i_1)) + (portref I2 (instanceref bScratch_21__i_1)) + (portref I2 (instanceref bScratch_22__i_1)) + (portref I2 (instanceref bScratch_23__i_1)) + (portref I2 (instanceref bScratch_24__i_1)) + (portref I2 (instanceref bScratch_28__i_1)) + (portref I2 (instanceref bScratch_29__i_1)) + (portref I2 (instanceref bScratch_2__i_1)) + (portref I2 (instanceref bScratch_30__i_1)) + (portref I2 (instanceref bScratch_31__i_2)) + (portref I2 (instanceref bScratch_3__i_1)) + (portref I2 (instanceref bScratch_4__i_1)) + (portref I2 (instanceref bScratch_5__i_1)) + (portref I2 (instanceref bScratch_6__i_1)) + (portref I2 (instanceref bScratch_7__i_1)) + (portref I2 (instanceref bScratch_8__i_1)) + (portref I2 (instanceref bScratch_9__i_1)) + (portref I3 (instanceref bPpsClkCrossDelayVal_3__i_1)) + (portref I3 (instanceref bPulserEnableDelayVal_3__i_1)) + (portref I3 (instanceref bPushPpsDelayVal_i_1)) + (portref I3 (instanceref bPushPulserEnableDelayVal_i_1)) + (portref I4 (instanceref bClearTdcRegs_i_1)) + (portref Q (instanceref bClearTdcRegs_reg)) + ) + ) + (net bEnableTdc (joined + (portref D (instanceref bScratch_reg_4_)) + (portref O (instanceref bScratch_4__i_1)) + ) + ) + (net bEnableTdc_i_1_n_0 (joined + (portref D (instanceref bEnableTdc_reg)) + (portref O (instanceref bEnableTdc_i_1)) + ) + ) + (net bEnableTdc_reg_n_0 (joined + (portref D (instanceref EnableTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + (portref I3 (instanceref bRegPortOutLcl_Data__5__i_2)) + (portref I5 (instanceref bEnableTdc_i_1)) + (portref I5 (instanceref bRegPortOutLcl_Data__4__i_5)) + (portref Q (instanceref bEnableTdc_reg)) + ) + ) + (net bOffsetUpdated_i_1_n_0 (joined + (portref D (instanceref bOffsetUpdated_reg)) + (portref O (instanceref bOffsetUpdated_i_1)) + ) + ) + (net bOffsetUpdated_reg_n_0 (joined + (portref I1 (instanceref bRegPortOutLcl_Data__8__i_2)) + (portref I4 (instanceref bOffsetUpdated_i_1)) + (portref Q (instanceref bOffsetUpdated_reg)) + ) + ) + (net bOffsetsValid (joined + (portref D (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_oDataValid_reg)) + ) + ) + (net bOffsetsValidSyncReset (joined + (portref I3 (instanceref bOffsetUpdated_i_1)) + (portref Q (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_0_ "bOffsets[0]") (joined + (portref D (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_10_ "bOffsets[10]") (joined + (portref D (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_11_ "bOffsets[11]") (joined + (portref D (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_12_ "bOffsets[12]") (joined + (portref D (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_13_ "bOffsets[13]") (joined + (portref D (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_14_ "bOffsets[14]") (joined + (portref D (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_15_ "bOffsets[15]") (joined + (portref D (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_16_ "bOffsets[16]") (joined + (portref D (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_17_ "bOffsets[17]") (joined + (portref D (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_18_ "bOffsets[18]") (joined + (portref D (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_19_ "bOffsets[19]") (joined + (portref D (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_1_ "bOffsets[1]") (joined + (portref D (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_20_ "bOffsets[20]") (joined + (portref D (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_21_ "bOffsets[21]") (joined + (portref D (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_22_ "bOffsets[22]") (joined + (portref D (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_23_ "bOffsets[23]") (joined + (portref D (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_24_ "bOffsets[24]") (joined + (portref D (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_25_ "bOffsets[25]") (joined + (portref D (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_26_ "bOffsets[26]") (joined + (portref D (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_27_ "bOffsets[27]") (joined + (portref D (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_28_ "bOffsets[28]") (joined + (portref D (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_29_ "bOffsets[29]") (joined + (portref D (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_2_ "bOffsets[2]") (joined + (portref D (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_30_ "bOffsets[30]") (joined + (portref D (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_31_ "bOffsets[31]") (joined + (portref D (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_31__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_32_ "bOffsets[32]") (joined + (portref D (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_32__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_33_ "bOffsets[33]") (joined + (portref D (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_33__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_34_ "bOffsets[34]") (joined + (portref D (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_34__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_35_ "bOffsets[35]") (joined + (portref D (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_35__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_36_ "bOffsets[36]") (joined + (portref D (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_36__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_37_ "bOffsets[37]") (joined + (portref D (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_37__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_38_ "bOffsets[38]") (joined + (portref D (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_38__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_39_ "bOffsets[39]") (joined + (portref D (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_39__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_3_ "bOffsets[3]") (joined + (portref D (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_40_ "bOffsets[40]") (joined + (portref D (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_40__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_41_ "bOffsets[41]") (joined + (portref D (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_41__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_42_ "bOffsets[42]") (joined + (portref D (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_42__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_43_ "bOffsets[43]") (joined + (portref D (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_43__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_44_ "bOffsets[44]") (joined + (portref D (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_44__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_45_ "bOffsets[45]") (joined + (portref D (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_45__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_46_ "bOffsets[46]") (joined + (portref D (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_46__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_47_ "bOffsets[47]") (joined + (portref D (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_47__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_48_ "bOffsets[48]") (joined + (portref D (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_48__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_49_ "bOffsets[49]") (joined + (portref D (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_49__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_4_ "bOffsets[4]") (joined + (portref D (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_50_ "bOffsets[50]") (joined + (portref D (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_50__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_51_ "bOffsets[51]") (joined + (portref D (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_51__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_52_ "bOffsets[52]") (joined + (portref D (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_52__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_53_ "bOffsets[53]") (joined + (portref D (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_53__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_54_ "bOffsets[54]") (joined + (portref D (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_54__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_55_ "bOffsets[55]") (joined + (portref D (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_55__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_56_ "bOffsets[56]") (joined + (portref D (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_56__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_57_ "bOffsets[57]") (joined + (portref D (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_57__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_58_ "bOffsets[58]") (joined + (portref D (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_58__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_59_ "bOffsets[59]") (joined + (portref D (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_59__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_5_ "bOffsets[5]") (joined + (portref D (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_60_ "bOffsets[60]") (joined + (portref D (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_60__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_61_ "bOffsets[61]") (joined + (portref D (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_61__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_62_ "bOffsets[62]") (joined + (portref D (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_62__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_63_ "bOffsets[63]") (joined + (portref D (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_63__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_64_ "bOffsets[64]") (joined + (portref D (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_64__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_65_ "bOffsets[65]") (joined + (portref D (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_65__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_66_ "bOffsets[66]") (joined + (portref D (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_66__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_67_ "bOffsets[67]") (joined + (portref D (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_67__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_68_ "bOffsets[68]") (joined + (portref D (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_68__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_69_ "bOffsets[69]") (joined + (portref D (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_69__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_6_ "bOffsets[6]") (joined + (portref D (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_70_ "bOffsets[70]") (joined + (portref D (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_70__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_71_ "bOffsets[71]") (joined + (portref D (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_71__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_72_ "bOffsets[72]") (joined + (portref D (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_72__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_73_ "bOffsets[73]") (joined + (portref D (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_73__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_74_ "bOffsets[74]") (joined + (portref D (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_74__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_75_ "bOffsets[75]") (joined + (portref D (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_75__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_76_ "bOffsets[76]") (joined + (portref D (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_76__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_77_ "bOffsets[77]") (joined + (portref D (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_77__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_78_ "bOffsets[78]") (joined + (portref D (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_78__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_79_ "bOffsets[79]") (joined + (portref D (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_79__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_7_ "bOffsets[7]") (joined + (portref D (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_8_ "bOffsets[8]") (joined + (portref D (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bOffsets_9_ "bOffsets[9]") (joined + (portref D (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename bPpsClkCrossDelayVal_1__i_1_n_0 "bPpsClkCrossDelayVal[1]_i_1_n_0") (joined + (portref D (instanceref bPpsClkCrossDelayVal_reg_1_)) + (portref D (instanceref bScratch_reg_17_)) + (portref O (instanceref bPpsClkCrossDelayVal_1__i_1)) + ) + ) + (net (rename bPpsClkCrossDelayVal_2__i_1_n_0 "bPpsClkCrossDelayVal[2]_i_1_n_0") (joined + (portref D (instanceref bPpsClkCrossDelayVal_reg_2_)) + (portref D (instanceref bScratch_reg_18_)) + (portref O (instanceref bPpsClkCrossDelayVal_2__i_1)) + ) + ) + (net (rename bPpsClkCrossDelayVal_3__i_1_n_0 "bPpsClkCrossDelayVal[3]_i_1_n_0") (joined + (portref CE (instanceref bPpsClkCrossDelayVal_reg_0_)) + (portref CE (instanceref bPpsClkCrossDelayVal_reg_1_)) + (portref CE (instanceref bPpsClkCrossDelayVal_reg_2_)) + (portref CE (instanceref bPpsClkCrossDelayVal_reg_3_)) + (portref O (instanceref bPpsClkCrossDelayVal_3__i_1)) + ) + ) + (net (rename bPpsClkCrossDelayVal_3__i_3_n_0 "bPpsClkCrossDelayVal[3]_i_3_n_0") (joined + (portref I1 (instanceref bPpsClkCrossDelayVal_3__i_1)) + (portref I1 (instanceref bPulserEnableDelayVal_3__i_1)) + (portref I4 (instanceref bEnableTdc_i_1)) + (portref I4 (instanceref bPpsClkCrossEn_i_1)) + (portref I4 (instanceref bReRunEnable_i_1)) + (portref I4 (instanceref bResetTdc_i_1)) + (portref O (instanceref bPpsClkCrossDelayVal_3__i_3)) + ) + ) + (net (rename bPpsClkCrossDelayVal_reg_n_0__0_ "bPpsClkCrossDelayVal_reg_n_0_[0]") (joined + (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_)) + (portref I2 (instanceref bRegPortOutLcl_Data__16__i_1)) + (portref Q (instanceref bPpsClkCrossDelayVal_reg_0_)) + ) + ) + (net (rename bPpsClkCrossDelayVal_reg_n_0__1_ "bPpsClkCrossDelayVal_reg_n_0_[1]") (joined + (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_)) + (portref I1 (instanceref bRegPortOutLcl_Data__17__i_3)) + (portref Q (instanceref bPpsClkCrossDelayVal_reg_1_)) + ) + ) + (net (rename bPpsClkCrossDelayVal_reg_n_0__2_ "bPpsClkCrossDelayVal_reg_n_0_[2]") (joined + (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_)) + (portref I1 (instanceref bRegPortOutLcl_Data__18__i_3)) + (portref Q (instanceref bPpsClkCrossDelayVal_reg_2_)) + ) + ) + (net (rename bPpsClkCrossDelayVal_reg_n_0__3_ "bPpsClkCrossDelayVal_reg_n_0_[3]") (joined + (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_)) + (portref I1 (instanceref bRegPortOutLcl_Data__19__i_1)) + (portref Q (instanceref bPpsClkCrossDelayVal_reg_3_)) + ) + ) + (net bPpsClkCrossEn (joined + (portref D (instanceref bScratch_reg_12_)) + (portref O (instanceref bScratch_12__i_1)) + ) + ) + (net bPpsClkCrossEn_i_1_n_0 (joined + (portref D (instanceref bPpsClkCrossEn_reg)) + (portref O (instanceref bPpsClkCrossEn_i_1)) + ) + ) + (net bPpsClkCrossEn_reg_n_0 (joined + (portref D (instanceref PpsCrossEnDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + (portref I1 (instanceref bRegPortOutLcl_Data__12__i_3)) + (portref I1 (instanceref bRegPortOutLcl_Data__13__i_1)) + (portref I5 (instanceref bPpsClkCrossEn_i_1)) + (portref Q (instanceref bPpsClkCrossEn_reg)) + ) + ) + (net bPpsPulseCaptured (joined + (portref I0 (instanceref bRegPortOutLcl_Data__4__i_6)) + (portref Q (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bPulserEnableDelayVal_0__i_1_n_0 "bPulserEnableDelayVal[0]_i_1_n_0") (joined + (portref D (instanceref bPulserEnableDelayVal_reg_0_)) + (portref O (instanceref bPulserEnableDelayVal_0__i_1)) + ) + ) + (net (rename bPulserEnableDelayVal_2__i_1_n_0 "bPulserEnableDelayVal[2]_i_1_n_0") (joined + (portref D (instanceref bPulserEnableDelayVal_reg_2_)) + (portref D (instanceref bScratch_reg_26_)) + (portref O (instanceref bPulserEnableDelayVal_2__i_1)) + ) + ) + (net (rename bPulserEnableDelayVal_3__i_1_n_0 "bPulserEnableDelayVal[3]_i_1_n_0") (joined + (portref CE (instanceref bPulserEnableDelayVal_reg_0_)) + (portref CE (instanceref bPulserEnableDelayVal_reg_1_)) + (portref CE (instanceref bPulserEnableDelayVal_reg_2_)) + (portref CE (instanceref bPulserEnableDelayVal_reg_3_)) + (portref O (instanceref bPulserEnableDelayVal_3__i_1)) + ) + ) + (net (rename bPulserEnableDelayVal_3__i_2_n_0 "bPulserEnableDelayVal[3]_i_2_n_0") (joined + (portref D (instanceref bPulserEnableDelayVal_reg_3_)) + (portref D (instanceref bScratch_reg_27_)) + (portref O (instanceref bPulserEnableDelayVal_3__i_2)) + ) + ) + (net (rename bPulserEnableDelayVal_reg_n_0__0_ "bPulserEnableDelayVal_reg_n_0_[0]") (joined + (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_)) + (portref I1 (instanceref bRegPortOutLcl_Data__24__i_1)) + (portref Q (instanceref bPulserEnableDelayVal_reg_0_)) + ) + ) + (net (rename bPulserEnableDelayVal_reg_n_0__1_ "bPulserEnableDelayVal_reg_n_0_[1]") (joined + (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_)) + (portref I1 (instanceref bRegPortOutLcl_Data__25__i_1)) + (portref Q (instanceref bPulserEnableDelayVal_reg_1_)) + ) + ) + (net (rename bPulserEnableDelayVal_reg_n_0__2_ "bPulserEnableDelayVal_reg_n_0_[2]") (joined + (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_)) + (portref I1 (instanceref bRegPortOutLcl_Data__26__i_4)) + (portref Q (instanceref bPulserEnableDelayVal_reg_2_)) + ) + ) + (net (rename bPulserEnableDelayVal_reg_n_0__3_ "bPulserEnableDelayVal_reg_n_0_[3]") (joined + (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_)) + (portref I1 (instanceref bRegPortOutLcl_Data__27__i_5)) + (portref Q (instanceref bPulserEnableDelayVal_reg_3_)) + ) + ) + (net bPushPpsDelayVal (joined + (portref D (instanceref bPushPpsDelayVal_reg)) + (portref O (instanceref bPushPpsDelayVal_i_1)) + ) + ) + (net bPushPpsDelayVal_i_2_n_0 (joined + (portref I0 (instanceref bPushPpsDelayVal_i_1)) + (portref I0 (instanceref bPushPulserEnableDelayVal_i_1)) + (portref I0 (instanceref bRegPortOutLcl_Data__31__i_6)) + (portref I0 (instanceref bRegPortOutLcl_Data__7__i_6)) + (portref I5 (instanceref aTdcResetLcl_i_2)) + (portref O (instanceref bPushPpsDelayVal_i_2)) + ) + ) + (net bPushPpsDelayVal_i_3_n_0 (joined + (portref I1 (instanceref bPushPpsDelayVal_i_1)) + (portref I1 (instanceref bPushPulserEnableDelayVal_i_1)) + (portref O (instanceref bPushPpsDelayVal_i_3)) + ) + ) + (net bPushPpsDelayVal_i_4_n_0 (joined + (portref I0 (instanceref bRegPortOutLcl_Data__30__i_4)) + (portref I4 (instanceref bPushPpsDelayVal_i_1)) + (portref I4 (instanceref bPushPulserEnableDelayVal_i_1)) + (portref I5 (instanceref bRegPortOutLcl_Data__25__i_3)) + (portref I5 (instanceref bRegPortOutLcl_Data__27__i_7)) + (portref I5 (instanceref bRegPortOutLcl_Data__31__i_11)) + (portref O (instanceref bPushPpsDelayVal_i_4)) + ) + ) + (net bPushPpsDelayVal_reg_n_0 (joined + (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg)) + (portref I0 (instanceref Gen0_FDCEx_i_1__9)) + (portref Q (instanceref bPushPpsDelayVal_reg)) + ) + ) + (net bPushPulserEnableDelayVal (joined + (portref D (instanceref bPushPulserEnableDelayVal_reg)) + (portref O (instanceref bPushPulserEnableDelayVal_i_1)) + ) + ) + (net bPushPulserEnableDelayVal_reg_n_0 (joined + (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg)) + (portref I0 (instanceref Gen0_FDCEx_i_1__10)) + (portref Q (instanceref bPushPulserEnableDelayVal_reg)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_0_ "bRePulsePeriod1CtrlReadbackSyncReset[0]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__0__i_3)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_10_ "bRePulsePeriod1CtrlReadbackSyncReset[10]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__10__i_4)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_11_ "bRePulsePeriod1CtrlReadbackSyncReset[11]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__11__i_4)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_12_ "bRePulsePeriod1CtrlReadbackSyncReset[12]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__12__i_2)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_13_ "bRePulsePeriod1CtrlReadbackSyncReset[13]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__13__i_2)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_14_ "bRePulsePeriod1CtrlReadbackSyncReset[14]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__14__i_4)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_15_ "bRePulsePeriod1CtrlReadbackSyncReset[15]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__15__i_4)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_16_ "bRePulsePeriod1CtrlReadbackSyncReset[16]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__16__i_2)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_17_ "bRePulsePeriod1CtrlReadbackSyncReset[17]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__17__i_2)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_18_ "bRePulsePeriod1CtrlReadbackSyncReset[18]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__18__i_2)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_19_ "bRePulsePeriod1CtrlReadbackSyncReset[19]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__19__i_2)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_1_ "bRePulsePeriod1CtrlReadbackSyncReset[1]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__1__i_5)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_20_ "bRePulsePeriod1CtrlReadbackSyncReset[20]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__20__i_4)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_21_ "bRePulsePeriod1CtrlReadbackSyncReset[21]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__21__i_4)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_22_ "bRePulsePeriod1CtrlReadbackSyncReset[22]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__22__i_4)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_23_ "bRePulsePeriod1CtrlReadbackSyncReset[23]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__23__i_4)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_24_ "bRePulsePeriod1CtrlReadbackSyncReset[24]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__24__i_2)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_25_ "bRePulsePeriod1CtrlReadbackSyncReset[25]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__25__i_2)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_26_ "bRePulsePeriod1CtrlReadbackSyncReset[26]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__26__i_2)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_27_ "bRePulsePeriod1CtrlReadbackSyncReset[27]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__27__i_2)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_28_ "bRePulsePeriod1CtrlReadbackSyncReset[28]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__28__i_6)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_29_ "bRePulsePeriod1CtrlReadbackSyncReset[29]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__29__i_4)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_2_ "bRePulsePeriod1CtrlReadbackSyncReset[2]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__2__i_3)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_30_ "bRePulsePeriod1CtrlReadbackSyncReset[30]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__30__i_5)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_31_ "bRePulsePeriod1CtrlReadbackSyncReset[31]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__31__i_4)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_3_ "bRePulsePeriod1CtrlReadbackSyncReset[3]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__3__i_5)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_4_ "bRePulsePeriod1CtrlReadbackSyncReset[4]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__4__i_3)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_5_ "bRePulsePeriod1CtrlReadbackSyncReset[5]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__5__i_5)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_6_ "bRePulsePeriod1CtrlReadbackSyncReset[6]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__6__i_3)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_7_ "bRePulsePeriod1CtrlReadbackSyncReset[7]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__7__i_5)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_8_ "bRePulsePeriod1CtrlReadbackSyncReset[8]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__8__i_5)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadbackSyncReset_9_ "bRePulsePeriod1CtrlReadbackSyncReset[9]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__9__i_2)) + (portref Q (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_0_ "bRePulsePeriod1CtrlReadback[0]") (joined + (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_0_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_10_ "bRePulsePeriod1CtrlReadback[10]") (joined + (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_10_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_11_ "bRePulsePeriod1CtrlReadback[11]") (joined + (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_11_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_12_ "bRePulsePeriod1CtrlReadback[12]") (joined + (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_12_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_13_ "bRePulsePeriod1CtrlReadback[13]") (joined + (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_13_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_14_ "bRePulsePeriod1CtrlReadback[14]") (joined + (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_14_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_15_ "bRePulsePeriod1CtrlReadback[15]") (joined + (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_15_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_16_ "bRePulsePeriod1CtrlReadback[16]") (joined + (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_16_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_17_ "bRePulsePeriod1CtrlReadback[17]") (joined + (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_17_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_18_ "bRePulsePeriod1CtrlReadback[18]") (joined + (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_18_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_19_ "bRePulsePeriod1CtrlReadback[19]") (joined + (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_19_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_1_ "bRePulsePeriod1CtrlReadback[1]") (joined + (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_1_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_20_ "bRePulsePeriod1CtrlReadback[20]") (joined + (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_20_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_21_ "bRePulsePeriod1CtrlReadback[21]") (joined + (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_21_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_22_ "bRePulsePeriod1CtrlReadback[22]") (joined + (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_22_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_23_ "bRePulsePeriod1CtrlReadback[23]") (joined + (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_23_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_24_ "bRePulsePeriod1CtrlReadback[24]") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_24_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_25_ "bRePulsePeriod1CtrlReadback[25]") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_25_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_26_ "bRePulsePeriod1CtrlReadback[26]") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_26_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_27_ "bRePulsePeriod1CtrlReadback[27]") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_27_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_28_ "bRePulsePeriod1CtrlReadback[28]") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_28_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_29_ "bRePulsePeriod1CtrlReadback[29]") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_29_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_2_ "bRePulsePeriod1CtrlReadback[2]") (joined + (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_2_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_30_ "bRePulsePeriod1CtrlReadback[30]") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_30_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_31_ "bRePulsePeriod1CtrlReadback[31]") (joined + (portref D (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_31_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_3_ "bRePulsePeriod1CtrlReadback[3]") (joined + (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_3_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_4_ "bRePulsePeriod1CtrlReadback[4]") (joined + (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_4_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_5_ "bRePulsePeriod1CtrlReadback[5]") (joined + (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_5_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_6_ "bRePulsePeriod1CtrlReadback[6]") (joined + (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_6_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_7_ "bRePulsePeriod1CtrlReadback[7]") (joined + (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_7_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_8_ "bRePulsePeriod1CtrlReadback[8]") (joined + (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_8_)) + ) + ) + (net (rename bRePulsePeriod1CtrlReadback_9_ "bRePulsePeriod1CtrlReadback[9]") (joined + (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_9_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_0_ "bRePulsePeriod2CtrlReadbackSyncReset[0]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__0__i_3)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_10_ "bRePulsePeriod2CtrlReadbackSyncReset[10]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__10__i_1)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_11_ "bRePulsePeriod2CtrlReadbackSyncReset[11]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__11__i_4)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_12_ "bRePulsePeriod2CtrlReadbackSyncReset[12]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__12__i_2)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_13_ "bRePulsePeriod2CtrlReadbackSyncReset[13]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__13__i_2)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_14_ "bRePulsePeriod2CtrlReadbackSyncReset[14]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__14__i_4)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_15_ "bRePulsePeriod2CtrlReadbackSyncReset[15]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__15__i_4)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_16_ "bRePulsePeriod2CtrlReadbackSyncReset[16]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__16__i_3)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_17_ "bRePulsePeriod2CtrlReadbackSyncReset[17]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__17__i_2)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_18_ "bRePulsePeriod2CtrlReadbackSyncReset[18]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__18__i_2)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_19_ "bRePulsePeriod2CtrlReadbackSyncReset[19]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__19__i_2)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_1_ "bRePulsePeriod2CtrlReadbackSyncReset[1]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__1__i_2)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_20_ "bRePulsePeriod2CtrlReadbackSyncReset[20]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__20__i_4)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_21_ "bRePulsePeriod2CtrlReadbackSyncReset[21]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__21__i_4)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_22_ "bRePulsePeriod2CtrlReadbackSyncReset[22]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__22__i_4)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_23_ "bRePulsePeriod2CtrlReadbackSyncReset[23]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__23__i_4)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_24_ "bRePulsePeriod2CtrlReadbackSyncReset[24]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__24__i_2)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_25_ "bRePulsePeriod2CtrlReadbackSyncReset[25]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__25__i_2)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_26_ "bRePulsePeriod2CtrlReadbackSyncReset[26]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__26__i_2)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_27_ "bRePulsePeriod2CtrlReadbackSyncReset[27]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__27__i_2)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_28_ "bRePulsePeriod2CtrlReadbackSyncReset[28]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__28__i_1)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_29_ "bRePulsePeriod2CtrlReadbackSyncReset[29]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__29__i_4)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_2_ "bRePulsePeriod2CtrlReadbackSyncReset[2]") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__2__i_4)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_30_ "bRePulsePeriod2CtrlReadbackSyncReset[30]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__30__i_5)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_31_ "bRePulsePeriod2CtrlReadbackSyncReset[31]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__31__i_4)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_3_ "bRePulsePeriod2CtrlReadbackSyncReset[3]") (joined + (portref I2 (instanceref bRegPortOutLcl_Data__3__i_4)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_4_ "bRePulsePeriod2CtrlReadbackSyncReset[4]") (joined + (portref I2 (instanceref bRegPortOutLcl_Data__4__i_7)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_5_ "bRePulsePeriod2CtrlReadbackSyncReset[5]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__5__i_2)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_6_ "bRePulsePeriod2CtrlReadbackSyncReset[6]") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__6__i_4)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_7_ "bRePulsePeriod2CtrlReadbackSyncReset[7]") (joined + (portref I2 (instanceref bRegPortOutLcl_Data__7__i_4)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_8_ "bRePulsePeriod2CtrlReadbackSyncReset[8]") (joined + (portref I2 (instanceref bRegPortOutLcl_Data__8__i_4)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadbackSyncReset_9_ "bRePulsePeriod2CtrlReadbackSyncReset[9]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__9__i_3)) + (portref Q (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_0_ "bRePulsePeriod2CtrlReadback[0]") (joined + (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_0_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_10_ "bRePulsePeriod2CtrlReadback[10]") (joined + (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_10_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_11_ "bRePulsePeriod2CtrlReadback[11]") (joined + (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_11_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_12_ "bRePulsePeriod2CtrlReadback[12]") (joined + (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_12_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_13_ "bRePulsePeriod2CtrlReadback[13]") (joined + (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_13_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_14_ "bRePulsePeriod2CtrlReadback[14]") (joined + (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_14_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_15_ "bRePulsePeriod2CtrlReadback[15]") (joined + (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_15_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_16_ "bRePulsePeriod2CtrlReadback[16]") (joined + (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_16_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_17_ "bRePulsePeriod2CtrlReadback[17]") (joined + (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_17_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_18_ "bRePulsePeriod2CtrlReadback[18]") (joined + (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_18_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_19_ "bRePulsePeriod2CtrlReadback[19]") (joined + (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_19_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_1_ "bRePulsePeriod2CtrlReadback[1]") (joined + (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_1_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_20_ "bRePulsePeriod2CtrlReadback[20]") (joined + (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_20_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_21_ "bRePulsePeriod2CtrlReadback[21]") (joined + (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_21_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_22_ "bRePulsePeriod2CtrlReadback[22]") (joined + (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_22_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_23_ "bRePulsePeriod2CtrlReadback[23]") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_23_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_24_ "bRePulsePeriod2CtrlReadback[24]") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_24_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_25_ "bRePulsePeriod2CtrlReadback[25]") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_25_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_26_ "bRePulsePeriod2CtrlReadback[26]") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_26_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_27_ "bRePulsePeriod2CtrlReadback[27]") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_27_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_28_ "bRePulsePeriod2CtrlReadback[28]") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_28_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_29_ "bRePulsePeriod2CtrlReadback[29]") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_29_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_2_ "bRePulsePeriod2CtrlReadback[2]") (joined + (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_2_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_30_ "bRePulsePeriod2CtrlReadback[30]") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_30_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_31_ "bRePulsePeriod2CtrlReadback[31]") (joined + (portref D (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_31_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_3_ "bRePulsePeriod2CtrlReadback[3]") (joined + (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_3_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_4_ "bRePulsePeriod2CtrlReadback[4]") (joined + (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_4_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_5_ "bRePulsePeriod2CtrlReadback[5]") (joined + (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_5_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_6_ "bRePulsePeriod2CtrlReadback[6]") (joined + (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_6_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_7_ "bRePulsePeriod2CtrlReadback[7]") (joined + (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_7_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_8_ "bRePulsePeriod2CtrlReadback[8]") (joined + (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_8_)) + ) + ) + (net (rename bRePulsePeriod2CtrlReadback_9_ "bRePulsePeriod2CtrlReadback[9]") (joined + (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_9_)) + ) + ) + (net bReRunEnable (joined + (portref D (instanceref bScratch_reg_8_)) + (portref O (instanceref bScratch_8__i_1)) + ) + ) + (net bReRunEnable_i_1_n_0 (joined + (portref D (instanceref bReRunEnable_reg)) + (portref O (instanceref bReRunEnable_i_1)) + ) + ) + (net bReRunEnable_reg_n_0 (joined + (portref D (instanceref ReRunEnableDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + (portref I1 (instanceref bRegPortOutLcl_Data__8__i_3)) + (portref I3 (instanceref bRegPortOutLcl_Data__9__i_3)) + (portref I5 (instanceref bReRunEnable_i_1)) + (portref Q (instanceref bReRunEnable_reg)) + ) + ) + (net (rename bRegPortInFlat_0_ "bRegPortInFlat[0]") (joined + (portref I0 (instanceref bPushPpsDelayVal_i_3)) + (portref I1 (instanceref bClearTdcRegs_i_2)) + (portref I1 (instanceref bScratch_31__i_3)) + (portref I4 (instanceref aTdcResetLcl_i_2)) + (portref (member bRegPortInFlat 49)) + ) + ) + (net (rename bRegPortInFlat_10_ "bRegPortInFlat[10]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_8_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_8_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_8_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_8_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_8_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_8_)) + (portref I0 (instanceref bScratch_8__i_1)) + (portref I3 (instanceref bReRunEnable_i_1)) + (portref (member bRegPortInFlat 39)) + ) + ) + (net (rename bRegPortInFlat_11_ "bRegPortInFlat[11]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_9_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_9_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_9_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_9_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_9_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_9_)) + (portref I0 (instanceref bScratch_9__i_1)) + (portref I2 (instanceref bReRunEnable_i_1)) + (portref (member bRegPortInFlat 38)) + ) + ) + (net (rename bRegPortInFlat_12_ "bRegPortInFlat[12]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_10_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_10_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_10_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_10_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_10_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_10_)) + (portref I0 (instanceref bScratch_10__i_1)) + (portref (member bRegPortInFlat 37)) + ) + ) + (net (rename bRegPortInFlat_13_ "bRegPortInFlat[13]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_11_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_11_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_11_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_11_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_11_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_11_)) + (portref I0 (instanceref bScratch_11__i_1)) + (portref (member bRegPortInFlat 36)) + ) + ) + (net (rename bRegPortInFlat_14_ "bRegPortInFlat[14]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_12_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_12_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_12_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_12_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_12_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_12_)) + (portref I0 (instanceref bScratch_12__i_1)) + (portref I3 (instanceref bPpsClkCrossEn_i_1)) + (portref (member bRegPortInFlat 35)) + ) + ) + (net (rename bRegPortInFlat_15_ "bRegPortInFlat[15]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_13_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_13_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_13_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_13_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_13_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_13_)) + (portref I0 (instanceref bScratch_13__i_1)) + (portref I2 (instanceref bPpsClkCrossEn_i_1)) + (portref (member bRegPortInFlat 34)) + ) + ) + (net (rename bRegPortInFlat_16_ "bRegPortInFlat[16]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_14_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_14_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_14_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_14_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_14_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_14_)) + (portref I0 (instanceref bScratch_14__i_1)) + (portref (member bRegPortInFlat 33)) + ) + ) + (net (rename bRegPortInFlat_17_ "bRegPortInFlat[17]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_15_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_15_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_15_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_15_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_15_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_15_)) + (portref I0 (instanceref bScratch_15__i_1)) + (portref (member bRegPortInFlat 32)) + ) + ) + (net (rename bRegPortInFlat_18_ "bRegPortInFlat[18]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_16_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_16_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_16_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_16_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_16_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_16_)) + (portref I0 (instanceref bPpsClkCrossDelayVal_0__i_1)) + (portref (member bRegPortInFlat 31)) + ) + ) + (net (rename bRegPortInFlat_19_ "bRegPortInFlat[19]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_17_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_17_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_17_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_17_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_17_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_17_)) + (portref I0 (instanceref bPpsClkCrossDelayVal_1__i_1)) + (portref (member bRegPortInFlat 30)) + ) + ) + (net (rename bRegPortInFlat_1_ "bRegPortInFlat[1]") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__28__i_5)) + (portref I0 (instanceref bRegPortOutLcl_Data__28__i_8)) + (portref I0 (instanceref bRegPortOutLcl_Data__31__i_11)) + (portref I1 (instanceref bRegPortOutLcl_Data__31__i_6)) + (portref I1 (instanceref bRegPortOutLcl_Data__7__i_6)) + (portref I2 (instanceref bRegPortOutLcl_Data__27__i_7)) + (portref I2 (instanceref bRegPortOutLcl_Data__28__i_7)) + (portref I2 (instanceref bRpOffsetStored_39__i_1)) + (portref I3 (instanceref bRegPortOutLcl_Data__0__i_7)) + (portref I3 (instanceref bRegPortOutLcl_Data__25__i_3)) + (portref I3 (instanceref bRegPortOutLcl_Data__31__i_7)) + (portref I3 (instanceref bRegPortOutLcl_Data__31__i_8)) + (portref I3 (instanceref bRegPortOutLcl_Data__8__i_6)) + (portref I5 (instanceref bRegPortOutLcl_Data__30__i_6)) + (portref (member bRegPortInFlat 48)) + ) + ) + (net (rename bRegPortInFlat_20_ "bRegPortInFlat[20]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_18_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_18_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_18_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_18_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_18_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_18_)) + (portref I0 (instanceref bPpsClkCrossDelayVal_2__i_1)) + (portref (member bRegPortInFlat 29)) + ) + ) + (net (rename bRegPortInFlat_21_ "bRegPortInFlat[21]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_19_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_19_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_19_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_19_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_19_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_19_)) + (portref I0 (instanceref bPpsClkCrossDelayVal_3__i_2)) + (portref (member bRegPortInFlat 28)) + ) + ) + (net (rename bRegPortInFlat_22_ "bRegPortInFlat[22]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_20_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_20_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_20_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_20_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_20_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_20_)) + (portref I0 (instanceref bPpsClkCrossDelayVal_3__i_1)) + (portref I0 (instanceref bScratch_20__i_1)) + (portref I2 (instanceref bPushPpsDelayVal_i_1)) + (portref (member bRegPortInFlat 27)) + ) + ) + (net (rename bRegPortInFlat_23_ "bRegPortInFlat[23]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_21_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_21_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_21_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_21_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_21_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_21_)) + (portref I0 (instanceref bScratch_21__i_1)) + (portref (member bRegPortInFlat 26)) + ) + ) + (net (rename bRegPortInFlat_24_ "bRegPortInFlat[24]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_22_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_22_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_22_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_22_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_22_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_22_)) + (portref I0 (instanceref bScratch_22__i_1)) + (portref (member bRegPortInFlat 25)) + ) + ) + (net (rename bRegPortInFlat_25_ "bRegPortInFlat[25]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_23_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_23_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_23_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_23_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_23_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_23_)) + (portref I0 (instanceref bScratch_23__i_1)) + (portref (member bRegPortInFlat 24)) + ) + ) + (net (rename bRegPortInFlat_26_ "bRegPortInFlat[26]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_24_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_24_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_24_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_24_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_24_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_24_)) + (portref I0 (instanceref bScratch_24__i_1)) + (portref I2 (instanceref bPulserEnableDelayVal_0__i_1)) + (portref (member bRegPortInFlat 23)) + ) + ) + (net (rename bRegPortInFlat_27_ "bRegPortInFlat[27]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_25_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_25_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_25_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_25_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_25_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_25_)) + (portref I0 (instanceref bPulserEnableDelayVal_1__i_1)) + (portref (member bRegPortInFlat 22)) + ) + ) + (net (rename bRegPortInFlat_28_ "bRegPortInFlat[28]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_26_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_26_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_26_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_26_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_26_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_26_)) + (portref I0 (instanceref bPulserEnableDelayVal_2__i_1)) + (portref (member bRegPortInFlat 21)) + ) + ) + (net (rename bRegPortInFlat_29_ "bRegPortInFlat[29]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_27_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_27_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_27_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_27_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_27_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_27_)) + (portref I0 (instanceref bPulserEnableDelayVal_3__i_2)) + (portref (member bRegPortInFlat 20)) + ) + ) + (net (rename bRegPortInFlat_2_ "bRegPortInFlat[2]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_0_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_0_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_0_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_0_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_0_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_0_)) + (portref I0 (instanceref bClearTdcRegs_i_1)) + (portref I0 (instanceref bScratch_0__i_1)) + (portref I3 (instanceref bResetTdc_i_1)) + (portref (member bRegPortInFlat 47)) + ) + ) + (net (rename bRegPortInFlat_30_ "bRegPortInFlat[30]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_28_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_28_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_28_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_28_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_28_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_28_)) + (portref I0 (instanceref bPulserEnableDelayVal_3__i_1)) + (portref I0 (instanceref bScratch_28__i_1)) + (portref I2 (instanceref bPushPulserEnableDelayVal_i_1)) + (portref (member bRegPortInFlat 19)) + ) + ) + (net (rename bRegPortInFlat_31_ "bRegPortInFlat[31]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_29_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_29_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_29_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_29_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_29_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_29_)) + (portref I0 (instanceref bScratch_29__i_1)) + (portref (member bRegPortInFlat 18)) + ) + ) + (net (rename bRegPortInFlat_32_ "bRegPortInFlat[32]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_30_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_30_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_30_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_30_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_30_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_30_)) + (portref I0 (instanceref bScratch_30__i_1)) + (portref (member bRegPortInFlat 17)) + ) + ) + (net (rename bRegPortInFlat_33_ "bRegPortInFlat[33]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_31_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_31_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_31_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_31_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_31_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_31_)) + (portref I0 (instanceref bScratch_31__i_2)) + (portref (member bRegPortInFlat 16)) + ) + ) + (net (rename bRegPortInFlat_34_ "bRegPortInFlat[34]") (joined + (portref I0 (instanceref aTdcResetLcl_i_3)) + (portref I0 (instanceref bRegPortOutLcl_Data__28__i_10)) + (portref I3 (instanceref bRpOffsetStored_39__i_3)) + (portref I4 (instanceref bRegPortOutLcl_Data__30__i_6)) + (portref (member bRegPortInFlat 15)) + ) + ) + (net (rename bRegPortInFlat_35_ "bRegPortInFlat[35]") (joined + (portref I1 (instanceref aTdcResetLcl_i_3)) + (portref I1 (instanceref bRegPortOutLcl_Data__28__i_10)) + (portref I2 (instanceref bRpOffsetStored_39__i_3)) + (portref I3 (instanceref bRegPortOutLcl_Data__30__i_6)) + (portref (member bRegPortInFlat 14)) + ) + ) + (net (rename bRegPortInFlat_36_ "bRegPortInFlat[36]") (joined + (portref I0 (instanceref bPushPpsDelayVal_i_4)) + (portref I0 (instanceref bRegPortOutLcl_Data__27__i_4)) + (portref I0 (instanceref bRegPortOutLcl_Data__31__i_12)) + (portref I0 (instanceref bRegPortOutLcl_Data__4__i_5)) + (portref I0 (instanceref bScratch_31__i_4)) + (portref I1 (instanceref BlkIn_iDlyPush_i_1__0)) + (portref I1 (instanceref BlkIn_iDlyPush_i_1__4)) + (portref I1 (instanceref Gen0_FDCEx_i_1)) + (portref I1 (instanceref Gen0_FDCEx_i_1__1)) + (portref I1 (instanceref Gen0_FDCEx_i_1__2)) + (portref I1 (instanceref Gen0_FDCEx_i_1__3)) + (portref I1 (instanceref aTdcResetLcl_i_2)) + (portref I1 (instanceref bClearTdcRegs_i_3)) + (portref I2 (instanceref BlkIn_iDlyPush_i_1)) + (portref I2 (instanceref BlkIn_iDlyPush_i_1__1)) + (portref I2 (instanceref BlkIn_iDlyPush_i_1__2)) + (portref I2 (instanceref BlkIn_iDlyPush_i_1__3)) + (portref I2 (instanceref Gen0_FDCEx_i_1__0)) + (portref I2 (instanceref Gen0_FDCEx_i_1__4)) + (portref I4 (instanceref bRegPortOutLcl_Data__28__i_4)) + (portref I4 (instanceref bRpOffsetStored_39__i_4)) + (portref I5 (instanceref bPpsClkCrossDelayVal_3__i_3)) + (portref I5 (instanceref bRegPortOutLcl_Data__0__i_5)) + (portref I5 (instanceref bRegPortOutLcl_Data__31__i_6)) + (portref I5 (instanceref bRegPortOutLcl_Data__4__i_6)) + (portref I5 (instanceref bRegPortOutLcl_Data__7__i_6)) + (portref (member bRegPortInFlat 13)) + ) + ) + (net (rename bRegPortInFlat_37_ "bRegPortInFlat[37]") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__0__i_7)) + (portref I0 (instanceref bRegPortOutLcl_Data__25__i_3)) + (portref I0 (instanceref bRegPortOutLcl_Data__28__i_4)) + (portref I0 (instanceref bRegPortOutLcl_Data__28__i_9)) + (portref I1 (instanceref Gen0_FDCEx_i_3)) + (portref I1 (instanceref bPpsClkCrossDelayVal_3__i_3)) + (portref I1 (instanceref bPushPpsDelayVal_i_2)) + (portref I1 (instanceref bRegPortOutLcl_Data__16__i_3)) + (portref I1 (instanceref bRegPortOutLcl_Data__16__i_4)) + (portref I1 (instanceref bRpOffsetStored_39__i_4)) + (portref I2 (instanceref Gen0_FDCEx_i_3__0)) + (portref I2 (instanceref Gen0_FDCEx_i_3__1)) + (portref I2 (instanceref bRegPortOutLcl_Data__27__i_4)) + (portref I3 (instanceref bRegPortOutLcl_Data__4__i_5)) + (portref I3 (instanceref bRegPortOutLcl_Data__4__i_6)) + (portref I4 (instanceref bClearTdcRegs_i_2)) + (portref I4 (instanceref bRegPortOutLcl_Data__26__i_3)) + (portref I4 (instanceref bRegPortOutLcl_Data__27__i_3)) + (portref I4 (instanceref bRegPortOutLcl_Data__30__i_4)) + (portref I4 (instanceref bRegPortOutLcl_Data__31__i_5)) + (portref I4 (instanceref bScratch_31__i_1)) + (portref I5 (instanceref bRegPortOutLcl_Data__31__i_10)) + (portref I5 (instanceref bRegPortOutLcl_Data__31__i_9)) + (portref (member bRegPortInFlat 12)) + ) + ) + (net (rename bRegPortInFlat_38_ "bRegPortInFlat[38]") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__27__i_7)) + (portref I0 (instanceref bRegPortOutLcl_Data__28__i_7)) + (portref I0 (instanceref bScratch_31__i_3)) + (portref I1 (instanceref bPushPpsDelayVal_i_3)) + (portref I1 (instanceref bRegPortOutLcl_Data__0__i_7)) + (portref I1 (instanceref bRegPortOutLcl_Data__25__i_3)) + (portref I1 (instanceref bRegPortOutLcl_Data__31__i_7)) + (portref I1 (instanceref bRegPortOutLcl_Data__31__i_8)) + (portref I1 (instanceref bRegPortOutLcl_Data__8__i_6)) + (portref I1 (instanceref bRpOffsetStored_39__i_1)) + (portref I2 (instanceref bClearTdcRegs_i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__28__i_5)) + (portref I2 (instanceref bRegPortOutLcl_Data__31__i_11)) + (portref I3 (instanceref aTdcResetLcl_i_2)) + (portref I3 (instanceref bRegPortOutLcl_Data__26__i_3)) + (portref I3 (instanceref bRegPortOutLcl_Data__27__i_3)) + (portref I3 (instanceref bRegPortOutLcl_Data__30__i_4)) + (portref I3 (instanceref bRegPortOutLcl_Data__31__i_5)) + (portref I3 (instanceref bRegPortOutLcl_Data__31__i_6)) + (portref I3 (instanceref bRegPortOutLcl_Data__7__i_6)) + (portref I4 (instanceref bRegPortOutLcl_Data__31__i_10)) + (portref I4 (instanceref bRegPortOutLcl_Data__31__i_9)) + (portref I5 (instanceref bRegPortOutLcl_Data__28__i_8)) + (portref (member bRegPortInFlat 11)) + ) + ) + (net (rename bRegPortInFlat_39_ "bRegPortInFlat[39]") (joined + (portref I0 (instanceref aTdcResetLcl_i_2)) + (portref I0 (instanceref bClearTdcRegs_i_3)) + (portref I1 (instanceref BlkIn_iDlyPush_i_1)) + (portref I1 (instanceref BlkIn_iDlyPush_i_1__1)) + (portref I1 (instanceref BlkIn_iDlyPush_i_1__2)) + (portref I1 (instanceref BlkIn_iDlyPush_i_1__3)) + (portref I1 (instanceref Gen0_FDCEx_i_1__0)) + (portref I1 (instanceref Gen0_FDCEx_i_1__4)) + (portref I1 (instanceref bPushPpsDelayVal_i_4)) + (portref I1 (instanceref bRegPortOutLcl_Data__27__i_4)) + (portref I1 (instanceref bRegPortOutLcl_Data__31__i_12)) + (portref I1 (instanceref bRegPortOutLcl_Data__4__i_5)) + (portref I1 (instanceref bScratch_31__i_4)) + (portref I2 (instanceref BlkIn_iDlyPush_i_1__0)) + (portref I2 (instanceref BlkIn_iDlyPush_i_1__4)) + (portref I2 (instanceref Gen0_FDCEx_i_1)) + (portref I2 (instanceref Gen0_FDCEx_i_1__1)) + (portref I2 (instanceref Gen0_FDCEx_i_1__2)) + (portref I2 (instanceref Gen0_FDCEx_i_1__3)) + (portref I3 (instanceref bRegPortOutLcl_Data__10__i_3)) + (portref I3 (instanceref bRegPortOutLcl_Data__16__i_3)) + (portref I3 (instanceref bRegPortOutLcl_Data__28__i_3)) + (portref I3 (instanceref bRegPortOutLcl_Data__28__i_4)) + (portref I3 (instanceref bRegPortOutLcl_Data__9__i_4)) + (portref I3 (instanceref bRpOffsetStored_39__i_4)) + (portref I4 (instanceref bPpsClkCrossDelayVal_3__i_3)) + (portref I4 (instanceref bRegPortOutLcl_Data__0__i_5)) + (portref I4 (instanceref bRegPortOutLcl_Data__31__i_6)) + (portref I4 (instanceref bRegPortOutLcl_Data__4__i_6)) + (portref I4 (instanceref bRegPortOutLcl_Data__7__i_6)) + (portref I5 (instanceref bRegPortOutLcl_Data__27__i_3)) + (portref (member bRegPortInFlat 10)) + ) + ) + (net (rename bRegPortInFlat_3_ "bRegPortInFlat[3]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_1_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_1_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_1_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_1_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_1_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_1_)) + (portref I0 (instanceref bScratch_1__i_1)) + (portref I2 (instanceref bResetTdc_i_1)) + (portref (member bRegPortInFlat 46)) + ) + ) + (net (rename bRegPortInFlat_40_ "bRegPortInFlat[40]") (joined + (portref I1 (instanceref Gen0_FDCEx_i_3__0)) + (portref I1 (instanceref Gen0_FDCEx_i_3__1)) + (portref I1 (instanceref bRegPortOutLcl_Data__26__i_3)) + (portref I1 (instanceref bRegPortOutLcl_Data__27__i_3)) + (portref I1 (instanceref bRegPortOutLcl_Data__30__i_4)) + (portref I1 (instanceref bRegPortOutLcl_Data__31__i_5)) + (portref I2 (instanceref Gen0_FDCEx_i_3)) + (portref I2 (instanceref bPpsClkCrossDelayVal_3__i_3)) + (portref I2 (instanceref bPushPpsDelayVal_i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__28__i_4)) + (portref I2 (instanceref bRegPortOutLcl_Data__31__i_10)) + (portref I2 (instanceref bRegPortOutLcl_Data__31__i_9)) + (portref I2 (instanceref bRpOffsetStored_39__i_4)) + (portref I3 (instanceref bClearTdcRegs_i_2)) + (portref I3 (instanceref bRegPortOutLcl_Data__27__i_7)) + (portref I3 (instanceref bRegPortOutLcl_Data__28__i_7)) + (portref I3 (instanceref bScratch_31__i_1)) + (portref I4 (instanceref bRegPortOutLcl_Data__0__i_7)) + (portref I4 (instanceref bRegPortOutLcl_Data__25__i_3)) + (portref I4 (instanceref bRegPortOutLcl_Data__27__i_4)) + (portref I4 (instanceref bRegPortOutLcl_Data__28__i_5)) + (portref I4 (instanceref bRegPortOutLcl_Data__31__i_11)) + (portref I4 (instanceref bRegPortOutLcl_Data__31__i_7)) + (portref I4 (instanceref bRegPortOutLcl_Data__31__i_8)) + (portref (member bRegPortInFlat 9)) + ) + ) + (net (rename bRegPortInFlat_41_ "bRegPortInFlat[41]") (joined + (portref I3 (instanceref aTdcResetLcl_i_4)) + (portref (member bRegPortInFlat 8)) + ) + ) + (net (rename bRegPortInFlat_42_ "bRegPortInFlat[42]") (joined + (portref I0 (instanceref Gen0_FDCEx_i_3)) + (portref I0 (instanceref bPpsClkCrossDelayVal_3__i_3)) + (portref I0 (instanceref bPushPpsDelayVal_i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__26__i_3)) + (portref I0 (instanceref bRegPortOutLcl_Data__27__i_3)) + (portref I0 (instanceref bRegPortOutLcl_Data__31__i_5)) + (portref I0 (instanceref bRpOffsetStored_39__i_4)) + (portref I1 (instanceref bRegPortOutLcl_Data__28__i_9)) + (portref I1 (instanceref bRegPortOutLcl_Data__31__i_10)) + (portref I1 (instanceref bRegPortOutLcl_Data__31__i_9)) + (portref I1 (instanceref bRegPortOutLcl_Data__4__i_6)) + (portref I1 (instanceref bScratch_31__i_1)) + (portref I2 (instanceref bRegPortOutLcl_Data__13__i_1)) + (portref I2 (instanceref bRegPortOutLcl_Data__16__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__19__i_1)) + (portref I2 (instanceref bRegPortOutLcl_Data__24__i_1)) + (portref I2 (instanceref bRegPortOutLcl_Data__25__i_1)) + (portref I2 (instanceref bRegPortOutLcl_Data__4__i_5)) + (portref I3 (instanceref Gen0_FDCEx_i_3__0)) + (portref I3 (instanceref Gen0_FDCEx_i_3__1)) + (portref I3 (instanceref bRegPortOutLcl_Data__16__i_4)) + (portref I4 (instanceref bRegPortOutLcl_Data__10__i_3)) + (portref I4 (instanceref bRegPortOutLcl_Data__28__i_3)) + (portref I4 (instanceref bRegPortOutLcl_Data__9__i_4)) + (portref I5 (instanceref bClearTdcRegs_i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__0__i_7)) + (portref I5 (instanceref bRegPortOutLcl_Data__27__i_4)) + (portref I5 (instanceref bRegPortOutLcl_Data__28__i_4)) + (portref I5 (instanceref bRegPortOutLcl_Data__30__i_4)) + (portref (member bRegPortInFlat 7)) + ) + ) + (net (rename bRegPortInFlat_43_ "bRegPortInFlat[43]") (joined + (portref I2 (instanceref aTdcResetLcl_i_4)) + (portref (member bRegPortInFlat 6)) + ) + ) + (net (rename bRegPortInFlat_44_ "bRegPortInFlat[44]") (joined + (portref I1 (instanceref aTdcResetLcl_i_4)) + (portref (member bRegPortInFlat 5)) + ) + ) + (net (rename bRegPortInFlat_45_ "bRegPortInFlat[45]") (joined + (portref I0 (instanceref aTdcResetLcl_i_4)) + (portref (member bRegPortInFlat 4)) + ) + ) + (net (rename bRegPortInFlat_46_ "bRegPortInFlat[46]") (joined + (portref I3 (instanceref aTdcResetLcl_i_5)) + (portref (member bRegPortInFlat 3)) + ) + ) + (net (rename bRegPortInFlat_47_ "bRegPortInFlat[47]") (joined + (portref I2 (instanceref aTdcResetLcl_i_5)) + (portref (member bRegPortInFlat 2)) + ) + ) + (net (rename bRegPortInFlat_48_ "bRegPortInFlat[48]") (joined + (portref I1 (instanceref aTdcResetLcl_i_5)) + (portref (member bRegPortInFlat 1)) + ) + ) + (net (rename bRegPortInFlat_49_ "bRegPortInFlat[49]") (joined + (portref I0 (instanceref aTdcResetLcl_i_5)) + (portref (member bRegPortInFlat 0)) + ) + ) + (net (rename bRegPortInFlat_4_ "bRegPortInFlat[4]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_2_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_2_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_2_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_2_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_2_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_2_)) + (portref I0 (instanceref bScratch_2__i_1)) + (portref (member bRegPortInFlat 45)) + ) + ) + (net (rename bRegPortInFlat_5_ "bRegPortInFlat[5]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_3_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_3_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_3_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_3_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_3_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_3_)) + (portref I0 (instanceref bScratch_3__i_1)) + (portref (member bRegPortInFlat 44)) + ) + ) + (net (rename bRegPortInFlat_6_ "bRegPortInFlat[6]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_4_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_4_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_4_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_4_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_4_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_4_)) + (portref I0 (instanceref aTdcResetLcl_i_1)) + (portref I0 (instanceref aTdcResetLcl_rep_i_1)) + (portref I0 (instanceref aTdcResetLcl_rep_i_1__0)) + (portref I0 (instanceref aTdcResetLcl_rep_i_1__1)) + (portref I0 (instanceref aTdcResetLcl_rep_i_1__2)) + (portref I0 (instanceref aTdcResetLcl_rep_i_1__3)) + (portref I0 (instanceref bScratch_4__i_1)) + (portref I3 (instanceref bEnableTdc_i_1)) + (portref (member bRegPortInFlat 43)) + ) + ) + (net (rename bRegPortInFlat_7_ "bRegPortInFlat[7]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_5_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_5_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_5_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_5_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_5_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_5_)) + (portref I0 (instanceref bScratch_5__i_1)) + (portref I2 (instanceref bEnableTdc_i_1)) + (portref (member bRegPortInFlat 42)) + ) + ) + (net (rename bRegPortInFlat_8_ "bRegPortInFlat[8]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_6_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_6_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_6_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_6_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_6_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_6_)) + (portref I0 (instanceref bScratch_6__i_1)) + (portref (member bRegPortInFlat 41)) + ) + ) + (net (rename bRegPortInFlat_9_ "bRegPortInFlat[9]") (joined + (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_7_)) + (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_7_)) + (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_7_)) + (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_7_)) + (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_7_)) + (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_7_)) + (portref I0 (instanceref bScratch_7__i_1)) + (portref (member bRegPortInFlat 40)) + ) + ) + (net (rename bRegPortOutFlat_10_ "bRegPortOutFlat[10]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__8_)) + (portref (member bRegPortOutFlat 23)) + ) + ) + (net (rename bRegPortOutFlat_11_ "bRegPortOutFlat[11]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__9_)) + (portref (member bRegPortOutFlat 22)) + ) + ) + (net (rename bRegPortOutFlat_12_ "bRegPortOutFlat[12]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__10_)) + (portref (member bRegPortOutFlat 21)) + ) + ) + (net (rename bRegPortOutFlat_13_ "bRegPortOutFlat[13]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__11_)) + (portref (member bRegPortOutFlat 20)) + ) + ) + (net (rename bRegPortOutFlat_14_ "bRegPortOutFlat[14]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__12_)) + (portref (member bRegPortOutFlat 19)) + ) + ) + (net (rename bRegPortOutFlat_15_ "bRegPortOutFlat[15]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__13_)) + (portref (member bRegPortOutFlat 18)) + ) + ) + (net (rename bRegPortOutFlat_16_ "bRegPortOutFlat[16]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__14_)) + (portref (member bRegPortOutFlat 17)) + ) + ) + (net (rename bRegPortOutFlat_17_ "bRegPortOutFlat[17]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__15_)) + (portref (member bRegPortOutFlat 16)) + ) + ) + (net (rename bRegPortOutFlat_18_ "bRegPortOutFlat[18]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__16_)) + (portref (member bRegPortOutFlat 15)) + ) + ) + (net (rename bRegPortOutFlat_19_ "bRegPortOutFlat[19]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__17_)) + (portref (member bRegPortOutFlat 14)) + ) + ) + (net (rename bRegPortOutFlat_20_ "bRegPortOutFlat[20]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__18_)) + (portref (member bRegPortOutFlat 13)) + ) + ) + (net (rename bRegPortOutFlat_21_ "bRegPortOutFlat[21]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__19_)) + (portref (member bRegPortOutFlat 12)) + ) + ) + (net (rename bRegPortOutFlat_22_ "bRegPortOutFlat[22]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__20_)) + (portref (member bRegPortOutFlat 11)) + ) + ) + (net (rename bRegPortOutFlat_23_ "bRegPortOutFlat[23]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__21_)) + (portref (member bRegPortOutFlat 10)) + ) + ) + (net (rename bRegPortOutFlat_24_ "bRegPortOutFlat[24]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__22_)) + (portref (member bRegPortOutFlat 9)) + ) + ) + (net (rename bRegPortOutFlat_25_ "bRegPortOutFlat[25]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__23_)) + (portref (member bRegPortOutFlat 8)) + ) + ) + (net (rename bRegPortOutFlat_26_ "bRegPortOutFlat[26]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__24_)) + (portref (member bRegPortOutFlat 7)) + ) + ) + (net (rename bRegPortOutFlat_27_ "bRegPortOutFlat[27]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__25_)) + (portref (member bRegPortOutFlat 6)) + ) + ) + (net (rename bRegPortOutFlat_28_ "bRegPortOutFlat[28]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__26_)) + (portref (member bRegPortOutFlat 5)) + ) + ) + (net (rename bRegPortOutFlat_29_ "bRegPortOutFlat[29]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__27_)) + (portref (member bRegPortOutFlat 4)) + ) + ) + (net (rename bRegPortOutFlat_2_ "bRegPortOutFlat[2]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__0_)) + (portref (member bRegPortOutFlat 31)) + ) + ) + (net (rename bRegPortOutFlat_30_ "bRegPortOutFlat[30]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__28_)) + (portref (member bRegPortOutFlat 3)) + ) + ) + (net (rename bRegPortOutFlat_31_ "bRegPortOutFlat[31]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__29_)) + (portref (member bRegPortOutFlat 2)) + ) + ) + (net (rename bRegPortOutFlat_32_ "bRegPortOutFlat[32]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__30_)) + (portref (member bRegPortOutFlat 1)) + ) + ) + (net (rename bRegPortOutFlat_33_ "bRegPortOutFlat[33]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__31_)) + (portref (member bRegPortOutFlat 0)) + ) + ) + (net (rename bRegPortOutFlat_3_ "bRegPortOutFlat[3]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__1_)) + (portref (member bRegPortOutFlat 30)) + ) + ) + (net (rename bRegPortOutFlat_4_ "bRegPortOutFlat[4]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__2_)) + (portref (member bRegPortOutFlat 29)) + ) + ) + (net (rename bRegPortOutFlat_5_ "bRegPortOutFlat[5]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__3_)) + (portref (member bRegPortOutFlat 28)) + ) + ) + (net (rename bRegPortOutFlat_6_ "bRegPortOutFlat[6]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__4_)) + (portref (member bRegPortOutFlat 27)) + ) + ) + (net (rename bRegPortOutFlat_7_ "bRegPortOutFlat[7]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__5_)) + (portref (member bRegPortOutFlat 26)) + ) + ) + (net (rename bRegPortOutFlat_8_ "bRegPortOutFlat[8]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__6_)) + (portref (member bRegPortOutFlat 25)) + ) + ) + (net (rename bRegPortOutFlat_9_ "bRegPortOutFlat[9]") (joined + (portref Q (instanceref bRegPortOutLcl_reg_Data__7_)) + (portref (member bRegPortOutFlat 24)) + ) + ) + (net (rename bRegPortOutLcl_Data__0__i_1_n_0 "bRegPortOutLcl[Data][0]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__0_)) + (portref O (instanceref bRegPortOutLcl_Data__0__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__0__i_2_n_0 "bRegPortOutLcl[Data][0]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__0__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__0__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__0__i_3_n_0 "bRegPortOutLcl[Data][0]_i_3_n_0") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__0__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__0__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__0__i_4_n_0 "bRegPortOutLcl[Data][0]_i_4_n_0") (joined + (portref I2 (instanceref bRegPortOutLcl_Data__0__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__0__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__0__i_5_n_0 "bRegPortOutLcl[Data][0]_i_5_n_0") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__0__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__0__i_5)) + ) + ) + (net (rename bRegPortOutLcl_Data__0__i_6_n_0 "bRegPortOutLcl[Data][0]_i_6_n_0") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__0__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__0__i_6)) + ) + ) + (net (rename bRegPortOutLcl_Data__0__i_7_n_0 "bRegPortOutLcl[Data][0]_i_7_n_0") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__0__i_5)) + (portref O (instanceref bRegPortOutLcl_Data__0__i_7)) + ) + ) + (net (rename bRegPortOutLcl_Data__10__i_1_n_0 "bRegPortOutLcl[Data][10]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__10_)) + (portref O (instanceref bRegPortOutLcl_Data__10__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__10__i_2_n_0 "bRegPortOutLcl[Data][10]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__10__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__10__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__10__i_3_n_0 "bRegPortOutLcl[Data][10]_i_3_n_0") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__10__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__10__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__10__i_4_n_0 "bRegPortOutLcl[Data][10]_i_4_n_0") (joined + (portref I5 (instanceref bRegPortOutLcl_Data__10__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__10__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__11__i_1_n_0 "bRegPortOutLcl[Data][11]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__11_)) + (portref O (instanceref bRegPortOutLcl_Data__11__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__11__i_2_n_0 "bRegPortOutLcl[Data][11]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__11__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__11__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__11__i_3_n_0 "bRegPortOutLcl[Data][11]_i_3_n_0") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__11__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__11__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__11__i_4_n_0 "bRegPortOutLcl[Data][11]_i_4_n_0") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__11__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__11__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__12__i_1_n_0 "bRegPortOutLcl[Data][12]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__12_)) + (portref O (instanceref bRegPortOutLcl_Data__12__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__12__i_2_n_0 "bRegPortOutLcl[Data][12]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__12__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__12__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__12__i_3_n_0 "bRegPortOutLcl[Data][12]_i_3_n_0") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__12__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__12__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__12__i_4_n_0 "bRegPortOutLcl[Data][12]_i_4_n_0") (joined + (portref I5 (instanceref bRegPortOutLcl_Data__12__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__12__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__13__i_1_n_0 "bRegPortOutLcl[Data][13]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__13_)) + (portref O (instanceref bRegPortOutLcl_Data__13__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__13__i_2_n_0 "bRegPortOutLcl[Data][13]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__13__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__13__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__13__i_3_n_0 "bRegPortOutLcl[Data][13]_i_3_n_0") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__13__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__13__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__13__i_4_n_0 "bRegPortOutLcl[Data][13]_i_4_n_0") (joined + (portref I5 (instanceref bRegPortOutLcl_Data__13__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__13__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__14__i_1_n_0 "bRegPortOutLcl[Data][14]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__14_)) + (portref O (instanceref bRegPortOutLcl_Data__14__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__14__i_2_n_0 "bRegPortOutLcl[Data][14]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__14__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__14__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__14__i_3_n_0 "bRegPortOutLcl[Data][14]_i_3_n_0") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__14__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__14__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__14__i_4_n_0 "bRegPortOutLcl[Data][14]_i_4_n_0") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__14__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__14__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__15__i_1_n_0 "bRegPortOutLcl[Data][15]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__15_)) + (portref O (instanceref bRegPortOutLcl_Data__15__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__15__i_2_n_0 "bRegPortOutLcl[Data][15]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__15__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__15__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__15__i_3_n_0 "bRegPortOutLcl[Data][15]_i_3_n_0") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__15__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__15__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__15__i_4_n_0 "bRegPortOutLcl[Data][15]_i_4_n_0") (joined + (portref I2 (instanceref bRegPortOutLcl_Data__15__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__15__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__16__i_1_n_0 "bRegPortOutLcl[Data][16]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__16_)) + (portref O (instanceref bRegPortOutLcl_Data__16__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__16__i_2_n_0 "bRegPortOutLcl[Data][16]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__16__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__16__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__16__i_3_n_0 "bRegPortOutLcl[Data][16]_i_3_n_0") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__16__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__16__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__16__i_4_n_0 "bRegPortOutLcl[Data][16]_i_4_n_0") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__16__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__16__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__16__i_5_n_0 "bRegPortOutLcl[Data][16]_i_5_n_0") (joined + (portref I5 (instanceref bRegPortOutLcl_Data__16__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__16__i_5)) + ) + ) + (net (rename bRegPortOutLcl_Data__17__i_1_n_0 "bRegPortOutLcl[Data][17]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__17_)) + (portref O (instanceref bRegPortOutLcl_Data__17__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__17__i_2_n_0 "bRegPortOutLcl[Data][17]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__17__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__17__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__17__i_3_n_0 "bRegPortOutLcl[Data][17]_i_3_n_0") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__17__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__17__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__17__i_4_n_0 "bRegPortOutLcl[Data][17]_i_4_n_0") (joined + (portref I5 (instanceref bRegPortOutLcl_Data__17__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__17__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__18__i_1_n_0 "bRegPortOutLcl[Data][18]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__18_)) + (portref O (instanceref bRegPortOutLcl_Data__18__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__18__i_2_n_0 "bRegPortOutLcl[Data][18]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__18__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__18__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__18__i_3_n_0 "bRegPortOutLcl[Data][18]_i_3_n_0") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__18__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__18__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__18__i_4_n_0 "bRegPortOutLcl[Data][18]_i_4_n_0") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__18__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__18__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__19__i_1_n_0 "bRegPortOutLcl[Data][19]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__19_)) + (portref O (instanceref bRegPortOutLcl_Data__19__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__19__i_2_n_0 "bRegPortOutLcl[Data][19]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__19__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__19__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__19__i_3_n_0 "bRegPortOutLcl[Data][19]_i_3_n_0") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__19__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__19__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__19__i_4_n_0 "bRegPortOutLcl[Data][19]_i_4_n_0") (joined + (portref I5 (instanceref bRegPortOutLcl_Data__19__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__19__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__1__i_1_n_0 "bRegPortOutLcl[Data][1]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__1_)) + (portref O (instanceref bRegPortOutLcl_Data__1__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__1__i_2_n_0 "bRegPortOutLcl[Data][1]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__1__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__1__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__1__i_3_n_0 "bRegPortOutLcl[Data][1]_i_3_n_0") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__1__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__1__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__1__i_4_n_0 "bRegPortOutLcl[Data][1]_i_4_n_0") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__1__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__1__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__1__i_5_n_0 "bRegPortOutLcl[Data][1]_i_5_n_0") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__1__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__1__i_5)) + ) + ) + (net (rename bRegPortOutLcl_Data__20__i_1_n_0 "bRegPortOutLcl[Data][20]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__20_)) + (portref O (instanceref bRegPortOutLcl_Data__20__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__20__i_2_n_0 "bRegPortOutLcl[Data][20]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__20__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__20__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__20__i_3_n_0 "bRegPortOutLcl[Data][20]_i_3_n_0") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__20__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__20__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__20__i_4_n_0 "bRegPortOutLcl[Data][20]_i_4_n_0") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__20__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__20__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__21__i_1_n_0 "bRegPortOutLcl[Data][21]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__21_)) + (portref O (instanceref bRegPortOutLcl_Data__21__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__21__i_2_n_0 "bRegPortOutLcl[Data][21]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__21__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__21__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__21__i_3_n_0 "bRegPortOutLcl[Data][21]_i_3_n_0") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__21__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__21__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__21__i_4_n_0 "bRegPortOutLcl[Data][21]_i_4_n_0") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__21__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__21__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__22__i_1_n_0 "bRegPortOutLcl[Data][22]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__22_)) + (portref O (instanceref bRegPortOutLcl_Data__22__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__22__i_2_n_0 "bRegPortOutLcl[Data][22]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__22__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__22__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__22__i_3_n_0 "bRegPortOutLcl[Data][22]_i_3_n_0") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__22__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__22__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__22__i_4_n_0 "bRegPortOutLcl[Data][22]_i_4_n_0") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__22__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__22__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__23__i_1_n_0 "bRegPortOutLcl[Data][23]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__23_)) + (portref O (instanceref bRegPortOutLcl_Data__23__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__23__i_2_n_0 "bRegPortOutLcl[Data][23]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__23__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__23__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__23__i_3_n_0 "bRegPortOutLcl[Data][23]_i_3_n_0") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__23__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__23__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__23__i_4_n_0 "bRegPortOutLcl[Data][23]_i_4_n_0") (joined + (portref I2 (instanceref bRegPortOutLcl_Data__23__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__23__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__24__i_1_n_0 "bRegPortOutLcl[Data][24]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__24_)) + (portref O (instanceref bRegPortOutLcl_Data__24__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__24__i_2_n_0 "bRegPortOutLcl[Data][24]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__24__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__24__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__24__i_3_n_0 "bRegPortOutLcl[Data][24]_i_3_n_0") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__24__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__24__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__24__i_4_n_0 "bRegPortOutLcl[Data][24]_i_4_n_0") (joined + (portref I5 (instanceref bRegPortOutLcl_Data__24__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__24__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__25__i_1_n_0 "bRegPortOutLcl[Data][25]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__25_)) + (portref O (instanceref bRegPortOutLcl_Data__25__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__25__i_2_n_0 "bRegPortOutLcl[Data][25]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__25__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__25__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__25__i_3_n_0 "bRegPortOutLcl[Data][25]_i_3_n_0") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__0__i_6)) + (portref I2 (instanceref bRegPortOutLcl_Data__1__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__5__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__9__i_3)) + (portref I3 (instanceref bRegPortOutLcl_Data__13__i_1)) + (portref I3 (instanceref bRegPortOutLcl_Data__16__i_1)) + (portref I3 (instanceref bRegPortOutLcl_Data__19__i_1)) + (portref I3 (instanceref bRegPortOutLcl_Data__24__i_1)) + (portref I3 (instanceref bRegPortOutLcl_Data__25__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__25__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__25__i_4_n_0 "bRegPortOutLcl[Data][25]_i_4_n_0") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__25__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__25__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__25__i_5_n_0 "bRegPortOutLcl[Data][25]_i_5_n_0") (joined + (portref I5 (instanceref bRegPortOutLcl_Data__25__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__25__i_5)) + ) + ) + (net (rename bRegPortOutLcl_Data__26__i_1_n_0 "bRegPortOutLcl[Data][26]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__26_)) + (portref O (instanceref bRegPortOutLcl_Data__26__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__26__i_2_n_0 "bRegPortOutLcl[Data][26]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__26__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__26__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__26__i_3_n_0 "bRegPortOutLcl[Data][26]_i_3_n_0") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__18__i_1)) + (portref I1 (instanceref bRegPortOutLcl_Data__26__i_1)) + (portref I1 (instanceref bRegPortOutLcl_Data__3__i_4)) + (portref I1 (instanceref bRegPortOutLcl_Data__7__i_4)) + (portref I1 (instanceref bRegPortOutLcl_Data__8__i_4)) + (portref I3 (instanceref bRegPortOutLcl_Data__0__i_6)) + (portref I4 (instanceref bRegPortOutLcl_Data__6__i_1)) + (portref I5 (instanceref bRegPortOutLcl_Data__11__i_4)) + (portref I5 (instanceref bRegPortOutLcl_Data__13__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__14__i_4)) + (portref I5 (instanceref bRegPortOutLcl_Data__15__i_4)) + (portref I5 (instanceref bRegPortOutLcl_Data__19__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__1__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__20__i_4)) + (portref I5 (instanceref bRegPortOutLcl_Data__21__i_4)) + (portref I5 (instanceref bRegPortOutLcl_Data__22__i_4)) + (portref I5 (instanceref bRegPortOutLcl_Data__23__i_4)) + (portref I5 (instanceref bRegPortOutLcl_Data__24__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__25__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__29__i_4)) + (portref I5 (instanceref bRegPortOutLcl_Data__30__i_5)) + (portref I5 (instanceref bRegPortOutLcl_Data__31__i_4)) + (portref I5 (instanceref bRegPortOutLcl_Data__5__i_2)) + (portref O (instanceref bRegPortOutLcl_Data__26__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__26__i_4_n_0 "bRegPortOutLcl[Data][26]_i_4_n_0") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__26__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__26__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__26__i_5_n_0 "bRegPortOutLcl[Data][26]_i_5_n_0") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__26__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__26__i_5)) + ) + ) + (net (rename bRegPortOutLcl_Data__27__i_1_n_0 "bRegPortOutLcl[Data][27]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__27_)) + (portref O (instanceref bRegPortOutLcl_Data__27__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__27__i_2_n_0 "bRegPortOutLcl[Data][27]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__27__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__27__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__27__i_3_n_0 "bRegPortOutLcl[Data][27]_i_3_n_0") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__4__i_7)) + (portref I2 (instanceref bRegPortOutLcl_Data__12__i_1)) + (portref I2 (instanceref bRegPortOutLcl_Data__17__i_1)) + (portref I2 (instanceref bRegPortOutLcl_Data__27__i_1)) + (portref I4 (instanceref bRegPortOutLcl_Data__2__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__27__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__27__i_4_n_0 "bRegPortOutLcl[Data][27]_i_4_n_0") (joined + (portref I2 (instanceref bRegPortOutLcl_Data__2__i_4)) + (portref I3 (instanceref bRegPortOutLcl_Data__12__i_1)) + (portref I3 (instanceref bRegPortOutLcl_Data__17__i_1)) + (portref I3 (instanceref bRegPortOutLcl_Data__27__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__27__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__27__i_5_n_0 "bRegPortOutLcl[Data][27]_i_5_n_0") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__27__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__27__i_5)) + ) + ) + (net (rename bRegPortOutLcl_Data__27__i_6_n_0 "bRegPortOutLcl[Data][27]_i_6_n_0") (joined + (portref I5 (instanceref bRegPortOutLcl_Data__27__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__27__i_6)) + ) + ) + (net (rename bRegPortOutLcl_Data__27__i_7_n_0 "bRegPortOutLcl[Data][27]_i_7_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__12__i_3)) + (portref I0 (instanceref bRegPortOutLcl_Data__17__i_3)) + (portref I0 (instanceref bRegPortOutLcl_Data__18__i_3)) + (portref I0 (instanceref bRegPortOutLcl_Data__26__i_4)) + (portref I0 (instanceref bRegPortOutLcl_Data__27__i_5)) + (portref I0 (instanceref bRegPortOutLcl_Data__8__i_3)) + (portref O (instanceref bRegPortOutLcl_Data__27__i_7)) + ) + ) + (net (rename bRegPortOutLcl_Data__28__i_10_n_0 "bRegPortOutLcl[Data][28]_i_10_n_0") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__28__i_8)) + (portref O (instanceref bRegPortOutLcl_Data__28__i_10)) + ) + ) + (net (rename bRegPortOutLcl_Data__28__i_1_n_0 "bRegPortOutLcl[Data][28]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__28_)) + (portref O (instanceref bRegPortOutLcl_Data__28__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__28__i_2_n_0 "bRegPortOutLcl[Data][28]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__28__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__28__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__28__i_3_n_0 "bRegPortOutLcl[Data][28]_i_3_n_0") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__28__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__28__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__28__i_4_n_0 "bRegPortOutLcl[Data][28]_i_4_n_0") (joined + (portref I2 (instanceref bRegPortOutLcl_Data__10__i_1)) + (portref I2 (instanceref bRegPortOutLcl_Data__28__i_1)) + (portref I4 (instanceref bRegPortOutLcl_Data__9__i_3)) + (portref O (instanceref bRegPortOutLcl_Data__28__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__28__i_5_n_0 "bRegPortOutLcl[Data][28]_i_5_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__1__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__5__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__9__i_3)) + (portref I1 (instanceref bRegPortOutLcl_Data__2__i_4)) + (portref I1 (instanceref bRegPortOutLcl_Data__6__i_4)) + (portref I2 (instanceref bRegPortOutLcl_Data__11__i_4)) + (portref I2 (instanceref bRegPortOutLcl_Data__13__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__14__i_4)) + (portref I2 (instanceref bRegPortOutLcl_Data__15__i_4)) + (portref I2 (instanceref bRegPortOutLcl_Data__19__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__20__i_4)) + (portref I2 (instanceref bRegPortOutLcl_Data__21__i_4)) + (portref I2 (instanceref bRegPortOutLcl_Data__22__i_4)) + (portref I2 (instanceref bRegPortOutLcl_Data__23__i_4)) + (portref I2 (instanceref bRegPortOutLcl_Data__24__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__25__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__29__i_4)) + (portref I2 (instanceref bRegPortOutLcl_Data__30__i_5)) + (portref I2 (instanceref bRegPortOutLcl_Data__31__i_4)) + (portref I3 (instanceref bRegPortOutLcl_Data__3__i_4)) + (portref I3 (instanceref bRegPortOutLcl_Data__4__i_7)) + (portref I3 (instanceref bRegPortOutLcl_Data__7__i_4)) + (portref I3 (instanceref bRegPortOutLcl_Data__8__i_4)) + (portref I4 (instanceref bRegPortOutLcl_Data__10__i_1)) + (portref I4 (instanceref bRegPortOutLcl_Data__28__i_1)) + (portref I5 (instanceref bRegPortOutLcl_Data__0__i_3)) + (portref I5 (instanceref bRegPortOutLcl_Data__12__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__16__i_3)) + (portref I5 (instanceref bRegPortOutLcl_Data__17__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__18__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__26__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__27__i_2)) + (portref O (instanceref bRegPortOutLcl_Data__28__i_5)) + ) + ) + (net (rename bRegPortOutLcl_Data__28__i_6_n_0 "bRegPortOutLcl[Data][28]_i_6_n_0") (joined + (portref I5 (instanceref bRegPortOutLcl_Data__28__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__28__i_6)) + ) + ) + (net (rename bRegPortOutLcl_Data__28__i_7_n_0 "bRegPortOutLcl[Data][28]_i_7_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__16__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__10__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__16__i_4)) + (portref I2 (instanceref bRegPortOutLcl_Data__28__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__4__i_6)) + (portref I2 (instanceref bRegPortOutLcl_Data__9__i_4)) + (portref I4 (instanceref bRegPortOutLcl_Data__4__i_5)) + (portref O (instanceref bRegPortOutLcl_Data__28__i_7)) + ) + ) + (net (rename bRegPortOutLcl_Data__28__i_8_n_0 "bRegPortOutLcl[Data][28]_i_8_n_0") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__28__i_4)) + (portref I3 (instanceref bRegPortOutLcl_Data__27__i_4)) + (portref O (instanceref bRegPortOutLcl_Data__28__i_8)) + ) + ) + (net (rename bRegPortOutLcl_Data__28__i_9_n_0 "bRegPortOutLcl[Data][28]_i_9_n_0") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__28__i_5)) + (portref I3 (instanceref bRegPortOutLcl_Data__31__i_11)) + (portref I4 (instanceref bRegPortOutLcl_Data__27__i_7)) + (portref I5 (instanceref bRegPortOutLcl_Data__31__i_7)) + (portref I5 (instanceref bRegPortOutLcl_Data__31__i_8)) + (portref O (instanceref bRegPortOutLcl_Data__28__i_9)) + ) + ) + (net (rename bRegPortOutLcl_Data__29__i_1_n_0 "bRegPortOutLcl[Data][29]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__29_)) + (portref O (instanceref bRegPortOutLcl_Data__29__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__29__i_2_n_0 "bRegPortOutLcl[Data][29]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__29__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__29__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__29__i_3_n_0 "bRegPortOutLcl[Data][29]_i_3_n_0") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__29__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__29__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__29__i_4_n_0 "bRegPortOutLcl[Data][29]_i_4_n_0") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__29__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__29__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__2__i_1_n_0 "bRegPortOutLcl[Data][2]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__2_)) + (portref O (instanceref bRegPortOutLcl_Data__2__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__2__i_2_n_0 "bRegPortOutLcl[Data][2]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__2__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__2__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__2__i_3_n_0 "bRegPortOutLcl[Data][2]_i_3_n_0") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__2__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__2__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__2__i_4_n_0 "bRegPortOutLcl[Data][2]_i_4_n_0") (joined + (portref I2 (instanceref bRegPortOutLcl_Data__2__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__2__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__2__i_5_n_0 "bRegPortOutLcl[Data][2]_i_5_n_0") (joined + (portref I5 (instanceref bRegPortOutLcl_Data__2__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__2__i_5)) + ) + ) + (net (rename bRegPortOutLcl_Data__30__i_1_n_0 "bRegPortOutLcl[Data][30]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__30_)) + (portref O (instanceref bRegPortOutLcl_Data__30__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__30__i_2_n_0 "bRegPortOutLcl[Data][30]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__30__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__30__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__30__i_3_n_0 "bRegPortOutLcl[Data][30]_i_3_n_0") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__30__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__30__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__30__i_4_n_0 "bRegPortOutLcl[Data][30]_i_4_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__0__i_5)) + (portref I2 (instanceref bRegPortOutLcl_Data__11__i_1)) + (portref I2 (instanceref bRegPortOutLcl_Data__14__i_1)) + (portref I2 (instanceref bRegPortOutLcl_Data__1__i_1)) + (portref I2 (instanceref bRegPortOutLcl_Data__20__i_1)) + (portref I2 (instanceref bRegPortOutLcl_Data__21__i_1)) + (portref I2 (instanceref bRegPortOutLcl_Data__22__i_1)) + (portref I2 (instanceref bRegPortOutLcl_Data__29__i_1)) + (portref I2 (instanceref bRegPortOutLcl_Data__30__i_1)) + (portref I2 (instanceref bRegPortOutLcl_Data__5__i_1)) + (portref I2 (instanceref bRegPortOutLcl_Data__6__i_4)) + (portref O (instanceref bRegPortOutLcl_Data__30__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__30__i_5_n_0 "bRegPortOutLcl[Data][30]_i_5_n_0") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__30__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__30__i_5)) + ) + ) + (net (rename bRegPortOutLcl_Data__30__i_6_n_0 "bRegPortOutLcl[Data][30]_i_6_n_0") (joined + (portref I2 (instanceref bRegPortOutLcl_Data__26__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__27__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__30__i_4)) + (portref I2 (instanceref bRegPortOutLcl_Data__31__i_5)) + (portref I3 (instanceref bRegPortOutLcl_Data__31__i_10)) + (portref I3 (instanceref bRegPortOutLcl_Data__31__i_9)) + (portref O (instanceref bRegPortOutLcl_Data__30__i_6)) + ) + ) + (net (rename bRegPortOutLcl_Data__31__i_10_n_0 "bRegPortOutLcl[Data][31]_i_10_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__0__i_3)) + (portref I0 (instanceref bRegPortOutLcl_Data__12__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__17__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__18__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__26__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__27__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__10__i_4)) + (portref I2 (instanceref bRegPortOutLcl_Data__16__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__1__i_5)) + (portref I2 (instanceref bRegPortOutLcl_Data__28__i_6)) + (portref I2 (instanceref bRegPortOutLcl_Data__2__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__3__i_5)) + (portref I2 (instanceref bRegPortOutLcl_Data__4__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__5__i_5)) + (portref I2 (instanceref bRegPortOutLcl_Data__6__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__7__i_5)) + (portref I2 (instanceref bRegPortOutLcl_Data__8__i_5)) + (portref I2 (instanceref bRegPortOutLcl_Data__9__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__11__i_3)) + (portref I5 (instanceref bRegPortOutLcl_Data__13__i_4)) + (portref I5 (instanceref bRegPortOutLcl_Data__14__i_3)) + (portref I5 (instanceref bRegPortOutLcl_Data__15__i_3)) + (portref I5 (instanceref bRegPortOutLcl_Data__19__i_4)) + (portref I5 (instanceref bRegPortOutLcl_Data__20__i_3)) + (portref I5 (instanceref bRegPortOutLcl_Data__21__i_3)) + (portref I5 (instanceref bRegPortOutLcl_Data__22__i_3)) + (portref I5 (instanceref bRegPortOutLcl_Data__23__i_3)) + (portref I5 (instanceref bRegPortOutLcl_Data__24__i_4)) + (portref I5 (instanceref bRegPortOutLcl_Data__25__i_5)) + (portref I5 (instanceref bRegPortOutLcl_Data__29__i_3)) + (portref I5 (instanceref bRegPortOutLcl_Data__30__i_3)) + (portref I5 (instanceref bRegPortOutLcl_Data__31__i_3)) + (portref O (instanceref bRegPortOutLcl_Data__31__i_10)) + ) + ) + (net (rename bRegPortOutLcl_Data__31__i_11_n_0 "bRegPortOutLcl[Data][31]_i_11_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__11__i_4)) + (portref I0 (instanceref bRegPortOutLcl_Data__13__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__14__i_4)) + (portref I0 (instanceref bRegPortOutLcl_Data__15__i_4)) + (portref I0 (instanceref bRegPortOutLcl_Data__19__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__20__i_4)) + (portref I0 (instanceref bRegPortOutLcl_Data__21__i_4)) + (portref I0 (instanceref bRegPortOutLcl_Data__22__i_4)) + (portref I0 (instanceref bRegPortOutLcl_Data__23__i_4)) + (portref I0 (instanceref bRegPortOutLcl_Data__24__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__25__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__29__i_4)) + (portref I0 (instanceref bRegPortOutLcl_Data__30__i_5)) + (portref I0 (instanceref bRegPortOutLcl_Data__31__i_4)) + (portref I2 (instanceref bRegPortOutLcl_Data__0__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__12__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__17__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__18__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__26__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__27__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__10__i_4)) + (portref I5 (instanceref bRegPortOutLcl_Data__16__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__1__i_5)) + (portref I5 (instanceref bRegPortOutLcl_Data__28__i_6)) + (portref I5 (instanceref bRegPortOutLcl_Data__2__i_3)) + (portref I5 (instanceref bRegPortOutLcl_Data__3__i_5)) + (portref I5 (instanceref bRegPortOutLcl_Data__4__i_3)) + (portref I5 (instanceref bRegPortOutLcl_Data__5__i_5)) + (portref I5 (instanceref bRegPortOutLcl_Data__6__i_3)) + (portref I5 (instanceref bRegPortOutLcl_Data__7__i_5)) + (portref I5 (instanceref bRegPortOutLcl_Data__8__i_5)) + (portref I5 (instanceref bRegPortOutLcl_Data__9__i_2)) + (portref O (instanceref bRegPortOutLcl_Data__31__i_11)) + ) + ) + (net (rename bRegPortOutLcl_Data__31__i_12_n_0 "bRegPortOutLcl[Data][31]_i_12_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__31__i_10)) + (portref I0 (instanceref bRegPortOutLcl_Data__31__i_8)) + (portref O (instanceref bRegPortOutLcl_Data__31__i_12)) + ) + ) + (net (rename bRegPortOutLcl_Data__31__i_1_n_0 "bRegPortOutLcl[Data][31]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__31_)) + (portref O (instanceref bRegPortOutLcl_Data__31__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__31__i_2_n_0 "bRegPortOutLcl[Data][31]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__31__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__31__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__31__i_3_n_0 "bRegPortOutLcl[Data][31]_i_3_n_0") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__31__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__31__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__31__i_4_n_0 "bRegPortOutLcl[Data][31]_i_4_n_0") (joined + (portref I2 (instanceref bRegPortOutLcl_Data__31__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__31__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__31__i_5_n_0 "bRegPortOutLcl[Data][31]_i_5_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__11__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__13__i_3)) + (portref I0 (instanceref bRegPortOutLcl_Data__14__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__15__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__19__i_3)) + (portref I0 (instanceref bRegPortOutLcl_Data__1__i_4)) + (portref I0 (instanceref bRegPortOutLcl_Data__20__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__21__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__22__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__23__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__24__i_3)) + (portref I0 (instanceref bRegPortOutLcl_Data__25__i_4)) + (portref I0 (instanceref bRegPortOutLcl_Data__29__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__2__i_5)) + (portref I0 (instanceref bRegPortOutLcl_Data__30__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__31__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__3__i_3)) + (portref I0 (instanceref bRegPortOutLcl_Data__4__i_4)) + (portref I0 (instanceref bRegPortOutLcl_Data__5__i_4)) + (portref I0 (instanceref bRegPortOutLcl_Data__6__i_5)) + (portref I0 (instanceref bRegPortOutLcl_Data__7__i_3)) + (portref I1 (instanceref bRegPortOutLcl_Data__10__i_3)) + (portref I1 (instanceref bRegPortOutLcl_Data__28__i_3)) + (portref I1 (instanceref bRegPortOutLcl_Data__9__i_4)) + (portref I5 (instanceref bRegPortOutLcl_Data__12__i_3)) + (portref I5 (instanceref bRegPortOutLcl_Data__17__i_3)) + (portref I5 (instanceref bRegPortOutLcl_Data__18__i_3)) + (portref I5 (instanceref bRegPortOutLcl_Data__26__i_4)) + (portref I5 (instanceref bRegPortOutLcl_Data__27__i_5)) + (portref I5 (instanceref bRegPortOutLcl_Data__8__i_3)) + (portref O (instanceref bRegPortOutLcl_Data__31__i_5)) + ) + ) + (net (rename bRegPortOutLcl_Data__31__i_6_n_0 "bRegPortOutLcl[Data][31]_i_6_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__10__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__16__i_5)) + (portref I0 (instanceref bRegPortOutLcl_Data__28__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__9__i_5)) + (portref I2 (instanceref bRegPortOutLcl_Data__0__i_4)) + (portref I2 (instanceref bRegPortOutLcl_Data__11__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__12__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__13__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__14__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__15__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__17__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__18__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__19__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__20__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__21__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__22__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__23__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__24__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__25__i_4)) + (portref I2 (instanceref bRegPortOutLcl_Data__26__i_4)) + (portref I2 (instanceref bRegPortOutLcl_Data__27__i_5)) + (portref I2 (instanceref bRegPortOutLcl_Data__29__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__30__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__31__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__8__i_3)) + (portref I5 (instanceref bRegPortOutLcl_Data__1__i_4)) + (portref I5 (instanceref bRegPortOutLcl_Data__2__i_5)) + (portref I5 (instanceref bRegPortOutLcl_Data__3__i_3)) + (portref I5 (instanceref bRegPortOutLcl_Data__4__i_4)) + (portref I5 (instanceref bRegPortOutLcl_Data__5__i_4)) + (portref I5 (instanceref bRegPortOutLcl_Data__6__i_5)) + (portref I5 (instanceref bRegPortOutLcl_Data__7__i_3)) + (portref O (instanceref bRegPortOutLcl_Data__31__i_6)) + ) + ) + (net (rename bRegPortOutLcl_Data__31__i_7_n_0 "bRegPortOutLcl[Data][31]_i_7_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__0__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__12__i_4)) + (portref I0 (instanceref bRegPortOutLcl_Data__17__i_4)) + (portref I0 (instanceref bRegPortOutLcl_Data__18__i_4)) + (portref I0 (instanceref bRegPortOutLcl_Data__26__i_5)) + (portref I0 (instanceref bRegPortOutLcl_Data__27__i_6)) + (portref I2 (instanceref bRegPortOutLcl_Data__10__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__16__i_5)) + (portref I2 (instanceref bRegPortOutLcl_Data__1__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__28__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__2__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__3__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__4__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__5__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__6__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__7__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__8__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__9__i_5)) + (portref I5 (instanceref bRegPortOutLcl_Data__11__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__13__i_3)) + (portref I5 (instanceref bRegPortOutLcl_Data__14__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__15__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__19__i_3)) + (portref I5 (instanceref bRegPortOutLcl_Data__20__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__21__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__22__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__23__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__24__i_3)) + (portref I5 (instanceref bRegPortOutLcl_Data__25__i_4)) + (portref I5 (instanceref bRegPortOutLcl_Data__29__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__30__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__31__i_2)) + (portref O (instanceref bRegPortOutLcl_Data__31__i_7)) + ) + ) + (net (rename bRegPortOutLcl_Data__31__i_8_n_0 "bRegPortOutLcl[Data][31]_i_8_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__11__i_3)) + (portref I0 (instanceref bRegPortOutLcl_Data__13__i_4)) + (portref I0 (instanceref bRegPortOutLcl_Data__14__i_3)) + (portref I0 (instanceref bRegPortOutLcl_Data__15__i_3)) + (portref I0 (instanceref bRegPortOutLcl_Data__19__i_4)) + (portref I0 (instanceref bRegPortOutLcl_Data__20__i_3)) + (portref I0 (instanceref bRegPortOutLcl_Data__21__i_3)) + (portref I0 (instanceref bRegPortOutLcl_Data__22__i_3)) + (portref I0 (instanceref bRegPortOutLcl_Data__23__i_3)) + (portref I0 (instanceref bRegPortOutLcl_Data__24__i_4)) + (portref I0 (instanceref bRegPortOutLcl_Data__25__i_5)) + (portref I0 (instanceref bRegPortOutLcl_Data__29__i_3)) + (portref I0 (instanceref bRegPortOutLcl_Data__30__i_3)) + (portref I0 (instanceref bRegPortOutLcl_Data__31__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__0__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__12__i_4)) + (portref I2 (instanceref bRegPortOutLcl_Data__17__i_4)) + (portref I2 (instanceref bRegPortOutLcl_Data__18__i_4)) + (portref I2 (instanceref bRegPortOutLcl_Data__26__i_5)) + (portref I2 (instanceref bRegPortOutLcl_Data__27__i_6)) + (portref I5 (instanceref bRegPortOutLcl_Data__10__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__16__i_5)) + (portref I5 (instanceref bRegPortOutLcl_Data__1__i_3)) + (portref I5 (instanceref bRegPortOutLcl_Data__28__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__2__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__3__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__4__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__5__i_3)) + (portref I5 (instanceref bRegPortOutLcl_Data__6__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__7__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__8__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__9__i_5)) + (portref O (instanceref bRegPortOutLcl_Data__31__i_8)) + ) + ) + (net (rename bRegPortOutLcl_Data__31__i_9_n_0 "bRegPortOutLcl[Data][31]_i_9_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__10__i_4)) + (portref I0 (instanceref bRegPortOutLcl_Data__16__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__1__i_5)) + (portref I0 (instanceref bRegPortOutLcl_Data__28__i_6)) + (portref I0 (instanceref bRegPortOutLcl_Data__2__i_3)) + (portref I0 (instanceref bRegPortOutLcl_Data__3__i_5)) + (portref I0 (instanceref bRegPortOutLcl_Data__4__i_3)) + (portref I0 (instanceref bRegPortOutLcl_Data__5__i_5)) + (portref I0 (instanceref bRegPortOutLcl_Data__6__i_3)) + (portref I0 (instanceref bRegPortOutLcl_Data__7__i_5)) + (portref I0 (instanceref bRegPortOutLcl_Data__8__i_5)) + (portref I0 (instanceref bRegPortOutLcl_Data__9__i_2)) + (portref I2 (instanceref bRegPortOutLcl_Data__11__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__13__i_4)) + (portref I2 (instanceref bRegPortOutLcl_Data__14__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__15__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__19__i_4)) + (portref I2 (instanceref bRegPortOutLcl_Data__20__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__21__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__22__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__23__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__24__i_4)) + (portref I2 (instanceref bRegPortOutLcl_Data__25__i_5)) + (portref I2 (instanceref bRegPortOutLcl_Data__29__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__30__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__31__i_3)) + (portref I5 (instanceref bRegPortOutLcl_Data__0__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__12__i_4)) + (portref I5 (instanceref bRegPortOutLcl_Data__17__i_4)) + (portref I5 (instanceref bRegPortOutLcl_Data__18__i_4)) + (portref I5 (instanceref bRegPortOutLcl_Data__26__i_5)) + (portref I5 (instanceref bRegPortOutLcl_Data__27__i_6)) + (portref O (instanceref bRegPortOutLcl_Data__31__i_9)) + ) + ) + (net (rename bRegPortOutLcl_Data__3__i_1_n_0 "bRegPortOutLcl[Data][3]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__3_)) + (portref O (instanceref bRegPortOutLcl_Data__3__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__3__i_2_n_0 "bRegPortOutLcl[Data][3]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__3__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__3__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__3__i_3_n_0 "bRegPortOutLcl[Data][3]_i_3_n_0") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__3__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__3__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__3__i_4_n_0 "bRegPortOutLcl[Data][3]_i_4_n_0") (joined + (portref I2 (instanceref bRegPortOutLcl_Data__3__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__3__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__3__i_5_n_0 "bRegPortOutLcl[Data][3]_i_5_n_0") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__3__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__3__i_5)) + ) + ) + (net (rename bRegPortOutLcl_Data__4__i_1_n_0 "bRegPortOutLcl[Data][4]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__4_)) + (portref O (instanceref bRegPortOutLcl_Data__4__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__4__i_2_n_0 "bRegPortOutLcl[Data][4]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__4__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__4__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__4__i_3_n_0 "bRegPortOutLcl[Data][4]_i_3_n_0") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__4__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__4__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__4__i_4_n_0 "bRegPortOutLcl[Data][4]_i_4_n_0") (joined + (portref I2 (instanceref bRegPortOutLcl_Data__4__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__4__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__4__i_5_n_0 "bRegPortOutLcl[Data][4]_i_5_n_0") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__4__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__4__i_5)) + ) + ) + (net (rename bRegPortOutLcl_Data__4__i_6_n_0 "bRegPortOutLcl[Data][4]_i_6_n_0") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__4__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__4__i_6)) + ) + ) + (net (rename bRegPortOutLcl_Data__4__i_7_n_0 "bRegPortOutLcl[Data][4]_i_7_n_0") (joined + (portref I5 (instanceref bRegPortOutLcl_Data__4__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__4__i_7)) + ) + ) + (net (rename bRegPortOutLcl_Data__5__i_1_n_0 "bRegPortOutLcl[Data][5]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__5_)) + (portref O (instanceref bRegPortOutLcl_Data__5__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__5__i_2_n_0 "bRegPortOutLcl[Data][5]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__5__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__5__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__5__i_3_n_0 "bRegPortOutLcl[Data][5]_i_3_n_0") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__5__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__5__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__5__i_4_n_0 "bRegPortOutLcl[Data][5]_i_4_n_0") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__5__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__5__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__5__i_5_n_0 "bRegPortOutLcl[Data][5]_i_5_n_0") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__5__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__5__i_5)) + ) + ) + (net (rename bRegPortOutLcl_Data__6__i_1_n_0 "bRegPortOutLcl[Data][6]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__6_)) + (portref O (instanceref bRegPortOutLcl_Data__6__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__6__i_2_n_0 "bRegPortOutLcl[Data][6]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__6__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__6__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__6__i_3_n_0 "bRegPortOutLcl[Data][6]_i_3_n_0") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__6__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__6__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__6__i_4_n_0 "bRegPortOutLcl[Data][6]_i_4_n_0") (joined + (portref I2 (instanceref bRegPortOutLcl_Data__6__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__6__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__6__i_5_n_0 "bRegPortOutLcl[Data][6]_i_5_n_0") (joined + (portref I5 (instanceref bRegPortOutLcl_Data__6__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__6__i_5)) + ) + ) + (net (rename bRegPortOutLcl_Data__7__i_1_n_0 "bRegPortOutLcl[Data][7]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__7_)) + (portref O (instanceref bRegPortOutLcl_Data__7__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__7__i_2_n_0 "bRegPortOutLcl[Data][7]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__7__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__7__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__7__i_3_n_0 "bRegPortOutLcl[Data][7]_i_3_n_0") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__7__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__7__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__7__i_4_n_0 "bRegPortOutLcl[Data][7]_i_4_n_0") (joined + (portref I2 (instanceref bRegPortOutLcl_Data__7__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__7__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__7__i_5_n_0 "bRegPortOutLcl[Data][7]_i_5_n_0") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__7__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__7__i_5)) + ) + ) + (net (rename bRegPortOutLcl_Data__7__i_6_n_0 "bRegPortOutLcl[Data][7]_i_6_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__0__i_4)) + (portref I2 (instanceref bRegPortOutLcl_Data__1__i_4)) + (portref I2 (instanceref bRegPortOutLcl_Data__2__i_5)) + (portref I2 (instanceref bRegPortOutLcl_Data__3__i_3)) + (portref I2 (instanceref bRegPortOutLcl_Data__4__i_4)) + (portref I2 (instanceref bRegPortOutLcl_Data__5__i_4)) + (portref I2 (instanceref bRegPortOutLcl_Data__6__i_5)) + (portref I2 (instanceref bRegPortOutLcl_Data__7__i_3)) + (portref O (instanceref bRegPortOutLcl_Data__7__i_6)) + ) + ) + (net (rename bRegPortOutLcl_Data__8__i_1_n_0 "bRegPortOutLcl[Data][8]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__8_)) + (portref O (instanceref bRegPortOutLcl_Data__8__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__8__i_2_n_0 "bRegPortOutLcl[Data][8]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__8__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__8__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__8__i_3_n_0 "bRegPortOutLcl[Data][8]_i_3_n_0") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__8__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__8__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__8__i_4_n_0 "bRegPortOutLcl[Data][8]_i_4_n_0") (joined + (portref I2 (instanceref bRegPortOutLcl_Data__8__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__8__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__8__i_5_n_0 "bRegPortOutLcl[Data][8]_i_5_n_0") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__8__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__8__i_5)) + ) + ) + (net (rename bRegPortOutLcl_Data__8__i_6_n_0 "bRegPortOutLcl[Data][8]_i_6_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__1__i_3)) + (portref I0 (instanceref bRegPortOutLcl_Data__2__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__3__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__4__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__5__i_3)) + (portref I0 (instanceref bRegPortOutLcl_Data__6__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__7__i_2)) + (portref I0 (instanceref bRegPortOutLcl_Data__8__i_2)) + (portref I5 (instanceref bRegPortOutLcl_Data__0__i_4)) + (portref O (instanceref bRegPortOutLcl_Data__8__i_6)) + ) + ) + (net (rename bRegPortOutLcl_Data__9__i_1_n_0 "bRegPortOutLcl[Data][9]_i_1_n_0") (joined + (portref D (instanceref bRegPortOutLcl_reg_Data__9_)) + (portref O (instanceref bRegPortOutLcl_Data__9__i_1)) + ) + ) + (net (rename bRegPortOutLcl_Data__9__i_2_n_0 "bRegPortOutLcl[Data][9]_i_2_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__9__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__9__i_2)) + ) + ) + (net (rename bRegPortOutLcl_Data__9__i_3_n_0 "bRegPortOutLcl[Data][9]_i_3_n_0") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__9__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__9__i_3)) + ) + ) + (net (rename bRegPortOutLcl_Data__9__i_4_n_0 "bRegPortOutLcl[Data][9]_i_4_n_0") (joined + (portref I2 (instanceref bRegPortOutLcl_Data__9__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__9__i_4)) + ) + ) + (net (rename bRegPortOutLcl_Data__9__i_5_n_0 "bRegPortOutLcl[Data][9]_i_5_n_0") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__9__i_1)) + (portref O (instanceref bRegPortOutLcl_Data__9__i_5)) + ) + ) + (net bResetTdcDone (joined + (portref I1 (instanceref bRegPortOutLcl_Data__0__i_5)) + (portref Q (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net bResetTdc_i_1_n_0 (joined + (portref D (instanceref bResetTdc_reg)) + (portref O (instanceref bResetTdc_i_1)) + ) + ) + (net bResetTdc_reg_n_0 (joined + (portref D (instanceref ResetTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + (portref I0 (instanceref bRegPortOutLcl_Data__0__i_6)) + (portref I2 (instanceref bOffsetUpdated_i_1)) + (portref I3 (instanceref bRegPortOutLcl_Data__1__i_2)) + (portref I5 (instanceref bResetTdc_i_1)) + (portref Q (instanceref bResetTdc_reg)) + ) + ) + (net (rename bRpOffsetStored_0_ "bRpOffsetStored[0]") (joined + (portref I2 (instanceref bRegPortOutLcl_Data__0__i_5)) + (portref Q (instanceref bRpOffsetStored_reg_0_)) + ) + ) + (net (rename bRpOffsetStored_0__i_1_n_0 "bRpOffsetStored[0]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_0_)) + (portref O (instanceref bRpOffsetStored_0__i_1)) + ) + ) + (net (rename bRpOffsetStored_10_ "bRpOffsetStored[10]") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__10__i_3)) + (portref Q (instanceref bRpOffsetStored_reg_10_)) + ) + ) + (net (rename bRpOffsetStored_10__i_1_n_0 "bRpOffsetStored[10]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_10_)) + (portref O (instanceref bRpOffsetStored_10__i_1)) + ) + ) + (net (rename bRpOffsetStored_11_ "bRpOffsetStored[11]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__11__i_2)) + (portref Q (instanceref bRpOffsetStored_reg_11_)) + ) + ) + (net (rename bRpOffsetStored_11__i_1_n_0 "bRpOffsetStored[11]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_11_)) + (portref O (instanceref bRpOffsetStored_11__i_1)) + ) + ) + (net (rename bRpOffsetStored_12_ "bRpOffsetStored[12]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__12__i_3)) + (portref Q (instanceref bRpOffsetStored_reg_12_)) + ) + ) + (net (rename bRpOffsetStored_12__i_1_n_0 "bRpOffsetStored[12]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_12_)) + (portref O (instanceref bRpOffsetStored_12__i_1)) + ) + ) + (net (rename bRpOffsetStored_13_ "bRpOffsetStored[13]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__13__i_3)) + (portref Q (instanceref bRpOffsetStored_reg_13_)) + ) + ) + (net (rename bRpOffsetStored_13__i_1_n_0 "bRpOffsetStored[13]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_13_)) + (portref O (instanceref bRpOffsetStored_13__i_1)) + ) + ) + (net (rename bRpOffsetStored_14_ "bRpOffsetStored[14]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__14__i_2)) + (portref Q (instanceref bRpOffsetStored_reg_14_)) + ) + ) + (net (rename bRpOffsetStored_14__i_1_n_0 "bRpOffsetStored[14]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_14_)) + (portref O (instanceref bRpOffsetStored_14__i_1)) + ) + ) + (net (rename bRpOffsetStored_15_ "bRpOffsetStored[15]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__15__i_2)) + (portref Q (instanceref bRpOffsetStored_reg_15_)) + ) + ) + (net (rename bRpOffsetStored_15__i_1_n_0 "bRpOffsetStored[15]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_15_)) + (portref O (instanceref bRpOffsetStored_15__i_1)) + ) + ) + (net (rename bRpOffsetStored_16_ "bRpOffsetStored[16]") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__16__i_4)) + (portref Q (instanceref bRpOffsetStored_reg_16_)) + ) + ) + (net (rename bRpOffsetStored_16__i_1_n_0 "bRpOffsetStored[16]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_16_)) + (portref O (instanceref bRpOffsetStored_16__i_1)) + ) + ) + (net (rename bRpOffsetStored_17_ "bRpOffsetStored[17]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__17__i_3)) + (portref Q (instanceref bRpOffsetStored_reg_17_)) + ) + ) + (net (rename bRpOffsetStored_17__i_1_n_0 "bRpOffsetStored[17]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_17_)) + (portref O (instanceref bRpOffsetStored_17__i_1)) + ) + ) + (net (rename bRpOffsetStored_18_ "bRpOffsetStored[18]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__18__i_3)) + (portref Q (instanceref bRpOffsetStored_reg_18_)) + ) + ) + (net (rename bRpOffsetStored_18__i_1_n_0 "bRpOffsetStored[18]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_18_)) + (portref O (instanceref bRpOffsetStored_18__i_1)) + ) + ) + (net (rename bRpOffsetStored_19_ "bRpOffsetStored[19]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__19__i_3)) + (portref Q (instanceref bRpOffsetStored_reg_19_)) + ) + ) + (net (rename bRpOffsetStored_19__i_1_n_0 "bRpOffsetStored[19]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_19_)) + (portref O (instanceref bRpOffsetStored_19__i_1)) + ) + ) + (net (rename bRpOffsetStored_1_ "bRpOffsetStored[1]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__1__i_4)) + (portref Q (instanceref bRpOffsetStored_reg_1_)) + ) + ) + (net (rename bRpOffsetStored_1__i_1_n_0 "bRpOffsetStored[1]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_1_)) + (portref O (instanceref bRpOffsetStored_1__i_1)) + ) + ) + (net (rename bRpOffsetStored_20_ "bRpOffsetStored[20]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__20__i_2)) + (portref Q (instanceref bRpOffsetStored_reg_20_)) + ) + ) + (net (rename bRpOffsetStored_20__i_1_n_0 "bRpOffsetStored[20]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_20_)) + (portref O (instanceref bRpOffsetStored_20__i_1)) + ) + ) + (net (rename bRpOffsetStored_21_ "bRpOffsetStored[21]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__21__i_2)) + (portref Q (instanceref bRpOffsetStored_reg_21_)) + ) + ) + (net (rename bRpOffsetStored_21__i_1_n_0 "bRpOffsetStored[21]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_21_)) + (portref O (instanceref bRpOffsetStored_21__i_1)) + ) + ) + (net (rename bRpOffsetStored_22_ "bRpOffsetStored[22]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__22__i_2)) + (portref Q (instanceref bRpOffsetStored_reg_22_)) + ) + ) + (net (rename bRpOffsetStored_22__i_1_n_0 "bRpOffsetStored[22]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_22_)) + (portref O (instanceref bRpOffsetStored_22__i_1)) + ) + ) + (net (rename bRpOffsetStored_23_ "bRpOffsetStored[23]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__23__i_2)) + (portref Q (instanceref bRpOffsetStored_reg_23_)) + ) + ) + (net (rename bRpOffsetStored_23__i_1_n_0 "bRpOffsetStored[23]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_23_)) + (portref O (instanceref bRpOffsetStored_23__i_1)) + ) + ) + (net (rename bRpOffsetStored_24_ "bRpOffsetStored[24]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__24__i_3)) + (portref Q (instanceref bRpOffsetStored_reg_24_)) + ) + ) + (net (rename bRpOffsetStored_24__i_1_n_0 "bRpOffsetStored[24]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_24_)) + (portref O (instanceref bRpOffsetStored_24__i_1)) + ) + ) + (net (rename bRpOffsetStored_25_ "bRpOffsetStored[25]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__25__i_4)) + (portref Q (instanceref bRpOffsetStored_reg_25_)) + ) + ) + (net (rename bRpOffsetStored_25__i_1_n_0 "bRpOffsetStored[25]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_25_)) + (portref O (instanceref bRpOffsetStored_25__i_1)) + ) + ) + (net (rename bRpOffsetStored_26_ "bRpOffsetStored[26]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__26__i_4)) + (portref Q (instanceref bRpOffsetStored_reg_26_)) + ) + ) + (net (rename bRpOffsetStored_26__i_1_n_0 "bRpOffsetStored[26]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_26_)) + (portref O (instanceref bRpOffsetStored_26__i_1)) + ) + ) + (net (rename bRpOffsetStored_27_ "bRpOffsetStored[27]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__27__i_5)) + (portref Q (instanceref bRpOffsetStored_reg_27_)) + ) + ) + (net (rename bRpOffsetStored_27__i_1_n_0 "bRpOffsetStored[27]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_27_)) + (portref O (instanceref bRpOffsetStored_27__i_1)) + ) + ) + (net (rename bRpOffsetStored_28_ "bRpOffsetStored[28]") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__28__i_3)) + (portref Q (instanceref bRpOffsetStored_reg_28_)) + ) + ) + (net (rename bRpOffsetStored_28__i_1_n_0 "bRpOffsetStored[28]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_28_)) + (portref O (instanceref bRpOffsetStored_28__i_1)) + ) + ) + (net (rename bRpOffsetStored_29_ "bRpOffsetStored[29]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__29__i_2)) + (portref Q (instanceref bRpOffsetStored_reg_29_)) + ) + ) + (net (rename bRpOffsetStored_29__i_1_n_0 "bRpOffsetStored[29]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_29_)) + (portref O (instanceref bRpOffsetStored_29__i_1)) + ) + ) + (net (rename bRpOffsetStored_2_ "bRpOffsetStored[2]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__2__i_5)) + (portref Q (instanceref bRpOffsetStored_reg_2_)) + ) + ) + (net (rename bRpOffsetStored_2__i_1_n_0 "bRpOffsetStored[2]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_2_)) + (portref O (instanceref bRpOffsetStored_2__i_1)) + ) + ) + (net (rename bRpOffsetStored_30_ "bRpOffsetStored[30]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__30__i_2)) + (portref Q (instanceref bRpOffsetStored_reg_30_)) + ) + ) + (net (rename bRpOffsetStored_30__i_1_n_0 "bRpOffsetStored[30]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_30_)) + (portref O (instanceref bRpOffsetStored_30__i_1)) + ) + ) + (net (rename bRpOffsetStored_31_ "bRpOffsetStored[31]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__31__i_2)) + (portref Q (instanceref bRpOffsetStored_reg_31_)) + ) + ) + (net (rename bRpOffsetStored_31__i_1_n_0 "bRpOffsetStored[31]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_31_)) + (portref O (instanceref bRpOffsetStored_31__i_1)) + ) + ) + (net (rename bRpOffsetStored_32_ "bRpOffsetStored[32]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__0__i_4)) + (portref Q (instanceref bRpOffsetStored_reg_32_)) + ) + ) + (net (rename bRpOffsetStored_32__i_1_n_0 "bRpOffsetStored[32]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_32_)) + (portref O (instanceref bRpOffsetStored_32__i_1)) + ) + ) + (net (rename bRpOffsetStored_33_ "bRpOffsetStored[33]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__1__i_4)) + (portref Q (instanceref bRpOffsetStored_reg_33_)) + ) + ) + (net (rename bRpOffsetStored_33__i_1_n_0 "bRpOffsetStored[33]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_33_)) + (portref O (instanceref bRpOffsetStored_33__i_1)) + ) + ) + (net (rename bRpOffsetStored_34_ "bRpOffsetStored[34]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__2__i_5)) + (portref Q (instanceref bRpOffsetStored_reg_34_)) + ) + ) + (net (rename bRpOffsetStored_34__i_1_n_0 "bRpOffsetStored[34]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_34_)) + (portref O (instanceref bRpOffsetStored_34__i_1)) + ) + ) + (net (rename bRpOffsetStored_35_ "bRpOffsetStored[35]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__3__i_3)) + (portref Q (instanceref bRpOffsetStored_reg_35_)) + ) + ) + (net (rename bRpOffsetStored_35__i_1_n_0 "bRpOffsetStored[35]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_35_)) + (portref O (instanceref bRpOffsetStored_35__i_1)) + ) + ) + (net (rename bRpOffsetStored_36_ "bRpOffsetStored[36]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__4__i_4)) + (portref Q (instanceref bRpOffsetStored_reg_36_)) + ) + ) + (net (rename bRpOffsetStored_36__i_1_n_0 "bRpOffsetStored[36]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_36_)) + (portref O (instanceref bRpOffsetStored_36__i_1)) + ) + ) + (net (rename bRpOffsetStored_37_ "bRpOffsetStored[37]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__5__i_4)) + (portref Q (instanceref bRpOffsetStored_reg_37_)) + ) + ) + (net (rename bRpOffsetStored_37__i_1_n_0 "bRpOffsetStored[37]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_37_)) + (portref O (instanceref bRpOffsetStored_37__i_1)) + ) + ) + (net (rename bRpOffsetStored_38_ "bRpOffsetStored[38]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__6__i_5)) + (portref Q (instanceref bRpOffsetStored_reg_38_)) + ) + ) + (net (rename bRpOffsetStored_38__i_1_n_0 "bRpOffsetStored[38]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_38_)) + (portref O (instanceref bRpOffsetStored_38__i_1)) + ) + ) + (net (rename bRpOffsetStored_39_ "bRpOffsetStored[39]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__7__i_3)) + (portref Q (instanceref bRpOffsetStored_reg_39_)) + ) + ) + (net (rename bRpOffsetStored_39__i_2_n_0 "bRpOffsetStored[39]_i_2_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_39_)) + (portref O (instanceref bRpOffsetStored_39__i_2)) + ) + ) + (net (rename bRpOffsetStored_39__i_3_n_0 "bRpOffsetStored[39]_i_3_n_0") (joined + (portref I0 (instanceref bRpOffsetStored_39__i_1)) + (portref I1 (instanceref bClearTdcRegs_i_1)) + (portref I2 (instanceref bScratch_31__i_3)) + (portref O (instanceref bRpOffsetStored_39__i_3)) + ) + ) + (net (rename bRpOffsetStored_39__i_4_n_0 "bRpOffsetStored[39]_i_4_n_0") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__8__i_6)) + (portref I3 (instanceref bRpOffsetStored_39__i_1)) + (portref O (instanceref bRpOffsetStored_39__i_4)) + ) + ) + (net (rename bRpOffsetStored_3_ "bRpOffsetStored[3]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__3__i_3)) + (portref Q (instanceref bRpOffsetStored_reg_3_)) + ) + ) + (net (rename bRpOffsetStored_3__i_1_n_0 "bRpOffsetStored[3]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_3_)) + (portref O (instanceref bRpOffsetStored_3__i_1)) + ) + ) + (net (rename bRpOffsetStored_4_ "bRpOffsetStored[4]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__4__i_4)) + (portref Q (instanceref bRpOffsetStored_reg_4_)) + ) + ) + (net (rename bRpOffsetStored_4__i_1_n_0 "bRpOffsetStored[4]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_4_)) + (portref O (instanceref bRpOffsetStored_4__i_1)) + ) + ) + (net (rename bRpOffsetStored_5_ "bRpOffsetStored[5]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__5__i_4)) + (portref Q (instanceref bRpOffsetStored_reg_5_)) + ) + ) + (net (rename bRpOffsetStored_5__i_1_n_0 "bRpOffsetStored[5]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_5_)) + (portref O (instanceref bRpOffsetStored_5__i_1)) + ) + ) + (net (rename bRpOffsetStored_6_ "bRpOffsetStored[6]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__6__i_5)) + (portref Q (instanceref bRpOffsetStored_reg_6_)) + ) + ) + (net (rename bRpOffsetStored_6__i_1_n_0 "bRpOffsetStored[6]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_6_)) + (portref O (instanceref bRpOffsetStored_6__i_1)) + ) + ) + (net (rename bRpOffsetStored_7_ "bRpOffsetStored[7]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__7__i_3)) + (portref Q (instanceref bRpOffsetStored_reg_7_)) + ) + ) + (net (rename bRpOffsetStored_7__i_1_n_0 "bRpOffsetStored[7]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_7_)) + (portref O (instanceref bRpOffsetStored_7__i_1)) + ) + ) + (net (rename bRpOffsetStored_8_ "bRpOffsetStored[8]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__8__i_3)) + (portref Q (instanceref bRpOffsetStored_reg_8_)) + ) + ) + (net (rename bRpOffsetStored_8__i_1_n_0 "bRpOffsetStored[8]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_8_)) + (portref O (instanceref bRpOffsetStored_8__i_1)) + ) + ) + (net (rename bRpOffsetStored_9_ "bRpOffsetStored[9]") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__9__i_4)) + (portref Q (instanceref bRpOffsetStored_reg_9_)) + ) + ) + (net (rename bRpOffsetStored_9__i_1_n_0 "bRpOffsetStored[9]_i_1_n_0") (joined + (portref D (instanceref bRpOffsetStored_reg_9_)) + (portref O (instanceref bRpOffsetStored_9__i_1)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_0_ "bRpPeriodCtrlReadbackSyncReset[0]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__0__i_2)) + (portref Q (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_10_ "bRpPeriodCtrlReadbackSyncReset[10]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__10__i_2)) + (portref Q (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_11_ "bRpPeriodCtrlReadbackSyncReset[11]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__11__i_2)) + (portref Q (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_12_ "bRpPeriodCtrlReadbackSyncReset[12]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__12__i_4)) + (portref Q (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_13_ "bRpPeriodCtrlReadbackSyncReset[13]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__13__i_3)) + (portref Q (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_14_ "bRpPeriodCtrlReadbackSyncReset[14]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__14__i_2)) + (portref Q (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_15_ "bRpPeriodCtrlReadbackSyncReset[15]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__15__i_2)) + (portref Q (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_16_ "bRpPeriodCtrlReadbackSyncReset[16]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__16__i_5)) + (portref Q (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_17_ "bRpPeriodCtrlReadbackSyncReset[17]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__17__i_4)) + (portref Q (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_18_ "bRpPeriodCtrlReadbackSyncReset[18]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__18__i_4)) + (portref Q (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_19_ "bRpPeriodCtrlReadbackSyncReset[19]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__19__i_3)) + (portref Q (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_1_ "bRpPeriodCtrlReadbackSyncReset[1]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__1__i_3)) + (portref Q (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_20_ "bRpPeriodCtrlReadbackSyncReset[20]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__20__i_2)) + (portref Q (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_21_ "bRpPeriodCtrlReadbackSyncReset[21]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__21__i_2)) + (portref Q (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_22_ "bRpPeriodCtrlReadbackSyncReset[22]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__22__i_2)) + (portref Q (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_23_ "bRpPeriodCtrlReadbackSyncReset[23]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__23__i_2)) + (portref Q (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_24_ "bRpPeriodCtrlReadbackSyncReset[24]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__24__i_3)) + (portref Q (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_25_ "bRpPeriodCtrlReadbackSyncReset[25]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__25__i_4)) + (portref Q (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_26_ "bRpPeriodCtrlReadbackSyncReset[26]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__26__i_5)) + (portref Q (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_27_ "bRpPeriodCtrlReadbackSyncReset[27]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__27__i_6)) + (portref Q (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_28_ "bRpPeriodCtrlReadbackSyncReset[28]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__28__i_2)) + (portref Q (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_29_ "bRpPeriodCtrlReadbackSyncReset[29]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__29__i_2)) + (portref Q (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_2_ "bRpPeriodCtrlReadbackSyncReset[2]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__2__i_2)) + (portref Q (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_30_ "bRpPeriodCtrlReadbackSyncReset[30]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__30__i_2)) + (portref Q (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_31_ "bRpPeriodCtrlReadbackSyncReset[31]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__31__i_2)) + (portref Q (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_3_ "bRpPeriodCtrlReadbackSyncReset[3]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__3__i_2)) + (portref Q (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_4_ "bRpPeriodCtrlReadbackSyncReset[4]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__4__i_2)) + (portref Q (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_5_ "bRpPeriodCtrlReadbackSyncReset[5]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__5__i_3)) + (portref Q (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_6_ "bRpPeriodCtrlReadbackSyncReset[6]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__6__i_2)) + (portref Q (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_7_ "bRpPeriodCtrlReadbackSyncReset[7]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__7__i_2)) + (portref Q (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_8_ "bRpPeriodCtrlReadbackSyncReset[8]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__8__i_2)) + (portref Q (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadbackSyncReset_9_ "bRpPeriodCtrlReadbackSyncReset[9]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__9__i_5)) + (portref Q (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRpPeriodCtrlReadback_0_ "bRpPeriodCtrlReadback[0]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_0_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_10_ "bRpPeriodCtrlReadback[10]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_10_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_11_ "bRpPeriodCtrlReadback[11]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_11_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_12_ "bRpPeriodCtrlReadback[12]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_12_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_13_ "bRpPeriodCtrlReadback[13]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_13_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_14_ "bRpPeriodCtrlReadback[14]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_14_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_15_ "bRpPeriodCtrlReadback[15]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_15_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_16_ "bRpPeriodCtrlReadback[16]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_16_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_17_ "bRpPeriodCtrlReadback[17]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_17_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_18_ "bRpPeriodCtrlReadback[18]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_18_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_19_ "bRpPeriodCtrlReadback[19]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_19_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_1_ "bRpPeriodCtrlReadback[1]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_1_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_20_ "bRpPeriodCtrlReadback[20]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_20_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_21_ "bRpPeriodCtrlReadback[21]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_21_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_22_ "bRpPeriodCtrlReadback[22]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_22_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_23_ "bRpPeriodCtrlReadback[23]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_23_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_24_ "bRpPeriodCtrlReadback[24]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_24_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_25_ "bRpPeriodCtrlReadback[25]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_25_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_26_ "bRpPeriodCtrlReadback[26]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_26_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_27_ "bRpPeriodCtrlReadback[27]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_27_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_28_ "bRpPeriodCtrlReadback[28]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_28_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_29_ "bRpPeriodCtrlReadback[29]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_29_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_2_ "bRpPeriodCtrlReadback[2]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_2_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_30_ "bRpPeriodCtrlReadback[30]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_30_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_31_ "bRpPeriodCtrlReadback[31]") (joined + (portref D (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_31_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_3_ "bRpPeriodCtrlReadback[3]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_3_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_4_ "bRpPeriodCtrlReadback[4]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_4_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_5_ "bRpPeriodCtrlReadback[5]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_5_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_6_ "bRpPeriodCtrlReadback[6]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_6_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_7_ "bRpPeriodCtrlReadback[7]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_7_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_8_ "bRpPeriodCtrlReadback[8]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_8_)) + ) + ) + (net (rename bRpPeriodCtrlReadback_9_ "bRpPeriodCtrlReadback[9]") (joined + (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_9_)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_0_ "bRptPeriodCtrlReadbackSyncReset[0]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__0__i_2)) + (portref Q (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_10_ "bRptPeriodCtrlReadbackSyncReset[10]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__10__i_4)) + (portref Q (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_11_ "bRptPeriodCtrlReadbackSyncReset[11]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__11__i_3)) + (portref Q (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_12_ "bRptPeriodCtrlReadbackSyncReset[12]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__12__i_4)) + (portref Q (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_13_ "bRptPeriodCtrlReadbackSyncReset[13]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__13__i_4)) + (portref Q (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_14_ "bRptPeriodCtrlReadbackSyncReset[14]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__14__i_3)) + (portref Q (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_15_ "bRptPeriodCtrlReadbackSyncReset[15]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__15__i_3)) + (portref Q (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_16_ "bRptPeriodCtrlReadbackSyncReset[16]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__16__i_2)) + (portref Q (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_17_ "bRptPeriodCtrlReadbackSyncReset[17]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__17__i_4)) + (portref Q (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_18_ "bRptPeriodCtrlReadbackSyncReset[18]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__18__i_4)) + (portref Q (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_19_ "bRptPeriodCtrlReadbackSyncReset[19]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__19__i_4)) + (portref Q (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_1_ "bRptPeriodCtrlReadbackSyncReset[1]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__1__i_5)) + (portref Q (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_20_ "bRptPeriodCtrlReadbackSyncReset[20]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__20__i_3)) + (portref Q (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_21_ "bRptPeriodCtrlReadbackSyncReset[21]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__21__i_3)) + (portref Q (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_22_ "bRptPeriodCtrlReadbackSyncReset[22]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__22__i_3)) + (portref Q (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_23_ "bRptPeriodCtrlReadbackSyncReset[23]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__23__i_3)) + (portref Q (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_24_ "bRptPeriodCtrlReadbackSyncReset[24]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__24__i_4)) + (portref Q (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_25_ "bRptPeriodCtrlReadbackSyncReset[25]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__25__i_5)) + (portref Q (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_26_ "bRptPeriodCtrlReadbackSyncReset[26]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__26__i_5)) + (portref Q (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_27_ "bRptPeriodCtrlReadbackSyncReset[27]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__27__i_6)) + (portref Q (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_28_ "bRptPeriodCtrlReadbackSyncReset[28]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__28__i_6)) + (portref Q (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_29_ "bRptPeriodCtrlReadbackSyncReset[29]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__29__i_3)) + (portref Q (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_2_ "bRptPeriodCtrlReadbackSyncReset[2]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__2__i_3)) + (portref Q (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_30_ "bRptPeriodCtrlReadbackSyncReset[30]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__30__i_3)) + (portref Q (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_31_ "bRptPeriodCtrlReadbackSyncReset[31]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__31__i_3)) + (portref Q (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_3_ "bRptPeriodCtrlReadbackSyncReset[3]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__3__i_5)) + (portref Q (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_4_ "bRptPeriodCtrlReadbackSyncReset[4]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__4__i_3)) + (portref Q (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_5_ "bRptPeriodCtrlReadbackSyncReset[5]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__5__i_5)) + (portref Q (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_6_ "bRptPeriodCtrlReadbackSyncReset[6]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__6__i_3)) + (portref Q (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_7_ "bRptPeriodCtrlReadbackSyncReset[7]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__7__i_5)) + (portref Q (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_8_ "bRptPeriodCtrlReadbackSyncReset[8]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__8__i_5)) + (portref Q (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadbackSyncReset_9_ "bRptPeriodCtrlReadbackSyncReset[9]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__9__i_2)) + (portref Q (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bRptPeriodCtrlReadback_0_ "bRptPeriodCtrlReadback[0]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_0_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_10_ "bRptPeriodCtrlReadback[10]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_10_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_11_ "bRptPeriodCtrlReadback[11]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_11_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_12_ "bRptPeriodCtrlReadback[12]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_12_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_13_ "bRptPeriodCtrlReadback[13]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_13_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_14_ "bRptPeriodCtrlReadback[14]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_14_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_15_ "bRptPeriodCtrlReadback[15]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_15_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_16_ "bRptPeriodCtrlReadback[16]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_16_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_17_ "bRptPeriodCtrlReadback[17]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_17_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_18_ "bRptPeriodCtrlReadback[18]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_18_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_19_ "bRptPeriodCtrlReadback[19]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_19_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_1_ "bRptPeriodCtrlReadback[1]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_1_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_20_ "bRptPeriodCtrlReadback[20]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_20_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_21_ "bRptPeriodCtrlReadback[21]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_21_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_22_ "bRptPeriodCtrlReadback[22]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_22_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_23_ "bRptPeriodCtrlReadback[23]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_23_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_24_ "bRptPeriodCtrlReadback[24]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_24_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_25_ "bRptPeriodCtrlReadback[25]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_25_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_26_ "bRptPeriodCtrlReadback[26]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_26_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_27_ "bRptPeriodCtrlReadback[27]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_27_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_28_ "bRptPeriodCtrlReadback[28]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_28_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_29_ "bRptPeriodCtrlReadback[29]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_29_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_2_ "bRptPeriodCtrlReadback[2]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_2_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_30_ "bRptPeriodCtrlReadback[30]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_30_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_31_ "bRptPeriodCtrlReadback[31]") (joined + (portref D (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_31_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_3_ "bRptPeriodCtrlReadback[3]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_3_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_4_ "bRptPeriodCtrlReadback[4]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_4_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_5_ "bRptPeriodCtrlReadback[5]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_5_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_6_ "bRptPeriodCtrlReadback[6]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_6_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_7_ "bRptPeriodCtrlReadback[7]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_7_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_8_ "bRptPeriodCtrlReadback[8]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_8_)) + ) + ) + (net (rename bRptPeriodCtrlReadback_9_ "bRptPeriodCtrlReadback[9]") (joined + (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref D (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_9_)) + ) + ) + (net (rename bScratch_0_ "bScratch[0]") (joined + (portref I2 (instanceref bRegPortOutLcl_Data__0__i_6)) + (portref Q (instanceref bScratch_reg_0_)) + ) + ) + (net (rename bScratch_10_ "bScratch[10]") (joined + (portref I5 (instanceref bRegPortOutLcl_Data__10__i_3)) + (portref Q (instanceref bScratch_reg_10_)) + ) + ) + (net (rename bScratch_11_ "bScratch[11]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__11__i_4)) + (portref Q (instanceref bScratch_reg_11_)) + ) + ) + (net (rename bScratch_12_ "bScratch[12]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__12__i_1)) + (portref Q (instanceref bScratch_reg_12_)) + ) + ) + (net (rename bScratch_13_ "bScratch[13]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__13__i_2)) + (portref Q (instanceref bScratch_reg_13_)) + ) + ) + (net (rename bScratch_14_ "bScratch[14]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__14__i_4)) + (portref Q (instanceref bScratch_reg_14_)) + ) + ) + (net (rename bScratch_15_ "bScratch[15]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__15__i_4)) + (portref Q (instanceref bScratch_reg_15_)) + ) + ) + (net (rename bScratch_16_ "bScratch[16]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__16__i_4)) + (portref Q (instanceref bScratch_reg_16_)) + ) + ) + (net (rename bScratch_17_ "bScratch[17]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__17__i_1)) + (portref Q (instanceref bScratch_reg_17_)) + ) + ) + (net (rename bScratch_18_ "bScratch[18]") (joined + (portref I2 (instanceref bRegPortOutLcl_Data__18__i_1)) + (portref Q (instanceref bScratch_reg_18_)) + ) + ) + (net (rename bScratch_19_ "bScratch[19]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__19__i_2)) + (portref Q (instanceref bScratch_reg_19_)) + ) + ) + (net (rename bScratch_1_ "bScratch[1]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__1__i_2)) + (portref Q (instanceref bScratch_reg_1_)) + ) + ) + (net (rename bScratch_20_ "bScratch[20]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__20__i_4)) + (portref Q (instanceref bScratch_reg_20_)) + ) + ) + (net (rename bScratch_21_ "bScratch[21]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__21__i_4)) + (portref Q (instanceref bScratch_reg_21_)) + ) + ) + (net (rename bScratch_22_ "bScratch[22]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__22__i_4)) + (portref Q (instanceref bScratch_reg_22_)) + ) + ) + (net (rename bScratch_23_ "bScratch[23]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__23__i_4)) + (portref Q (instanceref bScratch_reg_23_)) + ) + ) + (net (rename bScratch_24_ "bScratch[24]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__24__i_2)) + (portref Q (instanceref bScratch_reg_24_)) + ) + ) + (net (rename bScratch_25_ "bScratch[25]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__25__i_2)) + (portref Q (instanceref bScratch_reg_25_)) + ) + ) + (net (rename bScratch_26_ "bScratch[26]") (joined + (portref I2 (instanceref bRegPortOutLcl_Data__26__i_1)) + (portref Q (instanceref bScratch_reg_26_)) + ) + ) + (net (rename bScratch_27_ "bScratch[27]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__27__i_1)) + (portref Q (instanceref bScratch_reg_27_)) + ) + ) + (net (rename bScratch_28_ "bScratch[28]") (joined + (portref I5 (instanceref bRegPortOutLcl_Data__28__i_3)) + (portref Q (instanceref bScratch_reg_28_)) + ) + ) + (net (rename bScratch_29_ "bScratch[29]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__29__i_4)) + (portref Q (instanceref bScratch_reg_29_)) + ) + ) + (net (rename bScratch_2_ "bScratch[2]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__2__i_1)) + (portref Q (instanceref bScratch_reg_2_)) + ) + ) + (net (rename bScratch_30_ "bScratch[30]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__30__i_5)) + (portref Q (instanceref bScratch_reg_30_)) + ) + ) + (net (rename bScratch_31_ "bScratch[31]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__31__i_4)) + (portref Q (instanceref bScratch_reg_31_)) + ) + ) + (net (rename bScratch_31__i_1_n_0 "bScratch[31]_i_1_n_0") (joined + (portref CE (instanceref bScratch_reg_0_)) + (portref CE (instanceref bScratch_reg_10_)) + (portref CE (instanceref bScratch_reg_11_)) + (portref CE (instanceref bScratch_reg_12_)) + (portref CE (instanceref bScratch_reg_13_)) + (portref CE (instanceref bScratch_reg_14_)) + (portref CE (instanceref bScratch_reg_15_)) + (portref CE (instanceref bScratch_reg_16_)) + (portref CE (instanceref bScratch_reg_17_)) + (portref CE (instanceref bScratch_reg_18_)) + (portref CE (instanceref bScratch_reg_19_)) + (portref CE (instanceref bScratch_reg_1_)) + (portref CE (instanceref bScratch_reg_20_)) + (portref CE (instanceref bScratch_reg_21_)) + (portref CE (instanceref bScratch_reg_22_)) + (portref CE (instanceref bScratch_reg_23_)) + (portref CE (instanceref bScratch_reg_24_)) + (portref CE (instanceref bScratch_reg_25_)) + (portref CE (instanceref bScratch_reg_26_)) + (portref CE (instanceref bScratch_reg_27_)) + (portref CE (instanceref bScratch_reg_28_)) + (portref CE (instanceref bScratch_reg_29_)) + (portref CE (instanceref bScratch_reg_2_)) + (portref CE (instanceref bScratch_reg_30_)) + (portref CE (instanceref bScratch_reg_31_)) + (portref CE (instanceref bScratch_reg_3_)) + (portref CE (instanceref bScratch_reg_4_)) + (portref CE (instanceref bScratch_reg_5_)) + (portref CE (instanceref bScratch_reg_6_)) + (portref CE (instanceref bScratch_reg_7_)) + (portref CE (instanceref bScratch_reg_8_)) + (portref CE (instanceref bScratch_reg_9_)) + (portref O (instanceref bScratch_31__i_1)) + ) + ) + (net (rename bScratch_31__i_3_n_0 "bScratch[31]_i_3_n_0") (joined + (portref I0 (instanceref Gen0_FDCEx_i_3__0)) + (portref I0 (instanceref Gen0_FDCEx_i_3__1)) + (portref I0 (instanceref bScratch_31__i_1)) + (portref I3 (instanceref Gen0_FDCEx_i_3)) + (portref I3 (instanceref bPpsClkCrossDelayVal_3__i_3)) + (portref O (instanceref bScratch_31__i_3)) + ) + ) + (net (rename bScratch_31__i_4_n_0 "bScratch[31]_i_4_n_0") (joined + (portref I2 (instanceref bScratch_31__i_1)) + (portref I5 (instanceref bRegPortOutLcl_Data__16__i_4)) + (portref I5 (instanceref bRegPortOutLcl_Data__26__i_3)) + (portref I5 (instanceref bRegPortOutLcl_Data__28__i_5)) + (portref I5 (instanceref bRegPortOutLcl_Data__31__i_5)) + (portref O (instanceref bScratch_31__i_4)) + ) + ) + (net (rename bScratch_31__i_5_n_0 "bScratch[31]_i_5_n_0") (joined + (portref I5 (instanceref bScratch_31__i_1)) + (portref O (instanceref bScratch_31__i_5)) + ) + ) + (net (rename bScratch_3_ "bScratch[3]") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__3__i_4)) + (portref Q (instanceref bScratch_reg_3_)) + ) + ) + (net (rename bScratch_4_ "bScratch[4]") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__4__i_7)) + (portref Q (instanceref bScratch_reg_4_)) + ) + ) + (net (rename bScratch_5_ "bScratch[5]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__5__i_2)) + (portref Q (instanceref bScratch_reg_5_)) + ) + ) + (net (rename bScratch_6_ "bScratch[6]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__6__i_1)) + (portref Q (instanceref bScratch_reg_6_)) + ) + ) + (net (rename bScratch_7_ "bScratch[7]") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__7__i_4)) + (portref Q (instanceref bScratch_reg_7_)) + ) + ) + (net (rename bScratch_8_ "bScratch[8]") (joined + (portref I0 (instanceref bRegPortOutLcl_Data__8__i_4)) + (portref Q (instanceref bScratch_reg_8_)) + ) + ) + (net (rename bScratch_9_ "bScratch[9]") (joined + (portref I5 (instanceref bRegPortOutLcl_Data__9__i_4)) + (portref Q (instanceref bScratch_reg_9_)) + ) + ) + (net bSpOffsetStored (joined + (portref CE (instanceref bRpOffsetStored_reg_0_)) + (portref CE (instanceref bRpOffsetStored_reg_10_)) + (portref CE (instanceref bRpOffsetStored_reg_11_)) + (portref CE (instanceref bRpOffsetStored_reg_12_)) + (portref CE (instanceref bRpOffsetStored_reg_13_)) + (portref CE (instanceref bRpOffsetStored_reg_14_)) + (portref CE (instanceref bRpOffsetStored_reg_15_)) + (portref CE (instanceref bRpOffsetStored_reg_16_)) + (portref CE (instanceref bRpOffsetStored_reg_17_)) + (portref CE (instanceref bRpOffsetStored_reg_18_)) + (portref CE (instanceref bRpOffsetStored_reg_19_)) + (portref CE (instanceref bRpOffsetStored_reg_1_)) + (portref CE (instanceref bRpOffsetStored_reg_20_)) + (portref CE (instanceref bRpOffsetStored_reg_21_)) + (portref CE (instanceref bRpOffsetStored_reg_22_)) + (portref CE (instanceref bRpOffsetStored_reg_23_)) + (portref CE (instanceref bRpOffsetStored_reg_24_)) + (portref CE (instanceref bRpOffsetStored_reg_25_)) + (portref CE (instanceref bRpOffsetStored_reg_26_)) + (portref CE (instanceref bRpOffsetStored_reg_27_)) + (portref CE (instanceref bRpOffsetStored_reg_28_)) + (portref CE (instanceref bRpOffsetStored_reg_29_)) + (portref CE (instanceref bRpOffsetStored_reg_2_)) + (portref CE (instanceref bRpOffsetStored_reg_30_)) + (portref CE (instanceref bRpOffsetStored_reg_31_)) + (portref CE (instanceref bRpOffsetStored_reg_32_)) + (portref CE (instanceref bRpOffsetStored_reg_33_)) + (portref CE (instanceref bRpOffsetStored_reg_34_)) + (portref CE (instanceref bRpOffsetStored_reg_35_)) + (portref CE (instanceref bRpOffsetStored_reg_36_)) + (portref CE (instanceref bRpOffsetStored_reg_37_)) + (portref CE (instanceref bRpOffsetStored_reg_38_)) + (portref CE (instanceref bRpOffsetStored_reg_39_)) + (portref CE (instanceref bRpOffsetStored_reg_3_)) + (portref CE (instanceref bRpOffsetStored_reg_4_)) + (portref CE (instanceref bRpOffsetStored_reg_5_)) + (portref CE (instanceref bRpOffsetStored_reg_6_)) + (portref CE (instanceref bRpOffsetStored_reg_7_)) + (portref CE (instanceref bRpOffsetStored_reg_8_)) + (portref CE (instanceref bRpOffsetStored_reg_9_)) + (portref CE (instanceref bSpOffsetStored_reg_0_)) + (portref CE (instanceref bSpOffsetStored_reg_10_)) + (portref CE (instanceref bSpOffsetStored_reg_11_)) + (portref CE (instanceref bSpOffsetStored_reg_12_)) + (portref CE (instanceref bSpOffsetStored_reg_13_)) + (portref CE (instanceref bSpOffsetStored_reg_14_)) + (portref CE (instanceref bSpOffsetStored_reg_15_)) + (portref CE (instanceref bSpOffsetStored_reg_16_)) + (portref CE (instanceref bSpOffsetStored_reg_17_)) + (portref CE (instanceref bSpOffsetStored_reg_18_)) + (portref CE (instanceref bSpOffsetStored_reg_19_)) + (portref CE (instanceref bSpOffsetStored_reg_1_)) + (portref CE (instanceref bSpOffsetStored_reg_20_)) + (portref CE (instanceref bSpOffsetStored_reg_21_)) + (portref CE (instanceref bSpOffsetStored_reg_22_)) + (portref CE (instanceref bSpOffsetStored_reg_23_)) + (portref CE (instanceref bSpOffsetStored_reg_24_)) + (portref CE (instanceref bSpOffsetStored_reg_25_)) + (portref CE (instanceref bSpOffsetStored_reg_26_)) + (portref CE (instanceref bSpOffsetStored_reg_27_)) + (portref CE (instanceref bSpOffsetStored_reg_28_)) + (portref CE (instanceref bSpOffsetStored_reg_29_)) + (portref CE (instanceref bSpOffsetStored_reg_2_)) + (portref CE (instanceref bSpOffsetStored_reg_30_)) + (portref CE (instanceref bSpOffsetStored_reg_31_)) + (portref CE (instanceref bSpOffsetStored_reg_3_)) + (portref CE (instanceref bSpOffsetStored_reg_4_)) + (portref CE (instanceref bSpOffsetStored_reg_5_)) + (portref CE (instanceref bSpOffsetStored_reg_6_)) + (portref CE (instanceref bSpOffsetStored_reg_7_)) + (portref CE (instanceref bSpOffsetStored_reg_8_)) + (portref CE (instanceref bSpOffsetStored_reg_9_)) + (portref I1 (instanceref bOffsetUpdated_i_1)) + (portref O (instanceref bRpOffsetStored_39__i_1)) + ) + ) + (net (rename bSpOffsetStored_0__i_1_n_0 "bSpOffsetStored[0]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_0_)) + (portref O (instanceref bSpOffsetStored_0__i_1)) + ) + ) + (net (rename bSpOffsetStored_10__i_1_n_0 "bSpOffsetStored[10]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_10_)) + (portref O (instanceref bSpOffsetStored_10__i_1)) + ) + ) + (net (rename bSpOffsetStored_11__i_1_n_0 "bSpOffsetStored[11]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_11_)) + (portref O (instanceref bSpOffsetStored_11__i_1)) + ) + ) + (net (rename bSpOffsetStored_12__i_1_n_0 "bSpOffsetStored[12]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_12_)) + (portref O (instanceref bSpOffsetStored_12__i_1)) + ) + ) + (net (rename bSpOffsetStored_13__i_1_n_0 "bSpOffsetStored[13]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_13_)) + (portref O (instanceref bSpOffsetStored_13__i_1)) + ) + ) + (net (rename bSpOffsetStored_14__i_1_n_0 "bSpOffsetStored[14]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_14_)) + (portref O (instanceref bSpOffsetStored_14__i_1)) + ) + ) + (net (rename bSpOffsetStored_15__i_1_n_0 "bSpOffsetStored[15]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_15_)) + (portref O (instanceref bSpOffsetStored_15__i_1)) + ) + ) + (net (rename bSpOffsetStored_16__i_1_n_0 "bSpOffsetStored[16]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_16_)) + (portref O (instanceref bSpOffsetStored_16__i_1)) + ) + ) + (net (rename bSpOffsetStored_17__i_1_n_0 "bSpOffsetStored[17]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_17_)) + (portref O (instanceref bSpOffsetStored_17__i_1)) + ) + ) + (net (rename bSpOffsetStored_18__i_1_n_0 "bSpOffsetStored[18]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_18_)) + (portref O (instanceref bSpOffsetStored_18__i_1)) + ) + ) + (net (rename bSpOffsetStored_19__i_1_n_0 "bSpOffsetStored[19]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_19_)) + (portref O (instanceref bSpOffsetStored_19__i_1)) + ) + ) + (net (rename bSpOffsetStored_1__i_1_n_0 "bSpOffsetStored[1]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_1_)) + (portref O (instanceref bSpOffsetStored_1__i_1)) + ) + ) + (net (rename bSpOffsetStored_20__i_1_n_0 "bSpOffsetStored[20]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_20_)) + (portref O (instanceref bSpOffsetStored_20__i_1)) + ) + ) + (net (rename bSpOffsetStored_21__i_1_n_0 "bSpOffsetStored[21]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_21_)) + (portref O (instanceref bSpOffsetStored_21__i_1)) + ) + ) + (net (rename bSpOffsetStored_22__i_1_n_0 "bSpOffsetStored[22]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_22_)) + (portref O (instanceref bSpOffsetStored_22__i_1)) + ) + ) + (net (rename bSpOffsetStored_23__i_1_n_0 "bSpOffsetStored[23]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_23_)) + (portref O (instanceref bSpOffsetStored_23__i_1)) + ) + ) + (net (rename bSpOffsetStored_24__i_1_n_0 "bSpOffsetStored[24]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_24_)) + (portref O (instanceref bSpOffsetStored_24__i_1)) + ) + ) + (net (rename bSpOffsetStored_25__i_1_n_0 "bSpOffsetStored[25]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_25_)) + (portref O (instanceref bSpOffsetStored_25__i_1)) + ) + ) + (net (rename bSpOffsetStored_26__i_1_n_0 "bSpOffsetStored[26]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_26_)) + (portref O (instanceref bSpOffsetStored_26__i_1)) + ) + ) + (net (rename bSpOffsetStored_27__i_1_n_0 "bSpOffsetStored[27]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_27_)) + (portref O (instanceref bSpOffsetStored_27__i_1)) + ) + ) + (net (rename bSpOffsetStored_28__i_1_n_0 "bSpOffsetStored[28]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_28_)) + (portref O (instanceref bSpOffsetStored_28__i_1)) + ) + ) + (net (rename bSpOffsetStored_29__i_1_n_0 "bSpOffsetStored[29]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_29_)) + (portref O (instanceref bSpOffsetStored_29__i_1)) + ) + ) + (net (rename bSpOffsetStored_2__i_1_n_0 "bSpOffsetStored[2]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_2_)) + (portref O (instanceref bSpOffsetStored_2__i_1)) + ) + ) + (net (rename bSpOffsetStored_30__i_1_n_0 "bSpOffsetStored[30]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_30_)) + (portref O (instanceref bSpOffsetStored_30__i_1)) + ) + ) + (net (rename bSpOffsetStored_31__i_1_n_0 "bSpOffsetStored[31]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_31_)) + (portref O (instanceref bSpOffsetStored_31__i_1)) + ) + ) + (net (rename bSpOffsetStored_3__i_1_n_0 "bSpOffsetStored[3]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_3_)) + (portref O (instanceref bSpOffsetStored_3__i_1)) + ) + ) + (net (rename bSpOffsetStored_4__i_1_n_0 "bSpOffsetStored[4]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_4_)) + (portref O (instanceref bSpOffsetStored_4__i_1)) + ) + ) + (net (rename bSpOffsetStored_5__i_1_n_0 "bSpOffsetStored[5]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_5_)) + (portref O (instanceref bSpOffsetStored_5__i_1)) + ) + ) + (net (rename bSpOffsetStored_6__i_1_n_0 "bSpOffsetStored[6]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_6_)) + (portref O (instanceref bSpOffsetStored_6__i_1)) + ) + ) + (net (rename bSpOffsetStored_7__i_1_n_0 "bSpOffsetStored[7]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_7_)) + (portref O (instanceref bSpOffsetStored_7__i_1)) + ) + ) + (net (rename bSpOffsetStored_8__i_1_n_0 "bSpOffsetStored[8]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_8_)) + (portref O (instanceref bSpOffsetStored_8__i_1)) + ) + ) + (net (rename bSpOffsetStored_9__i_1_n_0 "bSpOffsetStored[9]_i_1_n_0") (joined + (portref D (instanceref bSpOffsetStored_reg_9_)) + (portref O (instanceref bSpOffsetStored_9__i_1)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__0_ "bSpOffsetStored_reg_n_0_[0]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__0__i_4)) + (portref Q (instanceref bSpOffsetStored_reg_0_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__10_ "bSpOffsetStored_reg_n_0_[10]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__10__i_2)) + (portref Q (instanceref bSpOffsetStored_reg_10_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__11_ "bSpOffsetStored_reg_n_0_[11]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__11__i_2)) + (portref Q (instanceref bSpOffsetStored_reg_11_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__12_ "bSpOffsetStored_reg_n_0_[12]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__12__i_3)) + (portref Q (instanceref bSpOffsetStored_reg_12_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__13_ "bSpOffsetStored_reg_n_0_[13]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__13__i_3)) + (portref Q (instanceref bSpOffsetStored_reg_13_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__14_ "bSpOffsetStored_reg_n_0_[14]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__14__i_2)) + (portref Q (instanceref bSpOffsetStored_reg_14_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__15_ "bSpOffsetStored_reg_n_0_[15]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__15__i_2)) + (portref Q (instanceref bSpOffsetStored_reg_15_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__16_ "bSpOffsetStored_reg_n_0_[16]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__16__i_5)) + (portref Q (instanceref bSpOffsetStored_reg_16_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__17_ "bSpOffsetStored_reg_n_0_[17]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__17__i_3)) + (portref Q (instanceref bSpOffsetStored_reg_17_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__18_ "bSpOffsetStored_reg_n_0_[18]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__18__i_3)) + (portref Q (instanceref bSpOffsetStored_reg_18_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__19_ "bSpOffsetStored_reg_n_0_[19]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__19__i_3)) + (portref Q (instanceref bSpOffsetStored_reg_19_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__1_ "bSpOffsetStored_reg_n_0_[1]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__1__i_4)) + (portref Q (instanceref bSpOffsetStored_reg_1_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__20_ "bSpOffsetStored_reg_n_0_[20]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__20__i_2)) + (portref Q (instanceref bSpOffsetStored_reg_20_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__21_ "bSpOffsetStored_reg_n_0_[21]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__21__i_2)) + (portref Q (instanceref bSpOffsetStored_reg_21_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__22_ "bSpOffsetStored_reg_n_0_[22]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__22__i_2)) + (portref Q (instanceref bSpOffsetStored_reg_22_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__23_ "bSpOffsetStored_reg_n_0_[23]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__23__i_2)) + (portref Q (instanceref bSpOffsetStored_reg_23_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__24_ "bSpOffsetStored_reg_n_0_[24]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__24__i_3)) + (portref Q (instanceref bSpOffsetStored_reg_24_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__25_ "bSpOffsetStored_reg_n_0_[25]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__25__i_4)) + (portref Q (instanceref bSpOffsetStored_reg_25_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__26_ "bSpOffsetStored_reg_n_0_[26]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__26__i_4)) + (portref Q (instanceref bSpOffsetStored_reg_26_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__27_ "bSpOffsetStored_reg_n_0_[27]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__27__i_5)) + (portref Q (instanceref bSpOffsetStored_reg_27_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__28_ "bSpOffsetStored_reg_n_0_[28]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__28__i_2)) + (portref Q (instanceref bSpOffsetStored_reg_28_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__29_ "bSpOffsetStored_reg_n_0_[29]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__29__i_2)) + (portref Q (instanceref bSpOffsetStored_reg_29_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__2_ "bSpOffsetStored_reg_n_0_[2]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__2__i_5)) + (portref Q (instanceref bSpOffsetStored_reg_2_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__30_ "bSpOffsetStored_reg_n_0_[30]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__30__i_2)) + (portref Q (instanceref bSpOffsetStored_reg_30_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__31_ "bSpOffsetStored_reg_n_0_[31]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__31__i_2)) + (portref Q (instanceref bSpOffsetStored_reg_31_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__3_ "bSpOffsetStored_reg_n_0_[3]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__3__i_3)) + (portref Q (instanceref bSpOffsetStored_reg_3_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__4_ "bSpOffsetStored_reg_n_0_[4]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__4__i_4)) + (portref Q (instanceref bSpOffsetStored_reg_4_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__5_ "bSpOffsetStored_reg_n_0_[5]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__5__i_4)) + (portref Q (instanceref bSpOffsetStored_reg_5_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__6_ "bSpOffsetStored_reg_n_0_[6]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__6__i_5)) + (portref Q (instanceref bSpOffsetStored_reg_6_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__7_ "bSpOffsetStored_reg_n_0_[7]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__7__i_3)) + (portref Q (instanceref bSpOffsetStored_reg_7_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__8_ "bSpOffsetStored_reg_n_0_[8]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__8__i_3)) + (portref Q (instanceref bSpOffsetStored_reg_8_)) + ) + ) + (net (rename bSpOffsetStored_reg_n_0__9_ "bSpOffsetStored_reg_n_0_[9]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__9__i_5)) + (portref Q (instanceref bSpOffsetStored_reg_9_)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_0_ "bSpPeriodCtrlReadbackSyncReset[0]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__0__i_2)) + (portref Q (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_10_ "bSpPeriodCtrlReadbackSyncReset[10]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__10__i_2)) + (portref Q (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_11_ "bSpPeriodCtrlReadbackSyncReset[11]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__11__i_3)) + (portref Q (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_12_ "bSpPeriodCtrlReadbackSyncReset[12]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__12__i_4)) + (portref Q (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_13_ "bSpPeriodCtrlReadbackSyncReset[13]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__13__i_4)) + (portref Q (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_14_ "bSpPeriodCtrlReadbackSyncReset[14]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__14__i_3)) + (portref Q (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_15_ "bSpPeriodCtrlReadbackSyncReset[15]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__15__i_3)) + (portref Q (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_16_ "bSpPeriodCtrlReadbackSyncReset[16]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__16__i_5)) + (portref Q (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_17_ "bSpPeriodCtrlReadbackSyncReset[17]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__17__i_4)) + (portref Q (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_18_ "bSpPeriodCtrlReadbackSyncReset[18]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__18__i_4)) + (portref Q (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_19_ "bSpPeriodCtrlReadbackSyncReset[19]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__19__i_4)) + (portref Q (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_1_ "bSpPeriodCtrlReadbackSyncReset[1]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__1__i_3)) + (portref Q (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_20_ "bSpPeriodCtrlReadbackSyncReset[20]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__20__i_3)) + (portref Q (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_21_ "bSpPeriodCtrlReadbackSyncReset[21]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__21__i_3)) + (portref Q (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_22_ "bSpPeriodCtrlReadbackSyncReset[22]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__22__i_3)) + (portref Q (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_23_ "bSpPeriodCtrlReadbackSyncReset[23]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__23__i_3)) + (portref Q (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_24_ "bSpPeriodCtrlReadbackSyncReset[24]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__24__i_4)) + (portref Q (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_25_ "bSpPeriodCtrlReadbackSyncReset[25]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__25__i_5)) + (portref Q (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_26_ "bSpPeriodCtrlReadbackSyncReset[26]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__26__i_5)) + (portref Q (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_27_ "bSpPeriodCtrlReadbackSyncReset[27]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__27__i_6)) + (portref Q (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_28_ "bSpPeriodCtrlReadbackSyncReset[28]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__28__i_2)) + (portref Q (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_29_ "bSpPeriodCtrlReadbackSyncReset[29]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__29__i_3)) + (portref Q (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_2_ "bSpPeriodCtrlReadbackSyncReset[2]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__2__i_2)) + (portref Q (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_30_ "bSpPeriodCtrlReadbackSyncReset[30]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__30__i_3)) + (portref Q (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_31_ "bSpPeriodCtrlReadbackSyncReset[31]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__31__i_3)) + (portref Q (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_3_ "bSpPeriodCtrlReadbackSyncReset[3]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__3__i_2)) + (portref Q (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_4_ "bSpPeriodCtrlReadbackSyncReset[4]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__4__i_2)) + (portref Q (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_5_ "bSpPeriodCtrlReadbackSyncReset[5]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__5__i_3)) + (portref Q (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_6_ "bSpPeriodCtrlReadbackSyncReset[6]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__6__i_2)) + (portref Q (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_7_ "bSpPeriodCtrlReadbackSyncReset[7]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__7__i_2)) + (portref Q (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_8_ "bSpPeriodCtrlReadbackSyncReset[8]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__8__i_2)) + (portref Q (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadbackSyncReset_9_ "bSpPeriodCtrlReadbackSyncReset[9]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__9__i_5)) + (portref Q (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSpPeriodCtrlReadback_0_ "bSpPeriodCtrlReadback[0]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_0_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_10_ "bSpPeriodCtrlReadback[10]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_10_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_11_ "bSpPeriodCtrlReadback[11]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_11_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_12_ "bSpPeriodCtrlReadback[12]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_12_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_13_ "bSpPeriodCtrlReadback[13]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_13_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_14_ "bSpPeriodCtrlReadback[14]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_14_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_15_ "bSpPeriodCtrlReadback[15]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_15_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_16_ "bSpPeriodCtrlReadback[16]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_16_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_17_ "bSpPeriodCtrlReadback[17]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_17_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_18_ "bSpPeriodCtrlReadback[18]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_18_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_19_ "bSpPeriodCtrlReadback[19]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_19_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_1_ "bSpPeriodCtrlReadback[1]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_1_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_20_ "bSpPeriodCtrlReadback[20]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_20_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_21_ "bSpPeriodCtrlReadback[21]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_21_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_22_ "bSpPeriodCtrlReadback[22]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_22_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_23_ "bSpPeriodCtrlReadback[23]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_23_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_24_ "bSpPeriodCtrlReadback[24]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_24_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_25_ "bSpPeriodCtrlReadback[25]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_25_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_26_ "bSpPeriodCtrlReadback[26]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_26_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_27_ "bSpPeriodCtrlReadback[27]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_27_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_28_ "bSpPeriodCtrlReadback[28]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_28_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_29_ "bSpPeriodCtrlReadback[29]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_29_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_2_ "bSpPeriodCtrlReadback[2]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_2_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_30_ "bSpPeriodCtrlReadback[30]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_30_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_31_ "bSpPeriodCtrlReadback[31]") (joined + (portref D (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_31_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_3_ "bSpPeriodCtrlReadback[3]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_3_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_4_ "bSpPeriodCtrlReadback[4]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_4_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_5_ "bSpPeriodCtrlReadback[5]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_5_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_6_ "bSpPeriodCtrlReadback[6]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_6_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_7_ "bSpPeriodCtrlReadback[7]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_7_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_8_ "bSpPeriodCtrlReadback[8]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_8_)) + ) + ) + (net (rename bSpPeriodCtrlReadback_9_ "bSpPeriodCtrlReadback[9]") (joined + (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_9_)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_0_ "bSptPeriodCtrlReadbackSyncReset[0]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__0__i_3)) + (portref Q (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_10_ "bSptPeriodCtrlReadbackSyncReset[10]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__10__i_4)) + (portref Q (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_11_ "bSptPeriodCtrlReadbackSyncReset[11]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__11__i_3)) + (portref Q (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_12_ "bSptPeriodCtrlReadbackSyncReset[12]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__12__i_2)) + (portref Q (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_13_ "bSptPeriodCtrlReadbackSyncReset[13]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__13__i_4)) + (portref Q (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_14_ "bSptPeriodCtrlReadbackSyncReset[14]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__14__i_3)) + (portref Q (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_15_ "bSptPeriodCtrlReadbackSyncReset[15]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__15__i_3)) + (portref Q (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_16_ "bSptPeriodCtrlReadbackSyncReset[16]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__16__i_2)) + (portref Q (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_17_ "bSptPeriodCtrlReadbackSyncReset[17]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__17__i_2)) + (portref Q (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_18_ "bSptPeriodCtrlReadbackSyncReset[18]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__18__i_2)) + (portref Q (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_19_ "bSptPeriodCtrlReadbackSyncReset[19]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__19__i_4)) + (portref Q (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_1_ "bSptPeriodCtrlReadbackSyncReset[1]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__1__i_5)) + (portref Q (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_20_ "bSptPeriodCtrlReadbackSyncReset[20]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__20__i_3)) + (portref Q (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_21_ "bSptPeriodCtrlReadbackSyncReset[21]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__21__i_3)) + (portref Q (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_22_ "bSptPeriodCtrlReadbackSyncReset[22]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__22__i_3)) + (portref Q (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_23_ "bSptPeriodCtrlReadbackSyncReset[23]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__23__i_3)) + (portref Q (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_24_ "bSptPeriodCtrlReadbackSyncReset[24]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__24__i_4)) + (portref Q (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_25_ "bSptPeriodCtrlReadbackSyncReset[25]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__25__i_5)) + (portref Q (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_26_ "bSptPeriodCtrlReadbackSyncReset[26]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__26__i_2)) + (portref Q (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_27_ "bSptPeriodCtrlReadbackSyncReset[27]") (joined + (portref I1 (instanceref bRegPortOutLcl_Data__27__i_2)) + (portref Q (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_28_ "bSptPeriodCtrlReadbackSyncReset[28]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__28__i_6)) + (portref Q (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_29_ "bSptPeriodCtrlReadbackSyncReset[29]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__29__i_3)) + (portref Q (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_2_ "bSptPeriodCtrlReadbackSyncReset[2]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__2__i_3)) + (portref Q (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_30_ "bSptPeriodCtrlReadbackSyncReset[30]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__30__i_3)) + (portref Q (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_31_ "bSptPeriodCtrlReadbackSyncReset[31]") (joined + (portref I4 (instanceref bRegPortOutLcl_Data__31__i_3)) + (portref Q (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_3_ "bSptPeriodCtrlReadbackSyncReset[3]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__3__i_5)) + (portref Q (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_4_ "bSptPeriodCtrlReadbackSyncReset[4]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__4__i_3)) + (portref Q (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_5_ "bSptPeriodCtrlReadbackSyncReset[5]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__5__i_5)) + (portref Q (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_6_ "bSptPeriodCtrlReadbackSyncReset[6]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__6__i_3)) + (portref Q (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_7_ "bSptPeriodCtrlReadbackSyncReset[7]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__7__i_5)) + (portref Q (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_8_ "bSptPeriodCtrlReadbackSyncReset[8]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__8__i_5)) + (portref Q (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadbackSyncReset_9_ "bSptPeriodCtrlReadbackSyncReset[9]") (joined + (portref I3 (instanceref bRegPortOutLcl_Data__9__i_2)) + (portref Q (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename bSptPeriodCtrlReadback_0_ "bSptPeriodCtrlReadback[0]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_0_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_10_ "bSptPeriodCtrlReadback[10]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_10_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_11_ "bSptPeriodCtrlReadback[11]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_11_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_12_ "bSptPeriodCtrlReadback[12]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_12_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_13_ "bSptPeriodCtrlReadback[13]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_13_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_14_ "bSptPeriodCtrlReadback[14]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_14_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_15_ "bSptPeriodCtrlReadback[15]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_15_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_16_ "bSptPeriodCtrlReadback[16]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_16_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_17_ "bSptPeriodCtrlReadback[17]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_17_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_18_ "bSptPeriodCtrlReadback[18]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_18_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_19_ "bSptPeriodCtrlReadback[19]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_19_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_1_ "bSptPeriodCtrlReadback[1]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_1_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_20_ "bSptPeriodCtrlReadback[20]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_20_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_21_ "bSptPeriodCtrlReadback[21]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_21_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_22_ "bSptPeriodCtrlReadback[22]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_22_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_23_ "bSptPeriodCtrlReadback[23]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_23_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_24_ "bSptPeriodCtrlReadback[24]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_24_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_25_ "bSptPeriodCtrlReadback[25]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_25_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_26_ "bSptPeriodCtrlReadback[26]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_26_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_27_ "bSptPeriodCtrlReadback[27]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_27_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_28_ "bSptPeriodCtrlReadback[28]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_28_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_29_ "bSptPeriodCtrlReadback[29]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_29_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_2_ "bSptPeriodCtrlReadback[2]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_2_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_30_ "bSptPeriodCtrlReadback[30]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_30_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_31_ "bSptPeriodCtrlReadback[31]") (joined + (portref D (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_31_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_3_ "bSptPeriodCtrlReadback[3]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_3_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_4_ "bSptPeriodCtrlReadback[4]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_4_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_5_ "bSptPeriodCtrlReadback[5]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_5_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_6_ "bSptPeriodCtrlReadback[6]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_6_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_7_ "bSptPeriodCtrlReadback[7]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_7_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_8_ "bSptPeriodCtrlReadback[8]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_8_)) + ) + ) + (net (rename bSptPeriodCtrlReadback_9_ "bSptPeriodCtrlReadback[9]") (joined + (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref D (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx)) + (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_9_)) + ) + ) + (net iDlyPush (joined + (portref I0 (instanceref Gen0_FDCEx_i_1__4)) + (portref Q (instanceref RpCntHs_BlkIn_iDlyPush_reg)) + ) + ) + (net mOffsetsValid (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iDlyPush_reg)) + (portref I0 (instanceref Gen0_FDCEx_i_1__11)) + (portref mOffsetsValid) + ) + ) + (net (rename mRpOffset_0_ "mRpOffset[0]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_40_)) + (portref (member mRpOffset 39)) + ) + ) + (net (rename mRpOffset_10_ "mRpOffset[10]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_50_)) + (portref (member mRpOffset 29)) + ) + ) + (net (rename mRpOffset_11_ "mRpOffset[11]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_51_)) + (portref (member mRpOffset 28)) + ) + ) + (net (rename mRpOffset_12_ "mRpOffset[12]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_52_)) + (portref (member mRpOffset 27)) + ) + ) + (net (rename mRpOffset_13_ "mRpOffset[13]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_53_)) + (portref (member mRpOffset 26)) + ) + ) + (net (rename mRpOffset_14_ "mRpOffset[14]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_54_)) + (portref (member mRpOffset 25)) + ) + ) + (net (rename mRpOffset_15_ "mRpOffset[15]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_55_)) + (portref (member mRpOffset 24)) + ) + ) + (net (rename mRpOffset_16_ "mRpOffset[16]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_56_)) + (portref (member mRpOffset 23)) + ) + ) + (net (rename mRpOffset_17_ "mRpOffset[17]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_57_)) + (portref (member mRpOffset 22)) + ) + ) + (net (rename mRpOffset_18_ "mRpOffset[18]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_58_)) + (portref (member mRpOffset 21)) + ) + ) + (net (rename mRpOffset_19_ "mRpOffset[19]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_59_)) + (portref (member mRpOffset 20)) + ) + ) + (net (rename mRpOffset_1_ "mRpOffset[1]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_41_)) + (portref (member mRpOffset 38)) + ) + ) + (net (rename mRpOffset_20_ "mRpOffset[20]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_60_)) + (portref (member mRpOffset 19)) + ) + ) + (net (rename mRpOffset_21_ "mRpOffset[21]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_61_)) + (portref (member mRpOffset 18)) + ) + ) + (net (rename mRpOffset_22_ "mRpOffset[22]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_62_)) + (portref (member mRpOffset 17)) + ) + ) + (net (rename mRpOffset_23_ "mRpOffset[23]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_63_)) + (portref (member mRpOffset 16)) + ) + ) + (net (rename mRpOffset_24_ "mRpOffset[24]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_64_)) + (portref (member mRpOffset 15)) + ) + ) + (net (rename mRpOffset_25_ "mRpOffset[25]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_65_)) + (portref (member mRpOffset 14)) + ) + ) + (net (rename mRpOffset_26_ "mRpOffset[26]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_66_)) + (portref (member mRpOffset 13)) + ) + ) + (net (rename mRpOffset_27_ "mRpOffset[27]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_67_)) + (portref (member mRpOffset 12)) + ) + ) + (net (rename mRpOffset_28_ "mRpOffset[28]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_68_)) + (portref (member mRpOffset 11)) + ) + ) + (net (rename mRpOffset_29_ "mRpOffset[29]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_69_)) + (portref (member mRpOffset 10)) + ) + ) + (net (rename mRpOffset_2_ "mRpOffset[2]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_42_)) + (portref (member mRpOffset 37)) + ) + ) + (net (rename mRpOffset_30_ "mRpOffset[30]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_70_)) + (portref (member mRpOffset 9)) + ) + ) + (net (rename mRpOffset_31_ "mRpOffset[31]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_71_)) + (portref (member mRpOffset 8)) + ) + ) + (net (rename mRpOffset_32_ "mRpOffset[32]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_72_)) + (portref (member mRpOffset 7)) + ) + ) + (net (rename mRpOffset_33_ "mRpOffset[33]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_73_)) + (portref (member mRpOffset 6)) + ) + ) + (net (rename mRpOffset_34_ "mRpOffset[34]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_74_)) + (portref (member mRpOffset 5)) + ) + ) + (net (rename mRpOffset_35_ "mRpOffset[35]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_75_)) + (portref (member mRpOffset 4)) + ) + ) + (net (rename mRpOffset_36_ "mRpOffset[36]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_76_)) + (portref (member mRpOffset 3)) + ) + ) + (net (rename mRpOffset_37_ "mRpOffset[37]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_77_)) + (portref (member mRpOffset 2)) + ) + ) + (net (rename mRpOffset_38_ "mRpOffset[38]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_78_)) + (portref (member mRpOffset 1)) + ) + ) + (net (rename mRpOffset_39_ "mRpOffset[39]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_79_)) + (portref (member mRpOffset 0)) + ) + ) + (net (rename mRpOffset_3_ "mRpOffset[3]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_43_)) + (portref (member mRpOffset 36)) + ) + ) + (net (rename mRpOffset_4_ "mRpOffset[4]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_44_)) + (portref (member mRpOffset 35)) + ) + ) + (net (rename mRpOffset_5_ "mRpOffset[5]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_45_)) + (portref (member mRpOffset 34)) + ) + ) + (net (rename mRpOffset_6_ "mRpOffset[6]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_46_)) + (portref (member mRpOffset 33)) + ) + ) + (net (rename mRpOffset_7_ "mRpOffset[7]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_47_)) + (portref (member mRpOffset 32)) + ) + ) + (net (rename mRpOffset_8_ "mRpOffset[8]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_48_)) + (portref (member mRpOffset 31)) + ) + ) + (net (rename mRpOffset_9_ "mRpOffset[9]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_49_)) + (portref (member mRpOffset 30)) + ) + ) + (net (rename mSpOffset_0_ "mSpOffset[0]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_0_)) + (portref (member mSpOffset 39)) + ) + ) + (net (rename mSpOffset_10_ "mSpOffset[10]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_10_)) + (portref (member mSpOffset 29)) + ) + ) + (net (rename mSpOffset_11_ "mSpOffset[11]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_11_)) + (portref (member mSpOffset 28)) + ) + ) + (net (rename mSpOffset_12_ "mSpOffset[12]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_12_)) + (portref (member mSpOffset 27)) + ) + ) + (net (rename mSpOffset_13_ "mSpOffset[13]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_13_)) + (portref (member mSpOffset 26)) + ) + ) + (net (rename mSpOffset_14_ "mSpOffset[14]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_14_)) + (portref (member mSpOffset 25)) + ) + ) + (net (rename mSpOffset_15_ "mSpOffset[15]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_15_)) + (portref (member mSpOffset 24)) + ) + ) + (net (rename mSpOffset_16_ "mSpOffset[16]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_16_)) + (portref (member mSpOffset 23)) + ) + ) + (net (rename mSpOffset_17_ "mSpOffset[17]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_17_)) + (portref (member mSpOffset 22)) + ) + ) + (net (rename mSpOffset_18_ "mSpOffset[18]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_18_)) + (portref (member mSpOffset 21)) + ) + ) + (net (rename mSpOffset_19_ "mSpOffset[19]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_19_)) + (portref (member mSpOffset 20)) + ) + ) + (net (rename mSpOffset_1_ "mSpOffset[1]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_1_)) + (portref (member mSpOffset 38)) + ) + ) + (net (rename mSpOffset_20_ "mSpOffset[20]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_20_)) + (portref (member mSpOffset 19)) + ) + ) + (net (rename mSpOffset_21_ "mSpOffset[21]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_21_)) + (portref (member mSpOffset 18)) + ) + ) + (net (rename mSpOffset_22_ "mSpOffset[22]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_22_)) + (portref (member mSpOffset 17)) + ) + ) + (net (rename mSpOffset_23_ "mSpOffset[23]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_23_)) + (portref (member mSpOffset 16)) + ) + ) + (net (rename mSpOffset_24_ "mSpOffset[24]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_24_)) + (portref (member mSpOffset 15)) + ) + ) + (net (rename mSpOffset_25_ "mSpOffset[25]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_25_)) + (portref (member mSpOffset 14)) + ) + ) + (net (rename mSpOffset_26_ "mSpOffset[26]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_26_)) + (portref (member mSpOffset 13)) + ) + ) + (net (rename mSpOffset_27_ "mSpOffset[27]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_27_)) + (portref (member mSpOffset 12)) + ) + ) + (net (rename mSpOffset_28_ "mSpOffset[28]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_28_)) + (portref (member mSpOffset 11)) + ) + ) + (net (rename mSpOffset_29_ "mSpOffset[29]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_29_)) + (portref (member mSpOffset 10)) + ) + ) + (net (rename mSpOffset_2_ "mSpOffset[2]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_2_)) + (portref (member mSpOffset 37)) + ) + ) + (net (rename mSpOffset_30_ "mSpOffset[30]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_30_)) + (portref (member mSpOffset 9)) + ) + ) + (net (rename mSpOffset_31_ "mSpOffset[31]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_31_)) + (portref (member mSpOffset 8)) + ) + ) + (net (rename mSpOffset_32_ "mSpOffset[32]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_32_)) + (portref (member mSpOffset 7)) + ) + ) + (net (rename mSpOffset_33_ "mSpOffset[33]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_33_)) + (portref (member mSpOffset 6)) + ) + ) + (net (rename mSpOffset_34_ "mSpOffset[34]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_34_)) + (portref (member mSpOffset 5)) + ) + ) + (net (rename mSpOffset_35_ "mSpOffset[35]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_35_)) + (portref (member mSpOffset 4)) + ) + ) + (net (rename mSpOffset_36_ "mSpOffset[36]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_36_)) + (portref (member mSpOffset 3)) + ) + ) + (net (rename mSpOffset_37_ "mSpOffset[37]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_37_)) + (portref (member mSpOffset 2)) + ) + ) + (net (rename mSpOffset_38_ "mSpOffset[38]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_38_)) + (portref (member mSpOffset 1)) + ) + ) + (net (rename mSpOffset_39_ "mSpOffset[39]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_39_)) + (portref (member mSpOffset 0)) + ) + ) + (net (rename mSpOffset_3_ "mSpOffset[3]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_3_)) + (portref (member mSpOffset 36)) + ) + ) + (net (rename mSpOffset_4_ "mSpOffset[4]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_4_)) + (portref (member mSpOffset 35)) + ) + ) + (net (rename mSpOffset_5_ "mSpOffset[5]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_5_)) + (portref (member mSpOffset 34)) + ) + ) + (net (rename mSpOffset_6_ "mSpOffset[6]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_6_)) + (portref (member mSpOffset 33)) + ) + ) + (net (rename mSpOffset_7_ "mSpOffset[7]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_7_)) + (portref (member mSpOffset 32)) + ) + ) + (net (rename mSpOffset_8_ "mSpOffset[8]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_8_)) + (portref (member mSpOffset 31)) + ) + ) + (net (rename mSpOffset_9_ "mSpOffset[9]") (joined + (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_9_)) + (portref (member mSpOffset 30)) + ) + ) + (net oDataValid (joined + (portref CE (instanceref sPpsClkCrossDelayVal_reg_0_)) + (portref CE (instanceref sPpsClkCrossDelayVal_reg_1_)) + (portref CE (instanceref sPpsClkCrossDelayVal_reg_2_)) + (portref CE (instanceref sPpsClkCrossDelayVal_reg_3_)) + (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oDataValid_reg)) + ) + ) + (net oPushToggle2 (joined + (portref I1 (instanceref Gen0_FDCEx_i_1__5)) + (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg)) + ) + ) + (net p_0_out (joined + (portref I0 (instanceref bSpOffsetStored_0__i_1)) + (portref Q (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_10_out (joined + (portref I0 (instanceref bSpOffsetStored_10__i_1)) + (portref Q (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_11_out (joined + (portref I0 (instanceref bSpOffsetStored_11__i_1)) + (portref Q (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_12_out (joined + (portref I0 (instanceref bSpOffsetStored_12__i_1)) + (portref Q (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_13_out (joined + (portref I0 (instanceref bSpOffsetStored_13__i_1)) + (portref Q (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_14_out (joined + (portref I0 (instanceref bSpOffsetStored_14__i_1)) + (portref Q (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_15_out (joined + (portref I0 (instanceref bSpOffsetStored_15__i_1)) + (portref Q (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_16_out (joined + (portref I0 (instanceref bSpOffsetStored_16__i_1)) + (portref Q (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_17_out (joined + (portref I0 (instanceref bSpOffsetStored_17__i_1)) + (portref Q (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_18_out (joined + (portref I0 (instanceref bSpOffsetStored_18__i_1)) + (portref Q (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_19_out (joined + (portref I0 (instanceref bSpOffsetStored_19__i_1)) + (portref Q (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net (rename p_1_in_10_ "p_1_in[10]") (joined + (portref D (instanceref bScratch_reg_10_)) + (portref O (instanceref bScratch_10__i_1)) + ) + ) + (net (rename p_1_in_11_ "p_1_in[11]") (joined + (portref D (instanceref bScratch_reg_11_)) + (portref O (instanceref bScratch_11__i_1)) + ) + ) + (net (rename p_1_in_13_ "p_1_in[13]") (joined + (portref D (instanceref bScratch_reg_13_)) + (portref O (instanceref bScratch_13__i_1)) + ) + ) + (net (rename p_1_in_14_ "p_1_in[14]") (joined + (portref D (instanceref bScratch_reg_14_)) + (portref O (instanceref bScratch_14__i_1)) + ) + ) + (net (rename p_1_in_15_ "p_1_in[15]") (joined + (portref D (instanceref bScratch_reg_15_)) + (portref O (instanceref bScratch_15__i_1)) + ) + ) + (net (rename p_1_in_16_ "p_1_in[16]") (joined + (portref D (instanceref bPpsClkCrossDelayVal_reg_0_)) + (portref D (instanceref bScratch_reg_16_)) + (portref O (instanceref bPpsClkCrossDelayVal_0__i_1)) + ) + ) + (net (rename p_1_in_19_ "p_1_in[19]") (joined + (portref D (instanceref bPpsClkCrossDelayVal_reg_3_)) + (portref D (instanceref bScratch_reg_19_)) + (portref O (instanceref bPpsClkCrossDelayVal_3__i_2)) + ) + ) + (net (rename p_1_in_1_ "p_1_in[1]") (joined + (portref D (instanceref bScratch_reg_1_)) + (portref O (instanceref bScratch_1__i_1)) + ) + ) + (net (rename p_1_in_20_ "p_1_in[20]") (joined + (portref D (instanceref bScratch_reg_20_)) + (portref O (instanceref bScratch_20__i_1)) + ) + ) + (net (rename p_1_in_21_ "p_1_in[21]") (joined + (portref D (instanceref bScratch_reg_21_)) + (portref O (instanceref bScratch_21__i_1)) + ) + ) + (net (rename p_1_in_22_ "p_1_in[22]") (joined + (portref D (instanceref bScratch_reg_22_)) + (portref O (instanceref bScratch_22__i_1)) + ) + ) + (net (rename p_1_in_23_ "p_1_in[23]") (joined + (portref D (instanceref bScratch_reg_23_)) + (portref O (instanceref bScratch_23__i_1)) + ) + ) + (net (rename p_1_in_24_ "p_1_in[24]") (joined + (portref D (instanceref bScratch_reg_24_)) + (portref O (instanceref bScratch_24__i_1)) + ) + ) + (net (rename p_1_in_25_ "p_1_in[25]") (joined + (portref D (instanceref bPulserEnableDelayVal_reg_1_)) + (portref D (instanceref bScratch_reg_25_)) + (portref O (instanceref bPulserEnableDelayVal_1__i_1)) + ) + ) + (net (rename p_1_in_28_ "p_1_in[28]") (joined + (portref D (instanceref bScratch_reg_28_)) + (portref O (instanceref bScratch_28__i_1)) + ) + ) + (net (rename p_1_in_29_ "p_1_in[29]") (joined + (portref D (instanceref bScratch_reg_29_)) + (portref O (instanceref bScratch_29__i_1)) + ) + ) + (net (rename p_1_in_2_ "p_1_in[2]") (joined + (portref D (instanceref bScratch_reg_2_)) + (portref O (instanceref bScratch_2__i_1)) + ) + ) + (net (rename p_1_in_30_ "p_1_in[30]") (joined + (portref D (instanceref bScratch_reg_30_)) + (portref O (instanceref bScratch_30__i_1)) + ) + ) + (net (rename p_1_in_31_ "p_1_in[31]") (joined + (portref D (instanceref bScratch_reg_31_)) + (portref O (instanceref bScratch_31__i_2)) + ) + ) + (net (rename p_1_in_3_ "p_1_in[3]") (joined + (portref D (instanceref bScratch_reg_3_)) + (portref O (instanceref bScratch_3__i_1)) + ) + ) + (net (rename p_1_in_5_ "p_1_in[5]") (joined + (portref D (instanceref bScratch_reg_5_)) + (portref O (instanceref bScratch_5__i_1)) + ) + ) + (net (rename p_1_in_6_ "p_1_in[6]") (joined + (portref D (instanceref bScratch_reg_6_)) + (portref O (instanceref bScratch_6__i_1)) + ) + ) + (net (rename p_1_in_7_ "p_1_in[7]") (joined + (portref D (instanceref bScratch_reg_7_)) + (portref O (instanceref bScratch_7__i_1)) + ) + ) + (net (rename p_1_in_9_ "p_1_in[9]") (joined + (portref D (instanceref bScratch_reg_9_)) + (portref O (instanceref bScratch_9__i_1)) + ) + ) + (net p_1_out (joined + (portref I0 (instanceref bSpOffsetStored_1__i_1)) + (portref Q (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_20_out (joined + (portref I0 (instanceref bSpOffsetStored_20__i_1)) + (portref Q (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_21_out (joined + (portref I0 (instanceref bSpOffsetStored_21__i_1)) + (portref Q (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_22_out (joined + (portref I0 (instanceref bSpOffsetStored_22__i_1)) + (portref Q (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_23_out (joined + (portref I0 (instanceref bSpOffsetStored_23__i_1)) + (portref Q (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_24_out (joined + (portref I0 (instanceref bSpOffsetStored_24__i_1)) + (portref Q (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_25_out (joined + (portref I0 (instanceref bSpOffsetStored_25__i_1)) + (portref Q (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_26_out (joined + (portref I0 (instanceref bSpOffsetStored_26__i_1)) + (portref Q (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_27_out (joined + (portref I0 (instanceref bSpOffsetStored_27__i_1)) + (portref Q (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_28_out (joined + (portref I0 (instanceref bSpOffsetStored_28__i_1)) + (portref Q (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_29_out (joined + (portref I0 (instanceref bSpOffsetStored_29__i_1)) + (portref Q (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_2_out (joined + (portref I0 (instanceref bSpOffsetStored_2__i_1)) + (portref Q (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_30_out (joined + (portref I0 (instanceref bSpOffsetStored_30__i_1)) + (portref Q (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_31_out (joined + (portref I0 (instanceref bSpOffsetStored_31__i_1)) + (portref Q (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_32_out (joined + (portref I4 (instanceref bRegPortOutLcl_Data__0__i_4)) + (portref Q (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_33_out (joined + (portref I1 (instanceref bRegPortOutLcl_Data__1__i_3)) + (portref Q (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_34_out (joined + (portref I1 (instanceref bRegPortOutLcl_Data__2__i_2)) + (portref Q (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_35_out (joined + (portref I1 (instanceref bRegPortOutLcl_Data__3__i_2)) + (portref Q (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_36_out (joined + (portref I1 (instanceref bRegPortOutLcl_Data__4__i_2)) + (portref Q (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_37_out (joined + (portref I1 (instanceref bRegPortOutLcl_Data__5__i_3)) + (portref Q (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_38_out (joined + (portref I1 (instanceref bRegPortOutLcl_Data__6__i_2)) + (portref Q (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_39_out (joined + (portref I1 (instanceref bRegPortOutLcl_Data__7__i_2)) + (portref Q (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_3_out (joined + (portref I0 (instanceref bSpOffsetStored_3__i_1)) + (portref Q (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_40_out (joined + (portref I0 (instanceref bRpOffsetStored_0__i_1)) + (portref Q (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_41_out (joined + (portref I0 (instanceref bRpOffsetStored_1__i_1)) + (portref Q (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_42_out (joined + (portref I0 (instanceref bRpOffsetStored_2__i_1)) + (portref Q (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_43_out (joined + (portref I0 (instanceref bRpOffsetStored_3__i_1)) + (portref Q (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_44_out (joined + (portref I0 (instanceref bRpOffsetStored_4__i_1)) + (portref Q (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_45_out (joined + (portref I0 (instanceref bRpOffsetStored_5__i_1)) + (portref Q (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_46_out (joined + (portref I0 (instanceref bRpOffsetStored_6__i_1)) + (portref Q (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_47_out (joined + (portref I0 (instanceref bRpOffsetStored_7__i_1)) + (portref Q (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_48_out (joined + (portref I0 (instanceref bRpOffsetStored_8__i_1)) + (portref Q (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_49_out (joined + (portref I0 (instanceref bRpOffsetStored_9__i_1)) + (portref Q (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_4_out (joined + (portref I0 (instanceref bSpOffsetStored_4__i_1)) + (portref Q (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_50_out (joined + (portref I0 (instanceref bRpOffsetStored_10__i_1)) + (portref Q (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_51_out (joined + (portref I0 (instanceref bRpOffsetStored_11__i_1)) + (portref Q (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_52_out (joined + (portref I0 (instanceref bRpOffsetStored_12__i_1)) + (portref Q (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_53_out (joined + (portref I0 (instanceref bRpOffsetStored_13__i_1)) + (portref Q (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_54_out (joined + (portref I0 (instanceref bRpOffsetStored_14__i_1)) + (portref Q (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_55_out (joined + (portref I0 (instanceref bRpOffsetStored_15__i_1)) + (portref Q (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_56_out (joined + (portref I0 (instanceref bRpOffsetStored_16__i_1)) + (portref Q (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_57_out (joined + (portref I0 (instanceref bRpOffsetStored_17__i_1)) + (portref Q (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_58_out (joined + (portref I0 (instanceref bRpOffsetStored_18__i_1)) + (portref Q (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_59_out (joined + (portref I0 (instanceref bRpOffsetStored_19__i_1)) + (portref Q (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_5_out (joined + (portref I0 (instanceref bSpOffsetStored_5__i_1)) + (portref Q (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_60_out (joined + (portref I0 (instanceref bRpOffsetStored_20__i_1)) + (portref Q (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_61_out (joined + (portref I0 (instanceref bRpOffsetStored_21__i_1)) + (portref Q (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_62_out (joined + (portref I0 (instanceref bRpOffsetStored_22__i_1)) + (portref Q (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_63_out (joined + (portref I0 (instanceref bRpOffsetStored_23__i_1)) + (portref Q (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_64_out (joined + (portref I0 (instanceref bRpOffsetStored_24__i_1)) + (portref Q (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_65_out (joined + (portref I0 (instanceref bRpOffsetStored_25__i_1)) + (portref Q (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_66_out (joined + (portref I0 (instanceref bRpOffsetStored_26__i_1)) + (portref Q (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_67_out (joined + (portref I0 (instanceref bRpOffsetStored_27__i_1)) + (portref Q (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_68_out (joined + (portref I0 (instanceref bRpOffsetStored_28__i_1)) + (portref Q (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_69_out (joined + (portref I0 (instanceref bRpOffsetStored_29__i_1)) + (portref Q (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_6_out (joined + (portref I0 (instanceref bSpOffsetStored_6__i_1)) + (portref Q (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_70_out (joined + (portref I0 (instanceref bRpOffsetStored_30__i_1)) + (portref Q (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_71_out (joined + (portref I0 (instanceref bRpOffsetStored_31__i_1)) + (portref Q (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_72_out (joined + (portref I0 (instanceref bRpOffsetStored_32__i_1)) + (portref Q (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_73_out (joined + (portref I0 (instanceref bRpOffsetStored_33__i_1)) + (portref Q (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_74_out (joined + (portref I0 (instanceref bRpOffsetStored_34__i_1)) + (portref Q (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_75_out (joined + (portref I0 (instanceref bRpOffsetStored_35__i_1)) + (portref Q (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_76_out (joined + (portref I0 (instanceref bRpOffsetStored_36__i_1)) + (portref Q (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_77_out (joined + (portref I0 (instanceref bRpOffsetStored_37__i_1)) + (portref Q (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_78_out (joined + (portref I0 (instanceref bRpOffsetStored_38__i_1)) + (portref Q (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_79_out (joined + (portref I0 (instanceref bRpOffsetStored_39__i_2)) + (portref Q (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_7_out (joined + (portref I0 (instanceref bSpOffsetStored_7__i_1)) + (portref Q (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_8_out (joined + (portref I0 (instanceref bSpOffsetStored_8__i_1)) + (portref Q (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net p_9_out (joined + (portref I0 (instanceref bSpOffsetStored_9__i_1)) + (portref Q (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + ) + ) + (net rEnablePpsCrossing (joined + (portref Q (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref rEnablePpsCrossing) + ) + ) + (net rEnableTdc (joined + (portref Q (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref rEnableTdc) + ) + ) + (net rLoadRePulseCounts (joined + (portref Q (instanceref RePulse2CntHs_BlkOut_oDataValid_reg)) + (portref rLoadRePulseCounts) + ) + ) + (net rLoadRpCounts (joined + (portref Q (instanceref RpCntHs_BlkOut_oDataValid_reg)) + (portref rLoadRpCounts) + ) + ) + (net rLoadRptCounts (joined + (portref Q (instanceref RptCntHs_BlkOut_oDataValid_reg)) + (portref rLoadRptCounts) + ) + ) + (net rPpsPulseCaptured (joined + (portref D (instanceref PpsCapturedDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + (portref rPpsPulseCaptured) + ) + ) + (net (rename rPulserEnableDelayValTemp_0_ "rPulserEnableDelayValTemp[0]") (joined + (portref D (instanceref rPulserEnableDelayVal_reg_0_)) + (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename rPulserEnableDelayValTemp_1_ "rPulserEnableDelayValTemp[1]") (joined + (portref D (instanceref rPulserEnableDelayVal_reg_1_)) + (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename rPulserEnableDelayValTemp_2_ "rPulserEnableDelayValTemp[2]") (joined + (portref D (instanceref rPulserEnableDelayVal_reg_2_)) + (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename rPulserEnableDelayValTemp_3_ "rPulserEnableDelayValTemp[3]") (joined + (portref D (instanceref rPulserEnableDelayVal_reg_3_)) + (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename rPulserEnableDelayVal_0_ "rPulserEnableDelayVal[0]") (joined + (portref Q (instanceref rPulserEnableDelayVal_reg_0_)) + (portref (member rPulserEnableDelayVal 3)) + ) + ) + (net (rename rPulserEnableDelayVal_1_ "rPulserEnableDelayVal[1]") (joined + (portref Q (instanceref rPulserEnableDelayVal_reg_1_)) + (portref (member rPulserEnableDelayVal 2)) + ) + ) + (net (rename rPulserEnableDelayVal_2_ "rPulserEnableDelayVal[2]") (joined + (portref Q (instanceref rPulserEnableDelayVal_reg_2_)) + (portref (member rPulserEnableDelayVal 1)) + ) + ) + (net (rename rPulserEnableDelayVal_3_ "rPulserEnableDelayVal[3]") (joined + (portref Q (instanceref rPulserEnableDelayVal_reg_3_)) + (portref (member rPulserEnableDelayVal 0)) + ) + ) + (net (rename rRePulseHighTimeInRClks_0_ "rRePulseHighTimeInRClks[0]") (joined + (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref (member rRePulseHighTimeInRClks 23)) + ) + ) + (net (rename rRePulseHighTimeInRClks_10_ "rRePulseHighTimeInRClks[10]") (joined + (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref (member rRePulseHighTimeInRClks 13)) + ) + ) + (net (rename rRePulseHighTimeInRClks_11_ "rRePulseHighTimeInRClks[11]") (joined + (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref (member rRePulseHighTimeInRClks 12)) + ) + ) + (net (rename rRePulseHighTimeInRClks_12_ "rRePulseHighTimeInRClks[12]") (joined + (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref (member rRePulseHighTimeInRClks 11)) + ) + ) + (net (rename rRePulseHighTimeInRClks_13_ "rRePulseHighTimeInRClks[13]") (joined + (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref (member rRePulseHighTimeInRClks 10)) + ) + ) + (net (rename rRePulseHighTimeInRClks_14_ "rRePulseHighTimeInRClks[14]") (joined + (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref (member rRePulseHighTimeInRClks 9)) + ) + ) + (net (rename rRePulseHighTimeInRClks_15_ "rRePulseHighTimeInRClks[15]") (joined + (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref (member rRePulseHighTimeInRClks 8)) + ) + ) + (net (rename rRePulseHighTimeInRClks_16_ "rRePulseHighTimeInRClks[16]") (joined + (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref (member rRePulseHighTimeInRClks 7)) + ) + ) + (net (rename rRePulseHighTimeInRClks_17_ "rRePulseHighTimeInRClks[17]") (joined + (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref (member rRePulseHighTimeInRClks 6)) + ) + ) + (net (rename rRePulseHighTimeInRClks_18_ "rRePulseHighTimeInRClks[18]") (joined + (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref (member rRePulseHighTimeInRClks 5)) + ) + ) + (net (rename rRePulseHighTimeInRClks_19_ "rRePulseHighTimeInRClks[19]") (joined + (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref (member rRePulseHighTimeInRClks 4)) + ) + ) + (net (rename rRePulseHighTimeInRClks_1_ "rRePulseHighTimeInRClks[1]") (joined + (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref (member rRePulseHighTimeInRClks 22)) + ) + ) + (net (rename rRePulseHighTimeInRClks_20_ "rRePulseHighTimeInRClks[20]") (joined + (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref (member rRePulseHighTimeInRClks 3)) + ) + ) + (net (rename rRePulseHighTimeInRClks_21_ "rRePulseHighTimeInRClks[21]") (joined + (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref (member rRePulseHighTimeInRClks 2)) + ) + ) + (net (rename rRePulseHighTimeInRClks_22_ "rRePulseHighTimeInRClks[22]") (joined + (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref (member rRePulseHighTimeInRClks 1)) + ) + ) + (net (rename rRePulseHighTimeInRClks_2_ "rRePulseHighTimeInRClks[2]") (joined + (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref (member rRePulseHighTimeInRClks 21)) + ) + ) + (net (rename rRePulseHighTimeInRClks_3_ "rRePulseHighTimeInRClks[3]") (joined + (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref (member rRePulseHighTimeInRClks 20)) + ) + ) + (net (rename rRePulseHighTimeInRClks_4_ "rRePulseHighTimeInRClks[4]") (joined + (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref (member rRePulseHighTimeInRClks 19)) + ) + ) + (net (rename rRePulseHighTimeInRClks_5_ "rRePulseHighTimeInRClks[5]") (joined + (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref (member rRePulseHighTimeInRClks 18)) + ) + ) + (net (rename rRePulseHighTimeInRClks_6_ "rRePulseHighTimeInRClks[6]") (joined + (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref (member rRePulseHighTimeInRClks 17)) + ) + ) + (net (rename rRePulseHighTimeInRClks_7_ "rRePulseHighTimeInRClks[7]") (joined + (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref (member rRePulseHighTimeInRClks 16)) + ) + ) + (net (rename rRePulseHighTimeInRClks_8_ "rRePulseHighTimeInRClks[8]") (joined + (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref (member rRePulseHighTimeInRClks 15)) + ) + ) + (net (rename rRePulseHighTimeInRClks_9_ "rRePulseHighTimeInRClks[9]") (joined + (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref (member rRePulseHighTimeInRClks 14)) + ) + ) + (net (rename rRePulsePeriodInRClks_0_ "rRePulsePeriodInRClks[0]") (joined + (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref (member rRePulsePeriodInRClks 23)) + ) + ) + (net (rename rRePulsePeriodInRClks_10_ "rRePulsePeriodInRClks[10]") (joined + (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref (member rRePulsePeriodInRClks 13)) + ) + ) + (net (rename rRePulsePeriodInRClks_11_ "rRePulsePeriodInRClks[11]") (joined + (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref (member rRePulsePeriodInRClks 12)) + ) + ) + (net (rename rRePulsePeriodInRClks_12_ "rRePulsePeriodInRClks[12]") (joined + (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref (member rRePulsePeriodInRClks 11)) + ) + ) + (net (rename rRePulsePeriodInRClks_13_ "rRePulsePeriodInRClks[13]") (joined + (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref (member rRePulsePeriodInRClks 10)) + ) + ) + (net (rename rRePulsePeriodInRClks_14_ "rRePulsePeriodInRClks[14]") (joined + (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref (member rRePulsePeriodInRClks 9)) + ) + ) + (net (rename rRePulsePeriodInRClks_15_ "rRePulsePeriodInRClks[15]") (joined + (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref (member rRePulsePeriodInRClks 8)) + ) + ) + (net (rename rRePulsePeriodInRClks_16_ "rRePulsePeriodInRClks[16]") (joined + (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref (member rRePulsePeriodInRClks 7)) + ) + ) + (net (rename rRePulsePeriodInRClks_17_ "rRePulsePeriodInRClks[17]") (joined + (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref (member rRePulsePeriodInRClks 6)) + ) + ) + (net (rename rRePulsePeriodInRClks_18_ "rRePulsePeriodInRClks[18]") (joined + (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref (member rRePulsePeriodInRClks 5)) + ) + ) + (net (rename rRePulsePeriodInRClks_19_ "rRePulsePeriodInRClks[19]") (joined + (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref (member rRePulsePeriodInRClks 4)) + ) + ) + (net (rename rRePulsePeriodInRClks_1_ "rRePulsePeriodInRClks[1]") (joined + (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref (member rRePulsePeriodInRClks 22)) + ) + ) + (net (rename rRePulsePeriodInRClks_20_ "rRePulsePeriodInRClks[20]") (joined + (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref (member rRePulsePeriodInRClks 3)) + ) + ) + (net (rename rRePulsePeriodInRClks_21_ "rRePulsePeriodInRClks[21]") (joined + (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref (member rRePulsePeriodInRClks 2)) + ) + ) + (net (rename rRePulsePeriodInRClks_22_ "rRePulsePeriodInRClks[22]") (joined + (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref (member rRePulsePeriodInRClks 1)) + ) + ) + (net (rename rRePulsePeriodInRClks_23_ "rRePulsePeriodInRClks[23]") (joined + (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref (member rRePulsePeriodInRClks 0)) + ) + ) + (net (rename rRePulsePeriodInRClks_2_ "rRePulsePeriodInRClks[2]") (joined + (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref (member rRePulsePeriodInRClks 21)) + ) + ) + (net (rename rRePulsePeriodInRClks_3_ "rRePulsePeriodInRClks[3]") (joined + (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref (member rRePulsePeriodInRClks 20)) + ) + ) + (net (rename rRePulsePeriodInRClks_4_ "rRePulsePeriodInRClks[4]") (joined + (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref (member rRePulsePeriodInRClks 19)) + ) + ) + (net (rename rRePulsePeriodInRClks_5_ "rRePulsePeriodInRClks[5]") (joined + (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref (member rRePulsePeriodInRClks 18)) + ) + ) + (net (rename rRePulsePeriodInRClks_6_ "rRePulsePeriodInRClks[6]") (joined + (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref (member rRePulsePeriodInRClks 17)) + ) + ) + (net (rename rRePulsePeriodInRClks_7_ "rRePulsePeriodInRClks[7]") (joined + (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref (member rRePulsePeriodInRClks 16)) + ) + ) + (net (rename rRePulsePeriodInRClks_8_ "rRePulsePeriodInRClks[8]") (joined + (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref (member rRePulsePeriodInRClks 15)) + ) + ) + (net (rename rRePulsePeriodInRClks_9_ "rRePulsePeriodInRClks[9]") (joined + (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref (member rRePulsePeriodInRClks 14)) + ) + ) + (net rReRunEnable (joined + (portref Q (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref rReRunEnable) + ) + ) + (net rResetTdc (joined + (portref Q (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx)) + (portref rResetTdc) + ) + ) + (net rResetTdcDone (joined + (portref D (instanceref ResetDoneDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx)) + (portref rResetTdcDone) + ) + ) + (net (rename rRpHighTimeInRClks_0_ "rRpHighTimeInRClks[0]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref (member rRpHighTimeInRClks 15)) + ) + ) + (net (rename rRpHighTimeInRClks_10_ "rRpHighTimeInRClks[10]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx)) + (portref (member rRpHighTimeInRClks 5)) + ) + ) + (net (rename rRpHighTimeInRClks_11_ "rRpHighTimeInRClks[11]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx)) + (portref (member rRpHighTimeInRClks 4)) + ) + ) + (net (rename rRpHighTimeInRClks_12_ "rRpHighTimeInRClks[12]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx)) + (portref (member rRpHighTimeInRClks 3)) + ) + ) + (net (rename rRpHighTimeInRClks_13_ "rRpHighTimeInRClks[13]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx)) + (portref (member rRpHighTimeInRClks 2)) + ) + ) + (net (rename rRpHighTimeInRClks_14_ "rRpHighTimeInRClks[14]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx)) + (portref (member rRpHighTimeInRClks 1)) + ) + ) + (net (rename rRpHighTimeInRClks_1_ "rRpHighTimeInRClks[1]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref (member rRpHighTimeInRClks 14)) + ) + ) + (net (rename rRpHighTimeInRClks_2_ "rRpHighTimeInRClks[2]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref (member rRpHighTimeInRClks 13)) + ) + ) + (net (rename rRpHighTimeInRClks_3_ "rRpHighTimeInRClks[3]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref (member rRpHighTimeInRClks 12)) + ) + ) + (net (rename rRpHighTimeInRClks_4_ "rRpHighTimeInRClks[4]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref (member rRpHighTimeInRClks 11)) + ) + ) + (net (rename rRpHighTimeInRClks_5_ "rRpHighTimeInRClks[5]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref (member rRpHighTimeInRClks 10)) + ) + ) + (net (rename rRpHighTimeInRClks_6_ "rRpHighTimeInRClks[6]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref (member rRpHighTimeInRClks 9)) + ) + ) + (net (rename rRpHighTimeInRClks_7_ "rRpHighTimeInRClks[7]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref (member rRpHighTimeInRClks 8)) + ) + ) + (net (rename rRpHighTimeInRClks_8_ "rRpHighTimeInRClks[8]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx)) + (portref (member rRpHighTimeInRClks 7)) + ) + ) + (net (rename rRpHighTimeInRClks_9_ "rRpHighTimeInRClks[9]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx)) + (portref (member rRpHighTimeInRClks 6)) + ) + ) + (net (rename rRpPeriodInRClks_0_ "rRpPeriodInRClks[0]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref (member rRpPeriodInRClks 15)) + ) + ) + (net (rename rRpPeriodInRClks_10_ "rRpPeriodInRClks[10]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref (member rRpPeriodInRClks 5)) + ) + ) + (net (rename rRpPeriodInRClks_11_ "rRpPeriodInRClks[11]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref (member rRpPeriodInRClks 4)) + ) + ) + (net (rename rRpPeriodInRClks_12_ "rRpPeriodInRClks[12]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref (member rRpPeriodInRClks 3)) + ) + ) + (net (rename rRpPeriodInRClks_13_ "rRpPeriodInRClks[13]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref (member rRpPeriodInRClks 2)) + ) + ) + (net (rename rRpPeriodInRClks_14_ "rRpPeriodInRClks[14]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref (member rRpPeriodInRClks 1)) + ) + ) + (net (rename rRpPeriodInRClks_15_ "rRpPeriodInRClks[15]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref (member rRpPeriodInRClks 0)) + ) + ) + (net (rename rRpPeriodInRClks_1_ "rRpPeriodInRClks[1]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref (member rRpPeriodInRClks 14)) + ) + ) + (net (rename rRpPeriodInRClks_2_ "rRpPeriodInRClks[2]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref (member rRpPeriodInRClks 13)) + ) + ) + (net (rename rRpPeriodInRClks_3_ "rRpPeriodInRClks[3]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref (member rRpPeriodInRClks 12)) + ) + ) + (net (rename rRpPeriodInRClks_4_ "rRpPeriodInRClks[4]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref (member rRpPeriodInRClks 11)) + ) + ) + (net (rename rRpPeriodInRClks_5_ "rRpPeriodInRClks[5]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref (member rRpPeriodInRClks 10)) + ) + ) + (net (rename rRpPeriodInRClks_6_ "rRpPeriodInRClks[6]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref (member rRpPeriodInRClks 9)) + ) + ) + (net (rename rRpPeriodInRClks_7_ "rRpPeriodInRClks[7]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref (member rRpPeriodInRClks 8)) + ) + ) + (net (rename rRpPeriodInRClks_8_ "rRpPeriodInRClks[8]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref (member rRpPeriodInRClks 7)) + ) + ) + (net (rename rRpPeriodInRClks_9_ "rRpPeriodInRClks[9]") (joined + (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref (member rRpPeriodInRClks 6)) + ) + ) + (net (rename rRptHighTimeInRClks_0_ "rRptHighTimeInRClks[0]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref (member rRptHighTimeInRClks 15)) + ) + ) + (net (rename rRptHighTimeInRClks_10_ "rRptHighTimeInRClks[10]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx)) + (portref (member rRptHighTimeInRClks 5)) + ) + ) + (net (rename rRptHighTimeInRClks_11_ "rRptHighTimeInRClks[11]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx)) + (portref (member rRptHighTimeInRClks 4)) + ) + ) + (net (rename rRptHighTimeInRClks_12_ "rRptHighTimeInRClks[12]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx)) + (portref (member rRptHighTimeInRClks 3)) + ) + ) + (net (rename rRptHighTimeInRClks_13_ "rRptHighTimeInRClks[13]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx)) + (portref (member rRptHighTimeInRClks 2)) + ) + ) + (net (rename rRptHighTimeInRClks_14_ "rRptHighTimeInRClks[14]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx)) + (portref (member rRptHighTimeInRClks 1)) + ) + ) + (net (rename rRptHighTimeInRClks_1_ "rRptHighTimeInRClks[1]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref (member rRptHighTimeInRClks 14)) + ) + ) + (net (rename rRptHighTimeInRClks_2_ "rRptHighTimeInRClks[2]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref (member rRptHighTimeInRClks 13)) + ) + ) + (net (rename rRptHighTimeInRClks_3_ "rRptHighTimeInRClks[3]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref (member rRptHighTimeInRClks 12)) + ) + ) + (net (rename rRptHighTimeInRClks_4_ "rRptHighTimeInRClks[4]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref (member rRptHighTimeInRClks 11)) + ) + ) + (net (rename rRptHighTimeInRClks_5_ "rRptHighTimeInRClks[5]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref (member rRptHighTimeInRClks 10)) + ) + ) + (net (rename rRptHighTimeInRClks_6_ "rRptHighTimeInRClks[6]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref (member rRptHighTimeInRClks 9)) + ) + ) + (net (rename rRptHighTimeInRClks_7_ "rRptHighTimeInRClks[7]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref (member rRptHighTimeInRClks 8)) + ) + ) + (net (rename rRptHighTimeInRClks_8_ "rRptHighTimeInRClks[8]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx)) + (portref (member rRptHighTimeInRClks 7)) + ) + ) + (net (rename rRptHighTimeInRClks_9_ "rRptHighTimeInRClks[9]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx)) + (portref (member rRptHighTimeInRClks 6)) + ) + ) + (net (rename rRptPeriodInRClks_0_ "rRptPeriodInRClks[0]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref (member rRptPeriodInRClks 15)) + ) + ) + (net (rename rRptPeriodInRClks_10_ "rRptPeriodInRClks[10]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref (member rRptPeriodInRClks 5)) + ) + ) + (net (rename rRptPeriodInRClks_11_ "rRptPeriodInRClks[11]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref (member rRptPeriodInRClks 4)) + ) + ) + (net (rename rRptPeriodInRClks_12_ "rRptPeriodInRClks[12]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref (member rRptPeriodInRClks 3)) + ) + ) + (net (rename rRptPeriodInRClks_13_ "rRptPeriodInRClks[13]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref (member rRptPeriodInRClks 2)) + ) + ) + (net (rename rRptPeriodInRClks_14_ "rRptPeriodInRClks[14]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref (member rRptPeriodInRClks 1)) + ) + ) + (net (rename rRptPeriodInRClks_15_ "rRptPeriodInRClks[15]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref (member rRptPeriodInRClks 0)) + ) + ) + (net (rename rRptPeriodInRClks_1_ "rRptPeriodInRClks[1]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref (member rRptPeriodInRClks 14)) + ) + ) + (net (rename rRptPeriodInRClks_2_ "rRptPeriodInRClks[2]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref (member rRptPeriodInRClks 13)) + ) + ) + (net (rename rRptPeriodInRClks_3_ "rRptPeriodInRClks[3]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref (member rRptPeriodInRClks 12)) + ) + ) + (net (rename rRptPeriodInRClks_4_ "rRptPeriodInRClks[4]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref (member rRptPeriodInRClks 11)) + ) + ) + (net (rename rRptPeriodInRClks_5_ "rRptPeriodInRClks[5]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref (member rRptPeriodInRClks 10)) + ) + ) + (net (rename rRptPeriodInRClks_6_ "rRptPeriodInRClks[6]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref (member rRptPeriodInRClks 9)) + ) + ) + (net (rename rRptPeriodInRClks_7_ "rRptPeriodInRClks[7]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref (member rRptPeriodInRClks 8)) + ) + ) + (net (rename rRptPeriodInRClks_8_ "rRptPeriodInRClks[8]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref (member rRptPeriodInRClks 7)) + ) + ) + (net (rename rRptPeriodInRClks_9_ "rRptPeriodInRClks[9]") (joined + (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref (member rRptPeriodInRClks 6)) + ) + ) + (net sLoadSpCounts (joined + (portref Q (instanceref SpCntHs_BlkOut_oDataValid_reg)) + (portref sLoadSpCounts) + ) + ) + (net sLoadSptCounts (joined + (portref Q (instanceref SptCntHs_BlkOut_oDataValid_reg)) + (portref sLoadSptCounts) + ) + ) + (net (rename sPpsClkCrossDelayValTemp_0_ "sPpsClkCrossDelayValTemp[0]") (joined + (portref D (instanceref sPpsClkCrossDelayVal_reg_0_)) + (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename sPpsClkCrossDelayValTemp_1_ "sPpsClkCrossDelayValTemp[1]") (joined + (portref D (instanceref sPpsClkCrossDelayVal_reg_1_)) + (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename sPpsClkCrossDelayValTemp_2_ "sPpsClkCrossDelayValTemp[2]") (joined + (portref D (instanceref sPpsClkCrossDelayVal_reg_2_)) + (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename sPpsClkCrossDelayValTemp_3_ "sPpsClkCrossDelayValTemp[3]") (joined + (portref D (instanceref sPpsClkCrossDelayVal_reg_3_)) + (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + ) + ) + (net (rename sPpsClkCrossDelayVal_0_ "sPpsClkCrossDelayVal[0]") (joined + (portref Q (instanceref sPpsClkCrossDelayVal_reg_0_)) + (portref (member sPpsClkCrossDelayVal 3)) + ) + ) + (net (rename sPpsClkCrossDelayVal_1_ "sPpsClkCrossDelayVal[1]") (joined + (portref Q (instanceref sPpsClkCrossDelayVal_reg_1_)) + (portref (member sPpsClkCrossDelayVal 2)) + ) + ) + (net (rename sPpsClkCrossDelayVal_2_ "sPpsClkCrossDelayVal[2]") (joined + (portref Q (instanceref sPpsClkCrossDelayVal_reg_2_)) + (portref (member sPpsClkCrossDelayVal 1)) + ) + ) + (net (rename sPpsClkCrossDelayVal_3_ "sPpsClkCrossDelayVal[3]") (joined + (portref Q (instanceref sPpsClkCrossDelayVal_reg_3_)) + (portref (member sPpsClkCrossDelayVal 0)) + ) + ) + (net (rename sSpHighTimeInSClks_0_ "sSpHighTimeInSClks[0]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref (member sSpHighTimeInSClks 15)) + ) + ) + (net (rename sSpHighTimeInSClks_10_ "sSpHighTimeInSClks[10]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx)) + (portref (member sSpHighTimeInSClks 5)) + ) + ) + (net (rename sSpHighTimeInSClks_11_ "sSpHighTimeInSClks[11]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx)) + (portref (member sSpHighTimeInSClks 4)) + ) + ) + (net (rename sSpHighTimeInSClks_12_ "sSpHighTimeInSClks[12]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx)) + (portref (member sSpHighTimeInSClks 3)) + ) + ) + (net (rename sSpHighTimeInSClks_13_ "sSpHighTimeInSClks[13]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx)) + (portref (member sSpHighTimeInSClks 2)) + ) + ) + (net (rename sSpHighTimeInSClks_14_ "sSpHighTimeInSClks[14]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx)) + (portref (member sSpHighTimeInSClks 1)) + ) + ) + (net (rename sSpHighTimeInSClks_1_ "sSpHighTimeInSClks[1]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref (member sSpHighTimeInSClks 14)) + ) + ) + (net (rename sSpHighTimeInSClks_2_ "sSpHighTimeInSClks[2]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref (member sSpHighTimeInSClks 13)) + ) + ) + (net (rename sSpHighTimeInSClks_3_ "sSpHighTimeInSClks[3]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref (member sSpHighTimeInSClks 12)) + ) + ) + (net (rename sSpHighTimeInSClks_4_ "sSpHighTimeInSClks[4]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref (member sSpHighTimeInSClks 11)) + ) + ) + (net (rename sSpHighTimeInSClks_5_ "sSpHighTimeInSClks[5]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref (member sSpHighTimeInSClks 10)) + ) + ) + (net (rename sSpHighTimeInSClks_6_ "sSpHighTimeInSClks[6]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref (member sSpHighTimeInSClks 9)) + ) + ) + (net (rename sSpHighTimeInSClks_7_ "sSpHighTimeInSClks[7]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref (member sSpHighTimeInSClks 8)) + ) + ) + (net (rename sSpHighTimeInSClks_8_ "sSpHighTimeInSClks[8]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx)) + (portref (member sSpHighTimeInSClks 7)) + ) + ) + (net (rename sSpHighTimeInSClks_9_ "sSpHighTimeInSClks[9]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx)) + (portref (member sSpHighTimeInSClks 6)) + ) + ) + (net (rename sSpPeriodInSClks_0_ "sSpPeriodInSClks[0]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref (member sSpPeriodInSClks 15)) + ) + ) + (net (rename sSpPeriodInSClks_10_ "sSpPeriodInSClks[10]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref (member sSpPeriodInSClks 5)) + ) + ) + (net (rename sSpPeriodInSClks_11_ "sSpPeriodInSClks[11]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref (member sSpPeriodInSClks 4)) + ) + ) + (net (rename sSpPeriodInSClks_12_ "sSpPeriodInSClks[12]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref (member sSpPeriodInSClks 3)) + ) + ) + (net (rename sSpPeriodInSClks_13_ "sSpPeriodInSClks[13]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref (member sSpPeriodInSClks 2)) + ) + ) + (net (rename sSpPeriodInSClks_14_ "sSpPeriodInSClks[14]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref (member sSpPeriodInSClks 1)) + ) + ) + (net (rename sSpPeriodInSClks_15_ "sSpPeriodInSClks[15]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref (member sSpPeriodInSClks 0)) + ) + ) + (net (rename sSpPeriodInSClks_1_ "sSpPeriodInSClks[1]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref (member sSpPeriodInSClks 14)) + ) + ) + (net (rename sSpPeriodInSClks_2_ "sSpPeriodInSClks[2]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref (member sSpPeriodInSClks 13)) + ) + ) + (net (rename sSpPeriodInSClks_3_ "sSpPeriodInSClks[3]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref (member sSpPeriodInSClks 12)) + ) + ) + (net (rename sSpPeriodInSClks_4_ "sSpPeriodInSClks[4]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref (member sSpPeriodInSClks 11)) + ) + ) + (net (rename sSpPeriodInSClks_5_ "sSpPeriodInSClks[5]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref (member sSpPeriodInSClks 10)) + ) + ) + (net (rename sSpPeriodInSClks_6_ "sSpPeriodInSClks[6]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref (member sSpPeriodInSClks 9)) + ) + ) + (net (rename sSpPeriodInSClks_7_ "sSpPeriodInSClks[7]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref (member sSpPeriodInSClks 8)) + ) + ) + (net (rename sSpPeriodInSClks_8_ "sSpPeriodInSClks[8]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref (member sSpPeriodInSClks 7)) + ) + ) + (net (rename sSpPeriodInSClks_9_ "sSpPeriodInSClks[9]") (joined + (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref (member sSpPeriodInSClks 6)) + ) + ) + (net (rename sSptHighTimeInSClks_0_ "sSptHighTimeInSClks[0]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx)) + (portref (member sSptHighTimeInSClks 15)) + ) + ) + (net (rename sSptHighTimeInSClks_10_ "sSptHighTimeInSClks[10]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx)) + (portref (member sSptHighTimeInSClks 5)) + ) + ) + (net (rename sSptHighTimeInSClks_11_ "sSptHighTimeInSClks[11]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx)) + (portref (member sSptHighTimeInSClks 4)) + ) + ) + (net (rename sSptHighTimeInSClks_12_ "sSptHighTimeInSClks[12]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx)) + (portref (member sSptHighTimeInSClks 3)) + ) + ) + (net (rename sSptHighTimeInSClks_13_ "sSptHighTimeInSClks[13]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx)) + (portref (member sSptHighTimeInSClks 2)) + ) + ) + (net (rename sSptHighTimeInSClks_14_ "sSptHighTimeInSClks[14]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx)) + (portref (member sSptHighTimeInSClks 1)) + ) + ) + (net (rename sSptHighTimeInSClks_1_ "sSptHighTimeInSClks[1]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx)) + (portref (member sSptHighTimeInSClks 14)) + ) + ) + (net (rename sSptHighTimeInSClks_2_ "sSptHighTimeInSClks[2]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx)) + (portref (member sSptHighTimeInSClks 13)) + ) + ) + (net (rename sSptHighTimeInSClks_3_ "sSptHighTimeInSClks[3]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx)) + (portref (member sSptHighTimeInSClks 12)) + ) + ) + (net (rename sSptHighTimeInSClks_4_ "sSptHighTimeInSClks[4]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx)) + (portref (member sSptHighTimeInSClks 11)) + ) + ) + (net (rename sSptHighTimeInSClks_5_ "sSptHighTimeInSClks[5]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx)) + (portref (member sSptHighTimeInSClks 10)) + ) + ) + (net (rename sSptHighTimeInSClks_6_ "sSptHighTimeInSClks[6]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx)) + (portref (member sSptHighTimeInSClks 9)) + ) + ) + (net (rename sSptHighTimeInSClks_7_ "sSptHighTimeInSClks[7]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx)) + (portref (member sSptHighTimeInSClks 8)) + ) + ) + (net (rename sSptHighTimeInSClks_8_ "sSptHighTimeInSClks[8]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx)) + (portref (member sSptHighTimeInSClks 7)) + ) + ) + (net (rename sSptHighTimeInSClks_9_ "sSptHighTimeInSClks[9]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx)) + (portref (member sSptHighTimeInSClks 6)) + ) + ) + (net (rename sSptPeriodInSClks_0_ "sSptPeriodInSClks[0]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx)) + (portref (member sSptPeriodInSClks 15)) + ) + ) + (net (rename sSptPeriodInSClks_10_ "sSptPeriodInSClks[10]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx)) + (portref (member sSptPeriodInSClks 5)) + ) + ) + (net (rename sSptPeriodInSClks_11_ "sSptPeriodInSClks[11]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx)) + (portref (member sSptPeriodInSClks 4)) + ) + ) + (net (rename sSptPeriodInSClks_12_ "sSptPeriodInSClks[12]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx)) + (portref (member sSptPeriodInSClks 3)) + ) + ) + (net (rename sSptPeriodInSClks_13_ "sSptPeriodInSClks[13]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx)) + (portref (member sSptPeriodInSClks 2)) + ) + ) + (net (rename sSptPeriodInSClks_14_ "sSptPeriodInSClks[14]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx)) + (portref (member sSptPeriodInSClks 1)) + ) + ) + (net (rename sSptPeriodInSClks_15_ "sSptPeriodInSClks[15]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx)) + (portref (member sSptPeriodInSClks 0)) + ) + ) + (net (rename sSptPeriodInSClks_1_ "sSptPeriodInSClks[1]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx)) + (portref (member sSptPeriodInSClks 14)) + ) + ) + (net (rename sSptPeriodInSClks_2_ "sSptPeriodInSClks[2]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx)) + (portref (member sSptPeriodInSClks 13)) + ) + ) + (net (rename sSptPeriodInSClks_3_ "sSptPeriodInSClks[3]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx)) + (portref (member sSptPeriodInSClks 12)) + ) + ) + (net (rename sSptPeriodInSClks_4_ "sSptPeriodInSClks[4]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx)) + (portref (member sSptPeriodInSClks 11)) + ) + ) + (net (rename sSptPeriodInSClks_5_ "sSptPeriodInSClks[5]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx)) + (portref (member sSptPeriodInSClks 10)) + ) + ) + (net (rename sSptPeriodInSClks_6_ "sSptPeriodInSClks[6]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx)) + (portref (member sSptPeriodInSClks 9)) + ) + ) + (net (rename sSptPeriodInSClks_7_ "sSptPeriodInSClks[7]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx)) + (portref (member sSptPeriodInSClks 8)) + ) + ) + (net (rename sSptPeriodInSClks_8_ "sSptPeriodInSClks[8]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx)) + (portref (member sSptPeriodInSClks 7)) + ) + ) + (net (rename sSptPeriodInSClks_9_ "sSptPeriodInSClks[9]") (joined + (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx)) + (portref (member sSptPeriodInSClks 6)) + ) + ) + ) + ) + ) + ) +(comment "Reference To The Cell Of Highest Level") + + (design SyncRegsIfc + (cellref SyncRegsIfc (libraryref work)) + (property part (string "xc7z100ffg900-2")) + ) +) diff --git a/fpga/usrp3/top/n3xx/dboards/common/sync/TdcCore.edf b/fpga/usrp3/top/n3xx/dboards/common/sync/TdcCore.edf new file mode 100644 index 000000000..9679f2c63 Binary files /dev/null and b/fpga/usrp3/top/n3xx/dboards/common/sync/TdcCore.edf differ diff --git a/fpga/usrp3/top/n3xx/dboards/common/sync/TdcTop.vhd b/fpga/usrp3/top/n3xx/dboards/common/sync/TdcTop.vhd new file mode 100644 index 000000000..6535bbd04 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/common/sync/TdcTop.vhd @@ -0,0 +1,1147 @@ +------------------------------------------------------------------------------- +-- +-- Copyright 2018 Ettus Research, a National Instruments Company +-- +-- SPDX-License-Identifier: LGPL-3.0-or-later +-- +-- +-- Purpose: +-- +-- This top level module orchestrates both of the TDC Cores for the RP and SP. It +-- handles PPS capture, resets, re-run logic, and PPS crossing logic. The guts of the TDC +-- are all located in the Cores. +-- +-- This file (and the Cores) follows exactly the "TDC Detail" diagram from this document: +-- //MI/RF/HW/USRP/N310/HWCode/Common/Synchronization/design/Diagrams.vsdx +-- +-- +-- +-- To control this module: +-- 0) Default values expected to be driven on the control inputs: +-- aReset <= true +-- rResetTdc <= true +-- rEnableTdc <= false +-- rReRunEnable <= false +-- rEnablePpsCrossing <= false +-- sPpsClkCrossDelayVal <= don't care +-- Prior to starting the core, the Sync Pulse counters must be loaded. Apply the +-- correct count values to rRpPeriodInRClks, etc, and then pulse the load bit for +-- each RP and SP. It is critical that this step is performed before de-asserting +-- reset. +-- +-- 1) De-assert the global reset, aReset, as well as the synchronous reset, rResetTdc, +-- after all clocks are active and stable. Wait until rResetTdcDone is de-asserted. +-- If it doesn't de-assert, then one of your clocks isn't running. +-- +-- 2) At any point after rResetTdcDone de-asserts it is safe to assert rEnableTdc. +-- The rPpsPulse input is now actively listening for PPS activity and the TDC +-- will begin on the first PPS pulse received. After a PPS is received, the +-- rPpsPulseCaptured bit will assert and will remain asserted until aReset or +-- rResetTdc is asserted. +-- +-- 3) When the TDC measurement completes, mRpOffsetDone and mSpOffsetDone will assert +-- (not necessarily at the same time). The results of the measurements will be valid +-- on mRpOffset and mSpOffset. +-- +-- 4) To cross the PPS trigger into the SampleClk domain, first write the correct delay +-- value to sPpsClkCrossDelayVal. Then (or at the same time), enable the crossing +-- logic by asserting rEnablePpsCrossing. All subsequent PPS pulses will be crossed +-- deterministically. Although not the typical use case, sPpsClkCrossDelayVal can +-- be adjusted on the fly without producing output glitches, although output pulses +-- may be skipped. +-- +-- 5) To run the measurement again, assert the rReRunEnable input and capture the new +-- offsets whenever mRpOffsetValid or mSpOffsetValid asserts. +-- +-- +-- +-- Sync Pulse = RP and SP, which are the repeated pulses that are some integer +-- divisor of the Reference and Sample clocks. RP = Reference Pulse in the +-- RefClk domain. SP = Repeated TClk pulse in the SampleClk domain. +-- +-- +-- Clock period relationship requirements to meet system concerns: +-- 1) MeasClkPeriod < 2*RefClkPeriod +-- 2) MeasClkPeriod < 4*SampleClkPeriod +-- +-- +-- vreview_group Tdc +------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + use ieee.math_real.all; + +entity TdcTop is + generic ( + -- Determines the maximum number of bits required to create the restart + -- pulser. This value is based off of the RefClk and RePulse rates. + kRClksPerRePulsePeriodBitsMax : integer range 3 to 32 := 24; + -- Determines the maximum number of bits required to create the Gated and Freerunning + -- sync pulsers. This value is based off of the RefClk and SyncPulse rates. + kRClksPerRpPeriodBitsMax : integer range 3 to 16 := 16; + -- This value is based off of the SampleClk and SyncPulse rates. + kSClksPerSpPeriodBitsMax : integer range 3 to 16 := 16; + -- Number of MeasClk periods required to count one period of RP or SP (in bits). + kPulsePeriodCntSize : integer := 13; + -- Number of FreqRef periods to be measured (in bits). + kFreqRefPeriodsToCheckSize: integer := 17; + -- Number of Sync Pulse Periods to be timestamped (in bits). + kSyncPeriodsToStampSize : integer := 10 + ); + port ( + + -- Clocks and Resets : -------------------------------------------------------------- + -- Asynchronous global reset. + aReset : in boolean; + -- Reference Clock + RefClk : in std_logic; + -- Sample Clock + SampleClk : in std_logic; + -- Measurement Clock must run at a very specific frequency, determined by the + -- SampleClk, RefClk, and Sync Pulse rates... oh and a lot of math/luck. + MeasClk : in std_logic; + + + -- Controls and Status : ------------------------------------------------------------ + -- Soft reset for the module. Wait until rResetTdcDone asserts before de-asserting + -- the reset. + rResetTdc : in boolean; + rResetTdcDone : out boolean; + -- Once enabled, the TDC waits for the next PPS pulse to begin measurements. Leave + -- this signal asserted for the measurement duration (there is no need to de-assert + -- it unless you want to capture a different PPS edge). + rEnableTdc : in boolean; + -- Assert this bit to allow the TDC to perform repeated measurements. + rReRunEnable : in boolean; + + -- Only required to pulse 1 RefClk cycle. + rPpsPulse : in boolean; + -- Debug, held asserted when pulse is captured. + rPpsPulseCaptured : out boolean; + + -- Programmable value for delaying the RP and SP pulsers from when the Restart + -- Pulser begins. + rPulserEnableDelayVal : in unsigned(3 downto 0); + + + -- Crossing PPS into Sample Clock : ------------------------------------------------- + -- Enable crossing rPpsPulse into SampleClk domain. This should remain de-asserted + -- until the TDC measurements are complete and sPpsClkCrossDelayVal is written. + rEnablePpsCrossing : in boolean; + -- Programmable delay value for crossing clock domains. This is used to compensate + -- for differences in sSP pulses across modules. This value is typically set once + -- after running initial synchronization. + sPpsClkCrossDelayVal : in unsigned(3 downto 0); + -- PPS pulse output on the SampleClk domain. + sPpsPulse : out boolean; + + + -- FTDC Measurement Results : ------------------------------------------------------- + -- Final FTDC measurements in MeasClk ticks. Done will assert when *Offset + -- becomes valid and will remain asserted until aReset or rResetTdc asserts. + -- FXP<+40,13> where kPulsePeriodCntSize is the number of integer bits. + mRpOffset : out unsigned(kPulsePeriodCntSize+ + kSyncPeriodsToStampSize+ + kFreqRefPeriodsToCheckSize-1 downto 0); + mSpOffset : out unsigned(kPulsePeriodCntSize+ + kSyncPeriodsToStampSize+ + kFreqRefPeriodsToCheckSize-1 downto 0); + mOffsetsDone : out boolean; + mOffsetsValid : out boolean; + + + -- Setup for Pulsers : -------------------------------------------------------------- + -- Only load these counts when rResetTdc is asserted and rEnableTdc is de-asserted!!! + -- If both of the above conditions are met, load the counts by pulsing Load + -- when the counts are valid. It is not necessary to keep the count values valid + -- after pulsing Load. + rLoadRePulseCounts : in boolean; -- RePulse + rRePulsePeriodInRClks : in unsigned(kRClksPerRePulsePeriodBitsMax - 1 downto 0); + rRePulseHighTimeInRClks : in unsigned(kRClksPerRePulsePeriodBitsMax - 1 downto 0); + rLoadRpCounts : in boolean; -- RP + rRpPeriodInRClks : in unsigned(kRClksPerRpPeriodBitsMax - 1 downto 0); + rRpHighTimeInRClks : in unsigned(kRClksPerRpPeriodBitsMax - 1 downto 0); + rLoadRptCounts : in boolean; -- RP-transfer + rRptPeriodInRClks : in unsigned(kRClksPerRpPeriodBitsMax - 1 downto 0); + rRptHighTimeInRClks : in unsigned(kRClksPerRpPeriodBitsMax - 1 downto 0); + sLoadSpCounts : in boolean; -- SP + sSpPeriodInSClks : in unsigned(kSClksPerSpPeriodBitsMax - 1 downto 0); + sSpHighTimeInSClks : in unsigned(kSClksPerSpPeriodBitsMax - 1 downto 0); + sLoadSptCounts : in boolean; -- SP-transfer + sSptPeriodInSClks : in unsigned(kSClksPerSpPeriodBitsMax - 1 downto 0); + sSptHighTimeInSClks : in unsigned(kSClksPerSpPeriodBitsMax - 1 downto 0); + + + -- Sync Pulse Outputs : ------------------------------------------------------------- + -- The repeating pulses can be useful for many things, including passing triggers. + -- The rising edges will always have a fixed (but unknown) phase relationship to one + -- another. This fixed phase relationship is valid across daughterboards and all + -- modules using the same Reference Clock and Sample Clock rates and sources. + rRpTransfer : out boolean; + sSpTransfer : out boolean; + + -- Pin bouncers out and in. Must go to unused and unconnected pins on the FPGA! + rGatedPulseToPin : inout std_logic; + sGatedPulseToPin : inout std_logic + ); +end TdcTop; + + +architecture struct of TdcTop is + + component TdcCore + generic ( + kSourceClksPerPulseMaxBits : integer range 3 to 16 := 16; + kPulsePeriodCntSize : integer := 13; + kFreqRefPeriodsToCheckSize : integer := 17; + kSyncPeriodsToStampSize : integer := 10); + port ( + aReset : in boolean; + MeasClk : in std_logic; + mResetPeriodMeas : in boolean; + mPeriodMeasDone : out boolean; + mResetTdcMeas : in boolean; + mRunTdcMeas : in boolean; + mGatedPulse : out boolean; + mAvgOffset : out unsigned(kPulsePeriodCntSize+kSyncPeriodsToStampSize+kFreqRefPeriodsToCheckSize-1 downto 0); + mAvgOffsetDone : out boolean; + mAvgOffsetValid : out boolean; + SourceClk : in std_logic; + sResetTdc : in boolean; + sSyncPulseLoadCnt : in boolean; + sSyncPulsePeriod : in unsigned(kSourceClksPerPulseMaxBits-1 downto 0); + sSyncPulseHighTime : in unsigned(kSourceClksPerPulseMaxBits-1 downto 0); + sSyncPulseEnable : in boolean; + sGatedPulse : out boolean; + sGatedPulseToPin : inout std_logic); + end component; + + --vhook_sigstart + signal mRP: boolean; + signal mRpOffsetDoneLcl: boolean; + signal mRpOffsetValidLcl: boolean; + signal mRunTdc: boolean; + signal mSP: boolean; + signal mSpOffsetDoneLcl: boolean; + signal mSpOffsetValidLcl: boolean; + signal rCrossTrigRFI: boolean; + signal rGatedCptrPulseIn: boolean; + signal rRePulse: boolean; + signal rRePulseEnable: boolean; + signal rRpEnable: boolean; + signal rRptPulse: boolean; + signal sSpEnable: boolean; + signal sSptPulse: boolean; + --vhook_sigend + + signal sSpEnable_ms : boolean; + + -- Delay chain for enables. + constant kDelaySizeForRpEnable : integer := 15; + constant kAddtlDelayForSpEnable : integer := 3; + signal rSyncPulseEnableDly : + std_logic_vector(kDelaySizeForRpEnable+ + kAddtlDelayForSpEnable-1 downto 0) := (others => '0'); + -- Adding kAddtlDelayForSpEnable stages, so this vector needs to handle one extra + -- bit of range (hence no -1 downto 0). + signal rSyncPulseEnableDlyVal : unsigned(rPulserEnableDelayVal'length downto 0); + + signal rResetTdcFlop_ms, rResetTdcFlop, + rResetTdcDone_ms, + rSpEnable, + mRunTdcEnable_ms, mRunTdcEnable, + mRunTdcEnableDly, mRunTdcEnableRe, + mResetTdc_ms, mResetTdc, + sResetTdc_ms, sResetTdc, + mRpValidStored, mSpValidStored, + mOffsetsValidLcl, + rPpsPulseDly, rPpsPulseRe, + mReRunEnable_ms, mReRunEnable : boolean; + + signal rPpsCaptured : std_logic; + + type EnableFsmState_t is (Disabled, WaitForRunComplete, ReRuns); + signal mEnableState : EnableFsmState_t; + + attribute ASYNC_REG : string; + attribute ASYNC_REG of sSpEnable_ms : signal is "true"; + attribute ASYNC_REG of sSpEnable : signal is "true"; + attribute ASYNC_REG of rResetTdcFlop_ms : signal is "true"; + attribute ASYNC_REG of rResetTdcFlop : signal is "true"; + attribute ASYNC_REG of rResetTdcDone_ms : signal is "true"; + attribute ASYNC_REG of rResetTdcDone : signal is "true"; + attribute ASYNC_REG of mRunTdcEnable_ms : signal is "true"; + attribute ASYNC_REG of mRunTdcEnable : signal is "true"; + attribute ASYNC_REG of mResetTdc_ms : signal is "true"; + attribute ASYNC_REG of mResetTdc : signal is "true"; + attribute ASYNC_REG of sResetTdc_ms : signal is "true"; + attribute ASYNC_REG of sResetTdc : signal is "true"; + attribute ASYNC_REG of mReRunEnable_ms : signal is "true"; + attribute ASYNC_REG of mReRunEnable : signal is "true"; + +begin + + + -- Generate Resets : ------------------------------------------------------------------ + -- Double-sync the reset to the MeasClk domain and then back to the RefClk domain to + -- prove it made it all the way into the TDC. Also move it into the SampleClk domain. + -- ------------------------------------------------------------------------------------ + GenResets : process(aReset, RefClk) + begin + if aReset then + rResetTdcFlop_ms <= true; + rResetTdcFlop <= true; + rResetTdcDone_ms <= true; + rResetTdcDone <= true; + elsif rising_edge(RefClk) then + -- Run this through a double-sync in case the user defaults it to false, which + -- could cause rResetTdcFlop_ms to go meta-stable. + rResetTdcFlop_ms <= rResetTdc; + rResetTdcFlop <= rResetTdcFlop_ms; + -- Second double-sync to move the reset from the MeasClk domain back to RefClk. + rResetTdcDone_ms <= mResetTdc; + rResetTdcDone <= rResetTdcDone_ms; + end if; + end process; + + GenResetsMeasClk : process(aReset, MeasClk) + begin + if aReset then + mResetTdc_ms <= true; + mResetTdc <= true; + elsif rising_edge(MeasClk) then + -- Move the reset from the RefClk to the MeasClk domain. + mResetTdc_ms <= rResetTdcFlop; + mResetTdc <= mResetTdc_ms; + end if; + end process; + + GenResetsSampleClk : process(aReset, SampleClk) + begin + if aReset then + sResetTdc_ms <= true; + sResetTdc <= true; + elsif rising_edge(SampleClk) then + -- Move the reset from the RefClk to the SampleClk domain. + sResetTdc_ms <= rResetTdcFlop; + sResetTdc <= sResetTdc_ms; + end if; + end process; + + + -- Generate Enables for TDCs : -------------------------------------------------------- + -- When the TDC is enabled by asserting rEnableTdc, we start "listening" for a PPS + -- rising edge to occur. We capture the first edge we see and then keep the all the + -- enables asserted until the TDC is disabled. + -- ------------------------------------------------------------------------------------ + rPpsPulseRe <= rPpsPulse and not rPpsPulseDly; + + EnableTdc : process(aReset, RefClk) + begin + if aReset then + rPpsPulseDly <= false; + rPpsCaptured <= '0'; + rSyncPulseEnableDly <= (others => '0'); + elsif rising_edge(RefClk) then + -- RE detector for PPS to ONLY trigger on the edge and not accidentally half + -- way through the high time. + rPpsPulseDly <= rPpsPulse; + -- When the TDC is enabled we capture the first PPS. This starts the Sync Pulses + -- (RP / SP) as well as enables the TDC measurement for capturing edges. Note + -- that this is independent from any synchronous reset such that we can control + -- the PPS capture and the edge capture independently. + if rEnableTdc then + if rPpsPulseRe then + rPpsCaptured <= '1'; + end if; + else + rPpsCaptured <= '0'; + rSyncPulseEnableDly <= (others => '0'); + end if; + + -- Delay chain for the enable bits. Shift left low to high. + rSyncPulseEnableDly <= + rSyncPulseEnableDly(rSyncPulseEnableDly'high-1 downto 0) & rPpsCaptured; + end if; + end process; + + rSyncPulseEnableDlyVal <= resize(rPulserEnableDelayVal, rSyncPulseEnableDlyVal'length); + + -- Enables for the RePulse/RP/SP. The RePulse enable must be asserted two cycles + -- before the other enables to allow the TDC to start running before the RP/SP begin. + rRePulseEnable <= rPpsCaptured = '1'; -- no delay + rRpEnable <= rSyncPulseEnableDly(to_integer(rSyncPulseEnableDlyVal)) = '1'; + rSpEnable <= rSyncPulseEnableDly(to_integer(rSyncPulseEnableDlyVal)+kAddtlDelayForSpEnable-1) = '1'; + + -- Local to output. + rPpsPulseCaptured <= rPpsCaptured = '1'; + + -- Sync rSpEnable to the SampleClk now... based on the "TDC 2.0" diagram. + SyncEnableToSampleClk : process(aReset, SampleClk) + begin + if aReset then + sSpEnable_ms <= false; + sSpEnable <= false; + elsif rising_edge(SampleClk) then + sSpEnable_ms <= rSpEnable; + sSpEnable <= sSpEnable_ms; + end if; + end process; + + --vhook_e Pulser ReRunPulser + --vhook_a kClksPerPulseMaxBits kRClksPerRePulsePeriodBitsMax + --vhook_a Clk RefClk + --vhook_a cLoadLimits rLoadRePulseCounts + --vhook_a cPeriod rRePulsePeriodInRClks + --vhook_a cHighTime rRePulseHighTimeInRClks + --vhook_a cEnablePulse rRePulseEnable + --vhook_a cPulse rRePulse + ReRunPulser: entity work.Pulser (rtl) + generic map (kClksPerPulseMaxBits => kRClksPerRePulsePeriodBitsMax) --integer range 3:32 :=16 + port map ( + aReset => aReset, --in boolean + Clk => RefClk, --in std_logic + cLoadLimits => rLoadRePulseCounts, --in boolean + cPeriod => rRePulsePeriodInRClks, --in unsigned(kClksPerPulseMaxBits-1:0) + cHighTime => rRePulseHighTimeInRClks, --in unsigned(kClksPerPulseMaxBits-1:0) + cEnablePulse => rRePulseEnable, --in boolean + cPulse => rRePulse); --out boolean + + mRunTdcEnableRe <= mRunTdcEnable and not mRunTdcEnableDly; + + -- FSM to generate the master Run signal, as well as the repeat run. + SyncEnableToMeasClk : process(aReset, MeasClk) + begin + if aReset then + mRunTdcEnable_ms <= false; + mRunTdcEnable <= false; + mReRunEnable_ms <= false; + mReRunEnable <= false; + mRunTdcEnableDly <= false; + mRunTdc <= false; + mEnableState <= Disabled; + elsif rising_edge(MeasClk) then + -- rRePulse is many, many MeasClk cycles high/low, so this is safe to double-sync. + mRunTdcEnable_ms <= rRePulse; + mRunTdcEnable <= mRunTdcEnable_ms; + mReRunEnable_ms <= rReRunEnable; + mReRunEnable <= mReRunEnable_ms; + + mRunTdcEnableDly <= mRunTdcEnable; + + -- STATE MACHINE STARTUP !!! ------------------------------------------------------ + -- This state machine starts safely because it cannot change state until + -- mRunTdcEnable is asserted, which cannot happen until several cycles after + -- aReset de-assertion due to the double-synchronizer from the RefClk domain. + -- -------------------------------------------------------------------------------- + -- De-assert strobe. + mRunTdc <= false; + + case mEnableState is + -- Transition to WaitForRunComplete when the TDC is enabled. Pulse mRunTdc here, + -- and then wait for it to complete in WaitForRunComplete. + when Disabled => + if mRunTdcEnableRe then + mRunTdc <= true; + mEnableState <= WaitForRunComplete; + end if; + + -- The TDC measurement is complete when both offsets are valid. Go to the re-run + -- state regardless of whether re-runs are enabled. If they aren't we just sit + -- there and wait for more instructions... + when WaitForRunComplete => + if mOffsetsValidLcl then + mEnableState <= ReRuns; + end if; + + -- Only pulse mRunTdc again if re-runs are enabled and the rising edge of + -- the enable signal occurs. This guarantees our RP/SP have the correct phase + -- relationship every time the TDC is run. + when ReRuns => + if mReRunEnable and mRunTdcEnableRe then + mRunTdc <= true; + mEnableState <= WaitForRunComplete; + end if; + + when others => + mEnableState <= Disabled; + end case; + + -- Synchronous reset for FSM. + if mResetTdc then + mEnableState <= Disabled; + mRunTdc <= false; + end if; + + end if; + end process; + + + + -- Generate Output Valid Signals : ---------------------------------------------------- + -- Depending on how fast SW can read the measurements (and in what order they read) + -- the readings could be out of sync with one another. This section conditions the + -- output valid signals from each core and asserts a single output valid pulse after + -- BOTH valids have asserted. It is agnostic to the order in which the valids assert. + -- It creates a delay in the output valid assertion. Minimal delay is one MeasClk cycle + -- if the core valids assert together. Worst-case delay is two MeasClk cycles after + -- the latter of the two valids asserts. This is acceptable delay because the core + -- cannot be re-run until both valids have asserted (mOffsetsValidLcl is fed back into + -- the ReRun FSM above). + -- ------------------------------------------------------------------------------------ + ConditionDataValidProc : process(aReset, MeasClk) is + begin + if aReset then + mOffsetsValidLcl <= false; + mRpValidStored <= false; + mSpValidStored <= false; + elsif rising_edge(MeasClk) then + -- Reset the strobe signals. + mOffsetsValidLcl <= false; + + -- First, we're sensitive to the TDC sync reset signal. + if mResetTdc then + mOffsetsValidLcl <= false; + mRpValidStored <= false; + mSpValidStored <= false; + -- Case 1: Both Valid signals pulse at the same time. + -- Case 4: Both Valid signals have been stored independently. Yes, this incurs + -- a one-cycle delay in the output valid (from when the second one asserts) + -- but it makes for cleaner code and is safe because by design because the + -- valid signals cannot assert again for a longggg time. + elsif (mRpOffsetValidLcl and mSpOffsetValidLcl) or + (mRpValidStored and mSpValidStored) then + mOffsetsValidLcl <= true; + mRpValidStored <= false; + mSpValidStored <= false; + -- Case 2: RP Valid pulses alone. + elsif mRpOffsetValidLcl then + mRpValidStored <= true; + -- Case 3: SP Valid pulses alone. + elsif mSpOffsetValidLcl then + mSpValidStored <= true; + end if; + end if; + end process; + + -- Local to output. + mOffsetsValid <= mOffsetsValidLcl; + -- Only assert done with both cores are done. + mOffsetsDone <= mRpOffsetDoneLcl and mSpOffsetDoneLcl; + + + + -- Reference Clock TDC (RP) : --------------------------------------------------------- + -- mRP is only used for testbenching purposes, so ignore vhook warnings. + --vhook_nowarn mRP + -- ------------------------------------------------------------------------------------ + + --vhook TdcCore RpTdc + --vhook_g kSourceClksPerPulseMaxBits kRClksPerRpPeriodBitsMax + --vhook_a mResetPeriodMeas mResetTdc + --vhook_a mResetTdcMeas mResetTdc + --vhook_a mPeriodMeasDone open + --vhook_a mRunTdcMeas mRunTdc + --vhook_a mGatedPulse mRP + --vhook_a mAvgOffset mRpOffset + --vhook_a mAvgOffsetDone mRpOffsetDoneLcl + --vhook_a mAvgOffsetValid mRpOffsetValidLcl + --vhook_a SourceClk RefClk + --vhook_a sResetTdc rResetTdcFlop + --vhook_a sSyncPulseLoadCnt rLoadRpCounts + --vhook_a sSyncPulsePeriod rRpPeriodInRClks + --vhook_a sSyncPulseHighTime rRpHighTimeInRClks + --vhook_a sSyncPulseEnable rRpEnable + --vhook_a sGatedPulse open + --vhook_a {^sGated(.*)} rGated$1 + RpTdc: TdcCore + generic map ( + kSourceClksPerPulseMaxBits => kRClksPerRpPeriodBitsMax, --integer range 3:16 :=16 + kPulsePeriodCntSize => kPulsePeriodCntSize, --integer:=13 + kFreqRefPeriodsToCheckSize => kFreqRefPeriodsToCheckSize, --integer:=17 + kSyncPeriodsToStampSize => kSyncPeriodsToStampSize) --integer:=10 + port map ( + aReset => aReset, --in boolean + MeasClk => MeasClk, --in std_logic + mResetPeriodMeas => mResetTdc, --in boolean + mPeriodMeasDone => open, --out boolean + mResetTdcMeas => mResetTdc, --in boolean + mRunTdcMeas => mRunTdc, --in boolean + mGatedPulse => mRP, --out boolean + mAvgOffset => mRpOffset, --out unsigned(kPulsePeriodCntSize+ kSyncPeriodsToStampSize+ kFreqRefPeriodsToCheckSize-1:0) + mAvgOffsetDone => mRpOffsetDoneLcl, --out boolean + mAvgOffsetValid => mRpOffsetValidLcl, --out boolean + SourceClk => RefClk, --in std_logic + sResetTdc => rResetTdcFlop, --in boolean + sSyncPulseLoadCnt => rLoadRpCounts, --in boolean + sSyncPulsePeriod => rRpPeriodInRClks, --in unsigned(kSourceClksPerPulseMaxBits-1:0) + sSyncPulseHighTime => rRpHighTimeInRClks, --in unsigned(kSourceClksPerPulseMaxBits-1:0) + sSyncPulseEnable => rRpEnable, --in boolean + sGatedPulse => open, --out boolean + sGatedPulseToPin => rGatedPulseToPin); --inout std_logic + + --vhook_e Pulser RpTransferPulse + --vhook_a kClksPerPulseMaxBits kRClksPerRpPeriodBitsMax + --vhook_a Clk RefClk + --vhook_a cLoadLimits rLoadRptCounts + --vhook_a cPeriod rRptPeriodInRClks + --vhook_a cHighTime rRptHighTimeInRClks + --vhook_a cEnablePulse rRpEnable + --vhook_a cPulse rRptPulse + RpTransferPulse: entity work.Pulser (rtl) + generic map (kClksPerPulseMaxBits => kRClksPerRpPeriodBitsMax) --integer range 3:32 :=16 + port map ( + aReset => aReset, --in boolean + Clk => RefClk, --in std_logic + cLoadLimits => rLoadRptCounts, --in boolean + cPeriod => rRptPeriodInRClks, --in unsigned(kClksPerPulseMaxBits-1:0) + cHighTime => rRptHighTimeInRClks, --in unsigned(kClksPerPulseMaxBits-1:0) + cEnablePulse => rRpEnable, --in boolean + cPulse => rRptPulse); --out boolean + + -- Local to output + rRpTransfer <= rRptPulse; + + + -- Sample Clock TDC (SP) : ------------------------------------------------------------ + -- mSP is only used for testbenching purposes, so ignore vhook warnings. + --vhook_nowarn mSP + -- ------------------------------------------------------------------------------------ + + --vhook TdcCore SpTdc + --vhook_g kSourceClksPerPulseMaxBits kSClksPerSpPeriodBitsMax + --vhook_a mResetPeriodMeas mResetTdc + --vhook_a mResetTdcMeas mResetTdc + --vhook_a mPeriodMeasDone open + --vhook_a mRunTdcMeas mRunTdc + --vhook_a mGatedPulse mSP + --vhook_a mAvgOffset mSpOffset + --vhook_a mAvgOffsetDone mSpOffsetDoneLcl + --vhook_a mAvgOffsetValid mSpOffsetValidLcl + --vhook_a SourceClk SampleClk + --vhook_a sResetTdc sResetTdc + --vhook_a sSyncPulseLoadCnt sLoadSpCounts + --vhook_a sSyncPulsePeriod sSpPeriodInSClks + --vhook_a sSyncPulseHighTime sSpHighTimeInSClks + --vhook_a sSyncPulseEnable sSpEnable + --vhook_a sGatedPulse open + --vhook_a {^sGated(.*)} sGated$1 + SpTdc: TdcCore + generic map ( + kSourceClksPerPulseMaxBits => kSClksPerSpPeriodBitsMax, --integer range 3:16 :=16 + kPulsePeriodCntSize => kPulsePeriodCntSize, --integer:=13 + kFreqRefPeriodsToCheckSize => kFreqRefPeriodsToCheckSize, --integer:=17 + kSyncPeriodsToStampSize => kSyncPeriodsToStampSize) --integer:=10 + port map ( + aReset => aReset, --in boolean + MeasClk => MeasClk, --in std_logic + mResetPeriodMeas => mResetTdc, --in boolean + mPeriodMeasDone => open, --out boolean + mResetTdcMeas => mResetTdc, --in boolean + mRunTdcMeas => mRunTdc, --in boolean + mGatedPulse => mSP, --out boolean + mAvgOffset => mSpOffset, --out unsigned(kPulsePeriodCntSize+ kSyncPeriodsToStampSize+ kFreqRefPeriodsToCheckSize-1:0) + mAvgOffsetDone => mSpOffsetDoneLcl, --out boolean + mAvgOffsetValid => mSpOffsetValidLcl, --out boolean + SourceClk => SampleClk, --in std_logic + sResetTdc => sResetTdc, --in boolean + sSyncPulseLoadCnt => sLoadSpCounts, --in boolean + sSyncPulsePeriod => sSpPeriodInSClks, --in unsigned(kSourceClksPerPulseMaxBits-1:0) + sSyncPulseHighTime => sSpHighTimeInSClks, --in unsigned(kSourceClksPerPulseMaxBits-1:0) + sSyncPulseEnable => sSpEnable, --in boolean + sGatedPulse => open, --out boolean + sGatedPulseToPin => sGatedPulseToPin); --inout std_logic + + --vhook_e Pulser SpTransferPulse + --vhook_a kClksPerPulseMaxBits kSClksPerSpPeriodBitsMax + --vhook_a Clk SampleClk + --vhook_a cLoadLimits sLoadSptCounts + --vhook_a cPeriod sSptPeriodInSClks + --vhook_a cHighTime sSptHighTimeInSClks + --vhook_a cEnablePulse sSpEnable + --vhook_a cPulse sSptPulse + SpTransferPulse: entity work.Pulser (rtl) + generic map (kClksPerPulseMaxBits => kSClksPerSpPeriodBitsMax) --integer range 3:32 :=16 + port map ( + aReset => aReset, --in boolean + Clk => SampleClk, --in std_logic + cLoadLimits => sLoadSptCounts, --in boolean + cPeriod => sSptPeriodInSClks, --in unsigned(kClksPerPulseMaxBits-1:0) + cHighTime => sSptHighTimeInSClks, --in unsigned(kClksPerPulseMaxBits-1:0) + cEnablePulse => sSpEnable, --in boolean + cPulse => sSptPulse); --out boolean + + -- Local to output + sSpTransfer <= sSptPulse; + + + -- Cross PPS to SampleClk : ---------------------------------------------------------- + -- Cross it safely and with deterministic delay. + -- ------------------------------------------------------------------------------------ + + -- Keep the module from over-pulsing itself by gating the input with the RFI signal, + -- although at 1 Hz, this module should never run into the RFI de-asserted case + -- by design. + rGatedCptrPulseIn <= rCrossTrigRFI and rPpsPulseRe; + + --vhook_e CrossTrigger CrossCptrPulse + --vhook_a rRP rRptPulse + --vhook_a rReadyForInput rCrossTrigRFI + --vhook_a rEnableTrigger rEnablePpsCrossing + --vhook_a rTriggerIn rGatedCptrPulseIn + --vhook_a sSP sSptPulse + --vhook_a sElasticBufferPtr sPpsClkCrossDelayVal + --vhook_a sTriggerOut sPpsPulse + CrossCptrPulse: entity work.CrossTrigger (rtl) + port map ( + aReset => aReset, --in boolean + RefClk => RefClk, --in std_logic + rRP => rRptPulse, --in boolean + rReadyForInput => rCrossTrigRFI, --out boolean + rEnableTrigger => rEnablePpsCrossing, --in boolean + rTriggerIn => rGatedCptrPulseIn, --in boolean + SampleClk => SampleClk, --in std_logic + sSP => sSptPulse, --in boolean + sElasticBufferPtr => sPpsClkCrossDelayVal, --in unsigned(3:0) + sTriggerOut => sPpsPulse); --out boolean + + +end struct; + + + + + + + +-------------------------------------------------------------------------------- +-- Testbench for TdcTop +-------------------------------------------------------------------------------- + +--synopsys translate_off +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + use ieee.math_real.all; + +entity tb_TdcTop is end tb_TdcTop; + +architecture test of tb_TdcTop is + + -- Constants for the clock periods. + constant kSPer : time := 8.000 ns; -- 125.00 MHz + constant kMPer : time := 5.050 ns; -- 198.00 MHz + constant kRPer : time := 100.000 ns; -- 10.00 MHz + + constant kRClksPerRePulsePeriodBitsMax : integer := 24; + constant kRClksPerRpPeriodBitsMax : integer := 16; + constant kSClksPerSpPeriodBitsMax : integer := 16; + + -- Constants for the RP/SP pulses, based on the clock frequencies above. The periods + -- should all divide into one another without remainders, so this is safe to do... + -- High time is 50% duty cycle, or close to it if the period isn't a round number. + constant kRpPeriod : time := 1000 ns; + constant kRpPeriodInRClks : integer := kRpPeriod/kRPer; + constant kRpHighTimeInRClks : integer := integer(floor(real(kRpPeriodInRClks)/2.0)); + constant kRptPeriod : time := 25000 ns; + constant kRptPeriodInRClks : integer := kRptPeriod/kRPer; + constant kRptHighTimeInRClks : integer := integer(floor(real(kRptPeriodInRClks)/2.0)); + constant kSpPeriod : time := 800 ns; + constant kSpPeriodInSClks : integer := kSpPeriod/kSPer; + constant kSpHighTimeInSClks : integer := integer(floor(real(kSpPeriodInSClks)/2.0)); + constant kSptPeriod : time := 25000 ns; + constant kSptPeriodInSClks : integer := kSptPeriod/kSPer; + constant kSptHighTimeInSClks : integer := integer(floor(real(kSptPeriodInSClks)/2.0)); + constant kRePulsePeriod : time := 2.500 ms; + constant kRePulsePeriodInRClks : integer := kRePulsePeriod/kRPer; + constant kRePulseHighTimeInRClks : integer := integer(floor(real(kRePulsePeriodInRClks)/2.0)); + + -- This doesn't come out to a nice number (or shouldn't), but that's ok. Round up. + constant kMeasClksPerRp : integer := kRpPeriod/kMPer+1; + + -- Inputs to DUT + constant kPulsePeriodCntSize : integer := integer(ceil(log2(real(kMeasClksPerRp)))); + constant kFreqRefPeriodsToCheckSize: integer := 12; -- usually 17, but to save run time... + constant kSyncPeriodsToStampSize : integer := 10; + + constant kMeasurementTimeout : time := + kMPer*(kMeasClksPerRp*(2**kSyncPeriodsToStampSize) + + 40*(2**kSyncPeriodsToStampSize) + + kMeasClksPerRp*(2**kFreqRefPeriodsToCheckSize) + ); + + --vhook_sigstart + signal aReset: boolean; + signal MeasClk: std_logic := '0'; + signal mOffsetsDone: boolean; + signal mOffsetsValid: boolean; + signal mRpOffset: unsigned(kPulsePeriodCntSize+kSyncPeriodsToStampSize+kFreqRefPeriodsToCheckSize-1 downto 0); + signal mSpOffset: unsigned(kPulsePeriodCntSize+kSyncPeriodsToStampSize+kFreqRefPeriodsToCheckSize-1 downto 0); + signal RefClk: std_logic := '0'; + signal rEnablePpsCrossing: boolean; + signal rEnableTdc: boolean; + signal rGatedPulseToPin: std_logic; + signal rLoadRePulseCounts: boolean; + signal rLoadRpCounts: boolean; + signal rLoadRptCounts: boolean; + signal rPpsPulse: boolean; + signal rPpsPulseCaptured: boolean; + signal rPulserEnableDelayVal: unsigned(3 downto 0); + signal rReRunEnable: boolean; + signal rResetTdc: boolean; + signal rResetTdcDone: boolean; + signal rRpTransfer: boolean; + signal SampleClk: std_logic := '0'; + signal sGatedPulseToPin: std_logic; + signal sLoadSpCounts: boolean; + signal sLoadSptCounts: boolean; + signal sPpsClkCrossDelayVal: unsigned(3 downto 0); + signal sPpsPulse: boolean; + signal sSpTransfer: boolean; + --vhook_sigend + + signal StopSim : boolean; + signal EnableOutputChecks : boolean := true; + + signal ExpectedRpOutput, + ExpectedFinalMeas, + ExpectedSpOutput : real := 0.0; + + alias mRunTdc is <>; + alias mSP is <>; + alias mRP is <>; + + procedure ClkWait( + signal Clk : in std_logic; + X : positive := 1) is + begin + for i in 1 to X loop + wait until rising_edge(Clk); + end loop; + end procedure ClkWait; + + function OffsetToReal (Offset : unsigned) return real is + variable TempVar : real := 0.0; + begin + TempVar := + real(to_integer( + Offset(Offset'high downto kFreqRefPeriodsToCheckSize+kSyncPeriodsToStampSize))) + + real(to_integer( + Offset(kFreqRefPeriodsToCheckSize+kSyncPeriodsToStampSize-1 downto 0)))* + real(2.0**(-(kFreqRefPeriodsToCheckSize+kSyncPeriodsToStampSize))); + return TempVar; + end OffsetToReal; + +begin + + SampleClk <= not SampleClk after kSPer/2 when not StopSim else '0'; + RefClk <= not RefClk after kRPer/2 when not StopSim else '0'; + MeasClk <= not MeasClk after kMPer/2 when not StopSim else '0'; + + + main: process + begin + -- Defaults, per instructions in Purpose + sPpsClkCrossDelayVal <= to_unsigned(0, sPpsClkCrossDelayVal'length); + rPulserEnableDelayVal <= to_unsigned(1, rPulserEnableDelayVal'length); + rResetTdc <= true; + rEnableTdc <= false; + rReRunEnable <= false; + rEnablePpsCrossing <= false; + rPpsPulse <= false; + rLoadRePulseCounts <= false; + rLoadRpCounts <= false; + rLoadRptCounts <= false; + sLoadSpCounts <= false; + sLoadSptCounts <= false; + + aReset <= true, false after kRPer*4; + ClkWait(RefClk,10); + + -- Step 0 : ------------------------------------------------------------------------- + -- Prior to de-asserting reset, we need to load the counters, so pulse the loads. + ClkWait(RefClk); + rLoadRePulseCounts <= true; + rLoadRpCounts <= true; + rLoadRptCounts <= true; + ClkWait(RefClk); + rLoadRePulseCounts <= false; + rLoadRpCounts <= false; + rLoadRptCounts <= false; + ClkWait(SampleClk); + sLoadSpCounts <= true; + sLoadSptCounts <= true; + ClkWait(SampleClk); + sLoadSpCounts <= false; + sLoadSptCounts <= false; + + + -- Step 1 : ------------------------------------------------------------------------- + report "De-asserting Synchronous Reset..." severity note; + ClkWait(RefClk); + rResetTdc <= false; + wait until not rResetTdcDone for (kRPer*4)+(kMPer*2); + assert not rResetTdcDone + report "rRestTdcDone didn't de-assert in time" + severity error; + + + -- Step 2 : ------------------------------------------------------------------------- + report "Enabling TDC Measurement & Capturing PPS..." severity note; + rEnableTdc <= true; + ClkWait(RefClk,5); + + -- Trigger a PPS one-cycle pulse. + rPpsPulse <= true; + ClkWait(RefClk); + rPpsPulse <= false; + ClkWait(RefClk); + assert rPpsPulseCaptured report "PPS not captured" severity error; + + + -- Step 3 : ------------------------------------------------------------------------- + report "Waiting for Measurements to Complete..." severity note; + wait until mOffsetsDone for kMeasurementTimeout; + assert mOffsetsDone + report "Offset measurements not completed within timeout" + severity error; + + -- Offset values checked below in CheckOutput. + + report "Printing Results..." & LF & + "RP: " & real'image(OffsetToReal(mRpOffset)) & + " Expected: " & real'image(ExpectedRpOutput) & LF & + "SP: " & real'image(OffsetToReal(mSpOffset)) & + " Expected: " & real'image(ExpectedSpOutput) & LF & + "Meas: " & real'image((OffsetToReal(mSpOffset-mRpOffset)*real(kMPer/1 ns)+ + real(kRPer/1 ns)-real(kSPer/1 ns))/real(kSPer/1 ns)) & + " Expected: " & real'image(ExpectedFinalMeas) + severity note; + + + -- Step 4 : ------------------------------------------------------------------------- + -- Trigger another PPS one-cycle pulse to watch it all cross over correctly. + -- Issue the trigger around where a real PPS pulse will come (RE of RP). + -- First, set the programmable delay sPpsClkCrossDelayVal. + ClkWait(SampleClk); + sPpsClkCrossDelayVal <= to_unsigned(4, sPpsClkCrossDelayVal'length); + ClkWait(RefClk); + rEnablePpsCrossing <= true; + wait until rRpTransfer and not rRpTransfer'delayed; + rPpsPulse <= true; + ClkWait(RefClk); + rPpsPulse <= false; + ClkWait(RefClk); + + -- We expect the PPS output pulse to arrive after FE and RE of sSP have passed, + -- and then a few extra cycles of SampleClk delay on there as well. + wait until (not sSpTransfer) and ( sSpTransfer'delayed); -- FE + wait until ( sSpTransfer) and (not sSpTransfer'delayed); -- RE + ClkWait(SampleClk, 2 + to_integer(sPpsClkCrossDelayVal)); + -- Check on falling edge of clock. + wait until falling_edge(SampleClk); + assert sPpsPulse and not sPpsPulse'delayed(kSPer) report "sPpsPulse did not assert"; + wait until falling_edge(SampleClk); + assert not sPpsPulse report "sPpsPulse did not pulse correctly"; + + + -- Step 5 : ------------------------------------------------------------------------- + report "Repeating TDC Measurement..." severity note; + ClkWait(RefClk); + rReRunEnable <= true; + + -- Now wait for the measurement to complete. + wait until mOffsetsValid for kMeasurementTimeout; + assert mOffsetsValid + report "Offset measurements not re-completed within timeout" + severity error; + + -- Offset values checked below in CheckOutput. + + report "Printing Results..." & LF & + "RP: " & real'image(OffsetToReal(mRpOffset)) & + " Expected: " & real'image(ExpectedRpOutput) & LF & + "SP: " & real'image(OffsetToReal(mSpOffset)) & + " Expected: " & real'image(ExpectedSpOutput) & LF & + "Meas: " & real'image((OffsetToReal(mSpOffset-mRpOffset)*real(kMPer/1 ns)+ + real(kRPer/1 ns)-real(kSPer/1 ns))/real(kSPer/1 ns)) & + " Expected: " & real'image(ExpectedFinalMeas) + severity note; + + ClkWait(MeasClk,100); + + + -- Let it run for a while : --------------------------------------------------------- + for i in 0 to 9 loop + wait until mOffsetsValid for kMeasurementTimeout; + assert mOffsetsValid + report "Offset measurements not re-completed within timeout" + severity error; + report "Printing Results..." & LF & + "RP: " & real'image(OffsetToReal(mRpOffset)) & + " Expected: " & real'image(ExpectedRpOutput) & LF & + "SP: " & real'image(OffsetToReal(mSpOffset)) & + " Expected: " & real'image(ExpectedSpOutput) & LF & + "Meas: " & real'image((OffsetToReal(mSpOffset-mRpOffset)*real(kMPer/1 ns)+ + real(kRPer/1 ns)-real(kSPer/1 ns))/real(kSPer/1 ns)) & + " Expected: " & real'image(ExpectedFinalMeas) + severity note; + end loop; + + + -- And stop it : -------------------------------------------------------------------- + report "Stopping Repeating TDC Measurements..." severity note; + ClkWait(RefClk); + rReRunEnable <= false; + -- Wait to make sure it doesn't keep going. + wait until mOffsetsValid + for 2*(kMPer*(kMeasClksPerRp*(2**kSyncPeriodsToStampSize) + 40*(2**kSyncPeriodsToStampSize))); + assert not mOffsetsValid; + + + + -- Let it run for a while : --------------------------------------------------------- + report "Starting again Repeating TDC Measurements..." severity note; + ClkWait(RefClk); + rReRunEnable <= true; + for i in 0 to 2 loop + wait until mOffsetsValid for kMeasurementTimeout; + assert mOffsetsValid + report "Offset measurements not re-completed within timeout" + severity error; + report "Printing Results..." & LF & + "RP: " & real'image(OffsetToReal(mRpOffset)) & + " Expected: " & real'image(ExpectedRpOutput) & LF & + "SP: " & real'image(OffsetToReal(mSpOffset)) & + " Expected: " & real'image(ExpectedSpOutput) & LF & + "Meas: " & real'image((OffsetToReal(mSpOffset-mRpOffset)*real(kMPer/1 ns)+ + real(kRPer/1 ns)-real(kSPer/1 ns))/real(kSPer/1 ns)) & + " Expected: " & real'image(ExpectedFinalMeas) + severity note; + end loop; + + + StopSim <= true; + wait; + end process; + + + ExpectedFinalMeasGen : process + variable StartTime : time := 0 ns; + begin + wait until rPpsPulse; + wait until rRpTransfer; + StartTime := now; + wait until sSpTransfer; + ExpectedFinalMeas <= real((now - StartTime)/1 ps)/real((kSPer/1 ps)); + wait until rResetTdc; + end process; + + + ExpectedRpOutputGen : process + variable StartTime : time := 0 ns; + begin + wait until mRunTdc; + StartTime := now; + wait until mRP; + ExpectedRpOutput <= real((now - StartTime)/1 ps)/real((kMPer/1 ps)); + wait until mOffsetsValid; + end process; + + ExpectedSpOutputGen : process + variable StartTime : time := 0 ns; + begin + wait until mRunTdc; + StartTime := now; + wait until mSP; + ExpectedSpOutput <= real((now - StartTime)/1 ps)/real((kMPer/1 ps)); + wait until mOffsetsValid; + end process; + + CheckOutput : process(MeasClk) + begin + if falling_edge(MeasClk) then + if EnableOutputChecks then + + if mOffsetsValid then + assert (OffsetToReal(mRpOffset) < ExpectedRpOutput + 1.0) and + (OffsetToReal(mRpOffset) > ExpectedRpOutput - 1.0) + report "Mismatch between mRpOffset and expected!" & LF & + "Actual: " & real'image(OffsetToReal(mRpOffset)) & LF & + "Expect: " & real'image(ExpectedRpOutput) + severity error; + assert (OffsetToReal(mSpOffset) < ExpectedSpOutput + 1.0) and + (OffsetToReal(mSpOffset) > ExpectedSpOutput - 1.0) + report "Mismatch between mSpOffset and expected!" & LF & + "Actual: " & real'image(OffsetToReal(mSpOffset)) & LF & + "Expect: " & real'image(ExpectedSpOutput) + severity error; + end if; + end if; + end if; + end process; + + + --vhook_e TdcTop dutx + --vhook_a rRpPeriodInRClks to_unsigned(kRpPeriodInRClks, kRClksPerRpPeriodBitsMax) + --vhook_a rRpHighTimeInRClks to_unsigned(kRpHighTimeInRClks, kRClksPerRpPeriodBitsMax) + --vhook_a sSpPeriodInSClks to_unsigned(kSpPeriodInSClks, kSClksPerSpPeriodBitsMax) + --vhook_a sSpHighTimeInSClks to_unsigned(kSpHighTimeInSClks, kSClksPerSpPeriodBitsMax) + --vhook_a rRptPeriodInRClks to_unsigned(kRptPeriodInRClks, kRClksPerRpPeriodBitsMax) + --vhook_a rRptHighTimeInRClks to_unsigned(kRptHighTimeInRClks, kRClksPerRpPeriodBitsMax) + --vhook_a sSptPeriodInSClks to_unsigned(kSptPeriodInSClks, kSClksPerSpPeriodBitsMax) + --vhook_a sSptHighTimeInSClks to_unsigned(kSptHighTimeInSClks, kSClksPerSpPeriodBitsMax) + --vhook_a rRePulsePeriodInRClks to_unsigned(kRePulsePeriodInRClks, kRClksPerRePulsePeriodBitsMax) + --vhook_a rRePulseHighTimeInRClks to_unsigned(kRePulseHighTimeInRClks, kRClksPerRePulsePeriodBitsMax) + dutx: entity work.TdcTop (struct) + generic map ( + kRClksPerRePulsePeriodBitsMax => kRClksPerRePulsePeriodBitsMax, --integer range 3:32 :=24 + kRClksPerRpPeriodBitsMax => kRClksPerRpPeriodBitsMax, --integer range 3:16 :=16 + kSClksPerSpPeriodBitsMax => kSClksPerSpPeriodBitsMax, --integer range 3:16 :=16 + kPulsePeriodCntSize => kPulsePeriodCntSize, --integer:=13 + kFreqRefPeriodsToCheckSize => kFreqRefPeriodsToCheckSize, --integer:=17 + kSyncPeriodsToStampSize => kSyncPeriodsToStampSize) --integer:=10 + port map ( + aReset => aReset, --in boolean + RefClk => RefClk, --in std_logic + SampleClk => SampleClk, --in std_logic + MeasClk => MeasClk, --in std_logic + rResetTdc => rResetTdc, --in boolean + rResetTdcDone => rResetTdcDone, --out boolean + rEnableTdc => rEnableTdc, --in boolean + rReRunEnable => rReRunEnable, --in boolean + rPpsPulse => rPpsPulse, --in boolean + rPpsPulseCaptured => rPpsPulseCaptured, --out boolean + rPulserEnableDelayVal => rPulserEnableDelayVal, --in unsigned(3:0) + rEnablePpsCrossing => rEnablePpsCrossing, --in boolean + sPpsClkCrossDelayVal => sPpsClkCrossDelayVal, --in unsigned(3:0) + sPpsPulse => sPpsPulse, --out boolean + mRpOffset => mRpOffset, --out unsigned(kPulsePeriodCntSize+ kSyncPeriodsToStampSize+ kFreqRefPeriodsToCheckSize-1:0) + mSpOffset => mSpOffset, --out unsigned(kPulsePeriodCntSize+ kSyncPeriodsToStampSize+ kFreqRefPeriodsToCheckSize-1:0) + mOffsetsDone => mOffsetsDone, --out boolean + mOffsetsValid => mOffsetsValid, --out boolean + rLoadRePulseCounts => rLoadRePulseCounts, --in boolean + rRePulsePeriodInRClks => to_unsigned(kRePulsePeriodInRClks, kRClksPerRePulsePeriodBitsMax), --in unsigned(kRClksPerRePulsePeriodBitsMax-1:0) + rRePulseHighTimeInRClks => to_unsigned(kRePulseHighTimeInRClks, kRClksPerRePulsePeriodBitsMax), --in unsigned(kRClksPerRePulsePeriodBitsMax-1:0) + rLoadRpCounts => rLoadRpCounts, --in boolean + rRpPeriodInRClks => to_unsigned(kRpPeriodInRClks, kRClksPerRpPeriodBitsMax), --in unsigned(kRClksPerRpPeriodBitsMax-1:0) + rRpHighTimeInRClks => to_unsigned(kRpHighTimeInRClks, kRClksPerRpPeriodBitsMax), --in unsigned(kRClksPerRpPeriodBitsMax-1:0) + rLoadRptCounts => rLoadRptCounts, --in boolean + rRptPeriodInRClks => to_unsigned(kRptPeriodInRClks, kRClksPerRpPeriodBitsMax), --in unsigned(kRClksPerRpPeriodBitsMax-1:0) + rRptHighTimeInRClks => to_unsigned(kRptHighTimeInRClks, kRClksPerRpPeriodBitsMax), --in unsigned(kRClksPerRpPeriodBitsMax-1:0) + sLoadSpCounts => sLoadSpCounts, --in boolean + sSpPeriodInSClks => to_unsigned(kSpPeriodInSClks, kSClksPerSpPeriodBitsMax), --in unsigned(kSClksPerSpPeriodBitsMax-1:0) + sSpHighTimeInSClks => to_unsigned(kSpHighTimeInSClks, kSClksPerSpPeriodBitsMax), --in unsigned(kSClksPerSpPeriodBitsMax-1:0) + sLoadSptCounts => sLoadSptCounts, --in boolean + sSptPeriodInSClks => to_unsigned(kSptPeriodInSClks, kSClksPerSpPeriodBitsMax), --in unsigned(kSClksPerSpPeriodBitsMax-1:0) + sSptHighTimeInSClks => to_unsigned(kSptHighTimeInSClks, kSClksPerSpPeriodBitsMax), --in unsigned(kSClksPerSpPeriodBitsMax-1:0) + rRpTransfer => rRpTransfer, --out boolean + sSpTransfer => sSpTransfer, --out boolean + rGatedPulseToPin => rGatedPulseToPin, --inout std_logic + sGatedPulseToPin => sGatedPulseToPin); --inout std_logic + + +end test; +--synopsys translate_on diff --git a/fpga/usrp3/top/n3xx/dboards/common/sync/TdcWrapper.vhd b/fpga/usrp3/top/n3xx/dboards/common/sync/TdcWrapper.vhd new file mode 100644 index 000000000..1ab235fe2 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/common/sync/TdcWrapper.vhd @@ -0,0 +1,397 @@ +------------------------------------------------------------------------------- +-- +-- Copyright 2018 Ettus Research, a National Instruments Company +-- +-- SPDX-License-Identifier: LGPL-3.0-or-later +-- +-- +-- Purpose: +-- +-- Wrapper for the TDC and register control modules. +-- +-- vreview_group Tdc +-- vreview_reviewers dabaker sgupta jmarsar +------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +library work; + use work.PkgRegs.all; + +entity TdcWrapper is + port ( + -- Clocks and Resets : -------------------------------------------------------------- + -- Bus Clock and synchronous bus reset. + BusClk : in std_logic; + bBusReset : in std_logic; + -- Reference Clock + RefClk : in std_logic; + -- Sample Clock + SampleClk : in std_logic; + -- Measurement Clock must run at a very specific frequency, determined by the + -- SampleClk, RefClk, and Sync Pulse rates... oh and a lot of math. + MeasClk : in std_logic; + + + -- Register Port: ------------------------------------------------------------------- + bSyncRegPortOut : out RegPortOut_t; + bSyncRegPortIn : in RegPortIn_t; + + + -- PPS In and Out : ----------------------------------------------------------------- + -- Only required to pulse 1 RefClk cycle. + rPpsPulse : in std_logic; + -- PPS pulse output on the SampleClk domain. + sPpsPulse : out std_logic; + + + -- Sync Pulse Outputs : ------------------------------------------------------------- + -- The repeating pulses can be useful for many things, including passing triggers. + rRpTransfer : out std_logic; + sSpTransfer : out std_logic; + + -- Pin bouncers out and in. Must go to unused and unconnected pins on the FPGA! + rGatedPulseToPin : inout std_logic; + sGatedPulseToPin : inout std_logic + ); +end TdcWrapper; + + +architecture struct of TdcWrapper is + + component SyncRegsIfc + port ( + aBusReset : in std_logic; + bBusReset : in std_logic; + BusClk : in std_logic; + aTdcReset : out std_logic; + bRegPortInFlat : in std_logic_vector(49 downto 0); + bRegPortOutFlat : out std_logic_vector(33 downto 0); + RefClk : in std_logic; + rResetTdc : out std_logic; + rResetTdcDone : in std_logic; + rEnableTdc : out std_logic; + rReRunEnable : out std_logic; + rEnablePpsCrossing : out std_logic; + rPpsPulseCaptured : in std_logic; + rPulserEnableDelayVal : out std_logic_vector(3 downto 0); + SampleClk : in std_logic; + sPpsClkCrossDelayVal : out std_logic_vector(3 downto 0); + MeasClk : in std_logic; + mRpOffset : in std_logic_vector(39 downto 0); + mSpOffset : in std_logic_vector(39 downto 0); + mOffsetsDone : in std_logic; + mOffsetsValid : in std_logic; + rLoadRePulseCounts : out std_logic; + rRePulsePeriodInRClks : out std_logic_vector(23 downto 0); + rRePulseHighTimeInRClks : out std_logic_vector(23 downto 0); + rLoadRpCounts : out std_logic; + rRpPeriodInRClks : out std_logic_vector(15 downto 0); + rRpHighTimeInRClks : out std_logic_vector(15 downto 0); + rLoadRptCounts : out std_logic; + rRptPeriodInRClks : out std_logic_vector(15 downto 0); + rRptHighTimeInRClks : out std_logic_vector(15 downto 0); + sLoadSpCounts : out std_logic; + sSpPeriodInSClks : out std_logic_vector(15 downto 0); + sSpHighTimeInSClks : out std_logic_vector(15 downto 0); + sLoadSptCounts : out std_logic; + sSptPeriodInSClks : out std_logic_vector(15 downto 0); + sSptHighTimeInSClks : out std_logic_vector(15 downto 0)); + end component; + + -- Generic values for the TdcTop instantiation below. These generics are the maximum + -- of possible values for all combinations of Sample and Reference clocks for the N3xx + -- family of devices. + constant kRClksPerRePulsePeriodBitsMax : integer := 24; + constant kRClksPerRpPeriodBitsMax : integer := 16; + constant kSClksPerSpPeriodBitsMax : integer := 16; + constant kPulsePeriodCntSize : integer := 13; + -- The following are ideal values for balancing measurement time and accuracy, based + -- on calcs given in the spec doc. + constant kFreqRefPeriodsToCheckSize : integer := 17; + constant kSyncPeriodsToStampSize : integer := 10; + + --vhook_sigstart + signal aTdcReset: std_logic; + signal bSyncRegPortInFlat: std_logic_vector(49 downto 0); + signal bSyncRegPortOutFlat: std_logic_vector(33 downto 0); + signal mOffsetsDone: boolean; + signal mOffsetsValid: boolean; + signal mRpOffset: unsigned(kPulsePeriodCntSize+kSyncPeriodsToStampSize+kFreqRefPeriodsToCheckSize-1 downto 0); + signal mSpOffset: unsigned(kPulsePeriodCntSize+kSyncPeriodsToStampSize+kFreqRefPeriodsToCheckSize-1 downto 0); + signal rEnablePpsCrossing: std_logic; + signal rEnableTdc: std_logic; + signal rLoadRePulseCounts: std_logic; + signal rLoadRpCounts: std_logic; + signal rLoadRptCounts: std_logic; + signal rPpsPulseCaptured: boolean; + signal rPulserEnableDelayVal: std_logic_vector(3 downto 0); + signal rRePulseHighTimeInRClks: std_logic_vector(kRClksPerRePulsePeriodBitsMax-1 downto 0); + signal rRePulsePeriodInRClks: std_logic_vector(kRClksPerRePulsePeriodBitsMax-1 downto 0); + signal rReRunEnable: std_logic; + signal rResetTdc: std_logic; + signal rResetTdcDone: boolean; + signal rRpHighTimeInRClks: std_logic_vector(kRClksPerRpPeriodBitsMax-1 downto 0); + signal rRpPeriodInRClks: std_logic_vector(kRClksPerRpPeriodBitsMax-1 downto 0); + signal rRptHighTimeInRClks: std_logic_vector(kRClksPerRpPeriodBitsMax-1 downto 0); + signal rRptPeriodInRClks: std_logic_vector(kRClksPerRpPeriodBitsMax-1 downto 0); + signal rRpTransferBool: boolean; + signal sLoadSpCounts: std_logic; + signal sLoadSptCounts: std_logic; + signal sPpsClkCrossDelayVal: std_logic_vector(3 downto 0); + signal sPpsPulseAsyncReset: boolean; + signal sSpHighTimeInSClks: std_logic_vector(kSClksPerSpPeriodBitsMax-1 downto 0); + signal sSpPeriodInSClks: std_logic_vector(kSClksPerSpPeriodBitsMax-1 downto 0); + signal sSptHighTimeInSClks: std_logic_vector(kSClksPerSpPeriodBitsMax-1 downto 0); + signal sSptPeriodInSClks: std_logic_vector(kSClksPerSpPeriodBitsMax-1 downto 0); + signal sSpTransferBool: boolean; + --vhook_sigend + + signal rPpsPulseAsyncReset_ms, rPpsPulseAsyncReset, + sPpsPulseOut_ms, sPpsPulseOut : std_logic := '0'; + + function to_StdLogic(b : boolean) return std_ulogic is + begin + if b then + return '1'; + else + return '0'; + end if; + end to_StdLogic; + + function to_Boolean (s : std_ulogic) return boolean is + begin + return (To_X01(s)='1'); + end to_Boolean; + + attribute ASYNC_REG : string; + attribute ASYNC_REG of rPpsPulseAsyncReset_ms : signal is "true"; + attribute ASYNC_REG of rPpsPulseAsyncReset : signal is "true"; + attribute ASYNC_REG of sPpsPulseOut_ms : signal is "true"; + attribute ASYNC_REG of sPpsPulseOut : signal is "true"; + +begin + + -- Cross the PPS from the no-reset domain into the aTdcReset domain since there is a + -- reset crossing going into the TdcWrapper (reset by aTdcReset)! No clock domain + -- crossing here, so crossing a single-cycle pulse is safe. + DoubleSyncToAsyncReset : process (aTdcReset, RefClk) + begin + if to_boolean(aTdcReset) then + rPpsPulseAsyncReset_ms <= '0'; + rPpsPulseAsyncReset <= '0'; + elsif rising_edge(RefClk) then + rPpsPulseAsyncReset_ms <= rPpsPulse; + rPpsPulseAsyncReset <= rPpsPulseAsyncReset_ms; + end if; + end process; + + -- In a similar fashion, cross the output PPS trigger from the async aTdcReset domain + -- to the no-reset of the rest of the design. The odds of this signal triggering a + -- failure are astronomically low (since it only pulses one clock cycle per second), + -- but two flops is worth the assurance it won't mess something else up downstream. + -- Note this double-sync mainly protects against the reset assertion case, since in the + -- de-assertion case sPpsPulseAsyncReset should be zero and not transition for a long + -- time afterwards. Again no clock crossing here, so crossing a single-cycle pulse + -- is safe. + DoubleSyncToNoReset : process (SampleClk) + begin + if rising_edge(SampleClk) then + sPpsPulseOut_ms <= to_stdlogic(sPpsPulseAsyncReset); + sPpsPulseOut <= sPpsPulseOut_ms; + end if; + end process; + + sPpsPulse <= sPpsPulseOut; + + + rRpTransfer <= to_stdlogic(rRpTransferBool); + sSpTransfer <= to_stdlogic(sSpTransferBool); + + --vhook_e TdcTop + --vhook_a aReset to_boolean(aTdcReset) + --vhook_a rResetTdc to_boolean(rResetTdc) + --vhook_a rEnableTdc to_boolean(rEnableTdc) + --vhook_a rReRunEnable to_boolean(rReRunEnable) + --vhook_a rPpsPulse to_boolean(rPpsPulseAsyncReset) + --vhook_a rLoadRePulseCounts to_boolean(rLoadRePulseCounts) + --vhook_a rLoadRpCounts to_boolean(rLoadRpCounts) + --vhook_a rLoadRptCounts to_boolean(rLoadRptCounts) + --vhook_a sLoadSpCounts to_boolean(sLoadSpCounts) + --vhook_a sLoadSptCounts to_boolean(sLoadSptCounts) + --vhook_a rEnablePpsCrossing to_boolean(rEnablePpsCrossing) + --vhook_a rPulserEnableDelayVal unsigned(rPulserEnableDelayVal) + --vhook_a sPpsClkCrossDelayVal unsigned(sPpsClkCrossDelayVal) + --vhook_a rRpTransfer rRpTransferBool + --vhook_a sSpTransfer sSpTransferBool + --vhook_a sPpsPulse sPpsPulseAsyncReset + --vhook_p {^rR(.*)In(.*)Clks} unsigned(rR$1In$2Clks) + --vhook_p {^sS(.*)In(.*)Clks} unsigned(sS$1In$2Clks) + TdcTopx: entity work.TdcTop (struct) + generic map ( + kRClksPerRePulsePeriodBitsMax => kRClksPerRePulsePeriodBitsMax, --integer range 3:32 :=24 + kRClksPerRpPeriodBitsMax => kRClksPerRpPeriodBitsMax, --integer range 3:16 :=16 + kSClksPerSpPeriodBitsMax => kSClksPerSpPeriodBitsMax, --integer range 3:16 :=16 + kPulsePeriodCntSize => kPulsePeriodCntSize, --integer:=13 + kFreqRefPeriodsToCheckSize => kFreqRefPeriodsToCheckSize, --integer:=17 + kSyncPeriodsToStampSize => kSyncPeriodsToStampSize) --integer:=10 + port map ( + aReset => to_boolean(aTdcReset), --in boolean + RefClk => RefClk, --in std_logic + SampleClk => SampleClk, --in std_logic + MeasClk => MeasClk, --in std_logic + rResetTdc => to_boolean(rResetTdc), --in boolean + rResetTdcDone => rResetTdcDone, --out boolean + rEnableTdc => to_boolean(rEnableTdc), --in boolean + rReRunEnable => to_boolean(rReRunEnable), --in boolean + rPpsPulse => to_boolean(rPpsPulseAsyncReset), --in boolean + rPpsPulseCaptured => rPpsPulseCaptured, --out boolean + rPulserEnableDelayVal => unsigned(rPulserEnableDelayVal), --in unsigned(3:0) + rEnablePpsCrossing => to_boolean(rEnablePpsCrossing), --in boolean + sPpsClkCrossDelayVal => unsigned(sPpsClkCrossDelayVal), --in unsigned(3:0) + sPpsPulse => sPpsPulseAsyncReset, --out boolean + mRpOffset => mRpOffset, --out unsigned(kPulsePeriodCntSize+ kSyncPeriodsToStampSize+ kFreqRefPeriodsToCheckSize-1:0) + mSpOffset => mSpOffset, --out unsigned(kPulsePeriodCntSize+ kSyncPeriodsToStampSize+ kFreqRefPeriodsToCheckSize-1:0) + mOffsetsDone => mOffsetsDone, --out boolean + mOffsetsValid => mOffsetsValid, --out boolean + rLoadRePulseCounts => to_boolean(rLoadRePulseCounts), --in boolean + rRePulsePeriodInRClks => unsigned(rRePulsePeriodInRClks), --in unsigned(kRClksPerRePulsePeriodBitsMax-1:0) + rRePulseHighTimeInRClks => unsigned(rRePulseHighTimeInRClks), --in unsigned(kRClksPerRePulsePeriodBitsMax-1:0) + rLoadRpCounts => to_boolean(rLoadRpCounts), --in boolean + rRpPeriodInRClks => unsigned(rRpPeriodInRClks), --in unsigned(kRClksPerRpPeriodBitsMax-1:0) + rRpHighTimeInRClks => unsigned(rRpHighTimeInRClks), --in unsigned(kRClksPerRpPeriodBitsMax-1:0) + rLoadRptCounts => to_boolean(rLoadRptCounts), --in boolean + rRptPeriodInRClks => unsigned(rRptPeriodInRClks), --in unsigned(kRClksPerRpPeriodBitsMax-1:0) + rRptHighTimeInRClks => unsigned(rRptHighTimeInRClks), --in unsigned(kRClksPerRpPeriodBitsMax-1:0) + sLoadSpCounts => to_boolean(sLoadSpCounts), --in boolean + sSpPeriodInSClks => unsigned(sSpPeriodInSClks), --in unsigned(kSClksPerSpPeriodBitsMax-1:0) + sSpHighTimeInSClks => unsigned(sSpHighTimeInSClks), --in unsigned(kSClksPerSpPeriodBitsMax-1:0) + sLoadSptCounts => to_boolean(sLoadSptCounts), --in boolean + sSptPeriodInSClks => unsigned(sSptPeriodInSClks), --in unsigned(kSClksPerSpPeriodBitsMax-1:0) + sSptHighTimeInSClks => unsigned(sSptHighTimeInSClks), --in unsigned(kSClksPerSpPeriodBitsMax-1:0) + rRpTransfer => rRpTransferBool, --out boolean + sSpTransfer => sSpTransferBool, --out boolean + rGatedPulseToPin => rGatedPulseToPin, --inout std_logic + sGatedPulseToPin => sGatedPulseToPin); --inout std_logic + + -- Expand/compress the RegPort for moving through the netlist boundary. + bSyncRegPortOut <= Unflatten(bSyncRegPortOutFlat); + bSyncRegPortInFlat <= Flatten(bSyncRegPortIn); + + --vhook SyncRegsIfc + --vhook_# Tying this low is safe because the sync reset is used inside SyncRegsIfc. + --vhook_a aBusReset '0' + --vhook_a bRegPortInFlat bSyncRegPortInFlat + --vhook_a bRegPortOutFlat bSyncRegPortOutFlat + --vhook_a rResetTdcDone to_stdlogic(rResetTdcDone) + --vhook_a rPpsPulseCaptured to_stdlogic(rPpsPulseCaptured) + --vhook_a mOffsetsDone to_stdlogic(mOffsetsDone) + --vhook_a mOffsetsValid to_stdlogic(mOffsetsValid) + --vhook_a mRpOffset std_logic_vector(mRpOffset) + --vhook_a mSpOffset std_logic_vector(mSpOffset) + SyncRegsIfcx: SyncRegsIfc + port map ( + aBusReset => '0', --in std_logic + bBusReset => bBusReset, --in std_logic + BusClk => BusClk, --in std_logic + aTdcReset => aTdcReset, --out std_logic + bRegPortInFlat => bSyncRegPortInFlat, --in std_logic_vector(49:0) + bRegPortOutFlat => bSyncRegPortOutFlat, --out std_logic_vector(33:0) + RefClk => RefClk, --in std_logic + rResetTdc => rResetTdc, --out std_logic + rResetTdcDone => to_stdlogic(rResetTdcDone), --in std_logic + rEnableTdc => rEnableTdc, --out std_logic + rReRunEnable => rReRunEnable, --out std_logic + rEnablePpsCrossing => rEnablePpsCrossing, --out std_logic + rPpsPulseCaptured => to_stdlogic(rPpsPulseCaptured), --in std_logic + rPulserEnableDelayVal => rPulserEnableDelayVal, --out std_logic_vector(3:0) + SampleClk => SampleClk, --in std_logic + sPpsClkCrossDelayVal => sPpsClkCrossDelayVal, --out std_logic_vector(3:0) + MeasClk => MeasClk, --in std_logic + mRpOffset => std_logic_vector(mRpOffset), --in std_logic_vector(39:0) + mSpOffset => std_logic_vector(mSpOffset), --in std_logic_vector(39:0) + mOffsetsDone => to_stdlogic(mOffsetsDone), --in std_logic + mOffsetsValid => to_stdlogic(mOffsetsValid), --in std_logic + rLoadRePulseCounts => rLoadRePulseCounts, --out std_logic + rRePulsePeriodInRClks => rRePulsePeriodInRClks, --out std_logic_vector(23:0) + rRePulseHighTimeInRClks => rRePulseHighTimeInRClks, --out std_logic_vector(23:0) + rLoadRpCounts => rLoadRpCounts, --out std_logic + rRpPeriodInRClks => rRpPeriodInRClks, --out std_logic_vector(15:0) + rRpHighTimeInRClks => rRpHighTimeInRClks, --out std_logic_vector(15:0) + rLoadRptCounts => rLoadRptCounts, --out std_logic + rRptPeriodInRClks => rRptPeriodInRClks, --out std_logic_vector(15:0) + rRptHighTimeInRClks => rRptHighTimeInRClks, --out std_logic_vector(15:0) + sLoadSpCounts => sLoadSpCounts, --out std_logic + sSpPeriodInSClks => sSpPeriodInSClks, --out std_logic_vector(15:0) + sSpHighTimeInSClks => sSpHighTimeInSClks, --out std_logic_vector(15:0) + sLoadSptCounts => sLoadSptCounts, --out std_logic + sSptPeriodInSClks => sSptPeriodInSClks, --out std_logic_vector(15:0) + sSptHighTimeInSClks => sSptHighTimeInSClks); --out std_logic_vector(15:0) + + +end struct; + + +-------------------------------------------------------------------------------- +-- Testbench for TdcWrapper +-------------------------------------------------------------------------------- + +--synopsys translate_off +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +library work; + use work.PkgRegs.all; + +entity tb_TdcWrapper is end tb_TdcWrapper; + +architecture test of tb_TdcWrapper is + + --vhook_sigstart + signal bBusReset: std_logic; + signal bSyncRegPortIn: RegPortIn_t; + signal bSyncRegPortOut: RegPortOut_t; + signal BusClk: std_logic := '0'; + signal MeasClk: std_logic := '0'; + signal RefClk: std_logic := '0'; + signal rGatedPulseToPin: std_logic; + signal rPpsPulse: std_logic; + signal rRpTransfer: std_logic; + signal SampleClk: std_logic := '0'; + signal sGatedPulseToPin: std_logic; + signal sPpsPulse: std_logic; + signal sSpTransfer: std_logic; + --vhook_sigend + +begin + + --vhook_e TdcWrapper dutx + dutx: entity work.TdcWrapper (struct) + port map ( + BusClk => BusClk, --in std_logic + bBusReset => bBusReset, --in std_logic + RefClk => RefClk, --in std_logic + SampleClk => SampleClk, --in std_logic + MeasClk => MeasClk, --in std_logic + bSyncRegPortOut => bSyncRegPortOut, --out RegPortOut_t + bSyncRegPortIn => bSyncRegPortIn, --in RegPortIn_t + rPpsPulse => rPpsPulse, --in std_logic + sPpsPulse => sPpsPulse, --out std_logic + rRpTransfer => rRpTransfer, --out std_logic + sSpTransfer => sSpTransfer, --out std_logic + rGatedPulseToPin => rGatedPulseToPin, --inout std_logic + sGatedPulseToPin => sGatedPulseToPin); --inout std_logic + + main: process + + begin + report "TdcWrapper Test is EMPTY! (but that's ok in this case)" severity note; + --vhook_nowarn tb_TdcWrapper.test.* + wait; + end process; + +end test; +--synopsys translate_on diff --git a/fpga/usrp3/top/n3xx/dboards/mg/Makefile.srcs b/fpga/usrp3/top/n3xx/dboards/mg/Makefile.srcs new file mode 100644 index 000000000..85bb60752 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/mg/Makefile.srcs @@ -0,0 +1,34 @@ +# +# Copyright 2017 Ettus Research LLC +# + +################################################## +# DB IFC Sources +################################################## +MAGNESIUM_DB_SRCS = $(abspath $(addprefix $(BASE_DIR)/n3xx/dboards/mg/db_ifc/, \ +DbCore.vhd \ +DaughterboardRegs.vhd \ +ClockingRegs.vhd \ +PkgMgPersonality.vhd \ +PkgDaughterboardRegMap.vhd \ +PkgClockingRegMap.vhd \ +PkgJesdConfig.vhd \ +RadioClocking.vhd \ +Jesd204bXcvrCore.edf \ +)) + +MAGNESIUM_TOP_SRCS = $(abspath $(addprefix $(BASE_DIR)/n3xx/dboards/mg/, \ +n3xx.v \ +)) + +MAGNESIUM_DB_TIMING_XDC = $(abspath $(addprefix $(BASE_DIR)/n3xx/dboards/mg/, \ +db_timing.xdc \ +)) + +MAGNESIUM_DB0_XDC = $(abspath $(addprefix $(BASE_DIR)/n3xx/dboards/mg/, \ +db0_pins.xdc \ +)) + +MAGNESIUM_DB1_XDC = $(abspath $(addprefix $(BASE_DIR)/n3xx/dboards/mg/, \ +db1_pins.xdc \ +)) diff --git a/fpga/usrp3/top/n3xx/dboards/mg/cpld/Makefile b/fpga/usrp3/top/n3xx/dboards/mg/cpld/Makefile new file mode 100644 index 000000000..3fbb7d3bb --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/mg/cpld/Makefile @@ -0,0 +1,22 @@ +# +# Copyright 2018 Ettus Research, a National Instruments Company +# + +.PHONY: all clean + +SRCS=TopCpld.qpf TopCpld.qsf Timing.sdc PkgMgCpld.vhd PkgSetup.vhd TopCpld.vhd + +all: output_files/TopCpld.svf + +cpld-magnesium-revc.svf: output_files/TopCpld.pof + quartus_cpf --convert --frequency 10.0MHz --voltage 3.3 --operation p $? $@ + +output_files/TopCpld.pof: $(SRCS) + quartus_map TopCpld + quartus_fit TopCpld + quartus_asm TopCpld + quartus_sta TopCpld + +clean: + rm -rf db incremental_db output_files simulation cpld-magnesium-revc.svf + diff --git a/fpga/usrp3/top/n3xx/dboards/mg/cpld/PkgMgCpld.vhd b/fpga/usrp3/top/n3xx/dboards/mg/cpld/PkgMgCpld.vhd new file mode 100644 index 000000000..327183bea --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/mg/cpld/PkgMgCpld.vhd @@ -0,0 +1,424 @@ +------------------------------------------------------------------------------- +-- +-- File: PkgMgCpld.vhd +-- Author: Autogenerated by XmlParse +-- Original Project: -- +-- Date: -- +-- +------------------------------------------------------------------------------- +-- Copyright 2016-2017 Ettus Research, A National Instruments Company +-- SPDX-License-Identifier: GPL-3.0 +------------------------------------------------------------------------------- +-- +-- Purpose: +-- The constants in this file are autogenerated by XmlParse and should +-- be used by testbench code to access specific register fields. +-- +------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +package PkgMgCpld is + +--=============================================================================== +-- A numerically ordered list of registers and their VHDL source files +--=============================================================================== + + -- SignatureReg : 0x0 (TopCpld.vhd) + -- MinorRevReg : 0x1 (TopCpld.vhd) + -- MajorRevReg : 0x2 (TopCpld.vhd) + -- BuildCodeLSB : 0x3 (TopCpld.vhd) + -- BuildCodeMSB : 0x4 (TopCpld.vhd) + -- Scratch : 0x5 (TopCpld.vhd) + -- CpldControl : 0x10 (TopCpld.vhd) + -- LmkControl : 0x11 (TopCpld.vhd) + -- LoStatus : 0x12 (TopCpld.vhd) + -- MykonosControl : 0x13 (TopCpld.vhd) + -- PlScratch : 0x40 (TopCpld.vhd) + -- PlCpldControl : 0x41 (TopCpld.vhd) + -- TxCh1_Idle : 0x50 (TopCpld.vhd) + -- RxCh1_0_Idle : 0x51 (TopCpld.vhd) + -- RxCh1_1_Idle : 0x52 (TopCpld.vhd) + -- TxCh1_TxOn : 0x53 (TopCpld.vhd) + -- RxCh1_0_RxOn : 0x54 (TopCpld.vhd) + -- RxCh1_1_RxOn : 0x55 (TopCpld.vhd) + -- TxCh2_Idle : 0x60 (TopCpld.vhd) + -- RxCh2_0_Idle : 0x61 (TopCpld.vhd) + -- RxCh2_1_Idle : 0x62 (TopCpld.vhd) + -- TxCh2_TxOn : 0x63 (TopCpld.vhd) + -- RxCh2_0_RxOn : 0x64 (TopCpld.vhd) + -- RxCh2_1_RxOn : 0x65 (TopCpld.vhd) + +--=============================================================================== +-- RegTypes +--=============================================================================== + +--=============================================================================== +-- Register Group PsSpi_CpldRegisters +--=============================================================================== + + -- SignatureReg Register (from TopCpld.vhd) + constant kSignatureReg : integer := 16#0#; -- Register Offset + constant kSignatureRegSize: integer := 16; -- register width in bits + constant kSignatureRegMask : std_logic_vector(15 downto 0) := X"ffff"; + constant kProductSignatureSize : integer := 16; --SignatureReg:ProductSignature + constant kProductSignatureMsb : integer := 15; --SignatureReg:ProductSignature + constant kProductSignature : integer := 0; --SignatureReg:ProductSignature + + -- MinorRevReg Register (from TopCpld.vhd) + constant kMinorRevReg : integer := 16#1#; -- Register Offset + constant kMinorRevRegSize: integer := 16; -- register width in bits + constant kMinorRevRegMask : std_logic_vector(15 downto 0) := X"ffff"; + constant kCpldMinorRevisionSize : integer := 16; --MinorRevReg:CpldMinorRevision + constant kCpldMinorRevisionMsb : integer := 15; --MinorRevReg:CpldMinorRevision + constant kCpldMinorRevision : integer := 0; --MinorRevReg:CpldMinorRevision + + -- MajorRevReg Register (from TopCpld.vhd) + constant kMajorRevReg : integer := 16#2#; -- Register Offset + constant kMajorRevRegSize: integer := 16; -- register width in bits + constant kMajorRevRegMask : std_logic_vector(15 downto 0) := X"ffff"; + constant kCpldMajorRevisionSize : integer := 16; --MajorRevReg:CpldMajorRevision + constant kCpldMajorRevisionMsb : integer := 15; --MajorRevReg:CpldMajorRevision + constant kCpldMajorRevision : integer := 0; --MajorRevReg:CpldMajorRevision + + -- BuildCodeLSB Register (from TopCpld.vhd) + constant kBuildCodeLSB : integer := 16#3#; -- Register Offset + constant kBuildCodeLSBSize: integer := 16; -- register width in bits + constant kBuildCodeLSBMask : std_logic_vector(15 downto 0) := X"ffff"; + constant kBuildCodeHHSize : integer := 8; --BuildCodeLSB:BuildCodeHH + constant kBuildCodeHHMsb : integer := 7; --BuildCodeLSB:BuildCodeHH + constant kBuildCodeHH : integer := 0; --BuildCodeLSB:BuildCodeHH + constant kBuildCodeDDSize : integer := 8; --BuildCodeLSB:BuildCodeDD + constant kBuildCodeDDMsb : integer := 15; --BuildCodeLSB:BuildCodeDD + constant kBuildCodeDD : integer := 8; --BuildCodeLSB:BuildCodeDD + + -- BuildCodeMSB Register (from TopCpld.vhd) + constant kBuildCodeMSB : integer := 16#4#; -- Register Offset + constant kBuildCodeMSBSize: integer := 16; -- register width in bits + constant kBuildCodeMSBMask : std_logic_vector(15 downto 0) := X"ffff"; + constant kBuildCodeMMSize : integer := 8; --BuildCodeMSB:BuildCodeMM + constant kBuildCodeMMMsb : integer := 7; --BuildCodeMSB:BuildCodeMM + constant kBuildCodeMM : integer := 0; --BuildCodeMSB:BuildCodeMM + constant kBuildCodeYYSize : integer := 8; --BuildCodeMSB:BuildCodeYY + constant kBuildCodeYYMsb : integer := 15; --BuildCodeMSB:BuildCodeYY + constant kBuildCodeYY : integer := 8; --BuildCodeMSB:BuildCodeYY + + -- Scratch Register (from TopCpld.vhd) + constant kScratch : integer := 16#5#; -- Register Offset + constant kScratchSize: integer := 16; -- register width in bits + constant kScratchMask : std_logic_vector(15 downto 0) := X"ffff"; + constant kScratchValSize : integer := 16; --Scratch:ScratchVal + constant kScratchValMsb : integer := 15; --Scratch:ScratchVal + constant kScratchVal : integer := 0; --Scratch:ScratchVal + + -- CpldControl Register (from TopCpld.vhd) + constant kCpldControl : integer := 16#10#; -- Register Offset + constant kCpldControlSize: integer := 16; -- register width in bits + constant kCpldControlMask : std_logic_vector(15 downto 0) := X"0001"; + constant kCpldResetSize : integer := 1; --CpldControl:CpldReset + constant kCpldResetMsb : integer := 0; --CpldControl:CpldReset + constant kCpldReset : integer := 0; --CpldControl:CpldReset + + -- LmkControl Register (from TopCpld.vhd) + constant kLmkControl : integer := 16#11#; -- Register Offset + constant kLmkControlSize: integer := 16; -- register width in bits + constant kLmkControlMask : std_logic_vector(15 downto 0) := X"0010"; + constant kVcxoControlSize : integer := 1; --LmkControl:VcxoControl + constant kVcxoControlMsb : integer := 4; --LmkControl:VcxoControl + constant kVcxoControl : integer := 4; --LmkControl:VcxoControl + + -- LoStatus Register (from TopCpld.vhd) + constant kLoStatus : integer := 16#12#; -- Register Offset + constant kLoStatusSize: integer := 16; -- register width in bits + constant kLoStatusMask : std_logic_vector(15 downto 0) := X"0011"; + constant kRxLoLockDetectSize : integer := 1; --LoStatus:RxLoLockDetect + constant kRxLoLockDetectMsb : integer := 0; --LoStatus:RxLoLockDetect + constant kRxLoLockDetect : integer := 0; --LoStatus:RxLoLockDetect + constant kTxLoLockDetectSize : integer := 1; --LoStatus:TxLoLockDetect + constant kTxLoLockDetectMsb : integer := 4; --LoStatus:TxLoLockDetect + constant kTxLoLockDetect : integer := 4; --LoStatus:TxLoLockDetect + + -- MykonosControl Register (from TopCpld.vhd) + constant kMykonosControl : integer := 16#13#; -- Register Offset + constant kMykonosControlSize: integer := 16; -- register width in bits + constant kMykonosControlMask : std_logic_vector(15 downto 0) := X"0001"; + constant kMykonosResetSize : integer := 1; --MykonosControl:MykonosReset + constant kMykonosResetMsb : integer := 0; --MykonosControl:MykonosReset + constant kMykonosReset : integer := 0; --MykonosControl:MykonosReset + +--=============================================================================== +-- Register Group PlSpi_FrontEndControl +--=============================================================================== + + -- Enumerated type Rx1Switch1 + constant kRx1Switch1Size : integer := 4; + constant kTxRxInput : integer := 0; -- Rx1Switch1:TxRxInput + constant kRxLoCalInput : integer := 1; -- Rx1Switch1:RxLoCalInput + constant kTrxSwitchOutput : integer := 2; -- Rx1Switch1:TrxSwitchOutput + constant kRx2Input : integer := 3; -- Rx1Switch1:Rx2Input + + -- Enumerated type Rx1Switch2 + constant kRx1Switch2Size : integer := 4; + constant kShutdownSw2 : integer := 0; -- Rx1Switch2:ShutdownSw2 + constant kLowerFilterBankToSwitch3 : integer := 1; -- Rx1Switch2:LowerFilterBankToSwitch3 + constant kBypassPathToSwitch6 : integer := 2; -- Rx1Switch2:BypassPathToSwitch6 + constant kUpperFilterBankToSwitch4 : integer := 3; -- Rx1Switch2:UpperFilterBankToSwitch4 + + -- Enumerated type Rx1Switch3 + constant kRx1Switch3Size : integer := 7; + constant kFilter2100x2850MHz : integer := 0; -- Rx1Switch3:Filter2100x2850MHz + constant kFilter0490LpMHz : integer := 1; -- Rx1Switch3:Filter0490LpMHz + constant kFilter1600x2250MHz : integer := 2; -- Rx1Switch3:Filter1600x2250MHz + constant kFilter0440x0530MHz : integer := 4; -- Rx1Switch3:Filter0440x0530MHz + constant kFilter0650x1000MHz : integer := 5; -- Rx1Switch3:Filter0650x1000MHz + constant kFilter1100x1575MHz : integer := 6; -- Rx1Switch3:Filter1100x1575MHz + constant kShutdownSw3 : integer := 7; -- Rx1Switch3:ShutdownSw3 + + -- Enumerated type Rx1Switch4 + constant kRx1Switch4Size : integer := 3; + constant kFilter2100x2850MHzFrom : integer := 1; -- Rx1Switch4:Filter2100x2850MHzFrom + constant kFilter1600x2250MHzFrom : integer := 2; -- Rx1Switch4:Filter1600x2250MHzFrom + constant kFilter2700HpMHz : integer := 4; -- Rx1Switch4:Filter2700HpMHz + + -- Enumerated type Rx1Switch5 + constant kRx1Switch5Size : integer := 4; + constant kFilter0440x0530MHzFrom : integer := 1; -- Rx1Switch5:Filter0440x0530MHzFrom + constant kFilter1100x1575MHzFrom : integer := 2; -- Rx1Switch5:Filter1100x1575MHzFrom + constant kFilter0490LpMHzFrom : integer := 4; -- Rx1Switch5:Filter0490LpMHzFrom + constant kFilter0650x1000MHzFrom : integer := 8; -- Rx1Switch5:Filter0650x1000MHzFrom + + -- Enumerated type Rx1Switch6 + constant kRx1Switch6Size : integer := 3; + constant kLowerFilterBankFromSwitch5 : integer := 1; -- Rx1Switch6:LowerFilterBankFromSwitch5 + constant kUpperFilterBankFromSwitch4 : integer := 2; -- Rx1Switch6:UpperFilterBankFromSwitch4 + constant kBypassPathFromSwitch2 : integer := 4; -- Rx1Switch6:BypassPathFromSwitch2 + + -- Enumerated type TrxSwitch + constant kTrxSwitchSize : integer := 4; + constant kFromLowerFilterBankTxSw1 : integer := 0; -- TrxSwitch:FromLowerFilterBankTxSw1 + constant kFromTxUpperFilterBankLp6400MHz : integer := 1; -- TrxSwitch:FromTxUpperFilterBankLp6400MHz + constant kRxChannelPath : integer := 2; -- TrxSwitch:RxChannelPath + constant kBypassPathToTxSw3 : integer := 3; -- TrxSwitch:BypassPathToTxSw3 + + -- Enumerated type TxSwitch1 + constant kTxSwitch1Size : integer := 4; + constant kShutdownTxSw1 : integer := 0; -- TxSwitch1:ShutdownTxSw1 + constant kFromTxFilterLp1700MHz : integer := 1; -- TxSwitch1:FromTxFilterLp1700MHz + constant kFromTxFilterLp3400MHz : integer := 2; -- TxSwitch1:FromTxFilterLp3400MHz + constant kFromTxFilterLp0800MHz : integer := 3; -- TxSwitch1:FromTxFilterLp0800MHz + + -- Enumerated type TxSwitch2 + constant kTxSwitch2Size : integer := 4; + constant kToTxFilterLp3400MHz : integer := 1; -- TxSwitch2:ToTxFilterLp3400MHz + constant kToTxFilterLp1700MHz : integer := 2; -- TxSwitch2:ToTxFilterLp1700MHz + constant kToTxFilterLp0800MHz : integer := 4; -- TxSwitch2:ToTxFilterLp0800MHz + constant kToTxFilterLp6400MHz : integer := 8; -- TxSwitch2:ToTxFilterLp6400MHz + + -- Enumerated type TxSwitch3 + constant kTxSwitch3Size : integer := 2; + constant kToTxFilterBanks : integer := 0; -- TxSwitch3:ToTxFilterBanks + constant kBypassPathToTrxSw : integer := 1; -- TxSwitch3:BypassPathToTrxSw + + -- PlScratch Register (from TopCpld.vhd) + constant kPlScratch : integer := 16#40#; -- Register Offset + constant kPlScratchSize: integer := 16; -- register width in bits + constant kPlScratchMask : std_logic_vector(15 downto 0) := X"ffff"; + constant kPlScratchValSize : integer := 16; --PlScratch:PlScratchVal + constant kPlScratchValMsb : integer := 15; --PlScratch:PlScratchVal + constant kPlScratchVal : integer := 0; --PlScratch:PlScratchVal + + -- PlCpldControl Register (from TopCpld.vhd) + constant kPlCpldControl : integer := 16#41#; -- Register Offset + constant kPlCpldControlSize: integer := 16; -- register width in bits + constant kPlCpldControlMask : std_logic_vector(15 downto 0) := X"0001"; + constant kPlCpldResetSize : integer := 1; --PlCpldControl:PlCpldReset + constant kPlCpldResetMsb : integer := 0; --PlCpldControl:PlCpldReset + constant kPlCpldReset : integer := 0; --PlCpldControl:PlCpldReset + + -- TxCh1_Idle Register (from TopCpld.vhd) + constant kTxCh1_Idle : integer := 16#50#; -- Register Offset + constant kTxCh1_IdleSize: integer := 16; -- register width in bits + constant kTxCh1_IdleMask : std_logic_vector(15 downto 0) := X"7fff"; + constant kCh1TxSw1Size : integer := 2; --TxCh1_Idle:Ch1TxSw1 + constant kCh1TxSw1Msb : integer := 1; --TxCh1_Idle:Ch1TxSw1 + constant kCh1TxSw1 : integer := 0; --TxCh1_Idle:Ch1TxSw1 + constant kCh1TxSw2Size : integer := 4; --TxCh1_Idle:Ch1TxSw2 + constant kCh1TxSw2Msb : integer := 5; --TxCh1_Idle:Ch1TxSw2 + constant kCh1TxSw2 : integer := 2; --TxCh1_Idle:Ch1TxSw2 + constant kCh1TxSw3Size : integer := 1; --TxCh1_Idle:Ch1TxSw3 + constant kCh1TxSw3Msb : integer := 6; --TxCh1_Idle:Ch1TxSw3 + constant kCh1TxSw3 : integer := 6; --TxCh1_Idle:Ch1TxSw3 + constant kCh1TxLowbandMixerPathSelectSize : integer := 1; --TxCh1_Idle:Ch1TxLowbandMixerPathSelect + constant kCh1TxLowbandMixerPathSelectMsb : integer := 7; --TxCh1_Idle:Ch1TxLowbandMixerPathSelect + constant kCh1TxLowbandMixerPathSelect : integer := 7; --TxCh1_Idle:Ch1TxLowbandMixerPathSelect + constant kCh1TxMixerEnSize : integer := 1; --TxCh1_Idle:Ch1TxMixerEn + constant kCh1TxMixerEnMsb : integer := 8; --TxCh1_Idle:Ch1TxMixerEn + constant kCh1TxMixerEn : integer := 8; --TxCh1_Idle:Ch1TxMixerEn + constant kCh1TxAmpEnSize : integer := 1; --TxCh1_Idle:Ch1TxAmpEn + constant kCh1TxAmpEnMsb : integer := 9; --TxCh1_Idle:Ch1TxAmpEn + constant kCh1TxAmpEn : integer := 9; --TxCh1_Idle:Ch1TxAmpEn + constant kCh1TxPaEnSize : integer := 1; --TxCh1_Idle:Ch1TxPaEn + constant kCh1TxPaEnMsb : integer := 10; --TxCh1_Idle:Ch1TxPaEn + constant kCh1TxPaEn : integer := 10; --TxCh1_Idle:Ch1TxPaEn + constant kCh1SwTrxSize : integer := 2; --TxCh1_Idle:Ch1SwTrx + constant kCh1SwTrxMsb : integer := 12; --TxCh1_Idle:Ch1SwTrx + constant kCh1SwTrx : integer := 11; --TxCh1_Idle:Ch1SwTrx + constant kCh1TxLedSize : integer := 1; --TxCh1_Idle:Ch1TxLed + constant kCh1TxLedMsb : integer := 13; --TxCh1_Idle:Ch1TxLed + constant kCh1TxLed : integer := 13; --TxCh1_Idle:Ch1TxLed + constant kCh1MykEnTxSize : integer := 1; --TxCh1_Idle:Ch1MykEnTx + constant kCh1MykEnTxMsb : integer := 14; --TxCh1_Idle:Ch1MykEnTx + constant kCh1MykEnTx : integer := 14; --TxCh1_Idle:Ch1MykEnTx + + -- RxCh1_0_Idle Register (from TopCpld.vhd) + constant kRxCh1_0_Idle : integer := 16#51#; -- Register Offset + constant kRxCh1_0_IdleSize: integer := 16; -- register width in bits + constant kRxCh1_0_IdleMask : std_logic_vector(15 downto 0) := X"3fff"; + constant kCh1RxSw1Size : integer := 2; --RxCh1_0_Idle:Ch1RxSw1 + constant kCh1RxSw1Msb : integer := 1; --RxCh1_0_Idle:Ch1RxSw1 + constant kCh1RxSw1 : integer := 0; --RxCh1_0_Idle:Ch1RxSw1 + constant kCh1RxSw2Size : integer := 2; --RxCh1_0_Idle:Ch1RxSw2 + constant kCh1RxSw2Msb : integer := 3; --RxCh1_0_Idle:Ch1RxSw2 + constant kCh1RxSw2 : integer := 2; --RxCh1_0_Idle:Ch1RxSw2 + constant kCh1RxSw3Size : integer := 3; --RxCh1_0_Idle:Ch1RxSw3 + constant kCh1RxSw3Msb : integer := 6; --RxCh1_0_Idle:Ch1RxSw3 + constant kCh1RxSw3 : integer := 4; --RxCh1_0_Idle:Ch1RxSw3 + constant kCh1RxSw4Size : integer := 3; --RxCh1_0_Idle:Ch1RxSw4 + constant kCh1RxSw4Msb : integer := 9; --RxCh1_0_Idle:Ch1RxSw4 + constant kCh1RxSw4 : integer := 7; --RxCh1_0_Idle:Ch1RxSw4 + constant kCh1RxSw5Size : integer := 4; --RxCh1_0_Idle:Ch1RxSw5 + constant kCh1RxSw5Msb : integer := 13; --RxCh1_0_Idle:Ch1RxSw5 + constant kCh1RxSw5 : integer := 10; --RxCh1_0_Idle:Ch1RxSw5 + + -- RxCh1_1_Idle Register (from TopCpld.vhd) + constant kRxCh1_1_Idle : integer := 16#52#; -- Register Offset + constant kRxCh1_1_IdleSize: integer := 16; -- register width in bits + constant kRxCh1_1_IdleMask : std_logic_vector(15 downto 0) := X"07ff"; + constant kCh1RxSw6Size : integer := 3; --RxCh1_1_Idle:Ch1RxSw6 + constant kCh1RxSw6Msb : integer := 2; --RxCh1_1_Idle:Ch1RxSw6 + constant kCh1RxSw6 : integer := 0; --RxCh1_1_Idle:Ch1RxSw6 + constant kCh1RxLowbandMixerPathSelectSize : integer := 1; --RxCh1_1_Idle:Ch1RxLowbandMixerPathSelect + constant kCh1RxLowbandMixerPathSelectMsb : integer := 3; --RxCh1_1_Idle:Ch1RxLowbandMixerPathSelect + constant kCh1RxLowbandMixerPathSelect : integer := 3; --RxCh1_1_Idle:Ch1RxLowbandMixerPathSelect + constant kCh1RxMixerEnSize : integer := 1; --RxCh1_1_Idle:Ch1RxMixerEn + constant kCh1RxMixerEnMsb : integer := 4; --RxCh1_1_Idle:Ch1RxMixerEn + constant kCh1RxMixerEn : integer := 4; --RxCh1_1_Idle:Ch1RxMixerEn + constant kCh1RxAmpEnSize : integer := 1; --RxCh1_1_Idle:Ch1RxAmpEn + constant kCh1RxAmpEnMsb : integer := 5; --RxCh1_1_Idle:Ch1RxAmpEn + constant kCh1RxAmpEn : integer := 5; --RxCh1_1_Idle:Ch1RxAmpEn + constant kCh1RxLna1EnSize : integer := 1; --RxCh1_1_Idle:Ch1RxLna1En + constant kCh1RxLna1EnMsb : integer := 6; --RxCh1_1_Idle:Ch1RxLna1En + constant kCh1RxLna1En : integer := 6; --RxCh1_1_Idle:Ch1RxLna1En + constant kCh1RxLna2EnSize : integer := 1; --RxCh1_1_Idle:Ch1RxLna2En + constant kCh1RxLna2EnMsb : integer := 7; --RxCh1_1_Idle:Ch1RxLna2En + constant kCh1RxLna2En : integer := 7; --RxCh1_1_Idle:Ch1RxLna2En + constant kCh1Rx2LedSize : integer := 1; --RxCh1_1_Idle:Ch1Rx2Led + constant kCh1Rx2LedMsb : integer := 8; --RxCh1_1_Idle:Ch1Rx2Led + constant kCh1Rx2Led : integer := 8; --RxCh1_1_Idle:Ch1Rx2Led + constant kCh1RxLedSize : integer := 1; --RxCh1_1_Idle:Ch1RxLed + constant kCh1RxLedMsb : integer := 9; --RxCh1_1_Idle:Ch1RxLed + constant kCh1RxLed : integer := 9; --RxCh1_1_Idle:Ch1RxLed + constant kCh1MykEnRxSize : integer := 1; --RxCh1_1_Idle:Ch1MykEnRx + constant kCh1MykEnRxMsb : integer := 10; --RxCh1_1_Idle:Ch1MykEnRx + constant kCh1MykEnRx : integer := 10; --RxCh1_1_Idle:Ch1MykEnRx + + -- TxCh1_TxOn Register (from TopCpld.vhd) + constant kTxCh1_TxOn : integer := 16#53#; -- Register Offset + constant kTxCh1_TxOnSize: integer := 16; -- register width in bits + constant kTxCh1_TxOnMask : std_logic_vector(15 downto 0) := X"0000"; + + -- RxCh1_0_RxOn Register (from TopCpld.vhd) + constant kRxCh1_0_RxOn : integer := 16#54#; -- Register Offset + constant kRxCh1_0_RxOnSize: integer := 16; -- register width in bits + constant kRxCh1_0_RxOnMask : std_logic_vector(15 downto 0) := X"0000"; + + -- RxCh1_1_RxOn Register (from TopCpld.vhd) + constant kRxCh1_1_RxOn : integer := 16#55#; -- Register Offset + constant kRxCh1_1_RxOnSize: integer := 16; -- register width in bits + constant kRxCh1_1_RxOnMask : std_logic_vector(15 downto 0) := X"0000"; + + -- TxCh2_Idle Register (from TopCpld.vhd) + constant kTxCh2_Idle : integer := 16#60#; -- Register Offset + constant kTxCh2_IdleSize: integer := 16; -- register width in bits + constant kTxCh2_IdleMask : std_logic_vector(15 downto 0) := X"0000"; + + -- RxCh2_0_Idle Register (from TopCpld.vhd) + constant kRxCh2_0_Idle : integer := 16#61#; -- Register Offset + constant kRxCh2_0_IdleSize: integer := 16; -- register width in bits + constant kRxCh2_0_IdleMask : std_logic_vector(15 downto 0) := X"0000"; + + -- RxCh2_1_Idle Register (from TopCpld.vhd) + constant kRxCh2_1_Idle : integer := 16#62#; -- Register Offset + constant kRxCh2_1_IdleSize: integer := 16; -- register width in bits + constant kRxCh2_1_IdleMask : std_logic_vector(15 downto 0) := X"0000"; + + -- TxCh2_TxOn Register (from TopCpld.vhd) + constant kTxCh2_TxOn : integer := 16#63#; -- Register Offset + constant kTxCh2_TxOnSize: integer := 16; -- register width in bits + constant kTxCh2_TxOnMask : std_logic_vector(15 downto 0) := X"0000"; + + -- RxCh2_0_RxOn Register (from TopCpld.vhd) + constant kRxCh2_0_RxOn : integer := 16#64#; -- Register Offset + constant kRxCh2_0_RxOnSize: integer := 16; -- register width in bits + constant kRxCh2_0_RxOnMask : std_logic_vector(15 downto 0) := X"0000"; + + -- RxCh2_1_RxOn Register (from TopCpld.vhd) + constant kRxCh2_1_RxOn : integer := 16#65#; -- Register Offset + constant kRxCh2_1_RxOnSize: integer := 16; -- register width in bits + constant kRxCh2_1_RxOnMask : std_logic_vector(15 downto 0) := X"0000"; + +end package; + +package body PkgMgCpld is + + -- function kSignatureRegRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kMinorRevRegRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kMajorRevRegRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kBuildCodeLSBRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kBuildCodeMSBRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kScratchRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kCpldControlRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kLmkControlRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kLoStatusRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kMykonosControlRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kPlScratchRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kPlCpldControlRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kTxCh1_IdleRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kRxCh1_0_IdleRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kRxCh1_1_IdleRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kTxCh1_TxOnRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kRxCh1_0_RxOnRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kRxCh1_1_RxOnRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kTxCh2_IdleRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kRxCh2_0_IdleRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kRxCh2_1_IdleRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kTxCh2_TxOnRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kRxCh2_0_RxOnRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kRxCh2_1_RxOnRec not implemented because PkgXReg in this project does not support XReg2_t. + +end package body; diff --git a/fpga/usrp3/top/n3xx/dboards/mg/cpld/PkgSetup.vhd b/fpga/usrp3/top/n3xx/dboards/mg/cpld/PkgSetup.vhd new file mode 100644 index 000000000..e19358912 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/mg/cpld/PkgSetup.vhd @@ -0,0 +1,259 @@ +------------------------------------------------------------------------------- +-- +-- File: PkgSetup.vhd +-- Author: Daniel Jepson +-- Original Project: N310 +-- Date: 22 September 2017 +-- +------------------------------------------------------------------------------- +-- Copyright 2016-2017 Ettus Research, A National Instruments Company +-- SPDX-License-Identifier: GPL-3.0 +------------------------------------------------------------------------------- +-- +-- Purpose: +-- +-- Default values for front end config and CPLD constants. +-- +-- Contains the revision constants that must be bumped when the CPLD is updated. +-- +------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +library work; + use work.PkgMgCpld.all; + +package PkgSetup is + + + constant kRdWtWidth : integer := 1; + constant kAddrWidth : integer := 7; + constant kDataWidth : integer := 16; + constant kTotalWidth : integer := kRdWtWidth + kAddrWidth + kDataWidth; + + subtype InterfaceData_t is std_logic_vector(kDataWidth-1 downto 0); + + constant kSignature : InterfaceData_t := x"CAFE"; + + + -- UPDATE THESE REVISIONS when making changes to the CPLD ----------------------------- + -- ------------------------------------------------------------------------------------ + constant kMinorRev : InterfaceData_t := std_logic_vector(to_unsigned(0,kDataWidth)); + constant kMajorRev : InterfaceData_t := std_logic_vector(to_unsigned(5,kDataWidth)); + -- Currently just the timestamp of the build time/date: yymmddhh + constant kBuildCode : std_logic_vector(31 downto 0) := X"18010408"; + + + function kTxChDefault return InterfaceData_t; + function kTxChDefaultRun return InterfaceData_t; + function kRxChDefault0 return InterfaceData_t; + function kRxChDefault1 return InterfaceData_t; + function kRxChDefault0Run return InterfaceData_t; + function kRxChDefault1Run return InterfaceData_t; + + function Tx2Switch2Mod(kCh1Val : std_logic_vector) return std_logic_vector; + function Tx2TrxMod (kCh1Val : std_logic_vector) return std_logic_vector; + + function Rx2Switch1Mod(kCh1Val : std_logic_vector) return std_logic_vector; + function Rx2Switch2Mod(kCh1Val : std_logic_vector) return std_logic_vector; + function Rx2Switch3Mod(kCh1Val : std_logic_vector) return std_logic_vector; + function Rx2Switch4Mod(kCh1Val : std_logic_vector) return std_logic_vector; + function Rx2Switch5Mod(kCh1Val : std_logic_vector) return std_logic_vector; + function Rx2Switch6Mod(kCh1Val : std_logic_vector) return std_logic_vector; + + +end package; + +package body PkgSetup is + + function kTxChDefault return InterfaceData_t is + variable RetVal : InterfaceData_t := (others => '0'); + begin + RetVal(kCh1SwTrxMsb downto kCh1SwTrx) := std_logic_vector(to_unsigned(kFromLowerFilterBankTxSw1, kCh1SwTrxSize)); + RetVal(kCh1TxSw1Msb downto kCh1TxSw1) := std_logic_vector(to_unsigned(kShutdownTxSw1, kCh1TxSw1Size)); + RetVal(kCh1TxSw2Msb downto kCh1TxSw2) := std_logic_vector(to_unsigned(kToTxFilterLp3400MHz, kCh1TxSw2Size)); + RetVal(kCh1TxSw3 downto kCh1TxSw3) := std_logic_vector(to_unsigned(kToTxFilterBanks, kCh1TxSw3Size)); + RetVal(kCh1TxLowbandMixerPathSelect) := '0'; + RetVal(kCh1TxMixerEn) := '0'; + RetVal(kCh1TxAmpEn) := '0'; + RetVal(kCh1TxPaEn) := '0'; + RetVal(kCh1TxLed) := '0'; + RetVal(kCh1MykEnTx) := '1'; + return RetVal; + end kTxChDefault; + + function kTxChDefaultRun return InterfaceData_t is + variable RetVal : InterfaceData_t := (others => '0'); + begin + RetVal(kCh1SwTrxMsb downto kCh1SwTrx) := std_logic_vector(to_unsigned(kFromLowerFilterBankTxSw1, kCh1SwTrxSize)); + RetVal(kCh1TxSw1Msb downto kCh1TxSw1) := std_logic_vector(to_unsigned(kFromTxFilterLp3400MHz, kCh1TxSw1Size)); + RetVal(kCh1TxSw2Msb downto kCh1TxSw2) := std_logic_vector(to_unsigned(kToTxFilterLp3400MHz, kCh1TxSw2Size)); + RetVal(kCh1TxSw3 downto kCh1TxSw3) := std_logic_vector(to_unsigned(kToTxFilterBanks, kCh1TxSw3Size)); + RetVal(kCh1TxLowbandMixerPathSelect) := '0'; + RetVal(kCh1TxMixerEn) := '0'; + RetVal(kCh1TxAmpEn) := '1'; + RetVal(kCh1TxPaEn) := '1'; + RetVal(kCh1TxLed) := '1'; + RetVal(kCh1MykEnTx) := '1'; + return RetVal; + end kTxChDefaultRun; + + + + + function kRxChDefault0 return InterfaceData_t is + variable RetVal : InterfaceData_t := (others => '0'); + begin + RetVal(kCh1RxSw1Msb downto kCh1RxSw1) := std_logic_vector(to_unsigned(kRx2Input, kCh1RxSw1Size)); + RetVal(kCh1RxSw2Msb downto kCh1RxSw2) := std_logic_vector(to_unsigned(kShutdownSw2, kCh1RxSw2Size)); + RetVal(kCh1RxSw3Msb downto kCh1RxSw3) := std_logic_vector(to_unsigned(kShutdownSw3, kCh1RxSw3Size)); + RetVal(kCh1RxSw4Msb downto kCh1RxSw4) := std_logic_vector(to_unsigned(kFilter2100x2850MHzFrom, kCh1RxSw4Size)); + RetVal(kCh1RxSw5Msb downto kCh1RxSw5) := std_logic_vector(to_unsigned(kFilter0490LpMHzFrom, kCh1RxSw5Size)); + return RetVal; + end kRxChDefault0; + + function kRxChDefault1 return InterfaceData_t is + variable RetVal : InterfaceData_t := (others => '0'); + begin + RetVal(kCh1RxSw6Msb downto kCh1RxSw6) := std_logic_vector(to_unsigned(kUpperFilterBankFromSwitch4, kCh1RxSw6Size)); + RetVal(kCh1RxLowbandMixerPathSelect) := '0'; + RetVal(kCh1RxMixerEn) := '0'; + RetVal(kCh1RxAmpEn) := '0'; + RetVal(kCh1RxLna1En) := '0'; + RetVal(kCh1RxLna2En) := '0'; + RetVal(kCh1Rx2Led) := '0'; + RetVal(kCh1RxLed) := '0'; + RetVal(kCh1MykEnRx) := '1'; + return RetVal; + end kRxChDefault1; + + function kRxChDefault0Run return InterfaceData_t is + variable RetVal : InterfaceData_t := (others => '0'); + begin + RetVal(kCh1RxSw1Msb downto kCh1RxSw1) := std_logic_vector(to_unsigned(kRx2Input, kCh1RxSw1Size)); + RetVal(kCh1RxSw2Msb downto kCh1RxSw2) := std_logic_vector(to_unsigned(kLowerFilterBankToSwitch3, kCh1RxSw2Size)); + RetVal(kCh1RxSw3Msb downto kCh1RxSw3) := std_logic_vector(to_unsigned(kFilter2100x2850MHz, kCh1RxSw3Size)); + RetVal(kCh1RxSw4Msb downto kCh1RxSw4) := std_logic_vector(to_unsigned(kFilter2100x2850MHzFrom, kCh1RxSw4Size)); + RetVal(kCh1RxSw5Msb downto kCh1RxSw5) := std_logic_vector(to_unsigned(kFilter0490LpMHzFrom, kCh1RxSw5Size)); + return RetVal; + end kRxChDefault0Run; + + function kRxChDefault1Run return InterfaceData_t is + variable RetVal : InterfaceData_t := (others => '0'); + begin + RetVal(kCh1RxSw6Msb downto kCh1RxSw6) := std_logic_vector(to_unsigned(kUpperFilterBankFromSwitch4, kCh1RxSw6Size)); + RetVal(kCh1RxLowbandMixerPathSelect) := '0'; + RetVal(kCh1RxMixerEn) := '0'; + RetVal(kCh1RxAmpEn) := '1'; + RetVal(kCh1RxLna1En) := '1'; + RetVal(kCh1RxLna2En) := '1'; + RetVal(kCh1Rx2Led) := '1'; -- turn on a LED for grins + RetVal(kCh1RxLed) := '0'; + RetVal(kCh1MykEnRx) := '1'; + return RetVal; + end kRxChDefault1Run; + + + + + + + function Tx2Switch2Mod(kCh1Val : std_logic_vector) return std_logic_vector is + variable RetVal : std_logic_vector(kCh1Val'range) := (others => '0'); + begin + -- Encoding for this switch is one-hot, so we just flip around the bits here. + RetVal(kCh1Val'low + 0) := kCh1Val(kCh1Val'low + 0); + RetVal(kCh1Val'low + 3) := kCh1Val(kCh1Val'low + 1); + RetVal(kCh1Val'low + 1) := kCh1Val(kCh1Val'low + 2); + RetVal(kCh1Val'low + 2) := kCh1Val(kCh1Val'low + 3); + return RetVal; + end Tx2Switch2Mod; + + function Tx2TrxMod(kCh1Val : std_logic_vector) return std_logic_vector is + variable RetVal : std_logic_vector(kCh1Val'range) := (others => '0'); + begin + if kCh1Val = "00" then RetVal := "00"; + elsif kCh1Val = "01" then RetVal := "10"; + elsif kCh1Val = "10" then RetVal := "01"; + elsif kCh1Val = "11" then RetVal := "11"; + else RetVal := "00"; end if; + return RetVal; + end Tx2TrxMod; + + + + function Rx2Switch1Mod(kCh1Val : std_logic_vector) return std_logic_vector is + variable RetVal : std_logic_vector(kCh1Val'range) := (others => '0'); + begin + -- Encoding for this switch is binary, so we need to mux. + if kCh1Val = "00" then RetVal := "01"; + elsif kCh1Val = "01" then RetVal := "00"; + elsif kCh1Val = "10" then RetVal := "11"; + elsif kCh1Val = "11" then RetVal := "10"; + else RetVal := "00"; end if; + return RetVal; + end Rx2Switch1Mod; + + function Rx2Switch2Mod(kCh1Val : std_logic_vector) return std_logic_vector is + variable RetVal : std_logic_vector(kCh1Val'range) := (others => '0'); + begin + -- Encoding for this switch is binary, so we need to mux. + if kCh1Val = "00" then RetVal := "00"; + elsif kCh1Val = "01" then RetVal := "11"; + elsif kCh1Val = "10" then RetVal := "10"; + elsif kCh1Val = "11" then RetVal := "01"; + else RetVal := "00"; end if; + return RetVal; + end Rx2Switch2Mod; + + function Rx2Switch3Mod(kCh1Val : std_logic_vector) return std_logic_vector is + variable RetVal : std_logic_vector(kCh1Val'range) := (others => '0'); + begin + -- Encoding for this switch is binary, so we need to mux. + if kCh1Val = "000" then RetVal := "100"; + elsif kCh1Val = "001" then RetVal := "101"; + elsif kCh1Val = "010" then RetVal := "110"; + elsif kCh1Val = "011" then RetVal := "011"; + elsif kCh1Val = "100" then RetVal := "001"; + elsif kCh1Val = "101" then RetVal := "000"; + elsif kCh1Val = "110" then RetVal := "010"; + elsif kCh1Val = "111" then RetVal := "111"; + else RetVal := "000"; end if; + return RetVal; + end Rx2Switch3Mod; + + function Rx2Switch4Mod(kCh1Val : std_logic_vector) return std_logic_vector is + variable RetVal : std_logic_vector(kCh1Val'range) := (others => '0'); + begin + -- Encoding for this switch is one-hot, so we just flip around the bits here. + RetVal(kCh1Val'low + 2) := kCh1Val(kCh1Val'low + 0); + RetVal(kCh1Val'low + 1) := kCh1Val(kCh1Val'low + 1); + RetVal(kCh1Val'low + 0) := kCh1Val(kCh1Val'low + 2); + return RetVal; + end Rx2Switch4Mod; + + function Rx2Switch5Mod(kCh1Val : std_logic_vector) return std_logic_vector is + variable RetVal : std_logic_vector(kCh1Val'range) := (others => '0'); + begin + -- Encoding for this switch is one-hot, so we just flip around the bits here. + RetVal(kCh1Val'low + 1) := kCh1Val(kCh1Val'low + 0); + RetVal(kCh1Val'low + 0) := kCh1Val(kCh1Val'low + 1); + RetVal(kCh1Val'low + 3) := kCh1Val(kCh1Val'low + 2); + RetVal(kCh1Val'low + 2) := kCh1Val(kCh1Val'low + 3); + return RetVal; + end Rx2Switch5Mod; + + function Rx2Switch6Mod(kCh1Val : std_logic_vector) return std_logic_vector is + variable RetVal : std_logic_vector(kCh1Val'range) := (others => '0'); + begin + -- Encoding for this switch is one-hot, so we just flip around the bits here. + RetVal(kCh1Val'low + 2) := kCh1Val(kCh1Val'low + 0); + RetVal(kCh1Val'low + 1) := kCh1Val(kCh1Val'low + 1); + RetVal(kCh1Val'low + 0) := kCh1Val(kCh1Val'low + 2); + return RetVal; + end Rx2Switch6Mod; + + +end package body; \ No newline at end of file diff --git a/fpga/usrp3/top/n3xx/dboards/mg/cpld/Timing.sdc b/fpga/usrp3/top/n3xx/dboards/mg/cpld/Timing.sdc new file mode 100644 index 000000000..496814662 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/mg/cpld/Timing.sdc @@ -0,0 +1,160 @@ +# +# Copyright 2017 Ettus Research, A National Instruments Company +# SPDX-License-Identifier: LGPL-3.0 +# + +# All the magic numbers come from the "/n3xx/dboards/mg/doc/mg_timing.xlsx" timing +# analysis spreadsheet. Analysis should be re-performed every time a board rev occurs +# that affects the CPLD interfaces. + +## PS Slave Constraints ################################################################# +# - PsClk Rate +# - PsClk to SDI +# - PsClk to LE (sync and async paths) +# - PsClk to SDO + +# Maximum 4 MHz clock rate! This is heavily limited by the read data turnaround time... +# and could be up to 20 MHz if only performing writes. +create_clock -name PsClk -period 250 [get_ports {PsSpiSck}] + +# SDI is both registered in the CPLD and used as a direct passthrough. First constrain +# the input delay on the local paths inside the CPLD. Passthrough constraints +# are handled elsewhere. + +set PsSdiInputDelayMax 22.303 +set PsSdiInputDelayMin -19.019 + +# SDI is driven from the PS on the falling edge of the Clk. Worst-case data-clock skew +# is around +/-20ns due to FPGA routing delays and board buffering. Complete timing +# analysis is performed and recorded elsewhere. +set_input_delay -clock PsClk -max $PsSdiInputDelayMax [get_ports sPsSpiSdi] -clock_fall +set_input_delay -clock PsClk -min $PsSdiInputDelayMin [get_ports sPsSpiSdi] -clock_fall + +# For the CPLD Cs_n, the latch enable is used both as an asynchronous reset and +# synchronously to latch data. First, constrain the overall input delay for sync use. +# Technically, Cs_n is asserted and de-asserted many nanoseconds before the clock arrives +# but we still constrain it identically to the SDI in case something goes amiss. +set_input_delay -clock PsClk -max $PsSdiInputDelayMax [get_ports sPsSpiLe] -clock_fall +set_input_delay -clock PsClk -min $PsSdiInputDelayMin [get_ports sPsSpiLe] -clock_fall +# Then set a false path only on the async reset flops. +set_false_path -from [get_ports {sPsSpiLe}] -to [get_pins sPsMosiIndex[*]|*] +set_false_path -from [get_ports {sPsSpiLe}] -to [get_pins sPsMisoIndex[*]|*] + +# Constrain MISO as snugly as possible through the CPLD without making the tools work +# too hard. At a 200 ns period, this sets the clock-to-out for the CPLD at [10, 65]ns. +# Math for Max = T_clk/2 - 60 = 250/2 - 60 = 65 ns. +set PsSdoOutputDelayMax 60 +set PsSdoOutputDelayMin -10 + +set_output_delay -clock PsClk -max $PsSdoOutputDelayMax [get_ports sPsSpiSdo] +set_output_delay -clock PsClk -min $PsSdoOutputDelayMin [get_ports sPsSpiSdo] + + + +## PL Slave Constraints ################################################################# +# - PlClk Rate +# - PlClk to SDI +# - PlClk to LE (sync and async paths) +# - PlClk to SDO + +# Maximum 5 MHz clock rate! +create_clock -name PlClk -period 200 [get_ports {PlSpiSck}] + +# SDI is both registered in the CPLD and used as a direct passthrough. First constrain +# the input delay on the local paths inside the CPLD. Passthrough constraints +# are handled elsewhere. + +set PlSdiInputDelayMax 10.445 +set PlSdiInputDelayMin -10.378 + +# SDI is driven from the FPGA on the falling edge of the Clk. Worst-case data-clock skew +# is around +/-10ns. Complete timing analysis is performed and recorded elsewhere. +set_input_delay -clock PlClk -max $PlSdiInputDelayMax [get_ports lPlSpiSdi] -clock_fall +set_input_delay -clock PlClk -min $PlSdiInputDelayMin [get_ports lPlSpiSdi] -clock_fall + +# For the CPLD Cs_n, the latch enable is used both as an asynchronous reset and +# synchronously to latch data. First, constrain the overall input delay for sync use. +# Technically, Cs_n is asserted and de-asserted many nanoseconds before the clock arrives +# but we still constrain it identically to the SDI in case something goes amiss. +set_input_delay -clock PlClk -max $PlSdiInputDelayMax [get_ports lPlSpiLe] -clock_fall +set_input_delay -clock PlClk -min $PlSdiInputDelayMin [get_ports lPlSpiLe] -clock_fall +# Then set a false path only on the async reset flops. +set_false_path -from [get_ports {lPlSpiLe}] -to [get_pins {lPlMosiIndex[*]|*}] +set_false_path -from [get_ports {lPlSpiLe}] -to [get_pins {lPlMisoIndex[*]|*}] + +# Constrain MISO as snugly as possible through the CPLD without making the tools work +# too hard. At a 200 ns period, this sets the clock-to-out for the CPLD at [10, 65]ns. +# Math for Max = T_clk/2 - 35 = 200/2 - 35 = 65 ns. +set PlSdoOutputDelayMax 35 +set PlSdoOutputDelayMin -10 + +set_output_delay -clock PlClk -max $PlSdoOutputDelayMax [get_ports lPlSpiSdo] +set_output_delay -clock PlClk -min $PlSdoOutputDelayMin [get_ports lPlSpiSdo] + + + +## Passthrough Constraints ############################################################## +# - LMK SYNC +# - PlClk/PsClk passthrough +# - SDI passthrough for both +# - SDO return mux passthrough for both +# - Cs_n passthrough for both + +# LMK Sync Passthrough: constrain min and max delays for output +set_max_delay -from [get_ports {aPlSpiAddr[2]}] -to [get_ports {aLmkSync}] 17 +set_min_delay -from [get_ports {aPlSpiAddr[2]}] -to [get_ports {aLmkSync}] 2 + +# SPI Passthroughs: constrain min and max delays for outputs and inputs. +# Since the SDI ports have input delays pre-defined above, we have to remove those from +# the delay analysis here by adding the input delay to the constraint. +# Similarly, for the SDO pins add the output delay to the constraint. +set SpiMaxDelay 25 +set SpiMinDelay 5 + +# PS +set_max_delay -to [get_ports {aDacDin aLmkSpiSdio}] [expr $PsSdiInputDelayMax + $SpiMaxDelay] +set_min_delay -to [get_ports {aDacDin aLmkSpiSdio}] [expr $PsSdiInputDelayMin + $SpiMinDelay] +set_max_delay -to [get_ports {aDacSync_n aLmkSpiCs_n}] $SpiMaxDelay +set_min_delay -to [get_ports {aDacSync_n aLmkSpiCs_n}] $SpiMinDelay +set_max_delay -to [get_ports {aDacSck aLmkSpiSck}] $SpiMaxDelay +set_min_delay -to [get_ports {aDacSck aLmkSpiSck}] $SpiMinDelay +set_max_delay -from [get_ports {aLmkClkinSel*}] [expr $SpiMaxDelay + $PsSdoOutputDelayMax] +set_min_delay -from [get_ports {aLmkClkinSel*}] [expr $SpiMinDelay + $PsSdoOutputDelayMin] + +# PL +set_max_delay -to [get_ports {aRxLoDin aTxLoDin}] [expr $PlSdiInputDelayMax + $SpiMaxDelay] +set_min_delay -to [get_ports {aRxLoDin aTxLoDin}] [expr $PlSdiInputDelayMin + $SpiMinDelay] +set_max_delay -to [get_ports {aRxLoCs_n aTxLoCs_n}] $SpiMaxDelay +set_min_delay -to [get_ports {aRxLoCs_n aTxLoCs_n}] $SpiMinDelay +set_max_delay -to [get_ports {aRxLoSck aTxLoSck}] $SpiMaxDelay +set_min_delay -to [get_ports {aRxLoSck aTxLoSck}] $SpiMinDelay +set_max_delay -from [get_ports {aTxLoMuxOut aRxLoMuxOut}] [expr $SpiMaxDelay + $PlSdoOutputDelayMax] +set_min_delay -from [get_ports {aTxLoMuxOut aRxLoMuxOut}] [expr $SpiMinDelay + $PlSdoOutputDelayMin] + + + +## Async Inputs ######################################################################### +# aLmkStatus2 aRxLoLockDetect aTxLoLockDetect +set_false_path -from [get_ports {aRxLoLockDetect}] +set_false_path -from [get_ports {aTxLoLockDetect}] + + + +## Async Outputs ######################################################################## +# aMkReset_n aVcxoCtrl +set_false_path -to [get_ports {aMkReset_n}] +set_false_path -to [get_ports {aVcxoCtrl}] + + + +## Sync Front End Outputs ############################################################### +# All we need to do here is constrain for maximum path delay from the aAtr(Rx|Tx)(1|2) +# control bits toggling to the outputs for aCh1* and aCh2* toggling. Just in case the +# user attempts to write the ATR while it's in use, we also constrain from the flops +# to the pins... which covers all paths... so just to -to option is needed. +set_max_delay -to [get_ports {aCh1* aCh2* aMk*x*En}] 40 +set_min_delay -to [get_ports {aCh1* aCh2* aMk*x*En}] 5 + +# We don't care about the LED timing whatsoever. Let's not have them clogging up our +# precious timing paths. +set_false_path -to [get_ports {aCh*Led*}] diff --git a/fpga/usrp3/top/n3xx/dboards/mg/cpld/TopCpld.qpf b/fpga/usrp3/top/n3xx/dboards/mg/cpld/TopCpld.qpf new file mode 100644 index 000000000..e16aaf0af --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/mg/cpld/TopCpld.qpf @@ -0,0 +1,31 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2017 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.2 Build 203 01/18/2017 SJ Standard Edition +# Date created = 14:51:27 February 24, 2017 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "16.1" +DATE = "14:51:27 February 24, 2017" + +# Revisions + +PROJECT_REVISION = "TopCpld" diff --git a/fpga/usrp3/top/n3xx/dboards/mg/cpld/TopCpld.qsf b/fpga/usrp3/top/n3xx/dboards/mg/cpld/TopCpld.qsf new file mode 100644 index 000000000..64238c87a --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/mg/cpld/TopCpld.qsf @@ -0,0 +1,313 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2017 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.2 Build 203 01/18/2017 SJ Standard Edition +# Date created = 14:51:27 February 24, 2017 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# TopCpld_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX V" +set_global_assignment -name DEVICE 5M570ZF256I5 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.2 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "14:51:27 FEBRUARY 24, 2017" +set_global_assignment -name LAST_QUARTUS_VERSION "16.1.2 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP "-40" +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 125 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR "-1" +set_global_assignment -name SDC_FILE Timing.sdc +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR" +set_global_assignment -name AUTO_RESTART_CONFIGURATION OFF +set_global_assignment -name ENABLE_OCT_DONE OFF +set_global_assignment -name ENABLE_CONFIGURATION_PINS OFF +set_global_assignment -name ENABLE_BOOT_SEL_PIN OFF +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "PASSIVE SERIAL" +set_global_assignment -name USE_CONFIGURATION_DEVICE ON +set_global_assignment -name GENERATE_SVF_FILE ON +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS OFF +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED" + +set_location_assignment PIN_C3 -to aCh2RxSw4[0] +set_location_assignment PIN_C2 -to aCh2TxPaEn +set_location_assignment PIN_D3 -to aCh2RxSw6[0] +set_location_assignment PIN_D1 -to aCh2RxSw4[1] +set_location_assignment PIN_D2 -to aCh2TxSw3 +set_location_assignment PIN_E1 -to aCh2RxSw4[2] +set_location_assignment PIN_E4 -to aCh2RxSw7[1] +set_location_assignment PIN_F2 -to aCh2RxSw6[1] +set_location_assignment PIN_E3 -to aCh2RxSw7[0] +set_location_assignment PIN_F1 -to aCh2RxSw5[0] +set_location_assignment PIN_E2 -to aCh2RxSw5[1] +set_location_assignment PIN_G2 -to aCh2RxSw5[3] +set_location_assignment PIN_F3 -to aCh2TxSw4[0] +set_location_assignment PIN_G1 -to aCh2RxSw6[2] +set_location_assignment PIN_G3 -to aCh2TxSw4[1] +set_location_assignment PIN_H2 -to aCh2TxAmpEn +set_location_assignment PIN_H1 -to aCh2RxSw5[2] +set_location_assignment PIN_J1 -to aCh2TxMixerEn +set_location_assignment PIN_H5 -to aLoSpiSync +set_location_assignment PIN_J2 -to aCh2RxMixerEn +#set_location_assignment PIN_L3 -to aLmkSpiSdio +set_location_assignment PIN_K1 -to aCh2TxSw5[1] +set_location_assignment PIN_K2 -to aCh2TxSw5[0] +set_location_assignment PIN_M2 -to aLmkSpiSdio +set_location_assignment PIN_L1 -to aCh2RxSw8[0] +set_location_assignment PIN_M3 -to lPlSpiSdi +set_location_assignment PIN_L2 -to aCh2RxSw8[1] +set_location_assignment PIN_M1 -to aCh2RxAmpEn +set_location_assignment PIN_N2 -to aLmkSpiSck +set_location_assignment PIN_N1 -to aLmkSpiCs_n +set_location_assignment PIN_N3 -to PlSpiSck +# set_location_assignment PIN_P2 -to lPlSpiLe +set_location_assignment PIN_R3 -to aMkReset_n +set_location_assignment PIN_R1 -to lPlSpiLe +set_location_assignment PIN_T2 -to aLmkSync +set_location_assignment PIN_R4 -to aVcxoCtrl +set_location_assignment PIN_T4 -to aDacDin +set_location_assignment PIN_T5 -to aDacSync_n +set_location_assignment PIN_R6 -to aPlSpiAddr[2] +set_location_assignment PIN_R5 -to aDacSck +set_location_assignment PIN_T6 -to aPlSpiAddr[1] +set_location_assignment PIN_R7 -to aLmkClkinSel[0] +set_location_assignment PIN_T7 -to lPlSpiSdo +set_location_assignment PIN_P8 -to sPsSpiLe +set_location_assignment PIN_R8 -to aPsSpiAddr[1] +set_location_assignment PIN_P9 -to aPlSpiAddr[0] +set_location_assignment PIN_T8 -to PsSpiSck +set_location_assignment PIN_T9 -to aPsSpiAddr[0] +set_location_assignment PIN_R9 -to sPsSpiSdi +set_location_assignment PIN_P10 -to aAtrRx1 +set_location_assignment PIN_T10 -to sPsSpiSdo +set_location_assignment PIN_P11 -to aAtrTx2 +set_location_assignment PIN_R10 -to aRxLoLockDetect +set_location_assignment PIN_R12 -to aRxLoSck +set_location_assignment PIN_T11 -to aTxLoLockDetect +set_location_assignment PIN_P12 -to aAtrRx2 +set_location_assignment PIN_R11 -to aRxLoDin +set_location_assignment PIN_T12 -to aRxLoCs_n +set_location_assignment PIN_R13 -to aTxLoDin +set_location_assignment PIN_T13 -to aRxLoMuxOut +set_location_assignment PIN_P13 -to aAtrTx1 +set_location_assignment PIN_T15 -to aTxLoSck +set_location_assignment PIN_R14 -to aTxLoCs_n +set_location_assignment PIN_R16 -to aTxLoMuxOut +set_location_assignment PIN_P14 -to aMkTx1En +set_location_assignment PIN_N15 -to aMkRx2En +set_location_assignment PIN_P15 -to aMkRx1En +set_location_assignment PIN_N16 -to aMkTx2En +set_location_assignment PIN_K15 -to aCh1TxSw5[1] +set_location_assignment PIN_L14 -to aCh1RxMixerEn +set_location_assignment PIN_K16 -to aCh1TxMixerEn +set_location_assignment PIN_K14 -to aCh1RxSw8[0] +set_location_assignment PIN_J15 -to aCh1TxAmpEn +set_location_assignment PIN_J14 -to aCh1RxSw8[1] +set_location_assignment PIN_J16 -to aCh1TxSw5[0] +set_location_assignment PIN_H14 -to aCh1RxAmpEn +set_location_assignment PIN_H16 -to aCh1TxSw4[0] +set_location_assignment PIN_G14 -to aCh1TxSw4[1] +set_location_assignment PIN_H15 -to aCh1RxSw5[1] +set_location_assignment PIN_F14 -to aCh1RxSw7[1] +set_location_assignment PIN_G16 -to aCh1RxSw5[0] +set_location_assignment PIN_G15 -to aCh1RxSw4[0] +set_location_assignment PIN_E14 -to aCh1RxSw6[1] +set_location_assignment PIN_F16 -to aCh1RxSw2[1] +set_location_assignment PIN_E13 -to aCh1RxSw7[0] +set_location_assignment PIN_F15 -to aCh1RxSw2[0] +set_location_assignment PIN_D14 -to aCh1RxSw6[2] +set_location_assignment PIN_E16 -to aCh1RxSw6[0] +set_location_assignment PIN_E15 -to aCh1TxSw3 +set_location_assignment PIN_C15 -to aCh1RxSw5[2] +set_location_assignment PIN_D16 -to aCh1TxPaEn +set_location_assignment PIN_C14 -to aCh1RxSw4[1] +set_location_assignment PIN_D15 -to aCh1RxSw5[3] +set_location_assignment PIN_B14 -to aCh1TxSw2[3] +set_location_assignment PIN_B16 -to aCh1RxLna2En +set_location_assignment PIN_C13 -to aCh1RxSw4[2] +set_location_assignment PIN_A15 -to aCh1TxSw2[2] +set_location_assignment PIN_B13 -to aCh1TxSw2[1] +set_location_assignment PIN_A13 -to aCh1TxSw2[0] +set_location_assignment PIN_C12 -to aCh1RxSw1[0] +set_location_assignment PIN_B12 -to aCh1TxSw1[0] +set_location_assignment PIN_D12 -to aCh1RxSw1[1] +set_location_assignment PIN_A12 -to aCh1TxSw1[1] +set_location_assignment PIN_C11 -to aCh1LedTx +set_location_assignment PIN_B11 -to aCh1RxLna1En +set_location_assignment PIN_D11 -to aCh2RxSw1[1] +set_location_assignment PIN_A11 -to aCh1RxSw3[2] +set_location_assignment PIN_C10 -to aCh1LedRx +set_location_assignment PIN_B10 -to aCh1RxSw3[1] +set_location_assignment PIN_C9 -to aCh1LedRx2 +set_location_assignment PIN_A10 -to aCh1RxSw3[0] +set_location_assignment PIN_C8 -to aCh2LedRx2 +set_location_assignment PIN_B9 -to aCh1SwTrx[1] +set_location_assignment PIN_A9 -to aCh1SwTrx[0] +set_location_assignment PIN_A8 -to aCh2SwTrx[0] +set_location_assignment PIN_C7 -to aCh2RxSw3[0] +set_location_assignment PIN_B8 -to aCh2SwTrx[1] +set_location_assignment PIN_C6 -to aCh2LedRx +set_location_assignment PIN_A7 -to aCh2RxSw3[1] +set_location_assignment PIN_B5 -to aCh2TxSw1[0] +set_location_assignment PIN_C5 -to aCh2RxSw1[0] +set_location_assignment PIN_A6 -to aCh2TxSw1[1] +set_location_assignment PIN_D5 -to aCh2LedTx +set_location_assignment PIN_B6 -to aCh2RxSw3[2] +set_location_assignment PIN_B4 -to aCh2RxLna2En +set_location_assignment PIN_A5 -to aCh2RxLna1En +set_location_assignment PIN_C4 -to aCh2RxSw2[0] +set_location_assignment PIN_A4 -to aCh2TxSw2[1] +set_location_assignment PIN_D4 -to aCh2RxSw2[1] +set_location_assignment PIN_A2 -to aCh2TxSw2[3] +set_location_assignment PIN_B3 -to aCh2TxSw2[0] +set_location_assignment PIN_B1 -to aCh2TxSw2[2] + +set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 256 +set_global_assignment -name GENERATE_JAM_FILE ON +set_global_assignment -name GENERATE_JBC_FILE ON +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED" +set_global_assignment -name OPTIMIZATION_MODE "HIGH PERFORMANCE EFFORT" +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2RxSw4[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2TxPaEn +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2RxSw6[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2RxSw4[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2TxSw3 +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2RxSw7[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2RxSw4[2] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2RxSw6[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2RxSw7[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2RxSw5[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2RxSw5[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2TxSw4[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2RxSw5[3] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2RxSw6[2] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2TxSw4[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2TxAmpEn +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2RxSw5[2] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2TxMixerEn +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2RxMixerEn +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2TxSw5[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2TxSw5[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aLmkSpiSdio +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2RxSw8[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2RxSw8[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2RxAmpEn +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aLmkSpiSck +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aLmkSpiCs_n +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aMkReset_n +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aLmkSync +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aVcxoCtrl +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aDacDin +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aDacSync_n +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aDacSck +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to lPlSpiSdo +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to sPsSpiSdo +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aRxLoSck +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aRxLoDin +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aRxLoCs_n +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aTxLoDin +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aTxLoSck +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aTxLoCs_n +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aMkTx1En +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aMkRx2En +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aMkRx1En +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aMkTx2En +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1RxMixerEn +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1TxSw5[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1TxMixerEn +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1RxSw8[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1TxAmpEn +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1RxSw8[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1TxSw5[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1RxAmpEn +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1TxSw4[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1TxSw4[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1RxSw5[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1RxSw7[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1RxSw4[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1RxSw5[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1RxSw6[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1RxSw2[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1RxSw7[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1RxSw2[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1RxSw6[2] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1RxSw6[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1TxSw3 +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1RxSw5[2] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1TxPaEn +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1RxSw4[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1RxSw5[3] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1TxSw2[3] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1RxSw4[2] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1RxLna2En +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1TxSw2[2] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1TxSw2[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1TxSw2[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1RxSw1[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1TxSw1[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1RxSw1[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1TxSw1[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1LedTx +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1RxLna1En +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2RxSw1[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1RxSw3[2] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1LedRx +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1RxSw3[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1LedRx2 +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1RxSw3[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2LedRx2 +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1SwTrx[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh1SwTrx[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2SwTrx[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2RxSw3[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2SwTrx[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2LedRx +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2RxSw3[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2TxSw1[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2RxSw1[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2TxSw1[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2LedTx +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2RxSw3[2] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2RxLna2En +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2RxLna1En +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2TxSw2[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2RxSw2[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2RxSw2[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2TxSw2[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2TxSw2[3] +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to aCh2TxSw2[2] +set_global_assignment -name SEED 11 +set_global_assignment -name VHDL_FILE PkgMgCpld.vhd +set_global_assignment -name VHDL_FILE PkgSetup.vhd +set_global_assignment -name VHDL_FILE TopCpld.vhd +set_global_assignment -name TOP_LEVEL_ENTITY TopCpld diff --git a/fpga/usrp3/top/n3xx/dboards/mg/cpld/TopCpld.vhd b/fpga/usrp3/top/n3xx/dboards/mg/cpld/TopCpld.vhd new file mode 100644 index 000000000..4e3488f54 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/mg/cpld/TopCpld.vhd @@ -0,0 +1,1228 @@ +------------------------------------------------------------------------------- +-- +-- File: TopCpld.vhd +-- Author: Daniel Jepson +-- Original Project: N310 +-- Date: 24 October 2016 +-- +------------------------------------------------------------------------------- +-- Copyright 2016-2017 Ettus Research, A National Instruments Company +-- SPDX-License-Identifier: GPL-3.0 +------------------------------------------------------------------------------- +-- +-- Purpose: +-- +-- Top level file for the Magnesium CPLD. +-- +-- This file instantiates two SPI slave ports. One slave port comes from the PS +-- of the motherboard Zynq. It has three slave select pins, mapped as follows: +-- sPlsSpiLe = CPLD Internal Registers +-- aPsSpiAddr(0) = LMK Endpoint +-- aPsSpiAddr(1) = Phase DAC Endpoint +-- +-- The other slave port comes from the PL of the motherboard Zynq. It also has +-- three slave select pins: +-- lPlSpiLe = CPLD Internal Registers +-- aPlSpiAddr(0) = TX Lowband LO +-- aPlSpiAddr(1) = RX Lowband LO +-- +-- The final address line for the PL slave is used as a passthrough for the LMK +-- SYNC pin. +-- +-- +-- For either SPI interface, the CPLD has internal registers that can be addressed +-- whenever the appropriate slave select is driven asserted. These register groups +-- are completely independent from one another, meaning the PS SPI interface cannot +-- access the PL registers, and vice-versa. +-- +-- See the register interface XML at the bottom of this file for details on how +-- each SPI port is expected to be driven, and for the register maps for the PS +-- and PL slaves. +-- +-- +-- BUMPING THE REVISION: +-- In PkgSetup the kMinorRev and kMajorRev are defined. Whenever a change +-- is made to the CPLD, no matter how small, bump the kMinorRev value. If this change +-- breaks compatibility with current HW or SW drivers, increment the kMajorRev value +-- and reset the kMinorRev to zero. Similarly, there is a constant to define the build +-- code, kBuildCode. Currently this is simply the year, month, day, and hour the CPLD is +-- built, but could be user-definable. +-- +-- +------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + use ieee.math_real.all; + +library work; + use work.PkgMgCpld.all; + use work.PkgSetup.all; + +entity TopCpld is + port( + + -- SPI Port Incoming from FPGA -- + PlSpiSck : in std_logic; + lPlSpiSdi : in std_logic; + lPlSpiSdo : out std_logic; + lPlSpiLe : in std_logic; + aPlSpiAddr : in std_logic_vector(2 downto 0); + + -- SPI Port Incoming from PS -- + PsSpiSck : in std_logic; + sPsSpiSdi : in std_logic; + sPsSpiSdo : out std_logic; + sPsSpiLe : in std_logic; + aPsSpiAddr : in std_logic_vector(1 downto 0); + + -- ATR bits from FPGA -- + aAtrRx1 : in std_logic; + aAtrRx2 : in std_logic; + aAtrTx1 : in std_logic; + aAtrTx2 : in std_logic; + + -- Ch 1 TX (15 bits) -- + aCh1LedTx : out std_logic; + + aCh1TxPaEn : out std_logic; + aCh1TxAmpEn : out std_logic; + aCh1TxMixerEn : out std_logic; + + aCh1TxSw1 : out std_logic_vector(1 downto 0); + aCh1TxSw2 : out std_logic_vector(3 downto 0); + aCh1TxSw3 : out std_logic; + aCh1TxSw4 : out std_logic_vector(1 downto 0); + aCh1TxSw5 : out std_logic_vector(1 downto 0); + + -- Ch 1 RX (29 bits) -- + aCh1LedRx : out std_logic; + aCh1LedRx2 : out std_logic; + + aCh1RxAmpEn : out std_logic; + aCh1RxMixerEn : out std_logic; + aCh1RxLna1En : out std_logic; + aCh1RxLna2En : out std_logic; + aCh1SwTrx : out std_logic_vector(1 downto 0); + + aCh1RxSw1 : out std_logic_vector(1 downto 0); + aCh1RxSw2 : out std_logic_vector(1 downto 0); + aCh1RxSw3 : out std_logic_vector(2 downto 0); + aCh1RxSw4 : out std_logic_vector(2 downto 0); + aCh1RxSw5 : out std_logic_vector(3 downto 0); + aCh1RxSw6 : out std_logic_vector(2 downto 0); + aCh1RxSw7 : out std_logic_vector(1 downto 0); + aCh1RxSw8 : out std_logic_vector(1 downto 0); + + -- Ch 2 TX -- + aCh2LedTx : out std_logic; + + aCh2TxPaEn : out std_logic; + aCh2TxAmpEn : out std_logic; + aCh2TxMixerEn : out std_logic; + + aCh2TxSw1 : out std_logic_vector(1 downto 0); + aCh2TxSw2 : out std_logic_vector(3 downto 0); + aCh2TxSw3 : out std_logic; + aCh2TxSw4 : out std_logic_vector(1 downto 0); + aCh2TxSw5 : out std_logic_vector(1 downto 0); + + -- Ch 2 RX -- + aCh2LedRx : out std_logic; + aCh2LedRx2 : out std_logic; + + aCh2RxAmpEn : out std_logic; + aCh2RxMixerEn : out std_logic; + aCh2RxLna1En : out std_logic; + aCh2RxLna2En : out std_logic; + aCh2SwTrx : out std_logic_vector(1 downto 0); + + aCh2RxSw1 : out std_logic_vector(1 downto 0); + aCh2RxSw2 : out std_logic_vector(1 downto 0); + aCh2RxSw3 : out std_logic_vector(2 downto 0); + aCh2RxSw4 : out std_logic_vector(2 downto 0); + aCh2RxSw5 : out std_logic_vector(3 downto 0); + aCh2RxSw6 : out std_logic_vector(2 downto 0); + aCh2RxSw7 : out std_logic_vector(1 downto 0); + aCh2RxSw8 : out std_logic_vector(1 downto 0); + + -- LMK -- + aLmkSpiSdio : out std_logic; + aLmkSpiSck : out std_logic; + aLmkSpiCs_n : out std_logic; + aLmkClkinSel : in std_logic_vector(0 downto 0); -- SDO + aLmkSync : out std_logic; -- direct connect to aPlSpiAddr(2) + + -- Phase DAC -- + aDacDin : out std_logic; + aDacSync_n : out std_logic; + aDacSck : out std_logic; + aVcxoCtrl : out std_logic; -- @PS-REG-WR + + -- RX and TX LOs -- (timed) + aLoSpiSync : in std_logic; -- Clock! (unused atm, only for reclocking + aRxLoSck : out std_logic; -- the SPI bus if needed for sync) + aRxLoDin : out std_logic; + aRxLoCs_n : out std_logic; + aRxLoMuxOut : in std_logic; + aRxLoLockDetect : in std_logic; -- @PS-REG-RD + aTxLoSck : out std_logic; + aTxLoDin : out std_logic; + aTxLoCs_n : out std_logic; + aTxLoMuxOut : in std_logic; + aTxLoLockDetect : in std_logic; -- @PS-REG-RD + + -- Mykonos Interface -- + aMkReset_n : out std_logic; -- @PS-REG-WR + aMkRx1En : out std_logic; + aMkRx2En : out std_logic; + aMkTx1En : out std_logic; + aMkTx2En : out std_logic + + ); +end TopCpld; + + +architecture RTL of TopCpld is + + -- PS MOSI + signal sCpldPsSpiActive : boolean; + signal sPsMosiIndex : unsigned(integer(ceil(log2(real(kTotalWidth)))) downto 0); + signal sPsMosiBuffer : InterfaceData_t := (others => '0'); + signal sPsRd : boolean := false; + signal sPsRegAddr : unsigned(kAddrWidth-1 downto 0) := (others => '0'); + + -- PS MISO + signal sPsCpldMiso : std_logic; + signal sPsMisoIndex : unsigned(integer(ceil(log2(real(kTotalWidth)))) downto 0); + signal sPsMisoBuffer : std_logic_vector(kTotalWidth-1 downto 0); + + -- PS Register Signals + signal aRxLoLockDetect_ms, sRxLoLockDetect, + aTxLoLockDetect_ms, sTxLoLockDetect : std_logic := '0'; + signal sReset : boolean := false; + signal sScratchVal : InterfaceData_t := (others => '0'); + signal sVcxoControl : std_logic := '1'; + signal sMykonosReset : std_logic := '0'; + + -- PL MOSI + signal lCpldPlSpiActive : boolean; + signal lPlMosiIndex : unsigned(integer(ceil(log2(real(kTotalWidth)))) downto 0); + signal lPlMosiBuffer : InterfaceData_t := (others => '0'); + signal lPlRd : boolean := false; + signal lPlRegAddr : unsigned(kAddrWidth-1 downto 0) := (others => '0'); + + -- PL MISO + signal lPlCpldMiso : std_logic; + signal lPlMisoIndex : unsigned(integer(ceil(log2(real(kTotalWidth)))) downto 0); + signal lPlMisoBuffer : std_logic_vector(kTotalWidth-1 downto 0); + + -- PL Register Signals + signal lScratchVal : InterfaceData_t := (others => '0'); + signal lReset : boolean := false; + + -- See PkgSetup for each Default definition. + signal lTxCh1IdleReg : InterfaceData_t := kTxChDefault; + signal lTxCh2IdleReg : InterfaceData_t := kTxChDefault; + + signal lTxCh1TxOnReg : InterfaceData_t := kTxChDefaultRun; + signal lTxCh2TxOnReg : InterfaceData_t := kTxChDefaultRun; + + signal lRxCh1_0IdleReg : InterfaceData_t := kRxChDefault0; + signal lRxCh1_1IdleReg : InterfaceData_t := kRxChDefault1; + signal lRxCh2_0IdleReg : InterfaceData_t := kRxChDefault0; + signal lRxCh2_1IdleReg : InterfaceData_t := kRxChDefault1; + + signal lRxCh1_0RxOnReg : InterfaceData_t := kRxChDefault0Run; + signal lRxCh1_1RxOnReg : InterfaceData_t := kRxChDefault1Run; + signal lRxCh2_0RxOnReg : InterfaceData_t := kRxChDefault0Run; + signal lRxCh2_1RxOnReg : InterfaceData_t := kRxChDefault1Run; + + signal lTxCh1 : InterfaceData_t; + signal lTxCh2 : InterfaceData_t; + signal lRxCh1_0 : InterfaceData_t; + signal lRxCh1_1 : InterfaceData_t; + signal lRxCh2_0 : InterfaceData_t; + signal lRxCh2_1 : InterfaceData_t; + +begin + + + -- Direct Pass-through Pins : --------------------------------------------------------- + -- ------------------------------------------------------------------------------------ + + -- LMK SYNC assignment for direct passthrough to LMK from SPI Addr line. + aLmkSync <= aPlSpiAddr(2); + + + + -- PS SPI Interface : ----------------------------------------------------------------- + -- Composed of a few modules: + -- 1) PsMosiIndex - generate pointer for MOSI Buffer + -- 2) PsMosiBuffer - actually implement the buffer, only when the CPLD is targeted. + -- 3) PsMosiProcessing - process the MOSI data: sort into Rd/!Wt, Address, and Data. + -- This process works on the falling edge of the clock to register the pieces + -- of the MOSI packet as they are complete. The final falling edge registers + -- the data into the individual registers, so it is critical that the clock idle + -- LOW after the transaction is complete such that this final falling edge occurs. + -- 4) PsMisoBuffer - generate pointer for the MISO buffer. The buffer itself is + -- completely async. + -- 5) PsMisoBufferMux - Mux all the register data back into the MISO buffer. + -- 6) StatusSynchronizer - double-synchronizers for status bits from the LMK and LOs. + -- ------------------------------------------------------------------------------------ + + -- Decode the PS SPI Address bits... which are actually going to be used as individual + -- chip selects coming from the PS. + sCpldPsSpiActive <= sPsSpiLe = '0'; + aLmkSpiCs_n <= aPsSpiAddr(0); + aDacSync_n <= aPsSpiAddr(1); + + -- Assign the remainder of the SPI lines to the LMK and DAC. + aLmkSpiSck <= PsSpiSck; + aLmkSpiSdio <= sPsSpiSdi; + aDacSck <= PsSpiSck; + aDacDin <= sPsSpiSdi; + + -- Output mux for data back to the FPGA (PS core). The LMK and CPLD are the only + -- endpoints that have readback enabled. + sPsSpiSdo <= aLmkClkinSel(0) when aPsSpiAddr(0) = '0' else + sPsCpldMiso; + + + + -- Use the LE signal (Cs_n) as the asynchronous reset to the shift register counter. + -- LE will hold the counter in reset until this endpoint is targeted, when it will + -- release the reset (long before the clock toggles) and allow the shift operation + -- to begin. + -- + -- !!! SAFE COUNTER STARTUP!!! + -- This counter starts safely from reset because the PsSpiSck will not start toggling + -- until long after the asynchronous reset (sCpldPsSpiActive) de-asserts. Similarly, + -- the reset will only assert long after the last clock edge is received. + PsMosiIndex : process(PsSpiSck, sCpldPsSpiActive) + begin + if not sCpldPsSpiActive then + sPsMosiIndex <= (others => '0'); + elsif rising_edge(PsSpiSck) then + sPsMosiIndex <= sPsMosiIndex + 1; + end if; + end process PsMosiIndex; + + + -- Shift in SDI (MOSI) data from the PS on the rising edge of the clock. Only use + -- synchronous resets from here on out. + PsMosiBuffer : process(PsSpiSck) + begin + if rising_edge(PsSpiSck) then + if sReset then + sPsMosiBuffer <= (others => '0'); + else + if sCpldPsSpiActive then + sPsMosiBuffer <= sPsMosiBuffer(sPsMosiBuffer'high-1 downto 0) & sPsSpiSdi; -- left shift + end if; + end if; + end if; + end process PsMosiBuffer; + + + -- As portions of the command and data packets become available, register them here + -- using the falling edge of the PS SPI clock. + PsMosiProcessing : process(PsSpiSck) + begin + if falling_edge(PsSpiSck) then + if sReset then + -- sReset is intentionally self-clearing. It clears on the first falling edge of + -- the next SPI transaction after it is set. Logic on the first rising edge of + -- that next transaction is therefore held in reset. This will not matter + -- as long as SW follows the recommended reset procedure (writing a '1' to reset + -- then writing a '0'), since the first bit of the transaction is '0' for a + -- write operation. + sReset <= false; + sScratchVal <= (others => '0'); + sVcxoControl <= '1'; + sMykonosReset <= '0'; + sPsRd <= false; + sPsRegAddr <= (others => '0'); + else + -- After the first bit is captured, we can determine if it is a write or read. + if (sPsMosiIndex = (kRdWtWidth)) then + sPsRd <= sPsMosiBuffer(0) = '1'; + end if; + + -- After the entire command word is captured, the address is ready for capture. + if (sPsMosiIndex = (kAddrWidth + kRdWtWidth)) then + sPsRegAddr <= unsigned(sPsMosiBuffer(kAddrWidth - 1 downto 0)); + end if; + + -- And finally after the entire transaction is complete we can save off the data + -- on the final falling edge of the SPI clock into it's appropriate place, based + -- off the address value captured above. + if (sPsMosiIndex = kTotalWidth) and (not sPsRd) then + + -- ---------------------------------------------------------------------------- + -- Assign writable register values here! -------------------------------------- + -- ---------------------------------------------------------------------------- + if (sPsRegAddr = kScratch) then + sScratchVal <= sPsMosiBuffer; + end if; + + if (sPsRegAddr = kCpldControl) then + sReset <= sPsMosiBuffer(kCpldReset) = '1'; + end if; + + if (sPsRegAddr = kLmkControl) then + sVcxoControl <= sPsMosiBuffer(kVcxoControl); + end if; + + if (sPsRegAddr = kMykonosControl) then + sMykonosReset <= sPsMosiBuffer(kMykonosReset); + end if; + + end if; + end if; + end if; + end process PsMosiProcessing; + + + -- Send MISO back to FPGA (PS) on the falling edge as well. + -- + -- !!! SAFE COUNTER STARTUP!!! + -- This counter starts safely from reset because the PsSpiSck will not start toggling + -- until long after the asynchronous reset (sCpldPsSpiActive) de-asserts. Similarly, + -- the reset will only assert long after the last clock edge is received. + PsMisoBuffer : process(PsSpiSck, sCpldPsSpiActive) + begin + if not sCpldPsSpiActive then + sPsMisoIndex <= to_unsigned(kTotalWidth-1, sPsMisoIndex'length); + elsif falling_edge(PsSpiSck) then + if sPsMisoIndex > 0 then + sPsMisoIndex <= sPsMisoIndex - 1; + end if; + end if; + end process PsMisoBuffer; + + sPsCpldMiso <= sPsMisoBuffer(to_integer(sPsMisoIndex)); + + + -- Mux the register data from the CPLD back to the FPGA. + PsMisoBufferMux : process(sPsRegAddr, sScratchVal, sVcxoControl, + sTxLoLockDetect, sRxLoLockDetect, sMykonosReset) + begin + sPsMisoBuffer <= (others => '0'); + case to_integer(sPsRegAddr) is + when kSignatureReg => sPsMisoBuffer(kDataWidth-1 downto 0) <= kSignature; + when kMinorRevReg => sPsMisoBuffer(kDataWidth-1 downto 0) <= kMinorRev; + when kMajorRevReg => sPsMisoBuffer(kDataWidth-1 downto 0) <= kMajorRev; + when kBuildCodeLSB => sPsMisoBuffer(kDataWidth-1 downto 0) <= kBuildCode(15 downto 0); + when kBuildCodeMSB => sPsMisoBuffer(kDataWidth-1 downto 0) <= kBuildCode(31 downto 16); + when kScratch => sPsMisoBuffer(kDataWidth-1 downto 0) <= sScratchVal; + when kLmkControl => sPsMisoBuffer(kVcxoControl) <= sVcxoControl; + when kLoStatus => sPsMisoBuffer(kTxLoLockDetect) <= sTxLoLockDetect; + sPsMisoBuffer(kRxLoLockDetect) <= sRxLoLockDetect; + when kMykonosControl => sPsMisoBuffer(kMykonosReset) <= sMykonosReset; + when others => sPsMisoBuffer(kDataWidth-1 downto 0) <= (others => '0'); + end case; + end process PsMisoBufferMux; + + + -- Double-synchronize the async inputs to the PS clock domain. However, this clock + -- isn't toggling all the time. Whenever it is toggling, let's capture these bits. + StatusSynchronizer : process(PsSpiSck) + begin + if rising_edge(PsSpiSck) then + aRxLoLockDetect_ms <= aRxLoLockDetect; + sRxLoLockDetect <= aRxLoLockDetect_ms; + + aTxLoLockDetect_ms <= aTxLoLockDetect; + sTxLoLockDetect <= aTxLoLockDetect_ms; + end if; + end process; + + + -- PS SPI locals to outputs. + aVcxoCtrl <= sVcxoControl; + aMkReset_n <= not sMykonosReset; + + + + -- PL SPI Interface : ----------------------------------------------------------------- + -- Composed of a few modules: + -- 1) PlMosiIndex - generate pointer for MOSI Buffer + -- 2) PlMosiBuffer - actually implement the buffer, only when the CPLD is targeted. + -- 3) PlMosiProcessing - process the MOSI data: sort into Rd/!Wt, Address, and Data. + -- This process works on the falling edge of the clock to register the pieces + -- of the MOSI packet as they are complete. The final falling edge registers + -- the data into the individual registers, so it is critical that the clock idle + -- LOW after the transaction is complete such that this final falling edge occurs. + -- 4) PlMisoBuffer - generate pointer for the MISO buffer. The buffer itself is + -- completely async. + -- 5) PlMisoBufferMux - Mux all the register data back into the MISO buffer. + -- 6) StatusSynchronizer - double-synchronizers for status bits from the LMK and LOs. + -- ------------------------------------------------------------------------------------ + + -- Decode the PL SPI Address bits... which are actually going to be used as individual + -- chip selects coming from the PL. + lCpldPlSpiActive <= lPlSpiLe = '0'; + aTxLoCs_n <= aPlSpiAddr(0); + aRxLoCs_n <= aPlSpiAddr(1); + + -- Assign the remainder of the SPI lines to the LOs. + aRxLoSck <= PlSpiSck; + aRxLoDin <= lPlSpiSdi; + aTxLoSck <= PlSpiSck; + aTxLoDin <= lPlSpiSdi; + + -- Output mux for data back to the FPGA (PL core). The LMK and CPLD are the only + -- endpoints that have readback enabled. + lPlSpiSdo <= aTxLoMuxOut when aPlSpiAddr(0) = '0' else + aRxLoMuxOut when aPlSpiAddr(1) = '0' else + lPlCpldMiso; + + + + -- Use the LE signal (Cs_n) as the asynchronous reset to the shift register counter. + -- LE will hold the counter in reset until this endpoint is targeted, when it will + -- release the reset (long before the clock toggles) and allow the shift operation + -- to begin. + -- + -- !!! SAFE COUNTER STARTUP!!! + -- This counter starts safely from reset because the PlSpiSck will not start toggling + -- until long after the asynchronous reset (lCpldPlSpiActive) de-asserts. Similarly, + -- the reset will only assert long after the last clock edge is received. + PlMosiIndex : process(PlSpiSck, lCpldPlSpiActive) + begin + if not lCpldPlSpiActive then + lPlMosiIndex <= (others => '0'); + elsif rising_edge(PlSpiSck) then + lPlMosiIndex <= lPlMosiIndex + 1; + end if; + end process PlMosiIndex; + + + -- Shift in SDI (MOSI) data from the PL on the rising edge of the clock. Only use + -- synchronous resets from here on out. + PlMosiBuffer : process(PlSpiSck) + begin + if rising_edge(PlSpiSck) then + if lReset then + lPlMosiBuffer <= (others => '0'); + else + if lCpldPlSpiActive then + lPlMosiBuffer <= lPlMosiBuffer(lPlMosiBuffer'high-1 downto 0) & lPlSpiSdi; -- left shift + end if; + end if; + end if; + end process PlMosiBuffer; + + + -- As portions of the command and data packets become available, register them here + -- using the falling edge of the PL SPI clock. + PlMosiProcessing : process(PlSpiSck) + begin + if falling_edge(PlSpiSck) then + if lReset then + -- lReset is intentionally self-clearing. It clears on the first falling edge of + -- the next SPI transaction after it is set. Logic on the first rising edge of + -- that next transaction is therefore held in reset. This will not matter + -- as long as SW follows the recommended reset procedure (writing a '1' to reset + -- then writing a '0'), since the first bit of the transaction is '0' for a + -- write operation. + lReset <= false; + lScratchVal <= (others => '0'); + lTxCh1IdleReg <= kTxChDefault; + lTxCh1TxOnReg <= kTxChDefault; + lTxCh2IdleReg <= kTxChDefault; + lTxCh2TxOnReg <= kTxChDefault; + lRxCh1_0IdleReg <= kRxChDefault0; + lRxCh1_1IdleReg <= kRxChDefault1; + lRxCh1_0RxOnReg <= kRxChDefault0; + lRxCh1_1RxOnReg <= kRxChDefault1; + lRxCh2_0IdleReg <= kRxChDefault0; + lRxCh2_1IdleReg <= kRxChDefault1; + lRxCh2_0RxOnReg <= kRxChDefault0; + lRxCh2_1RxOnReg <= kRxChDefault1; + lPlRd <= false; + lPlRegAddr <= (others => '0'); + else + -- After the first bit is captured, we can determine if it is a write or read. + if (lPlMosiIndex = (kRdWtWidth)) then + lPlRd <= lPlMosiBuffer(0) = '1'; + end if; + + -- After the entire command word is captured, the address is ready for capture. + if (lPlMosiIndex = (kAddrWidth + kRdWtWidth)) then + lPlRegAddr <= unsigned(lPlMosiBuffer(kAddrWidth - 1 downto 0)); + end if; + + -- And finally after the entire transaction is complete we can save off the data + -- on the final falling edge of the SPI clock into it's appropriate place, based + -- off the address value captured above. + if (lPlMosiIndex = kTotalWidth) and (not lPlRd) then + + -- ---------------------------------------------------------------------------- + -- Assign writable register values here! -------------------------------------- + -- ---------------------------------------------------------------------------- + if (lPlRegAddr = kPlScratch) then + lScratchVal <= lPlMosiBuffer; + end if; + + if (lPlRegAddr = kPlCpldControl) then + lReset <= lPlMosiBuffer(kCpldReset) = '1'; + end if; + + if (lPlRegAddr = kTxCh1_Idle) then + lTxCh1IdleReg <= lPlMosiBuffer; + end if; + if (lPlRegAddr = kTxCh1_TxOn) then + lTxCh1TxOnReg <= lPlMosiBuffer; + end if; + if (lPlRegAddr = kTxCh2_Idle) then + lTxCh2IdleReg <= lPlMosiBuffer; + end if; + if (lPlRegAddr = kTxCh2_TxOn) then + lTxCh2TxOnReg <= lPlMosiBuffer; + end if; + + if (lPlRegAddr = kRxCh1_0_Idle) then + lRxCh1_0IdleReg <= lPlMosiBuffer; + end if; + if (lPlRegAddr = kRxCh1_1_Idle) then + lRxCh1_1IdleReg <= lPlMosiBuffer; + end if; + if (lPlRegAddr = kRxCh1_0_RxOn) then + lRxCh1_0RxOnReg <= lPlMosiBuffer; + end if; + if (lPlRegAddr = kRxCh1_1_RxOn) then + lRxCh1_1RxOnReg <= lPlMosiBuffer; + end if; + + if (lPlRegAddr = kRxCh2_0_Idle) then + lRxCh2_0IdleReg <= lPlMosiBuffer; + end if; + if (lPlRegAddr = kRxCh2_1_Idle) then + lRxCh2_1IdleReg <= lPlMosiBuffer; + end if; + if (lPlRegAddr = kRxCh2_0_RxOn) then + lRxCh2_0RxOnReg <= lPlMosiBuffer; + end if; + if (lPlRegAddr = kRxCh2_1_RxOn) then + lRxCh2_1RxOnReg <= lPlMosiBuffer; + end if; + + end if; + end if; + end if; + end process PlMosiProcessing; + + + -- Send MISO back to FPGA (PL) on the falling edge as well. + -- + -- !!! SAFE COUNTER STARTUP!!! + -- This counter starts safely from reset because the PlSpiSck will not start toggling + -- until long after the asynchronous reset (lCpldPlSpiActive) de-asserts. Similarly, + -- the reset will only assert long after the last clock edge is received. + PlMisoBuffer : process(PlSpiSck, lCpldPlSpiActive) + begin + if not lCpldPlSpiActive then + lPlMisoIndex <= to_unsigned(kTotalWidth-1, lPlMisoIndex'length); + elsif falling_edge(PlSpiSck) then + if lPlMisoIndex > 0 then + lPlMisoIndex <= lPlMisoIndex - 1; + end if; + end if; + end process PlMisoBuffer; + + lPlCpldMiso <= lPlMisoBuffer(to_integer(lPlMisoIndex)); + + + -- Mux the register data from the CPLD back to the FPGA. + PlMisoBufferMux : process(lPlRegAddr, lScratchVal, lTxCh1IdleReg, lTxCh1TxOnReg, + lTxCh2IdleReg, lTxCh2TxOnReg, lRxCh1_0IdleReg, + lRxCh1_1IdleReg, lRxCh1_0RxOnReg, lRxCh1_1RxOnReg, + lRxCh2_0IdleReg, lRxCh2_1IdleReg, lRxCh2_0RxOnReg, + lRxCh2_1RxOnReg) + begin + lPlMisoBuffer <= (others => '0'); + case to_integer(lPlRegAddr) is + when kPlScratch => lPlMisoBuffer(kDataWidth-1 downto 0) <= lScratchVal; + when kTxCh1_Idle => lPlMisoBuffer(kDataWidth-1 downto 0) <= lTxCh1IdleReg; + when kTxCh1_TxOn => lPlMisoBuffer(kDataWidth-1 downto 0) <= lTxCh1TxOnReg; + when kTxCh2_Idle => lPlMisoBuffer(kDataWidth-1 downto 0) <= lTxCh2IdleReg; + when kTxCh2_TxOn => lPlMisoBuffer(kDataWidth-1 downto 0) <= lTxCh2TxOnReg; + when kRxCh1_0_Idle => lPlMisoBuffer(kDataWidth-1 downto 0) <= lRxCh1_0IdleReg; + when kRxCh1_1_Idle => lPlMisoBuffer(kDataWidth-1 downto 0) <= lRxCh1_1IdleReg; + when kRxCh1_0_RxOn => lPlMisoBuffer(kDataWidth-1 downto 0) <= lRxCh1_0RxOnReg; + when kRxCh1_1_RxOn => lPlMisoBuffer(kDataWidth-1 downto 0) <= lRxCh1_1RxOnReg; + when kRxCh2_0_Idle => lPlMisoBuffer(kDataWidth-1 downto 0) <= lRxCh2_0IdleReg; + when kRxCh2_1_Idle => lPlMisoBuffer(kDataWidth-1 downto 0) <= lRxCh2_1IdleReg; + when kRxCh2_0_RxOn => lPlMisoBuffer(kDataWidth-1 downto 0) <= lRxCh2_0RxOnReg; + when kRxCh2_1_RxOn => lPlMisoBuffer(kDataWidth-1 downto 0) <= lRxCh2_1RxOnReg; + when others => lPlMisoBuffer(kDataWidth-1 downto 0) <= (others => '0'); + end case; + end process PlMisoBufferMux; + + + -- Use the ATR bits to mux the output values. + lTxCh1 <= lTxCh1IdleReg when aAtrTx1 = '0' else lTxCh1TxOnReg; + lTxCh2 <= lTxCh2IdleReg when aAtrTx2 = '0' else lTxCh2TxOnReg; + + lRxCh1_0 <= lRxCh1_0IdleReg when aAtrRx1 = '0' else lRxCh1_0RxOnReg; + lRxCh1_1 <= lRxCh1_1IdleReg when aAtrRx1 = '0' else lRxCh1_1RxOnReg; + lRxCh2_0 <= lRxCh2_0IdleReg when aAtrRx2 = '0' else lRxCh2_0RxOnReg; + lRxCh2_1 <= lRxCh2_1IdleReg when aAtrRx2 = '0' else lRxCh2_1RxOnReg; + + -- PL SPI locals to outputs. All the register values are set for Channel 1. Channel 2 + -- values are mixed around here in order for the same register settings to work for + -- Channel 1 and Channel 2, even though Ch2 switch configuration is different in HW. + aCh1LedTx <= lTxCh1(kCh1TxLed); + aCh1TxPaEn <= lTxCh1(kCh1TxPaEn); + aCh1TxAmpEn <= lTxCh1(kCh1TxAmpEn); + aCh1TxMixerEn <= lTxCh1(kCh1TxMixerEn); + aCh1TxSw1 <= lTxCh1(kCh1TxSw1Msb downto kCh1TxSw1); + aCh1TxSw2 <= lTxCh1(kCh1TxSw2Msb downto kCh1TxSw2); + aCh1TxSw3 <= lTxCh1(kCh1TxSw3); + aCh1TxSw4 <= "01" when lTxCh1(kCh1TxLowbandMixerPathSelect) = '1' else "10"; + aCh1TxSw5 <= "10" when lTxCh1(kCh1TxLowbandMixerPathSelect) = '1' else "01"; + aCh1SwTrx <= lTxCh1(kCh1SwTrxMsb downto kCh1SwTrx); + aMkTx1En <= lTxCh1(kCh1MykEnTx); + + aCh2LedTx <= lTxCh2(kCh1TxLed); + aCh2TxPaEn <= lTxCh2(kCh1TxPaEn); + aCh2TxAmpEn <= lTxCh2(kCh1TxAmpEn); + aCh2TxMixerEn <= lTxCh2(kCh1TxMixerEn); + aCh2TxSw1 <= lTxCh2(kCh1TxSw1Msb downto kCh1TxSw1); + aCh2TxSw2 <= Tx2Switch2Mod(lTxCh2(kCh1TxSw2Msb downto kCh1TxSw2)); + aCh2TxSw3 <= lTxCh2(kCh1TxSw3); + aCh2TxSw4 <= "10" when lTxCh2(kCh1TxLowbandMixerPathSelect) = '1' else "01"; + aCh2TxSw5 <= "01" when lTxCh2(kCh1TxLowbandMixerPathSelect) = '1' else "10"; + aCh2SwTrx <= Tx2TrxMod(lTxCh2(kCh1SwTrxMsb downto kCh1SwTrx)); + aMkTx2En <= lTxCh2(kCh1MykEnTx); + + aCh1RxSw1 <= lRxCh1_0(kCh1RxSw1Msb downto kCh1RxSw1); + aCh1RxSw2 <= lRxCh1_0(kCh1RxSw2Msb downto kCh1RxSw2); + aCh1RxSw3 <= lRxCh1_0(kCh1RxSw3Msb downto kCh1RxSw3); + aCh1RxSw4 <= lRxCh1_0(kCh1RxSw4Msb downto kCh1RxSw4); + aCh1RxSw5 <= lRxCh1_0(kCh1RxSw5Msb downto kCh1RxSw5); + aCh1RxSw6 <= lRxCh1_1(kCh1RxSw6Msb downto kCh1RxSw6); + aCh1RxSw7 <= "01" when lRxCh1_1(kCh1RxLowbandMixerPathSelect) = '1' else "10"; + aCh1RxSw8 <= "01" when lRxCh1_1(kCh1RxLowbandMixerPathSelect) = '1' else "10"; + aCh1LedRx <= lRxCh1_1(kCh1RxLed) and not lTxCh1(kCh1TxLed); + aCh1LedRx2 <= lRxCh1_1(kCh1Rx2Led); + aCh1RxAmpEn <= lRxCh1_1(kCh1RxAmpEn); + aCh1RxMixerEn <= lRxCh1_1(kCh1RxMixerEn); + aCh1RxLna1En <= lRxCh1_1(kCh1RxLna1En); + aCh1RxLna2En <= lRxCh1_1(kCh1RxLna2En); + aMkRx1En <= lRxCh1_1(kCh1MykEnRx); + + aCh2RxSw1 <= Rx2Switch1Mod(lRxCh2_0(kCh1RxSw1Msb downto kCh1RxSw1)); + aCh2RxSw2 <= Rx2Switch2Mod(lRxCh2_0(kCh1RxSw2Msb downto kCh1RxSw2)); + aCh2RxSw3 <= Rx2Switch3Mod(lRxCh2_0(kCh1RxSw3Msb downto kCh1RxSw3)); + aCh2RxSw4 <= Rx2Switch4Mod(lRxCh2_0(kCh1RxSw4Msb downto kCh1RxSw4)); + aCh2RxSw5 <= Rx2Switch5Mod(lRxCh2_0(kCh1RxSw5Msb downto kCh1RxSw5)); + aCh2RxSw6 <= Rx2Switch6Mod(lRxCh2_1(kCh1RxSw6Msb downto kCh1RxSw6)); + aCh2RxSw7 <= "10" when lRxCh2_1(kCh1RxLowbandMixerPathSelect) = '1' else "01"; + aCh2RxSw8 <= "10" when lRxCh2_1(kCh1RxLowbandMixerPathSelect) = '1' else "01"; + aCh2LedRx <= lRxCh2_1(kCh1RxLed) and not lTxCh2(kCh1TxLed); + aCh2LedRx2 <= lRxCh2_1(kCh1Rx2Led); + aCh2RxAmpEn <= lRxCh2_1(kCh1RxAmpEn); + aCh2RxMixerEn <= lRxCh2_1(kCh1RxMixerEn); + aCh2RxLna1En <= lRxCh2_1(kCh1RxLna1En); + aCh2RxLna2En <= lRxCh2_1(kCh1RxLna2En); + aMkRx2En <= lRxCh2_1(kCh1MykEnRx); + + +end RTL; + + + + +--XmlParse xml_on +-- +-- +-- +-- +-- +-- These registers are accessed via the PS SPI interface to the CPLD. They are all +-- internal to the CPLD. The SPI format is 24 bits total. On MOSI, shift (msb first) +-- Rd/!Wt | Addr(6:0) | Data(15:0) (lsb). The SPI clock {b}MUST{/b} idle LOW before +-- and after the transaction. CPOL=CPHA=0. To access these registers, use the chip +-- select line named "CPLD-PS-SPI-SLE-33" as an active-low select. +-- +-- +-- +-- +-- This register contains the device signature. +-- +-- +-- +-- Represents the product family name/number. This field reads back as +-- 0xCAFE. +-- +-- +-- +-- +-- +-- +-- This register contains the device revision numeric code. +-- +-- +-- +-- Contains minor revision code (0,1,2,...). +-- +-- +-- +-- +-- +-- +-- This register contains the major revision value. +-- +-- +-- +-- Contains major revision code. +-- +-- +-- +-- +-- +-- +-- Build code... right now it's the date it was built. LSB in this register. +-- +-- +-- +-- Contains build code hour code. +-- +-- +-- +-- +-- Contains build code day code. +-- +-- +-- +-- +-- +-- +-- Build code... right now it's the date it was built. MSB in this register. +-- +-- +-- +-- Contains build code month code. +-- +-- +-- +-- +-- Contains build code revision year code. +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- Contains scratch value for testing. The state of this register has +-- no effect on any other operation in the CPLD. +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- Asserting this bit resets all the CPLD logic. +-- This reset will return all registers on the PS SPI interface to their default +-- state! To use this reset correctly, first write CpldReset to '1', then write +-- it to '0'. Registers will be reset on the _falling_ edge of CpldReset. +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- Setting this bit to '0' will allow the Phase DAC to exclusively control the +-- VCXO voltage. Defaults to '1', which allows the Phase DAC to adjust the +-- voltage (but the LMK still has control as well). +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- Live lock detect status from the RX LO. +-- +-- +-- +-- +-- Live lock detect status from the TX LO. +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- Drives the Mykonos hard reset line. Defaults to de-asserted. Write a '1' to +-- assert the reset, and a '0' to de-assert. +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- These registers are accessed via the PL SPI interface to the CPLD. They are all +-- internal to the CPLD. The SPI format is 24 bits total. On MOSI, shift (msb first) +-- Rd/!Wt | Addr(6:0) | Data(15:0) (lsb). The SPI clock {b}MUST{/b} idle LOW before +-- and after the transaction. CPOL=CPHA=0. To access these registers, use the chip +-- select line named "CPLD-PL-SPI-LE-25" as an active-low select. {br}{br} +-- +-- The ATR bits ultimately control which of these registers actually control +-- the RF front end. +-- +-- +-- +-- +-- +-- Contains scratch value for testing. The state of this register has no effect +-- on any other operation in the CPLD. +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- Asserting this bit resets all the CPLD logic on the PL SPI interface. +-- This reset will return all registers to their default state! To use this +-- reset correctly, first write PlCpldReset to '1', then write it to '0'. +-- Registers will be reset on the _falling_ edge of PlCpldReset. +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- Load this register with the front-end configuration for channel TX 1 when the +-- ATR bits are configured: TX = 0, RX = don't-care. +-- +-- +-- +-- Controls Switch 1. Filter bank receive switch. +-- +-- +-- +-- +-- Controls Switch 2. Filter bank distribution switch. +-- +-- +-- +-- +-- Controls Switch 3. Bypasses the filter bank and PA, or doesn't. +-- +-- +-- +-- +-- Controls Switches 4 and 5. Write a '1' to select the Lowband Mixer path. +-- Writing '0' will select the bypass path around the mixer. Default is '0'. Note: +-- Individual control over these switches was removed as an optimization to +-- allow all TX controls to fit in one 16 bit register. +-- +-- +-- +-- +-- Write a '1' to enable the lowband mixer. Note that Ch1TxLowbandMixerPathSelect +-- must be properly configured to select the mixer path. +-- +-- +-- +-- +-- Write a '1' to enable the TX path Amp in between TX switches 3 and 4. The path +-- (from Mykonos) is: TxSw4 -> Amp -> DSA -> TxSw3. +-- +-- +-- +-- +-- Write a '1' to enable the TX path PA in between TX switches 2 and 3. +-- +-- +-- +-- +-- TRX switch control. +-- +-- +-- +-- +-- Red/Green combo LED for the TRX channel. +-- +-- +-- +-- +-- Drives the Mykonos input port TX1_ENABLE. +-- +-- +-- +-- +-- +-- +-- Load this register with the front-end configuration for channel TX 1 when the +-- ATR bits are configured: TX = 1, RX = don't-care. The bitfields are the same +-- as for the Tx1_Off register. +-- +-- +-- +-- +-- +-- Load this register with the front-end configuration for channel TX 2 when the +-- ATR bits are configured: TX = 0, RX = don't-care. The bitfields are the same +-- as for the Tx1_Off register. +-- +-- +-- +-- +-- +-- Load this register with the front-end configuration for channel TX 2 when the +-- ATR bits are configured: TX = 1, RX = don't-care. The bitfields are the same +-- as for the Tx1_Off register. +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- Load this register with the front-end configuration for channel RX 1 when the +-- ATR bits are configured: TX = don't-care, RX = 0. +-- +-- +-- +-- Controls Switch 1. Selects between the cal, bypass, RX2, and TRX paths. +-- +-- +-- +-- +-- Controls Switch 2. First filter switch. Selects between bypass path and +-- the upper/lower filter banks. +-- +-- +-- +-- +-- Controls Switch 3. Lower filter bank transmit switch. +-- +-- +-- +-- +-- Controls Switch 4. Upper filter bank receive switch. +-- +-- +-- +-- +-- Controls Switch 5. Lower filter bank receive switch. +-- +-- +-- +-- +-- +-- +-- Load this register with the front-end configuration for channel RX 1 when the +-- ATR bits are configured: TX = don't-care, RX = 0. +-- +-- +-- +-- Controls Switch 6. Selects between the upper and lower filter banks and +-- bypass path. +-- +-- +-- +-- +-- Controls Switches 7 and 8. Write a '1' to select the Lowband Mixer path. +-- Writing '0' will select the bypass path around the mixer. Default is '0'. Note: +-- Individual control over these switches was removed as an optimization to +-- allow all TX controls to fit in one 16 bit register... so the same was done +-- for the RX path for continuity. +-- +-- +-- +-- +-- Write a '1' to enable the lowband mixer. Note that Ch1RxLowbandMixerPathSelect +-- must be properly configured to select the mixer path. +-- +-- +-- +-- +-- Write a '1' to enable the RX path Amp directly before the Mykonos inputs. +-- +-- +-- +-- +-- Write a '1' to enable the RX path LNA1 between RxSw4 and RxSw6. +-- +-- +-- +-- +-- Write a '1' to enable the RX path LNA2 between RxSw5 and RxSw6. +-- +-- +-- +-- +-- Green LED for RX2 channel. +-- +-- +-- +-- +-- Red/Green combo LED for the TRX channel. +-- +-- +-- +-- +-- Drives the Mykonos input port RX1_ENABLE. +-- +-- +-- +-- +-- +-- +-- Load this register with the front-end configuration for channel RX 1 when the +-- ATR bits are configured: TX = don't-care, RX = 1. The bitfields are the same +-- as for the RxCh1_0_Idle register. +-- +-- +-- +-- +-- +-- Load this register with the front-end configuration for channel RX 1 when the +-- ATR bits are configured: TX = don't-care, RX = 1. The bitfields are the same +-- as for the RxCh1_1_Idle register. +-- +-- +-- +-- +-- +-- Load this register with the front-end configuration for channel RX 2 when the +-- ATR bits are configured: TX = don't-care, RX = 0. The bitfields are the same +-- as for the RxCh1_0_Idle register. +-- +-- +-- +-- +-- +-- Load this register with the front-end configuration for channel RX 2 when the +-- ATR bits are configured: TX = don't-care, RX = 0. The bitfields are the same +-- as for the RxCh1_1_Idle register. +-- +-- +-- +-- +-- +-- Load this register with the front-end configuration for channel RX 2 when the +-- ATR bits are configured: TX = don't-care, RX = 1. The bitfields are the same +-- as for the RxCh1_0_Idle register. +-- +-- +-- +-- +-- +-- Load this register with the front-end configuration for channel RX 2 when the +-- ATR bits are configured: TX = don't-care, RX = 1. The bitfields are the same +-- as for the RxCh1_1_Idle register. +-- +-- +-- +-- +-- +-- +--XmlParse xml_off + + + diff --git a/fpga/usrp3/top/n3xx/dboards/mg/db0_pins.xdc b/fpga/usrp3/top/n3xx/dboards/mg/db0_pins.xdc new file mode 100644 index 000000000..b89183a2e --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/mg/db0_pins.xdc @@ -0,0 +1,156 @@ +# +# Copyright 2017 Ettus Research, A National Instruments Company +# SPDX-License-Identifier: LGPL-3.0 +# +# Daughterboard Pin Definitions for the N310. +# + +## TDC : ################################################################################ +## Bank 10, 2.5V (DB A) +######################################################################################### + +set_property PACKAGE_PIN AB15 [get_ports {UNUSED_PIN_TDCA_0}] +set_property PACKAGE_PIN AB14 [get_ports {UNUSED_PIN_TDCA_1}] +set_property PACKAGE_PIN AB16 [get_ports {UNUSED_PIN_TDCA_2}] +set_property PACKAGE_PIN AB17 [get_ports {UNUSED_PIN_TDCA_3}] +set_property IOSTANDARD LVCMOS25 [get_ports {UNUSED_PIN_TDCA_*}] +set_property IOB TRUE [get_ports {UNUSED_PIN_TDCA_*}] + +## USRP IO A : ########################################################################## +## Banks 10/33 +######################################################################################### + +## HP GPIO, Bank 33, 1.8V + +set_property PACKAGE_PIN G1 [get_ports {DBA_CPLD_PS_SPI_LE}] +set_property PACKAGE_PIN H2 [get_ports {DBA_CPLD_PS_SPI_SCLK}] +set_property PACKAGE_PIN D1 [get_ports {DBA_CH1_TX_DSA_DATA[5]}] +# set_property PACKAGE_PIN E1 [get_ports {nc}] +set_property PACKAGE_PIN H1 [get_ports {DBA_CPLD_PS_SPI_ADDR[0]}] +set_property PACKAGE_PIN J1 [get_ports {DBA_CPLD_PS_SPI_ADDR[1]}] +set_property PACKAGE_PIN A5 [get_ports {DBA_CH1_TX_DSA_DATA[3]}] +set_property PACKAGE_PIN A4 [get_ports {DBA_CH1_TX_DSA_DATA[4]}] +set_property PACKAGE_PIN F5 [get_ports {DBA_CPLD_PS_SPI_SDO}] +set_property PACKAGE_PIN E5 [get_ports {DBA_CPLD_PS_SPI_SDI}] +set_property PACKAGE_PIN E3 [get_ports {DBA_CH1_RX_DSA_DATA[0]}] +set_property PACKAGE_PIN E2 [get_ports {DBA_CH1_RX_DSA_DATA[1]}] +set_property PACKAGE_PIN A3 [get_ports {DBA_CH1_TX_DSA_DATA[2]}] +set_property PACKAGE_PIN A2 [get_ports {DBA_CH1_TX_DSA_DATA[1]}] +set_property PACKAGE_PIN K1 [get_ports {DBA_ATR_RX_1}] +set_property PACKAGE_PIN L1 [get_ports {DBA_ATR_TX_2}] +set_property PACKAGE_PIN C4 [get_ports {DBA_CH1_TX_DSA_DATA[0]}] +set_property PACKAGE_PIN C3 [get_ports {DBA_CH1_RX_DSA_DATA[5]}] +set_property PACKAGE_PIN F4 [get_ports {DBA_ATR_TX_1}] +set_property PACKAGE_PIN F3 [get_ports {DBA_ATR_RX_2}] +# set_property PACKAGE_PIN B1 [get_ports {nc}] +set_property PACKAGE_PIN B2 [get_ports {DBA_CH1_RX_DSA_DATA[3]}] +set_property PACKAGE_PIN C1 [get_ports {DBA_CH1_RX_DSA_DATA[4]}] +set_property PACKAGE_PIN C2 [get_ports {DBA_CH1_RX_DSA_DATA[2]}] + +## HR GPIO, Bank 10, 2.5V + +set_property PACKAGE_PIN AG12 [get_ports {DBA_MYK_SYNC_IN_n}] +set_property PACKAGE_PIN AH12 [get_ports {DBA_CPLD_PL_SPI_ADDR[0]}] +set_property PACKAGE_PIN AJ13 [get_ports {DBA_MYK_SPI_SDO}] +set_property PACKAGE_PIN AJ14 [get_ports {DBA_MYK_SPI_SDIO}] +set_property PACKAGE_PIN AG15 [get_ports {DBA_CPLD_PL_SPI_ADDR[1]}] +set_property PACKAGE_PIN AF15 [get_ports {DBA_CH2_TX_DSA_DATA[5]}] +set_property PACKAGE_PIN AH13 [get_ports {DBA_CPLD_JTAG_TDI}] +set_property PACKAGE_PIN AH14 [get_ports {DBA_CPLD_JTAG_TDO}] +set_property PACKAGE_PIN AK15 [get_ports {DBA_MYK_GPIO_1}] +set_property PACKAGE_PIN AJ15 [get_ports {DBA_MYK_GPIO_4}] +set_property PACKAGE_PIN AH16 [get_ports {DBA_CH2_TX_DSA_DATA[4]}] +set_property PACKAGE_PIN AH17 [get_ports {DBA_CH2_TX_DSA_DATA[3]}] +set_property PACKAGE_PIN AE12 [get_ports {DBA_MYK_SYNC_OUT_n}] +set_property PACKAGE_PIN AF12 [get_ports {DBA_CPLD_PL_SPI_SDO}] +set_property PACKAGE_PIN AK12 [get_ports {DBA_MYK_GPIO_13}] +set_property PACKAGE_PIN AK13 [get_ports {DBA_MYK_GPIO_0}] +set_property PACKAGE_PIN AK16 [get_ports {DBA_MYK_INTRQ}] +set_property PACKAGE_PIN AJ16 [get_ports {DBA_CH2_TX_DSA_DATA[2]}] +set_property PACKAGE_PIN AH18 [get_ports {DBA_CH2_TX_DSA_DATA[0]}] +set_property PACKAGE_PIN AJ18 [get_ports {DBA_CH2_TX_DSA_DATA[1]}] +set_property PACKAGE_PIN AF14 [get_ports {DBA_FPGA_CLK_P}] +set_property PACKAGE_PIN AG14 [get_ports {DBA_FPGA_CLK_N}] +set_property PACKAGE_PIN AG17 [get_ports {DBA_FPGA_SYSREF_P}] +set_property PACKAGE_PIN AG16 [get_ports {DBA_FPGA_SYSREF_N}] +set_property PACKAGE_PIN AD15 [get_ports {DBA_CH2_RX_DSA_DATA[3]}] +set_property PACKAGE_PIN AD16 [get_ports {DBA_CH2_RX_DSA_DATA[5]}] +set_property PACKAGE_PIN AE13 [get_ports {DBA_CPLD_JTAG_TMS}] +set_property PACKAGE_PIN AF13 [get_ports {DBA_CPLD_JTAG_TCK}] +set_property PACKAGE_PIN AE15 [get_ports {DBA_MYK_GPIO_15}] +set_property PACKAGE_PIN AE16 [get_ports {DBA_MYK_SPI_CS_n}] +set_property PACKAGE_PIN AF17 [get_ports {DBA_CH2_RX_DSA_DATA[1]}] +set_property PACKAGE_PIN AF18 [get_ports {DBA_CH2_RX_DSA_DATA[2]}] +set_property PACKAGE_PIN AC16 [get_ports {DBA_CPLD_PL_SPI_LE}] +set_property PACKAGE_PIN AC17 [get_ports {DBA_CPLD_PL_SPI_SDI}] +set_property PACKAGE_PIN AD13 [get_ports {DBA_MYK_GPIO_12}] +set_property PACKAGE_PIN AD14 [get_ports {DBA_MYK_GPIO_14}] +set_property PACKAGE_PIN AE17 [get_ports {DBA_MYK_SPI_SCLK}] +set_property PACKAGE_PIN AE18 [get_ports {DBA_MYK_GPIO_3}] +set_property PACKAGE_PIN AB12 [get_ports {DBA_CH2_RX_DSA_DATA[0]}] +set_property PACKAGE_PIN AC12 [get_ports {DBA_CH2_RX_DSA_DATA[4]}] +set_property PACKAGE_PIN AC13 [get_ports {DBA_CPLD_PL_SPI_ADDR[2]}] +set_property PACKAGE_PIN AC14 [get_ports {DBA_CPLD_PL_SPI_SCLK}] + +# set_property PACKAGE_PIN AB25 [get_ports {DBA_SWITCHER_CLOCK}] +# set_property IOSTANDARD LVCMOS33 [get_ports {DBA_SWITCHER_CLOCK}] +# set_property DRIVE 4 [get_ports {DBA_SWITCHER_CLOCK}] +# set_property SLEW SLOW [get_ports {DBA_SWITCHER_CLOCK}] + +# During SI measurements with default drive strength, many of the FPGA-driven lines to +# the DB were showing high over/undershoot. Therefore for single-ended lines to the DBs +# we are decreasing the drive strength to the minimum value (4mA) and explicitly +# declaring the (default) slew rate as SLOW. + +set UsrpIoAHpPinsSe [get_ports {DBA_CPLD_PS_* \ + DBA_CH1_* \ + DBA_ATR*}] +set_property IOSTANDARD LVCMOS18 $UsrpIoAHpPinsSe +set_property DRIVE 4 $UsrpIoAHpPinsSe +set_property SLEW SLOW $UsrpIoAHpPinsSe + +set UsrpIoAHrPinsSe [get_ports {DBA_MYK_SPI_* \ + DBA_MYK_INTRQ \ + DBA_MYK_SYNC* \ + DBA_MYK_GPIO* \ + DBA_CPLD_PL_* \ + DBA_CPLD_JTAG_* \ + DBA_CH2*}] +set_property IOSTANDARD LVCMOS25 $UsrpIoAHrPinsSe +set_property DRIVE 4 $UsrpIoAHrPinsSe +set_property SLEW SLOW $UsrpIoAHrPinsSe + +set UsrpIoAHrPinsDiff [get_ports {DBA_FPGA_CLK_* \ + DBA_FPGA_SYSREF_*}] +set_property IOSTANDARD LVDS_25 $UsrpIoAHrPinsDiff +set_property DIFF_TERM TRUE $UsrpIoAHrPinsDiff + +# Do not allow the DSA lines to float... give them a weak pull if undriven. +set_property PULLUP TRUE [get_ports {DBA_CH*_*X_DSA_DATA[*]}] + + +### MGTs, Bank 112 + +set_property PACKAGE_PIN N8 [get_ports {USRPIO_A_MGTCLK_P}] +set_property PACKAGE_PIN N7 [get_ports {USRPIO_A_MGTCLK_N}] + +# This mapping uses the TX pins as the "master" and mimics RX off of them so Vivado +# places the transceivers in the correct places. The mixup in lanes is accounted for +# in the Mykonos lane crossbar settings. +set_property PACKAGE_PIN V6 [get_ports {USRPIO_A_RX_P[0]}] +set_property PACKAGE_PIN V5 [get_ports {USRPIO_A_RX_N[0]}] +set_property PACKAGE_PIN U4 [get_ports {USRPIO_A_RX_P[1]}] +set_property PACKAGE_PIN U3 [get_ports {USRPIO_A_RX_N[1]}] +set_property PACKAGE_PIN T6 [get_ports {USRPIO_A_RX_P[2]}] +set_property PACKAGE_PIN T5 [get_ports {USRPIO_A_RX_N[2]}] +set_property PACKAGE_PIN P6 [get_ports {USRPIO_A_RX_P[3]}] +set_property PACKAGE_PIN P5 [get_ports {USRPIO_A_RX_N[3]}] + +set_property PACKAGE_PIN T2 [get_ports {USRPIO_A_TX_P[0]}] +set_property PACKAGE_PIN T1 [get_ports {USRPIO_A_TX_N[0]}] +set_property PACKAGE_PIN R4 [get_ports {USRPIO_A_TX_P[1]}] +set_property PACKAGE_PIN R3 [get_ports {USRPIO_A_TX_N[1]}] +set_property PACKAGE_PIN P2 [get_ports {USRPIO_A_TX_P[2]}] +set_property PACKAGE_PIN P1 [get_ports {USRPIO_A_TX_N[2]}] +set_property PACKAGE_PIN N4 [get_ports {USRPIO_A_TX_P[3]}] +set_property PACKAGE_PIN N3 [get_ports {USRPIO_A_TX_N[3]}] diff --git a/fpga/usrp3/top/n3xx/dboards/mg/db1_pins.xdc b/fpga/usrp3/top/n3xx/dboards/mg/db1_pins.xdc new file mode 100644 index 000000000..f95051ba7 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/mg/db1_pins.xdc @@ -0,0 +1,156 @@ +# +# Copyright 2017 Ettus Research, A National Instruments Company +# SPDX-License-Identifier: LGPL-3.0 +# +# Daughterboard Pin Definitions for the N310. +# + +## TDC : ################################################################################ +## Bank 11, 2.5V (DB B) +######################################################################################### + +set_property PACKAGE_PIN W21 [get_ports {UNUSED_PIN_TDCB_0}] +set_property PACKAGE_PIN Y21 [get_ports {UNUSED_PIN_TDCB_1}] +set_property PACKAGE_PIN Y22 [get_ports {UNUSED_PIN_TDCB_2}] +set_property PACKAGE_PIN Y23 [get_ports {UNUSED_PIN_TDCB_3}] +set_property IOSTANDARD LVCMOS25 [get_ports {UNUSED_PIN_TDCB_*}] +set_property IOB TRUE [get_ports {UNUSED_PIN_TDCB_*}] + +### USRP IO B : ######################################################################### +## Bank 11/33 +######################################################################################### + +## HP GPIO, Bank 33, 1.8V + +set_property PACKAGE_PIN J4 [get_ports {DBB_CPLD_PS_SPI_LE}] +set_property PACKAGE_PIN J3 [get_ports {DBB_CPLD_PS_SPI_SCLK}] +set_property PACKAGE_PIN D4 [get_ports {DBB_CH1_TX_DSA_DATA[5]}] +# set_property PACKAGE_PIN D3 [get_ports {nc}] +set_property PACKAGE_PIN K2 [get_ports {DBB_CPLD_PS_SPI_ADDR[0]}] +set_property PACKAGE_PIN K3 [get_ports {DBB_CPLD_PS_SPI_ADDR[1]}] +set_property PACKAGE_PIN B5 [get_ports {DBB_CH1_TX_DSA_DATA[3]}] +set_property PACKAGE_PIN B4 [get_ports {DBB_CH1_TX_DSA_DATA[4]}] +set_property PACKAGE_PIN G5 [get_ports {DBB_CPLD_PS_SPI_SDO}] +set_property PACKAGE_PIN G4 [get_ports {DBB_CPLD_PS_SPI_SDI}] +set_property PACKAGE_PIN J5 [get_ports {DBB_CH1_RX_DSA_DATA[0]}] +set_property PACKAGE_PIN K5 [get_ports {DBB_CH1_RX_DSA_DATA[1]}] +set_property PACKAGE_PIN D5 [get_ports {DBB_CH1_TX_DSA_DATA[2]}] +set_property PACKAGE_PIN E6 [get_ports {DBB_CH1_TX_DSA_DATA[1]}] +set_property PACKAGE_PIN L3 [get_ports {DBB_ATR_RX_1}] +set_property PACKAGE_PIN L2 [get_ports {DBB_ATR_TX_2}] +set_property PACKAGE_PIN G6 [get_ports {DBB_CH1_TX_DSA_DATA[0]}] +set_property PACKAGE_PIN H6 [get_ports {DBB_CH1_RX_DSA_DATA[5]}] +set_property PACKAGE_PIN H4 [get_ports {DBB_ATR_TX_1}] +set_property PACKAGE_PIN H3 [get_ports {DBB_ATR_RX_2}] +# set_property PACKAGE_PIN F2 [get_ports {nc}] +set_property PACKAGE_PIN G2 [get_ports {DBB_CH1_RX_DSA_DATA[3]}] +set_property PACKAGE_PIN J6 [get_ports {DBB_CH1_RX_DSA_DATA[4]}] +set_property PACKAGE_PIN K6 [get_ports {DBB_CH1_RX_DSA_DATA[2]}] + +## HR GPIO, Bank 10, 2.5V + +set_property PACKAGE_PIN AK17 [get_ports {DBB_MYK_SYNC_IN_n}] +set_property PACKAGE_PIN AK18 [get_ports {DBB_CPLD_PL_SPI_ADDR[0]}] +set_property PACKAGE_PIN AK21 [get_ports {DBB_MYK_SPI_SDO}] +set_property PACKAGE_PIN AJ21 [get_ports {DBB_MYK_SPI_SDIO}] +set_property PACKAGE_PIN AF19 [get_ports {DBB_CPLD_PL_SPI_ADDR[1]}] +set_property PACKAGE_PIN AG19 [get_ports {DBB_CH2_TX_DSA_DATA[5]}] +set_property PACKAGE_PIN AH19 [get_ports {DBB_CPLD_JTAG_TDI}] +set_property PACKAGE_PIN AJ19 [get_ports {DBB_CPLD_JTAG_TDO}] +set_property PACKAGE_PIN AK22 [get_ports {DBB_MYK_GPIO_1}] +set_property PACKAGE_PIN AK23 [get_ports {DBB_MYK_GPIO_4}] +set_property PACKAGE_PIN AF20 [get_ports {DBB_CH2_TX_DSA_DATA[4]}] +set_property PACKAGE_PIN AG20 [get_ports {DBB_CH2_TX_DSA_DATA[3]}] +set_property PACKAGE_PIN AF23 [get_ports {DBB_MYK_SYNC_OUT_n}] +set_property PACKAGE_PIN AF24 [get_ports {DBB_CPLD_PL_SPI_SDO}] +set_property PACKAGE_PIN AK20 [get_ports {DBB_MYK_GPIO_13}] +set_property PACKAGE_PIN AJ20 [get_ports {DBB_MYK_GPIO_0}] +set_property PACKAGE_PIN AJ23 [get_ports {DBB_MYK_INTRQ}] +set_property PACKAGE_PIN AJ24 [get_ports {DBB_CH2_TX_DSA_DATA[2]}] +set_property PACKAGE_PIN AG24 [get_ports {DBB_CH2_TX_DSA_DATA[0]}] +set_property PACKAGE_PIN AG25 [get_ports {DBB_CH2_TX_DSA_DATA[1]}] +set_property PACKAGE_PIN AG21 [get_ports {DBB_FPGA_CLK_P}] +set_property PACKAGE_PIN AH21 [get_ports {DBB_FPGA_CLK_N}] +set_property PACKAGE_PIN AE22 [get_ports {DBB_FPGA_SYSREF_P}] +set_property PACKAGE_PIN AF22 [get_ports {DBB_FPGA_SYSREF_N}] +set_property PACKAGE_PIN AJ25 [get_ports {DBB_CH2_RX_DSA_DATA[3]}] +set_property PACKAGE_PIN AK25 [get_ports {DBB_CH2_RX_DSA_DATA[5]}] +set_property PACKAGE_PIN AB21 [get_ports {DBB_CPLD_JTAG_TMS}] +set_property PACKAGE_PIN AB22 [get_ports {DBB_CPLD_JTAG_TCK}] +set_property PACKAGE_PIN AD23 [get_ports {DBB_MYK_GPIO_15}] +set_property PACKAGE_PIN AE23 [get_ports {DBB_MYK_SPI_CS_n}] +set_property PACKAGE_PIN AB24 [get_ports {DBB_CH2_RX_DSA_DATA[1]}] +set_property PACKAGE_PIN AA24 [get_ports {DBB_CH2_RX_DSA_DATA[2]}] +set_property PACKAGE_PIN AG22 [get_ports {DBB_CPLD_PL_SPI_LE}] +set_property PACKAGE_PIN AH22 [get_ports {DBB_CPLD_PL_SPI_SDI}] +set_property PACKAGE_PIN AD21 [get_ports {DBB_MYK_GPIO_12}] +set_property PACKAGE_PIN AE21 [get_ports {DBB_MYK_GPIO_14}] +set_property PACKAGE_PIN AC22 [get_ports {DBB_MYK_SPI_SCLK}] +set_property PACKAGE_PIN AC23 [get_ports {DBB_MYK_GPIO_3}] +set_property PACKAGE_PIN AC24 [get_ports {DBB_CH2_RX_DSA_DATA[0]}] +set_property PACKAGE_PIN AD24 [get_ports {DBB_CH2_RX_DSA_DATA[4]}] +set_property PACKAGE_PIN AH23 [get_ports {DBB_CPLD_PL_SPI_ADDR[2]}] +set_property PACKAGE_PIN AH24 [get_ports {DBB_CPLD_PL_SPI_SCLK}] + +# set_property PACKAGE_PIN AA25 [get_ports DBB_SWITCHER_CLOCK] +# set_property IOSTANDARD LVCMOS33 [get_ports DBB_SWITCHER_CLOCK] +# set_property DRIVE 4 [get_ports DBB_SWITCHER_CLOCK] +# set_property SLEW SLOW [get_ports DBB_SWITCHER_CLOCK] + +# During SI measurements with default drive strength, many of the FPGA-driven lines to +# the DB were showing high over/undershoot. Therefore for single-ended lines to the DBs +# we are decreasing the drive strength to the minimum value (4mA) and explicitly +# declaring the (default) slew rate as SLOW. + +set UsrpIoBHpPinsSe [get_ports {DBB_CPLD_PS_* \ + DBB_CH1_* \ + DBB_ATR*}] +set_property IOSTANDARD LVCMOS18 $UsrpIoBHpPinsSe +set_property DRIVE 4 $UsrpIoBHpPinsSe +set_property SLEW SLOW $UsrpIoBHpPinsSe + +set UsrpIoBHrPinsSe [get_ports {DBB_MYK_SPI_* \ + DBB_MYK_INTRQ \ + DBB_MYK_SYNC* \ + DBB_MYK_GPIO* \ + DBB_CPLD_PL_* \ + DBB_CPLD_JTAG_* \ + DBB_CH2*}] +set_property IOSTANDARD LVCMOS25 $UsrpIoBHrPinsSe +set_property DRIVE 4 $UsrpIoBHrPinsSe +set_property SLEW SLOW $UsrpIoBHrPinsSe + +set UsrpIoBHrPinsDiff [get_ports {DBB_FPGA_CLK_* \ + DBB_FPGA_SYSREF_*}] +set_property IOSTANDARD LVDS_25 $UsrpIoBHrPinsDiff +set_property DIFF_TERM TRUE $UsrpIoBHrPinsDiff + +# Do not allow the DSA lines to float... give them a weak pull if undriven. +set_property PULLUP TRUE [get_ports {DBB_CH*_*X_DSA_DATA[*]}] + + +### MGTs, Bank 112 + +set_property PACKAGE_PIN W8 [get_ports {USRPIO_B_MGTCLK_P}] +set_property PACKAGE_PIN W7 [get_ports {USRPIO_B_MGTCLK_N}] + +# This mapping uses the TX pins as the "master" and mimics RX off of them so Vivado +# places the transceivers in the correct places. The mixup in lanes is accounted for +# in the Mykonos lane crossbar settings. +set_property PACKAGE_PIN AC4 [get_ports {USRPIO_B_RX_P[0]}] +set_property PACKAGE_PIN AC3 [get_ports {USRPIO_B_RX_N[0]}] +set_property PACKAGE_PIN AB6 [get_ports {USRPIO_B_RX_P[1]}] +set_property PACKAGE_PIN AB5 [get_ports {USRPIO_B_RX_N[1]}] +set_property PACKAGE_PIN Y6 [get_ports {USRPIO_B_RX_P[2]}] +set_property PACKAGE_PIN Y5 [get_ports {USRPIO_B_RX_N[2]}] +set_property PACKAGE_PIN AA4 [get_ports {USRPIO_B_RX_P[3]}] +set_property PACKAGE_PIN AA3 [get_ports {USRPIO_B_RX_N[3]}] + +set_property PACKAGE_PIN AB2 [get_ports {USRPIO_B_TX_P[0]}] +set_property PACKAGE_PIN AB1 [get_ports {USRPIO_B_TX_N[0]}] +set_property PACKAGE_PIN Y2 [get_ports {USRPIO_B_TX_P[1]}] +set_property PACKAGE_PIN Y1 [get_ports {USRPIO_B_TX_N[1]}] +set_property PACKAGE_PIN W4 [get_ports {USRPIO_B_TX_P[2]}] +set_property PACKAGE_PIN W3 [get_ports {USRPIO_B_TX_N[2]}] +set_property PACKAGE_PIN V2 [get_ports {USRPIO_B_TX_P[3]}] +set_property PACKAGE_PIN V1 [get_ports {USRPIO_B_TX_N[3]}] diff --git a/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/ClockingRegs.vhd b/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/ClockingRegs.vhd new file mode 100644 index 000000000..ffaa64f68 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/ClockingRegs.vhd @@ -0,0 +1,345 @@ +------------------------------------------------------------------------------- +-- +-- File: ClockingRegs.vhd +-- Author: Daniel Jepson +-- Original Project: N310 +-- Date: 17 March 2016 +-- +------------------------------------------------------------------------------- +-- Copyright 2016-2018 Ettus Research, A National Instruments Company +-- SPDX-License-Identifier: LGPL-3.0 +------------------------------------------------------------------------------- +-- +-- Purpose: +-- +-- Register access to the control/status bits and interfaces for the +-- RadioClocking module. +-- +-- XML register definition is included below the module. +-- +------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + +library work; + use work.PkgClockingRegMap.all; + use work.PkgRegs.all; + + +entity ClockingRegs is + port( + -- Async reset. Can be tied low if desired. + aReset : in boolean; + -- Sync reset... used in the same places as the async one. + bReset : in boolean; + -- Register Bus Clock -- this module connects the BusClk to PsClk, so it's limited + -- to 200 MHz! + BusClk : in std_logic; + + bRegPortOut : out RegPortOut_t; + bRegPortIn : in RegPortIn_t; + + -- Phase shift interface to the RadioClkMmcm. + -- There is a reset crossing here between the MMCM reset and aReset. The outgoing + -- crossing is safe because (a) the enable signal driven to the MMCM is a strobe-only + -- signal and (b) this interface should only be used when the MMCM is not in reset + -- (SW waits for the MMCM to be out of reset and locked before using this interface). + -- The only input signal, pPsDone, is double-synced in this file before being used. + -- This is OK (even though it is a strobe signal) because there is only a reset + -- crossing and not a clock domain crossing. + pPsInc : out std_logic; + pPsEn : out std_logic; + pPsDone : in std_logic; + + -- PsClk is driven directly by BusClk, so p = b in the logic below! + PsClk : out std_logic; + + -- Sync reset strobes from the register bus to the RadioClkMmcm. + bRadioClkMmcmReset : out std_logic; + -- Status of RadioClk MMCM lock to register bus. + aRadioClksValid : in std_logic; + + bRadioClk1xEnabled : out std_logic; + bRadioClk2xEnabled : out std_logic; + bRadioClk3xEnabled : out std_logic; + + bJesdRefClkPresent : in std_logic + ); +end ClockingRegs; + + +architecture RTL of ClockingRegs is + + --vhook_sigstart + --vhook_sigend + + signal bRadioClkMmcmResetInt : std_logic := '1'; + + signal bRegPortOutLcl : RegPortOut_t := kRegPortOutZero; + + signal bPsDone, + bPsEn, + bPsInc, + pPsDoneDs_ms, + pPsDoneDs : std_logic := '0'; + + signal bRadioClk1xEnabledInt, + bRadioClk2xEnabledInt, + bRadioClk3xEnabledInt, + bRadioClksValid_ms, + bRadioClksValid : std_logic := '0'; + + attribute ASYNC_REG : string; + attribute ASYNC_REG of bRadioClksValid_ms : signal is "true"; + attribute ASYNC_REG of bRadioClksValid : signal is "true"; + attribute ASYNC_REG of pPsDoneDs_ms : signal is "true"; + attribute ASYNC_REG of pPsDoneDs : signal is "true"; + +begin + + -- Locals to outputs. + PsClk <= BusClk; + pPsInc <= bPsInc; + pPsEn <= bPsEn; + + bRadioClkMmcmReset <= bRadioClkMmcmResetInt; + + bRadioClk1xEnabled <= bRadioClk1xEnabledInt; + bRadioClk2xEnabled <= bRadioClk2xEnabledInt; + bRadioClk3xEnabled <= bRadioClk3xEnabledInt; + + + -- Write Registers : ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------ + WriteRegisters: process(aReset, BusClk) + begin + if aReset then + bRadioClkMmcmResetInt <= '1'; + bPsInc <= '0'; + bPsEn <= '0'; + bRadioClk1xEnabledInt <= '0'; + bRadioClk2xEnabledInt <= '0'; + bRadioClk3xEnabledInt <= '0'; + elsif rising_edge(BusClk) then + + if bReset then + bRadioClkMmcmResetInt <= '1'; + bPsInc <= '0'; + bPsEn <= '0'; + bRadioClk1xEnabledInt <= '0'; + bRadioClk2xEnabledInt <= '0'; + bRadioClk3xEnabledInt <= '0'; + else + -- Clear strobe + bPsEn <= '0'; + + if RegWrite(kPhaseShiftControl, bRegPortIn) then + if bRegPortIn.Data(kPsInc) = '1' then + bPsInc <= '1'; + bPsEn <= '1'; + elsif bRegPortIn.Data(kPsDec) = '1' then + bPsInc <= '0'; + bPsEn <= '1'; + end if; + end if; + + if RegWrite(kRadioClkMmcm, bRegPortIn) then + -- Set/Clear pair + if bRegPortIn.Data(kRadioClkMmcmResetSet) = '1' then + bRadioClkMmcmResetInt <= '1'; + elsif bRegPortIn.Data(kRadioClkMmcmResetClear) = '1' then + bRadioClkMmcmResetInt <= '0'; + end if; + end if; + + if RegWrite(kRadioClkEnables, bRegPortIn) then + bRadioClk1xEnabledInt <= bRegPortIn.Data(kRadioClk1xEnabled); + bRadioClk2xEnabledInt <= bRegPortIn.Data(kRadioClk2xEnabled); + bRadioClk3xEnabledInt <= bRegPortIn.Data(kRadioClk3xEnabled); + end if; + + end if; + end if; + end process WriteRegisters; + + + DoubleSyncs : process (aReset, BusClk) + begin + if aReset then + bRadioClksValid_ms <= '0'; + bRadioClksValid <= '0'; + pPsDoneDs_ms <= '0'; + pPsDoneDs <= '0'; + elsif rising_edge(BusClk) then + -- No sync reset on double-syncs (however there are default assignments above)! + bRadioClksValid_ms <= aRadioClksValid; + bRadioClksValid <= bRadioClksValid_ms; + pPsDoneDs_ms <= pPsDone; + pPsDoneDs <= pPsDoneDs_ms; + end if; + end process; + + + -- Read Registers : ------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------ + ReadRegisters: process(aReset, BusClk) + begin + if aReset then + bRegPortOutLcl <= kRegPortOutZero; + bPsDone <= '0'; + elsif rising_edge(BusClk) then + + if bReset then + bRegPortOutLcl <= kRegPortOutZero; + bPsDone <= '0'; + else + -- Deassert strobes + bRegPortOutLcl.Data <= kRegPortDataZero; + + -- All of these transactions only take one clock cycle, so we do not have to + -- de-assert the Ready signal (ever). + bRegPortOutLcl.Ready <= true; + + -- Process the returned data from the phase shifter in the MMCM. Note that even + -- though the prefixes are different (p and b), we drive the PsClk from the BusClk + -- so this "crossing" is actually safe. Whenever the Done signal asserts (pPsDone - + -- pay attention to the prefix!) from the MMCM, we set a sticky bit to tell SW + -- that the shift operation is complete. + -- + -- However, if pPsDone asserts at the same time that SW tries to read the register, + -- we should accurately report that the operation is indeed complete and then NOT + -- store the sticky (since it has already been read by SW). If a read does not come + -- through at the same time pPsDone is asserted, then we store the done state as a + -- sticky, bPsDone, which is only cleared by a read to this register. + if RegRead(kPhaseShiftControl, bRegPortIn) then + -- The phase shift is always enabled for the feedback clock in RadioClocking.vhd + bRegPortOutLcl.Data(kPsEnabledForFdbClk) <= '1'; + bRegPortOutLcl.Data(kPsDone) <= bPsDone or pPsDoneDs; + bPsDone <= '0'; + elsif pPsDoneDs = '1' then + bPsDone <= '1'; + end if; + + if RegRead(kRadioClkMmcm, bRegPortIn) then + bRegPortOutLcl.Data(kRadioClkMmcmLocked) <= bRadioClksValid; + end if; + + if RegRead(kRadioClkEnables, bRegPortIn) then + bRegPortOutLcl.Data(kRadioClk1xEnabled) <= bRadioClk1xEnabledInt; + bRegPortOutLcl.Data(kRadioClk2xEnabled) <= bRadioClk2xEnabledInt; + bRegPortOutLcl.Data(kRadioClk3xEnabled) <= bRadioClk3xEnabledInt; + end if; + + if RegRead(kMgtRefClkStatus, bRegPortIn) then + bRegPortOutLcl.Data(kJesdRefClkPresent) <= bJesdRefClkPresent; + end if; + + end if; + end if; + end process ReadRegisters; + + -- Local to output + bRegPortOut <= bRegPortOutLcl; + + +end RTL; + + +--XmlParse xml_on +-- +-- +-- +-- +-- +-- +-- +-- +-- Reflects the locked status of the MMCM. '1' = locked. This bit is only valid +-- when the MMCM reset is de-asserted. Read-only. +-- +-- +-- +-- +-- Controls the reset to the Radio Clock MMCM. Strobe this bit to de-assert the +-- reset to the MMCM. Default is reset asserted. Write-only. +-- +-- +-- +-- +-- Controls the reset to the Radio Clock MMCM. Strobe this bit to assert the +-- reset to the MMCM. Default is reset asserted. Write-only. +-- +-- +-- +-- +-- +-- +-- Phase Shift for RadioClkMmcm. +-- +-- +-- +-- This bit should set after a shift operation successfully completes. +-- Reading this register will clear this bit. Read-only. +-- +-- +-- +-- +-- Strobe this bit to increment the phase. This bit is self-clearing and will +-- always return '0' when read. If PsInc and PsDec are asserted together, +-- the phase will increment. +-- +-- +-- +-- +-- Strobe this bit to decrement the phase. This bit is self-clearing and will +-- always return '0' when read. If PsInc and PsDec are asserted together, +-- the phase will increment. +-- +-- +-- +-- +-- Read-only. +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- Set to '1' to enable the clock. Default disabled = '0'. +-- Do so ONLY after the MMCM is out of reset and locked! +-- +-- +-- +-- +-- Set to '1' to enable the clock. Default disabled = '0'. +-- Do so ONLY after the MMCM is out of reset and locked! +-- +-- +-- +-- +-- Set to '1' to enable the clock. Default disabled = '0'. +-- Do so ONLY after the MMCM is out of reset and locked! +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- Live indicator of the MGT Reference Clock toggling and within expected +-- frequency limits. If this bit is de-asserted, then the JESD204b core will +-- not function correctly! +-- +-- +-- +-- +-- +-- +-- +--XmlParse xml_off diff --git a/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/DaughterboardRegs.vhd b/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/DaughterboardRegs.vhd new file mode 100644 index 000000000..7f8ef388a --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/DaughterboardRegs.vhd @@ -0,0 +1,116 @@ +------------------------------------------------------------------------------- +-- +-- File: DaughterboardRegs.vhd +-- Author: Daniel Jepson +-- Original Project: N310 +-- Date: 27 April 2016 +-- +------------------------------------------------------------------------------- +-- Copyright 2016-2018 Ettus Research, A National Instruments Company +-- SPDX-License-Identifier: LGPL-3.0 +------------------------------------------------------------------------------- +-- +-- Purpose: +-- +-- Register interface to the semi-static control lines for the Mg +-- Daughterboard. +-- +-- XML register definition is included below the module. +-- +------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + +library work; + use work.PkgDaughterboardRegMap.all; + use work.PkgRegs.all; + + +entity DaughterboardRegs is + port( + -- Async reset. Can be tied low if desired. + aReset : in boolean; + -- Sync reset... used in the same places as the async one. + bReset : in boolean; + BusClk : in std_logic; + + bRegPortOut : out RegPortOut_t; + bRegPortIn : in RegPortIn_t; + + -- Slot and DB ID values. These should be tied to constants! + kDbId : in std_logic_vector(15 downto 0); + kSlotId : in std_logic + + ); +end DaughterboardRegs; + + +architecture RTL of DaughterboardRegs is + + --vhook_sigstart + --vhook_sigend + + signal bRegPortOutLcl : RegPortOut_t := kRegPortOutZero; + +begin + + + -- Read Registers : ------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------ + ReadRegisters: process(aReset, BusClk) + begin + if aReset then + bRegPortOutLcl <= kRegPortOutZero; + elsif rising_edge(BusClk) then + if bReset then + bRegPortOutLcl <= kRegPortOutZero; + else + -- De-assert strobes + bRegPortOutLcl.Data <= kRegPortDataZero; + + -- All of these transactions only take one clock cycle, so we do not have to + -- de-assert the Ready signal (ever). + bRegPortOutLcl.Ready <= true; + + if RegRead(kDaughterboardId, bRegPortIn) then + bRegPortOutLcl.Data(kDbIdValMsb downto kDbIdVal) <= kDbId; + bRegPortOutLcl.Data(kSlotIdVal) <= kSlotId; + end if; + + end if; + end if; + end process ReadRegisters; + + -- Local to output + bRegPortOut <= bRegPortOutLcl; + + +end RTL; + + +--XmlParse xml_on +-- +-- +-- +-- +-- +-- +-- +-- +-- ID for the DB with which this file is designed to communicate. Matches the DB +-- EEPROM ID. +-- +-- +-- +-- +-- ID for the Slot this module controls. Options are 0 and 1 for the N310 MB. +-- +-- +-- +-- +-- +-- +-- +-- +--XmlParse xml_off diff --git a/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/DbCore.vhd b/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/DbCore.vhd new file mode 100644 index 000000000..e1369ec00 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/DbCore.vhd @@ -0,0 +1,562 @@ +------------------------------------------------------------------------------- +-- +-- File: DbCore.vhd +-- Author: Daniel Jepson +-- Original Project: N310 +-- Date: 12 April 2017 +-- +------------------------------------------------------------------------------- +-- Copyright 2017-2018 Ettus Research, A National Instruments Company +-- SPDX-License-Identifier: LGPL-3.0 +------------------------------------------------------------------------------- +-- +-- Purpose: +-- +-- Wrapper file for Daughterboard Control. This includes the semi-static control +-- and status registers, clocking, synchronization, and JESD204B cores. +-- +-- There is no version register for the plain-text files here. +-- Version control for the Sync and JESD204B cores is internal to the netlists. +-- +-- The resets for this core are almost entirely local and/or synchronous. +-- bBusReset is a Synchronous reset on the BusClk domain that resets all of the +-- registers connected to the RegPort, as well as any other stray registers +-- connected to the BusClk. All other resets are local to the modules they touch. +-- No other reset drives all modules universally. +-- +------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +library work; + use work.PkgMgPersonality.all; + use work.PkgRegs.all; + use work.PkgJesdConfig.all; + + +entity DbCore is + generic( + -- Set to '1' to include the White Rabbit TDC. + kInclWhiteRabbitTdc : std_logic := '0' + ); + port( + + -- Resets -- + -- Synchronous Reset for the BusClk domain (mainly for the RegPort) + bBusReset : in std_logic; + + -- Clocks -- + -- Register Bus Clock (any frequency) + BusClk : in std_logic; + -- Always-on at 40 MHz + Clk40 : in std_logic; + -- Super secret crazy awesome measurement clock at weird frequencies. + MeasClk : in std_logic; + -- FPGA Sample Clock from DB LMK + FpgaClk_p : in std_logic; + FpgaClk_n : in std_logic; + + -- Sample Clock Sharing. The clocks generated in this module are exported out to the + -- top level so they can be shared amongst daughterboards. Therefore they must be + -- driven back into the SampleClk*x inputs at a higher level in order for this module + -- to work correctly. There are a few isolated cases where SampleClk*xOut is used + -- directly in this module, and those are documented below. + SampleClk1xOut : out std_logic; + SampleClk1x : in std_logic; + SampleClk2xOut : out std_logic; + SampleClk2x : in std_logic; + + + -- Register Ports -- + -- + -- Only synchronous resets can be used for these ports! + bRegPortInFlat : in std_logic_vector(49 downto 0); + bRegPortOutFlat : out std_logic_vector(33 downto 0); + + -- Slot ID value. This should be tied to a constant! + kSlotId : in std_logic; + + + -- SYSREF -- + -- + -- SYSREF direct from the LMK + sSysRefFpgaLvds_p, + sSysRefFpgaLvds_n : in std_logic; + -- SYNC directly to the LMK + aLmkSync : out std_logic; + + + -- JESD Signals -- + -- + -- GTX Sample Clock Reference Input. Direct connect to FPGA pins. + JesdRefClk_p, + JesdRefClk_n : in std_logic; + + -- ADC JESD PHY Interface. Direct connect to FPGA pins. + aAdcRx_p, + aAdcRx_n : in std_logic_vector(3 downto 0); + aSyncAdcOut_n : out std_logic; + + -- DAC JESD PHY Interface. Direct connect to FPGA pins. + aDacTx_p, + aDacTx_n : out std_logic_vector(3 downto 0); + aSyncDacIn_n : in std_logic; + + + -- Data Pipes to/from the DACs/ADCs -- + -- + -- - Data is presented as one sample per cycle. + -- - sAdcDataValid asserts when ADC data is valid. + -- - sDacReadyForInput asserts when DAC data is ready to be received. + -- + -- Reset Crossings: + -- The ADC data and valid outputs are synchronously cleared before the asynchronous + -- reset is asserted--preventing any reset crossing issues here between the RX + -- (internal to the core) reset and the no-reset domain of RFNoC. + -- + -- The DAC samples should be zeros on reset de-assertion due to RFI being de-asserted + -- in reset. If they are not zeros, then it is still OK because data is ignored until + -- RFI is asserted. DAC RFI is double-synchronized to protect against the reset + -- crossing. This is safe to do because it simply delays the output of RFI by two + -- cycles on the assertion edge, and as long as reset is held for more than two + -- cycles, the de-assertion edge of RFI should come long before the TX module is + -- taken out of reset. + sAdcDataValid : out std_logic; + sAdcDataSamples0I : out std_logic_vector(15 downto 0); + sAdcDataSamples0Q : out std_logic_vector(15 downto 0); + sAdcDataSamples1I : out std_logic_vector(15 downto 0); + sAdcDataSamples1Q : out std_logic_vector(15 downto 0); + sDacReadyForInput : out std_logic; + sDacDataSamples0I : in std_logic_vector(15 downto 0); + sDacDataSamples0Q : in std_logic_vector(15 downto 0); + sDacDataSamples1I : in std_logic_vector(15 downto 0); + sDacDataSamples1Q : in std_logic_vector(15 downto 0); + + + -- RefClk & Timing & Sync -- + RefClk : in std_logic; + rPpsPulse : in std_logic; + rGatedPulseToPin : inout std_logic; -- straight to pin + sGatedPulseToPin : inout std_logic; -- straight to pin + sPps : out std_logic; + sPpsToIob : out std_logic; + + -- White Rabbit Timing & Sync -- + WrRefClk : in std_logic; + rWrPpsPulse : in std_logic; + rWrGatedPulseToPin : inout std_logic; -- straight to pin + sWrGatedPulseToPin : inout std_logic; -- straight to pin + aPpsSfpSel : in std_logic_vector(1 downto 0); + + + -- Debug for JESD + sAdcSync : out std_logic; + sDacSync : out std_logic; + sSysRef : out std_logic; + + -- Debug for Timing & Sync + rRpTransfer : out std_logic; + sSpTransfer : out std_logic; + rWrRpTransfer : out std_logic; + sWrSpTransfer : out std_logic + ); + +end DbCore; + + +architecture RTL of DbCore is + + component Jesd204bXcvrCore + port ( + bBusReset : in STD_LOGIC; + BusClk : in STD_LOGIC; + ReliableClk40 : in STD_LOGIC; + FpgaClk1x : in STD_LOGIC; + FpgaClk2x : in STD_LOGIC; + bFpgaClksStable : in STD_LOGIC; + bRegPortInFlat : in STD_LOGIC_VECTOR(49 downto 0); + bRegPortOutFlat : out STD_LOGIC_VECTOR(33 downto 0); + aLmkSync : out STD_LOGIC; + cSysRefFpgaLvds_p : in STD_LOGIC; + cSysRefFpgaLvds_n : in STD_LOGIC; + fSysRef : out STD_LOGIC; + CaptureSysRefClk : in STD_LOGIC; + JesdRefClk_p : in STD_LOGIC; + JesdRefClk_n : in STD_LOGIC; + bJesdRefClkPresent : out STD_LOGIC; + aAdcRx_p : in STD_LOGIC_VECTOR(3 downto 0); + aAdcRx_n : in STD_LOGIC_VECTOR(3 downto 0); + aSyncAdcOut_n : out STD_LOGIC; + aDacTx_p : out STD_LOGIC_VECTOR(3 downto 0); + aDacTx_n : out STD_LOGIC_VECTOR(3 downto 0); + aSyncDacIn_n : in STD_LOGIC; + fAdc0DataFlat : out STD_LOGIC_VECTOR(31 downto 0); + fAdc1DataFlat : out STD_LOGIC_VECTOR(31 downto 0); + fDac0DataFlat : in STD_LOGIC_VECTOR(31 downto 0); + fDac1DataFlat : in STD_LOGIC_VECTOR(31 downto 0); + fAdcDataValid : out STD_LOGIC; + fDacReadyForInput : out STD_LOGIC; + aDacSync : out STD_LOGIC; + aAdcSync : out STD_LOGIC); + end component; + + function to_Boolean (s : std_ulogic) return boolean is + begin + return (To_X01(s)='1'); + end to_Boolean; + + function to_StdLogic(b : boolean) return std_ulogic is + begin + if b then + return '1'; + else + return '0'; + end if; + end to_StdLogic; + + --vhook_sigstart + signal aAdcSync: STD_LOGIC; + signal aDacSync: STD_LOGIC; + signal bClockingRegPortOut: RegPortOut_t; + signal bDbRegPortOut: RegPortOut_t; + signal bFpgaClksStable: STD_LOGIC; + signal bJesdCoreRegPortInFlat: STD_LOGIC_VECTOR(49 downto 0); + signal bJesdCoreRegPortOutFlat: STD_LOGIC_VECTOR(33 downto 0); + signal bJesdRefClkPresent: STD_LOGIC; + signal bRadioClk1xEnabled: std_logic; + signal bRadioClk2xEnabled: std_logic; + signal bRadioClk3xEnabled: std_logic; + signal bRadioClkMmcmReset: std_logic; + signal bRadioClksValid: std_logic; + signal pPsDone: std_logic; + signal pPsEn: std_logic; + signal pPsInc: std_logic; + signal PsClk: std_logic; + signal sAdc0DataFlat: STD_LOGIC_VECTOR(31 downto 0); + signal sAdc1DataFlat: STD_LOGIC_VECTOR(31 downto 0); + signal SampleClk1xOutLcl: std_logic; + signal sDac0DataFlat: STD_LOGIC_VECTOR(31 downto 0); + signal sDac1DataFlat: STD_LOGIC_VECTOR(31 downto 0); + signal sDacReadyForInputAsyncReset: STD_LOGIC; + signal sRegPps: std_logic; + signal sSysRefAsyncReset: STD_LOGIC; + signal sWrPps: std_logic; + --vhook_sigend + + signal bJesdRegPortInGrp, bSyncRegPortIn, bWrSyncRegPortIn, bRegPortIn : RegPortIn_t; + signal bJesdRegPortOut, bSyncRegPortOut, bWrSyncRegPortOut, bRegPortOut : RegPortOut_t; + + signal sDacReadyForInput_ms, sDacReadyForInputLcl, + sDacSync_ms, sDacSyncLcl, + sAdcSync_ms, sAdcSyncLcl, + sSysRef_ms, sSysRefLcl : std_logic := '0'; + + signal sAdc0Data, sAdc1Data : AdcData_t; + signal sDac0Data, sDac1Data : DacData_t; + + signal sPpsSfpSel_ms, sPpsSfpSel : std_logic_vector(1 downto 0) := (others => '0'); + signal sUseWrTdcPps : boolean := false; + signal sPpsInt, sPpsMuxed : std_logic := '0'; + + attribute ASYNC_REG : string; + attribute ASYNC_REG of sDacReadyForInput_ms : signal is "true"; + attribute ASYNC_REG of sDacReadyForInputLcl : signal is "true"; + attribute ASYNC_REG of sDacSync_ms : signal is "true"; + attribute ASYNC_REG of sDacSyncLcl : signal is "true"; + attribute ASYNC_REG of sAdcSync_ms : signal is "true"; + attribute ASYNC_REG of sAdcSyncLcl : signal is "true"; + attribute ASYNC_REG of sSysRef_ms : signal is "true"; + attribute ASYNC_REG of sSysRefLcl : signal is "true"; + attribute ASYNC_REG of sPpsSfpSel_ms : signal is "true"; + attribute ASYNC_REG of sPpsSfpSel : signal is "true"; + +begin + + bRegPortOutFlat <= Flatten(bRegPortOut); + bRegPortIn <= Unflatten(bRegPortInFlat); + + + -- Combine return RegPorts. + bRegPortOut <= bJesdRegPortOut + + bClockingRegPortOut + + bSyncRegPortOut + bWrSyncRegPortOut + + bDbRegPortOut; + + + -- Clocking : ------------------------------------------------------------------------- + -- Automatically export the Sample Clocks and only use the incoming clocks in the + -- remainder of the logic. For a single module, the clocks must be looped back + -- in at a higher level! + -- ------------------------------------------------------------------------------------ + + --vhook_e RadioClocking + --vhook_a aReset false + --vhook_a bReset to_boolean(bBusReset) + --vhook_a RadioClk1x SampleClk1xOutLcl + --vhook_a RadioClk2x SampleClk2xOut + --vhook_a RadioClk3x open + RadioClockingx: entity work.RadioClocking (rtl) + port map ( + aReset => false, --in boolean + bReset => to_boolean(bBusReset), --in boolean + BusClk => BusClk, --in std_logic + bRadioClkMmcmReset => bRadioClkMmcmReset, --in std_logic + bRadioClksValid => bRadioClksValid, --out std_logic + bRadioClk1xEnabled => bRadioClk1xEnabled, --in std_logic + bRadioClk2xEnabled => bRadioClk2xEnabled, --in std_logic + bRadioClk3xEnabled => bRadioClk3xEnabled, --in std_logic + pPsInc => pPsInc, --in std_logic + pPsEn => pPsEn, --in std_logic + PsClk => PsClk, --in std_logic + pPsDone => pPsDone, --out std_logic + FpgaClk_n => FpgaClk_n, --in std_logic + FpgaClk_p => FpgaClk_p, --in std_logic + RadioClk1x => SampleClk1xOutLcl, --out std_logic + RadioClk2x => SampleClk2xOut, --out std_logic + RadioClk3x => open); --out std_logic + + -- We need an internal copy of SampleClk1x for the TDC, since we don't want to try + -- and align the other DB's clock accidentally. + SampleClk1xOut <= SampleClk1xOutLcl; + + --vhook_e ClockingRegs + --vhook_a aReset false + --vhook_a bReset to_boolean(bBusReset) + --vhook_a bRegPortOut bClockingRegPortOut + --vhook_a aRadioClksValid bRadioClksValid + ClockingRegsx: entity work.ClockingRegs (RTL) + port map ( + aReset => false, --in boolean + bReset => to_boolean(bBusReset), --in boolean + BusClk => BusClk, --in std_logic + bRegPortOut => bClockingRegPortOut, --out RegPortOut_t + bRegPortIn => bRegPortIn, --in RegPortIn_t + pPsInc => pPsInc, --out std_logic + pPsEn => pPsEn, --out std_logic + pPsDone => pPsDone, --in std_logic + PsClk => PsClk, --out std_logic + bRadioClkMmcmReset => bRadioClkMmcmReset, --out std_logic + aRadioClksValid => bRadioClksValid, --in std_logic + bRadioClk1xEnabled => bRadioClk1xEnabled, --out std_logic + bRadioClk2xEnabled => bRadioClk2xEnabled, --out std_logic + bRadioClk3xEnabled => bRadioClk3xEnabled, --out std_logic + bJesdRefClkPresent => bJesdRefClkPresent); --in std_logic + + + + -- JESD204B : ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------ + + bJesdRegPortInGrp <= Mask(RegPortIn => bRegPortIn, + kRegisterOffset => kJesdRegGroupInDbRegs); -- 0x2000 to 0x3FFC + + -- Expand/compress the RegPort for moving through the netlist boundary. + bJesdRegPortOut <= Unflatten(bJesdCoreRegPortOutFlat); + bJesdCoreRegPortInFlat <= Flatten(bJesdRegPortInGrp); + + --vhook Jesd204bXcvrCore + --vhook_a bRegPortInFlat bJesdCoreRegPortInFlat + --vhook_a bRegPortOutFlat bJesdCoreRegPortOutFlat + --vhook_a FpgaClk1x SampleClk1x + --vhook_a FpgaClk2x SampleClk2x + --vhook_a ReliableClk40 Clk40 + --vhook_a CaptureSysRefClk SampleClk1xOutLcl + --vhook_a cSysRefFpgaLvds_p sSysRefFpgaLvds_p + --vhook_a cSysRefFpgaLvds_n sSysRefFpgaLvds_n + --vhook_a fSysRef sSysRefAsyncReset + --vhook_a fDacReadyForInput sDacReadyForInputAsyncReset + --vhook_a {^f(.*)} s$1 + Jesd204bXcvrCorex: Jesd204bXcvrCore + port map ( + bBusReset => bBusReset, --in STD_LOGIC + BusClk => BusClk, --in STD_LOGIC + ReliableClk40 => Clk40, --in STD_LOGIC + FpgaClk1x => SampleClk1x, --in STD_LOGIC + FpgaClk2x => SampleClk2x, --in STD_LOGIC + bFpgaClksStable => bFpgaClksStable, --in STD_LOGIC + bRegPortInFlat => bJesdCoreRegPortInFlat, --in STD_LOGIC_VECTOR(49:0) + bRegPortOutFlat => bJesdCoreRegPortOutFlat, --out STD_LOGIC_VECTOR(33:0) + aLmkSync => aLmkSync, --out STD_LOGIC + cSysRefFpgaLvds_p => sSysRefFpgaLvds_p, --in STD_LOGIC + cSysRefFpgaLvds_n => sSysRefFpgaLvds_n, --in STD_LOGIC + fSysRef => sSysRefAsyncReset, --out STD_LOGIC + CaptureSysRefClk => SampleClk1xOutLcl, --in STD_LOGIC + JesdRefClk_p => JesdRefClk_p, --in STD_LOGIC + JesdRefClk_n => JesdRefClk_n, --in STD_LOGIC + bJesdRefClkPresent => bJesdRefClkPresent, --out STD_LOGIC + aAdcRx_p => aAdcRx_p, --in STD_LOGIC_VECTOR(3:0) + aAdcRx_n => aAdcRx_n, --in STD_LOGIC_VECTOR(3:0) + aSyncAdcOut_n => aSyncAdcOut_n, --out STD_LOGIC + aDacTx_p => aDacTx_p, --out STD_LOGIC_VECTOR(3:0) + aDacTx_n => aDacTx_n, --out STD_LOGIC_VECTOR(3:0) + aSyncDacIn_n => aSyncDacIn_n, --in STD_LOGIC + fAdc0DataFlat => sAdc0DataFlat, --out STD_LOGIC_VECTOR(31:0) + fAdc1DataFlat => sAdc1DataFlat, --out STD_LOGIC_VECTOR(31:0) + fDac0DataFlat => sDac0DataFlat, --in STD_LOGIC_VECTOR(31:0) + fDac1DataFlat => sDac1DataFlat, --in STD_LOGIC_VECTOR(31:0) + fAdcDataValid => sAdcDataValid, --out STD_LOGIC + fDacReadyForInput => sDacReadyForInputAsyncReset, --out STD_LOGIC + aDacSync => aDacSync, --out STD_LOGIC + aAdcSync => aAdcSync); --out STD_LOGIC + + JesdDoubleSyncToNoResetSampleClk : process (SampleClk1x) + begin + if rising_edge(SampleClk1x) then + sDacReadyForInput_ms <= sDacReadyForInputAsyncReset; + sDacReadyForInputLcl <= sDacReadyForInput_ms; + -- No clock crossing here -- just reset, although the prefix declares otherwise... + sDacSync_ms <= aDacSync; + sDacSyncLcl <= sDacSync_ms; + sAdcSync_ms <= aAdcSync; + sAdcSyncLcl <= sAdcSync_ms; + sSysRef_ms <= sSysRefAsyncReset; + sSysRefLcl <= sSysRef_ms; + end if; + end process; + + -- Locals to outputs. + sDacReadyForInput <= sDacReadyForInputLcl; + sDacSync <= sDacSyncLcl; + sAdcSync <= sAdcSyncLcl; + sSysRef <= sSysRefLcl; + + -- Just combine the first two enables, since they're the ones that are used for JESD. + -- No reset crossing here, since bFpgaClksStable is only received by a no-reset domain + -- and the MGTs directly. + bFpgaClksStable <= bRadioClksValid and bRadioClk1xEnabled and bRadioClk2xEnabled; + + -- Compress/expand the flat data types from the netlist and route to top level. + sAdc0Data <= Unflatten(sAdc0DataFlat); + sAdc1Data <= Unflatten(sAdc1DataFlat); + sDac0DataFlat <= Flatten(sDac0Data); + sDac1DataFlat <= Flatten(sDac1Data); + + sAdcDataSamples0I <= sAdc0Data.I; + sAdcDataSamples0Q <= sAdc0Data.Q; + sAdcDataSamples1I <= sAdc1Data.I; + sAdcDataSamples1Q <= sAdc1Data.Q; + + sDac0Data.I <= sDacDataSamples0I; + sDac0Data.Q <= sDacDataSamples0Q; + sDac1Data.I <= sDacDataSamples1I; + sDac1Data.Q <= sDacDataSamples1Q; + + + -- Timing and Sync : ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------ + + bSyncRegPortIn <= Mask(RegPortIn => bRegPortIn, + kRegisterOffset => kTdc0OffsetsInEndpoint); -- 0x0200 + + --vhook_e TdcWrapper + --vhook_# Use the local copy of the SampleClock, since we want the TDC to measure the + --vhook_# clock offset for this daughterboard, not the global SampleClock. + --vhook_a SampleClk SampleClk1xOutLcl + --vhook_a sPpsPulse sRegPps + TdcWrapperx: entity work.TdcWrapper (struct) + port map ( + BusClk => BusClk, --in std_logic + bBusReset => bBusReset, --in std_logic + RefClk => RefClk, --in std_logic + SampleClk => SampleClk1xOutLcl, --in std_logic + MeasClk => MeasClk, --in std_logic + bSyncRegPortOut => bSyncRegPortOut, --out RegPortOut_t + bSyncRegPortIn => bSyncRegPortIn, --in RegPortIn_t + rPpsPulse => rPpsPulse, --in std_logic + sPpsPulse => sRegPps, --out std_logic + rRpTransfer => rRpTransfer, --out std_logic + sSpTransfer => sSpTransfer, --out std_logic + rGatedPulseToPin => rGatedPulseToPin, --inout std_logic + sGatedPulseToPin => sGatedPulseToPin); --inout std_logic + + WrTdcGen: if kInclWhiteRabbitTdc = '1' generate + bWrSyncRegPortIn <= Mask(RegPortIn => bRegPortIn, + kRegisterOffset => kTdc1OffsetsInEndpoint); -- 0x0400 + + --vhook_e TdcWrapper WrTdcWrapperx + --vhook_# Use the local copy of the SampleClock, since we want the TDC to measure the + --vhook_# clock offset for this daughterboard, not the global SampleClock. + --vhook_a bSyncRegPortIn bWrSyncRegPortIn + --vhook_a bSyncRegPortOut bWrSyncRegPortOut + --vhook_a SampleClk SampleClk1xOutLcl + --vhook_a RefClk WrRefClk + --vhook_a rPpsPulse rWrPpsPulse + --vhook_a sPpsPulse sWrPps + --vhook_a rRpTransfer rWrRpTransfer + --vhook_a sSpTransfer sWrSpTransfer + --vhook_a rGatedPulseToPin rWrGatedPulseToPin + --vhook_a sGatedPulseToPin sWrGatedPulseToPin + WrTdcWrapperx: entity work.TdcWrapper (struct) + port map ( + BusClk => BusClk, --in std_logic + bBusReset => bBusReset, --in std_logic + RefClk => WrRefClk, --in std_logic + SampleClk => SampleClk1xOutLcl, --in std_logic + MeasClk => MeasClk, --in std_logic + bSyncRegPortOut => bWrSyncRegPortOut, --out RegPortOut_t + bSyncRegPortIn => bWrSyncRegPortIn, --in RegPortIn_t + rPpsPulse => rWrPpsPulse, --in std_logic + sPpsPulse => sWrPps, --out std_logic + rRpTransfer => rWrRpTransfer, --out std_logic + sSpTransfer => sWrSpTransfer, --out std_logic + rGatedPulseToPin => rWrGatedPulseToPin, --inout std_logic + sGatedPulseToPin => sWrGatedPulseToPin); --inout std_logic + end generate WrTdcGen; + + WrTdcNotGen: if kInclWhiteRabbitTdc = '0' generate + bWrSyncRegPortOut <= kRegPortOutZero; + sWrPps <= '0'; + rWrRpTransfer <= '0'; + sWrSpTransfer <= '0'; + rWrGatedPulseToPin <= '0'; + sWrGatedPulseToPin <= '0'; + end generate WrTdcNotGen; + + -- Mux the output PPS based on the SFP selection bits. Encoding is one-hot, with zero + -- also a valid state. Regardless of whether the user selects SFP0 or SFP1 as the time + -- source, there is only one White Rabbit TDC, so '01' and '10' are equivalent. + -- '00': Use the PPS output from the "regular" TDC. + -- '01': Use the PPS output from the "white rabbit" TDC. + -- '10': Use the PPS output from the "white rabbit" TDC. + PpsOutputMux : process (SampleClk1xOutLcl) + begin + if rising_edge(SampleClk1xOutLcl) then + -- Double-sync the control bits to the Sample Clock domain. + sPpsSfpSel_ms <= aPpsSfpSel; + sPpsSfpSel <= sPpsSfpSel_ms; + + -- OR the control bits together to produce a single override enable for the WR TDC. + sUseWrTdcPps <= to_boolean(sPpsSfpSel(0) or sPpsSfpSel(1)); + + -- Flop the outputs. One flop for the PPS output IOB, the other for use internally. + sPpsInt <= sPpsMuxed; + end if; + end process PpsOutputMux; + + sPpsMuxed <= sWrPps when sUseWrTdcPps else sRegPps; + sPps <= sPpsInt; + sPpsToIob <= sPpsMuxed; -- No added flop here since there's an IOB outside this module. + + -- Daughterboard Control : ------------------------------------------------------------ + -- ------------------------------------------------------------------------------------ + + --vhook_e DaughterboardRegs + --vhook_# Tying this low is safe because the sync reset is used inside DaughterboardRegs. + --vhook_a aReset false + --vhook_a bReset to_boolean(bBusReset) + --vhook_a bRegPortOut bDbRegPortOut + --vhook_a kDbId std_logic_vector(to_unsigned(16#150#,16)) + DaughterboardRegsx: entity work.DaughterboardRegs (RTL) + port map ( + aReset => false, --in boolean + bReset => to_boolean(bBusReset), --in boolean + BusClk => BusClk, --in std_logic + bRegPortOut => bDbRegPortOut, --out RegPortOut_t + bRegPortIn => bRegPortIn, --in RegPortIn_t + kDbId => std_logic_vector(to_unsigned(16#150#,16)), --in std_logic_vector(15:0) + kSlotId => kSlotId); --in std_logic + + +end RTL; diff --git a/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/Jesd204bXcvrCore.edf b/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/Jesd204bXcvrCore.edf new file mode 100644 index 000000000..27619d2a0 Binary files /dev/null and b/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/Jesd204bXcvrCore.edf differ diff --git a/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/Jesd204bXcvrCore_stub.vhd b/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/Jesd204bXcvrCore_stub.vhd new file mode 100644 index 000000000..84c461d26 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/Jesd204bXcvrCore_stub.vhd @@ -0,0 +1,56 @@ +-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2015.4.2 (win64) Build 1494164 Fri Feb 26 04:18:56 MST 2016 +-- Date : Wed Jan 10 10:53:33 2018 +-- Host : djepson-lt running 64-bit major release (build 9200) +-- Command : write_vhdl -mode synth_stub -force -file ./Jesd204bXcvrCore_stub.vhd +-- Design : Jesd204bXcvrCore +-- Purpose : Stub declaration of top-level module interface +-- Device : xc7z100ffg900-2 +-- -------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +entity Jesd204bXcvrCore is + Port ( + bBusReset : in STD_LOGIC; + BusClk : in STD_LOGIC; + ReliableClk40 : in STD_LOGIC; + FpgaClk1x : in STD_LOGIC; + FpgaClk2x : in STD_LOGIC; + bFpgaClksStable : in STD_LOGIC; + bRegPortInFlat : in STD_LOGIC_VECTOR ( 49 downto 0 ); + bRegPortOutFlat : out STD_LOGIC_VECTOR ( 33 downto 0 ); + aLmkSync : out STD_LOGIC; + cSysRefFpgaLvds_p : in STD_LOGIC; + cSysRefFpgaLvds_n : in STD_LOGIC; + fSysRef : out STD_LOGIC; + CaptureSysRefClk : in STD_LOGIC; + JesdRefClk_p : in STD_LOGIC; + JesdRefClk_n : in STD_LOGIC; + bJesdRefClkPresent : out STD_LOGIC; + aAdcRx_p : in STD_LOGIC_VECTOR ( 3 downto 0 ); + aAdcRx_n : in STD_LOGIC_VECTOR ( 3 downto 0 ); + aSyncAdcOut_n : out STD_LOGIC; + aDacTx_p : out STD_LOGIC_VECTOR ( 3 downto 0 ); + aDacTx_n : out STD_LOGIC_VECTOR ( 3 downto 0 ); + aSyncDacIn_n : in STD_LOGIC; + fAdc0DataFlat : out STD_LOGIC_VECTOR ( 31 downto 0 ); + fAdc1DataFlat : out STD_LOGIC_VECTOR ( 31 downto 0 ); + fDac0DataFlat : in STD_LOGIC_VECTOR ( 31 downto 0 ); + fDac1DataFlat : in STD_LOGIC_VECTOR ( 31 downto 0 ); + fAdcDataValid : out STD_LOGIC; + fDacReadyForInput : out STD_LOGIC; + aDacSync : out STD_LOGIC; + aAdcSync : out STD_LOGIC + ); + +end Jesd204bXcvrCore; + +architecture stub of Jesd204bXcvrCore is +attribute syn_black_box : boolean; +attribute black_box_pad_pin : string; +attribute syn_black_box of stub : architecture is true; +attribute black_box_pad_pin of stub : architecture is "bBusReset,BusClk,ReliableClk40,FpgaClk1x,FpgaClk2x,bFpgaClksStable,bRegPortInFlat[49:0],bRegPortOutFlat[33:0],aLmkSync,cSysRefFpgaLvds_p,cSysRefFpgaLvds_n,fSysRef,CaptureSysRefClk,JesdRefClk_p,JesdRefClk_n,bJesdRefClkPresent,aAdcRx_p[3:0],aAdcRx_n[3:0],aSyncAdcOut_n,aDacTx_p[3:0],aDacTx_n[3:0],aSyncDacIn_n,fAdc0DataFlat[31:0],fAdc1DataFlat[31:0],fDac0DataFlat[31:0],fDac1DataFlat[31:0],fAdcDataValid,fDacReadyForInput,aDacSync,aAdcSync"; +begin +end; diff --git a/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/PkgClockingRegMap.vhd b/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/PkgClockingRegMap.vhd new file mode 100644 index 000000000..03b95c100 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/PkgClockingRegMap.vhd @@ -0,0 +1,107 @@ +------------------------------------------------------------------------------- +-- +-- File: PkgClockingRegMap.vhd +-- Author: Autogenerated by XmlParse +-- Original Project: -- +-- Date: -- +-- +------------------------------------------------------------------------------- +-- Copyright 2017 Ettus Research, A National Instruments Company +-- SPDX-License-Identifier: LGPL-3.0 +------------------------------------------------------------------------------- +-- +-- Purpose: +-- The constants in this file are autogenerated by XmlParse and should +-- be used by testbench code to access specific register fields. +-- +------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +package PkgClockingRegMap is + +--=============================================================================== +-- A numerically ordered list of registers and their VHDL source files +--=============================================================================== + + -- RadioClkMmcm : 0x20 (ClockingRegs.vhd) + -- PhaseShiftControl : 0x24 (ClockingRegs.vhd) + -- RadioClkEnables : 0x28 (ClockingRegs.vhd) + -- MgtRefClkStatus : 0x30 (ClockingRegs.vhd) + +--=============================================================================== +-- RegTypes +--=============================================================================== + +--=============================================================================== +-- Register Group ClockingRegs +--=============================================================================== + + -- RadioClkMmcm Register (from ClockingRegs.vhd) + constant kRadioClkMmcm : integer := 16#20#; -- Register Offset + constant kRadioClkMmcmSize: integer := 32; -- register width in bits + constant kRadioClkMmcmMask : std_logic_vector(31 downto 0) := X"00000013"; + constant kRadioClkMmcmResetSetSize : integer := 1; --RadioClkMmcm:RadioClkMmcmResetSet + constant kRadioClkMmcmResetSetMsb : integer := 0; --RadioClkMmcm:RadioClkMmcmResetSet + constant kRadioClkMmcmResetSet : integer := 0; --RadioClkMmcm:RadioClkMmcmResetSet + constant kRadioClkMmcmResetClearSize : integer := 1; --RadioClkMmcm:RadioClkMmcmResetClear + constant kRadioClkMmcmResetClearMsb : integer := 1; --RadioClkMmcm:RadioClkMmcmResetClear + constant kRadioClkMmcmResetClear : integer := 1; --RadioClkMmcm:RadioClkMmcmResetClear + constant kRadioClkMmcmLockedSize : integer := 1; --RadioClkMmcm:RadioClkMmcmLocked + constant kRadioClkMmcmLockedMsb : integer := 4; --RadioClkMmcm:RadioClkMmcmLocked + constant kRadioClkMmcmLocked : integer := 4; --RadioClkMmcm:RadioClkMmcmLocked + + -- PhaseShiftControl Register (from ClockingRegs.vhd) + constant kPhaseShiftControl : integer := 16#24#; -- Register Offset + constant kPhaseShiftControlSize: integer := 32; -- register width in bits + constant kPhaseShiftControlMask : std_logic_vector(31 downto 0) := X"10010011"; + constant kPsIncSize : integer := 1; --PhaseShiftControl:PsInc + constant kPsIncMsb : integer := 0; --PhaseShiftControl:PsInc + constant kPsInc : integer := 0; --PhaseShiftControl:PsInc + constant kPsDecSize : integer := 1; --PhaseShiftControl:PsDec + constant kPsDecMsb : integer := 4; --PhaseShiftControl:PsDec + constant kPsDec : integer := 4; --PhaseShiftControl:PsDec + constant kPsEnabledForFdbClkSize : integer := 1; --PhaseShiftControl:PsEnabledForFdbClk + constant kPsEnabledForFdbClkMsb : integer := 16; --PhaseShiftControl:PsEnabledForFdbClk + constant kPsEnabledForFdbClk : integer := 16; --PhaseShiftControl:PsEnabledForFdbClk + constant kPsDoneSize : integer := 1; --PhaseShiftControl:PsDone + constant kPsDoneMsb : integer := 28; --PhaseShiftControl:PsDone + constant kPsDone : integer := 28; --PhaseShiftControl:PsDone + + -- RadioClkEnables Register (from ClockingRegs.vhd) + constant kRadioClkEnables : integer := 16#28#; -- Register Offset + constant kRadioClkEnablesSize: integer := 32; -- register width in bits + constant kRadioClkEnablesMask : std_logic_vector(31 downto 0) := X"00000111"; + constant kRadioClk1xEnabledSize : integer := 1; --RadioClkEnables:RadioClk1xEnabled + constant kRadioClk1xEnabledMsb : integer := 0; --RadioClkEnables:RadioClk1xEnabled + constant kRadioClk1xEnabled : integer := 0; --RadioClkEnables:RadioClk1xEnabled + constant kRadioClk2xEnabledSize : integer := 1; --RadioClkEnables:RadioClk2xEnabled + constant kRadioClk2xEnabledMsb : integer := 4; --RadioClkEnables:RadioClk2xEnabled + constant kRadioClk2xEnabled : integer := 4; --RadioClkEnables:RadioClk2xEnabled + constant kRadioClk3xEnabledSize : integer := 1; --RadioClkEnables:RadioClk3xEnabled + constant kRadioClk3xEnabledMsb : integer := 8; --RadioClkEnables:RadioClk3xEnabled + constant kRadioClk3xEnabled : integer := 8; --RadioClkEnables:RadioClk3xEnabled + + -- MgtRefClkStatus Register (from ClockingRegs.vhd) + constant kMgtRefClkStatus : integer := 16#30#; -- Register Offset + constant kMgtRefClkStatusSize: integer := 32; -- register width in bits + constant kMgtRefClkStatusMask : std_logic_vector(31 downto 0) := X"00000001"; + constant kJesdRefClkPresentSize : integer := 1; --MgtRefClkStatus:JesdRefClkPresent + constant kJesdRefClkPresentMsb : integer := 0; --MgtRefClkStatus:JesdRefClkPresent + constant kJesdRefClkPresent : integer := 0; --MgtRefClkStatus:JesdRefClkPresent + +end package; + +package body PkgClockingRegMap is + + -- function kRadioClkMmcmRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kPhaseShiftControlRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kRadioClkEnablesRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kMgtRefClkStatusRec not implemented because PkgXReg in this project does not support XReg2_t. + +end package body; diff --git a/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/PkgDaughterboardRegMap.vhd b/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/PkgDaughterboardRegMap.vhd new file mode 100644 index 000000000..06708cde3 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/PkgDaughterboardRegMap.vhd @@ -0,0 +1,56 @@ +------------------------------------------------------------------------------- +-- +-- File: PkgDaughterboardRegMap.vhd +-- Author: Autogenerated by XmlParse +-- Original Project: -- +-- Date: -- +-- +------------------------------------------------------------------------------- +-- Copyright 2017 Ettus Research, A National Instruments Company +-- SPDX-License-Identifier: LGPL-3.0 +------------------------------------------------------------------------------- +-- +-- Purpose: +-- The constants in this file are autogenerated by XmlParse and should +-- be used by testbench code to access specific register fields. +-- +------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +package PkgDaughterboardRegMap is + +--=============================================================================== +-- A numerically ordered list of registers and their VHDL source files +--=============================================================================== + + -- DaughterboardId : 0x630 (DaughterboardRegs.vhd) + +--=============================================================================== +-- RegTypes +--=============================================================================== + +--=============================================================================== +-- Register Group StaticControl +--=============================================================================== + + -- DaughterboardId Register (from DaughterboardRegs.vhd) + constant kDaughterboardId : integer := 16#630#; -- Register Offset + constant kDaughterboardIdSize: integer := 32; -- register width in bits + constant kDaughterboardIdMask : std_logic_vector(31 downto 0) := X"0001ffff"; + constant kDbIdValSize : integer := 16; --DaughterboardId:DbIdVal + constant kDbIdValMsb : integer := 15; --DaughterboardId:DbIdVal + constant kDbIdVal : integer := 0; --DaughterboardId:DbIdVal + constant kSlotIdValSize : integer := 1; --DaughterboardId:SlotIdVal + constant kSlotIdValMsb : integer := 16; --DaughterboardId:SlotIdVal + constant kSlotIdVal : integer := 16; --DaughterboardId:SlotIdVal + +end package; + +package body PkgDaughterboardRegMap is + + -- function kDaughterboardIdRec not implemented because PkgXReg in this project does not support XReg2_t. + +end package body; diff --git a/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/PkgJesdConfig.vhd b/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/PkgJesdConfig.vhd new file mode 100644 index 000000000..c0f5244b5 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/PkgJesdConfig.vhd @@ -0,0 +1,234 @@ +------------------------------------------------------------------------------- +-- +-- File: PkgJesdConfig.vhd +-- Author: National Instruments +-- Original Project: NI 5840 +-- Date: 11 March 2016 +-- +------------------------------------------------------------------------------- +-- Copyright 2016-2018 Ettus Research, A National Instruments Company +-- SPDX-License-Identifier: LGPL-3.0 +------------------------------------------------------------------------------- +-- +-- Purpose: JESD204B setup constants and functions. These constants are shared +-- between RX and TX JESD cores. +-- +------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +library work; + use work.PkgRegs.all; + + +package PkgJesdConfig is + + -- "JESD" in ASCII - with the core number 0 or 1 on the LSb. + constant kJesdSignature : std_logic_vector(31 downto 0) := x"4a455344"; + + -- Register endpoints + constant kJesdDrpRegsInEndpoint : RegOffset_t := (kOffset => 16#0800#, -- 0x2800 to + kWidth => 16#0800#); -- 0x2FFF + + -- Selects the UsrClk2 for the transceivers. For 64-bit wide transceivers, the + -- UsrClk = 2*UserClk2 frequency. For 32-bit wide transceivers, UsrClk = UserClk2 + -- frequency. This is a generalization, the clock ratio should be confirmed based on + -- the transceiver configuration. + -- The N310 transceivers use the single rate reference, hence = false. + constant kDoubleRateUsrClk : boolean := false; + + -- For the N310, all lanes are in one quad and we use the QPLL. + constant kJesdUseQpll : boolean := true; + + constant kAdcDataWidth : integer := 16; -- ADC data width in bits + constant kDacDataWidth : integer := 16; -- DAC data width in bits + constant kSamplesPerCycle : integer := 1; -- Number of samples per SampleClk1x + + constant kGtxDrpAddrWidth : natural := 9; + constant kQpllDrpAddrWidth : natural := 8; + -- Max supported number of lanes + constant kMaxNumLanes : natural := 4; + -- Max supported number of quads (normally there is 1 quad per 4 lanes but disconnect + -- the definitions to allow quad sharing) + constant kMaxNumQuads : natural := 1; + + + -- JESD shared setup - LMFS = 4421, HD = 0 + constant kNumLanes : natural := 4; -- L + constant kNumConvs : positive := 4; -- M + constant kOctetsPerFrame : natural := 2; -- F + constant kDacJesdSamplesPerCycle : integer := 1; -- S + constant kOctetsPerLane : natural := 2; -- MGT data is kOctetsPerLane*8 = 16 bits wide + constant kNumQuads : natural := kNumLanes/4; -- 4 lanes per quad + constant kHighDensity : boolean := false; -- HD + constant kConvResBits : positive := kDacDataWidth-2; -- Converter resolution in bits + constant kConvSampleBits : positive := kDacDataWidth; -- Sample Length in bits + constant kInitLaneAlignCnt : positive := 4; + constant kFramesPerMulti : natural := 20; -- K + + -- In the N310 case we are one SPC, so this value is simply the number of frames + -- (samples) per multiframe. + constant kUserClksPerMulti : integer := kFramesPerMulti; + + + type NaturalVector is array ( natural range <>) of natural; + + -- The PCB connections are as follows: + -- + -- Transceiver MGT Channel ADC Lane DAC Lane + -- *********** *********** ******** ******** + -- GT0: X0Y8 0 0 0 + -- GT1: X0Y9 1 1 1 + -- GT2: X0Y10 2 2 2 + -- GT3: X0Y11 3 3 3 + constant kRxLaneIndices : NaturalVector(kNumLanes - 1 downto 0) := + ( + -- MGT => ADC (in above table) + 0 => 0, + 1 => 1, + 2 => 2, + 3 => 3 + ); + + constant kTxLaneIndices : NaturalVector(kNumLanes - 1 downto 0) := + ( + -- MGT => DAC lane + 0 => 0, + 1 => 1, + 2 => 2, + 3 => 3 + ); + + constant kLaneToQuadMap : NaturalVector(kNumLanes - 1 downto 0) := + ( + -- All lanes are in one quad + 0 => 0, + 1 => 0, + 2 => 0, + 3 => 0 + ); + + + -- The master transceiver channel for channel bonding. E(kMasterBondingChannel) + -- must have the highest value decrementing to b"000" for that last channels to bond. + constant kMasterBondingChannel : integer := 1; + + -- Channel bonding occurs when a master detects a K-char sequence and aligns its + -- internal FIFO to the start of this sequence. A signal is then generated to other + -- slave transceivers that cause them to bond to the sequence - this bonding signal is + -- cascaded from master to slave to slave to slave, etc where each slave must know how + -- many levels to the master there are. The last slave to bond must be at level b"000" + -- and the master is at the highest level; the number of levels in the sequence is + -- governed by the size of the transceiver FIFO (see the Xilinx user guides for more + -- information). + type BondLevels_t is array(0 to kNumLanes - 1) of std_logic_vector(2 downto 0); + constant kBondLevel : BondLevels_t := ( + 0 => b"000", -- Control from 1 + 1 => b"001", -- Master + 2 => b"000", -- Control from 1 + 3 => b"000" -- Control from 1 + ); + + -- Option to pipeline stages to improve timing, if needed + constant kPipelineDetectCharsStage : boolean := false; + constant kPipelineCharReplStage : boolean := false; + + + -- ADC & DAC Data Types + -- + + -- ADC Words from JESD204B RX Core. The array is 4 elements wide to accommodate the + -- I & Q elements from both RX channels. + subtype AdcWord_t is std_logic_vector(kAdcDataWidth - 1 downto 0); + type AdcWordArray_t is array(4 - 1 downto 0) of AdcWord_t; + + -- Data types for manipulation and presentation to outside world. + type AdcData_t is record + I : std_logic_vector(kAdcDataWidth - 1 downto 0); + Q : std_logic_vector(kAdcDataWidth - 1 downto 0); + end record; + + type DacData_t is record + I : std_logic_vector(kDacDataWidth - 1 downto 0); + Q : std_logic_vector(kDacDataWidth - 1 downto 0); + end record; + + + -- Flattened data types for passing into and out of pre-synthesized components. + subtype AdcDataFlat_t is std_logic_vector(2*kAdcDataWidth - 1 downto 0); + subtype DacDataFlat_t is std_logic_vector(2*kDacDataWidth - 1 downto 0); + + -- Functions to convert to/from types defined above. + function Flatten (AdcData : AdcData_t) return AdcDataFlat_t; + function Unflatten(AdcData : AdcDataFlat_t) return AdcData_t; + + function Flatten (DacData : DacData_t) return DacDataFlat_t; + function Unflatten(DacData : DacDataFlat_t) return DacData_t; + + +end package; + + +package body PkgJesdConfig is + + + + + + -- Flattens AdcData_t to AdcDataFlat_t + function Flatten (AdcData : AdcData_t) return AdcDataFlat_t + is + variable ReturnVar : AdcDataFlat_t; + begin + ReturnVar := (others => '0'); + -- MSB is I + ReturnVar := AdcData.I & AdcData.Q; + return ReturnVar; + end function Flatten; + + + -- UnFlattens AdcDataFlat_t to AdcData_t + function Unflatten(AdcData : AdcDataFlat_t) return AdcData_t + is + variable ReturnVar : AdcData_t; + begin + ReturnVar := (others => (others => '0')); + -- MSB is I + ReturnVar.I := AdcData(2*kAdcDataWidth - 1 downto kAdcDataWidth); + ReturnVar.Q := AdcData( kAdcDataWidth - 1 downto 0); + return ReturnVar; + end function Unflatten; + + + + -- Flattens DacData_t to DacDataFlat_t + function Flatten (DacData : DacData_t) return DacDataFlat_t + is + variable ReturnVar : DacDataFlat_t; + begin + ReturnVar := (others => '0'); + -- MSB is I + ReturnVar := DacData.I & DacData.Q; + return ReturnVar; + end function Flatten; + + + -- UnFlattens DacDataFlat_t to DacData_t + function Unflatten(DacData : DacDataFlat_t) return DacData_t + is + variable ReturnVar : DacData_t; + begin + ReturnVar := (others => (others => '0')); + -- MSB is I + ReturnVar.I := DacData(2*kDacDataWidth - 1 downto kDacDataWidth); + ReturnVar.Q := DacData( kDacDataWidth - 1 downto 0); + return ReturnVar; + end function Unflatten; + + + + + +end package body; \ No newline at end of file diff --git a/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/PkgMgPersonality.vhd b/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/PkgMgPersonality.vhd new file mode 100644 index 000000000..904653a8e --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/PkgMgPersonality.vhd @@ -0,0 +1,61 @@ +------------------------------------------------------------------------------- +-- +-- File: PkgMgPersonality.vhd +-- Author: National Instruments +-- Original Project: N310 +-- Date: 13 April 2017 +-- +------------------------------------------------------------------------------- +-- Copyright 2017 Ettus Research, A National Instruments Company +-- SPDX-License-Identifier: LGPL-3.0 +------------------------------------------------------------------------------- +-- +-- Purpose: This package contains constants and helpful functions that enable +-- the FPGA to be compiled with different features. +-- +------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +library work; + use work.PkgRegs.all; + + +package PkgMgPersonality is + + + -- RegPort Address Definitions : ------------------------------------------------------ + -- + -- DB Regs ... + -- + -- Clocking Offset: 0x 000 Width: 0x 200 + -- Tdco0 Offset: 0x 200 Width: 0x 200 + -- Tdco1 Offset: 0x 400 Width: 0x 200 + -- Daughterboard Ctrl Offset: 0x 600 Width: 0x 200 + -- Total: 0x2000 + -- JESD 2x - A Offset: 0x2000 Width: 0x1000 + -- JESD 2x - B Offset: 0x3000 Width: 0x1000 + -- Total: 0x4000 + -- Total: 0x8000 for two DBs + -- ------------------------------------------------------------------------------------ + + -- A single RegPort runs to the JESD204B Core. + constant kJesdRegGroupInDbRegs : RegOffset_t := (kOffset => 16#2000#, -- 0x2000 to + kWidth => 16#1000#); -- 0x2FFF + + -- DB Regs : -------------------------------------------------------------------------- + constant kClockingOffsetInEndpoint : RegOffset_t := (kOffset => 16#0000#, -- 0x0000 to + kWidth => 16#0200#); -- 0x01FF + constant kTdc0OffsetsInEndpoint : RegOffset_t := (kOffset => 16#0200#, -- 0x0200 to + kWidth => 16#0200#); -- 0x03FF + constant kTdc1OffsetsInEndpoint : RegOffset_t := (kOffset => 16#0400#, -- 0x0400 to + kWidth => 16#0200#); -- 0x05FF + constant kDaughterboardOffsetInEndpoint : RegOffset_t := (kOffset => 16#0600#, -- 0x0600 to + kWidth => 16#0200#); -- 0x07FF + + + + +end package PkgMgPersonality; diff --git a/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/RadioClocking.vhd b/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/RadioClocking.vhd new file mode 100644 index 000000000..ee0da9f84 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/RadioClocking.vhd @@ -0,0 +1,304 @@ +------------------------------------------------------------------------------- +-- +-- File: RadioClocking.vhd +-- Author: Daniel Jepson +-- Original Project: N310 +-- Date: 22 February 2016 +-- +------------------------------------------------------------------------------- +-- Copyright 2016-2018 Ettus Research, A National Instruments Company +-- SPDX-License-Identifier: LGPL-3.0 +------------------------------------------------------------------------------- +-- +-- Purpose: +-- +-- Instantiates a MMCM to produce 1x, 2x, and 3x versions of the Radio Clock +-- coming from the FPGA input pin. Handles all the buffering for the input clock. +-- Additionally allows the clocks to be turned on and off, and phase shifted. +-- +-- NOTE: This module hard-codes the MMCM settings for a SPECIFIC clock rate! +-- +------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + +library unisim; + use unisim.vcomponents.all; + + +entity RadioClocking is + port ( + -- Async reset. Can be tied low if desired. + aReset : in boolean; + -- Sync reset... used in the same places as the async one. + bReset : in boolean; + + -- Should be a always-on clock + BusClk : in std_logic; + + -- Sync reset to the RadioClkMmcm. + bRadioClkMmcmReset : in std_logic; + + -- Locked indication from the RadioClkMmcm in BusClk and aReset domains. + bRadioClksValid : out std_logic; + + bRadioClk1xEnabled : in std_logic; + bRadioClk2xEnabled : in std_logic; + bRadioClk3xEnabled : in std_logic; + + -- Phase shift interface for the RadioClkMmcm. PsClk must be <= 200 MHz. + pPsInc : in std_logic; + pPsEn : in std_logic; + PsClk : in std_logic; + pPsDone : out std_logic; + + -- Straight from pins. Buffer included in here. + FpgaClk_n : in std_logic; + FpgaClk_p : in std_logic; + + RadioClk1x : out std_logic; + RadioClk2x : out std_logic; + RadioClk3x : out std_logic + + ); +end RadioClocking; + + +architecture rtl of RadioClocking is + + --vhook_sigstart + signal RadioClk1xLcl: std_logic; + signal RadioClk1xPll: std_logic; + signal RadioClk2xLcl: std_logic; + signal RadioClk2xPll: std_logic; + signal RadioClk3xLcl: std_logic; + signal RadioClk3xPll: std_logic; + --vhook_sigend + + signal RadioClkMmcmFeedbackIn, + RadioClkMmcmFeedbackOut, + FpgaClkSE, + aRadioClkMmcmLocked : std_logic; + + signal bRadioClkMmcmLocked_ms, + bRadioClkMmcmLocked, + bEnableRadioClkBufgOutputs, + bEnableRadioClk1xBufgOutput, + bEnableRadioClk2xBufgOutput, + bEnableRadioClk3xBufgOutput : std_logic := '0'; + + signal aRadioClkMmcmResetInternal : std_logic := '1'; + + attribute ASYNC_REG : string; + attribute ASYNC_REG of bRadioClkMmcmLocked_ms : signal is "true"; + attribute ASYNC_REG of bRadioClkMmcmLocked : signal is "true"; + +begin + + -- Radio Clock Buffering : ------------------------------------------------------------ + -- + -- ------------------------------------------------------------------------------------ + --vhook_i IBUFDS FpgaClkIbufg hidegeneric=true + --vhook_a I FpgaClk_p + --vhook_a IB FpgaClk_n + --vhook_a O FpgaClkSE + FpgaClkIbufg: IBUFDS + port map ( + O => FpgaClkSE, --out std_ulogic + I => FpgaClk_p, --in std_ulogic + IB => FpgaClk_n); --in std_ulogic + + ResetDelay : process(aReset, BusClk) + begin + if aReset then + aRadioClkMmcmResetInternal <= '1'; + elsif rising_edge(BusClk) then + if bReset then + aRadioClkMmcmResetInternal <= '1'; + else + -- Delay by 1 to allow the BUFGs to turn off before the MMCM is reset. + aRadioClkMmcmResetInternal <= bRadioClkMmcmReset; + end if; + end if; + end process ResetDelay; + + + RadioClkMmcm: MMCME2_ADV + generic map( + COMPENSATION => "ZHOLD", + BANDWIDTH => "OPTIMIZED", + CLKFBOUT_MULT_F => 6.000, -- Feedback + CLKOUT0_DIVIDE_F => 6.000, -- Data Clock 1x, RadioClk1xPll + CLKOUT1_DIVIDE => 3, -- Data Clock 2x, RadioClk2xPll + CLKOUT2_DIVIDE => 2, -- Data Clock 3x, RadioClk3xPll + CLKOUT3_DIVIDE => 1, -- unused + CLKOUT4_DIVIDE => 1, -- unused + CLKOUT5_DIVIDE => 1, -- unused + CLKOUT6_DIVIDE => 1, -- unused + CLKFBOUT_PHASE => 0.000, -- Feedback + CLKOUT0_PHASE => 0.000, -- Data Clock 1x + CLKOUT1_PHASE => 0.000, -- Data Clock 2x + CLKOUT2_PHASE => 0.000, -- Data Clock 3x + CLKOUT3_PHASE => 0.000, -- unused + CLKOUT4_PHASE => 0.000, -- unused + CLKOUT5_PHASE => 0.000, -- unused + CLKOUT6_PHASE => 0.000, -- unused + CLKOUT0_DUTY_CYCLE => 0.500, + CLKOUT1_DUTY_CYCLE => 0.500, + CLKOUT2_DUTY_CYCLE => 0.500, + CLKOUT3_DUTY_CYCLE => 0.500, + CLKOUT4_DUTY_CYCLE => 0.500, + CLKOUT5_DUTY_CYCLE => 0.500, + CLKOUT6_DUTY_CYCLE => 0.500, + DIVCLK_DIVIDE => 1, + REF_JITTER1 => 0.010, + CLKIN1_PERIOD => 6.510, -- 153.6 MHz max + CLKFBOUT_USE_FINE_PS => true, + CLKOUT0_USE_FINE_PS => false, + CLKOUT1_USE_FINE_PS => false, + CLKOUT2_USE_FINE_PS => false, + CLKOUT3_USE_FINE_PS => false, + CLKOUT4_USE_FINE_PS => false, + CLKOUT5_USE_FINE_PS => false, + CLKOUT6_USE_FINE_PS => false, + STARTUP_WAIT => false, + CLKOUT4_CASCADE => false) + port map ( + CLKINSEL => '1', + CLKIN1 => FpgaClkSE, + CLKIN2 => '0', + CLKFBIN => RadioClkMmcmFeedbackIn, + RST => aRadioClkMmcmResetInternal, + PWRDWN => '0', + DADDR => (others => '0'), + DI => (others => '0'), + DWE => '0', + DEN => '0', + DCLK => '0', + DO => open, + DRDY => open, + PSINCDEC => pPsInc, + PSEN => pPsEn, + PSCLK => PsClk, + PSDONE => pPsDone, + CLKOUT0 => RadioClk1xPll, + CLKOUT0B => open, + CLKOUT1 => RadioClk2xPll, + CLKOUT1B => open, + CLKOUT2 => RadioClk3xPll, + CLKOUT2B => open, + CLKOUT3 => open, + CLKOUT3B => open, + CLKOUT4 => open, + CLKOUT5 => open, + CLKOUT6 => open, + CLKFBOUT => RadioClkMmcmFeedbackOut, + CLKFBOUTB => open, + LOCKED => aRadioClkMmcmLocked, + CLKINSTOPPED => open, + CLKFBSTOPPED => open); + + RadioClkMmcmFeedbackBufg: BUFG + port map ( + I => RadioClkMmcmFeedbackOut, + O => RadioClkMmcmFeedbackIn + ); + + + -- Only enable the WRAPBUFGs when the MMCM is locked. If the MMCM is ever placed in + -- reset, we turn off the clocks one cycle before the asynchronous version + -- (aRadioClkMmcmResetInternal) reaches the MMCM inputs in order to prevent + -- output glitches. + CombineEnablesForBuffers : process(aReset, BusClk) + begin + if aReset then + bRadioClkMmcmLocked_ms <= '0'; + bRadioClkMmcmLocked <= '0'; + bEnableRadioClk1xBufgOutput <= '0'; + bEnableRadioClk2xBufgOutput <= '0'; + bEnableRadioClk3xBufgOutput <= '0'; + bEnableRadioClkBufgOutputs <= '0'; + elsif rising_edge(BusClk) then + if bReset then + bRadioClkMmcmLocked_ms <= '0'; + bRadioClkMmcmLocked <= '0'; + bEnableRadioClk1xBufgOutput <= '0'; + bEnableRadioClk2xBufgOutput <= '0'; + bEnableRadioClk3xBufgOutput <= '0'; + bEnableRadioClkBufgOutputs <= '0'; + else + bRadioClkMmcmLocked_ms <= aRadioClkMmcmLocked; + bRadioClkMmcmLocked <= bRadioClkMmcmLocked_ms; + + bEnableRadioClkBufgOutputs <= bRadioClkMmcmLocked and + not bRadioClkMmcmReset; + bEnableRadioClk1xBufgOutput <= bRadioClk1xEnabled and bEnableRadioClkBufgOutputs; + bEnableRadioClk2xBufgOutput <= bRadioClk2xEnabled and bEnableRadioClkBufgOutputs; + bEnableRadioClk3xBufgOutput <= bRadioClk3xEnabled and bEnableRadioClkBufgOutputs; + end if; + end if; + end process CombineEnablesForBuffers; + + bRadioClksValid <= bEnableRadioClkBufgOutputs; + + --vhook_e WrapBufg RadioClk1xBuf + --vhook_a kEnableByDefault false + --vhook_a kIgnore false + --vhook_a kEnableIsAsync true + --vhook_a ClkIn RadioClk1xPll + --vhook_a aCe bEnableRadioClk1xBufgOutput + --vhook_a ClkOut RadioClk1xLcl + RadioClk1xBuf: entity work.WrapBufg (rtl) + generic map ( + kEnableByDefault => false, --boolean:=false + kIgnore => false, --boolean:=false + kEnableIsAsync => true) --boolean:=false + port map ( + ClkIn => RadioClk1xPll, --in std_logic + aCe => bEnableRadioClk1xBufgOutput, --in std_logic + ClkOut => RadioClk1xLcl); --out std_logic + + --vhook_e WrapBufg RadioClk2xBuf + --vhook_a kEnableByDefault false + --vhook_a kIgnore false + --vhook_a kEnableIsAsync true + --vhook_a ClkIn RadioClk2xPll + --vhook_a aCe bEnableRadioClk2xBufgOutput + --vhook_a ClkOut RadioClk2xLcl + RadioClk2xBuf: entity work.WrapBufg (rtl) + generic map ( + kEnableByDefault => false, --boolean:=false + kIgnore => false, --boolean:=false + kEnableIsAsync => true) --boolean:=false + port map ( + ClkIn => RadioClk2xPll, --in std_logic + aCe => bEnableRadioClk2xBufgOutput, --in std_logic + ClkOut => RadioClk2xLcl); --out std_logic + + --vhook_e WrapBufg RadioClk3xBuf + --vhook_a kEnableByDefault false + --vhook_a kIgnore false + --vhook_a kEnableIsAsync true + --vhook_a ClkIn RadioClk3xPll + --vhook_a aCe bEnableRadioClk3xBufgOutput + --vhook_a ClkOut RadioClk3xLcl + RadioClk3xBuf: entity work.WrapBufg (rtl) + generic map ( + kEnableByDefault => false, --boolean:=false + kIgnore => false, --boolean:=false + kEnableIsAsync => true) --boolean:=false + port map ( + ClkIn => RadioClk3xPll, --in std_logic + aCe => bEnableRadioClk3xBufgOutput, --in std_logic + ClkOut => RadioClk3xLcl); --out std_logic + + + -- Assign outputs from locals. + RadioClk1x <= RadioClk1xLcl; + RadioClk2x <= RadioClk2xLcl; + RadioClk3x <= RadioClk3xLcl; + + + +end rtl; diff --git a/fpga/usrp3/top/n3xx/dboards/mg/db_timing.xdc b/fpga/usrp3/top/n3xx/dboards/mg/db_timing.xdc new file mode 100644 index 000000000..142ba9ab4 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/mg/db_timing.xdc @@ -0,0 +1,347 @@ +# +# Copyright 2017 Ettus Research, A National Instruments Company +# SPDX-License-Identifier: LGPL-3.0 +# +# Timing analysis is performed in "/n3xx/dboards/mg/doc/mg_timing.xlsx". See +# the spreadsheet for more details and explanations. + +#******************************************************************************* +## Daughterboard Clocks + +# 122.88, 125, and 153.6 MHz Sample Clocks are allowable. Constrain the paths to the max +# rate in order to support all rates in a single FPGA image. +set SAMPLE_CLK_PERIOD 6.510 +create_clock -name fpga_clk_a -period $SAMPLE_CLK_PERIOD [get_ports DBA_FPGA_CLK_P] +create_clock -name fpga_clk_b -period $SAMPLE_CLK_PERIOD [get_ports DBB_FPGA_CLK_P] +create_clock -name mgt_clk_dba -period $SAMPLE_CLK_PERIOD [get_ports USRPIO_A_MGTCLK_P] +create_clock -name mgt_clk_dbb -period $SAMPLE_CLK_PERIOD [get_ports USRPIO_B_MGTCLK_P] + +# The Radio Clocks coming from the DBs are synchronized together (at the ADCs) to a +# typical value of less than 100ps. To give ourselves and Vivado some margin, we claim +# here that the DB-B Radio Clock can arrive 500ps before or after the DB-A clock at +# the FPGA (note that the trace lengths of the Radio Clocks coming from the DBs to the +# FPGA are about 0.5" different, thereby incurring ~80ps of additional skew at the FPGA). +# There is one spot in the FPGA where we cross domains between the DB-A and +# DB-B clock, so we must ensure that Vivado can analyze that path safely. +set FPGA_CLK_EARLY -0.5 +set FPGA_CLK_LATE 0.5 +set_clock_latency -source -early $FPGA_CLK_EARLY [get_clocks fpga_clk_b] +set_clock_latency -source -late $FPGA_CLK_LATE [get_clocks fpga_clk_b] + +# Virtual clocks for constraining I/O (used below) +create_clock -name fpga_clk_a_v -period $SAMPLE_CLK_PERIOD +create_clock -name fpga_clk_b_v -period $SAMPLE_CLK_PERIOD + +# The set_clock_latency constraints set on fpga_clk_b are problematic when used with +# I/O timing, since the analyzer gives us a double-hit on the latency. One workaround +# (used here) is to simply swap the early and late times for the virtual clock so that +# it cancels out the source latency during analysis. I tested this by setting the +# early and late numbers to zero and then their actual value, running timing reports +# on each. The slack report matches for both cases, showing that the reversed early/late +# numbers on the virtual clock zero out the latency effects on the actual clock. +# +# Note this is not a problem for the fpga_clk_a, since no latency is added. So only apply +# it to fpga_clk_b_v. +set_clock_latency -source -early $FPGA_CLK_LATE [get_clocks fpga_clk_b_v] +set_clock_latency -source -late $FPGA_CLK_EARLY [get_clocks fpga_clk_b_v] + + + +#******************************************************************************* +## Aliases for auto-generated clocks + +create_generated_clock -name radio_clk_fb [get_pins {dba_core/RadioClockingx/RadioClkMmcm/CLKFBOUT}] +create_generated_clock -name radio_clk [get_pins {dba_core/RadioClockingx/RadioClkMmcm/CLKOUT0}] +create_generated_clock -name radio_clk_2x [get_pins {dba_core/RadioClockingx/RadioClkMmcm/CLKOUT1}] + +create_generated_clock -name radio_clk_b_fb [get_pins {dbb_core/RadioClockingx/RadioClkMmcm/CLKFBOUT}] +create_generated_clock -name radio_clk_b [get_pins {dbb_core/RadioClockingx/RadioClkMmcm/CLKOUT0}] +create_generated_clock -name radio_clk_b_2x [get_pins {dbb_core/RadioClockingx/RadioClkMmcm/CLKOUT1}] + + + +#******************************************************************************* +## Generated clocks for output busses to the daughterboard +# +# These clock definitions need to come above the set_clock_groups commands below to work! + +# Define clocks on the PL SPI clock output pins for both DBs. Actual divider values are +# set by SW at run-time. Divider values are 123, 125, or 154 based on what radio clock +# rate is set. To be ultra-conservative (which still provides 10s of ns of slack), we +# set an over-constrained divider value of 50. +set PL_SPI_DIVIDE_VAL 50 +set PL_SPI_CLK_A [get_ports DBA_CPLD_PL_SPI_SCLK] +create_generated_clock -name pl_spi_clk_a \ + -source [get_pins [all_fanin -flat -only_cells -startpoints_only $PL_SPI_CLK_A]/C] \ + -divide_by $PL_SPI_DIVIDE_VAL $PL_SPI_CLK_A +set PL_SPI_CLK_B [get_ports DBB_CPLD_PL_SPI_SCLK] +create_generated_clock -name pl_spi_clk_b \ + -source [get_pins [all_fanin -flat -only_cells -startpoints_only $PL_SPI_CLK_B]/C] \ + -divide_by $PL_SPI_DIVIDE_VAL $PL_SPI_CLK_B + +# Define one of the outputs of each bus as a clock (even though it isn't a clock). This +# allows us to constrain the overall bus skew with respect to one of the bus outputs. +# See the remainder of this constraint below for more details. +set DSA_CLK [get_ports {DBA_CH1_RX_DSA_DATA[0]}] +create_generated_clock -name dsa_bus_clk \ + -source [get_pins [all_fanin -flat -only_cells -startpoints_only $DSA_CLK]/C] \ + -divide_by 2 $DSA_CLK + +set ATR_CLK [get_ports DBA_ATR_RX_1] +create_generated_clock -name atr_bus_clk \ + -source [get_pins [all_fanin -flat -only_cells -startpoints_only $ATR_CLK]/C] \ + -divide_by 2 $ATR_CLK + +# Interface Unused +# set MGPIO_CLK [get_ports DBA_MYK_GPIO_0] +# create_generated_clock -name myk_gpio_bus_clk \ + # -source [get_pins [all_fanin -flat -only_cells -startpoints_only [get_ports $MGPIO_CLK]]/C] \ + # -divide_by 2 [get_ports $MGPIO_CLK] + + + +#******************************************************************************* +## Asynchronous clock groups + +# MGT reference clocks are also async to everything. +set_clock_groups -asynchronous -group [get_clocks mgt_clk_dba -include_generated_clocks] +set_clock_groups -asynchronous -group [get_clocks mgt_clk_dbb -include_generated_clocks] + +# fpga_clk_a and fpga_clk_b are related to one another after synchronization. +# However, we do need to declare that these clocks (both a and b) and their children +# are async to the remainder of the design. Use the wildcard at the end to grab the +# virtual clock as well as the real ones. +set_clock_groups -asynchronous -group [get_clocks {fpga_clk_a* fpga_clk_b*} -include_generated_clocks] + + + +#******************************************************************************* +## PS SPI: since these lines all come from the PS and I don't have access to the +# driving clock (or anything for that matter), I'm left with constraining the maximum +# and minimum delay on these lines, per a Xilinx AR: +# https://www.xilinx.com/support/answers/62122.html +set CPLD_SPI_OUTS [get_ports {DB*_CPLD_PS_SPI_SCLK \ + DB*_CPLD_PS_SPI_SDI \ + DB*_CPLD_PS_SPI_LE \ + DB*_CPLD_PS_SPI_ADDR[0] \ + DB*_CPLD_PS_SPI_ADDR[1]}] + +set_max_delay 12.0 -to $CPLD_SPI_OUTS +set_min_delay 3.0 -to $CPLD_SPI_OUTS + +set MYK_SPI_OUTS [get_ports {DB*_MYK_SPI_SCLK \ + DB*_MYK_SPI_SDIO \ + DB*_MYK_SPI_CS_n}] + +set_max_delay 14.0 -to $MYK_SPI_OUTS +set_min_delay 3.0 -to $MYK_SPI_OUTS + +# report_timing -to $CPLD_SPI_OUTS -max_paths 20 -delay_type min_max -name CpldSpiOutTiming +# report_timing -to $MYK_SPI_OUTS -max_paths 20 -delay_type min_max -name MykSpiOutTiming + +set MIN_IN_DELAY 2.0 +set MAX_IN_DELAY 10.0 + +set PS_SPI_INPUTS_0 [get_pins -hierarchical -filter {NAME =~ "*/PS7_i/EMIOSPI0MI"}] +set PS_SPI_INPUTS_1 [get_pins -hierarchical -filter {NAME =~ "*/PS7_i/EMIOSPI1MI"}] + +set_max_delay $MAX_IN_DELAY -to $PS_SPI_INPUTS_0 +set_min_delay $MIN_IN_DELAY -to $PS_SPI_INPUTS_0 +set_max_delay $MAX_IN_DELAY -to $PS_SPI_INPUTS_1 +set_min_delay $MIN_IN_DELAY -to $PS_SPI_INPUTS_1 + +# report_timing -to $PS_SPI_INPUTS_0 -max_paths 30 -delay_type min_max -nworst 30 -name Spi0InTiming +# report_timing -to $PS_SPI_INPUTS_1 -max_paths 30 -delay_type min_max -nworst 30 -name Spi1InTiming + + + +#******************************************************************************* +## PL SPI to the CPLD +# +# All of these lines are driven or received from flops in simple_spi_core. The CPLD +# calculations assume the FPGA has less than 20 ns of skew between the SCK and +# SDI/CS_n. Pretty easy constraint to write! See above for the clock definition. +# Do this for DBA and DBB independently. +set MAX_SKEW 20.0 +set SETUP_SKEW [expr {$MAX_SKEW / 2}] +set HOLD_SKEW [expr {$MAX_SKEW / 2}] +# Do not set the output delay constraint on the clock line! +set PORT_LIST_A [get_ports {DBA_CPLD_PL_SPI_LE \ + DBA_CPLD_PL_SPI_SDI \ + DBA_CPLD_PL_SPI_ADDR[0] \ + DBA_CPLD_PL_SPI_ADDR[1]}] +set PORT_LIST_B [get_ports {DBB_CPLD_PL_SPI_LE \ + DBB_CPLD_PL_SPI_SDI \ + DBB_CPLD_PL_SPI_ADDR[0] \ + DBB_CPLD_PL_SPI_ADDR[1]}] +# Then add the output delay on each of the ports. +set_output_delay -clock [get_clocks pl_spi_clk_a] -max -$SETUP_SKEW $PORT_LIST_A +set_output_delay -add_delay -clock_fall -clock [get_clocks pl_spi_clk_a] -max -$SETUP_SKEW $PORT_LIST_A +set_output_delay -clock [get_clocks pl_spi_clk_a] -min $HOLD_SKEW $PORT_LIST_A +set_output_delay -add_delay -clock_fall -clock [get_clocks pl_spi_clk_a] -min $HOLD_SKEW $PORT_LIST_A +set_output_delay -clock [get_clocks pl_spi_clk_b] -max -$SETUP_SKEW $PORT_LIST_B +set_output_delay -add_delay -clock_fall -clock [get_clocks pl_spi_clk_b] -max -$SETUP_SKEW $PORT_LIST_B +set_output_delay -clock [get_clocks pl_spi_clk_b] -min $HOLD_SKEW $PORT_LIST_B +set_output_delay -add_delay -clock_fall -clock [get_clocks pl_spi_clk_b] -min $HOLD_SKEW $PORT_LIST_B +# Finally, make both the setup and hold checks use the same launching and latching edges. +set_multicycle_path -setup -from [get_clocks radio_clk] -to [get_clocks pl_spi_clk_a] -start 0 +set_multicycle_path -hold -from [get_clocks radio_clk] -to [get_clocks pl_spi_clk_a] -1 +set_multicycle_path -setup -from [get_clocks radio_clk] -to [get_clocks pl_spi_clk_b] -start 0 +set_multicycle_path -hold -from [get_clocks radio_clk] -to [get_clocks pl_spi_clk_b] -1 + +# For SDO input timing (MISO), we need to look at the CPLD's constraints on turnaround +# time plus any board propagation delay. +set MISO_INPUT_A [get_ports DBA_CPLD_PL_SPI_SDO] +set MISO_INPUT_B [get_ports DBB_CPLD_PL_SPI_SDO] +set_input_delay -clock [get_clocks pl_spi_clk_a] -clock_fall -max 68.041 $MISO_INPUT_A +set_input_delay -clock [get_clocks pl_spi_clk_a] -clock_fall -min 12.218 $MISO_INPUT_A +set_input_delay -clock [get_clocks pl_spi_clk_b] -clock_fall -max 68.041 $MISO_INPUT_B +set_input_delay -clock [get_clocks pl_spi_clk_b] -clock_fall -min 12.218 $MISO_INPUT_B +# Since the input delay span is clearly more than a period of the radio_clk, we need to +# add a multicycle path here as well to define the clock divider ratio. The MISO data +# is driven on the falling edge of the SPI clock and captured on the rising edge, so we +# only have one half of a SPI clock cycle for our setup. Hold is left alone and is OK +# as-is due to the delays in the CPLD and board. +set SETUP_CYCLES [expr {$PL_SPI_DIVIDE_VAL / 2}] +set HOLD_CYCLES 0 +set_multicycle_path -setup -from [get_clocks pl_spi_clk_a] -through $MISO_INPUT_A \ + $SETUP_CYCLES +set_multicycle_path -hold -from [get_clocks pl_spi_clk_a] -through $MISO_INPUT_A -end \ + [expr {$SETUP_CYCLES + $HOLD_CYCLES - 1}] +set_multicycle_path -setup -from [get_clocks pl_spi_clk_b] -through $MISO_INPUT_B \ + $SETUP_CYCLES +set_multicycle_path -hold -from [get_clocks pl_spi_clk_b] -through $MISO_INPUT_B -end \ + [expr {$SETUP_CYCLES + $HOLD_CYCLES - 1}] + +# One of the PL_SPI_ADDR lines is used instead for the LMK SYNC strobe. This line is +# driven asynchronously. +set_output_delay -clock [get_clocks async_out_clk] 0.000 [get_ports DB*_CPLD_PL_SPI_ADDR[2]] +set_max_delay -to [get_ports DB*_CPLD_PL_SPI_ADDR[2]] 50.000 +set_min_delay -to [get_ports DB*_CPLD_PL_SPI_ADDR[2]] 0.000 + + + +#******************************************************************************* +## DSA Bus +# The DSA controls are driven from the DB-A radio clock. Although they are received async +# at the DSAs, they should be tightly constrained in the FPGA to arrive as closely as +# possible. The best way to do this is a skew constraint across all the bits. +set MAX_SKEW 2.5 +set SETUP_SKEW [expr {($MAX_SKEW / 2)+0.5}] +set HOLD_SKEW [expr {($MAX_SKEW / 2)-0.5}] +set PORT_LIST [get_ports {DB*_CH*_*X_DSA_DATA[*]}] +# Then add the output delay on each of the ports. +set_output_delay -clock [get_clocks dsa_bus_clk] -max -$SETUP_SKEW $PORT_LIST +set_output_delay -add_delay -clock_fall -clock [get_clocks dsa_bus_clk] -max -$SETUP_SKEW $PORT_LIST +set_output_delay -clock [get_clocks dsa_bus_clk] -min $HOLD_SKEW $PORT_LIST +set_output_delay -add_delay -clock_fall -clock [get_clocks dsa_bus_clk] -min $HOLD_SKEW $PORT_LIST +# Finally, make both the setup and hold checks use the same launching and latching edges. +# The clock, which is essentially one of the data lines, should arrive at the pin +# +/- MAX_DELAY compared to the other data lines, so setup and hold checks need to be +# relative to the SAME edges for both the clock and the data. +set_multicycle_path -setup -from [get_clocks radio_clk] -to [get_clocks dsa_bus_clk] -start 0 +set_multicycle_path -hold -from [get_clocks radio_clk] -to [get_clocks dsa_bus_clk] -1 +# Remove analysis from the output "clock" pin. There are ways to do this using TCL, but +# they aren't supported in XDC files... so we do it the old fashioned way. +set_output_delay -clock [get_clocks async_out_clk] 0.000 $DSA_CLK +set_max_delay -to $DSA_CLK 50.000 +set_min_delay -to $DSA_CLK 0.000 + + + +#******************************************************************************* +## ATR Bus +# The ATR bits are driven from the DB-A radio clock. Although they are received async in +# the CPLD, they should be tightly constrained in the FPGA to avoid any race conditions. +# The best way to do this is a skew constraint across all the bits. +set MAX_SKEW 2.5 +set SETUP_SKEW [expr {($MAX_SKEW / 2)+0.5}] +set HOLD_SKEW [expr {($MAX_SKEW / 2)-0.5}] +set PORT_LIST [get_ports DB*_ATR_*X_*] +# Then add the output delay on each of the ports. +set_output_delay -clock [get_clocks atr_bus_clk] -max -$SETUP_SKEW $PORT_LIST +set_output_delay -add_delay -clock_fall -clock [get_clocks atr_bus_clk] -max -$SETUP_SKEW $PORT_LIST +set_output_delay -clock [get_clocks atr_bus_clk] -min $HOLD_SKEW $PORT_LIST +set_output_delay -add_delay -clock_fall -clock [get_clocks atr_bus_clk] -min $HOLD_SKEW $PORT_LIST +# Finally, make both the setup and hold checks use the same launching and latching edges. +set_multicycle_path -setup -to [get_clocks atr_bus_clk] -start 0 +set_multicycle_path -hold -to [get_clocks atr_bus_clk] -1 +# Remove analysis from the output "clock" pin. There are ways to do this using TCL, but +# they aren't supported in XDC files... so we do it the old fashioned way. +set_output_delay -clock [get_clocks async_out_clk] 0.000 $ATR_CLK +set_max_delay -to $ATR_CLK 50.000 +set_min_delay -to $ATR_CLK 0.000 + + + +#******************************************************************************* +## Mykonos Ports +# Mykonos GPIO is driven from the DB-A radio clock. Although they are received async in +# Mykonos, they should be tightly constrained in the FPGA to avoid any race conditions. +# The best way to do this is a skew constraint across all the bits. +# set MAX_SKEW 2.5 +# set SETUP_SKEW [expr {($MAX_SKEW / 2)+0.5}] +# set HOLD_SKEW [expr {($MAX_SKEW / 2)-0.5}] +# set PORT_LIST [get_ports DB*_ATR_*X_*] +# # Then add the output delay on each of the ports. +# set_output_delay -clock [get_clocks myk_gpio_bus_clk] -max -$SETUP_SKEW $PORT_LIST +# set_output_delay -add_delay -clock_fall -clock [get_clocks myk_gpio_bus_clk] -max -$SETUP_SKEW $PORT_LIST +# set_output_delay -clock [get_clocks myk_gpio_bus_clk] -min $HOLD_SKEW $PORT_LIST +# set_output_delay -add_delay -clock_fall -clock [get_clocks myk_gpio_bus_clk] -min $HOLD_SKEW $PORT_LIST +# # Finally, make both the setup and hold checks use the same launching and latching edges. +# set_multicycle_path -setup -to [get_clocks myk_gpio_bus_clk] -start 0 +# set_multicycle_path -hold -to [get_clocks myk_gpio_bus_clk] -1 +# # Remove analysis from the output "clock" pin. There are ways to do this using TCL, but +# # they aren't supported in XDC files... so we do it the old fashioned way. +# set_output_delay -clock [get_clocks async_out_clk] 0.000 $MGPIO_CLK +# set_max_delay -to $MGPIO_CLK 50.000 +# set_min_delay -to $MGPIO_CLK 0.000 + +# Mykonos Interrupt is received asynchronously, and driven directly to the PS. +set_input_delay -clock [get_clocks async_in_clk] 0.000 [get_ports DB*_MYK_INTRQ] +set_max_delay -from [get_ports DB*_MYK_INTRQ] 50.000 +set_min_delay -from [get_ports DB*_MYK_INTRQ] 0.000 + + + +#******************************************************************************* +## SYSREF/SYNC JESD Timing +# +# SYNC is async, SYSREF is tightly timed. + +# The SYNC output for both DBs is governed by the JESD cores, which are solely driven by +# DB-A clock... but it is an asynchronous signal so we use the async_out_clk. +set_output_delay -clock [get_clocks async_out_clk] 0.000 [get_ports DB*_MYK_SYNC_IN_n] +set_max_delay -to [get_ports DB*_MYK_SYNC_IN_n] 50.000 +set_min_delay -to [get_ports DB*_MYK_SYNC_IN_n] 0.000 + +# The SYNC input for both DBs is received by the DB-A clock inside the JESD cores... but +# again, it is asynchronous and therefore uses the async_in_clk. +set_input_delay -clock [get_clocks async_in_clk] 0.000 [get_ports DB*_MYK_SYNC_OUT_n] +set_max_delay -from [get_ports DB*_MYK_SYNC_OUT_n] 50.000 +set_min_delay -from [get_ports DB*_MYK_SYNC_OUT_n] 0.000 + +# SYSREF is driven by the LMK directly to the FPGA. Timing analysis was performed once +# for the worst-case numbers across both DBs to produce one set of numbers for both DBs. +# Since we easily meet setup and hold in Vivado, then this is an acceptable approach. +# SYSREF is captured by the local clock from each DB, so we have two sets of constraints. +set_input_delay -clock fpga_clk_a_v -min -0.906 [get_ports DBA_FPGA_SYSREF_*] +set_input_delay -clock fpga_clk_a_v -max 0.646 [get_ports DBA_FPGA_SYSREF_*] + +set_input_delay -clock fpga_clk_b_v -min -0.906 [get_ports DBB_FPGA_SYSREF_*] +set_input_delay -clock fpga_clk_b_v -max 0.646 [get_ports DBB_FPGA_SYSREF_*] + + + +#******************************************************************************* +## PPS Timing + +# Due to the N3xx synchronization and clocking structure, the PPS output is driven from +# the Sample Clock domain instead of the input Reference Clock. Constrain the output as +# tightly as possible to accurately mimic the internal Sample Clock timing. +set SETUP_SKEW 2.0 +set HOLD_SKEW -0.5 +set_output_delay -clock [get_clocks fpga_clk_a_v] -max -$SETUP_SKEW [get_ports REF_1PPS_OUT] +set_output_delay -clock [get_clocks fpga_clk_a_v] -min $HOLD_SKEW [get_ports REF_1PPS_OUT] +set_multicycle_path -setup -to [get_ports REF_1PPS_OUT] -start 0 +set_multicycle_path -hold -to [get_ports REF_1PPS_OUT] -1 diff --git a/fpga/usrp3/top/n3xx/dboards/mg/doc/CPLD.md b/fpga/usrp3/top/n3xx/dboards/mg/doc/CPLD.md new file mode 100644 index 000000000..2071c46ba --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/mg/doc/CPLD.md @@ -0,0 +1,2 @@ +Timing closure of the CPLD design relies on the pre-set seed value. The build +requires Quartus 16.1.2. diff --git a/fpga/usrp3/top/n3xx/dboards/mg/doc/mg_timing.xlsx b/fpga/usrp3/top/n3xx/dboards/mg/doc/mg_timing.xlsx new file mode 100644 index 000000000..c4ad8ac5c Binary files /dev/null and b/fpga/usrp3/top/n3xx/dboards/mg/doc/mg_timing.xlsx differ diff --git a/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v b/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v new file mode 100644 index 000000000..32fa84153 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v @@ -0,0 +1,3915 @@ +/////////////////////////////////////////////////////////////////// +/// +// Copyright 2016-2019 Ettus Research, A National Instruments Brand +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// +// Module: n3xx +// Description: +// Top Level for N3xx devices +// +////////////////////////////////////////////////////////////////////// + +module n3xx ( + inout [11:0] FPGA_GPIO, + + input FPGA_REFCLK_P, + input FPGA_REFCLK_N, + input REF_1PPS_IN, + input NETCLK_REF_P, + input NETCLK_REF_N, + //input REF_1PPS_IN_MGMT, + output REF_1PPS_OUT, + + //TDC + inout UNUSED_PIN_TDCA_0, + inout UNUSED_PIN_TDCA_1, + inout UNUSED_PIN_TDCA_2, + inout UNUSED_PIN_TDCA_3, + inout UNUSED_PIN_TDCB_0, + inout UNUSED_PIN_TDCB_1, + inout UNUSED_PIN_TDCB_2, + inout UNUSED_PIN_TDCB_3, + +`ifdef NPIO_LANES + input NPIO_RX0_P, + input NPIO_RX0_N, + output NPIO_TX0_P, + output NPIO_TX0_N, + input NPIO_RX1_P, + input NPIO_RX1_N, + output NPIO_TX1_P, + output NPIO_TX1_N, +`endif +`ifdef QSFP_LANES + input [`QSFP_LANES-1:0] QSFP_RX_P, + input [`QSFP_LANES-1:0] QSFP_RX_N, + output [`QSFP_LANES-1:0] QSFP_TX_P, + output [`QSFP_LANES-1:0] QSFP_TX_N, + output QSFP_RESET_B, + output QSFP_LED, + output QSFP_MODSEL_B, + output QSFP_LPMODE, + input QSFP_PRESENT_B, + input QSFP_INT_B, + inout QSFP_I2C_SCL, + inout QSFP_I2C_SDA, +`endif + //TODO: Uncomment when connected here + //input NPIO_0_RXSYNC_0_P, NPIO_0_RXSYNC_1_P, + //input NPIO_0_RXSYNC_0_N, NPIO_0_RXSYNC_1_N, + //output NPIO_0_TXSYNC_0_P, NPIO_0_TXSYNC_1_P, + //output NPIO_0_TXSYNC_0_N, NPIO_0_TXSYNC_1_N, + //input NPIO_1_RXSYNC_0_P, NPIO_1_RXSYNC_1_P, + //input NPIO_1_RXSYNC_0_N, NPIO_1_RXSYNC_1_N, + //output NPIO_1_TXSYNC_0_P, NPIO_1_TXSYNC_1_P, + //output NPIO_1_TXSYNC_0_N, NPIO_1_TXSYNC_1_N, + //input NPIO_2_RXSYNC_0_P, NPIO_2_RXSYNC_1_P, + //input NPIO_2_RXSYNC_0_N, NPIO_2_RXSYNC_1_N, + //output NPIO_2_TXSYNC_0_P, NPIO_2_TXSYNC_1_P, + //output NPIO_2_TXSYNC_0_N, NPIO_2_TXSYNC_1_N, + + //GPS + input GPS_1PPS, + //input GPS_1PPS_RAW, + + //Misc + input ENET0_CLK125, + //inout ENET0_PTP, + //output ENET0_PTP_DIR, + //inout ATSHA204_SDA, + input FPGA_PL_RESETN, // TODO: Add to reset logic + // output reg [1:0] FPGA_TEST, + //input PWR_CLK_FPGA, // TODO: check direction + input FPGA_PUDC_B, + + //White Rabbit + input WB_20MHZ_P, + input WB_20MHZ_N, + output WB_DAC_DIN, + output WB_DAC_NCLR, + output WB_DAC_NLDAC, + output WB_DAC_NSYNC, + output WB_DAC_SCLK, + + //LEDS + output PANEL_LED_GPS, + output PANEL_LED_LINK, + output PANEL_LED_PPS, + output PANEL_LED_REF, + + // ARM Connections (PS) + inout [53:0] MIO, + inout PS_SRSTB, + inout PS_CLK, + inout PS_PORB, + inout DDR_Clk, + inout DDR_Clk_n, + inout DDR_CKE, + inout DDR_CS_n, + inout DDR_RAS_n, + inout DDR_CAS_n, + inout DDR_WEB, + inout [2:0] DDR_BankAddr, + inout [14:0] DDR_Addr, + inout DDR_ODT, + inout DDR_DRSTB, + inout [31:0] DDR_DQ, + inout [3:0] DDR_DM, + inout [3:0] DDR_DQS, + inout [3:0] DDR_DQS_n, + inout DDR_VRP, + inout DDR_VRN, + + + /////////////////////////////////// + // + // High Speed SPF+ signals and clocking + // + /////////////////////////////////// + + // These clock inputs must always be enabled with a buffer regardless of the build + // target to avoid damage to the FPGA. + input NETCLK_P, + input NETCLK_N, + input MGT156MHZ_CLK1_P, + input MGT156MHZ_CLK1_N, + + input SFP_0_RX_P, input SFP_0_RX_N, + output SFP_0_TX_P, output SFP_0_TX_N, + input SFP_1_RX_P, input SFP_1_RX_N, + output SFP_1_TX_P, output SFP_1_TX_N, + + + /////////////////////////////////// + // + // DRAM Interface + // + /////////////////////////////////// + inout [31:0] ddr3_dq, // Data pins. Input for Reads, Output for Writes. + inout [3:0] ddr3_dqs_n, // Data Strobes. Input for Reads, Output for Writes. + inout [3:0] ddr3_dqs_p, + // + output [15:0] ddr3_addr, // Address + output [2:0] ddr3_ba, // Bank Address + output ddr3_ras_n, // Row Address Strobe. + output ddr3_cas_n, // Column address select + output ddr3_we_n, // Write Enable + output ddr3_reset_n, // SDRAM reset pin. + output [0:0] ddr3_ck_p, // Differential clock + output [0:0] ddr3_ck_n, + output [0:0] ddr3_cke, // Clock Enable + output [0:0] ddr3_cs_n, // Chip Select + output [3:0] ddr3_dm, // Data Mask [3] = UDM.U26, [2] = LDM.U26, ... + output [0:0] ddr3_odt, // On-Die termination enable. + // + input sys_clk_p, // Differential + input sys_clk_n, // 100MHz clock source to generate DDR3 clocking. + + + /////////////////////////////////// + // + // Supporting I/O for SPF+ interfaces + // (non high speed stuff) + // + /////////////////////////////////// + + //SFP+ 0, Slow Speed, Bank 13 3.3V + input SFP_0_I2C_NPRESENT, + output SFP_0_LED_A, + output SFP_0_LED_B, + input SFP_0_LOS, + output SFP_0_RS0, + output SFP_0_RS1, + output SFP_0_TXDISABLE, + input SFP_0_TXFAULT, + + //SFP+ 1, Slow Speed, Bank 13 3.3V + //input SFP_1_I2C_NPRESENT, + output SFP_1_LED_A, + output SFP_1_LED_B, + input SFP_1_LOS, + output SFP_1_RS0, + output SFP_1_RS1, + output SFP_1_TXDISABLE, + input SFP_1_TXFAULT, + + //USRP IO A + output DBA_CPLD_PS_SPI_SCLK, + output DBA_CPLD_PS_SPI_LE, + output DBA_CPLD_PS_SPI_SDI, + input DBA_CPLD_PS_SPI_SDO, + output [1:0] DBA_CPLD_PS_SPI_ADDR, + + output DBA_ATR_RX_1, + output DBA_ATR_RX_2, + output DBA_ATR_TX_1, + output DBA_ATR_TX_2, + + output [5:0] DBA_CH1_TX_DSA_DATA, + output [5:0] DBA_CH1_RX_DSA_DATA, + output [5:0] DBA_CH2_TX_DSA_DATA, + output [5:0] DBA_CH2_RX_DSA_DATA, + + output DBA_CPLD_PL_SPI_SCLK, + output DBA_CPLD_PL_SPI_LE, + output DBA_CPLD_PL_SPI_SDI, + input DBA_CPLD_PL_SPI_SDO, + output [2:0] DBA_CPLD_PL_SPI_ADDR, + + output DBA_MYK_SPI_SCLK, + output DBA_MYK_SPI_CS_n, + input DBA_MYK_SPI_SDO, + output DBA_MYK_SPI_SDIO, + input DBA_MYK_INTRQ, + + output DBA_MYK_SYNC_IN_n, + input DBA_MYK_SYNC_OUT_n, + + inout DBA_CPLD_JTAG_TCK, + inout DBA_CPLD_JTAG_TMS, + inout DBA_CPLD_JTAG_TDI, + input DBA_CPLD_JTAG_TDO, + + output DBA_MYK_GPIO_0, + output DBA_MYK_GPIO_1, + output DBA_MYK_GPIO_3, + output DBA_MYK_GPIO_4, + output DBA_MYK_GPIO_12, + output DBA_MYK_GPIO_13, + output DBA_MYK_GPIO_14, + output DBA_MYK_GPIO_15, + + input DBA_FPGA_CLK_P, + input DBA_FPGA_CLK_N, + input DBA_FPGA_SYSREF_P, + input DBA_FPGA_SYSREF_N, + + input USRPIO_A_MGTCLK_P, + input USRPIO_A_MGTCLK_N, + + input [3:0] USRPIO_A_RX_P, + input [3:0] USRPIO_A_RX_N, + output [3:0] USRPIO_A_TX_P, + output [3:0] USRPIO_A_TX_N + +`ifndef N300 + //USRP IO B + ,output DBB_CPLD_PS_SPI_SCLK, + output DBB_CPLD_PS_SPI_LE, + output DBB_CPLD_PS_SPI_SDI, + input DBB_CPLD_PS_SPI_SDO, + output [1:0] DBB_CPLD_PS_SPI_ADDR, + + output DBB_ATR_RX_1, + output DBB_ATR_RX_2, + output DBB_ATR_TX_1, + output DBB_ATR_TX_2, + + output [5:0] DBB_CH1_TX_DSA_DATA, + output [5:0] DBB_CH1_RX_DSA_DATA, + output [5:0] DBB_CH2_TX_DSA_DATA, + output [5:0] DBB_CH2_RX_DSA_DATA, + + output DBB_CPLD_PL_SPI_SCLK, + output DBB_CPLD_PL_SPI_LE, + output DBB_CPLD_PL_SPI_SDI, + input DBB_CPLD_PL_SPI_SDO, + output [2:0] DBB_CPLD_PL_SPI_ADDR, + + output DBB_MYK_SPI_SCLK, + output DBB_MYK_SPI_CS_n, + input DBB_MYK_SPI_SDO, + output DBB_MYK_SPI_SDIO, + input DBB_MYK_INTRQ, + + output DBB_MYK_SYNC_IN_n, + input DBB_MYK_SYNC_OUT_n, + + inout DBB_CPLD_JTAG_TCK, + inout DBB_CPLD_JTAG_TMS, + inout DBB_CPLD_JTAG_TDI, + input DBB_CPLD_JTAG_TDO, + + output DBB_MYK_GPIO_0, + output DBB_MYK_GPIO_1, + output DBB_MYK_GPIO_3, + output DBB_MYK_GPIO_4, + output DBB_MYK_GPIO_12, + output DBB_MYK_GPIO_13, + output DBB_MYK_GPIO_14, + output DBB_MYK_GPIO_15, + + input DBB_FPGA_CLK_P, + input DBB_FPGA_CLK_N, + input DBB_FPGA_SYSREF_P, + input DBB_FPGA_SYSREF_N, + + input USRPIO_B_MGTCLK_P, + input USRPIO_B_MGTCLK_N, + + input [3:0] USRPIO_B_RX_P, + input [3:0] USRPIO_B_RX_N, + output [3:0] USRPIO_B_TX_P, + output [3:0] USRPIO_B_TX_N +`endif +); + + localparam N_AXILITE_SLAVES = 4; + localparam REG_AWIDTH = 14; // log2(0x4000) + localparam QSFP_REG_AWIDTH = 17; // log2(0x20000) + localparam REG_DWIDTH = 32; + localparam FP_GPIO_OFFSET = 32; + localparam FP_GPIO_WIDTH = 12; + +`ifdef N310 + localparam NUM_RADIOS = 2; + localparam NUM_CHANNELS_PER_RADIO = 2; + localparam NUM_DBOARDS = 2; +`elsif N300 + localparam NUM_RADIOS = 1; + localparam NUM_CHANNELS_PER_RADIO = 2; + localparam NUM_DBOARDS = 1; +`endif + localparam NUM_CHANNELS = NUM_RADIOS * NUM_CHANNELS_PER_RADIO; + localparam [15:0] RFNOC_PROTOVER = {8'd1, 8'd0}; + + // Internal connections to PS + // HP0 -- High Performance port 0, FPGA is the master + wire [5:0] S_AXI_HP0_AWID; + wire [31:0] S_AXI_HP0_AWADDR; + wire [2:0] S_AXI_HP0_AWPROT; + wire S_AXI_HP0_AWVALID; + wire S_AXI_HP0_AWREADY; + wire [63:0] S_AXI_HP0_WDATA; + wire [7:0] S_AXI_HP0_WSTRB; + wire S_AXI_HP0_WVALID; + wire S_AXI_HP0_WREADY; + wire [1:0] S_AXI_HP0_BRESP; + wire S_AXI_HP0_BVALID; + wire S_AXI_HP0_BREADY; + wire [5:0] S_AXI_HP0_ARID; + wire [31:0] S_AXI_HP0_ARADDR; + wire [2:0] S_AXI_HP0_ARPROT; + wire S_AXI_HP0_ARVALID; + wire S_AXI_HP0_ARREADY; + wire [63:0] S_AXI_HP0_RDATA; + wire [1:0] S_AXI_HP0_RRESP; + wire S_AXI_HP0_RVALID; + wire S_AXI_HP0_RREADY; + wire S_AXI_HP0_RLAST; + wire [3:0] S_AXI_HP0_ARCACHE; + wire [7:0] S_AXI_HP0_AWLEN; + wire [2:0] S_AXI_HP0_AWSIZE; + wire [1:0] S_AXI_HP0_AWBURST; + wire [3:0] S_AXI_HP0_AWCACHE; + wire S_AXI_HP0_WLAST; + wire [7:0] S_AXI_HP0_ARLEN; + wire [1:0] S_AXI_HP0_ARBURST; + wire [2:0] S_AXI_HP0_ARSIZE; + + // GP0 -- General Purpose port 0, FPGA is the master + wire [4:0] S_AXI_GP0_AWID; + wire [31:0] S_AXI_GP0_AWADDR; + wire [2:0] S_AXI_GP0_AWPROT; + wire S_AXI_GP0_AWVALID; + wire S_AXI_GP0_AWREADY; + wire [31:0] S_AXI_GP0_WDATA; + wire [3:0] S_AXI_GP0_WSTRB; + wire S_AXI_GP0_WVALID; + wire S_AXI_GP0_WREADY; + wire [1:0] S_AXI_GP0_BRESP; + wire S_AXI_GP0_BVALID; + wire S_AXI_GP0_BREADY; + wire [4:0] S_AXI_GP0_ARID; + wire [31:0] S_AXI_GP0_ARADDR; + wire [2:0] S_AXI_GP0_ARPROT; + wire S_AXI_GP0_ARVALID; + wire S_AXI_GP0_ARREADY; + wire [31:0] S_AXI_GP0_RDATA; + wire [1:0] S_AXI_GP0_RRESP; + wire S_AXI_GP0_RVALID; + wire S_AXI_GP0_RREADY; + wire S_AXI_GP0_RLAST; + wire [3:0] S_AXI_GP0_ARCACHE; + wire [7:0] S_AXI_GP0_AWLEN; + wire [2:0] S_AXI_GP0_AWSIZE; + wire [1:0] S_AXI_GP0_AWBURST; + wire [3:0] S_AXI_GP0_AWCACHE; + wire S_AXI_GP0_WLAST; + wire [7:0] S_AXI_GP0_ARLEN; + wire [1:0] S_AXI_GP0_ARBURST; + wire [2:0] S_AXI_GP0_ARSIZE; + + // HP1 -- High Performance port 1, FPGA is the master + wire [5:0] S_AXI_HP1_AWID; + wire [31:0] S_AXI_HP1_AWADDR; + wire [2:0] S_AXI_HP1_AWPROT; + wire S_AXI_HP1_AWVALID; + wire S_AXI_HP1_AWREADY; + wire [63:0] S_AXI_HP1_WDATA; + wire [7:0] S_AXI_HP1_WSTRB; + wire S_AXI_HP1_WVALID; + wire S_AXI_HP1_WREADY; + wire [1:0] S_AXI_HP1_BRESP; + wire S_AXI_HP1_BVALID; + wire S_AXI_HP1_BREADY; + wire [5:0] S_AXI_HP1_ARID; + wire [31:0] S_AXI_HP1_ARADDR; + wire [2:0] S_AXI_HP1_ARPROT; + wire S_AXI_HP1_ARVALID; + wire S_AXI_HP1_ARREADY; + wire [63:0] S_AXI_HP1_RDATA; + wire [1:0] S_AXI_HP1_RRESP; + wire S_AXI_HP1_RVALID; + wire S_AXI_HP1_RREADY; + wire S_AXI_HP1_RLAST; + wire [3:0] S_AXI_HP1_ARCACHE; + wire [7:0] S_AXI_HP1_AWLEN; + wire [2:0] S_AXI_HP1_AWSIZE; + wire [1:0] S_AXI_HP1_AWBURST; + wire [3:0] S_AXI_HP1_AWCACHE; + wire S_AXI_HP1_WLAST; + wire [7:0] S_AXI_HP1_ARLEN; + wire [1:0] S_AXI_HP1_ARBURST; + wire [2:0] S_AXI_HP1_ARSIZE; + + // GP1 -- General Purpose port 1, FPGA is the master + wire [4:0] S_AXI_GP1_AWID; + wire [31:0] S_AXI_GP1_AWADDR; + wire [2:0] S_AXI_GP1_AWPROT; + wire S_AXI_GP1_AWVALID; + wire S_AXI_GP1_AWREADY; + wire [31:0] S_AXI_GP1_WDATA; + wire [3:0] S_AXI_GP1_WSTRB; + wire S_AXI_GP1_WVALID; + wire S_AXI_GP1_WREADY; + wire [1:0] S_AXI_GP1_BRESP; + wire S_AXI_GP1_BVALID; + wire S_AXI_GP1_BREADY; + wire [4:0] S_AXI_GP1_ARID; + wire [31:0] S_AXI_GP1_ARADDR; + wire [2:0] S_AXI_GP1_ARPROT; + wire S_AXI_GP1_ARVALID; + wire S_AXI_GP1_ARREADY; + wire [31:0] S_AXI_GP1_RDATA; + wire [1:0] S_AXI_GP1_RRESP; + wire S_AXI_GP1_RVALID; + wire S_AXI_GP1_RREADY; + wire S_AXI_GP1_RLAST; + wire [3:0] S_AXI_GP1_ARCACHE; + wire [7:0] S_AXI_GP1_AWLEN; + wire [2:0] S_AXI_GP1_AWSIZE; + wire [1:0] S_AXI_GP1_AWBURST; + wire [3:0] S_AXI_GP1_AWCACHE; + wire S_AXI_GP1_WLAST; + wire [7:0] S_AXI_GP1_ARLEN; + wire [1:0] S_AXI_GP1_ARBURST; + wire [2:0] S_AXI_GP1_ARSIZE; + + // GP0 -- General Purpose port 0, FPGA is the slave + wire M_AXI_GP0_ARVALID; + wire M_AXI_GP0_AWVALID; + wire M_AXI_GP0_BREADY; + wire M_AXI_GP0_RREADY; + wire M_AXI_GP0_WVALID; + wire [11:0] M_AXI_GP0_ARID; + wire [11:0] M_AXI_GP0_AWID; + wire [11:0] M_AXI_GP0_WID; + wire [31:0] M_AXI_GP0_ARADDR; + wire [31:0] M_AXI_GP0_AWADDR; + wire [31:0] M_AXI_GP0_WDATA; + wire [3:0] M_AXI_GP0_WSTRB; + wire M_AXI_GP0_ARREADY; + wire M_AXI_GP0_AWREADY; + wire M_AXI_GP0_BVALID; + wire M_AXI_GP0_RLAST; + wire M_AXI_GP0_RVALID; + wire M_AXI_GP0_WREADY; + wire [1:0] M_AXI_GP0_BRESP; + wire [1:0] M_AXI_GP0_RRESP; + wire [31:0] M_AXI_GP0_RDATA; + + wire M_AXI_ETH_DMA0_ARVALID; + wire M_AXI_ETH_DMA0_AWVALID; + wire M_AXI_ETH_DMA0_BREADY; + wire M_AXI_ETH_DMA0_RREADY; + wire M_AXI_ETH_DMA0_WVALID; + wire [11:0] M_AXI_ETH_DMA0_ARID; + wire [11:0] M_AXI_ETH_DMA0_AWID; + wire [11:0] M_AXI_ETH_DMA0_WID; + wire [31:0] M_AXI_ETH_DMA0_ARADDR; + wire [31:0] M_AXI_ETH_DMA0_AWADDR; + wire [31:0] M_AXI_ETH_DMA0_WDATA; + wire [3:0] M_AXI_ETH_DMA0_WSTRB; + wire M_AXI_ETH_DMA0_ARREADY; + wire M_AXI_ETH_DMA0_AWREADY; + wire M_AXI_ETH_DMA0_BVALID; + wire M_AXI_ETH_DMA0_RLAST; + wire M_AXI_ETH_DMA0_RVALID; + wire M_AXI_ETH_DMA0_WREADY; + wire [1:0] M_AXI_ETH_DMA0_BRESP; + wire [1:0] M_AXI_ETH_DMA0_RRESP; + wire [31:0] M_AXI_ETH_DMA0_RDATA; + + wire M_AXI_NET0_ARVALID; + wire M_AXI_NET0_AWVALID; + wire M_AXI_NET0_BREADY; + wire M_AXI_NET0_RREADY; + wire M_AXI_NET0_WVALID; + wire [11:0] M_AXI_NET0_ARID; + wire [11:0] M_AXI_NET0_AWID; + wire [11:0] M_AXI_NET0_WID; + wire [31:0] M_AXI_NET0_ARADDR; + wire [31:0] M_AXI_NET0_AWADDR; + wire [31:0] M_AXI_NET0_WDATA; + wire [3:0] M_AXI_NET0_WSTRB; + wire M_AXI_NET0_ARREADY; + wire M_AXI_NET0_AWREADY; + wire M_AXI_NET0_BVALID; + wire M_AXI_NET0_RLAST; + wire M_AXI_NET0_RVALID; + wire M_AXI_NET0_WREADY; + wire [1:0] M_AXI_NET0_BRESP; + wire [1:0] M_AXI_NET0_RRESP; + wire [31:0] M_AXI_NET0_RDATA; + + wire M_AXI_ETH_DMA1_ARVALID; + wire M_AXI_ETH_DMA1_AWVALID; + wire M_AXI_ETH_DMA1_BREADY; + wire M_AXI_ETH_DMA1_RREADY; + wire M_AXI_ETH_DMA1_WVALID; + wire [11:0] M_AXI_ETH_DMA1_ARID; + wire [11:0] M_AXI_ETH_DMA1_AWID; + wire [11:0] M_AXI_ETH_DMA1_WID; + wire [31:0] M_AXI_ETH_DMA1_ARADDR; + wire [31:0] M_AXI_ETH_DMA1_AWADDR; + wire [31:0] M_AXI_ETH_DMA1_WDATA; + wire [3:0] M_AXI_ETH_DMA1_WSTRB; + wire M_AXI_ETH_DMA1_ARREADY; + wire M_AXI_ETH_DMA1_AWREADY; + wire M_AXI_ETH_DMA1_BVALID; + wire M_AXI_ETH_DMA1_RLAST; + wire M_AXI_ETH_DMA1_RVALID; + wire M_AXI_ETH_DMA1_WREADY; + wire [1:0] M_AXI_ETH_DMA1_BRESP; + wire [1:0] M_AXI_ETH_DMA1_RRESP; + wire [31:0] M_AXI_ETH_DMA1_RDATA; + + wire M_AXI_NET1_ARVALID; + wire M_AXI_NET1_AWVALID; + wire M_AXI_NET1_BREADY; + wire M_AXI_NET1_RREADY; + wire M_AXI_NET1_WVALID; + wire [11:0] M_AXI_NET1_ARID; + wire [11:0] M_AXI_NET1_AWID; + wire [11:0] M_AXI_NET1_WID; + wire [31:0] M_AXI_NET1_ARADDR; + wire [31:0] M_AXI_NET1_AWADDR; + wire [31:0] M_AXI_NET1_WDATA; + wire [3:0] M_AXI_NET1_WSTRB; + wire M_AXI_NET1_ARREADY; + wire M_AXI_NET1_AWREADY; + wire M_AXI_NET1_BVALID; + wire M_AXI_NET1_RLAST; + wire M_AXI_NET1_RVALID; + wire M_AXI_NET1_WREADY; + wire [1:0] M_AXI_NET1_BRESP; + wire [1:0] M_AXI_NET1_RRESP; + wire [31:0] M_AXI_NET1_RDATA; + + wire M_AXI_NET2_ARVALID; + wire M_AXI_NET2_AWVALID; + wire M_AXI_NET2_BREADY; + wire M_AXI_NET2_RREADY; + wire M_AXI_NET2_WVALID; + wire [11:0] M_AXI_NET2_ARID; + wire [11:0] M_AXI_NET2_AWID; + wire [11:0] M_AXI_NET2_WID; + wire [31:0] M_AXI_NET2_ARADDR; + wire [31:0] M_AXI_NET2_AWADDR; + wire [31:0] M_AXI_NET2_WDATA; + wire [3:0] M_AXI_NET2_WSTRB; + wire M_AXI_NET2_ARREADY; + wire M_AXI_NET2_AWREADY; + wire M_AXI_NET2_BVALID; + wire M_AXI_NET2_RLAST; + wire M_AXI_NET2_RVALID; + wire M_AXI_NET2_WREADY; + wire [1:0] M_AXI_NET2_BRESP; + wire [1:0] M_AXI_NET2_RRESP; + wire [31:0] M_AXI_NET2_RDATA; + + wire M_AXI_XBAR_ARVALID; + wire M_AXI_XBAR_AWVALID; + wire M_AXI_XBAR_BREADY; + wire M_AXI_XBAR_RREADY; + wire M_AXI_XBAR_WVALID; + wire [11:0] M_AXI_XBAR_ARID; + wire [11:0] M_AXI_XBAR_AWID; + wire [11:0] M_AXI_XBAR_WID; + wire [31:0] M_AXI_XBAR_ARADDR; + wire [31:0] M_AXI_XBAR_AWADDR; + wire [31:0] M_AXI_XBAR_WDATA; + wire [3:0] M_AXI_XBAR_WSTRB; + wire M_AXI_XBAR_ARREADY; + wire M_AXI_XBAR_AWREADY; + wire M_AXI_XBAR_BVALID; + wire M_AXI_XBAR_RLAST; + wire M_AXI_XBAR_RVALID; + wire M_AXI_XBAR_WREADY; + wire [1:0] M_AXI_XBAR_BRESP; + wire [1:0] M_AXI_XBAR_RRESP; + wire [31:0] M_AXI_XBAR_RDATA; + + wire M_AXI_JESD0_ARVALID; + wire M_AXI_JESD0_AWVALID; + wire M_AXI_JESD0_BREADY; + wire M_AXI_JESD0_RREADY; + wire M_AXI_JESD0_WVALID; + wire [11:0] M_AXI_JESD0_ARID; + wire [11:0] M_AXI_JESD0_AWID; + wire [11:0] M_AXI_JESD0_WID; + wire [31:0] M_AXI_JESD0_ARADDR; + wire [31:0] M_AXI_JESD0_AWADDR; + wire [31:0] M_AXI_JESD0_WDATA; + wire [3:0] M_AXI_JESD0_WSTRB; + wire M_AXI_JESD0_ARREADY; + wire M_AXI_JESD0_AWREADY; + wire M_AXI_JESD0_BVALID; + wire M_AXI_JESD0_RLAST; + wire M_AXI_JESD0_RVALID; + wire M_AXI_JESD0_WREADY; + wire [1:0] M_AXI_JESD0_BRESP; + wire [1:0] M_AXI_JESD0_RRESP; + wire [31:0] M_AXI_JESD0_RDATA; + + wire M_AXI_JESD1_ARVALID; + wire M_AXI_JESD1_AWVALID; + wire M_AXI_JESD1_BREADY; + wire M_AXI_JESD1_RREADY; + wire M_AXI_JESD1_WVALID; + wire [11:0] M_AXI_JESD1_ARID; + wire [11:0] M_AXI_JESD1_AWID; + wire [11:0] M_AXI_JESD1_WID; + wire [31:0] M_AXI_JESD1_ARADDR; + wire [31:0] M_AXI_JESD1_AWADDR; + wire [31:0] M_AXI_JESD1_WDATA; + wire [3:0] M_AXI_JESD1_WSTRB; + wire M_AXI_JESD1_ARREADY; + wire M_AXI_JESD1_AWREADY; + wire M_AXI_JESD1_BVALID; + wire M_AXI_JESD1_RLAST; + wire M_AXI_JESD1_RVALID; + wire M_AXI_JESD1_WREADY; + wire [1:0] M_AXI_JESD1_BRESP; + wire [1:0] M_AXI_JESD1_RRESP; + wire [31:0] M_AXI_JESD1_RDATA; + + // White Rabbit + wire wr_uart_txd; + wire wr_uart_rxd; + wire pps_wr_refclk; + wire wr_ref_clk; + + // AXI bus from PS to WR Core + wire m_axi_wr_clk; + wire [31:0] m_axi_wr_araddr; + wire [0:0] m_axi_wr_arready; + wire [0:0] m_axi_wr_arvalid; + wire [31:0] m_axi_wr_awaddr; + wire [0:0] m_axi_wr_awready; + wire [0:0] m_axi_wr_awvalid; + wire [0:0] m_axi_wr_bready; + wire [1:0] m_axi_wr_bresp; + wire [0:0] m_axi_wr_bvalid; + wire [31:0] m_axi_wr_rdata; + wire [0:0] m_axi_wr_rready; + wire [1:0] m_axi_wr_rresp; + wire [0:0] m_axi_wr_rvalid; + wire [31:0] m_axi_wr_wdata; + wire [0:0] m_axi_wr_wready; + wire [3:0] m_axi_wr_wstrb; + wire [0:0] m_axi_wr_wvalid; + + wire [63:0] ps_gpio_out; + wire [63:0] ps_gpio_in; + wire [63:0] ps_gpio_tri; + + wire [15:0] IRQ_F2P; + wire FCLK_CLK0; + wire FCLK_CLK1; + wire FCLK_CLK2; + wire FCLK_CLK3; + wire clk100; + wire clk40; + wire meas_clk_ref; + wire bus_clk; + wire gige_refclk; + wire gige_refclk_bufg; + wire xgige_refclk; + wire xgige_clk156; + wire xgige_dclk; + + wire global_rst; + wire radio_rst; + wire bus_rst; + wire FCLK_RESET0_N; + wire clk40_rst; + wire clk40_rstn; + + wire [1:0] USB0_PORT_INDCTL; + wire USB0_VBUS_PWRSELECT; + wire USB0_VBUS_PWRFAULT; + + wire ref_clk; + wire wr_refclk_buf; + wire netclk_buf; + wire meas_clk; + wire ddr3_dma_clk; + wire meas_clk_reset; + wire meas_clk_locked; + wire enable_ref_clk_async; + wire pps_radioclk1x_iob; + wire pps_radioclk1x; + wire [3:0] pps_select; + wire pps_out_enb; + wire [1:0] pps_select_sfp; + wire pps_refclk; + wire export_pps_radioclk; + wire radio_clk; + wire radio_clk_2x; + + wire qsfp_sda_i; + wire qsfp_sda_o; + wire qsfp_sda_t; + wire qsfp_scl_i; + wire qsfp_scl_o; + wire qsfp_scl_t; + + ///////////////////////////////////////////////////////////////////// + // + // Resets + // + ////////////////////////////////////////////////////////////////////// + + // Global synchronous reset, on the bus_clk domain. De-asserts after 85 + // bus_clk cycles. Asserted by default. + por_gen por_gen(.clk(bus_clk), .reset_out(global_rst)); + + // Synchronous reset for the radio_clk domain, based on the global_rst. + reset_sync radio_reset_gen ( + .clk(radio_clk), + .reset_in(global_rst), + .reset_out(radio_rst) + ); + + // Synchronous reset for the bus_clk domain, based on the global_rst. + reset_sync bus_reset_gen ( + .clk(bus_clk), + .reset_in(global_rst), + .reset_out(bus_rst) + ); + + + // PS-based Resets // + // + // Synchronous reset for the clk40 domain. This is derived from the PS reset 0. + reset_sync clk40_reset_gen ( + .clk(clk40), + .reset_in(~FCLK_RESET0_N), + .reset_out(clk40_rst) + ); + // Invert for various modules. + assign clk40_rstn = ~clk40_rst; + + + ///////////////////////////////////////////////////////////////////// + // + // Timing + // + ////////////////////////////////////////////////////////////////////// + + // Clocks from the PS + // + // These clocks appear to have BUFGs already instantiated by the ip generator. + // Simply rename them here for clarity. + // FCLK_CLK0 : 100 MHz + // FCLK_CLK1 : 40 MHz + // FCLK_CLK2 : 166.6667 MHz + // FCLK_CLK3 : 200 MHz + assign clk100 = FCLK_CLK0; + assign clk40 = FCLK_CLK1; + assign meas_clk_ref = FCLK_CLK2; + assign bus_clk = FCLK_CLK3; + + //If bus_clk freq ever changes, update this paramter accordingly. + localparam BUS_CLK_RATE = 32'd200000000; //200 MHz bus_clk rate. + + n3xx_clocking n3xx_clocking_i ( + .enable_ref_clk_async(enable_ref_clk_async), + .FPGA_REFCLK_P(FPGA_REFCLK_P), + .FPGA_REFCLK_N(FPGA_REFCLK_N), + .ref_clk(ref_clk), + .WB_20MHz_P(WB_20MHZ_P), + .WB_20MHz_N(WB_20MHZ_N), + .wr_refclk_buf(wr_refclk_buf), + .NETCLK_REF_P(NETCLK_REF_P), + .NETCLK_REF_N(NETCLK_REF_N), + .netclk_buf(netclk_buf), + .NETCLK_P(NETCLK_P), + .NETCLK_N(NETCLK_N), + .gige_refclk_buf(gige_refclk), + .MGT156MHZ_CLK1_P(MGT156MHZ_CLK1_P), + .MGT156MHZ_CLK1_N(MGT156MHZ_CLK1_N), + .xgige_refclk_buf(xgige_refclk), + .misc_clks_ref(meas_clk_ref), + .meas_clk(meas_clk), + .ddr3_dma_clk(ddr3_dma_clk), + .misc_clks_reset(meas_clk_reset), + .misc_clks_locked(meas_clk_locked), + .ext_pps_from_pin(REF_1PPS_IN), + .gps_pps_from_pin(GPS_1PPS), + .pps_select(pps_select), + .pps_refclk(pps_refclk) + ); + + // Drive the rear panel connector with another controllable copy of the post-TDC PPS + // that SW can enable/disable. The user is free to hack this to be whatever + // they desire. Flop the PPS signal one more time in order that it can be packed into + // an IOB. This extra flop stage matches the additional flop inside DbCore to allow + // pps_radioclk1x and pps_out_radioclk to be in sync with one another. + synchronizer #( + .FALSE_PATH_TO_IN(0) + ) pps_export_dsync ( + .clk(radio_clk), .rst(1'b0), .in(pps_out_enb), .out(export_pps_radioclk) + ); + + // The radio_clk rate is between [122.88M, 250M] for all known N3xx variants, + // resulting in approximately [8ns, 4ns] periods. To pulse-extend the PPS output, + // we create a 25 bit-wide counter, creating ~[.262s, .131s] long output high pulses, + // variable depending on our radio_clk rate. Create two of the same output signal + // in order that the PPS_OUT gets packed into an IOB for tight timing. + reg [24:0] pps_out_count = 'b0; + reg pps_out_radioclk = 1'b0; + reg pps_led_radioclk = 1'b0; + + always @(posedge radio_clk) begin + if (export_pps_radioclk) begin + if (pps_radioclk1x_iob) begin + pps_out_radioclk <= 1'b1; + pps_led_radioclk <= 1'b1; + pps_out_count <= {25{1'b1}}; + end else begin + if (pps_out_count > 0) begin + pps_out_count <= pps_out_count - 1'b1; + end else begin + pps_out_radioclk <= 1'b0; + pps_led_radioclk <= 1'b0; + end + end + end else begin + pps_out_radioclk <= 1'b0; + pps_led_radioclk <= 1'b0; + end + end + // Local to output. + assign REF_1PPS_OUT = pps_out_radioclk; + assign PANEL_LED_PPS = pps_led_radioclk; + + ///////////////////////////////////////////////////////////////////// + // + // SFP, QSFP and NPIO MGT Connections + // + ////////////////////////////////////////////////////////////////////// + wire reg_wr_req_npio; + wire [REG_AWIDTH-1:0] reg_wr_addr_npio; + wire [REG_DWIDTH-1:0] reg_wr_data_npio; + wire reg_rd_req_npio; + wire [REG_AWIDTH-1:0] reg_rd_addr_npio; + wire reg_rd_resp_npio, reg_rd_resp_npio0, reg_rd_resp_npio1; + wire [REG_DWIDTH-1:0] reg_rd_data_npio, reg_rd_data_npio0, reg_rd_data_npio1; + + localparam NPIO_REG_BASE = 14'h0200; + + regport_resp_mux #( + .WIDTH (REG_DWIDTH), + .NUM_SLAVES (2) + ) npio_resp_mux_i( + .clk(bus_clk), .reset(bus_rst), + .sla_rd_resp({reg_rd_resp_npio0, reg_rd_resp_npio1}), + .sla_rd_data({reg_rd_data_npio0, reg_rd_data_npio1}), + .mst_rd_resp(reg_rd_resp_npio), .mst_rd_data(reg_rd_data_npio) + ); + + //-------------------------------------------------------------- + // SFP/MGT Reference Clocks + //-------------------------------------------------------------- + + // We support the HG, XG, XA, AA targets, all of which require + // the 156.25MHz reference clock. Instantiate it here. + ten_gige_phy_clk_gen xgige_clk_gen_i ( + .refclk_ibuf(xgige_refclk), + .clk156(xgige_clk156), + .dclk(xgige_dclk) + ); + + wire qpllreset; + wire qpllreset_sfp0, qpllreset_sfp1, qpllreset_npio0, qpllreset_npio1; + wire qplllock; + wire qplloutclk; + wire qplloutrefclk; + + // We reuse this GT_COMMON wrapper for both ethernet and Aurora because + // the behavior is identical + ten_gig_eth_pcs_pma_gt_common # ( + .WRAPPER_SIM_GTRESET_SPEEDUP("TRUE") //Does not affect hardware + ) ten_gig_eth_pcs_pma_gt_common_block ( + .refclk(xgige_refclk), + .qpllreset(qpllreset), //from 2nd sfp + .qplllock(qplllock), + .qplloutclk(qplloutclk), + .qplloutrefclk(qplloutrefclk), + .qpllrefclksel(3'b101 /*GTSOUTHREFCLK0*/) + ); + + // The quad's QPLL should reset if any of the channels request it + // This should never really happen because we are not changing the reference clock + // source for the QPLL. + assign qpllreset = qpllreset_sfp0 | qpllreset_sfp1 | qpllreset_npio0 | qpllreset_npio1; + + // Use the 156.25MHz reference clock for Aurora + wire aurora_refclk = xgige_refclk; + wire aurora_clk156 = xgige_clk156; + wire aurora_init_clk = xgige_dclk; + + // White Rabbit and 1GbE both use the same clocking +`ifdef SFP0_1GBE + `define SFP0_WR_1GBE +`endif +`ifdef SFP0_WR + `define SFP0_WR_1GBE +`endif + +`ifdef SFP0_WR_1GBE + // HG and WX targets require the 1GbE clock support + BUFG bufg_gige_refclk_i ( + .I(gige_refclk), + .O(gige_refclk_bufg) + ); + assign SFP_0_RS0 = 1'b0; + assign SFP_0_RS1 = 1'b0; +`else + assign SFP_0_RS0 = 1'b1; + assign SFP_0_RS1 = 1'b1; +`endif + + // SFP 1 is always set to run at ~10Gbps rates. + assign SFP_1_RS0 = 1'b1; + assign SFP_1_RS1 = 1'b1; + + // SFP port specific reference clocks + wire sfp0_gt_refclk, sfp1_gt_refclk; + wire sfp0_gb_refclk, sfp1_gb_refclk; + wire sfp0_misc_clk, sfp1_misc_clk; + +`ifdef SFP0_10GBE + assign sfp0_gt_refclk = xgige_refclk; + assign sfp0_gb_refclk = xgige_clk156; + assign sfp0_misc_clk = xgige_dclk; +`endif +`ifdef SFP0_WR_1GBE + assign sfp0_gt_refclk = gige_refclk; + assign sfp0_gb_refclk = gige_refclk_bufg; + assign sfp0_misc_clk = gige_refclk_bufg; +`endif +`ifdef SFP0_AURORA + assign sfp0_gt_refclk = aurora_refclk; + assign sfp0_gb_refclk = aurora_clk156; + assign sfp0_misc_clk = aurora_init_clk; +`endif + +`ifdef SFP1_10GBE + assign sfp1_gt_refclk = xgige_refclk; + assign sfp1_gb_refclk = xgige_clk156; + assign sfp1_misc_clk = xgige_dclk; +`endif +`ifdef SFP1_1GBE + assign sfp1_gt_refclk = gige_refclk; + assign sfp1_gb_refclk = gige_refclk_bufg; + assign sfp1_misc_clk = gige_refclk_bufg; +`endif +`ifdef SFP1_AURORA + assign sfp1_gt_refclk = aurora_refclk; + assign sfp1_gb_refclk = aurora_clk156; + assign sfp1_misc_clk = aurora_init_clk; +`endif + + // Instantiate Aurora MMCM if either of the SFPs + // or NPIOs are Aurora + wire au_tx_clk; + wire au_mmcm_reset; + wire au_user_clk; + wire au_sync_clk; + wire au_mmcm_locked; + wire sfp0_tx_out_clk, sfp1_tx_out_clk; + wire sfp0_gt_pll_lock, sfp1_gt_pll_lock; + wire npio0_tx_out_clk, npio1_tx_out_clk; + wire npio0_gt_pll_lock, npio1_gt_pll_lock; + + //NOTE: need to declare one of these defines in order to enable Aurora on + //any SFP or NPIO lane. +`ifdef SFP1_AURORA + `define SFP_AU_MMCM + assign au_tx_clk = sfp1_tx_out_clk; + assign au_mmcm_reset = ~sfp1_gt_pll_lock; +`elsif NPIO0 + `define SFP_AU_MMCM + assign au_tx_clk = npio0_tx_out_clk; + assign au_mmcm_reset = ~npio0_gt_pll_lock; +`elsif NPIO1 + `define SFP_AU_MMCM + assign au_tx_clk = npio1_tx_out_clk; + assign au_mmcm_reset = ~npio1_gt_pll_lock; +`endif + + +`ifdef SFP_AU_MMCM + aurora_phy_mmcm au_phy_mmcm_i ( + .aurora_tx_clk_unbuf(au_tx_clk), + .mmcm_reset(au_mmcm_reset), + .user_clk(au_user_clk), + .sync_clk(au_sync_clk), + .mmcm_locked(au_mmcm_locked) + ); +`else + assign au_user_clk = 1'b0; + assign au_sync_clk = 1'b0; + assign au_mmcm_locked = 1'b0; +`endif + + //-------------------------------------------------------------- + // NPIO-QSFP MGT Lanes (Example loopback config) + //-------------------------------------------------------------- + +`ifdef QSFP_LANES + localparam NUM_QSFP_LANES = `QSFP_LANES; + + // QSFP wires to the ARM core and the crossbar + // These will only be connected if QSFP is 2x10 GbE + wire [NUM_QSFP_LANES*64-1:0] arm_eth_qsfp_tx_tdata_b; + wire [NUM_QSFP_LANES-1:0] arm_eth_qsfp_tx_tvalid_b; + wire [NUM_QSFP_LANES-1:0] arm_eth_qsfp_tx_tlast_b; + wire [NUM_QSFP_LANES-1:0] arm_eth_qsfp_tx_tready_b; + wire [NUM_QSFP_LANES*4-1:0] arm_eth_qsfp_tx_tuser_b; + wire [NUM_QSFP_LANES*8-1:0] arm_eth_qsfp_tx_tkeep_b; + + wire [NUM_QSFP_LANES*64-1:0] arm_eth_qsfp_rx_tdata_b; + wire [NUM_QSFP_LANES-1:0] arm_eth_qsfp_rx_tvalid_b; + wire [NUM_QSFP_LANES-1:0] arm_eth_qsfp_rx_tlast_b; + wire [NUM_QSFP_LANES-1:0] arm_eth_qsfp_rx_tready_b; + wire [NUM_QSFP_LANES*4-1:0] arm_eth_qsfp_rx_tuser_b; + wire [NUM_QSFP_LANES*8-1:0] arm_eth_qsfp_rx_tkeep_b; + + wire [NUM_QSFP_LANES*64-1:0] v2e_qsfp_tdata; + wire [NUM_QSFP_LANES-1:0] v2e_qsfp_tlast; + wire [NUM_QSFP_LANES-1:0] v2e_qsfp_tvalid; + wire [NUM_QSFP_LANES-1:0] v2e_qsfp_tready; + + wire [NUM_QSFP_LANES*64-1:0] e2v_qsfp_tdata; + wire [NUM_QSFP_LANES-1:0] e2v_qsfp_tlast; + wire [NUM_QSFP_LANES-1:0] e2v_qsfp_tvalid; + wire [NUM_QSFP_LANES-1:0] e2v_qsfp_tready; + + wire [NUM_QSFP_LANES-1:0] qsfp_link_up; + + // QSFP quad's specific reference clocks + wire qsfp_gt_refclk; + wire qsfp_gb_refclk; + wire qsfp_misc_clk; + + wire qsfp_qplloutclk; + wire qsfp_qplloutrefclk; + wire qsfp_qplllock; + wire qsfp_qpllreset; + + wire qsfp_gt_tx_out_clk; + wire qsfp_gt_pll_lock; + + wire qsfp_au_user_clk; + wire qsfp_au_sync_clk; + wire qsfp_au_mmcm_locked; + + +`ifdef QSFP_10GBE + assign qsfp_gt_refclk = xgige_refclk; + assign qsfp_gb_refclk = xgige_clk156; + assign qsfp_misc_clk = xgige_dclk; +`endif +`ifdef QSFP_AURORA + assign qsfp_gt_refclk = aurora_refclk; + assign qsfp_gb_refclk = aurora_clk156; + assign qsfp_misc_clk = aurora_init_clk; +`endif + + // We reuse this GT_COMMON wrapper for both ethernet and Aurora because + // the behavior is identical + ten_gig_eth_pcs_pma_gt_common # ( + .WRAPPER_SIM_GTRESET_SPEEDUP("TRUE") //Does not affect hardware + ) qsfp_gt_common_block ( + .refclk(xgige_refclk), + .qpllreset(qsfp_qpllreset), + .qplllock(qsfp_qplllock), + .qplloutclk(qsfp_qplloutclk), + .qplloutrefclk(qsfp_qplloutrefclk), + .qpllrefclksel(3'b001 /*GTREFCLK0*/) + ); + + `ifdef QSFP_AURORA + aurora_phy_mmcm aurora_phy_mmcm ( + .aurora_tx_clk_unbuf(qsfp_gt_tx_out_clk), + .mmcm_reset(~qsfp_gt_pll_lock), + .user_clk(qsfp_au_user_clk), + .sync_clk(qsfp_au_sync_clk), + .mmcm_locked(qsfp_au_mmcm_locked) + ); + `else + assign qsfp_au_user_clk = 1'b0; + assign qsfp_au_sync_clk = 1'b0; + assign qsfp_au_mmcm_locked = 1'b0; + `endif + + n3xx_mgt_channel_wrapper #( + `ifdef QSFP_10GBE + .PROTOCOL ("10GbE"), + .MDIO_EN (1'b1), + .MDIO_PHYADDR (5'd4), + `elsif QSFP_AURORA + .PROTOCOL ("Aurora"), + .MDIO_EN (1'b0), + `endif + .LANES (NUM_QSFP_LANES), + .PORTNUM_BASE (4), + .REG_DWIDTH (REG_DWIDTH), + .REG_AWIDTH (QSFP_REG_AWIDTH) + ) qsfp_wrapper_i ( + .areset (global_rst), + .gt_refclk (qsfp_gt_refclk), + .gb_refclk (qsfp_gb_refclk), + .misc_clk (qsfp_misc_clk), + .user_clk (qsfp_au_user_clk), + .sync_clk (qsfp_au_sync_clk), + .gt_tx_out_clk_unbuf(qsfp_gt_tx_out_clk), + + .bus_clk (bus_clk), + .bus_rst (bus_rst), + + // GT Common + .qpllrefclklost (), + .qplllock (qsfp_qplllock), + .qplloutclk (qsfp_qplloutclk), + .qplloutrefclk (qsfp_qplloutrefclk), + .qpllreset (qsfp_qpllreset), + + // Aurora MMCM + .mmcm_locked (qsfp_au_mmcm_locked), + .gt_pll_lock (qsfp_gt_pll_lock), + + .txp (QSFP_TX_P), + .txn (QSFP_TX_N), + .rxp (QSFP_RX_P), + .rxn (QSFP_RX_N), + + .mod_present_n (QSFP_PRESENT_B), + .mod_rxlos (1'b0), + .mod_tx_fault (1'b0), + .mod_tx_disable (), + .mod_int_n (QSFP_INT_B), + .mod_reset_n (QSFP_RESET_B), + .mod_lpmode (QSFP_LPMODE), + .mod_sel_n (QSFP_MODSEL_B), + + // Clock and reset + .s_axi_aclk (clk40), + .s_axi_aresetn (clk40_rstn), + // AXI4-Lite: Write address port (domain: s_axi_aclk) + .s_axi_awaddr (M_AXI_NET2_AWADDR[QSFP_REG_AWIDTH-1:0]), + .s_axi_awvalid (M_AXI_NET2_AWVALID), + .s_axi_awready (M_AXI_NET2_AWREADY), + // AXI4-Lite: Write data port (domain: s_axi_aclk) + .s_axi_wdata (M_AXI_NET2_WDATA), + .s_axi_wstrb (M_AXI_NET2_WSTRB), + .s_axi_wvalid (M_AXI_NET2_WVALID), + .s_axi_wready (M_AXI_NET2_WREADY), + // AXI4-Lite: Write response port (domain: s_axi_aclk) + .s_axi_bresp (M_AXI_NET2_BRESP), + .s_axi_bvalid (M_AXI_NET2_BVALID), + .s_axi_bready (M_AXI_NET2_BREADY), + // AXI4-Lite: Read address port (domain: s_axi_aclk) + .s_axi_araddr (M_AXI_NET2_ARADDR[QSFP_REG_AWIDTH-1:0]), + .s_axi_arvalid (M_AXI_NET2_ARVALID), + .s_axi_arready (M_AXI_NET2_ARREADY), + // AXI4-Lite: Read data port (domain: s_axi_aclk) + .s_axi_rdata (M_AXI_NET2_RDATA), + .s_axi_rresp (M_AXI_NET2_RRESP), + .s_axi_rvalid (M_AXI_NET2_RVALID), + .s_axi_rready (M_AXI_NET2_RREADY), + + // Ethernet to Vita + .e2v_tdata (e2v_qsfp_tdata), + .e2v_tlast (e2v_qsfp_tlast), + .e2v_tvalid (e2v_qsfp_tvalid), + .e2v_tready (e2v_qsfp_tready), + + // Vita to Ethernet + .v2e_tdata (v2e_qsfp_tdata), + .v2e_tlast (v2e_qsfp_tlast), + .v2e_tvalid (v2e_qsfp_tvalid), + .v2e_tready (v2e_qsfp_tready), + + // Ethernet to CPU + .e2c_tdata (arm_eth_qsfp_rx_tdata_b), + .e2c_tkeep (arm_eth_qsfp_rx_tkeep_b), + .e2c_tlast (arm_eth_qsfp_rx_tlast_b), + .e2c_tvalid (arm_eth_qsfp_rx_tvalid_b), + .e2c_tready (arm_eth_qsfp_rx_tready_b), + + // CPU to Ethernet + .c2e_tdata (arm_eth_qsfp_tx_tdata_b), + .c2e_tkeep (arm_eth_qsfp_tx_tkeep_b), + .c2e_tlast (arm_eth_qsfp_tx_tlast_b), + .c2e_tvalid (arm_eth_qsfp_tx_tvalid_b), + .c2e_tready (arm_eth_qsfp_tx_tready_b), + + // Sideband White Rabbit Control + .wr_reset_n (1'b1), + .wr_refclk (1'b0), + + .wr_dac_sclk (), + .wr_dac_din (), + .wr_dac_clr_n (), + .wr_dac_cs_n (), + .wr_dac_ldac_n (), + + .wr_eeprom_scl_o(), + .wr_eeprom_scl_i(1'b0), + .wr_eeprom_sda_o(), + .wr_eeprom_sda_i(1'b0), + + .wr_uart_rx (1'b0), + .wr_uart_tx (), + + .mod_pps (), + .mod_refclk (), + + // WR AXI Control + .wr_axi_aclk (), + .wr_axi_aresetn (1'b1), + .wr_axi_awaddr (), + .wr_axi_awvalid (), + .wr_axi_awready (), + .wr_axi_wdata (), + .wr_axi_wstrb (), + .wr_axi_wvalid (), + .wr_axi_wready (), + .wr_axi_bresp (), + .wr_axi_bvalid (), + .wr_axi_bready (), + .wr_axi_araddr (), + .wr_axi_arvalid (), + .wr_axi_arready (), + .wr_axi_rdata (), + .wr_axi_rresp (), + .wr_axi_rvalid (), + .wr_axi_rready (), + .wr_axi_rlast (), + + .port_info (), + .device_id (device_id), + + .link_up (qsfp_link_up), + .activity () + ); + + assign QSFP_I2C_SCL = qsfp_scl_t ? 1'bz : qsfp_scl_o; + assign qsfp_scl_i = QSFP_I2C_SCL; + assign QSFP_I2C_SDA = qsfp_sda_t ? 1'bz : qsfp_sda_o; + assign qsfp_sda_i = QSFP_I2C_SDA; + + assign QSFP_LED = |qsfp_link_up; +`else + + axi_dummy #( + .DEC_ERR(1'b0) + ) inst_axi_dummy_qsfp ( + .s_axi_aclk(bus_clk), + .s_axi_areset(bus_rst), + + .s_axi_awaddr(M_AXI_NET2_AWADDR), + .s_axi_awvalid(M_AXI_NET2_AWVALID), + .s_axi_awready(M_AXI_NET2_AWREADY), + + .s_axi_wdata(M_AXI_NET2_WDATA), + .s_axi_wvalid(M_AXI_NET2_WVALID), + .s_axi_wready(M_AXI_NET2_WREADY), + + .s_axi_bresp(M_AXI_NET2_BRESP), + .s_axi_bvalid(M_AXI_NET2_BVALID), + .s_axi_bready(M_AXI_NET2_BREADY), + + .s_axi_araddr(M_AXI_NET2_ARADDR), + .s_axi_arvalid(M_AXI_NET2_ARVALID), + .s_axi_arready(M_AXI_NET2_ARREADY), + + .s_axi_rdata(M_AXI_NET2_RDATA), + .s_axi_rresp(M_AXI_NET2_RRESP), + .s_axi_rvalid(M_AXI_NET2_RVALID), + .s_axi_rready(M_AXI_NET2_RREADY) + + ); + + assign qsfp_scl_i = qsfp_scl_t ? 1'b1 : qsfp_scl_o; + assign qsfp_sda_i = qsfp_sda_t ? 1'b1 : qsfp_sda_o; + +`endif + + //-------------------------------------------------------------- + // NPIO MGT Lanes (Example loopback config) + //-------------------------------------------------------------- + +`ifdef NPIO_LANES + + wire [127:0] npio_loopback_tdata; + wire [1:0] npio_loopback_tvalid; + wire [1:0] npio_loopback_tready; + wire [1:0] npio_loopback_tlast; + + n3xx_mgt_io_core #( + .PROTOCOL ("Aurora"), + .REG_BASE (NPIO_REG_BASE + 14'h00), + .REG_DWIDTH (REG_DWIDTH), // Width of the AXI4-Lite data bus (must be 32 or 64) + .REG_AWIDTH (REG_AWIDTH), // Width of the address bus + .PORTNUM (8'd2), + .MDIO_EN (0) + ) npio_ln_0_i ( + .areset (global_rst), + .gt_refclk (aurora_refclk), + .gb_refclk (aurora_clk156), + .misc_clk (aurora_init_clk), + .user_clk (au_user_clk), + .sync_clk (au_sync_clk), + .gt_tx_out_clk_unbuf(npio0_tx_out_clk), + + .bus_clk (bus_clk),//clk for status reg reads to mdio interface + .bus_rst (bus_rst), + .qpllreset (qpllreset_npio0), + .qplloutclk (qplloutclk), + .qplloutrefclk (qplloutrefclk), + .qplllock (qplllock), + .qpllrefclklost (), + + .rxp (NPIO_RX0_P), + .rxn (NPIO_RX0_N), + .txp (NPIO_TX0_P), + .txn (NPIO_TX0_N), + + .sfpp_rxlos (1'b0), + .sfpp_tx_fault (1'b0), + + //RegPort + .reg_wr_req (reg_wr_req_npio), + .reg_wr_addr (reg_wr_addr_npio), + .reg_wr_data (reg_wr_data_npio), + .reg_rd_req (reg_rd_req_npio), + .reg_rd_addr (reg_rd_addr_npio), + .reg_rd_resp (reg_rd_resp_npio0), + .reg_rd_data (reg_rd_data_npio0), + + //DATA (loopback mode) + .s_axis_tdata (npio_loopback_tdata[63:0]), //Data to aurora core + .s_axis_tuser (4'b0), + .s_axis_tvalid (npio_loopback_tvalid[0]), + .s_axis_tlast (npio_loopback_tlast[0]), + .s_axis_tready (npio_loopback_tready[0]), + .m_axis_tdata (npio_loopback_tdata[63:0]), //Data from aurora core + .m_axis_tuser (), + .m_axis_tvalid (npio_loopback_tvalid[0]), + .m_axis_tlast (npio_loopback_tlast[0]), + .m_axis_tready (npio_loopback_tready[0]), + + .mmcm_locked (au_mmcm_locked), + .gt_pll_lock (npio0_gt_pll_lock) + ); + + n3xx_mgt_io_core #( + .PROTOCOL ("Aurora"), + .REG_BASE (NPIO_REG_BASE + 14'h40), + .REG_DWIDTH (REG_DWIDTH), // Width of the AXI4-Lite data bus (must be 32 or 64) + .REG_AWIDTH (REG_AWIDTH), // Width of the address bus + .PORTNUM (8'd3), + .MDIO_EN (0) + ) npio_ln_1_i ( + .areset (global_rst), + .gt_refclk (aurora_refclk), + .gb_refclk (aurora_clk156), + .misc_clk (aurora_init_clk), + .user_clk (au_user_clk), + .sync_clk (au_sync_clk), + .gt_tx_out_clk_unbuf(npio1_tx_out_clk), + + .bus_clk (bus_clk),//clk for status reg reads to mdio interface + .bus_rst (bus_rst), + .qpllreset (qpllreset_npio1), + .qplloutclk (qplloutclk), + .qplloutrefclk (qplloutrefclk), + .qplllock (qplllock), + .qpllrefclklost (), + + .rxp (NPIO_RX1_P), + .rxn (NPIO_RX1_N), + .txp (NPIO_TX1_P), + .txn (NPIO_TX1_N), + + .sfpp_rxlos (1'b0), + .sfpp_tx_fault (1'b0), + + //RegPort + .reg_wr_req (reg_wr_req_npio), + .reg_wr_addr (reg_wr_addr_npio), + .reg_wr_data (reg_wr_data_npio), + .reg_rd_req (reg_rd_req_npio), + .reg_rd_addr (reg_rd_addr_npio), + .reg_rd_resp (reg_rd_resp_npio1), + .reg_rd_data (reg_rd_data_npio1), + + //DATA (loopback mode) + .s_axis_tdata (npio_loopback_tdata[127:64]), //Data to aurora core + .s_axis_tuser (4'b0), + .s_axis_tvalid (npio_loopback_tvalid[1]), + .s_axis_tlast (npio_loopback_tlast[1]), + .s_axis_tready (npio_loopback_tready[1]), + .m_axis_tdata (npio_loopback_tdata[127:64]), //Data from aurora core + .m_axis_tuser (), + .m_axis_tvalid (npio_loopback_tvalid[1]), + .m_axis_tlast (npio_loopback_tlast[1]), + .m_axis_tready (npio_loopback_tready[1]), + + .mmcm_locked (au_mmcm_locked), + .gt_pll_lock (npio1_gt_pll_lock) + ); + +`else + + assign reg_rd_resp_npio0 = 1'b0; + assign reg_rd_data_npio0 = 'h0; + assign reg_rd_resp_npio1 = 1'b0; + assign reg_rd_data_npio1 = 'h0; + assign npio0_gt_pll_lock = 1'b1; + assign npio1_gt_pll_lock = 1'b1; + assign qpllreset_npio0 = 1'b0; + assign qpllreset_npio1 = 1'b0; + +`endif + + + // ARM ethernet 0 bridge signals + wire [63:0] arm_eth0_tx_tdata; + wire arm_eth0_tx_tvalid; + wire arm_eth0_tx_tlast; + wire arm_eth0_tx_tready; + wire [3:0] arm_eth0_tx_tuser; + wire [7:0] arm_eth0_tx_tkeep; + + wire [63:0] arm_eth0_tx_tdata_b; + wire arm_eth0_tx_tvalid_b; + wire arm_eth0_tx_tlast_b; + wire arm_eth0_tx_tready_b; + wire [3:0] arm_eth0_tx_tuser_b; + wire [7:0] arm_eth0_tx_tkeep_b; + + wire [63:0] arm_eth_sfp0_tx_tdata_b; + wire arm_eth_sfp0_tx_tvalid_b; + wire arm_eth_sfp0_tx_tlast_b; + wire arm_eth_sfp0_tx_tready_b; + wire [3:0] arm_eth_sfp0_tx_tuser_b; + wire [7:0] arm_eth_sfp0_tx_tkeep_b; + + wire [63:0] arm_eth0_rx_tdata; + wire arm_eth0_rx_tvalid; + wire arm_eth0_rx_tlast; + wire arm_eth0_rx_tready; + wire [3:0] arm_eth0_rx_tuser; + wire [7:0] arm_eth0_rx_tkeep; + + wire [63:0] arm_eth0_rx_tdata_b; + wire arm_eth0_rx_tvalid_b; + wire arm_eth0_rx_tlast_b; + wire arm_eth0_rx_tready_b; + wire [3:0] arm_eth0_rx_tuser_b; + wire [7:0] arm_eth0_rx_tkeep_b; + + wire [63:0] arm_eth_sfp0_rx_tdata_b; + wire arm_eth_sfp0_rx_tvalid_b; + wire arm_eth_sfp0_rx_tlast_b; + wire arm_eth_sfp0_rx_tready_b; + wire [3:0] arm_eth_sfp0_rx_tuser_b; + wire [7:0] arm_eth_sfp0_rx_tkeep_b; + + wire arm_eth0_rx_irq; + wire arm_eth0_tx_irq; + + // ARM ethernet 1 bridge signals + wire [63:0] arm_eth1_tx_tdata; + wire arm_eth1_tx_tvalid; + wire arm_eth1_tx_tlast; + wire arm_eth1_tx_tready; + wire [3:0] arm_eth1_tx_tuser; + wire [7:0] arm_eth1_tx_tkeep; + + wire [63:0] arm_eth1_tx_tdata_b; + wire arm_eth1_tx_tvalid_b; + wire arm_eth1_tx_tlast_b; + wire arm_eth1_tx_tready_b; + wire [3:0] arm_eth1_tx_tuser_b; + wire [7:0] arm_eth1_tx_tkeep_b; + + wire [63:0] arm_eth_sfp1_tx_tdata_b; + wire arm_eth_sfp1_tx_tvalid_b; + wire arm_eth_sfp1_tx_tlast_b; + wire arm_eth_sfp1_tx_tready_b; + wire [3:0] arm_eth_sfp1_tx_tuser_b; + wire [7:0] arm_eth_sfp1_tx_tkeep_b; + + wire [63:0] arm_eth1_rx_tdata; + wire arm_eth1_rx_tvalid; + wire arm_eth1_rx_tlast; + wire arm_eth1_rx_tready; + wire [3:0] arm_eth1_rx_tuser; + wire [7:0] arm_eth1_rx_tkeep; + + wire [63:0] arm_eth1_rx_tdata_b; + wire arm_eth1_rx_tvalid_b; + wire arm_eth1_rx_tlast_b; + wire arm_eth1_rx_tready_b; + wire [3:0] arm_eth1_rx_tuser_b; + wire [7:0] arm_eth1_rx_tkeep_b; + + wire [63:0] arm_eth_sfp1_rx_tdata_b; + wire arm_eth_sfp1_rx_tvalid_b; + wire arm_eth_sfp1_rx_tlast_b; + wire arm_eth_sfp1_rx_tready_b; + wire [3:0] arm_eth_sfp1_rx_tuser_b; + wire [7:0] arm_eth_sfp1_rx_tkeep_b; + + wire arm_eth1_tx_irq; + wire arm_eth1_rx_irq; + + // Vita to Ethernet + wire [63:0] v2e0_tdata; + wire v2e0_tlast; + wire v2e0_tvalid; + wire v2e0_tready; + + wire [63:0] v2e1_tdata; + wire v2e1_tlast; + wire v2e1_tvalid; + wire v2e1_tready; + + wire [63:0] v2e_sfp0_tdata; + wire v2e_sfp0_tlast; + wire v2e_sfp0_tvalid; + wire v2e_sfp0_tready; + + wire [63:0] v2e_sfp1_tdata; + wire v2e_sfp1_tlast; + wire v2e_sfp1_tvalid; + wire v2e_sfp1_tready; + + // Ethernet to Vita + wire [63:0] e2v0_tdata; + wire e2v0_tlast; + wire e2v0_tvalid; + wire e2v0_tready; + + wire [63:0] e2v1_tdata; + wire e2v1_tlast; + wire e2v1_tvalid; + wire e2v1_tready; + + wire [63:0] e2v_sfp0_tdata; + wire e2v_sfp0_tlast; + wire e2v_sfp0_tvalid; + wire e2v_sfp0_tready; + + wire [63:0] e2v_sfp1_tdata; + wire e2v_sfp1_tlast; + wire e2v_sfp1_tvalid; + wire e2v_sfp1_tready; + + // Ethernet crossover + wire [63:0] e01_tdata, e10_tdata; + wire [3:0] e01_tuser, e10_tuser; + wire e01_tlast, e01_tvalid, e01_tready; + wire e10_tlast, e10_tvalid, e10_tready; + + + // DMA xport adapter to PS + wire [63:0] m_axis_dma_tdata; + wire [3:0] m_axis_dma_tuser; + wire m_axis_dma_tlast; + wire m_axis_dma_tready; + wire m_axis_dma_tvalid; + + wire [63:0] s_axis_dma_tdata; + wire [3:0] s_axis_dma_tdest; + wire s_axis_dma_tlast; + wire s_axis_dma_tready; + wire s_axis_dma_tvalid; + + // Misc + wire [31:0] sfp_port0_info; + wire [31:0] sfp_port1_info; + wire sfp0_link_up, sfp1_link_up; + wire [15:0] device_id; + + ///////////////////////////////////////////////////////////////////// + // + // SFP Wrapper 0: Network Interface (1/10G or Aurora) + // + ////////////////////////////////////////////////////////////////////// + + n3xx_mgt_channel_wrapper #( + .LANES(1), + `ifdef SFP0_10GBE + .PROTOCOL("10GbE"), + .MDIO_EN(1'b1), + .MDIO_PHYADDR(5'd4), // PHYADDR must match the "reg" property for PHY in DTS file + `elsif SFP0_AURORA + .PROTOCOL("Aurora"), + .MDIO_EN(1'b0), + `elsif SFP0_1GBE + .PROTOCOL("1GbE"), + .MDIO_EN(1'b1), + .MDIO_PHYADDR(5'd4), // PHYADDR must match the "reg" property for PHY in DTS file + `elsif SFP0_WR + .PROTOCOL("WhiteRabbit"), + .MDIO_EN(1'b0), + `endif + .REG_DWIDTH(REG_DWIDTH), // Width of the AXI4-Lite data bus (must be 32 or 64) + .REG_AWIDTH(REG_AWIDTH), // Width of the address bus + .PORTNUM_BASE(8'd0) + ) sfp_wrapper_0 ( + .areset(global_rst), + .gt_refclk(sfp0_gt_refclk), + .gb_refclk(sfp0_gb_refclk), + .misc_clk(sfp0_misc_clk), + .user_clk(au_user_clk), + .sync_clk(au_sync_clk), + .gt_tx_out_clk_unbuf(sfp0_tx_out_clk), + + .bus_rst(bus_rst), + .bus_clk(bus_clk), + + .qpllreset(qpllreset_sfp0), + .qplllock(qplllock), + .qplloutclk(qplloutclk), + .qplloutrefclk(qplloutrefclk), + .qpllrefclklost(), + + .mmcm_locked(au_mmcm_locked), + .gt_pll_lock(sfp0_gt_pll_lock), + + .txp(SFP_0_TX_P), + .txn(SFP_0_TX_N), + .rxp(SFP_0_RX_P), + .rxn(SFP_0_RX_N), + + .mod_present_n(SFP_0_I2C_NPRESENT), + .mod_rxlos(SFP_0_LOS), + .mod_tx_fault(SFP_0_TXFAULT), + .mod_tx_disable(SFP_0_TXDISABLE), + + // Clock and reset + .s_axi_aclk(clk40), + .s_axi_aresetn(clk40_rstn), + // AXI4-Lite: Write address port (domain: s_axi_aclk) + .s_axi_awaddr(M_AXI_NET0_AWADDR[REG_AWIDTH-1:0]), + .s_axi_awvalid(M_AXI_NET0_AWVALID), + .s_axi_awready(M_AXI_NET0_AWREADY), + // AXI4-Lite: Write data port (domain: s_axi_aclk) + .s_axi_wdata(M_AXI_NET0_WDATA), + .s_axi_wstrb(M_AXI_NET0_WSTRB), + .s_axi_wvalid(M_AXI_NET0_WVALID), + .s_axi_wready(M_AXI_NET0_WREADY), + // AXI4-Lite: Write response port (domain: s_axi_aclk) + .s_axi_bresp(M_AXI_NET0_BRESP), + .s_axi_bvalid(M_AXI_NET0_BVALID), + .s_axi_bready(M_AXI_NET0_BREADY), + // AXI4-Lite: Read address port (domain: s_axi_aclk) + .s_axi_araddr(M_AXI_NET0_ARADDR[REG_AWIDTH-1:0]), + .s_axi_arvalid(M_AXI_NET0_ARVALID), + .s_axi_arready(M_AXI_NET0_ARREADY), + // AXI4-Lite: Read data port (domain: s_axi_aclk) + .s_axi_rdata(M_AXI_NET0_RDATA), + .s_axi_rresp(M_AXI_NET0_RRESP), + .s_axi_rvalid(M_AXI_NET0_RVALID), + .s_axi_rready(M_AXI_NET0_RREADY), + + // Ethernet to Vita + .e2v_tdata(e2v_sfp0_tdata), + .e2v_tlast(e2v_sfp0_tlast), + .e2v_tvalid(e2v_sfp0_tvalid), + .e2v_tready(e2v_sfp0_tready), + + // Vita to Ethernet + .v2e_tdata(v2e_sfp0_tdata), + .v2e_tlast(v2e_sfp0_tlast), + .v2e_tvalid(v2e_sfp0_tvalid), + .v2e_tready(v2e_sfp0_tready), + + // Ethernet to CPU + .e2c_tdata(arm_eth_sfp0_rx_tdata_b), + .e2c_tkeep(arm_eth_sfp0_rx_tkeep_b), + .e2c_tlast(arm_eth_sfp0_rx_tlast_b), + .e2c_tvalid(arm_eth_sfp0_rx_tvalid_b), + .e2c_tready(arm_eth_sfp0_rx_tready_b), + + // CPU to Ethernet + .c2e_tdata(arm_eth_sfp0_tx_tdata_b), + .c2e_tkeep(arm_eth_sfp0_tx_tkeep_b), + .c2e_tlast(arm_eth_sfp0_tx_tlast_b), + .c2e_tvalid(arm_eth_sfp0_tx_tvalid_b), + .c2e_tready(arm_eth_sfp0_tx_tready_b), + + // White Rabbit Specific +`ifdef SFP0_WR + .wr_reset_n (~ps_gpio_out[48]), // reset for WR only + .wr_refclk (wr_refclk_buf), + .wr_dac_sclk (WB_DAC_SCLK), + .wr_dac_din (WB_DAC_DIN), + .wr_dac_clr_n (WB_DAC_NCLR), + .wr_dac_cs_n (WB_DAC_NSYNC), + .wr_dac_ldac_n(WB_DAC_NLDAC), + .wr_eeprom_scl_o(), // storage for delay characterization + .wr_eeprom_scl_i(1'b0), // temp + .wr_eeprom_sda_o(), + .wr_eeprom_sda_i(1'b0), // temp + .wr_uart_rx(wr_uart_rxd), // to/from PS + .wr_uart_tx(wr_uart_txd), + .mod_pps(pps_wr_refclk), // out, reference clock and pps + .mod_refclk(wr_ref_clk), + // WR Slave Port to PS + .wr_axi_aclk(m_axi_wr_clk), // out to PS + .wr_axi_aresetn(1'b1), // in + .wr_axi_awaddr(m_axi_wr_awaddr), + .wr_axi_awvalid(m_axi_wr_awvalid), + .wr_axi_awready(m_axi_wr_awready), + .wr_axi_wdata(m_axi_wr_wdata), + .wr_axi_wstrb(m_axi_wr_wstrb), + .wr_axi_wvalid(m_axi_wr_wvalid), + .wr_axi_wready(m_axi_wr_wready), + .wr_axi_bresp(m_axi_wr_bresp), + .wr_axi_bvalid(m_axi_wr_bvalid), + .wr_axi_bready(m_axi_wr_bready), + .wr_axi_araddr(m_axi_wr_araddr), + .wr_axi_arvalid(m_axi_wr_arvalid), + .wr_axi_arready(m_axi_wr_arready), + .wr_axi_rdata(m_axi_wr_rdata), + .wr_axi_rresp(m_axi_wr_rresp), + .wr_axi_rvalid(m_axi_wr_rvalid), + .wr_axi_rready(m_axi_wr_rready), + .wr_axi_rlast(), +`else + .wr_reset_n(1'b1), + .wr_refclk(1'b0), + .wr_eeprom_scl_i(1'b0), + .wr_eeprom_sda_i(1'b0), + .wr_uart_rx(1'b0), +`endif + + // Misc + .port_info(sfp_port0_info), + .device_id(device_id), + + // LED + .link_up(sfp0_link_up), + .activity(SFP_0_LED_A) + ); + + assign ps_gpio_in[60] = ps_gpio_tri[60] ? sfp0_link_up : ps_gpio_out[60]; + assign SFP_0_LED_B = sfp0_link_up; + +`ifndef SFP0_WR + assign WB_DAC_SCLK = 1'b0; + assign WB_DAC_DIN = 1'b0; + assign WB_DAC_NCLR = 1'b1; + assign WB_DAC_NSYNC = 1'b1; + assign WB_DAC_NLDAC = 1'b1; + assign pps_wr_refclk = 1'b0; + assign wr_ref_clk = 1'b0; +`endif + + + ///////////////////////////////////////////////////////////////////// + // + // SFP Wrapper 1: Network Interface (1/10G or Aurora) + // + ////////////////////////////////////////////////////////////////////// + + n3xx_mgt_channel_wrapper #( + .LANES(1), + `ifdef SFP1_10GBE + .PROTOCOL("10GbE"), + .MDIO_EN(1'b1), + .MDIO_PHYADDR(5'd4), // PHYADDR must match the "reg" property for PHY in DTS file + `elsif SFP1_AURORA + .PROTOCOL("Aurora"), + .MDIO_EN(1'b0), + `endif + .REG_DWIDTH(REG_DWIDTH), // Width of the AXI4-Lite data bus (must be 32 or 64) + .REG_AWIDTH(REG_AWIDTH), // Width of the address bus + .PORTNUM_BASE(8'd1) + ) sfp_wrapper_1 ( + .areset(global_rst), + + .gt_refclk(sfp1_gt_refclk), + .gb_refclk(sfp1_gb_refclk), + .misc_clk(sfp1_misc_clk), + .user_clk(au_user_clk), + .sync_clk(au_sync_clk), + .gt_tx_out_clk_unbuf(sfp1_tx_out_clk), + + .bus_rst(bus_rst), + .bus_clk(bus_clk), + + .qpllreset(qpllreset_sfp1), + .qplllock(qplllock), + .qplloutclk(qplloutclk), + .qplloutrefclk(qplloutrefclk), + .qpllrefclklost(), + + .mmcm_locked(au_mmcm_locked), + .gt_pll_lock(sfp1_gt_pll_lock), + + .txp(SFP_1_TX_P), + .txn(SFP_1_TX_N), + .rxp(SFP_1_RX_P), + .rxn(SFP_1_RX_N), + + .mod_rxlos(SFP_1_LOS), + .mod_tx_fault(SFP_1_TXFAULT), + .mod_tx_disable(SFP_1_TXDISABLE), + + // Clock and reset + .s_axi_aclk(clk40), + .s_axi_aresetn(clk40_rstn), + // AXI4-Lite: Write address port (domain: s_axi_aclk) + .s_axi_awaddr(M_AXI_NET1_AWADDR[REG_AWIDTH-1:0]), + .s_axi_awvalid(M_AXI_NET1_AWVALID), + .s_axi_awready(M_AXI_NET1_AWREADY), + // AXI4-Lite: Write data port (domain: s_axi_aclk) + .s_axi_wdata(M_AXI_NET1_WDATA), + .s_axi_wstrb(M_AXI_NET1_WSTRB), + .s_axi_wvalid(M_AXI_NET1_WVALID), + .s_axi_wready(M_AXI_NET1_WREADY), + // AXI4-Lite: Write response port (domain: s_axi_aclk) + .s_axi_bresp(M_AXI_NET1_BRESP), + .s_axi_bvalid(M_AXI_NET1_BVALID), + .s_axi_bready(M_AXI_NET1_BREADY), + // AXI4-Lite: Read address port (domain: s_axi_aclk) + .s_axi_araddr(M_AXI_NET1_ARADDR[REG_AWIDTH-1:0]), + .s_axi_arvalid(M_AXI_NET1_ARVALID), + .s_axi_arready(M_AXI_NET1_ARREADY), + // AXI4-Lite: Read data port (domain: s_axi_aclk) + .s_axi_rdata(M_AXI_NET1_RDATA), + .s_axi_rresp(M_AXI_NET1_RRESP), + .s_axi_rvalid(M_AXI_NET1_RVALID), + .s_axi_rready(M_AXI_NET1_RREADY), + + // Ethernet to Vita + .e2v_tdata(e2v_sfp1_tdata), + .e2v_tlast(e2v_sfp1_tlast), + .e2v_tvalid(e2v_sfp1_tvalid), + .e2v_tready(e2v_sfp1_tready), + + // Vita to Ethernet + .v2e_tdata(v2e_sfp1_tdata), + .v2e_tlast(v2e_sfp1_tlast), + .v2e_tvalid(v2e_sfp1_tvalid), + .v2e_tready(v2e_sfp1_tready), + + // Ethernet to CPU + .e2c_tdata(arm_eth_sfp1_rx_tdata_b), + .e2c_tkeep(arm_eth_sfp1_rx_tkeep_b), + .e2c_tlast(arm_eth_sfp1_rx_tlast_b), + .e2c_tvalid(arm_eth_sfp1_rx_tvalid_b), + .e2c_tready(arm_eth_sfp1_rx_tready_b), + + // CPU to Ethernet + .c2e_tdata(arm_eth_sfp1_tx_tdata_b), + .c2e_tkeep(arm_eth_sfp1_tx_tkeep_b), + .c2e_tlast(arm_eth_sfp1_tx_tlast_b), + .c2e_tvalid(arm_eth_sfp1_tx_tvalid_b), + .c2e_tready(arm_eth_sfp1_tx_tready_b), + + // Misc + .port_info(sfp_port1_info), + .device_id(device_id), + + // LED + .link_up(sfp1_link_up), + .activity(SFP_1_LED_A) + ); + + assign ps_gpio_in[61] = ps_gpio_tri[61] ? sfp1_link_up : ps_gpio_out[61]; + assign SFP_1_LED_B = sfp1_link_up; + + ///////////////////////////////////////////////////////////////////// + // + // Ethernet DMA 0 + // + ////////////////////////////////////////////////////////////////////// + + assign IRQ_F2P[0] = arm_eth0_rx_irq; + assign IRQ_F2P[1] = arm_eth0_tx_irq; + + assign {S_AXI_HP0_AWID, S_AXI_HP0_ARID} = 12'd0; + assign {S_AXI_GP0_AWID, S_AXI_GP0_ARID} = 10'd0; + +`ifdef QSFP_10GBE + // QSFP+ lanes connect to DMA engines and crossbar + // Connect first QSFP+ 10 GbE port to a DMA engine (and the PS/ARM) + assign arm_eth_qsfp_tx_tdata_b[0*64 +: 64] = arm_eth0_tx_tdata_b; + assign arm_eth_qsfp_tx_tvalid_b[0] = arm_eth0_tx_tvalid_b; + assign arm_eth_qsfp_tx_tlast_b[0] = arm_eth0_tx_tlast_b; + assign arm_eth0_tx_tready_b = arm_eth_qsfp_tx_tready_b[0]; + assign arm_eth_qsfp_tx_tuser_b[0*4 +: 4] = arm_eth0_tx_tuser_b; + assign arm_eth_qsfp_tx_tkeep_b[0*8 +: 8] = arm_eth0_tx_tkeep_b; + + assign arm_eth0_rx_tdata_b = arm_eth_qsfp_rx_tdata_b[0*64 +: 64]; + assign arm_eth0_rx_tvalid_b = arm_eth_qsfp_rx_tvalid_b[0]; + assign arm_eth0_rx_tlast_b = arm_eth_qsfp_rx_tlast_b[0]; + assign arm_eth_qsfp_rx_tready_b[0] = arm_eth0_rx_tready_b; + assign arm_eth0_rx_tuser_b = arm_eth_qsfp_rx_tuser_b[0*4 +: 4]; + assign arm_eth0_rx_tkeep_b = arm_eth_qsfp_rx_tkeep_b[0*8 +: 8]; + + // Connect first QSFP+ 10 GbE port to the crossbar + assign v2e_qsfp_tdata[0*64 +: 64] = v2e0_tdata; + assign v2e_qsfp_tlast[0] = v2e0_tlast; + assign v2e_qsfp_tvalid[0] = v2e0_tvalid; + assign v2e0_tready = v2e_qsfp_tready[0]; + + assign e2v0_tdata = e2v_qsfp_tdata[0*64 +: 64]; + assign e2v0_tlast = e2v_qsfp_tlast[0]; + assign e2v0_tvalid = e2v_qsfp_tvalid[0]; + assign e2v_qsfp_tready[0] = e2v0_tready; + + // Connect second QSFP+ 10 GbE port to a DMA engine (and the PS/ARM) + assign arm_eth_qsfp_tx_tdata_b[1*64 +: 64] = arm_eth1_tx_tdata_b; + assign arm_eth_qsfp_tx_tvalid_b[1] = arm_eth1_tx_tvalid_b; + assign arm_eth_qsfp_tx_tlast_b[1] = arm_eth1_tx_tlast_b; + assign arm_eth1_tx_tready_b = arm_eth_qsfp_tx_tready_b[1]; + assign arm_eth_qsfp_tx_tuser_b[1*4 +: 4] = arm_eth1_tx_tuser_b; + assign arm_eth_qsfp_tx_tkeep_b[1*8 +: 8] = arm_eth1_tx_tkeep_b; + + assign arm_eth1_rx_tdata_b = arm_eth_qsfp_rx_tdata_b[1*64 +: 64]; + assign arm_eth1_rx_tvalid_b = arm_eth_qsfp_rx_tvalid_b[1]; + assign arm_eth1_rx_tlast_b = arm_eth_qsfp_rx_tlast_b[1]; + assign arm_eth_qsfp_rx_tready_b[1] = arm_eth1_rx_tready_b; + assign arm_eth1_rx_tuser_b = arm_eth_qsfp_rx_tuser_b[1*4 +: 4]; + assign arm_eth1_rx_tkeep_b = arm_eth_qsfp_rx_tkeep_b[1*8 +: 8]; + + // Connect second QSFP+ 10 GbE port to the crossbar + assign v2e_qsfp_tdata[1*64 +: 64] = v2e1_tdata; + assign v2e_qsfp_tlast[1] = v2e1_tlast; + assign v2e_qsfp_tvalid[1] = v2e1_tvalid; + assign v2e1_tready = v2e_qsfp_tready[1]; + + assign e2v1_tdata = e2v_qsfp_tdata[1*64 +: 64]; + assign e2v1_tlast = e2v_qsfp_tlast[1]; + assign e2v1_tvalid = e2v_qsfp_tvalid[1]; + assign e2v_qsfp_tready[1] = e2v1_tready; +`else + // SFP+ ports connects to DMA engines and crossbar + // Connect first SFP+ 10 GbE port to a DMA engine (and the PS/ARM) + assign arm_eth_sfp0_tx_tdata_b = arm_eth0_tx_tdata_b; + assign arm_eth_sfp0_tx_tvalid_b = arm_eth0_tx_tvalid_b; + assign arm_eth_sfp0_tx_tlast_b = arm_eth0_tx_tlast_b; + assign arm_eth0_tx_tready_b = arm_eth_sfp0_tx_tready_b; + assign arm_eth_sfp0_tx_tuser_b = arm_eth0_tx_tuser_b; + assign arm_eth_sfp0_tx_tkeep_b = arm_eth0_tx_tkeep_b; + + assign arm_eth0_rx_tdata_b = arm_eth_sfp0_rx_tdata_b; + assign arm_eth0_rx_tvalid_b = arm_eth_sfp0_rx_tvalid_b; + assign arm_eth0_rx_tlast_b = arm_eth_sfp0_rx_tlast_b; + assign arm_eth_sfp0_rx_tready_b = arm_eth0_rx_tready_b; + assign arm_eth0_rx_tuser_b = arm_eth_sfp0_rx_tuser_b; + assign arm_eth0_rx_tkeep_b = arm_eth_sfp0_rx_tkeep_b; + + // Connect first SFP+ 10 GbE port to the crossbar + assign v2e_sfp0_tdata = v2e0_tdata; + assign v2e_sfp0_tlast = v2e0_tlast; + assign v2e_sfp0_tvalid = v2e0_tvalid; + assign v2e0_tready = v2e_sfp0_tready; + + assign e2v0_tdata = e2v_sfp0_tdata; + assign e2v0_tlast = e2v_sfp0_tlast; + assign e2v0_tvalid = e2v_sfp0_tvalid; + assign e2v_sfp0_tready = e2v0_tready; + + // Connect second SFP+ 10 GbE port to a DMA engine (and the PS/ARM) + assign arm_eth_sfp1_tx_tdata_b = arm_eth1_tx_tdata_b; + assign arm_eth_sfp1_tx_tvalid_b = arm_eth1_tx_tvalid_b; + assign arm_eth_sfp1_tx_tlast_b = arm_eth1_tx_tlast_b; + assign arm_eth1_tx_tready_b = arm_eth_sfp1_tx_tready_b; + assign arm_eth_sfp1_tx_tuser_b = arm_eth1_tx_tuser_b; + assign arm_eth_sfp1_tx_tkeep_b = arm_eth1_tx_tkeep_b; + + assign arm_eth1_rx_tdata_b = arm_eth_sfp1_rx_tdata_b; + assign arm_eth1_rx_tvalid_b = arm_eth_sfp1_rx_tvalid_b; + assign arm_eth1_rx_tlast_b = arm_eth_sfp1_rx_tlast_b; + assign arm_eth_sfp1_rx_tready_b = arm_eth1_rx_tready_b; + assign arm_eth1_rx_tuser_b = arm_eth_sfp1_rx_tuser_b; + assign arm_eth1_rx_tkeep_b = arm_eth_sfp1_rx_tkeep_b; + + // Connect first SFP+ 10 GbE port to the crossbar + assign v2e_sfp1_tdata = v2e1_tdata; + assign v2e_sfp1_tlast = v2e1_tlast; + assign v2e_sfp1_tvalid = v2e1_tvalid; + assign v2e1_tready = v2e_sfp1_tready; + + assign e2v1_tdata = e2v_sfp1_tdata; + assign e2v1_tlast = e2v_sfp1_tlast; + assign e2v1_tvalid = e2v_sfp1_tvalid; + assign e2v_sfp1_tready = e2v1_tready; + + // Don't actually instantiate DMA engines if protocols can't use them + `ifdef SFP0_AURORA + `define NO_ETH_DMA_0 + `elsif SFP0_WR + `define NO_ETH_DMA_0 + `endif + + `ifdef SFP1_AURORA + `define NO_ETH_DMA_1 + `endif +`endif + +`ifdef NO_ETH_DMA_0 + //If inst Aurora, tie off each axi/axi-lite interface + axi_dummy #( + .DEC_ERR(1'b0) + ) inst_axi_dummy_sfp0_eth_dma ( + .s_axi_aclk(bus_clk), + .s_axi_areset(bus_rst), + + .s_axi_awaddr(M_AXI_ETH_DMA0_AWADDR), + .s_axi_awvalid(M_AXI_ETH_DMA0_AWVALID), + .s_axi_awready(M_AXI_ETH_DMA0_AWREADY), + + .s_axi_wdata(M_AXI_ETH_DMA0_WDATA), + .s_axi_wvalid(M_AXI_ETH_DMA0_WVALID), + .s_axi_wready(M_AXI_ETH_DMA0_WREADY), + + .s_axi_bresp(M_AXI_ETH_DMA0_BRESP), + .s_axi_bvalid(M_AXI_ETH_DMA0_BVALID), + .s_axi_bready(M_AXI_ETH_DMA0_BREADY), + + .s_axi_araddr(M_AXI_ETH_DMA0_ARADDR), + .s_axi_arvalid(M_AXI_ETH_DMA0_ARVALID), + .s_axi_arready(M_AXI_ETH_DMA0_ARREADY), + + .s_axi_rdata(M_AXI_ETH_DMA0_RDATA), + .s_axi_rresp(M_AXI_ETH_DMA0_RRESP), + .s_axi_rvalid(M_AXI_ETH_DMA0_RVALID), + .s_axi_rready(M_AXI_ETH_DMA0_RREADY) + + ); + //S_AXI_GP0 outputs from axi_eth_dma, so needs some sort of controller/tie off + assign S_AXI_GP0_AWADDR = 32'h0; + assign S_AXI_GP0_AWLEN = 8'h0; + assign S_AXI_GP0_AWSIZE = 4'h0; + assign S_AXI_GP0_AWBURST = 3'h0; + assign S_AXI_GP0_AWPROT = 3'h0; + assign S_AXI_GP0_AWCACHE = 4'h0; + assign S_AXI_GP0_AWVALID = 1'b0; + //S_AXI_GP0_AWREADY output from PS + assign S_AXI_GP0_WDATA = 32'h0; + assign S_AXI_GP0_WSTRB = 4'h0; + assign S_AXI_GP0_WLAST = 1'b0; + assign S_AXI_GP0_WVALID = 1'b0; + //S_AXI_GP0_WREADY output from PS + //S_AXI_GP0_BRESP + //S_AXI_GP0_BVALID + assign S_AXI_GP0_BREADY = 1'b1; + assign S_AXI_GP0_ARADDR = 32'h0; + assign S_AXI_GP0_ARLEN = 8'h0; + assign S_AXI_GP0_ARSIZE = 3'h0; + assign S_AXI_GP0_ARBURST = 2'h0; + assign S_AXI_GP0_ARPROT = 3'h0; + assign S_AXI_GP0_ARCACHE = 4'h0; + assign S_AXI_GP0_ARVALID = 1'b0; + //S_AXI_GP0_ARREADY + //S_AXI_GP0_RDATA + //S_AXI_GP0_RRESP + //S_AXI_GP0_RLAST + //S_AXI_GP0_RVALID + assign S_AXI_GP0_RREADY = 1'b1; + + //S_AXI_HP0 from axi_eth_dma + assign S_AXI_HP0_ARADDR = 32'h0; + assign S_AXI_HP0_ARLEN = 8'h0; + assign S_AXI_HP0_ARSIZE = 3'h0; + assign S_AXI_HP0_ARBURST = 2'h0; + assign S_AXI_HP0_ARPROT = 3'h0; + assign S_AXI_HP0_ARCACHE = 4'h0; + assign S_AXI_HP0_ARVALID = 1'b0; + //S_AXI_HP0_ARREADY + //S_AXI_HP0_RDATA + //S_AXI_HP0_RRESP + //S_AXI_HP0_RLAST + //S_AXI_HP0_RVALID + assign S_AXI_HP0_RREADY = 1'b1; + assign S_AXI_HP0_AWADDR = 32'h0; + assign S_AXI_HP0_AWLEN = 8'h0; + assign S_AXI_HP0_AWSIZE = 3'h0; + assign S_AXI_HP0_AWBURST = 2'h0; + assign S_AXI_HP0_AWPROT = 3'h0; + assign S_AXI_HP0_AWCACHE = 4'h0; + assign S_AXI_HP0_AWVALID = 1'b0; + //S_AXI_HP0_AWREADY + assign S_AXI_HP0_WDATA = 64'h0; + assign S_AXI_HP0_WSTRB = 8'h0; + assign S_AXI_HP0_WLAST = 1'b0; + assign S_AXI_HP0_WVALID = 1'b0; + //S_AXI_HP0_WREADY + //S_AXI_HP0_BRESP + //S_AXI_HP0_BVALID + assign S_AXI_HP0_BREADY = 1'b1; + +`else + + axi_eth_dma inst_axi_eth_dma0 ( + .s_axi_lite_aclk(clk40), + .m_axi_sg_aclk(clk40), + .m_axi_mm2s_aclk(clk40), + .m_axi_s2mm_aclk(clk40), + .axi_resetn(clk40_rstn), + + .s_axi_lite_awaddr(M_AXI_ETH_DMA0_AWADDR), + .s_axi_lite_awvalid(M_AXI_ETH_DMA0_AWVALID), + .s_axi_lite_awready(M_AXI_ETH_DMA0_AWREADY), + + .s_axi_lite_wdata(M_AXI_ETH_DMA0_WDATA), + .s_axi_lite_wvalid(M_AXI_ETH_DMA0_WVALID), + .s_axi_lite_wready(M_AXI_ETH_DMA0_WREADY), + + .s_axi_lite_bresp(M_AXI_ETH_DMA0_BRESP), + .s_axi_lite_bvalid(M_AXI_ETH_DMA0_BVALID), + .s_axi_lite_bready(M_AXI_ETH_DMA0_BREADY), + + .s_axi_lite_araddr(M_AXI_ETH_DMA0_ARADDR), + .s_axi_lite_arvalid(M_AXI_ETH_DMA0_ARVALID), + .s_axi_lite_arready(M_AXI_ETH_DMA0_ARREADY), + + .s_axi_lite_rdata(M_AXI_ETH_DMA0_RDATA), + .s_axi_lite_rresp(M_AXI_ETH_DMA0_RRESP), + .s_axi_lite_rvalid(M_AXI_ETH_DMA0_RVALID), + .s_axi_lite_rready(M_AXI_ETH_DMA0_RREADY), + + .m_axi_sg_awaddr(S_AXI_GP0_AWADDR), + .m_axi_sg_awlen(S_AXI_GP0_AWLEN), + .m_axi_sg_awsize(S_AXI_GP0_AWSIZE), + .m_axi_sg_awburst(S_AXI_GP0_AWBURST), + .m_axi_sg_awprot(S_AXI_GP0_AWPROT), + .m_axi_sg_awcache(S_AXI_GP0_AWCACHE), + .m_axi_sg_awvalid(S_AXI_GP0_AWVALID), + .m_axi_sg_awready(S_AXI_GP0_AWREADY), + .m_axi_sg_wdata(S_AXI_GP0_WDATA), + .m_axi_sg_wstrb(S_AXI_GP0_WSTRB), + .m_axi_sg_wlast(S_AXI_GP0_WLAST), + .m_axi_sg_wvalid(S_AXI_GP0_WVALID), + .m_axi_sg_wready(S_AXI_GP0_WREADY), + .m_axi_sg_bresp(S_AXI_GP0_BRESP), + .m_axi_sg_bvalid(S_AXI_GP0_BVALID), + .m_axi_sg_bready(S_AXI_GP0_BREADY), + .m_axi_sg_araddr(S_AXI_GP0_ARADDR), + .m_axi_sg_arlen(S_AXI_GP0_ARLEN), + .m_axi_sg_arsize(S_AXI_GP0_ARSIZE), + .m_axi_sg_arburst(S_AXI_GP0_ARBURST), + .m_axi_sg_arprot(S_AXI_GP0_ARPROT), + .m_axi_sg_arcache(S_AXI_GP0_ARCACHE), + .m_axi_sg_arvalid(S_AXI_GP0_ARVALID), + .m_axi_sg_arready(S_AXI_GP0_ARREADY), + .m_axi_sg_rdata(S_AXI_GP0_RDATA), + .m_axi_sg_rresp(S_AXI_GP0_RRESP), + .m_axi_sg_rlast(S_AXI_GP0_RLAST), + .m_axi_sg_rvalid(S_AXI_GP0_RVALID), + .m_axi_sg_rready(S_AXI_GP0_RREADY), + + .m_axi_mm2s_araddr(S_AXI_HP0_ARADDR), + .m_axi_mm2s_arlen(S_AXI_HP0_ARLEN), + .m_axi_mm2s_arsize(S_AXI_HP0_ARSIZE), + .m_axi_mm2s_arburst(S_AXI_HP0_ARBURST), + .m_axi_mm2s_arprot(S_AXI_HP0_ARPROT), + .m_axi_mm2s_arcache(S_AXI_HP0_ARCACHE), + .m_axi_mm2s_arvalid(S_AXI_HP0_ARVALID), + .m_axi_mm2s_arready(S_AXI_HP0_ARREADY), + .m_axi_mm2s_rdata(S_AXI_HP0_RDATA), + .m_axi_mm2s_rresp(S_AXI_HP0_RRESP), + .m_axi_mm2s_rlast(S_AXI_HP0_RLAST), + .m_axi_mm2s_rvalid(S_AXI_HP0_RVALID), + .m_axi_mm2s_rready(S_AXI_HP0_RREADY), + + .mm2s_prmry_reset_out_n(), + .m_axis_mm2s_tdata(arm_eth0_tx_tdata), + .m_axis_mm2s_tkeep(arm_eth0_tx_tkeep), + .m_axis_mm2s_tvalid(arm_eth0_tx_tvalid), + .m_axis_mm2s_tready(arm_eth0_tx_tready), + .m_axis_mm2s_tlast(arm_eth0_tx_tlast), + + .m_axi_s2mm_awaddr(S_AXI_HP0_AWADDR), + .m_axi_s2mm_awlen(S_AXI_HP0_AWLEN), + .m_axi_s2mm_awsize(S_AXI_HP0_AWSIZE), + .m_axi_s2mm_awburst(S_AXI_HP0_AWBURST), + .m_axi_s2mm_awprot(S_AXI_HP0_AWPROT), + .m_axi_s2mm_awcache(S_AXI_HP0_AWCACHE), + .m_axi_s2mm_awvalid(S_AXI_HP0_AWVALID), + .m_axi_s2mm_awready(S_AXI_HP0_AWREADY), + .m_axi_s2mm_wdata(S_AXI_HP0_WDATA), + .m_axi_s2mm_wstrb(S_AXI_HP0_WSTRB), + .m_axi_s2mm_wlast(S_AXI_HP0_WLAST), + .m_axi_s2mm_wvalid(S_AXI_HP0_WVALID), + .m_axi_s2mm_wready(S_AXI_HP0_WREADY), + .m_axi_s2mm_bresp(S_AXI_HP0_BRESP), + .m_axi_s2mm_bvalid(S_AXI_HP0_BVALID), + .m_axi_s2mm_bready(S_AXI_HP0_BREADY), + + .s2mm_prmry_reset_out_n(), + .s_axis_s2mm_tdata(arm_eth0_rx_tdata), + .s_axis_s2mm_tkeep(arm_eth0_rx_tkeep), + .s_axis_s2mm_tvalid(arm_eth0_rx_tvalid), + .s_axis_s2mm_tready(arm_eth0_rx_tready), + .s_axis_s2mm_tlast(arm_eth0_rx_tlast), + + .mm2s_introut(arm_eth0_tx_irq), + .s2mm_introut(arm_eth0_rx_irq), + .axi_dma_tstvec() + ); + + axi_fifo_2clk #( + .WIDTH(1+8+64), + .SIZE(5) + ) eth_tx_0_fifo_2clk_i ( + .reset(clk40_rst), + .i_aclk(clk40), + .i_tdata({arm_eth0_tx_tlast, arm_eth0_tx_tkeep, arm_eth0_tx_tdata}), + .i_tvalid(arm_eth0_tx_tvalid), + .i_tready(arm_eth0_tx_tready), + .o_aclk(bus_clk), + .o_tdata({arm_eth0_tx_tlast_b, arm_eth0_tx_tkeep_b, arm_eth0_tx_tdata_b}), + .o_tvalid(arm_eth0_tx_tvalid_b), + .o_tready(arm_eth0_tx_tready_b) + ); + + axi_fifo_2clk #( + .WIDTH(1+8+64), + .SIZE(5) + ) eth_rx_0_fifo_2clk_i ( + .reset(bus_rst), + .i_aclk(bus_clk), + .i_tdata({arm_eth0_rx_tlast_b, arm_eth0_rx_tkeep_b, arm_eth0_rx_tdata_b}), + .i_tvalid(arm_eth0_rx_tvalid_b), + .i_tready(arm_eth0_rx_tready_b), + .o_aclk(clk40), + .o_tdata({arm_eth0_rx_tlast, arm_eth0_rx_tkeep, arm_eth0_rx_tdata}), + .o_tvalid(arm_eth0_rx_tvalid), + .o_tready(arm_eth0_rx_tready) + ); + +`endif + + ///////////////////////////////////////////////////////////////////// + // + // Ethernet DMA 1 + // + ////////////////////////////////////////////////////////////////////// + + assign IRQ_F2P[2] = arm_eth1_rx_irq; + assign IRQ_F2P[3] = arm_eth1_tx_irq; + + assign {S_AXI_HP1_AWID, S_AXI_HP1_ARID} = 12'd0; + assign {S_AXI_GP1_AWID, S_AXI_GP1_ARID} = 10'd0; + +`ifdef NO_ETH_DMA_1 + //If inst Aurora, tie off each axi/axi-lite interface + axi_dummy #(.DEC_ERR(1'b0)) inst_axi_dummy_sfp1_eth_dma + ( + .s_axi_aclk(bus_clk), + .s_axi_areset(bus_rst), + + .s_axi_awaddr(M_AXI_ETH_DMA1_AWADDR), + .s_axi_awvalid(M_AXI_ETH_DMA1_AWVALID), + .s_axi_awready(M_AXI_ETH_DMA1_AWREADY), + + .s_axi_wdata(M_AXI_ETH_DMA1_WDATA), + .s_axi_wvalid(M_AXI_ETH_DMA1_WVALID), + .s_axi_wready(M_AXI_ETH_DMA1_WREADY), + + .s_axi_bresp(M_AXI_ETH_DMA1_BRESP), + .s_axi_bvalid(M_AXI_ETH_DMA1_BVALID), + .s_axi_bready(M_AXI_ETH_DMA1_BREADY), + + .s_axi_araddr(M_AXI_ETH_DMA1_ARADDR), + .s_axi_arvalid(M_AXI_ETH_DMA1_ARVALID), + .s_axi_arready(M_AXI_ETH_DMA1_ARREADY), + + .s_axi_rdata(M_AXI_ETH_DMA1_RDATA), + .s_axi_rresp(M_AXI_ETH_DMA1_RRESP), + .s_axi_rvalid(M_AXI_ETH_DMA1_RVALID), + .s_axi_rready(M_AXI_ETH_DMA1_RREADY) + + ); + //S_AXI_GP0 outputs from axi_eth_dma, so needs some sort of controller/tie off + assign S_AXI_GP1_AWADDR = 32'h0; + assign S_AXI_GP1_AWLEN = 8'h0; + assign S_AXI_GP1_AWSIZE = 4'h0; + assign S_AXI_GP1_AWBURST = 3'h0; + assign S_AXI_GP1_AWPROT = 3'h0; + assign S_AXI_GP1_AWCACHE = 4'h0; + assign S_AXI_GP1_AWVALID = 1'b0; + //S_AXI_GP1_AWREADY output from PS + assign S_AXI_GP1_WDATA = 32'h0; + assign S_AXI_GP1_WSTRB = 4'h0; + assign S_AXI_GP1_WLAST = 1'b0; + assign S_AXI_GP1_WVALID = 1'b0; + //S_AXI_GP1_WREADY output from PS + //S_AXI_GP1_BRESP + //S_AXI_GP1_BVALID + assign S_AXI_GP1_BREADY = 1'b1; + assign S_AXI_GP1_ARADDR = 32'h0; + assign S_AXI_GP1_ARLEN = 8'h0; + assign S_AXI_GP1_ARSIZE = 3'h0; + assign S_AXI_GP1_ARBURST = 2'h0; + assign S_AXI_GP1_ARPROT = 3'h0; + assign S_AXI_GP1_ARCACHE = 4'h0; + assign S_AXI_GP1_ARVALID = 1'b0; + //S_AXI_GP1_ARREADY + //S_AXI_GP1_RDATA + //S_AXI_GP1_RRESP + //S_AXI_GP1_RLAST + //S_AXI_GP1_RVALID + assign S_AXI_GP1_RREADY = 1'b1; + + //S_AXI_HP0 from axi_eth_dma + assign S_AXI_HP1_ARADDR = 32'h0; + assign S_AXI_HP1_ARLEN = 8'h0; + assign S_AXI_HP1_ARSIZE = 3'h0; + assign S_AXI_HP1_ARBURST = 2'h0; + assign S_AXI_HP1_ARPROT = 3'h0; + assign S_AXI_HP1_ARCACHE = 4'h0; + assign S_AXI_HP1_ARVALID = 1'b0; + //S_AXI_HP1_ARREADY + //S_AXI_HP1_RDATA + //S_AXI_HP1_RRESP + //S_AXI_HP1_RLAST + //S_AXI_HP1_RVALID + assign S_AXI_HP1_RREADY = 1'b1; + assign S_AXI_HP1_AWADDR = 32'h0; + assign S_AXI_HP1_AWLEN = 8'h0; + assign S_AXI_HP1_AWSIZE = 3'h0; + assign S_AXI_HP1_AWBURST = 2'h0; + assign S_AXI_HP1_AWPROT = 3'h0; + assign S_AXI_HP1_AWCACHE = 4'h0; + assign S_AXI_HP1_AWVALID = 1'b0; + //S_AXI_HP1_AWREADY + assign S_AXI_HP1_WDATA = 64'h0; + assign S_AXI_HP1_WSTRB = 8'h0; + assign S_AXI_HP1_WLAST = 1'b0; + assign S_AXI_HP1_WVALID = 1'b0; + //S_AXI_HP1_WREADY + //S_AXI_HP1_BRESP + //S_AXI_HP1_BVALID + assign S_AXI_HP1_BREADY = 1'b1; + +`else + + axi_eth_dma inst_axi_eth_dma1 ( + .s_axi_lite_aclk(clk40), + .m_axi_sg_aclk(clk40), + .m_axi_mm2s_aclk(clk40), + .m_axi_s2mm_aclk(clk40), + .axi_resetn(clk40_rstn), + + .s_axi_lite_awaddr(M_AXI_ETH_DMA1_AWADDR), + .s_axi_lite_awvalid(M_AXI_ETH_DMA1_AWVALID), + .s_axi_lite_awready(M_AXI_ETH_DMA1_AWREADY), + + .s_axi_lite_wdata(M_AXI_ETH_DMA1_WDATA), + .s_axi_lite_wvalid(M_AXI_ETH_DMA1_WVALID), + .s_axi_lite_wready(M_AXI_ETH_DMA1_WREADY), + + .s_axi_lite_bresp(M_AXI_ETH_DMA1_BRESP), + .s_axi_lite_bvalid(M_AXI_ETH_DMA1_BVALID), + .s_axi_lite_bready(M_AXI_ETH_DMA1_BREADY), + + .s_axi_lite_araddr(M_AXI_ETH_DMA1_ARADDR), + .s_axi_lite_arvalid(M_AXI_ETH_DMA1_ARVALID), + .s_axi_lite_arready(M_AXI_ETH_DMA1_ARREADY), + + .s_axi_lite_rdata(M_AXI_ETH_DMA1_RDATA), + .s_axi_lite_rresp(M_AXI_ETH_DMA1_RRESP), + .s_axi_lite_rvalid(M_AXI_ETH_DMA1_RVALID), + .s_axi_lite_rready(M_AXI_ETH_DMA1_RREADY), + + .m_axi_sg_awaddr(S_AXI_GP1_AWADDR), + .m_axi_sg_awlen(S_AXI_GP1_AWLEN), + .m_axi_sg_awsize(S_AXI_GP1_AWSIZE), + .m_axi_sg_awburst(S_AXI_GP1_AWBURST), + .m_axi_sg_awprot(S_AXI_GP1_AWPROT), + .m_axi_sg_awcache(S_AXI_GP1_AWCACHE), + .m_axi_sg_awvalid(S_AXI_GP1_AWVALID), + .m_axi_sg_awready(S_AXI_GP1_AWREADY), + .m_axi_sg_wdata(S_AXI_GP1_WDATA), + .m_axi_sg_wstrb(S_AXI_GP1_WSTRB), + .m_axi_sg_wlast(S_AXI_GP1_WLAST), + .m_axi_sg_wvalid(S_AXI_GP1_WVALID), + .m_axi_sg_wready(S_AXI_GP1_WREADY), + .m_axi_sg_bresp(S_AXI_GP1_BRESP), + .m_axi_sg_bvalid(S_AXI_GP1_BVALID), + .m_axi_sg_bready(S_AXI_GP1_BREADY), + .m_axi_sg_araddr(S_AXI_GP1_ARADDR), + .m_axi_sg_arlen(S_AXI_GP1_ARLEN), + .m_axi_sg_arsize(S_AXI_GP1_ARSIZE), + .m_axi_sg_arburst(S_AXI_GP1_ARBURST), + .m_axi_sg_arprot(S_AXI_GP1_ARPROT), + .m_axi_sg_arcache(S_AXI_GP1_ARCACHE), + .m_axi_sg_arvalid(S_AXI_GP1_ARVALID), + .m_axi_sg_arready(S_AXI_GP1_ARREADY), + .m_axi_sg_rdata(S_AXI_GP1_RDATA), + .m_axi_sg_rresp(S_AXI_GP1_RRESP), + .m_axi_sg_rlast(S_AXI_GP1_RLAST), + .m_axi_sg_rvalid(S_AXI_GP1_RVALID), + .m_axi_sg_rready(S_AXI_GP1_RREADY), + + .m_axi_mm2s_araddr(S_AXI_HP1_ARADDR), + .m_axi_mm2s_arlen(S_AXI_HP1_ARLEN), + .m_axi_mm2s_arsize(S_AXI_HP1_ARSIZE), + .m_axi_mm2s_arburst(S_AXI_HP1_ARBURST), + .m_axi_mm2s_arprot(S_AXI_HP1_ARPROT), + .m_axi_mm2s_arcache(S_AXI_HP1_ARCACHE), + .m_axi_mm2s_arvalid(S_AXI_HP1_ARVALID), + .m_axi_mm2s_arready(S_AXI_HP1_ARREADY), + .m_axi_mm2s_rdata(S_AXI_HP1_RDATA), + .m_axi_mm2s_rresp(S_AXI_HP1_RRESP), + .m_axi_mm2s_rlast(S_AXI_HP1_RLAST), + .m_axi_mm2s_rvalid(S_AXI_HP1_RVALID), + .m_axi_mm2s_rready(S_AXI_HP1_RREADY), + + .mm2s_prmry_reset_out_n(), + .m_axis_mm2s_tdata(arm_eth1_tx_tdata), + .m_axis_mm2s_tkeep(arm_eth1_tx_tkeep), + .m_axis_mm2s_tvalid(arm_eth1_tx_tvalid), + .m_axis_mm2s_tready(arm_eth1_tx_tready), + .m_axis_mm2s_tlast(arm_eth1_tx_tlast), + + .m_axi_s2mm_awaddr(S_AXI_HP1_AWADDR), + .m_axi_s2mm_awlen(S_AXI_HP1_AWLEN), + .m_axi_s2mm_awsize(S_AXI_HP1_AWSIZE), + .m_axi_s2mm_awburst(S_AXI_HP1_AWBURST), + .m_axi_s2mm_awprot(S_AXI_HP1_AWPROT), + .m_axi_s2mm_awcache(S_AXI_HP1_AWCACHE), + .m_axi_s2mm_awvalid(S_AXI_HP1_AWVALID), + .m_axi_s2mm_awready(S_AXI_HP1_AWREADY), + .m_axi_s2mm_wdata(S_AXI_HP1_WDATA), + .m_axi_s2mm_wstrb(S_AXI_HP1_WSTRB), + .m_axi_s2mm_wlast(S_AXI_HP1_WLAST), + .m_axi_s2mm_wvalid(S_AXI_HP1_WVALID), + .m_axi_s2mm_wready(S_AXI_HP1_WREADY), + .m_axi_s2mm_bresp(S_AXI_HP1_BRESP), + .m_axi_s2mm_bvalid(S_AXI_HP1_BVALID), + .m_axi_s2mm_bready(S_AXI_HP1_BREADY), + + .s2mm_prmry_reset_out_n(), + .s_axis_s2mm_tdata(arm_eth1_rx_tdata), + .s_axis_s2mm_tkeep(arm_eth1_rx_tkeep), + .s_axis_s2mm_tvalid(arm_eth1_rx_tvalid), + .s_axis_s2mm_tready(arm_eth1_rx_tready), + .s_axis_s2mm_tlast(arm_eth1_rx_tlast), + + .mm2s_introut(arm_eth1_tx_irq), + .s2mm_introut(arm_eth1_rx_irq), + .axi_dma_tstvec() + ); + + axi_fifo_2clk #( + .WIDTH(1+8+64), + .SIZE(5) + ) eth_tx_1_fifo_2clk_i ( + .reset(clk40_rst), + .i_aclk(clk40), + .i_tdata({arm_eth1_tx_tlast, arm_eth1_tx_tkeep, arm_eth1_tx_tdata}), + .i_tvalid(arm_eth1_tx_tvalid), + .i_tready(arm_eth1_tx_tready), + .o_aclk(bus_clk), + .o_tdata({arm_eth1_tx_tlast_b, arm_eth1_tx_tkeep_b, arm_eth1_tx_tdata_b}), + .o_tvalid(arm_eth1_tx_tvalid_b), + .o_tready(arm_eth1_tx_tready_b) + ); + + axi_fifo_2clk #( + .WIDTH(1+8+64), + .SIZE(5) + ) eth_rx_1_fifo_2clk_i ( + .reset(bus_rst), + .i_aclk(bus_clk), + .i_tdata({arm_eth1_rx_tlast_b, arm_eth1_rx_tkeep_b, arm_eth1_rx_tdata_b}), + .i_tvalid(arm_eth1_rx_tvalid_b), + .i_tready(arm_eth1_rx_tready_b), + .o_aclk(clk40), + .o_tdata({arm_eth1_rx_tlast, arm_eth1_rx_tkeep, arm_eth1_rx_tdata}), + .o_tvalid(arm_eth1_rx_tvalid), + .o_tready(arm_eth1_rx_tready) + ); +`endif + + ///////////////////////////////////////////////////////////////////// + // + // Processing System + // + ////////////////////////////////////////////////////////////////////// + + wire spi0_sclk; + wire spi0_mosi; + wire spi0_miso; + wire spi0_ss0; + wire spi0_ss1; + wire spi0_ss2; + wire spi1_sclk; + wire spi1_mosi; + wire spi1_miso; + wire spi1_ss0; + wire spi1_ss1; + wire spi1_ss2; + + assign ps_gpio_in[10] = DBA_MYK_INTRQ; +`ifndef N300 + assign ps_gpio_in[11] = DBB_MYK_INTRQ; +`else + assign ps_gpio_in[11] = 1'b0; +`endif + + // Processing System + n310_ps_bd inst_n310_ps ( + .SPI0_SCLK_I(1'b0), + .SPI0_SCLK_O(spi0_sclk), + .SPI0_SCLK_T(), + .SPI0_MOSI_I(1'b0), + .SPI0_MOSI_O(spi0_mosi), + .SPI0_MOSI_T(), + .SPI0_MISO_I(spi0_miso), + .SPI0_MISO_O(), + .SPI0_MISO_T(), + .SPI0_SS_I(1'b1), + .SPI0_SS_O(spi0_ss0), + .SPI0_SS1_O(spi0_ss1), + .SPI0_SS2_O(spi0_ss2), + .SPI0_SS_T(), + + `ifndef N300 + .SPI1_SCLK_I(1'b0), + .SPI1_SCLK_O(spi1_sclk), + .SPI1_SCLK_T(), + .SPI1_MOSI_I(1'b0), + .SPI1_MOSI_O(spi1_mosi), + .SPI1_MOSI_T(), + .SPI1_MISO_I(spi1_miso), + .SPI1_MISO_O(), + .SPI1_MISO_T(), + .SPI1_SS_I(1'b1), + .SPI1_SS_O(spi1_ss0), + .SPI1_SS1_O(spi1_ss1), + .SPI1_SS2_O(spi1_ss2), + .SPI1_SS_T(), + `else + .SPI1_SCLK_I(1'b0), + .SPI1_SCLK_O(), + .SPI1_SCLK_T(), + .SPI1_MOSI_I(1'b0), + .SPI1_MOSI_O(), + .SPI1_MOSI_T(), + .SPI1_MISO_I(1'b0), + .SPI1_MISO_O(), + .SPI1_MISO_T(), + .SPI1_SS_I(1'b1), + .SPI1_SS_O(), + .SPI1_SS1_O(), + .SPI1_SS2_O(), + .SPI1_SS_T(), + `endif + + .bus_clk(bus_clk), + .bus_rstn(~bus_rst), + .clk40(clk40), + .clk40_rstn(clk40_rstn), + + .M_AXI_ETH_DMA0_araddr(M_AXI_ETH_DMA0_ARADDR), + .M_AXI_ETH_DMA0_arprot(), + .M_AXI_ETH_DMA0_arready(M_AXI_ETH_DMA0_ARREADY), + .M_AXI_ETH_DMA0_arvalid(M_AXI_ETH_DMA0_ARVALID), + + .M_AXI_ETH_DMA0_awaddr(M_AXI_ETH_DMA0_AWADDR), + .M_AXI_ETH_DMA0_awprot(), + .M_AXI_ETH_DMA0_awready(M_AXI_ETH_DMA0_AWREADY), + .M_AXI_ETH_DMA0_awvalid(M_AXI_ETH_DMA0_AWVALID), + + .M_AXI_ETH_DMA0_wdata(M_AXI_ETH_DMA0_WDATA), + .M_AXI_ETH_DMA0_wready(M_AXI_ETH_DMA0_WREADY), + .M_AXI_ETH_DMA0_wstrb(M_AXI_ETH_DMA0_WSTRB), + .M_AXI_ETH_DMA0_wvalid(M_AXI_ETH_DMA0_WVALID), + + .M_AXI_ETH_DMA0_rdata(M_AXI_ETH_DMA0_RDATA), + .M_AXI_ETH_DMA0_rready(M_AXI_ETH_DMA0_RREADY), + .M_AXI_ETH_DMA0_rresp(M_AXI_ETH_DMA0_RRESP), + .M_AXI_ETH_DMA0_rvalid(M_AXI_ETH_DMA0_RVALID), + + .M_AXI_ETH_DMA0_bready(M_AXI_ETH_DMA0_BREADY), + .M_AXI_ETH_DMA0_bresp(M_AXI_ETH_DMA0_BRESP), + .M_AXI_ETH_DMA0_bvalid(M_AXI_ETH_DMA0_BVALID), + + .M_AXI_ETH_DMA1_araddr(M_AXI_ETH_DMA1_ARADDR), + .M_AXI_ETH_DMA1_arprot(), + .M_AXI_ETH_DMA1_arready(M_AXI_ETH_DMA1_ARREADY), + .M_AXI_ETH_DMA1_arvalid(M_AXI_ETH_DMA1_ARVALID), + + .M_AXI_ETH_DMA1_awaddr(M_AXI_ETH_DMA1_AWADDR), + .M_AXI_ETH_DMA1_awprot(), + .M_AXI_ETH_DMA1_awready(M_AXI_ETH_DMA1_AWREADY), + .M_AXI_ETH_DMA1_awvalid(M_AXI_ETH_DMA1_AWVALID), + + .M_AXI_ETH_DMA1_bready(M_AXI_ETH_DMA1_BREADY), + .M_AXI_ETH_DMA1_bresp(M_AXI_ETH_DMA1_BRESP), + .M_AXI_ETH_DMA1_bvalid(M_AXI_ETH_DMA1_BVALID), + + .M_AXI_ETH_DMA1_rdata(M_AXI_ETH_DMA1_RDATA), + .M_AXI_ETH_DMA1_rready(M_AXI_ETH_DMA1_RREADY), + .M_AXI_ETH_DMA1_rresp(M_AXI_ETH_DMA1_RRESP), + .M_AXI_ETH_DMA1_rvalid(M_AXI_ETH_DMA1_RVALID), + + .M_AXI_ETH_DMA1_wdata(M_AXI_ETH_DMA1_WDATA), + .M_AXI_ETH_DMA1_wready(M_AXI_ETH_DMA1_WREADY), + .M_AXI_ETH_DMA1_wstrb(M_AXI_ETH_DMA1_WSTRB), + .M_AXI_ETH_DMA1_wvalid(M_AXI_ETH_DMA1_WVALID), + + .M_AXI_JESD0_araddr(M_AXI_JESD0_ARADDR), + .M_AXI_JESD0_arprot(), + .M_AXI_JESD0_arready(M_AXI_JESD0_ARREADY), + .M_AXI_JESD0_arvalid(M_AXI_JESD0_ARVALID), + + .M_AXI_JESD0_awaddr(M_AXI_JESD0_AWADDR), + .M_AXI_JESD0_awprot(), + .M_AXI_JESD0_awready(M_AXI_JESD0_AWREADY), + .M_AXI_JESD0_awvalid(M_AXI_JESD0_AWVALID), + + .M_AXI_JESD0_bready(M_AXI_JESD0_BREADY), + .M_AXI_JESD0_bresp(M_AXI_JESD0_BRESP), + .M_AXI_JESD0_bvalid(M_AXI_JESD0_BVALID), + + .M_AXI_JESD0_rdata(M_AXI_JESD0_RDATA), + .M_AXI_JESD0_rready(M_AXI_JESD0_RREADY), + .M_AXI_JESD0_rresp(M_AXI_JESD0_RRESP), + .M_AXI_JESD0_rvalid(M_AXI_JESD0_RVALID), + + .M_AXI_JESD0_wdata(M_AXI_JESD0_WDATA), + .M_AXI_JESD0_wready(M_AXI_JESD0_WREADY), + .M_AXI_JESD0_wstrb(M_AXI_JESD0_WSTRB), + .M_AXI_JESD0_wvalid(M_AXI_JESD0_WVALID), + + .M_AXI_JESD1_araddr(M_AXI_JESD1_ARADDR), + .M_AXI_JESD1_arprot(), + .M_AXI_JESD1_arready(M_AXI_JESD1_ARREADY), + .M_AXI_JESD1_arvalid(M_AXI_JESD1_ARVALID), + + .M_AXI_JESD1_awaddr(M_AXI_JESD1_AWADDR), + .M_AXI_JESD1_awprot(), + .M_AXI_JESD1_awready(M_AXI_JESD1_AWREADY), + .M_AXI_JESD1_awvalid(M_AXI_JESD1_AWVALID), + + .M_AXI_JESD1_bready(M_AXI_JESD1_BREADY), + .M_AXI_JESD1_bresp(M_AXI_JESD1_BRESP), + .M_AXI_JESD1_bvalid(M_AXI_JESD1_BVALID), + + .M_AXI_JESD1_rdata(M_AXI_JESD1_RDATA), + .M_AXI_JESD1_rready(M_AXI_JESD1_RREADY), + .M_AXI_JESD1_rresp(M_AXI_JESD1_RRESP), + .M_AXI_JESD1_rvalid(M_AXI_JESD1_RVALID), + + .M_AXI_JESD1_wdata(M_AXI_JESD1_WDATA), + .M_AXI_JESD1_wready(M_AXI_JESD1_WREADY), + .M_AXI_JESD1_wstrb(M_AXI_JESD1_WSTRB), + .M_AXI_JESD1_wvalid(M_AXI_JESD1_WVALID), + + .M_AXI_NET0_araddr(M_AXI_NET0_ARADDR), + .M_AXI_NET0_arprot(), + .M_AXI_NET0_arready(M_AXI_NET0_ARREADY), + .M_AXI_NET0_arvalid(M_AXI_NET0_ARVALID), + + .M_AXI_NET0_awaddr(M_AXI_NET0_AWADDR), + .M_AXI_NET0_awprot(), + .M_AXI_NET0_awready(M_AXI_NET0_AWREADY), + .M_AXI_NET0_awvalid(M_AXI_NET0_AWVALID), + + .M_AXI_NET0_bready(M_AXI_NET0_BREADY), + .M_AXI_NET0_bresp(M_AXI_NET0_BRESP), + .M_AXI_NET0_bvalid(M_AXI_NET0_BVALID), + + .M_AXI_NET0_rdata(M_AXI_NET0_RDATA), + .M_AXI_NET0_rready(M_AXI_NET0_RREADY), + .M_AXI_NET0_rresp(M_AXI_NET0_RRESP), + .M_AXI_NET0_rvalid(M_AXI_NET0_RVALID), + + .M_AXI_NET0_wdata(M_AXI_NET0_WDATA), + .M_AXI_NET0_wready(M_AXI_NET0_WREADY), + .M_AXI_NET0_wstrb(M_AXI_NET0_WSTRB), + .M_AXI_NET0_wvalid(M_AXI_NET0_WVALID), + + .M_AXI_NET1_araddr(M_AXI_NET1_ARADDR), + .M_AXI_NET1_arprot(), + .M_AXI_NET1_arready(M_AXI_NET1_ARREADY), + .M_AXI_NET1_arvalid(M_AXI_NET1_ARVALID), + + .M_AXI_NET1_awaddr(M_AXI_NET1_AWADDR), + .M_AXI_NET1_awprot(), + .M_AXI_NET1_awready(M_AXI_NET1_AWREADY), + .M_AXI_NET1_awvalid(M_AXI_NET1_AWVALID), + + .M_AXI_NET1_bready(M_AXI_NET1_BREADY), + .M_AXI_NET1_bresp(M_AXI_NET1_BRESP), + .M_AXI_NET1_bvalid(M_AXI_NET1_BVALID), + + .M_AXI_NET1_rdata(M_AXI_NET1_RDATA), + .M_AXI_NET1_rready(M_AXI_NET1_RREADY), + .M_AXI_NET1_rresp(M_AXI_NET1_RRESP), + .M_AXI_NET1_rvalid(M_AXI_NET1_RVALID), + + .M_AXI_NET1_wdata(M_AXI_NET1_WDATA), + .M_AXI_NET1_wready(M_AXI_NET1_WREADY), + .M_AXI_NET1_wstrb(M_AXI_NET1_WSTRB), + .M_AXI_NET1_wvalid(M_AXI_NET1_WVALID), + + .M_AXI_NET2_araddr(M_AXI_NET2_ARADDR), + .M_AXI_NET2_arprot(), + .M_AXI_NET2_arready(M_AXI_NET2_ARREADY), + .M_AXI_NET2_arvalid(M_AXI_NET2_ARVALID), + + .M_AXI_NET2_awaddr(M_AXI_NET2_AWADDR), + .M_AXI_NET2_awprot(), + .M_AXI_NET2_awready(M_AXI_NET2_AWREADY), + .M_AXI_NET2_awvalid(M_AXI_NET2_AWVALID), + + .M_AXI_NET2_bready(M_AXI_NET2_BREADY), + .M_AXI_NET2_bresp(M_AXI_NET2_BRESP), + .M_AXI_NET2_bvalid(M_AXI_NET2_BVALID), + + .M_AXI_NET2_rdata(M_AXI_NET2_RDATA), + .M_AXI_NET2_rready(M_AXI_NET2_RREADY), + .M_AXI_NET2_rresp(M_AXI_NET2_RRESP), + .M_AXI_NET2_rvalid(M_AXI_NET2_RVALID), + + .M_AXI_NET2_wdata(M_AXI_NET2_WDATA), + .M_AXI_NET2_wready(M_AXI_NET2_WREADY), + .M_AXI_NET2_wstrb(M_AXI_NET2_WSTRB), + .M_AXI_NET2_wvalid(M_AXI_NET2_WVALID), + + .M_AXI_WR_CLK(m_axi_wr_clk), + .M_AXI_WR_RSTn(1'b1), + .M_AXI_WR_araddr(m_axi_wr_araddr), + .M_AXI_WR_arready(m_axi_wr_arready), + .M_AXI_WR_arvalid(m_axi_wr_arvalid), + .M_AXI_WR_awaddr(m_axi_wr_awaddr), + .M_AXI_WR_awready(m_axi_wr_awready), + .M_AXI_WR_awvalid(m_axi_wr_awvalid), + .M_AXI_WR_bready(m_axi_wr_bready), + .M_AXI_WR_bresp(m_axi_wr_bresp), + .M_AXI_WR_bvalid(m_axi_wr_bvalid), + .M_AXI_WR_rdata(m_axi_wr_rdata), + .M_AXI_WR_rready(m_axi_wr_rready), + .M_AXI_WR_rresp(m_axi_wr_rresp), + .M_AXI_WR_rvalid(m_axi_wr_rvalid), + .M_AXI_WR_wdata(m_axi_wr_wdata), + .M_AXI_WR_wready(m_axi_wr_wready), + .M_AXI_WR_wstrb(m_axi_wr_wstrb), + .M_AXI_WR_wvalid(m_axi_wr_wvalid), + + .M_AXI_XBAR_araddr(M_AXI_XBAR_ARADDR), + .M_AXI_XBAR_arprot(), + .M_AXI_XBAR_arready(M_AXI_XBAR_ARREADY), + .M_AXI_XBAR_arvalid(M_AXI_XBAR_ARVALID), + + .M_AXI_XBAR_awaddr(M_AXI_XBAR_AWADDR), + .M_AXI_XBAR_awprot(), + .M_AXI_XBAR_awready(M_AXI_XBAR_AWREADY), + .M_AXI_XBAR_awvalid(M_AXI_XBAR_AWVALID), + + .M_AXI_XBAR_bready(M_AXI_XBAR_BREADY), + .M_AXI_XBAR_bresp(M_AXI_XBAR_BRESP), + .M_AXI_XBAR_bvalid(M_AXI_XBAR_BVALID), + + .M_AXI_XBAR_rdata(M_AXI_XBAR_RDATA), + .M_AXI_XBAR_rready(M_AXI_XBAR_RREADY), + .M_AXI_XBAR_rresp(M_AXI_XBAR_RRESP), + .M_AXI_XBAR_rvalid(M_AXI_XBAR_RVALID), + + .M_AXI_XBAR_wdata(M_AXI_XBAR_WDATA), + .M_AXI_XBAR_wready(M_AXI_XBAR_WREADY), + .M_AXI_XBAR_wstrb(M_AXI_XBAR_WSTRB), + .M_AXI_XBAR_wvalid(M_AXI_XBAR_WVALID), + + .S_AXI_GP0_ACLK(clk40), + .S_AXI_GP0_ARESETN(clk40_rstn), + .S_AXI_GP0_araddr(S_AXI_GP0_ARADDR), + .S_AXI_GP0_arburst(S_AXI_GP0_ARBURST), + .S_AXI_GP0_arcache(S_AXI_GP0_ARCACHE), + .S_AXI_GP0_arid(S_AXI_GP0_ARID), + .S_AXI_GP0_arlen(S_AXI_GP0_ARLEN), + .S_AXI_GP0_arlock(1'b0), + .S_AXI_GP0_arprot(S_AXI_GP0_ARPROT), + .S_AXI_GP0_arqos(4'b0000), + .S_AXI_GP0_arready(S_AXI_GP0_ARREADY), + .S_AXI_GP0_arsize(S_AXI_GP0_ARSIZE), + .S_AXI_GP0_arvalid(S_AXI_GP0_ARVALID), + .S_AXI_GP0_awaddr(S_AXI_GP0_AWADDR), + .S_AXI_GP0_awburst(S_AXI_GP0_AWBURST), + .S_AXI_GP0_awcache(S_AXI_GP0_AWCACHE), + .S_AXI_GP0_awid(S_AXI_GP0_AWID), + .S_AXI_GP0_awlen(S_AXI_GP0_AWLEN), + .S_AXI_GP0_awlock(1'b0), + .S_AXI_GP0_awprot(S_AXI_GP0_AWPROT), + .S_AXI_GP0_awqos(4'b0000), + .S_AXI_GP0_awregion(4'b0000), + .S_AXI_GP0_awready(S_AXI_GP0_AWREADY), + .S_AXI_GP0_awsize(S_AXI_GP0_AWSIZE), + .S_AXI_GP0_awvalid(S_AXI_GP0_AWVALID), + .S_AXI_GP0_bid(), + .S_AXI_GP0_bready(S_AXI_GP0_BREADY), + .S_AXI_GP0_bresp(S_AXI_GP0_BRESP), + .S_AXI_GP0_bvalid(S_AXI_GP0_BVALID), + .S_AXI_GP0_rdata(S_AXI_GP0_RDATA), + .S_AXI_GP0_rid(), + .S_AXI_GP0_rlast(S_AXI_GP0_RLAST), + .S_AXI_GP0_rready(S_AXI_GP0_RREADY), + .S_AXI_GP0_rresp(S_AXI_GP0_RRESP), + .S_AXI_GP0_rvalid(S_AXI_GP0_RVALID), + .S_AXI_GP0_wdata(S_AXI_GP0_WDATA), + .S_AXI_GP0_wlast(S_AXI_GP0_WLAST), + .S_AXI_GP0_wready(S_AXI_GP0_WREADY), + .S_AXI_GP0_wstrb(S_AXI_GP0_WSTRB), + .S_AXI_GP0_wvalid(S_AXI_GP0_WVALID), + + .S_AXI_GP1_ACLK(clk40), + .S_AXI_GP1_ARESETN(clk40_rstn), + .S_AXI_GP1_araddr(S_AXI_GP1_ARADDR), + .S_AXI_GP1_arburst(S_AXI_GP1_ARBURST), + .S_AXI_GP1_arcache(S_AXI_GP1_ARCACHE), + .S_AXI_GP1_arid(S_AXI_GP1_ARID), + .S_AXI_GP1_arlen(S_AXI_GP1_ARLEN), + .S_AXI_GP1_arlock(1'b0), + .S_AXI_GP1_arprot(S_AXI_GP1_ARPROT), + .S_AXI_GP1_arqos(4'b000), + .S_AXI_GP1_arready(S_AXI_GP1_ARREADY), + .S_AXI_GP1_arsize(S_AXI_GP1_ARSIZE), + .S_AXI_GP1_arvalid(S_AXI_GP1_ARVALID), + .S_AXI_GP1_awaddr(S_AXI_GP1_AWADDR), + .S_AXI_GP1_awburst(S_AXI_GP1_AWBURST), + .S_AXI_GP1_awcache(S_AXI_GP1_AWCACHE), + .S_AXI_GP1_awid(S_AXI_GP1_AWID), + .S_AXI_GP1_awlen(S_AXI_GP1_AWLEN), + .S_AXI_GP1_awlock(1'b0), + .S_AXI_GP1_awprot(S_AXI_GP1_AWPROT), + .S_AXI_GP1_awqos(4'b0000), + .S_AXI_GP1_awregion(4'b0000), + .S_AXI_GP1_awready(S_AXI_GP1_AWREADY), + .S_AXI_GP1_awsize(S_AXI_GP1_AWSIZE), + .S_AXI_GP1_awvalid(S_AXI_GP1_AWVALID), + .S_AXI_GP1_bid(), + .S_AXI_GP1_bready(S_AXI_GP1_BREADY), + .S_AXI_GP1_bresp(S_AXI_GP1_BRESP), + .S_AXI_GP1_bvalid(S_AXI_GP1_BVALID), + .S_AXI_GP1_rdata(S_AXI_GP1_RDATA), + .S_AXI_GP1_rid(), + .S_AXI_GP1_rlast(S_AXI_GP1_RLAST), + .S_AXI_GP1_rready(S_AXI_GP1_RREADY), + .S_AXI_GP1_rresp(S_AXI_GP1_RRESP), + .S_AXI_GP1_rvalid(S_AXI_GP1_RVALID), + .S_AXI_GP1_wdata(S_AXI_GP1_WDATA), + .S_AXI_GP1_wlast(S_AXI_GP1_WLAST), + .S_AXI_GP1_wready(S_AXI_GP1_WREADY), + .S_AXI_GP1_wstrb(S_AXI_GP1_WSTRB), + .S_AXI_GP1_wvalid(S_AXI_GP1_WVALID), + + .S_AXI_HP0_ACLK(clk40), + .S_AXI_HP0_ARESETN(clk40_rstn), + .S_AXI_HP0_araddr(S_AXI_HP0_ARADDR), + .S_AXI_HP0_arburst(S_AXI_HP0_ARBURST), + .S_AXI_HP0_arcache(S_AXI_HP0_ARCACHE), + .S_AXI_HP0_arid(S_AXI_HP0_ARID), + .S_AXI_HP0_arlen(S_AXI_HP0_ARLEN), + .S_AXI_HP0_arlock(1'b0), + .S_AXI_HP0_arprot(S_AXI_HP0_ARPROT), + .S_AXI_HP0_arqos(4'b0000), + .S_AXI_HP0_arready(S_AXI_HP0_ARREADY), + .S_AXI_HP0_arsize(S_AXI_HP0_ARSIZE), + .S_AXI_HP0_arvalid(S_AXI_HP0_ARVALID), + .S_AXI_HP0_awaddr(S_AXI_HP0_AWADDR), + .S_AXI_HP0_awburst(S_AXI_HP0_AWBURST), + .S_AXI_HP0_awcache(S_AXI_HP0_AWCACHE), + .S_AXI_HP0_awid(S_AXI_HP0_AWID), + .S_AXI_HP0_awlen(S_AXI_HP0_AWLEN), + .S_AXI_HP0_awlock(1'b0), + .S_AXI_HP0_awprot(S_AXI_HP0_AWPROT), + .S_AXI_HP0_awqos(4'b0000), + .S_AXI_HP0_awready(S_AXI_HP0_AWREADY), + .S_AXI_HP0_awsize(S_AXI_HP0_AWSIZE), + .S_AXI_HP0_awvalid(S_AXI_HP0_AWVALID), + .S_AXI_HP0_bid(), + .S_AXI_HP0_bready(S_AXI_HP0_BREADY), + .S_AXI_HP0_bresp(S_AXI_HP0_BRESP), + .S_AXI_HP0_bvalid(S_AXI_HP0_BVALID), + .S_AXI_HP0_rdata(S_AXI_HP0_RDATA), + .S_AXI_HP0_rid(), + .S_AXI_HP0_rlast(S_AXI_HP0_RLAST), + .S_AXI_HP0_rready(S_AXI_HP0_RREADY), + .S_AXI_HP0_rresp(S_AXI_HP0_RRESP), + .S_AXI_HP0_rvalid(S_AXI_HP0_RVALID), + .S_AXI_HP0_wdata(S_AXI_HP0_WDATA), + .S_AXI_HP0_wlast(S_AXI_HP0_WLAST), + .S_AXI_HP0_wready(S_AXI_HP0_WREADY), + .S_AXI_HP0_wstrb(S_AXI_HP0_WSTRB), + .S_AXI_HP0_wvalid(S_AXI_HP0_WVALID), + + .S_AXI_HP1_ACLK(clk40), + .S_AXI_HP1_ARESETN(clk40_rstn), + .S_AXI_HP1_araddr(S_AXI_HP1_ARADDR), + .S_AXI_HP1_arburst(S_AXI_HP1_ARBURST), + .S_AXI_HP1_arcache(S_AXI_HP1_ARCACHE), + .S_AXI_HP1_arid(S_AXI_HP1_ARID), + .S_AXI_HP1_arlen(S_AXI_HP1_ARLEN), + .S_AXI_HP1_arlock(1'b0), + .S_AXI_HP1_arprot(S_AXI_HP1_ARPROT), + .S_AXI_HP1_arqos(4'b0000), + .S_AXI_HP1_arready(S_AXI_HP1_ARREADY), + .S_AXI_HP1_arsize(S_AXI_HP1_ARSIZE), + .S_AXI_HP1_arvalid(S_AXI_HP1_ARVALID), + .S_AXI_HP1_awaddr(S_AXI_HP1_AWADDR), + .S_AXI_HP1_awburst(S_AXI_HP1_AWBURST), + .S_AXI_HP1_awcache(S_AXI_HP1_AWCACHE), + .S_AXI_HP1_awid(S_AXI_HP1_AWID), + .S_AXI_HP1_awlen(S_AXI_HP1_AWLEN), + .S_AXI_HP1_awlock(1'b0), + .S_AXI_HP1_awprot(S_AXI_HP1_AWPROT), + .S_AXI_HP1_awqos(4'b0000), + .S_AXI_HP1_awready(S_AXI_HP1_AWREADY), + .S_AXI_HP1_awsize(S_AXI_HP1_AWSIZE), + .S_AXI_HP1_awvalid(S_AXI_HP1_AWVALID), + .S_AXI_HP1_bid(), + .S_AXI_HP1_bready(S_AXI_HP1_BREADY), + .S_AXI_HP1_bresp(S_AXI_HP1_BRESP), + .S_AXI_HP1_bvalid(S_AXI_HP1_BVALID), + .S_AXI_HP1_rdata(S_AXI_HP1_RDATA), + .S_AXI_HP1_rid(), + .S_AXI_HP1_rlast(S_AXI_HP1_RLAST), + .S_AXI_HP1_rready(S_AXI_HP1_RREADY), + .S_AXI_HP1_rresp(S_AXI_HP1_RRESP), + .S_AXI_HP1_rvalid(S_AXI_HP1_RVALID), + .S_AXI_HP1_wdata(S_AXI_HP1_WDATA), + .S_AXI_HP1_wlast(S_AXI_HP1_WLAST), + .S_AXI_HP1_wready(S_AXI_HP1_WREADY), + .S_AXI_HP1_wstrb(S_AXI_HP1_WSTRB), + .S_AXI_HP1_wvalid(S_AXI_HP1_WVALID), + + // ARM DMA + .s_axis_dma_tdata(s_axis_dma_tdata), + .s_axis_dma_tdest(s_axis_dma_tdest), + .s_axis_dma_tlast(s_axis_dma_tlast), + .s_axis_dma_tready(s_axis_dma_tready), + .s_axis_dma_tvalid(s_axis_dma_tvalid), + .m_axis_dma_tdata(m_axis_dma_tdata), + .m_axis_dma_tuser(m_axis_dma_tuser), + .m_axis_dma_tlast(m_axis_dma_tlast), + .m_axis_dma_tready(m_axis_dma_tready), + .m_axis_dma_tvalid(m_axis_dma_tvalid), + + // Misc Interrupts, GPIO, clk + .IRQ_F2P(IRQ_F2P), + + .GPIO_0_tri_i(ps_gpio_in), + .GPIO_0_tri_o(ps_gpio_out), + .GPIO_0_tri_t(ps_gpio_tri), + + .JTAG0_TCK(DBA_CPLD_JTAG_TCK), + .JTAG0_TMS(DBA_CPLD_JTAG_TMS), + .JTAG0_TDI(DBA_CPLD_JTAG_TDI), + .JTAG0_TDO(DBA_CPLD_JTAG_TDO), + + `ifndef N300 + .JTAG1_TCK(DBB_CPLD_JTAG_TCK), + .JTAG1_TMS(DBB_CPLD_JTAG_TMS), + .JTAG1_TDI(DBB_CPLD_JTAG_TDI), + .JTAG1_TDO(DBB_CPLD_JTAG_TDO), + `else + .JTAG1_TCK(), + .JTAG1_TMS(), + .JTAG1_TDI(), + .JTAG1_TDO('b0), + `endif + + .FCLK_CLK0(FCLK_CLK0), + .FCLK_RESET0_N(FCLK_RESET0_N), + .FCLK_CLK1(FCLK_CLK1), + .FCLK_RESET1_N(), + .FCLK_CLK2(FCLK_CLK2), + .FCLK_RESET2_N(), + .FCLK_CLK3(FCLK_CLK3), + .FCLK_RESET3_N(), + + .WR_UART_txd(wr_uart_rxd), // rx <-> tx + .WR_UART_rxd(wr_uart_txd), // rx <-> tx + + .qsfp_sda_i(qsfp_sda_i), + .qsfp_sda_o(qsfp_sda_o), + .qsfp_sda_t(qsfp_sda_t), + .qsfp_scl_i(qsfp_scl_i), + .qsfp_scl_o(qsfp_scl_o), + .qsfp_scl_t(qsfp_scl_t), + + .USBIND_0_port_indctl(), + .USBIND_0_vbus_pwrfault(), + .USBIND_0_vbus_pwrselect(), + + // Outward connections to the pins + .MIO(MIO), + .DDR_cas_n(DDR_CAS_n), + .DDR_cke(DDR_CKE), + .DDR_ck_n(DDR_Clk_n), + .DDR_ck_p(DDR_Clk), + .DDR_cs_n(DDR_CS_n), + .DDR_reset_n(DDR_DRSTB), + .DDR_odt(DDR_ODT), + .DDR_ras_n(DDR_RAS_n), + .DDR_we_n(DDR_WEB), + .DDR_ba(DDR_BankAddr), + .DDR_addr(DDR_Addr), + .DDR_VRN(DDR_VRN), + .DDR_VRP(DDR_VRP), + .DDR_dm(DDR_DM), + .DDR_dq(DDR_DQ), + .DDR_dqs_n(DDR_DQS_n), + .DDR_dqs_p(DDR_DQS), + .PS_SRSTB(PS_SRSTB), + .PS_CLK(PS_CLK), + .PS_PORB(PS_PORB) + ); + + /////////////////////////////////////////////////////////////////////////////////// + // + // Xilinx DDR3 Controller and PHY. + // + /////////////////////////////////////////////////////////////////////////////////// + + wire ddr3_axi_clk; // 1/4 DDR external clock rate (200MHz) + wire ddr3_axi_rst; // Synchronized to ddr_sys_clk + wire ddr3_running; // DRAM calibration complete. + wire [11:0] device_temp; + + // Slave Interface Write Address Ports + wire [3:0] ddr3_axi_awid; + wire [31:0] ddr3_axi_awaddr; + wire [7:0] ddr3_axi_awlen; + wire [2:0] ddr3_axi_awsize; + wire [1:0] ddr3_axi_awburst; + wire [0:0] ddr3_axi_awlock; + wire [3:0] ddr3_axi_awcache; + wire [2:0] ddr3_axi_awprot; + wire [3:0] ddr3_axi_awqos; + wire ddr3_axi_awvalid; + wire ddr3_axi_awready; + // Slave Interface Write Data Ports + wire [255:0] ddr3_axi_wdata; + wire [31:0] ddr3_axi_wstrb; + wire ddr3_axi_wlast; + wire ddr3_axi_wvalid; + wire ddr3_axi_wready; + // Slave Interface Write Response Ports + wire ddr3_axi_bready; + wire [3:0] ddr3_axi_bid; + wire [1:0] ddr3_axi_bresp; + wire ddr3_axi_bvalid; + // Slave Interface Read Address Ports + wire [3:0] ddr3_axi_arid; + wire [31:0] ddr3_axi_araddr; + wire [7:0] ddr3_axi_arlen; + wire [2:0] ddr3_axi_arsize; + wire [1:0] ddr3_axi_arburst; + wire [0:0] ddr3_axi_arlock; + wire [3:0] ddr3_axi_arcache; + wire [2:0] ddr3_axi_arprot; + wire [3:0] ddr3_axi_arqos; + wire ddr3_axi_arvalid; + wire ddr3_axi_arready; + // Slave Interface Read Data Ports + wire ddr3_axi_rready; + wire [3:0] ddr3_axi_rid; + wire [255:0] ddr3_axi_rdata; + wire [1:0] ddr3_axi_rresp; + wire ddr3_axi_rlast; + wire ddr3_axi_rvalid; + + reg ddr3_axi_rst_reg_n; + + // Copied this reset circuit from example design. + always @(posedge ddr3_axi_clk) + ddr3_axi_rst_reg_n <= ~ddr3_axi_rst; + + + // Instantiate the DDR3 MIG core + // + // The top-level IP block has no parameters defined for some reason. + // Most of configurable parameters are hard-coded in the mig so get + // some additional knobs we pull those out into verilog headers. + // + // Synthesis params: ip/ddr3_32bit/ddr3_32bit_mig_parameters.vh + // Simulation params: ip/ddr3_32bit/ddr3_32bit_mig_sim_parameters.vh + + ddr3_32bit u_ddr3_32bit ( + // Memory interface ports + .ddr3_addr (ddr3_addr), + .ddr3_ba (ddr3_ba), + .ddr3_cas_n (ddr3_cas_n), + .ddr3_ck_n (ddr3_ck_n), + .ddr3_ck_p (ddr3_ck_p), + .ddr3_cke (ddr3_cke), + .ddr3_ras_n (ddr3_ras_n), + .ddr3_reset_n (ddr3_reset_n), + .ddr3_we_n (ddr3_we_n), + .ddr3_dq (ddr3_dq), + .ddr3_dqs_n (ddr3_dqs_n), + .ddr3_dqs_p (ddr3_dqs_p), + .init_calib_complete (ddr3_running), + .device_temp_i (device_temp), + + .ddr3_cs_n (ddr3_cs_n), + .ddr3_dm (ddr3_dm), + .ddr3_odt (ddr3_odt), + // Application interface ports + .ui_clk (ddr3_axi_clk), // 200Hz clock out + .ui_clk_sync_rst (ddr3_axi_rst), // Active high Reset signal synchronised to 200 MHz. + .aresetn (ddr3_axi_rst_reg_n), + .app_sr_req (1'b0), + .app_sr_active (), + .app_ref_req (1'b0), + .app_ref_ack (), + .app_zq_req (1'b0), + .app_zq_ack (), + // Slave Interface Write Address Ports + .s_axi_awid (ddr3_axi_awid), + .s_axi_awaddr (ddr3_axi_awaddr), + .s_axi_awlen (ddr3_axi_awlen), + .s_axi_awsize (ddr3_axi_awsize), + .s_axi_awburst (ddr3_axi_awburst), + .s_axi_awlock (ddr3_axi_awlock), + .s_axi_awcache (ddr3_axi_awcache), + .s_axi_awprot (ddr3_axi_awprot), + .s_axi_awqos (ddr3_axi_awqos), + .s_axi_awvalid (ddr3_axi_awvalid), + .s_axi_awready (ddr3_axi_awready), + // Slave Interface Write Data Ports + .s_axi_wdata (ddr3_axi_wdata), + .s_axi_wstrb (ddr3_axi_wstrb), + .s_axi_wlast (ddr3_axi_wlast), + .s_axi_wvalid (ddr3_axi_wvalid), + .s_axi_wready (ddr3_axi_wready), + // Slave Interface Write Response Ports + .s_axi_bid (ddr3_axi_bid), + .s_axi_bresp (ddr3_axi_bresp), + .s_axi_bvalid (ddr3_axi_bvalid), + .s_axi_bready (ddr3_axi_bready), + // Slave Interface Read Address Ports + .s_axi_arid (ddr3_axi_arid), + .s_axi_araddr (ddr3_axi_araddr), + .s_axi_arlen (ddr3_axi_arlen), + .s_axi_arsize (ddr3_axi_arsize), + .s_axi_arburst (ddr3_axi_arburst), + .s_axi_arlock (ddr3_axi_arlock), + .s_axi_arcache (ddr3_axi_arcache), + .s_axi_arprot (ddr3_axi_arprot), + .s_axi_arqos (ddr3_axi_arqos), + .s_axi_arvalid (ddr3_axi_arvalid), + .s_axi_arready (ddr3_axi_arready), + // Slave Interface Read Data Ports + .s_axi_rid (ddr3_axi_rid), + .s_axi_rdata (ddr3_axi_rdata), + .s_axi_rresp (ddr3_axi_rresp), + .s_axi_rlast (ddr3_axi_rlast), + .s_axi_rvalid (ddr3_axi_rvalid), + .s_axi_rready (ddr3_axi_rready), + // System Clock Ports + .sys_clk_p (sys_clk_p), + .sys_clk_n (sys_clk_n), + .clk_ref_i (bus_clk), + + .sys_rst (~global_rst) // IJB. Poorly named active low. Should change RST_ACT_LOW. + ); + + // Temperature monitor module + mig_7series_v4_2_tempmon #( + .TEMP_MON_CONTROL("INTERNAL"), + .XADC_CLK_PERIOD(5000 /* 200MHz clock period in ps */) + ) tempmon_i ( + .clk(bus_clk), .xadc_clk(bus_clk), .rst(bus_rst), + .device_temp_i(12'd0 /* ignored */), .device_temp(device_temp) + ); + + /////////////////////////////////////////////////////// + // + // DB PS SPI Connections + // + /////////////////////////////////////////////////////// + wire [NUM_CHANNELS-1:0] rx_atr; + wire [NUM_CHANNELS-1:0] tx_atr; + (* IOB = "true" *) reg [NUM_CHANNELS-1:0] rx_atr_reg; + (* IOB = "true" *) reg [NUM_CHANNELS-1:0] tx_atr_reg; + + // Radio GPIO control for DSA + wire [16*NUM_CHANNELS-1:0] db_gpio_out; + wire [16*NUM_CHANNELS-1:0] db_gpio_ddr; + wire [16*NUM_CHANNELS-1:0] db_gpio_in; + wire [16*NUM_CHANNELS-1:0] db_gpio_fab; + + // DB A SPI Connections + wire cpld_a_cs_n; + wire lmk_a_cs_n; + wire dac_a_cs_n; + wire myk_a_cs_n; + + // Split out the SCLK and MOSI data to Mykonos and the CPLD. + assign DBA_CPLD_PS_SPI_SCLK = spi0_sclk; + assign DBA_CPLD_PS_SPI_SDI = spi0_mosi; + + assign DBA_MYK_SPI_SCLK = spi0_sclk; + assign DBA_MYK_SPI_SDIO = spi0_mosi; + // Assign individual chip selects from PS SPI MASTER 0. + assign cpld_a_cs_n = spi0_ss0; + assign lmk_a_cs_n = spi0_ss1; + assign dac_a_cs_n = ps_gpio_out[8]; // DAC select driven through GPIO. + assign myk_a_cs_n = spi0_ss2; + + // Returned data mux from the SPI interfaces. + assign spi0_miso = ~myk_a_cs_n ? DBA_MYK_SPI_SDO : // From Mykonos + DBA_CPLD_PS_SPI_SDO; + + // For the PS SPI connection to the CPLD, we use the LE and ADDR lines as individual + // chip selects for the CPLD endpoint as well as the LMK and DAC endpoints. + // LE = CPLD + // ADDR[0] = LMK + // ADDR[1] = DAC + assign DBA_CPLD_PS_SPI_LE = cpld_a_cs_n; + assign DBA_CPLD_PS_SPI_ADDR[0] = lmk_a_cs_n; + assign DBA_CPLD_PS_SPI_ADDR[1] = dac_a_cs_n; + assign DBA_MYK_SPI_CS_n = myk_a_cs_n; + + // Instantiate DSA registers in the IOB + (* IOB = "true" *) reg [5:0] dsa_tx1_a_out_iob, dsa_rx1_a_out_iob; + (* IOB = "true" *) reg [5:0] dsa_tx2_a_out_iob, dsa_rx2_a_out_iob; + always @(posedge radio_clk) begin + dsa_tx1_a_out_iob <= db_gpio_out[16*0+11:16*0+6]; + dsa_rx1_a_out_iob <= db_gpio_out[16*0+5:16*0+0]; + dsa_tx2_a_out_iob <= db_gpio_out[16*1+11:16*1+6]; + dsa_rx2_a_out_iob <= db_gpio_out[16*1+5:16*1+0]; + end + + assign DBA_CH1_TX_DSA_DATA = dsa_tx1_a_out_iob; + assign DBA_CH1_RX_DSA_DATA = dsa_rx1_a_out_iob; + assign DBA_CH2_TX_DSA_DATA = dsa_tx2_a_out_iob; + assign DBA_CH2_RX_DSA_DATA = dsa_rx2_a_out_iob; + + assign DBA_ATR_RX_1 = rx_atr_reg[0]; + assign DBA_ATR_RX_2 = rx_atr_reg[1]; + assign DBA_ATR_TX_1 = tx_atr_reg[0]; + assign DBA_ATR_TX_2 = tx_atr_reg[1]; + + assign DBA_MYK_GPIO_0 = 1'b0; + assign DBA_MYK_GPIO_1 = 1'b0; + assign DBA_MYK_GPIO_3 = 1'b0; + assign DBA_MYK_GPIO_4 = 1'b0; + assign DBA_MYK_GPIO_12 = 1'b0; + assign DBA_MYK_GPIO_13 = 1'b0; + assign DBA_MYK_GPIO_14 = 1'b0; + assign DBA_MYK_GPIO_15 = 1'b0; + +`ifndef N300 + + // DB B SPI Connections + wire cpld_b_cs_n; + wire lmk_b_cs_n; + wire dac_b_cs_n; + wire myk_b_cs_n; + + // Split out the SCLK and MOSI data to Mykonos and the CPLD. + assign DBB_CPLD_PS_SPI_SCLK = spi1_sclk; + assign DBB_CPLD_PS_SPI_SDI = spi1_mosi; + + assign DBB_MYK_SPI_SCLK = spi1_sclk; + assign DBB_MYK_SPI_SDIO = spi1_mosi; + + // Assign individual chip selects from PS SPI MASTER 1. + assign cpld_b_cs_n = spi1_ss0; + assign lmk_b_cs_n = spi1_ss1; + assign dac_b_cs_n = ps_gpio_out[9]; // DAC select driven through GPIO. + assign myk_b_cs_n = spi1_ss2; + + // Returned data mux from the SPI interfaces. + assign spi1_miso = ~myk_b_cs_n ? DBB_MYK_SPI_SDO : // From Mykonos + DBB_CPLD_PS_SPI_SDO; + + // For the PS SPI connection to the CPLD, we use the LE and ADDR lines as individual + // chip selects for the CPLD endpoint as well as the LMK and DAC endpoints. + // LE = CPLD + // ADDR[0] = LMK + // ADDR[1] = DAC + assign DBB_CPLD_PS_SPI_LE = cpld_b_cs_n; + assign DBB_CPLD_PS_SPI_ADDR[0] = lmk_b_cs_n; + assign DBB_CPLD_PS_SPI_ADDR[1] = dac_b_cs_n; + assign DBB_MYK_SPI_CS_n = myk_b_cs_n; + + // Instantiate DSA registers in the IOB + (* IOB = "true" *) reg [5:0] dsa_tx1_b_out_iob, dsa_rx1_b_out_iob; + (* IOB = "true" *) reg [5:0] dsa_tx2_b_out_iob, dsa_rx2_b_out_iob; + always @(posedge radio_clk) begin + dsa_tx1_b_out_iob <= db_gpio_out[16*2+11:16*2+6]; + dsa_rx1_b_out_iob <= db_gpio_out[16*2+5:16*2+0]; + dsa_tx2_b_out_iob <= db_gpio_out[16*3+11:16*3+6]; + dsa_rx2_b_out_iob <= db_gpio_out[16*3+5:16*3+0]; + end + + assign DBB_CH1_TX_DSA_DATA = dsa_tx1_b_out_iob; + assign DBB_CH1_RX_DSA_DATA = dsa_rx1_b_out_iob; + assign DBB_CH2_TX_DSA_DATA = dsa_tx2_b_out_iob; + assign DBB_CH2_RX_DSA_DATA = dsa_rx2_b_out_iob; + + assign DBB_ATR_RX_1 = rx_atr_reg[2]; + assign DBB_ATR_RX_2 = rx_atr_reg[3]; + assign DBB_ATR_TX_1 = tx_atr_reg[2]; + assign DBB_ATR_TX_2 = tx_atr_reg[3]; + + assign DBB_MYK_GPIO_0 = 1'b0; + assign DBB_MYK_GPIO_1 = 1'b0; + assign DBB_MYK_GPIO_3 = 1'b0; + assign DBB_MYK_GPIO_4 = 1'b0; + assign DBB_MYK_GPIO_12 = 1'b0; + assign DBB_MYK_GPIO_13 = 1'b0; + assign DBB_MYK_GPIO_14 = 1'b0; + assign DBB_MYK_GPIO_15 = 1'b0; + +`endif + + /////////////////////////////////////////////////////// + // + // N3xx CORE + // + /////////////////////////////////////////////////////// + + wire [31:0] rx[NUM_CHANNELS-1:0]; + wire [31:0] tx[NUM_CHANNELS-1:0]; + wire [32*NUM_CHANNELS-1:0] rx_flat; + wire [32*NUM_CHANNELS-1:0] tx_flat; + + wire [NUM_CHANNELS-1:0] rx_stb; + wire [NUM_CHANNELS-1:0] tx_stb; + + wire [31:0] build_datestamp; + + genvar i; + generate + for (i = 0; i < NUM_CHANNELS; i = i + 1) begin + // Radio Data + assign rx_flat[32*i+31:32*i] = rx[i]; + assign tx[i] = tx_flat[32*i+31:32*i]; + end + endgenerate + + USR_ACCESSE2 usr_access_i ( + .DATA(build_datestamp), .CFGCLK(), .DATAVALID() + ); + + n3xx_core #( + .REG_AWIDTH(14), + .BUS_CLK_RATE(BUS_CLK_RATE), + .FP_GPIO_WIDTH(FP_GPIO_WIDTH), + .NUM_CHANNELS_PER_RADIO(NUM_CHANNELS_PER_RADIO), + .NUM_CHANNELS(NUM_CHANNELS), + .NUM_DBOARDS(NUM_DBOARDS), + `ifdef USE_REPLAY + .USE_REPLAY(1) + `else + .USE_REPLAY(0) + `endif + ) n3xx_core( + // Clocks and resets + `ifdef NO_DB + .radio_clk(bus_clk), + .radio_rst(bus_rst), + `else + .radio_clk(radio_clk), + .radio_rst(radio_rst), + `endif + .bus_clk(bus_clk), + .bus_rst(bus_rst), + .ddr3_dma_clk(ddr3_dma_clk), + .clk40(clk40), + + // Clocking and PPS Controls/Indicators + .pps(pps_radioclk1x), + .pps_select(pps_select), + .pps_out_enb(pps_out_enb), + .pps_select_sfp(pps_select_sfp), + .ref_clk_reset(), + .meas_clk_reset(meas_clk_reset), + .ref_clk_locked(1'b1), + .meas_clk_locked(meas_clk_locked), + .enable_ref_clk_async(enable_ref_clk_async), + + .s_axi_aclk(clk40), + .s_axi_aresetn(clk40_rstn), + // AXI4-Lite: Write address port (domain: s_axi_aclk) + .s_axi_awaddr(M_AXI_XBAR_AWADDR), + .s_axi_awvalid(M_AXI_XBAR_AWVALID), + .s_axi_awready(M_AXI_XBAR_AWREADY), + // AXI4-Lite: Write data port (domain: s_axi_aclk) + .s_axi_wdata(M_AXI_XBAR_WDATA), + .s_axi_wstrb(M_AXI_XBAR_WSTRB), + .s_axi_wvalid(M_AXI_XBAR_WVALID), + .s_axi_wready(M_AXI_XBAR_WREADY), + // AXI4-Lite: Write response port (domain: s_axi_aclk) + .s_axi_bresp(M_AXI_XBAR_BRESP), + .s_axi_bvalid(M_AXI_XBAR_BVALID), + .s_axi_bready(M_AXI_XBAR_BREADY), + // AXI4-Lite: Read address port (domain: s_axi_aclk) + .s_axi_araddr(M_AXI_XBAR_ARADDR), + .s_axi_arvalid(M_AXI_XBAR_ARVALID), + .s_axi_arready(M_AXI_XBAR_ARREADY), + // AXI4-Lite: Read data port (domain: s_axi_aclk) + .s_axi_rdata(M_AXI_XBAR_RDATA), + .s_axi_rresp(M_AXI_XBAR_RRESP), + .s_axi_rvalid(M_AXI_XBAR_RVALID), + .s_axi_rready(M_AXI_XBAR_RREADY), + // ps gpio source + .ps_gpio_tri(ps_gpio_tri[FP_GPIO_WIDTH+FP_GPIO_OFFSET-1:FP_GPIO_OFFSET]), + .ps_gpio_out(ps_gpio_out[FP_GPIO_WIDTH+FP_GPIO_OFFSET-1:FP_GPIO_OFFSET]), + .ps_gpio_in(ps_gpio_in[FP_GPIO_WIDTH+FP_GPIO_OFFSET-1:FP_GPIO_OFFSET]), + // FP_GPIO + .fp_gpio_inout(FPGA_GPIO), + // Radio ATR + .rx_atr(rx_atr), + .tx_atr(tx_atr), + // Radio GPIO DSA + .db_gpio_out_flat(db_gpio_out), + .db_gpio_in_flat(db_gpio_in), + .db_gpio_ddr_flat(db_gpio_ddr), + .db_gpio_fab_flat(db_gpio_fab), + // Radio Strobes + .rx_stb(rx_stb), + .tx_stb(tx_stb), + // Radio Data + .rx(rx_flat), + .tx(tx_flat), + // CPLD RX_LO TX_LO SPI + `ifndef N300 + .sclk_flat({DBB_CPLD_PL_SPI_SCLK, + DBA_CPLD_PL_SPI_SCLK}), + .sen_flat({5'b0,DBB_CPLD_PL_SPI_ADDR[1],DBB_CPLD_PL_SPI_ADDR[0],DBB_CPLD_PL_SPI_LE, + 5'b0,DBA_CPLD_PL_SPI_ADDR[1],DBA_CPLD_PL_SPI_ADDR[0],DBA_CPLD_PL_SPI_LE}), + .mosi_flat({DBB_CPLD_PL_SPI_SDI, + DBA_CPLD_PL_SPI_SDI}), + .miso_flat({DBB_CPLD_PL_SPI_SDO, + DBA_CPLD_PL_SPI_SDO}), + `else + .sclk_flat(DBA_CPLD_PL_SPI_SCLK), + .sen_flat({5'b0,DBA_CPLD_PL_SPI_ADDR[1],DBA_CPLD_PL_SPI_ADDR[0],DBA_CPLD_PL_SPI_LE}), + .mosi_flat(DBA_CPLD_PL_SPI_SDI), + .miso_flat(DBA_CPLD_PL_SPI_SDO), + `endif + // DRAM signals + .ddr3_axi_clk (ddr3_axi_clk), + .ddr3_axi_rst (ddr3_axi_rst), + .ddr3_running (ddr3_running), + // Slave Interface Write Address Ports + .ddr3_axi_awid (ddr3_axi_awid), + .ddr3_axi_awaddr (ddr3_axi_awaddr), + .ddr3_axi_awlen (ddr3_axi_awlen), + .ddr3_axi_awsize (ddr3_axi_awsize), + .ddr3_axi_awburst (ddr3_axi_awburst), + .ddr3_axi_awlock (ddr3_axi_awlock), + .ddr3_axi_awcache (ddr3_axi_awcache), + .ddr3_axi_awprot (ddr3_axi_awprot), + .ddr3_axi_awqos (ddr3_axi_awqos), + .ddr3_axi_awvalid (ddr3_axi_awvalid), + .ddr3_axi_awready (ddr3_axi_awready), + // Slave Interface Write Data Ports + .ddr3_axi_wdata (ddr3_axi_wdata), + .ddr3_axi_wstrb (ddr3_axi_wstrb), + .ddr3_axi_wlast (ddr3_axi_wlast), + .ddr3_axi_wvalid (ddr3_axi_wvalid), + .ddr3_axi_wready (ddr3_axi_wready), + // Slave Interface Write Response Ports + .ddr3_axi_bid (ddr3_axi_bid), + .ddr3_axi_bresp (ddr3_axi_bresp), + .ddr3_axi_bvalid (ddr3_axi_bvalid), + .ddr3_axi_bready (ddr3_axi_bready), + // Slave Interface Read Address Ports + .ddr3_axi_arid (ddr3_axi_arid), + .ddr3_axi_araddr (ddr3_axi_araddr), + .ddr3_axi_arlen (ddr3_axi_arlen), + .ddr3_axi_arsize (ddr3_axi_arsize), + .ddr3_axi_arburst (ddr3_axi_arburst), + .ddr3_axi_arlock (ddr3_axi_arlock), + .ddr3_axi_arcache (ddr3_axi_arcache), + .ddr3_axi_arprot (ddr3_axi_arprot), + .ddr3_axi_arqos (ddr3_axi_arqos), + .ddr3_axi_arvalid (ddr3_axi_arvalid), + .ddr3_axi_arready (ddr3_axi_arready), + // Slave Interface Read Data Ports + .ddr3_axi_rid (ddr3_axi_rid), + .ddr3_axi_rdata (ddr3_axi_rdata), + .ddr3_axi_rresp (ddr3_axi_rresp), + .ddr3_axi_rlast (ddr3_axi_rlast), + .ddr3_axi_rvalid (ddr3_axi_rvalid), + .ddr3_axi_rready (ddr3_axi_rready), + + // DMA to PS + .m_dma_tdata(s_axis_dma_tdata), + .m_dma_tdest(s_axis_dma_tdest), + .m_dma_tlast(s_axis_dma_tlast), + .m_dma_tready(s_axis_dma_tready), + .m_dma_tvalid(s_axis_dma_tvalid), + + .s_dma_tdata(m_axis_dma_tdata), + .s_dma_tuser(m_axis_dma_tuser), + .s_dma_tlast(m_axis_dma_tlast), + .s_dma_tready(m_axis_dma_tready), + .s_dma_tvalid(m_axis_dma_tvalid), + + // VITA to Ethernet + .v2e0_tdata(v2e0_tdata), + .v2e0_tvalid(v2e0_tvalid), + .v2e0_tlast(v2e0_tlast), + .v2e0_tready(v2e0_tready), + + .v2e1_tdata(v2e1_tdata), + .v2e1_tlast(v2e1_tlast), + .v2e1_tvalid(v2e1_tvalid), + .v2e1_tready(v2e1_tready), + + // Ethernet to VITA + .e2v0_tdata(e2v0_tdata), + .e2v0_tlast(e2v0_tlast), + .e2v0_tvalid(e2v0_tvalid), + .e2v0_tready(e2v0_tready), + + .e2v1_tdata(e2v1_tdata), + .e2v1_tlast(e2v1_tlast), + .e2v1_tvalid(e2v1_tvalid), + .e2v1_tready(e2v1_tready), + + //regport interface to npio + .reg_wr_req_npio(reg_wr_req_npio), + .reg_wr_addr_npio(reg_wr_addr_npio), + .reg_wr_data_npio(reg_wr_data_npio), + .reg_rd_req_npio(reg_rd_req_npio), + .reg_rd_addr_npio(reg_rd_addr_npio), + .reg_rd_resp_npio(reg_rd_resp_npio), + .reg_rd_data_npio(reg_rd_data_npio), + + .build_datestamp(build_datestamp), + .xadc_readback({20'h0, device_temp}), + .sfp_ports_info({sfp_port1_info, sfp_port0_info}), + .device_id(device_id) + ); + + // Register the ATR bits once between sending them out to the CPLD to avoid + // glitches on the outputs! + always @(posedge radio_clk) begin + rx_atr_reg <= rx_atr; + tx_atr_reg <= tx_atr; + end + + // ////////////////////////////////////////////////////////////////////// + // + // Daughterboard Cores + // + // ////////////////////////////////////////////////////////////////////// + + wire [49:0] bRegPortInFlatA; + wire [33:0] bRegPortOutFlatA; + wire rx_a_valid; + wire tx_a_rfi; +`ifndef N300 + wire [49:0] bRegPortInFlatB; + wire [33:0] bRegPortOutFlatB; + wire rx_b_valid; + wire tx_b_rfi; +`endif + +`ifdef BUILD_WR + localparam INCL_WR_TDC = 1'b1; +`else + localparam INCL_WR_TDC = 1'b0; +`endif + + wire reg_portA_rd; + wire reg_portA_wr; + wire [14-1:0] reg_portA_addr; + wire [32-1:0] reg_portA_wr_data; + wire [32-1:0] reg_portA_rd_data; + wire reg_portA_ready; + wire validA_unused; + + assign bRegPortInFlatA = {2'b0, reg_portA_addr, reg_portA_wr_data, reg_portA_rd, reg_portA_wr}; + assign {reg_portA_rd_data, validA_unused, reg_portA_ready} = bRegPortOutFlatA; + + DbCore #( + .kInclWhiteRabbitTdc(INCL_WR_TDC) + ) dba_core ( + .bBusReset(clk40_rst), //in std_logic + .BusClk(clk40), //in std_logic + .Clk40(clk40), //in std_logic + .MeasClk(meas_clk), //in std_logic + .FpgaClk_p(DBA_FPGA_CLK_P), //in std_logic + .FpgaClk_n(DBA_FPGA_CLK_N), //in std_logic + .SampleClk1xOut(radio_clk), //out std_logic + .SampleClk1x(radio_clk), //in std_logic + .SampleClk2xOut(radio_clk_2x), //out std_logic + .SampleClk2x(radio_clk_2x), //in std_logic + .bRegPortInFlat(bRegPortInFlatA), //in std_logic_vector(49:0) + .bRegPortOutFlat(bRegPortOutFlatA), //out std_logic_vector(33:0) + .kSlotId(1'b0), //in std_logic + .sSysRefFpgaLvds_p(DBA_FPGA_SYSREF_P), //in std_logic + .sSysRefFpgaLvds_n(DBA_FPGA_SYSREF_N), //in std_logic + .aLmkSync(DBA_CPLD_PL_SPI_ADDR[2]), //out std_logic + .JesdRefClk_p(USRPIO_A_MGTCLK_P), //in std_logic + .JesdRefClk_n(USRPIO_A_MGTCLK_N), //in std_logic + .aAdcRx_p(USRPIO_A_RX_P), //in std_logic_vector(3:0) + .aAdcRx_n(USRPIO_A_RX_N), //in std_logic_vector(3:0) + .aSyncAdcOut_n(DBA_MYK_SYNC_IN_n), //out std_logic + .aDacTx_p(USRPIO_A_TX_P), //out std_logic_vector(3:0) + .aDacTx_n(USRPIO_A_TX_N), //out std_logic_vector(3:0) + .aSyncDacIn_n(DBA_MYK_SYNC_OUT_n), //in std_logic + .sAdcDataValid(rx_a_valid), //out std_logic + .sAdcDataSamples0I(rx[0][31:16]), //out std_logic_vector(15:0) + .sAdcDataSamples0Q(rx[0][15:0]), //out std_logic_vector(15:0) + .sAdcDataSamples1I(rx[1][31:16]), //out std_logic_vector(15:0) + .sAdcDataSamples1Q(rx[1][15:0]), //out std_logic_vector(15:0) + .sDacReadyForInput(tx_a_rfi), //out std_logic + .sDacDataSamples0I(tx[0][31:16]), //in std_logic_vector(15:0) + .sDacDataSamples0Q(tx[0][15:0]), //in std_logic_vector(15:0) + .sDacDataSamples1I(tx[1][31:16]), //in std_logic_vector(15:0) + .sDacDataSamples1Q(tx[1][15:0]), //in std_logic_vector(15:0) + .RefClk(ref_clk), //in std_logic + .rPpsPulse(pps_refclk), //in std_logic + .rGatedPulseToPin(UNUSED_PIN_TDCA_0), //inout std_logic + .sGatedPulseToPin(UNUSED_PIN_TDCA_1), //inout std_logic + .sPps(pps_radioclk1x), //out std_logic + .sPpsToIob(pps_radioclk1x_iob), //out std_logic + .WrRefClk(wr_ref_clk), //in std_logic + .rWrPpsPulse(pps_wr_refclk), //in std_logic + .rWrGatedPulseToPin(UNUSED_PIN_TDCA_2), //inout std_logic + .sWrGatedPulseToPin(UNUSED_PIN_TDCA_3), //inout std_logic + .aPpsSfpSel(pps_select_sfp), //out std_logic_vector(1:0) + .sAdcSync(), //out std_logic + .sDacSync(), //out std_logic + .sSysRef(), //out std_logic + .rRpTransfer(), //out std_logic + .sSpTransfer(), //out std_logic + .rWrRpTransfer(), //out std_logic + .sWrSpTransfer() //out std_logic + ); + + assign rx_stb[0] = rx_a_valid; + assign rx_stb[1] = rx_a_valid; + assign tx_stb[0] = tx_a_rfi; + assign tx_stb[1] = tx_a_rfi; + + axil_to_ni_regport #( + .RP_DWIDTH (32), + .RP_AWIDTH (14), + .TIMEOUT (512) + ) ni_regportA_inst ( + // Clock and reset + .s_axi_aclk (clk40), + .s_axi_areset (clk40_rst), + // AXI4-Lite: Write address port (domain: s_axi_aclk) + .s_axi_awaddr(M_AXI_JESD0_AWADDR), + .s_axi_awvalid(M_AXI_JESD0_AWVALID), + .s_axi_awready(M_AXI_JESD0_AWREADY), + // AXI4-Lite: Write data port (domain: s_axi_aclk) + .s_axi_wdata(M_AXI_JESD0_WDATA), + .s_axi_wstrb(M_AXI_JESD0_WSTRB), + .s_axi_wvalid(M_AXI_JESD0_WVALID), + .s_axi_wready(M_AXI_JESD0_WREADY), + // AXI4-Lite: Write response port (domain: s_axi_aclk) + .s_axi_bresp(M_AXI_JESD0_BRESP), + .s_axi_bvalid(M_AXI_JESD0_BVALID), + .s_axi_bready(M_AXI_JESD0_BREADY), + // AXI4-Lite: Read address port (domain: s_axi_aclk) + .s_axi_araddr(M_AXI_JESD0_ARADDR), + .s_axi_arvalid(M_AXI_JESD0_ARVALID), + .s_axi_arready(M_AXI_JESD0_ARREADY), + // AXI4-Lite: Read data port (domain: s_axi_aclk) + .s_axi_rdata(M_AXI_JESD0_RDATA), + .s_axi_rresp(M_AXI_JESD0_RRESP), + .s_axi_rvalid(M_AXI_JESD0_RVALID), + .s_axi_rready(M_AXI_JESD0_RREADY), + // Register port + .reg_port_in_rd (reg_portA_rd), + .reg_port_in_wt (reg_portA_wr), + .reg_port_in_addr (reg_portA_addr), + .reg_port_in_data (reg_portA_wr_data), + .reg_port_out_data (reg_portA_rd_data), + .reg_port_out_ready(reg_portA_ready) + ); + +`ifndef N300 + wire reg_portB_rd; + wire reg_portB_wr; + wire [14-1:0] reg_portB_addr; + wire [32-1:0] reg_portB_wr_data; + wire [32-1:0] reg_portB_rd_data; + wire reg_portB_ready; + wire validB_unused; + + assign bRegPortInFlatB = {2'b0, reg_portB_addr, reg_portB_wr_data, reg_portB_rd, reg_portB_wr}; + assign {reg_portB_rd_data, validB_unused, reg_portB_ready} = bRegPortOutFlatB; + + DbCore #( + .kInclWhiteRabbitTdc(INCL_WR_TDC) + ) dbb_core ( + .bBusReset(clk40_rst), //in std_logic + .BusClk(clk40), //in std_logic + .Clk40(clk40), //in std_logic + .MeasClk(meas_clk), //in std_logic + .FpgaClk_p(DBB_FPGA_CLK_P), //in std_logic + .FpgaClk_n(DBB_FPGA_CLK_N), //in std_logic + .SampleClk1xOut(), //out std_logic + .SampleClk1x(radio_clk), //in std_logic + .SampleClk2xOut(), //out std_logic + .SampleClk2x(radio_clk_2x), //in std_logic + .bRegPortInFlat(bRegPortInFlatB), //in std_logic_vector(49:0) + .bRegPortOutFlat(bRegPortOutFlatB), //out std_logic_vector(33:0) + .kSlotId(1'b1), //in std_logic + .sSysRefFpgaLvds_p(DBB_FPGA_SYSREF_P), //in std_logic + .sSysRefFpgaLvds_n(DBB_FPGA_SYSREF_N), //in std_logic + .aLmkSync(DBB_CPLD_PL_SPI_ADDR[2]), //out std_logic + .JesdRefClk_p(USRPIO_B_MGTCLK_P), //in std_logic + .JesdRefClk_n(USRPIO_B_MGTCLK_N), //in std_logic + .aAdcRx_p(USRPIO_B_RX_P), //in std_logic_vector(3:0) + .aAdcRx_n(USRPIO_B_RX_N), //in std_logic_vector(3:0) + .aSyncAdcOut_n(DBB_MYK_SYNC_IN_n), //out std_logic + .aDacTx_p(USRPIO_B_TX_P), //out std_logic_vector(3:0) + .aDacTx_n(USRPIO_B_TX_N), //out std_logic_vector(3:0) + .aSyncDacIn_n(DBB_MYK_SYNC_OUT_n), //in std_logic + .sAdcDataValid(rx_b_valid), //out std_logic + .sAdcDataSamples0I(rx[2][31:16]), //out std_logic_vector(15:0) + .sAdcDataSamples0Q(rx[2][15:0]), //out std_logic_vector(15:0) + .sAdcDataSamples1I(rx[3][31:16]), //out std_logic_vector(15:0) + .sAdcDataSamples1Q(rx[3][15:0]), //out std_logic_vector(15:0) + .sDacReadyForInput(tx_b_rfi), //out std_logic + .sDacDataSamples0I(tx[2][31:16]), //in std_logic_vector(15:0) + .sDacDataSamples0Q(tx[2][15:0]), //in std_logic_vector(15:0) + .sDacDataSamples1I(tx[3][31:16]), //in std_logic_vector(15:0) + .sDacDataSamples1Q(tx[3][15:0]), //in std_logic_vector(15:0) + .RefClk(ref_clk), //in std_logic + .rPpsPulse(pps_refclk), //in std_logic + .rGatedPulseToPin(UNUSED_PIN_TDCB_0), //inout std_logic + .sGatedPulseToPin(UNUSED_PIN_TDCB_1), //inout std_logic + .sPps(), //out std_logic + .sPpsToIob(), //out std_logic + .WrRefClk(wr_ref_clk), //in std_logic + .rWrPpsPulse(pps_wr_refclk), //in std_logic + .rWrGatedPulseToPin(UNUSED_PIN_TDCB_2), //inout std_logic + .sWrGatedPulseToPin(UNUSED_PIN_TDCB_3), //inout std_logic + .aPpsSfpSel(2'b0), //out std_logic_vector(1:0) + .sAdcSync(), //out std_logic + .sDacSync(), //out std_logic + .sSysRef(), //out std_logic + .rRpTransfer(), //out std_logic + .sSpTransfer(), //out std_logic + .rWrRpTransfer(), //out std_logic + .sWrSpTransfer() //out std_logic + ); + + assign rx_stb[2] = rx_b_valid; + assign rx_stb[3] = rx_b_valid; + assign tx_stb[2] = tx_b_rfi; + assign tx_stb[3] = tx_b_rfi; + + axil_to_ni_regport #( + .RP_DWIDTH (32), + .RP_AWIDTH (14), + .TIMEOUT (512) + ) ni_regportB_inst ( + // Clock and reset + .s_axi_aclk (clk40), + .s_axi_areset (clk40_rst), + // AXI4-Lite: Write address port (domain: s_axi_aclk) + .s_axi_awaddr(M_AXI_JESD1_AWADDR), + .s_axi_awvalid(M_AXI_JESD1_AWVALID), + .s_axi_awready(M_AXI_JESD1_AWREADY), + // AXI4-Lite: Write data port (domain: s_axi_aclk) + .s_axi_wdata(M_AXI_JESD1_WDATA), + .s_axi_wstrb(M_AXI_JESD1_WSTRB), + .s_axi_wvalid(M_AXI_JESD1_WVALID), + .s_axi_wready(M_AXI_JESD1_WREADY), + // AXI4-Lite: Write response port (domain: s_axi_aclk) + .s_axi_bresp(M_AXI_JESD1_BRESP), + .s_axi_bvalid(M_AXI_JESD1_BVALID), + .s_axi_bready(M_AXI_JESD1_BREADY), + // AXI4-Lite: Read address port (domain: s_axi_aclk) + .s_axi_araddr(M_AXI_JESD1_ARADDR), + .s_axi_arvalid(M_AXI_JESD1_ARVALID), + .s_axi_arready(M_AXI_JESD1_ARREADY), + // AXI4-Lite: Read data port (domain: s_axi_aclk) + .s_axi_rdata (M_AXI_JESD1_RDATA), + .s_axi_rresp (M_AXI_JESD1_RRESP), + .s_axi_rvalid (M_AXI_JESD1_RVALID), + .s_axi_rready (M_AXI_JESD1_RREADY), + // Register port + .reg_port_in_rd (reg_portB_rd), + .reg_port_in_wt (reg_portB_wr), + .reg_port_in_addr (reg_portB_addr), + .reg_port_in_data (reg_portB_wr_data), + .reg_port_out_data (reg_portB_rd_data), + .reg_port_out_ready(reg_portB_ready) + ); +`else + // Tie off second daughterboard interface + axi_dummy #( + .DEC_ERR(1'b0) + ) inst_axi_dummy_dbb_core ( + // Clock and reset + .s_axi_aclk (clk40), + .s_axi_areset (clk40_rst), + // AXI4-Lite: Write address port (domain: s_axi_aclk) + .s_axi_awaddr(M_AXI_JESD1_AWADDR), + .s_axi_awvalid(M_AXI_JESD1_AWVALID), + .s_axi_awready(M_AXI_JESD1_AWREADY), + // AXI4-Lite: Write data port (domain: s_axi_aclk) + .s_axi_wdata(M_AXI_JESD1_WDATA), + .s_axi_wstrb(M_AXI_JESD1_WSTRB), + .s_axi_wvalid(M_AXI_JESD1_WVALID), + .s_axi_wready(M_AXI_JESD1_WREADY), + // AXI4-Lite: Write response port (domain: s_axi_aclk) + .s_axi_bresp(M_AXI_JESD1_BRESP), + .s_axi_bvalid(M_AXI_JESD1_BVALID), + .s_axi_bready(M_AXI_JESD1_BREADY), + // AXI4-Lite: Read address port (domain: s_axi_aclk) + .s_axi_araddr(M_AXI_JESD1_ARADDR), + .s_axi_arvalid(M_AXI_JESD1_ARVALID), + .s_axi_arready(M_AXI_JESD1_ARREADY), + // AXI4-Lite: Read data port (domain: s_axi_aclk) + .s_axi_rdata (M_AXI_JESD1_RDATA), + .s_axi_rresp (M_AXI_JESD1_RRESP), + .s_axi_rvalid (M_AXI_JESD1_RVALID), + .s_axi_rready (M_AXI_JESD1_RREADY) + ); + +`endif + + // ////////////////////////////////////////////////////////////////////// + // + // LEDS + // + // ////////////////////////////////////////////////////////////////////// + + assign PANEL_LED_LINK = ps_gpio_out[45]; + assign PANEL_LED_REF = ps_gpio_out[46]; + assign PANEL_LED_GPS = ps_gpio_out[47]; + + + ///////////////////////////////////////////////////////////////////// + // + // PUDC Workaround + // + ////////////////////////////////////////////////////////////////////// + // This is a workaround for a silicon bug in Series 7 FPGA where a + // race condition with the reading of PUDC during the erase of the FPGA + // image cause glitches on output IO pins. + // + // Workaround: + // - Define the PUDC pin in the XDC file with a pullup. + // - Implements an IBUF on the PUDC input and make sure that it does + // not get optimized out. + (* dont_touch = "true" *) wire fpga_pudc_b_buf; + IBUF pudc_ibuf_i ( + .I(FPGA_PUDC_B), + .O(fpga_pudc_b_buf)); + +endmodule diff --git a/fpga/usrp3/top/n3xx/dboards/rh/Makefile.srcs b/fpga/usrp3/top/n3xx/dboards/rh/Makefile.srcs new file mode 100644 index 000000000..1296e68e6 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/rh/Makefile.srcs @@ -0,0 +1,32 @@ +# +# Copyright 2018 Ettus Research, a National Instruments Company +# + +################################################## +# DB IFC Sources +################################################## +RHODIUM_DB_SRCS = $(abspath $(addprefix $(BASE_DIR)/n3xx/dboards/rh/db_ifc/, \ +DbCore.vhd \ +DaughterboardRegs.vhd \ +ClockingRegs.vhd \ +PkgRhPersonality.vhd \ +PkgDaughterboardRegMap.vhd \ +PkgClockingRegMap.vhd \ +PkgJesdConfig.vhd \ +PkgAdcDacInterfaceTypes.vhd \ +RadioClocking.vhd \ +Jesd204bXcvrCore.edf \ +)) + +RHODIUM_TOP_SRCS = $(abspath $(addprefix $(BASE_DIR)/n3xx/dboards/rh/, \ +n3xx.v \ +)) + +RHODIUM_DB_CLOCKS_XDC = $(abspath $(addprefix $(BASE_DIR)/n3xx/dboards/rh/, \ +db_clocks.xdc \ +)) + +RHODIUM_DB_XDC = $(abspath $(addprefix $(BASE_DIR)/n3xx/dboards/rh/, \ +db_pins.xdc \ +db_timing.xdc \ +)) diff --git a/fpga/usrp3/top/n3xx/dboards/rh/cpld/Makefile b/fpga/usrp3/top/n3xx/dboards/rh/cpld/Makefile new file mode 100644 index 000000000..ff1598894 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/rh/cpld/Makefile @@ -0,0 +1,27 @@ +# +# Copyright 2018 Ettus Research, a National Instruments Company +# + +.PHONY: all clean + +SRCS=rhodium_top.qpf rhodium_top.qsf rhodium_top.sdc rhodium_top.v rhodium_gain_ctrl.v rhodium_gain_table.v rhodium_lo_gain.v +SIM_SRCS=rh_tb.v rhodium_top.v rhodium_gain_ctrl.v rhodium_gain_table.v rhodium_lo_gain.v +SHORT_HASH=$(addprefix GIT_HASH=,$(shell ../../../../../tools/scripts/git-hash.sh)) + +all: cpld-rhodium-revb.svf + +output_files/rhodium_top.pof: $(SRCS) + quartus_map rhodium_top --verilog_macro="$(SHORT_HASH)" + quartus_fit rhodium_top + quartus_asm rhodium_top + quartus_sta rhodium_top + +cpld-rhodium-revb.svf: output_files/rhodium_top.pof + quartus_cpf --convert --frequency 10.0MHz --voltage 2.5 --operation p $? $@ + +clean: + rm -rf db incremental_db output_files simulation cpld-rhodium-revb.svf + +a.out: $(SIM_SRCS) + iverilog -tvvp -D$(SHORT_HASH) -s rh_tb $(SIM_SRCS) + diff --git a/fpga/usrp3/top/n3xx/dboards/rh/cpld/rh_tb.v b/fpga/usrp3/top/n3xx/dboards/rh/cpld/rh_tb.v new file mode 100644 index 000000000..fb5f62328 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/rh/cpld/rh_tb.v @@ -0,0 +1,410 @@ +/////////////////////////////////////////////////////////////////// +// +// Copyright 2018 Ettus Research, A National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// +// Module: rh_tb +// Simple testbench for rhodium_top +// This creates a rudimentary stimulus only, to allow results to be viewed +// in the waveform viewer +////////////////////////////////////////////////////////////////////// + +`timescale 1ns/1ps + + +module rh_tb; + +reg ADC_A_Over_Range_18, ADC_B_Over_Range_18; + +wire [13:0] usrpio_io; // TODO: use one of these as pl_spi_addr[3] + +wire CPLD_PS_SPI_LE_25, CPLD_PS_SPI_CLK_25, + CPLD_PS_ADDR0_25, CPLD_PS_ADDR1_25, CPLD_PS_SPI_SDI_25; +wire CPLD_PS_SPI_SDO_25; + +wire CPLD_PL_SPI_SDO_18; +wire CPLD_PL_SPI_LE_18, CPLD_PL_SPI_SCLK_18, + CPLD_PL_SPI_SDI_18, + CPLD_PL_SPI_ADDR0_18, CPLD_PL_SPI_ADDR1_18, + CPLD_PL_SPI_ADDR2_18, + CPLD_ATR_TX_18, CPLD_ATR_RX_18; +// NOTE: TxRx front-end switches are driven direct from the motherboard, so these ATR +// lines have no function at this time. + +wire ADC_SPI_CS_L_18, ADC_SPI_SCLK_18; +wire ADC_SPI_SDIO_18; + +wire DAC_SPI_CS_L_18, DAC_SPI_SCLK_18; +wire DAC_SPI_SDIO_18; +reg DAC_Alarm_18; // TODO: drive to gpio? + +wire PHDAC_SPI_CS_L, PHDAC_SPI_SCLK, PHDAC_SPI_SDI; + + +reg LO_SYNC; + +wire CLKDIST_SPI_CS_L, + CLKDIST_SPI_SCLK; +wire CLKDIST_SPI_SDIO; + +wire Tx_DSA_C1, + Tx_DSA_C2, + Tx_DSA_C4, + Tx_DSA_C8, + Tx_DSA_C16; + wire Tx_DSA1_LE, + Tx_DSA2_LE; + wire Tx_Sw1_Ctrl_1, + Tx_Sw1_Ctrl_2, + Tx_Sw2_Ctrl_1, + Tx_Sw2_Ctrl_2, + Tx_Sw3_Ctrl_1, + Tx_Sw3_Ctrl_2, + Tx_Sw3_Ctrl_3, + Tx_Sw3_Ctrl_4, + Rx_LO_Input_Select, + Rx_LO_Filter_Sw_1, + Rx_LO_Filter_Sw_2, + Tx_LO_Input_Select, + Tx_LO_Filter_Sw_1, + Tx_LO_Filter_Sw_2; +wire CLKDIST_Status_LD1, + CLKDIST_Status_LD2; +wire LOSYNTH_RX_MUXOUT, + LOSYNTH_TX_MUXOUT; + +wire LO_SPI_SCLK, + LO_SPI_SDI, + LO_TX_CS_L, + LO_RX_CS_L, + Rx_Sw1_Ctrl_1, + Rx_Sw1_Ctrl_2, + Rx_DSA_C1, + Rx_DSA_C2, + Rx_DSA_C4, + Rx_DSA_C8, + Rx_DSA_C16; + wire Rx_DSA1_LE, + Rx_DSA2_LE; + wire Rx_Sw2_Ctrl, + Rx_Sw3_Ctrl_1, + Rx_Sw3_Ctrl_2, + Rx_Sw4_Ctrl_1, + Rx_Sw4_Ctrl_2, + Rx_Sw4_Ctrl_3, + Rx_Sw4_Ctrl_4, + Rx_Demod_ADJ_1, + Rx_Demod_ADJ_2; +wire LO_DSA_C1, + LO_DSA_C2, + LO_DSA_C4, + LO_DSA_C8, + LO_DSA_C16; +wire RxLO_DSA_LE, + TxLO_DSA_LE; +wire LODIST_Bd_SPI_CS_L, + LODIST_Bd_SPI_SDI, + LODIST_Bd_SPI_SCLK, + Tx_Sw5_Ctrl_1, + Tx_Sw5_Ctrl_2, + Rx_Sw6_Ctrl_1, + Rx_Sw6_Ctrl_2; +wire LODIST_Bd_IO1; +wire Tx_HB_LB_Select, +Rx_HB_LB_Select, +Cal_iso_Sw_Ctrl; + + +parameter dly = 20; + +integer scnt; +integer acnt; +integer ccnt; +integer ccnt_max; + +reg ps_sck; +reg ps_mosi; +reg clkdis_cs_b; +reg cpld_ps_cs_b; +reg phdac_cs_b; +reg adc_cs_b; +reg dac_cs_b; + +reg pl_sck; +reg pl_mosi; +reg txlo_cs_b; +reg rxlo_cs_b; +reg lodis_cs_b; +reg cpld_pl_cs_b; + +task ps_cpld_xfer; + input [1:0] tbl; + input [5:0] cmd; + input [15:0] data; + reg [23:0] shiftreg; + integer i; +begin + ps_sck <= 1'b0; + clkdis_cs_b <= 1'b1; + cpld_ps_cs_b <= 1'b1; + phdac_cs_b <= 1'b1; + adc_cs_b <= 1'b1; + dac_cs_b <= 1'b1; + txlo_cs_b <= 1'b1; + rxlo_cs_b <= 1'b1; + lodis_cs_b <= 1'b1; + cpld_pl_cs_b <= 1'b1; + shiftreg <= {tbl,cmd,data}; + #(dly); + cpld_ps_cs_b <= 1'b0; + #(dly); + for (i = 0; i < 24; i = i + 1) begin + ps_sck <= 1'b0; + ps_mosi <= shiftreg[23-i]; + #(dly); + ps_sck <= 1'b1; + #(dly); + end + ps_sck <= 1'b0; + #(dly); + cpld_ps_cs_b <= 1'b1; + #(dly); +end +endtask + +task pl_cpld_xfer; + input [1:0] tbl; + input [5:0] cmd; + input [15:0] data; + reg [23:0] shiftreg; + integer i; +begin + pl_sck <= 1'b0; + clkdis_cs_b <= 1'b1; + cpld_ps_cs_b <= 1'b1; + phdac_cs_b <= 1'b1; + adc_cs_b <= 1'b1; + dac_cs_b <= 1'b1; + txlo_cs_b <= 1'b1; + rxlo_cs_b <= 1'b1; + lodis_cs_b <= 1'b1; + cpld_pl_cs_b <= 1'b1; + shiftreg <= {tbl,cmd,data}; + #(dly); + cpld_pl_cs_b <= 1'b0; + #(dly); + for (i = 0; i < 24; i = i + 1) begin + pl_sck <= 1'b0; + pl_mosi <= shiftreg[23-i]; + #(dly); + pl_sck <= 1'b1; + #(dly); + end + pl_sck <= 1'b0; + #(dly); + cpld_pl_cs_b <= 1'b1; + #(dly); +end +endtask + +assign CPLD_PS_SPI_LE_25 = clkdis_cs_b; +assign CPLD_PS_ADDR0_25 = cpld_ps_cs_b; +assign CPLD_PS_ADDR1_25 = phdac_cs_b; +assign usrpio_io[12] = adc_cs_b; +assign usrpio_io[13] = dac_cs_b; +assign CPLD_PS_SPI_CLK_25 = ps_sck; +assign CPLD_PS_SPI_SDI_25 = ps_mosi; + +assign CPLD_PL_SPI_LE_18 = txlo_cs_b; +assign CPLD_PL_SPI_ADDR1_18 = rxlo_cs_b; +assign CPLD_PL_SPI_ADDR2_18 = lodis_cs_b; +assign CPLD_PL_SPI_ADDR0_18 = cpld_pl_cs_b; +assign CPLD_PL_SPI_SCLK_18 = pl_sck; +assign CPLD_PL_SPI_SDI_18 = pl_mosi; + +assign CLKDIST_Status_LD1 = 1'b0; +assign LOSYNTH_RX_MUXOUT = 1'b1; +assign LOSYNTH_TX_MUXOUT = 1'b1; + +initial +begin + $dumpfile("rh_cpld.vcd"); + $dumpvars; + // Check Signature register read-back + #(dly) ps_cpld_xfer(2'b00, {5'b00000, 1'b1}, 16'h0000); + // Check Signature register is read-only + #(dly) ps_cpld_xfer(2'b00, {5'b00000, 1'b0}, 16'h1234); + #(dly) ps_cpld_xfer(2'b00, {5'b00000, 1'b1}, 16'h0000); + + // Load portions of lower RX gain table with some values + #(dly) ps_cpld_xfer(2'b00, {5'b00110, 1'b0}, 16'h0000); /* Write GAIN_BAND_SEL for lower table */ + #(dly) ps_cpld_xfer(2'b01, 6'd0, {2'd0, 5'd0, 5'd1, 1'b1, 3'd0}); + #(dly) ps_cpld_xfer(2'b01, 6'd1, {2'd0, 5'd0, 5'd2, 1'b1, 3'd0}); + #(dly) ps_cpld_xfer(2'b01, 6'd2, {2'd0, 5'd1, 5'd2, 1'b1, 3'd0}); + #(dly) ps_cpld_xfer(2'b01, 6'd3, {2'd0, 5'd1, 5'd3, 1'b1, 3'd0}); + + // Load portions of upper RX gain table with some values + #(dly) ps_cpld_xfer(2'b00, {5'b00110, 1'b0}, 16'h0101); /* Write GAIN_BAND_SEL for upper table */ + #(dly) ps_cpld_xfer(2'b01, 6'd4, {2'd0, 5'd2, 5'd3, 1'b1, 3'd0}); + #(dly) ps_cpld_xfer(2'b01, 6'd5, {2'd0, 5'd2, 5'd4, 1'b1, 3'd0}); + #(dly) ps_cpld_xfer(2'b01, 6'd6, {2'd0, 5'd3, 5'd4, 1'b1, 3'd0}); + #(dly) ps_cpld_xfer(2'b01, 6'd7, {2'd0, 5'd3, 5'd5, 1'b1, 3'd0}); + + // Check RX gain table readback + #(dly) ps_cpld_xfer(2'b01, 6'd0, 16'h0); + #(dly) ps_cpld_xfer(2'b01, 6'd1, 16'h0); + #(dly) ps_cpld_xfer(2'b01, 6'd2, 16'h0); + #(dly) ps_cpld_xfer(2'b01, 6'd3, 16'h0); + #(dly) ps_cpld_xfer(2'b01, 6'd4, 16'h0); + #(dly) ps_cpld_xfer(2'b01, 6'd5, 16'h0); + #(dly) ps_cpld_xfer(2'b01, 6'd6, 16'h0); + + // Check can write a couple registers on PL side + // (Also make sure we're looking at the lower gain tables) + #(dly) pl_cpld_xfer(2'b00, {5'd6, 1'b0}, 16'h0000); + #(dly) pl_cpld_xfer(2'b00, {5'd7, 1'b0}, 16'h0000); + + // Check retrieval of gain values for RX table and program DSAs + #(dly) pl_cpld_xfer(2'b01, 6'd2, {2'b0, 1'b1, 6'b0, 1'b1, 6'b0}); + #(dly) pl_cpld_xfer(2'b01, 6'd3, {2'b0, 1'b0, 6'b0, 1'b1, 6'b0}); + #(dly) pl_cpld_xfer(2'b01, 6'd1, {2'b0, 1'b1, 6'b0, 1'b0, 6'b0}); + + // Check writes to RXBS and TXBS registers + #(dly) pl_cpld_xfer(2'b00, {5'd6, 1'b0}, 16'h1ABC); + #(dly) pl_cpld_xfer(2'b00, {5'd7, 1'b0}, 16'h1CAB); + + // Check TX DSA programming is independent of RX DSA programming + #(dly) pl_cpld_xfer(2'b10, 6'd4, {2'b0, 1'b1, 6'b0, 1'b0, 6'b0}); + + // Check LO gain programming works + #(dly) pl_cpld_xfer(2'b11, 6'd5, {2'b0, 1'b1, 6'b0, 1'b0, 6'b0}); + #(dly) pl_cpld_xfer(2'b11, 6'd7, {2'b0, 1'b0, 6'b0, 1'b1, 6'b0}); + #(dly) pl_cpld_xfer(2'b11, 6'd0, {2'b0, 1'b0, 6'b0, 1'b0, 6'b0}); + + // More checks for PL register writes + #(dly) pl_cpld_xfer(2'b00, {5'd6, 1'b0}, 16'h0ABC); + #(dly) pl_cpld_xfer(2'b00, {5'd7, 1'b0}, 16'h0CAB); + #(dly) pl_cpld_xfer(2'b00, {5'd8, 1'b0}, 16'hAA5C); + #(dly) pl_cpld_xfer(2'b00, {5'd8, 1'b0}, 16'h5A5C); + #(dly) pl_cpld_xfer(2'b00, {5'd6, 1'b0}, 16'h1C42); + + // Check low/high gain tables and independence of RX vs. TX + #(dly) pl_cpld_xfer(2'b01, 6'd0, 16'h2040); + #(dly) pl_cpld_xfer(2'b00, {5'd7, 1'b0}, 16'h104C); + #(dly) pl_cpld_xfer(2'b10, 6'd0, 16'h2040); + #(dly) pl_cpld_xfer(2'b00, {5'd7, 1'b0}, 16'h0C80); + #(dly) pl_cpld_xfer(2'b10, 6'd5, 16'h2040); + $finish; +end + +rhodium_top toplevel_inst(usrpio_io, // bank 1A, 1B and 6 +ADC_A_Over_Range_18, ADC_B_Over_Range_18, // bank 1A + +// bank 6 +CPLD_PS_SPI_LE_25, +CPLD_PS_SPI_CLK_25, +CPLD_PS_ADDR0_25, +CPLD_PS_ADDR1_25, +CPLD_PS_SPI_SDI_25, +CPLD_PS_SPI_SDO_25, +PHDAC_SPI_CS_L, PHDAC_SPI_SCLK, PHDAC_SPI_SDI, +LO_SYNC, + +// bank 2 +CPLD_PL_SPI_SDO_18, +CPLD_PL_SPI_LE_18, +CPLD_PL_SPI_SCLK_18, +CPLD_PL_SPI_SDI_18, +CPLD_PL_SPI_ADDR0_18, +CPLD_PL_SPI_ADDR1_18, +CPLD_PL_SPI_ADDR2_18, +CPLD_ATR_TX_18, +CPLD_ATR_RX_18, +ADC_SPI_CS_L_18, +ADC_SPI_SCLK_18, +ADC_SPI_SDIO_18, +DAC_SPI_CS_L_18, +DAC_SPI_SCLK_18, +DAC_SPI_SDIO_18, +DAC_Alarm_18, + +// bank 3 + +CLKDIST_SPI_CS_L, +CLKDIST_SPI_SCLK, +CLKDIST_SPI_SDIO, +Tx_DSA_C1, +Tx_DSA_C2, +Tx_DSA_C4, +Tx_DSA_C8, +Tx_DSA_C16, +Tx_DSA1_LE, +Tx_DSA2_LE, +Tx_Sw1_Ctrl_1, +Tx_Sw1_Ctrl_2, +Tx_Sw2_Ctrl_1, +Tx_Sw2_Ctrl_2, +Tx_Sw3_Ctrl_1, +Tx_Sw3_Ctrl_2, +Tx_Sw3_Ctrl_3, +Tx_Sw3_Ctrl_4, +Rx_LO_Input_Select, +Rx_LO_Filter_Sw_1, +Rx_LO_Filter_Sw_2, +Tx_LO_Input_Select, +Tx_LO_Filter_Sw_1, +Tx_LO_Filter_Sw_2, +CLKDIST_Status_LD1, +CLKDIST_Status_LD2, +LOSYNTH_RX_MUXOUT, +LOSYNTH_TX_MUXOUT, + +// bank 8 +LO_SPI_SCLK, // fans out to both rx & tx synths +LO_SPI_SDI, +LO_TX_CS_L, +LO_RX_CS_L, +Rx_Sw1_Ctrl_1, +Rx_Sw1_Ctrl_2, +Rx_DSA_C1, +Rx_DSA_C2, +Rx_DSA_C4, +Rx_DSA_C8, +Rx_DSA_C16, +Rx_DSA1_LE, +Rx_DSA2_LE, +Rx_Sw2_Ctrl, +Rx_Sw3_Ctrl_1, +Rx_Sw3_Ctrl_2, +Rx_Sw4_Ctrl_1, +Rx_Sw4_Ctrl_2, +Rx_Sw4_Ctrl_3, +Rx_Sw4_Ctrl_4, +Rx_Demod_ADJ_1, +Rx_Demod_ADJ_2, + +// bank 5 +LO_DSA_C1, +LO_DSA_C2, +LO_DSA_C4, +LO_DSA_C8, +LO_DSA_C16, +RxLO_DSA_LE, +TxLO_DSA_LE, +LODIST_Bd_SPI_CS_L, +LODIST_Bd_SPI_SDI, +LODIST_Bd_SPI_SCLK, +LODIST_Bd_IO1, +Tx_Sw5_Ctrl_1, +Tx_Sw5_Ctrl_2, +Rx_Sw6_Ctrl_1, +Rx_Sw6_Ctrl_2, + +Tx_HB_LB_Select, +Rx_HB_LB_Select, +Cal_iso_Sw_Ctrl + + +); +endmodule // rh_tb diff --git a/fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_gain_ctrl.v b/fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_gain_ctrl.v new file mode 100644 index 000000000..b13eca846 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_gain_ctrl.v @@ -0,0 +1,203 @@ +/////////////////////////////////////////////////////////////////// +// +// Copyright 2018 Ettus Research, A National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// +// Module: rhodium_gain_ctrl +// Description: +// Gain controller for Rhodium +// Provides 2 SPI slaves: +// The "load" slave is used to load the gain table with DSA settings for +// each index. +// The "ctrl" slave takes in a gain index and drives the DSAs with the +// setting found in the gain table. +// The SPI formats are provided below. +////////////////////////////////////////////////////////////////////// + +`default_nettype none + +/** +* SPI DATA FORMAT +* LOADER +* M {table_sel[1:0], gain_index[5:0], rsvd[1:0], dsa1[4:0], dsa2[4:0], wr_en, rsvd[2:0]} +* S {-------------------------------, rsvd[1:0], dsa1[4:0], dsa2[4:0], -------rsvd[3:0]} +* CTRL +* M {table_sel[1:0], gain_index[5:0], rsvd[1:0], wr_dsa1, -rsvd[5:0], wr_dsa2, rsvd[5:0]} +* S {-------------------------------, ---------rsvd[2:0], gain1[5:0], ---rsvd, gain2[5:0]} +*/ +module rhodium_gain_ctrl +#( + parameter TABLE_NUM = 2'b01 +) ( + input wire load_table_sel, + input wire load_sck, + input wire load_csb, + input wire load_mosi, + output wire load_miso, + input wire ctrl_table_sel, + input wire ctrl_sck, + input wire ctrl_csb, + input wire ctrl_mosi, + output reg ctrl_miso, + output wire [4:0] dsa, + output reg dsa1_le, + output reg dsa2_le +); + +localparam CNT_GAIN1_DRIVE = 10, + CNT_DSA1_LE_RISE = 11, + CNT_DSA1_LE_FALL = 14, + CNT_GAIN1_RELEASE = 17; +localparam CNT_GAIN2_DRIVE = 17, + CNT_DSA2_LE_RISE = 18, + CNT_DSA2_LE_FALL = 21, + CNT_GAIN2_RELEASE = 24; + +/****************** +* Gain table loader +*******************/ +reg [4:0] load_bit_cnt; +reg [1:0] load_tbl; +reg [5:0] load_index; +reg [15:0] load_rd_data; +reg [4:0] load_dsa1; +reg [4:0] load_dsa2; +wire [4:0] load_dsa1_prev; +wire [4:0] load_dsa2_prev; + +assign load_miso = load_rd_data[15]; // Shift out on neg edge + +wire wr_en; +assign wr_en = (!load_csb) && (load_tbl == TABLE_NUM) && (load_bit_cnt == 20) && (load_mosi); + +// Cycle counter for where we are in protocol and shift register for input +always @ (posedge load_sck or posedge load_csb) +begin + if (load_csb) begin + load_bit_cnt <= 5'd0; + end else if (!load_csb) begin + {load_dsa1, load_dsa2} <= {load_dsa1[3:0], load_dsa2, load_mosi}; + + if (load_bit_cnt < 23) begin + load_bit_cnt <= load_bit_cnt + 5'd1; + end + if (load_bit_cnt < 8) begin + {load_tbl, load_index} <= {load_tbl[0], load_index, load_mosi}; + end + end +end + +// Readback shift register +always @ (negedge load_sck) +begin + if (load_bit_cnt == 9) begin + load_rd_data <= {load_dsa1_prev, load_dsa2_prev, 5'b000}; + end else begin + load_rd_data <= {load_rd_data[14:0], 1'b0}; + end +end + +/****************** +* Gain table RAM +*******************/ +wire [4:0] ctrl_dsa1; +wire [4:0] ctrl_dsa2; + +/* Use half of table for low band, other half for high band + * Software decides address mapping + */ +rhodium_gain_table gain_table( + .wr_clk(load_sck), + .wr_en(wr_en), + .wr_addr({load_table_sel, load_index}), + .wr_data({load_dsa1, load_dsa2}), + .wr_data_prev({load_dsa1_prev, load_dsa2_prev}), + .rd_clk(ctrl_sck), + .rd_addr({ctrl_table_sel, ctrl_index}), + .rd_data({ctrl_dsa1, ctrl_dsa2}) +); + +/****************** +* Gain control +*******************/ +reg [4:0] ctrl_bit_cnt; +reg [1:0] ctrl_tbl; +reg [5:0] ctrl_index; + +reg [5:0] gain1; +reg [5:0] gain2; +reg gain1_t; +reg gain2_t; + +assign dsa = !gain1_t ? ctrl_dsa1 : + (!gain2_t ? ctrl_dsa2 : + 5'b11111); + +// Cycle counter for where we are in protocol and shift register for input +// Also controls timing of DSAs' latch enable signals +always @ (posedge ctrl_sck or posedge ctrl_csb) +begin + if (ctrl_csb) begin + ctrl_bit_cnt <= 5'd0; + dsa1_le <= 1'b0; + dsa2_le <= 1'b0; + gain1_t <= 1'b1; + gain2_t <= 1'b1; + end else if (!ctrl_csb) begin + if (ctrl_bit_cnt < 23) begin + ctrl_bit_cnt <= ctrl_bit_cnt + 5'd1; + end + + if (ctrl_bit_cnt < 8) begin + {ctrl_tbl, ctrl_index} <= {ctrl_tbl[0], ctrl_index, ctrl_mosi}; + end + + if (ctrl_tbl == TABLE_NUM) begin + if ((ctrl_bit_cnt == CNT_GAIN1_DRIVE) && (ctrl_mosi)) begin + gain1 <= ctrl_index; + gain1_t <= 1'b0; + end else if ((gain1_t == 1'b0) && (ctrl_bit_cnt == CNT_DSA1_LE_RISE)) begin + dsa1_le <= 1'b1; + end else if ((gain1_t == 1'b0) && (ctrl_bit_cnt == CNT_DSA1_LE_FALL)) begin + dsa1_le <= 1'b0; + end else if ((gain1_t == 1'b0) && (ctrl_bit_cnt == CNT_GAIN1_RELEASE)) begin + gain1_t <= 1'b1; + end + + if ((ctrl_bit_cnt == CNT_GAIN2_DRIVE) && (ctrl_mosi)) begin + gain2 <= ctrl_index; + gain2_t <= 1'b0; + end else if ((gain2_t == 1'b0) && (ctrl_bit_cnt == CNT_DSA2_LE_RISE)) begin + dsa2_le <= 1'b1; + end else if ((gain2_t == 1'b0) && (ctrl_bit_cnt == CNT_DSA2_LE_FALL)) begin + dsa2_le <= 1'b0; + end else if ((gain2_t == 1'b0) && (ctrl_bit_cnt == CNT_GAIN2_RELEASE)) begin + gain2_t <= 1'b1; + end + end + end +end + +// SPI readback for ctrl bus, based on current bit count +always @ (negedge ctrl_sck) +begin + case (ctrl_bit_cnt) // Shift out on neg edge + 11: ctrl_miso <= gain1[5]; + 12: ctrl_miso <= gain1[4]; + 13: ctrl_miso <= gain1[3]; + 14: ctrl_miso <= gain1[2]; + 15: ctrl_miso <= gain1[1]; + 16: ctrl_miso <= gain1[0]; + 18: ctrl_miso <= gain2[5]; + 19: ctrl_miso <= gain2[4]; + 20: ctrl_miso <= gain2[3]; + 21: ctrl_miso <= gain2[2]; + 22: ctrl_miso <= gain2[1]; + 23: ctrl_miso <= gain2[0]; + default: ctrl_miso <= 1'b0; + endcase +end + +endmodule // rhodium_gain_ctrl +`default_nettype wire diff --git a/fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_gain_table.v b/fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_gain_table.v new file mode 100644 index 000000000..abaca43ba --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_gain_table.v @@ -0,0 +1,54 @@ +/////////////////////////////////////////////////////////////////// +// +// Copyright 2018 Ettus Research, A National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// +// Module: rhodium_gain_table +// Description: +// Simple dual port memory for use as gain table +// Implements a 128 x 16 bit dual-port RAM for storing 10-bit gain values. +// Write and read domains are independent. Data takes 1 cycle to become valid +// on the output of the RAM once written. +////////////////////////////////////////////////////////////////////// + +`default_nettype none + +module rhodium_gain_table +( + input wire wr_clk, + input wire wr_en, + input wire [6:0] wr_addr, + input wire [9:0] wr_data, + + // Read data for wr_addr (read-first/read-before-write): One cycle latency + output wire [9:0] wr_data_prev, + + input wire rd_clk, + input wire [6:0] rd_addr, + output wire [9:0] rd_data // Read data for rd_addr: One cycle latency +); + +reg [15:0] gain_table[127:0]; +reg [15:0] wr_data_prev_r; +reg [15:0] rd_data_r; + +assign wr_data_prev = wr_data_prev_r[15:6]; +assign rd_data = rd_data_r[15:6]; + +always @ (posedge wr_clk) +begin + if (wr_en) + gain_table[wr_addr] <= {wr_data, 6'b0}; + wr_data_prev_r <= gain_table[wr_addr]; +end + +always @ (posedge rd_clk) +begin + rd_data_r <= gain_table[rd_addr]; +end + + +endmodule // rhodium_gain_table +`default_nettype wire + diff --git a/fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_lo_gain.v b/fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_lo_gain.v new file mode 100644 index 000000000..0c4ed4c52 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_lo_gain.v @@ -0,0 +1,124 @@ +/////////////////////////////////////////////////////////////////// +// +// Copyright 2018 Ettus Research, A National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// +// Module: rhodium_lo_gain +// Description: +// LO Gain controller for Rhodium +// Implements a gain index register (not a table) +// +// Provides 1 SPI slave: +// The "ctrl" slave takes in a gain index and drives the DSA with that +// value. +// The SPI formats are provided below. +////////////////////////////////////////////////////////////////////// + +`default_nettype none + +/** +* SPI DATA FORMAT (left-most bit is first) +* CTRL +* M {table_sel[1:0], rsvd, gain[4:0], rsvd[1:0], wr_dsa1, ------rsvd[5:0], wr_dsa2, ----rsvd[5:0] +* S {-------------------------------, --------------rsvd[3:0], gain1[4:0], -rsvd[1:0], gain2[4:0]} +*/ +module rhodium_lo_gain #( + parameter TABLE_NUM = 2'b01 +) ( + input wire ctrl_sck, + input wire ctrl_csb, + input wire ctrl_mosi, + output reg ctrl_miso, + output wire [4:0] dsa, + output reg dsa1_le, + output reg dsa2_le +); + +localparam CNT_GAIN1_DRIVE = 10, + CNT_DSA1_LE_RISE = 11, + CNT_DSA1_LE_FALL = 14, + CNT_GAIN1_RELEASE = 17; +localparam CNT_GAIN2_DRIVE = 17, + CNT_DSA2_LE_RISE = 18, + CNT_DSA2_LE_FALL = 21, + CNT_GAIN2_RELEASE = 24; + +reg [4:0] ctrl_bit_cnt; +reg [1:0] ctrl_tbl; +reg [5:0] ctrl_index; +reg [5:0] gain1, gain2; +reg gain1_t; +reg gain2_t; + +assign dsa = (!gain1_t | !gain2_t) ? ctrl_index[4:0] : 5'b11111; + +// Cycle counter for where we are in protocol and shift register for input +// Also controls timing of DSAs' latch enable signals +always @ (posedge ctrl_sck or posedge ctrl_csb) +begin + if (ctrl_csb) begin + ctrl_bit_cnt <= 5'd0; + dsa1_le <= 1'b0; + dsa2_le <= 1'b0; + gain1_t <= 1'b1; + gain2_t <= 1'b1; + end else if (!ctrl_csb) begin + if (ctrl_bit_cnt < 23) begin + ctrl_bit_cnt <= ctrl_bit_cnt + 5'd1; + end + + if (ctrl_bit_cnt < 8) begin + {ctrl_tbl, ctrl_index} <= {ctrl_tbl[0], ctrl_index, ctrl_mosi}; + end + + if (ctrl_tbl == TABLE_NUM) begin + if ((ctrl_bit_cnt == CNT_GAIN1_DRIVE) && (ctrl_mosi)) begin + gain1 <= ctrl_index; + gain1_t <= 1'b0; + end else if ((gain1_t == 1'b0) && (ctrl_bit_cnt == CNT_DSA1_LE_RISE)) begin + dsa1_le <= 1'b1; + end else if ((gain1_t == 1'b0) && (ctrl_bit_cnt == CNT_DSA1_LE_FALL)) begin + dsa1_le <= 1'b0; + end else if ((gain1_t == 1'b0) && (ctrl_bit_cnt == CNT_GAIN1_RELEASE)) begin + gain1_t <= 1'b1; + end + + if ((ctrl_bit_cnt == CNT_GAIN2_DRIVE) && (ctrl_mosi)) begin + gain2 <= ctrl_index; + gain2_t <= 1'b0; + end else if ((gain2_t == 1'b0) && (ctrl_bit_cnt == CNT_DSA2_LE_RISE)) begin + dsa2_le <= 1'b1; + end else if ((gain2_t == 1'b0) && (ctrl_bit_cnt == CNT_DSA2_LE_FALL)) begin + dsa2_le <= 1'b0; + end else if ((gain2_t == 1'b0) && (ctrl_bit_cnt == CNT_GAIN2_RELEASE)) begin + gain2_t <= 1'b1; + end + end + end +end + +// SPI readback for ctrl bus, based on current bit count +always @ (negedge ctrl_sck) +begin + case (ctrl_bit_cnt) // Shift out on neg edge + 11: ctrl_miso <= gain1[5]; + 12: ctrl_miso <= gain1[4]; + 13: ctrl_miso <= gain1[3]; + 14: ctrl_miso <= gain1[2]; + 15: ctrl_miso <= gain1[1]; + 16: ctrl_miso <= gain1[0]; + 18: ctrl_miso <= gain2[5]; + 19: ctrl_miso <= gain2[4]; + 20: ctrl_miso <= gain2[3]; + 21: ctrl_miso <= gain2[2]; + 22: ctrl_miso <= gain2[1]; + 23: ctrl_miso <= gain2[0]; + default: ctrl_miso <= 1'b0; + endcase +end + + +endmodule // rhodium_lo_gain +`default_nettype wire + diff --git a/fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_top.qpf b/fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_top.qpf new file mode 100644 index 000000000..5e1bf4d57 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_top.qpf @@ -0,0 +1,31 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2017 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 17.0.2 Build 602 07/19/2017 SJ Lite Edition +# Date created = 08:22:34 September 13, 2017 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "17.0" +DATE = "08:22:34 September 13, 2017" + +# Revisions + +PROJECT_REVISION = "rhodium_top" diff --git a/fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_top.qsf b/fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_top.qsf new file mode 100644 index 000000000..e94f0bbc8 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_top.qsf @@ -0,0 +1,306 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2017 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 17.0.2 Build 602 07/19/2017 SJ Lite Edition +# Date created = 08:22:34 September 13, 2017 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# rhodium_top_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M04SAU169I7G +set_global_assignment -name TOP_LEVEL_ENTITY rhodium_top +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 17.0.2 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "08:22:34 SEPTEMBER 13, 2017" +set_global_assignment -name LAST_QUARTUS_VERSION "18.1.0 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP "-40" +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 100 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256 +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_location_assignment PIN_D11 -to CPLD_PS_SPI_LE_25 +set_location_assignment PIN_F13 -to CPLD_PS_SPI_CLK_25 +set_location_assignment PIN_E10 -to CPLD_PS_ADDR0_25 +set_location_assignment PIN_A12 -to CPLD_PS_ADDR1_25 +set_location_assignment PIN_F12 -to CPLD_PS_SPI_SDI_25 +set_location_assignment PIN_F10 -to CPLD_PS_SPI_SDO_25 +set_location_assignment PIN_B1 -to ADC_A_Over_Range_18 +set_location_assignment PIN_D1 -to ADC_B_Over_Range_18 +set_instance_assignment -name IO_STANDARD "1.8 V" -to ADC_A_Over_Range_18 +set_instance_assignment -name IO_STANDARD "1.8 V" -to ADC_B_Over_Range_18 +set_instance_assignment -name IO_STANDARD LVDS -to LO_SYNC +set_location_assignment PIN_G9 -to LO_SYNC +set_location_assignment PIN_G10 -to "LO_SYNC(n)" +set_instance_assignment -name IO_STANDARD "1.8 V" -to ADC_SPI_CS_L_18 +set_location_assignment PIN_C2 -to ADC_SPI_CS_L_18 +set_location_assignment PIN_C1 -to ADC_SPI_SCLK_18 +set_location_assignment PIN_E3 -to ADC_SPI_SDIO_18 +set_location_assignment PIN_M5 -to CLKDIST_SPI_CS_L +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to CLKDIST_SPI_CS_L +set_instance_assignment -name IO_STANDARD "1.8 V" -to ADC_SPI_SCLK_18 +set_instance_assignment -name IO_STANDARD "1.8 V" -to ADC_SPI_SDIO_18 +set_location_assignment PIN_L5 -to CLKDIST_SPI_SCLK +set_location_assignment PIN_N5 -to CLKDIST_SPI_SDIO +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to CLKDIST_SPI_SCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to CLKDIST_SPI_SDIO +set_location_assignment PIN_K6 -to CLKDIST_Status_LD1 +set_location_assignment PIN_J6 -to CLKDIST_Status_LD2 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to CLKDIST_Status_LD1 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to CLKDIST_Status_LD2 +set_location_assignment PIN_M1 -to CPLD_ATR_TX_18 +set_location_assignment PIN_L1 -to CPLD_ATR_RX_18 +set_instance_assignment -name IO_STANDARD "1.8 V" -to CPLD_ATR_RX_18 +set_instance_assignment -name IO_STANDARD "1.8 V" -to CPLD_ATR_TX_18 +set_location_assignment PIN_H6 -to CPLD_PL_SPI_ADDR0_18 +set_location_assignment PIN_K2 -to CPLD_PL_SPI_ADDR1_18 +set_location_assignment PIN_J2 -to CPLD_PL_SPI_ADDR2_18 +set_instance_assignment -name IO_STANDARD "1.8 V" -to CPLD_PL_SPI_ADDR0_18 +set_instance_assignment -name IO_STANDARD "1.8 V" -to CPLD_PL_SPI_ADDR1_18 +set_instance_assignment -name IO_STANDARD "1.8 V" -to CPLD_PL_SPI_ADDR2_18 +set_location_assignment PIN_K1 -to CPLD_PL_SPI_LE_18 +set_location_assignment PIN_H5 -to CPLD_PL_SPI_SCLK_18 +set_location_assignment PIN_L3 -to CPLD_PL_SPI_SDI_18 +set_location_assignment PIN_L2 -to CPLD_PL_SPI_SDO_18 +set_instance_assignment -name IO_STANDARD "1.8 V" -to CPLD_PL_SPI_LE_18 +set_instance_assignment -name IO_STANDARD "1.8 V" -to CPLD_PL_SPI_SCLK_18 +set_instance_assignment -name IO_STANDARD "1.8 V" -to CPLD_PL_SPI_SDI_18 +set_instance_assignment -name IO_STANDARD "1.8 V" -to CPLD_PL_SPI_SDO_18 +set_location_assignment PIN_M2 -to DAC_Alarm_18 +set_instance_assignment -name IO_STANDARD "1.8 V" -to DAC_Alarm_18 +set_location_assignment PIN_N3 -to DAC_SPI_SDIO_18 +set_location_assignment PIN_N2 -to DAC_SPI_SCLK_18 +set_location_assignment PIN_M3 -to DAC_SPI_CS_L_18 +set_instance_assignment -name IO_STANDARD "1.8 V" -to DAC_SPI_CS_L_18 +set_instance_assignment -name IO_STANDARD "1.8 V" -to DAC_SPI_SCLK_18 +set_instance_assignment -name IO_STANDARD "1.8 V" -to DAC_SPI_SDIO_18 +set_location_assignment PIN_M7 -to LOSYNTH_RX_MUXOUT +set_location_assignment PIN_N7 -to LOSYNTH_TX_MUXOUT +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LOSYNTH_RX_MUXOUT +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LOSYNTH_TX_MUXOUT +set_location_assignment PIN_E6 -to LO_RX_CS_L +set_location_assignment PIN_B2 -to LO_SPI_SCLK +set_location_assignment PIN_M4 -to LO_SPI_SDI +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LO_RX_CS_L +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LO_SPI_SCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LO_SPI_SDI +set_location_assignment PIN_K5 -to LO_TX_CS_L +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LO_TX_CS_L +set_location_assignment PIN_B6 -to Rx_DSA1_LE +set_location_assignment PIN_A9 -to Rx_DSA2_LE +set_location_assignment PIN_D8 -to Rx_DSA_C1 +set_location_assignment PIN_D6 -to Rx_DSA_C2 +set_location_assignment PIN_A11 -to Rx_DSA_C4 +set_location_assignment PIN_B10 -to Rx_DSA_C8 +set_location_assignment PIN_A10 -to Rx_DSA_C16 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Rx_DSA1_LE +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Rx_DSA2_LE +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Rx_DSA_C1 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Rx_DSA_C2 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Rx_DSA_C4 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Rx_DSA_C8 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Rx_DSA_C16 +set_location_assignment PIN_B3 -to Rx_Demod_ADJ_1 +set_location_assignment PIN_N4 -to Rx_Demod_ADJ_2 +set_location_assignment PIN_J5 -to Rx_LO_Filter_Sw_1 +set_location_assignment PIN_J7 -to Rx_LO_Filter_Sw_2 +set_location_assignment PIN_L4 -to Rx_LO_Input_Select +set_location_assignment PIN_A6 -to Rx_Sw1_Ctrl_1 +set_location_assignment PIN_A7 -to Rx_Sw1_Ctrl_2 +set_location_assignment PIN_A5 -to Rx_Sw2_Ctrl +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Rx_Demod_ADJ_1 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Rx_Demod_ADJ_2 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Rx_Sw1_Ctrl_1 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Rx_Sw1_Ctrl_2 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Rx_Sw2_Ctrl +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Rx_LO_Filter_Sw_1 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Rx_LO_Filter_Sw_2 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Rx_LO_Input_Select +set_location_assignment PIN_A4 -to Rx_Sw3_Ctrl_1 +set_location_assignment PIN_A3 -to Rx_Sw3_Ctrl_2 +set_location_assignment PIN_C10 -to Rx_Sw4_Ctrl_1 +set_location_assignment PIN_A8 -to Rx_Sw4_Ctrl_2 +set_location_assignment PIN_B9 -to Rx_Sw4_Ctrl_3 +set_location_assignment PIN_C9 -to Rx_Sw4_Ctrl_4 +set_location_assignment PIN_K13 -to Tx_DSA1_LE +set_location_assignment PIN_K12 -to Tx_DSA2_LE +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Rx_Sw3_Ctrl_1 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Rx_Sw3_Ctrl_2 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Rx_Sw4_Ctrl_1 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Rx_Sw4_Ctrl_2 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Rx_Sw4_Ctrl_3 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Rx_Sw4_Ctrl_4 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Tx_DSA1_LE +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Tx_DSA2_LE +set_location_assignment PIN_H13 -to Tx_DSA_C1 +set_location_assignment PIN_H8 -to Tx_DSA_C2 +set_location_assignment PIN_J13 -to Tx_DSA_C4 +set_location_assignment PIN_H10 -to Tx_DSA_C8 +set_location_assignment PIN_J12 -to Tx_DSA_C16 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Tx_DSA_C1 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Tx_DSA_C2 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Tx_DSA_C4 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Tx_DSA_C8 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Tx_DSA_C16 +set_location_assignment PIN_N6 -to Tx_LO_Filter_Sw_1 +set_location_assignment PIN_N12 -to Tx_LO_Filter_Sw_2 +set_location_assignment PIN_N8 -to Tx_LO_Input_Select +set_location_assignment PIN_L10 -to Tx_Sw1_Ctrl_1 +set_location_assignment PIN_N10 -to Tx_Sw1_Ctrl_2 +set_location_assignment PIN_N11 -to Tx_Sw2_Ctrl_1 +set_location_assignment PIN_L11 -to Tx_Sw2_Ctrl_2 +set_location_assignment PIN_L12 -to Tx_Sw3_Ctrl_1 +set_location_assignment PIN_M13 -to Tx_Sw3_Ctrl_2 +set_location_assignment PIN_K11 -to Tx_Sw3_Ctrl_3 +set_location_assignment PIN_J9 -to Tx_Sw3_Ctrl_4 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Tx_LO_Filter_Sw_1 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Tx_LO_Filter_Sw_2 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Tx_LO_Input_Select +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Tx_Sw1_Ctrl_1 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Tx_Sw1_Ctrl_2 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Tx_Sw2_Ctrl_1 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Tx_Sw2_Ctrl_2 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Tx_Sw3_Ctrl_1 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Tx_Sw3_Ctrl_2 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Tx_Sw3_Ctrl_3 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Tx_Sw3_Ctrl_4 +set_location_assignment PIN_B11 -to PHDAC_SPI_CS_L +set_location_assignment PIN_C11 -to PHDAC_SPI_SCLK +set_location_assignment PIN_D9 -to PHDAC_SPI_SDI +set_location_assignment PIN_N9 -to LODIST_Bd_SPI_CS_L +set_location_assignment PIN_J8 -to LODIST_Bd_SPI_SCLK +set_location_assignment PIN_M9 -to LODIST_Bd_SPI_SDI +set_location_assignment PIN_L13 -to LO_DSA_C1 +set_location_assignment PIN_K10 -to LO_DSA_C2 +set_location_assignment PIN_H9 -to LO_DSA_C4 +set_location_assignment PIN_G12 -to LO_DSA_C8 +set_location_assignment PIN_G13 -to LO_DSA_C16 +set_location_assignment PIN_J10 -to RxLO_DSA_LE +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LODIST_Bd_SPI_CS_L +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LODIST_Bd_SPI_SCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LODIST_Bd_SPI_SDI +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LO_DSA_C1 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LO_DSA_C2 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LO_DSA_C4 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LO_DSA_C8 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LO_DSA_C16 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to RxLO_DSA_LE +set_location_assignment PIN_B4 -to Rx_Sw6_Ctrl_1 +set_location_assignment PIN_B5 -to Rx_Sw6_Ctrl_2 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Rx_Sw6_Ctrl_1 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Rx_Sw6_Ctrl_2 +set_location_assignment PIN_M8 -to TxLO_DSA_LE +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to TxLO_DSA_LE +set_location_assignment PIN_M11 -to Tx_Sw5_Ctrl_1 +set_location_assignment PIN_M12 -to Tx_Sw5_Ctrl_2 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Tx_Sw5_Ctrl_1 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Tx_Sw5_Ctrl_2 +set_location_assignment PIN_D12 -to Cal_iso_Sw_Ctrl +set_location_assignment PIN_K8 -to LODIST_Bd_IO1 +set_location_assignment PIN_M10 -to Tx_HB_LB_Select +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Tx_HB_LB_Select +set_location_assignment PIN_E8 -to Rx_HB_LB_Select +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Rx_HB_LB_Select +set_instance_assignment -name IO_STANDARD "2.5 V" -to PHDAC_SPI_CS_L +set_instance_assignment -name IO_STANDARD "2.5 V" -to PHDAC_SPI_SCLK +set_instance_assignment -name IO_STANDARD "2.5 V" -to PHDAC_SPI_SDI +set_instance_assignment -name IO_STANDARD "2.5 V" -to CPLD_PS_ADDR0_25 +set_instance_assignment -name IO_STANDARD "2.5 V" -to CPLD_PS_ADDR1_25 +set_instance_assignment -name IO_STANDARD "2.5 V" -to CPLD_PS_SPI_CLK_25 +set_instance_assignment -name IO_STANDARD "2.5 V" -to CPLD_PS_SPI_LE_25 +set_instance_assignment -name IO_STANDARD "2.5 V" -to CPLD_PS_SPI_SDI_25 +set_instance_assignment -name IO_STANDARD "2.5 V" -to CPLD_PS_SPI_SDO_25 +set_instance_assignment -name IO_STANDARD "2.5 V" -to Cal_iso_Sw_Ctrl +set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to LODIST_Bd_IO1 +set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)" +set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation +set_location_assignment PIN_E4 -to usrp_io[0] +set_location_assignment PIN_G5 -to usrp_io[1] +set_location_assignment PIN_H4 -to usrp_io[2] +set_location_assignment PIN_J1 -to usrp_io[3] +set_location_assignment PIN_F1 -to usrp_io[4] +set_location_assignment PIN_C12 -to usrp_io[5] +set_location_assignment PIN_C13 -to usrp_io[6] +set_location_assignment PIN_E12 -to usrp_io[7] +set_location_assignment PIN_E13 -to usrp_io[8] +set_location_assignment PIN_B13 -to usrp_io[9] +set_location_assignment PIN_F9 -to usrp_io[10] +set_location_assignment PIN_B12 -to usrp_io[11] +set_location_assignment PIN_E9 -to usrp_io[12] +set_location_assignment PIN_F8 -to usrp_io[13] +set_instance_assignment -name IO_STANDARD "1.8 V" -to usrp_io[0] +set_instance_assignment -name IO_STANDARD "1.8 V" -to usrp_io[1] +set_instance_assignment -name IO_STANDARD "1.8 V" -to usrp_io[2] +set_instance_assignment -name IO_STANDARD "1.8 V" -to usrp_io[3] +set_instance_assignment -name IO_STANDARD "1.8 V" -to usrp_io[4] +set_instance_assignment -name IO_STANDARD "2.5 V" -to usrp_io[5] +set_instance_assignment -name IO_STANDARD "2.5 V" -to usrp_io[6] +set_instance_assignment -name IO_STANDARD "2.5 V" -to usrp_io[7] +set_instance_assignment -name IO_STANDARD "2.5 V" -to usrp_io[8] +set_instance_assignment -name IO_STANDARD "2.5 V" -to usrp_io[9] +set_instance_assignment -name IO_STANDARD "2.5 V" -to usrp_io[10] +set_instance_assignment -name IO_STANDARD "2.5 V" -to usrp_io[11] +set_instance_assignment -name IO_STANDARD "2.5 V" -to usrp_io[12] +set_instance_assignment -name IO_STANDARD "2.5 V" -to usrp_io[13] +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" +set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" +set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation +set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS TEST_BENCH_MODE -section_id eda_simulation +set_global_assignment -name EDA_NATIVELINK_SIMULATION_TEST_BENCH rh_tb -section_id eda_simulation +set_global_assignment -name EDA_TEST_BENCH_NAME rh_tb -section_id eda_simulation +set_global_assignment -name EDA_DESIGN_INSTANCE_NAME NA -section_id rh_tb +set_global_assignment -name EDA_TEST_BENCH_RUN_SIM_FOR "9000 ns" -section_id rh_tb +set_global_assignment -name EDA_TEST_BENCH_MODULE_NAME rh_tb -section_id rh_tb +set_global_assignment -name FLOW_ENABLE_POWER_ANALYZER ON +set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE "12.5 %" +set_global_assignment -name EDA_RUN_TOOL_AUTOMATICALLY OFF -section_id eda_simulation +set_global_assignment -name OPTIMIZATION_MODE "HIGH PERFORMANCE EFFORT" +set_global_assignment -name VERILOG_FILE rhodium_top.v +set_global_assignment -name VERILOG_FILE rhodium_gain_ctrl.v +set_global_assignment -name VERILOG_FILE rhodium_gain_table.v +set_global_assignment -name VERILOG_FILE rhodium_lo_gain.v +set_global_assignment -name VERILOG_FILE rh_tb.v +set_global_assignment -name EDA_TEST_BENCH_FILE rh_tb.v -section_id rh_tb + + +set_global_assignment -name ENABLE_OCT_DONE OFF +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "PASSIVE SERIAL" +set_global_assignment -name USE_CONFIGURATION_DEVICE ON +set_global_assignment -name CRC_ERROR_OPEN_DRAIN OFF +set_global_assignment -name GENERATE_SVF_FILE ON +set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -rise +set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -fall +set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -rise +set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -fall +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top diff --git a/fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_top.sdc b/fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_top.sdc new file mode 100644 index 000000000..4b00a5053 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_top.sdc @@ -0,0 +1,415 @@ +# SPDX-License-Identifier: LGPL-3.0-or-later +# +# Copyright 2019 Ettus Research, A National Instruments Company +# +# Timing constraints for Rhodium's MAX 10 board controller + +set_time_format -unit ns -decimal_places 3 + +# Some constants for constraining the design with the FPGA-centric method: +# Maximum trace propagation delay is assumed to be 0.6 ns on any traces +# to on-dboard slaves +set board_delay 0.600 +set clk_uncertainty 0.150 + +############################################################################### +# Clocks +############################################################################### + +# The PS SPI clock is maximum 10 MHz. It is driven from another source and +# provided with the data. +# CPLD_PS_SPI_CLK_25: 8 MHz +set sclk_ps_period 125.000 + +# Create clock for the PS's SPI interface +create_clock -name sclk_ps -period $sclk_ps_period \ + [get_ports CPLD_PS_SPI_CLK_25] + +# The PL SPI clock is split into two pieces. For the normal case, the clock +# frequency is 10 MHz. This is for any read operations. +# +# CPLD_PL_SPI_SCLK_18 pass through / read back ONLY: 10 MHz +set sclk_pl_period 100.000 +create_clock -name sclk_pl -period $sclk_pl_period \ + [get_ports CPLD_PL_SPI_SCLK_18] + +# We can go faster for the PL writes to the internal registers and LOs. +# This rate is not supported for readback, but it helps with getting the DSA +# settings and LO settings in faster. +# CPLD_PL_SPI_SCLK_18 internal ONLY: 25 MHz +set sclk_pl_wr_period 40.000 +create_clock -name sclk_pl_wr -period $sclk_pl_wr_period \ + [get_ports CPLD_PL_SPI_SCLK_18] -add + +# Output clocks for the MAX 10's SPI master interfaces (1 for each slave IC) +create_generated_clock -source [get_ports CPLD_PS_SPI_CLK_25] \ + -name clkdist_clk [get_ports CLKDIST_SPI_SCLK] +create_generated_clock -source [get_ports CPLD_PS_SPI_CLK_25] \ + -name adc_clk [get_ports ADC_SPI_SCLK_18] +create_generated_clock -source [get_ports CPLD_PS_SPI_CLK_25] \ + -name dac_clk [get_ports DAC_SPI_SCLK_18] +create_generated_clock -source [get_ports CPLD_PS_SPI_CLK_25] \ + -name phdac_clk [get_ports PHDAC_SPI_SCLK] + +create_generated_clock -source [get_ports CPLD_PL_SPI_SCLK_18] \ + -master_clock [get_clocks sclk_pl] \ + -name lo_clk [get_ports LO_SPI_SCLK] +create_generated_clock -source [get_ports CPLD_PL_SPI_SCLK_18] \ + -master_clock [get_clocks sclk_pl_wr] \ + -name lo_wr_clk [get_ports LO_SPI_SCLK] -add +create_generated_clock -source [get_ports CPLD_PL_SPI_SCLK_18] \ + -master_clock [get_clocks sclk_pl] \ + -name lodist_clk [get_ports LODIST_Bd_SPI_SCLK] + +# Virtual clock for DSA writes for skew calculations +#create_generated_clock -source [get_pins lo_gain_table\|dsa1_le\|clk] +create_generated_clock -source [get_ports CPLD_PL_SPI_SCLK_18] \ + -master_clock [get_clocks sclk_pl_wr] \ + -name dsa_reg_clk [get_pins lo_gain_table\|dsa1_le\|q] + +create_generated_clock -source [get_pins lo_gain_table\|dsa1_le\|q] \ + -name dsa_clk [get_ports RxLO_DSA_LE] + +# PL's pass through clock doesn't interact with internal clock +set_clock_groups -physically_exclusive \ + -group [get_clocks {sclk_pl_wr lo_wr_clk dsa_reg_clk dsa_clk}] \ + -group [get_clocks {sclk_pl lo_clk lodist_clk}] + +set_clock_groups -asynchronous \ + -group [get_clocks {sclk_ps clkdist_clk adc_clk dac_clk phdac_clk}] \ + -group [get_clocks {sclk_pl sclk_pl_wr lo_clk lodist_clk}] + +set_clock_uncertainty -to [get_clocks {sclk_ps sclk_pl sclk_pl_wr clkdist_clk + adc_clk dac_clk phdac_clk lo_clk lo_wr_clk lodist_clk dsa_reg_clk dsa_clk}] \ + $clk_uncertainty + +############################################################################### +# Timing Budget Calculations +############################################################################### +# Here we carve out some timing budget for the master's SPI interfaces. +# The master will use these values to time its SPI interface. +# The PL's write-only values are smaller because there are no external chip +# dependencies. +set setup_ps 25 +set hold_ps 30 + +# PL SPI is constrained on the master with an allowed skew value of +/- 3 ns +# relative to the launch clock +# Increase to 5 ns here for more margin +set pl_skew 5 + +# Clocks are nominally a 50% duty cycle, so difference between latch and +# launch is half a period, so subtract allowed skew from that for setup/hold +# specification. The half period is due to launch being the falling edge and +# latch being the rising edge. +set setup_pl [expr {$sclk_pl_period / 2 - $pl_skew}] +set hold_pl [expr {$sclk_pl_period / 2 - $pl_skew}] +set setup_pl_wr [expr {$sclk_pl_wr_period / 2 - $pl_skew}] +set hold_pl_wr [expr {$sclk_pl_wr_period / 2 - $pl_skew}] + +# Calculate input delays relative to falling edge (launch) +# Min is hold time after previous rising edge (previous latch) +# Max is setup time before next rising edge (next latch) +set input_delay_min_ps [expr {-$sclk_ps_period / 2 + $hold_ps}] +set input_delay_max_ps [expr {$sclk_ps_period / 2 - $setup_ps}] +set input_delay_min_pl [expr {-$sclk_pl_period / 2 + $hold_pl}] +set input_delay_max_pl [expr {$sclk_pl_period / 2 - $setup_pl}] +set input_delay_min_pl_wr [expr {-$sclk_pl_wr_period / 2 + $hold_pl_wr}] +set input_delay_max_pl_wr [expr {$sclk_pl_wr_period / 2 - $setup_pl_wr}] + +# Again, carve out timing budget for master's SPI interface +# Readback on the master will depend on clk-to-q of our slave. +# These values will need to be at least as large as the worst slave. +# Clock arrival at the slave will be delayed by propagation through the MAX 10 +# Data to the MAX 10's input port will be further delayed by slave's clk-to-q +# On top of that, we then need budget for the data to cross the MAX 10, head +# out the I/O, and propagate to the master's pin. Then the master will need +# some budget for setup time. +# +# Here is what we'll budget: +# Clk propagation to I/O: 7 ns +# Clk trace delay: 1 ns +# Worst-case chip clk-to-q: 10 ns +# Data trace delay: 1 ns +# Data propagation delay from input pin to output pin: 8 ns +# Total clk-to-q from MAX 10 sclk input to MAX 10 output: 27 ns +# +# Then master's budget is 23 ns for clock delay + data delay + setup time +set clk_q_max_ps 27.000 + +# For the PL, the worst-case chip changes to 2 ns, so there is more budget +set clk_q_max_pl 20.000 + +# clk-to-q determines one side of the data invalid window +# The maximum output delay is simply latch edge - clk-to-q +# Launch is falling edge, and latch is rising edge, so... +set output_delay_max_ps [expr {$sclk_ps_period / 2 - $clk_q_max_ps}] +set output_delay_max_pl [expr {$sclk_pl_period / 2 - $clk_q_max_pl}] + +# The minimum output delay represents the other edge of the data invalid +# window. Our clock is likely quite delayed already, but add a little more +# margin for hold time. +set output_delay_min_ps -5.000 +set output_delay_min_pl -5.000 + + +############################################################################### +# I/O groups (for reference later) +############################################################################### + +# Chip selects +set ps_csb [get_ports { + CPLD_PS_ADDR0_25 + CPLD_PS_ADDR1_25 + CPLD_PS_SPI_LE_25 + usrp_io[12] + usrp_io[13] +}] +set pl_csb [get_ports { + CPLD_PL_SPI_ADDR0_18 + CPLD_PL_SPI_ADDR1_18 + CPLD_PL_SPI_ADDR2_18 + CPLD_PL_SPI_LE_18 +}] + +# Data for internal PL SPI +set pl_src [get_ports { + CPLD_PL_SPI_SDI_18 +}] + +# Passthrough inputs (forward direction) +# CPLD_PS_SPI_CLK_25 and CPLD_PL_SPI_SCLK_18 are special +set ps_pt_src [get_ports {CPLD_PS_SPI_LE_25 + usrp_io[12] + usrp_io[13] + CPLD_PS_ADDR1_25 + CPLD_PS_SPI_SDI_25 + }] + +set pl_pt_src [get_ports {CPLD_PL_SPI_LE_18 + CPLD_PL_SPI_ADDR1_18 + CPLD_PL_SPI_ADDR2_18 + CPLD_PL_SPI_SDI_18 + }] + +# Passthrough outputs (forward direction) +# And inputs from the SPI slaves (readback direction) +set clkdist_spi_out [get_ports { + CLKDIST_SPI_CS_L + CLKDIST_SPI_SDIO +}] + +set clkdist_spi_in [get_ports { + CLKDIST_SPI_SDIO +}] + +set phdac_spi [get_ports { + PHDAC_SPI_CS_L + PHDAC_SPI_SDI +}] + +set dac_spi_out [get_ports { + DAC_SPI_CS_L_18 + DAC_SPI_SDIO_18 +}] + +set dac_spi_in [get_ports { + DAC_SPI_SDIO_18 +}] + +set adc_spi_out [get_ports { + ADC_SPI_CS_L_18 + ADC_SPI_SDIO_18 +}] + +set adc_spi_in [get_ports { + ADC_SPI_SDIO_18 +}] + +set lo_spi_out [get_ports { + LO_TX_CS_L + LO_RX_CS_L + LO_SPI_SDI +}] + +set lo_spi_in [get_ports { + LOSYNTH_RX_MUXOUT + LOSYNTH_TX_MUXOUT +}] + +set lodist_spi_out [get_ports { + LODIST_Bd_SPI_CS_L + LODIST_Bd_SPI_SDI +}] + +# Readback outputs +set ps_rb_out [get_ports CPLD_PS_SPI_SDO_25] +set pl_rb_out [get_ports CPLD_PL_SPI_SDO_18] + +############################################################################## +# Chip-selects provide async resets +############################################################################## +set_false_path -from $ps_csb -to [get_pins *|clrn] +set_false_path -from $pl_csb -to [get_pins *|clrn] + +# Also ignore setup/hold analysis for chip-selects affecting readback path +# These are available many cycles before readback begins and have +# combinatorial paths to the output +set_false_path -from $ps_csb -to $ps_rb_out +set_false_path -from $pl_csb -to $pl_rb_out + +set_input_delay -clock sclk_ps -clock_fall -max $input_delay_max_ps \ + [get_ports CPLD_PS_ADDR0_25] +set_input_delay -clock sclk_ps -clock_fall -min $input_delay_min_ps \ + [get_ports CPLD_PS_ADDR0_25] + +set_input_delay -clock sclk_pl -clock_fall -max $input_delay_max_pl \ + [get_ports CPLD_PL_SPI_ADDR0_18] +set_input_delay -clock sclk_pl -clock_fall -min $input_delay_min_pl \ + [get_ports CPLD_PL_SPI_ADDR0_18] + +set_input_delay -clock sclk_pl_wr -clock_fall -max $input_delay_max_pl_wr \ + [get_ports CPLD_PL_SPI_ADDR0_18] -add +set_input_delay -clock sclk_pl_wr -clock_fall -min $input_delay_min_pl_wr \ + [get_ports CPLD_PL_SPI_ADDR0_18] -add + + +############################################################################## +# Input delays from SPI master +############################################################################## +set_input_delay -clock sclk_ps -clock_fall -max $input_delay_max_ps $ps_pt_src +set_input_delay -clock sclk_ps -clock_fall -min $input_delay_min_ps $ps_pt_src + +set_input_delay -clock sclk_pl -clock_fall -max $input_delay_max_pl $pl_pt_src +set_input_delay -clock sclk_pl -clock_fall -min $input_delay_min_pl $pl_pt_src + +set_input_delay -clock sclk_pl_wr -clock_fall -max $input_delay_max_pl_wr $pl_src -add +set_input_delay -clock sclk_pl_wr -clock_fall -min $input_delay_min_pl_wr $pl_src -add + +############################################################################## +# Output delays to each SPI slave (uses setup/hold times from data sheet) +############################################################################## +set adc_setup 4 +set adc_hold 2 +set_output_delay -clock adc_clk -max [expr {$adc_setup + $board_delay}] \ + $adc_spi_out +set_output_delay -clock adc_clk -min [expr {-$adc_hold - $board_delay}] \ + $adc_spi_out + +set dac_setup 10 +set dac_hold 5 +set_output_delay -clock dac_clk -max [expr {$dac_setup + $board_delay}] \ + $dac_spi_out +set_output_delay -clock dac_clk -min [expr {-$dac_hold - $board_delay}] \ + $dac_spi_out + +set phdac_setup 5 +set phdac_hold 5 +set_output_delay -clock phdac_clk -max [expr {$phdac_setup + $board_delay}] \ + $phdac_spi +set_output_delay -clock phdac_clk -min [expr {-$phdac_hold - $board_delay}] \ + $phdac_spi + +set clkdist_setup 10 +set clkdist_hold 10 +set_output_delay -clock clkdist_clk -max [expr {$clkdist_setup + $board_delay}] \ + $clkdist_spi_out +set_output_delay -clock clkdist_clk -min [expr {-$clkdist_hold - $board_delay}] \ + $clkdist_spi_out + +set lo_setup 2 +set lo_hold 2 +set_output_delay -clock lo_wr_clk -max [expr {$lo_setup + $board_delay}] \ + $lo_spi_out +set_output_delay -clock lo_wr_clk -min [expr {-$lo_hold - $board_delay}] \ + $lo_spi_out + +############################################################################## +# Input delays from each SPI slave (uses clk-to-q times from data sheet) +# One board delay for clock, another for data +############################################################################## +set lo_clk_q 2 +set_input_delay -clock lo_clk -clock_fall -max [expr {$lo_clk_q + $board_delay + $board_delay}] \ + $lo_spi_in +set_input_delay -clock lo_clk -clock_fall -min 0 \ + $lo_spi_in + +set adc_clk_q 10 +set dac_clk_q 10 +set clkdist_clk_q 10 +set_input_delay -clock adc_clk -clock_fall -max [expr {$adc_clk_q + $board_delay + $board_delay}] \ + $adc_spi_in +set_input_delay -clock adc_clk -clock_fall -min 0 \ + $adc_spi_in +set_input_delay -clock dac_clk -clock_fall -max [expr {$dac_clk_q + $board_delay + $board_delay}] \ + $dac_spi_in +set_input_delay -clock dac_clk -clock_fall -min 0 \ + $dac_spi_in +set_input_delay -clock clkdist_clk -clock_fall -max [expr {$clkdist_clk_q + $board_delay + $board_delay}] \ + $clkdist_spi_in +set_input_delay -clock clkdist_clk -clock_fall -min 0 \ + $clkdist_spi_in + + +############################################################################## +# Output delays for readback path +############################################################################## +set_output_delay -clock sclk_ps -max $output_delay_max_ps $ps_rb_out +set_output_delay -clock sclk_ps -min $output_delay_min_ps $ps_rb_out + +set_output_delay -clock sclk_pl -max $output_delay_max_pl $pl_rb_out +set_output_delay -clock sclk_pl -min $output_delay_min_pl $pl_rb_out + +############################################################################## +# GPIOs and DSAs +# Outputs that aren't timing-critical +############################################################################## +set gpos [get_ports {Tx_Sw* + Rx_LO_* + Tx_LO_* + Rx_Sw* + Rx_Demod_* + Tx_HB_LB_Select + Rx_HB_LB_Select + Cal_iso_Sw_Ctrl + LODIST_Bd_IO1 + }] + +# Inputs that aren't timing-critical +set gpis [get_ports {LO_SYNC + CPLD_ATR_TX_18 + CPLD_ATR_RX_18 + DAC_Alarm_18 + CLKDIST_Status* + LODIST_Bd_IO1 + }] + +# DSAs (special skew needs) +# RxLO_DSA_LE used for skew basis +set dsas [get_ports {Tx_DSA* + Rx_DSA* + TxLO_DSA* + LO_DSA* + }] + +# Just do false paths for gpios +set_false_path -to $gpos +set_false_path -from $gpis + +# Unused +set_false_path -to $lodist_spi_out + +# DSA skew timing +# Earlier, we created a "clock" for one of the DSA latch enable signals +# Use set_output_delay to constrain skew around the latch enable +# set_multicycle_path is used to make latch clock = launch clock for setup +# Constrain skew to 8 ns -- controller nominally does 120 ns minimum between +# edges, and 100 ns is the DSA's requirement for setup/hold +set dsa_skew 8.0 +set_output_delay -clock dsa_clk -max -$dsa_skew $dsas +set_output_delay -clock dsa_clk -min $dsa_skew $dsas +set_multicycle_path -start -setup 0 -to $dsas + +set_max_delay -from [get_ports CPLD_ATR_TX_18] \ + -to [get_ports {Tx_Sw1_Ctrl_1 Tx_Sw1_Ctrl_2}] 10.0 + diff --git a/fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_top.v b/fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_top.v new file mode 100644 index 000000000..1d0d640da --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_top.v @@ -0,0 +1,605 @@ +/////////////////////////////////////////////////////////////////// +// +// Copyright 2018 Ettus Research, A National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// +// Module: rhodium_top +////////////////////////////////////////////////////////////////////// + +`default_nettype none + +module rhodium_top( + +input [13:0] usrp_io, // bank 1A, 1B and 6 + +input ADC_A_Over_Range_18, input ADC_B_Over_Range_18, // bank 1A + +// bank 6 +input CPLD_PS_SPI_LE_25, +input CPLD_PS_SPI_CLK_25, +input CPLD_PS_ADDR0_25, +input CPLD_PS_ADDR1_25, +input CPLD_PS_SPI_SDI_25, +output reg CPLD_PS_SPI_SDO_25, +output PHDAC_SPI_CS_L, +output PHDAC_SPI_SCLK, +output PHDAC_SPI_SDI, +input LO_SYNC, + +// bank 2 +output reg CPLD_PL_SPI_SDO_18, +input CPLD_PL_SPI_LE_18, +input CPLD_PL_SPI_SCLK_18, +input CPLD_PL_SPI_SDI_18, +input CPLD_PL_SPI_ADDR0_18, +input CPLD_PL_SPI_ADDR1_18, +input CPLD_PL_SPI_ADDR2_18, +// NOTE: TxRx front-end switches are driven direct from the motherboard, so these ATR +// lines have no function at this time. +input CPLD_ATR_TX_18, +input CPLD_ATR_RX_18, +output ADC_SPI_CS_L_18, +output ADC_SPI_SCLK_18, +inout ADC_SPI_SDIO_18, +output DAC_SPI_CS_L_18, +output DAC_SPI_SCLK_18, +inout DAC_SPI_SDIO_18, +input DAC_Alarm_18, // TODO: drive to gpio? + +// bank 3 + +output CLKDIST_SPI_CS_L, +output CLKDIST_SPI_SCLK, +inout CLKDIST_SPI_SDIO, +output Tx_DSA_C1, +output Tx_DSA_C2, +output Tx_DSA_C4, +output Tx_DSA_C8, +output Tx_DSA_C16, +output Tx_DSA1_LE, +output Tx_DSA2_LE, +output Tx_Sw1_Ctrl_1, +output Tx_Sw1_Ctrl_2, +output Tx_Sw2_Ctrl_1, +output Tx_Sw2_Ctrl_2, +output Tx_Sw3_Ctrl_1, +output Tx_Sw3_Ctrl_2, +output Tx_Sw3_Ctrl_3, +output Tx_Sw3_Ctrl_4, +output Rx_LO_Input_Select, +output Rx_LO_Filter_Sw_1, +output Rx_LO_Filter_Sw_2, +output Tx_LO_Input_Select, +output Tx_LO_Filter_Sw_1, +output Tx_LO_Filter_Sw_2, +input CLKDIST_Status_LD1, +input CLKDIST_Status_LD2, +input LOSYNTH_RX_MUXOUT, +input LOSYNTH_TX_MUXOUT, + +// bank 8 +output LO_SPI_SCLK, // fans out to both rx & tx synths +output LO_SPI_SDI, +output LO_TX_CS_L, +output LO_RX_CS_L, +output Rx_Sw1_Ctrl_1, +output Rx_Sw1_Ctrl_2, +output Rx_DSA_C1, +output Rx_DSA_C2, +output Rx_DSA_C4, +output Rx_DSA_C8, +output Rx_DSA_C16, +output Rx_DSA1_LE, +output Rx_DSA2_LE, +output Rx_Sw2_Ctrl, +output Rx_Sw3_Ctrl_1, +output Rx_Sw3_Ctrl_2, +output Rx_Sw4_Ctrl_1, +output Rx_Sw4_Ctrl_2, +output Rx_Sw4_Ctrl_3, +output Rx_Sw4_Ctrl_4, +output Rx_Demod_ADJ_1, +output Rx_Demod_ADJ_2, + +// bank 5 +output LO_DSA_C1, +output LO_DSA_C2, +output LO_DSA_C4, +output LO_DSA_C8, +output LO_DSA_C16, +output RxLO_DSA_LE, +output TxLO_DSA_LE, +output LODIST_Bd_SPI_CS_L, +output LODIST_Bd_SPI_SDI, +output LODIST_Bd_SPI_SCLK, +inout LODIST_Bd_IO1, +output Tx_Sw5_Ctrl_1, +output Tx_Sw5_Ctrl_2, +output Rx_Sw6_Ctrl_1, +output Rx_Sw6_Ctrl_2, + +output Tx_HB_LB_Select, +output Rx_HB_LB_Select, +output Cal_iso_Sw_Ctrl + + +); + +/* PS SPI */ + +localparam GIT_HASH = 36'h`GIT_HASH; +localparam PROD_SIGNATURE = 16'h0045; // Product signature (Rhodium atomic number in BCD) +localparam REVISION_MINOR = 16'h0002; +localparam REVISION_MAJOR = 16'h0004; +localparam CPLD_BUILD_LSB = GIT_HASH[15:0]; // Build code LSB +localparam CPLD_BUILD_MSB = GIT_HASH[31:16]; // Build code MSB +localparam PSADDR_SIGNATURE = 3'd0; +localparam PSADDR_REV_MINOR = 3'd1; // Minor version register +localparam PSADDR_REV_MAJOR = 3'd2; // Major version register +localparam PSADDR_BUILD_LSB = 3'd3; +localparam PSADDR_BUILD_MSB = 3'd4; +localparam PSADDR_SCRATCH = 3'd5; // scratchpad register +localparam PSADDR_GAIN_SEL = 3'd6; // band select for gain table loader +localparam PSADDR_DAC_ALARM = 3'd7; // DAC alarm pin register + +// Sub-device selection for PS SPI +localparam PS_CPLD_REGS = 2'b00; +localparam GAIN_TABLE_RX = 2'b01; +localparam GAIN_TABLE_TX = 2'b10; +localparam GAIN_TABLE_LO = 2'b11; + +// Setting to put TX SW1 in isolation mode +localparam [1:0] TX_SW1_TERM = 2'b11; + +wire clkdis_cs_b = CPLD_PS_SPI_LE_25; +wire cpld_ps_cs_b = CPLD_PS_ADDR0_25; +wire phdac_cs_b = CPLD_PS_ADDR1_25; +wire adc_cs_b = usrp_io[12]; +wire dac_cs_b = usrp_io[13]; + +// CPLD PS SPI format (left-most bit first): +// {table_sel[1:0], rsvd, reg_addr[3:0], rnw, data[15:0]} +wire [1:0] cpld_ps_table_sel; +wire [6:0] cpld_ps_spi_addr; +wire cpld_ps_spi_rnw; +reg [7:0] cpld_ps_spi_cmd; +reg [15:0] cpld_ps_spi_rdata; +reg [14:0] cpld_ps_spi_wdata; +reg cpld_ps_spi_sdo; +reg [4:0] cpld_ps_cnt; + +assign {cpld_ps_spi_addr, cpld_ps_spi_rnw} = cpld_ps_spi_cmd; + +// CPLD registers +reg [15:0] spad; +reg [15:0] gain_load_sel; + +// Double sync. the DAC ALARM pin (async). +reg dac_alarm_ms, dac_alarm = 0; +always @(posedge CPLD_PS_SPI_CLK_25) begin + {dac_alarm, dac_alarm_ms} <= {dac_alarm_ms, DAC_Alarm_18}; +end + +wire rx_gain_load_tbl_sel; +wire rx_gain_load_miso; +wire rx_gain_ctrl_tbl_sel; +wire rx_gain_ctrl_miso; +wire tx_gain_load_tbl_sel; +wire tx_gain_load_miso; +wire tx_gain_ctrl_tbl_sel; +wire tx_gain_ctrl_miso; +wire lo_gain_ctrl_miso; + +assign rx_gain_load_tbl_sel = gain_load_sel[0]; +assign tx_gain_load_tbl_sel = gain_load_sel[8]; + +always @(posedge CPLD_PS_SPI_CLK_25 or posedge cpld_ps_cs_b) +begin + if (cpld_ps_cs_b) begin + cpld_ps_cnt <= 5'd0; + end else if (!cpld_ps_cs_b) begin + if (cpld_ps_cnt < 8) begin // Address / command + cpld_ps_spi_cmd <= {cpld_ps_spi_cmd[6:0], CPLD_PS_SPI_SDI_25}; + cpld_ps_cnt <= cpld_ps_cnt + 5'd1; + end else if (cpld_ps_cnt < 23) begin // Shift in write data + cpld_ps_spi_wdata <= {cpld_ps_spi_wdata[13:0], CPLD_PS_SPI_SDI_25}; + cpld_ps_cnt <= cpld_ps_cnt + 5'd1; + end else if (!cpld_ps_spi_rnw && cpld_ps_cnt == 23 && cpld_ps_spi_addr[6:5] == PS_CPLD_REGS) begin // Write + case (cpld_ps_spi_addr[2:0]) + PSADDR_SIGNATURE: ; + PSADDR_REV_MINOR: ; + PSADDR_REV_MAJOR: ; + PSADDR_BUILD_LSB: ; + PSADDR_BUILD_MSB: ; + PSADDR_SCRATCH: spad <= {cpld_ps_spi_wdata, CPLD_PS_SPI_SDI_25}; + PSADDR_GAIN_SEL: gain_load_sel <= {cpld_ps_spi_wdata, CPLD_PS_SPI_SDI_25}; + endcase + end + if (cpld_ps_cnt == 7) begin // Set up read one cycle earlier + case (cpld_ps_spi_cmd[2:0]) + + PSADDR_SIGNATURE: cpld_ps_spi_rdata <= PROD_SIGNATURE; + PSADDR_REV_MINOR: cpld_ps_spi_rdata <= REVISION_MINOR; + PSADDR_REV_MAJOR: cpld_ps_spi_rdata <= REVISION_MAJOR; + PSADDR_BUILD_LSB: cpld_ps_spi_rdata <= CPLD_BUILD_LSB; + PSADDR_BUILD_MSB: cpld_ps_spi_rdata <= CPLD_BUILD_MSB; + PSADDR_SCRATCH: cpld_ps_spi_rdata <= spad; + PSADDR_GAIN_SEL: cpld_ps_spi_rdata <= gain_load_sel; + PSADDR_DAC_ALARM: cpld_ps_spi_rdata <= {15'b0, dac_alarm}; + endcase + end else begin + cpld_ps_spi_rdata <= {cpld_ps_spi_rdata[14:0], 1'b1}; + end + end +end + +always @(negedge CPLD_PS_SPI_CLK_25) +begin + cpld_ps_spi_sdo <= cpld_ps_spi_rdata[15]; // Shift out on negative edge +end + +// CLKDIST 3-wire to 4-wire +reg [4:0] clkdis_cnt; +reg clkdis_rd_pre, clkdis_rd, clkdis_sdio_t; + +always @(posedge CPLD_PS_SPI_CLK_25 or posedge clkdis_cs_b) +begin + if (clkdis_cs_b) begin + clkdis_cnt <= 5'd0; + clkdis_rd <= 1'b0; + clkdis_rd_pre <= 1'b0; + end else if (!clkdis_cs_b) begin + if (clkdis_cnt < 23) + clkdis_cnt <= clkdis_cnt + 5'd1; + + if (clkdis_cnt == 5'd0) // Check if read + clkdis_rd_pre <= CPLD_PS_SPI_SDI_25; + + if (clkdis_cnt == 5'd15) + clkdis_rd <= clkdis_rd_pre; + end +end + +always @(negedge CPLD_PS_SPI_CLK_25 or posedge clkdis_cs_b) +begin + if (clkdis_cs_b) begin + clkdis_sdio_t <= 1'b0; + end else begin + clkdis_sdio_t <= clkdis_rd; + end +end + +// ADC 3-wire to 4-wire +reg [4:0] adc_cnt; +reg adc_rd_pre, adc_rd, adc_sdio_t; + +always @(posedge CPLD_PS_SPI_CLK_25 or posedge adc_cs_b) +begin + if (adc_cs_b) begin + adc_cnt <= 5'd0; + adc_rd <= 1'b0; + adc_rd_pre <= 1'b0; + end else if (!adc_cs_b) begin + if (adc_cnt < 23) + adc_cnt <= adc_cnt + 5'd1; + + if (adc_cnt == 5'd0) // Check if read + adc_rd_pre <= CPLD_PS_SPI_SDI_25; + + if (adc_cnt == 5'd15) + adc_rd <= adc_rd_pre; + end +end + +always @(negedge CPLD_PS_SPI_CLK_25 or posedge adc_cs_b) +begin + if (adc_cs_b) begin + adc_sdio_t <= 1'b0; + end else begin + adc_sdio_t <= adc_rd; + end +end + +// DAC 3-wire to 4-wire +reg [4:0] dac_cnt; +reg dac_rd_pre, dac_rd, dac_sdio_t; + +always @(posedge CPLD_PS_SPI_CLK_25 or posedge dac_cs_b) +begin + if (dac_cs_b) begin + dac_cnt <= 5'd0; + dac_rd <= 1'b0; + dac_rd_pre <= 1'b0; + end else if (!dac_cs_b) begin + if (dac_cnt < 23) + dac_cnt <= dac_cnt + 5'd1; + + if (dac_cnt == 5'd0) // Check if read + dac_rd_pre <= CPLD_PS_SPI_SDI_25; + + if (dac_cnt == 5'd7) + dac_rd <= dac_rd_pre; + end +end + +always @(negedge CPLD_PS_SPI_CLK_25 or posedge dac_cs_b) +begin + if (dac_cs_b) begin + dac_sdio_t <= 1'b0; + end else begin + dac_sdio_t <= dac_rd; + end +end + +// multiplexed slave device SPI ports +wire phdac_sck, phdac_sdi; +wire clkdis_sck, adc_sck, dac_sck; +assign clkdis_sck = (clkdis_cs_b == 1'b0) ? CPLD_PS_SPI_CLK_25 : 1'b0; + +assign CLKDIST_SPI_CS_L = clkdis_cs_b; +assign CLKDIST_SPI_SCLK = clkdis_sck; + +assign adc_sck = !adc_cs_b ? CPLD_PS_SPI_CLK_25 : 1'b0; +assign dac_sck = !dac_cs_b ? CPLD_PS_SPI_CLK_25 : 1'b0; + +assign ADC_SPI_CS_L_18 = adc_cs_b; +assign ADC_SPI_SCLK_18 = adc_sck; + +assign DAC_SPI_CS_L_18 = dac_cs_b; +assign DAC_SPI_SCLK_18 = dac_sck; + +assign CLKDIST_SPI_SDIO = (!clkdis_sdio_t && !clkdis_cs_b) ? CPLD_PS_SPI_SDI_25 : 1'bz ; +assign ADC_SPI_SDIO_18 = (!adc_sdio_t && !adc_cs_b) ? CPLD_PS_SPI_SDI_25 : 1'bz ; +assign DAC_SPI_SDIO_18 = (!dac_sdio_t && !dac_cs_b) ? CPLD_PS_SPI_SDI_25 : 1'bz ; + +always @(*) +begin + CPLD_PS_SPI_SDO_25 = 1'b1; + case ({cpld_ps_cs_b, clkdis_cs_b, adc_cs_b, dac_cs_b}) + 4'b0111: begin + case (cpld_ps_spi_addr[6:5]) + PS_CPLD_REGS : CPLD_PS_SPI_SDO_25 = cpld_ps_spi_sdo; + GAIN_TABLE_RX: CPLD_PS_SPI_SDO_25 = rx_gain_load_miso; + GAIN_TABLE_TX: CPLD_PS_SPI_SDO_25 = tx_gain_load_miso; + GAIN_TABLE_LO: CPLD_PS_SPI_SDO_25 = 1'b1; + endcase + end + 4'b1011: CPLD_PS_SPI_SDO_25 = CLKDIST_SPI_SDIO; + 4'b1101: CPLD_PS_SPI_SDO_25 = ADC_SPI_SDIO_18; + 4'b1110: CPLD_PS_SPI_SDO_25 = DAC_SPI_SDIO_18; + default: ; + endcase +end + +// note: no readback from PHDAC +assign phdac_sck = (phdac_cs_b == 1'b0) ? CPLD_PS_SPI_CLK_25 : 1'b0; +assign phdac_sdi = (phdac_cs_b == 1'b0) ? CPLD_PS_SPI_SDI_25 : 1'b1; + + +assign PHDAC_SPI_SCLK = phdac_sck; +assign PHDAC_SPI_CS_L = phdac_cs_b; +assign PHDAC_SPI_SDI = phdac_sdi; + + +/* PL SPI */ +// CPLD PL SPI format (left-most bit first): +// {table_sel[1:0], reg_addr[4:0], rnw, data[15:0]} + +//TXLO, RXLO, LODIS, CPLD +localparam PLADDR_SCRATCH = 4'b0101; // scratchpad register +localparam PLADDR_RXBS = 4'b0110; +localparam PLADDR_TXBS = 4'b0111; +localparam PLADDR_RFCTRL = 4'b1000; +localparam PL_CPLD_REGS = 2'b00; + +// CPLD PL registers +reg [15:0] rxbs = 'h0; +reg [15:0] txbs = 'h0; +reg [15:0] rfctrl = 'h0; + +// register address on the falling edge of chip-select +wire txlo_cs_b = CPLD_PL_SPI_LE_18; +wire rxlo_cs_b = CPLD_PL_SPI_ADDR1_18; +wire lodis_cs_b = CPLD_PL_SPI_ADDR2_18; +wire cpld_pl_cs_b = CPLD_PL_SPI_ADDR0_18; + +wire cpld_pl_spi_rnw; +wire [6:0] cpld_pl_spi_addr; +reg [7:0] cpld_pl_spi_cmd; +reg [15:0] cpld_pl_spi_rdata; +reg [14:0] cpld_pl_spi_wdata; +reg cpld_pl_spi_sdo; +reg [4:0] cpld_pl_cnt; + +assign {cpld_pl_spi_addr, cpld_pl_spi_rnw} = cpld_pl_spi_cmd; + +reg [15:0] pl_spad; + +always @(posedge CPLD_PL_SPI_SCLK_18 or posedge cpld_pl_cs_b) +begin + if (cpld_pl_cs_b) begin + cpld_pl_cnt <= 5'd0; + end else if (!cpld_pl_cs_b) begin + if (cpld_pl_cnt < 8) begin // Address / command + cpld_pl_spi_cmd <= {cpld_pl_spi_cmd[6:0], CPLD_PL_SPI_SDI_18}; + cpld_pl_cnt <= cpld_pl_cnt + 5'd1; + end else if (cpld_pl_cnt < 23) begin // Shift in write data + cpld_pl_spi_wdata <= {cpld_pl_spi_wdata[13:0], CPLD_PL_SPI_SDI_18}; + cpld_pl_cnt <= cpld_pl_cnt + 5'd1; + end else if (!cpld_pl_spi_rnw && cpld_pl_cnt == 23 && cpld_pl_spi_addr[6:5] == PL_CPLD_REGS) begin // Write + case (cpld_pl_spi_addr[3:0]) + PLADDR_SCRATCH: pl_spad <= {cpld_pl_spi_wdata, CPLD_PL_SPI_SDI_18}; + PLADDR_RXBS: rxbs <= {cpld_pl_spi_wdata, CPLD_PL_SPI_SDI_18}; + PLADDR_TXBS: txbs <= {cpld_pl_spi_wdata, CPLD_PL_SPI_SDI_18}; + PLADDR_RFCTRL: rfctrl <= {cpld_pl_spi_wdata, CPLD_PL_SPI_SDI_18}; + endcase + end + if (cpld_pl_cnt == 7) begin // Set up read one cycle earlier + case (cpld_pl_spi_cmd[3:0]) + PLADDR_SCRATCH: cpld_pl_spi_rdata <= pl_spad; + PLADDR_RXBS: cpld_pl_spi_rdata <= rxbs; + PLADDR_TXBS: cpld_pl_spi_rdata <= txbs; + PLADDR_RFCTRL: cpld_pl_spi_rdata <= rfctrl; + endcase + end else begin + cpld_pl_spi_rdata <= {cpld_pl_spi_rdata[14:0], 1'b1}; + end + end +end + +always @(negedge CPLD_PL_SPI_SCLK_18) +begin + cpld_pl_spi_sdo <= cpld_pl_spi_rdata[15]; // Shift out on negative edge +end + +// multiplexed slave device SPI ports, names aliased to protect the innocent +wire lo_sck, lodis_sck; +wire lo_sdi, lodis_sdi; +// Note: lo_sck and lo_sdi -> fan out to both rxlo and txlo synths + +assign { LO_TX_CS_L, LO_RX_CS_L } = { txlo_cs_b, rxlo_cs_b}; +assign LO_SPI_SCLK = lo_sck; +assign LO_SPI_SDI = lo_sdi; + + +assign LODIST_Bd_SPI_CS_L = lodis_cs_b; +assign LODIST_Bd_SPI_SDI = lodis_sdi; +assign LODIST_Bd_SPI_SCLK = lodis_sck; + + +assign lodis_sck = !lodis_cs_b ? CPLD_PL_SPI_SCLK_18 : 1'b0; +assign lodis_sdi = !lodis_cs_b ? CPLD_PL_SPI_SDI_18 : 1'b1; + +assign { lo_sck, lo_sdi } = (!txlo_cs_b | !rxlo_cs_b) ? {CPLD_PL_SPI_SCLK_18,CPLD_PL_SPI_SDI_18} : 2'b01; + + +always @(*) +begin + CPLD_PL_SPI_SDO_18 = 1'bz; + case ({cpld_pl_cs_b, txlo_cs_b, rxlo_cs_b}) + 3'b110: CPLD_PL_SPI_SDO_18 = LOSYNTH_RX_MUXOUT; + 3'b101: CPLD_PL_SPI_SDO_18 = LOSYNTH_TX_MUXOUT; + 3'b011: begin + case (cpld_pl_spi_addr[6:5]) + PL_CPLD_REGS : CPLD_PL_SPI_SDO_18 = cpld_pl_spi_sdo; + GAIN_TABLE_RX: CPLD_PL_SPI_SDO_18 = rx_gain_ctrl_miso; + GAIN_TABLE_TX: CPLD_PL_SPI_SDO_18 = tx_gain_ctrl_miso; + GAIN_TABLE_LO: CPLD_PL_SPI_SDO_18 = lo_gain_ctrl_miso; + endcase + end + default: ; + endcase +end + +assign rx_gain_ctrl_tbl_sel = rxbs[12]; +assign { Rx_Sw6_Ctrl_2, + Rx_Sw6_Ctrl_1, + Rx_Sw4_Ctrl_4, + Rx_Sw4_Ctrl_3, + Rx_Sw4_Ctrl_2, + Rx_Sw4_Ctrl_1, + Rx_Sw3_Ctrl_2, + Rx_Sw3_Ctrl_1, + Rx_Sw2_Ctrl, + Rx_Sw1_Ctrl_2, + Rx_Sw1_Ctrl_1 } = { rxbs[11:1] }; + +assign tx_gain_ctrl_tbl_sel = txbs[12]; +assign { Tx_Sw5_Ctrl_2, + Tx_Sw5_Ctrl_1, + Tx_Sw3_Ctrl_4, + Tx_Sw3_Ctrl_3, + Tx_Sw3_Ctrl_2, + Tx_Sw3_Ctrl_1, + Tx_Sw2_Ctrl_2, + Tx_Sw2_Ctrl_1} = { txbs[11:4] }; + +// Terminate TX when idle +assign {Tx_Sw1_Ctrl_2, Tx_Sw1_Ctrl_1} = CPLD_ATR_TX_18 ? txbs[3:2] : TX_SW1_TERM; + +assign { Rx_LO_Filter_Sw_2, + Rx_LO_Filter_Sw_1, + Tx_LO_Filter_Sw_2, + Tx_LO_Filter_Sw_1, + Rx_Demod_ADJ_1, + Rx_Demod_ADJ_2, + Rx_LO_Input_Select } = rfctrl[15:9]; + +assign { Rx_HB_LB_Select, + Tx_LO_Input_Select } = rfctrl[7:6]; + +assign { Tx_HB_LB_Select, + Cal_iso_Sw_Ctrl } + = { rfctrl[4:3] }; + + +// RX Gain Table +wire [4:0] rx_dsa; + +rhodium_gain_ctrl #( + .TABLE_NUM(GAIN_TABLE_RX) +) rx_gain_table ( + .load_table_sel(rx_gain_load_tbl_sel), + .load_sck(CPLD_PS_SPI_CLK_25), + .load_csb(cpld_ps_cs_b), + .load_mosi(CPLD_PS_SPI_SDI_25), + .load_miso(rx_gain_load_miso), + .ctrl_table_sel(rx_gain_ctrl_tbl_sel), + .ctrl_sck(CPLD_PL_SPI_SCLK_18), + .ctrl_csb(cpld_pl_cs_b), + .ctrl_mosi(CPLD_PL_SPI_SDI_18), + .ctrl_miso(rx_gain_ctrl_miso), + .dsa(rx_dsa), + .dsa1_le(Rx_DSA1_LE), + .dsa2_le(Rx_DSA2_LE) +); + +// TX Gain Table +wire [4:0] tx_dsa; + +rhodium_gain_ctrl #( + .TABLE_NUM(GAIN_TABLE_TX) +) tx_gain_table ( + .load_table_sel(tx_gain_load_tbl_sel), + .load_sck(CPLD_PS_SPI_CLK_25), + .load_csb(cpld_ps_cs_b), + .load_mosi(CPLD_PS_SPI_SDI_25), + .load_miso(tx_gain_load_miso), + .ctrl_table_sel(tx_gain_ctrl_tbl_sel), + .ctrl_sck(CPLD_PL_SPI_SCLK_18), + .ctrl_csb(cpld_pl_cs_b), + .ctrl_mosi(CPLD_PL_SPI_SDI_18), + .ctrl_miso(tx_gain_ctrl_miso), + .dsa(tx_dsa), + .dsa1_le(Tx_DSA1_LE), + .dsa2_le(Tx_DSA2_LE) +); + +// LO Gain Table +wire [4:0] lo_dsa; + +rhodium_lo_gain #( + .TABLE_NUM(GAIN_TABLE_LO) +) lo_gain_table ( + .ctrl_sck(CPLD_PL_SPI_SCLK_18), + .ctrl_csb(cpld_pl_cs_b), + .ctrl_mosi(CPLD_PL_SPI_SDI_18), + .ctrl_miso(lo_gain_ctrl_miso), + .dsa(lo_dsa), + .dsa1_le(RxLO_DSA_LE), + .dsa2_le(TxLO_DSA_LE) +); + +// Rx data shared by DSA1, DSA2 +assign { Rx_DSA_C16, Rx_DSA_C8, Rx_DSA_C4, Rx_DSA_C2, Rx_DSA_C1 } = rx_dsa; + +// Tx data shared by DSA1, DSA2 +assign { Tx_DSA_C16, Tx_DSA_C8, Tx_DSA_C4, Tx_DSA_C2, Tx_DSA_C1 } = tx_dsa; + +// data shared by both tx and rx lo DSAs +assign { LO_DSA_C16, LO_DSA_C8, LO_DSA_C4, LO_DSA_C2, LO_DSA_C1 } = lo_dsa; + +endmodule +`default_nettype wire + diff --git a/fpga/usrp3/top/n3xx/dboards/rh/db_clocks.xdc b/fpga/usrp3/top/n3xx/dboards/rh/db_clocks.xdc new file mode 100644 index 000000000..e78342849 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/rh/db_clocks.xdc @@ -0,0 +1,118 @@ +# +# Copyright 2017 Ettus Research, A National Instruments Company +# SPDX-License-Identifier: LGPL-3.0 +# +# Timing analysis is performed in "usrp3/top/n3xx/dboards/rh/doc/rh_timing.xlsx". +# See this spreadsheet for more details and explanations. + +#******************************************************************************* +## Daughterboard Clocks +# +# 122.88, 200, 245.76 and 250 MHz Sample Rates are allowable with 2:1/1:2 DSP and +# 2 samples/cycle arriving at the FPGA: +# +# <-- 2:1/1:2 --> +# | Supported | Sample rate | FPGA Clk | +# |sample rates | at JESD core | Frequency | +# | (MSPS) | (MSPS) | (MHz) | +# |-------------|--------------|-----------| +# | 122.88 | 491.52 | 245.76 | (uses DUC/DDC) +# | 200.00 | 400.00 | 200.00 | +# | 245.76 | 491.52 | 245.76 | +# | 250.00 | 500.00 | 250.00 | +# +# Therefore, supported sample clocks are: 122.88, 200, 245.76 and 250 MHz. +# Constrain the paths to the max rate to support all rates in a single FPGA image. +set SAMPLE_CLK_PERIOD 4.00 +create_clock -name fpga_clk_a -period $SAMPLE_CLK_PERIOD [get_ports DBA_FPGA_CLK_P] +create_clock -name fpga_clk_b -period $SAMPLE_CLK_PERIOD [get_ports DBB_FPGA_CLK_P] +create_clock -name mgt_clk_dba -period $SAMPLE_CLK_PERIOD [get_ports DBA_MGTCLK_P] +create_clock -name mgt_clk_dbb -period $SAMPLE_CLK_PERIOD [get_ports DBB_MGTCLK_P] + +# The Radio Clocks coming from the DBs are synchronized together (at the converters) to +# a typical value of less than 100ps. To give ourselves and Vivado some margin, we claim +# here that the DB-B Radio Clock can arrive 500ps before or after the DB-A clock at +# the FPGA (note that the trace lengths of the Radio Clocks coming from the DBs to the +# FPGA are about 0.5" different, thereby incurring ~80ps of additional skew at the FPGA). +# There is one spot in the FPGA where we cross domains between the DB-A and +# DB-B clock, so we must ensure that Vivado can analyze that path safely. +set FPGA_CLK_EARLY -0.5 +set FPGA_CLK_LATE 0.5 +set_clock_latency -source -early $FPGA_CLK_EARLY [get_clocks fpga_clk_b] +set_clock_latency -source -late $FPGA_CLK_LATE [get_clocks fpga_clk_b] + +# Virtual clocks for constraining I/O (used below) +create_clock -name fpga_clk_a_v -period $SAMPLE_CLK_PERIOD +create_clock -name fpga_clk_b_v -period $SAMPLE_CLK_PERIOD + +# The set_clock_latency constraints set on fpga_clk_b are problematic when used with +# I/O timing, since the analyzer gives us a double-hit on the latency. One workaround +# (used here) is to simply swap the early and late times for the virtual clock so that +# it cancels out the source latency during analysis. D. Jepson tested this by setting +# the early and late numbers to zero and then their actual value, running timing reports +# on each. The slack report matches for both cases, showing that the reversed early/late +# numbers on the virtual clock zero out the latency effects on the actual clock. +# +# Note this is not a problem for the fpga_clk_a, since no latency is added. So only apply +# it to fpga_clk_b_v. +set_clock_latency -source -early $FPGA_CLK_LATE [get_clocks fpga_clk_b_v] +set_clock_latency -source -late $FPGA_CLK_EARLY [get_clocks fpga_clk_b_v] + + + +#******************************************************************************* +## Aliases for auto-generated clocks + +create_generated_clock -name radio_clk_fb [get_pins {dba_core/RadioClockingx/RadioClkMmcm/CLKFBOUT}] +create_generated_clock -name radio_clk [get_pins {dba_core/RadioClockingx/RadioClkMmcm/CLKOUT0}] +create_generated_clock -name radio_clk_2x [get_pins {dba_core/RadioClockingx/RadioClkMmcm/CLKOUT1}] + +create_generated_clock -name radio_clk_b_fb [get_pins {dbb_core/RadioClockingx/RadioClkMmcm/CLKFBOUT}] +create_generated_clock -name radio_clk_b [get_pins {dbb_core/RadioClockingx/RadioClkMmcm/CLKOUT0}] +create_generated_clock -name radio_clk_b_2x [get_pins {dbb_core/RadioClockingx/RadioClkMmcm/CLKOUT1}] + + + +#******************************************************************************* +## Generated clocks for output busses to the daughterboard +# +# These clock definitions need to come above the set_clock_groups commands below to work! + +# Define clocks on the PL SPI clock output pins for both DBs. Actual divider values are +# set by SW at run-time. Current divider value is 125 based on what radio clock +# rate is set. +# For the CPLD SPI endpoint alone, we need it to run at ~25 MHz (writes only), this means +# that at times, the PL SPI will have its divider set to 10 (radio_clock = 250 MHz) or 8 +# (radio_clock = 200 MHz). +# The readback clock is lower (~10 MHz), so create a separate clock for it. +# Use readback divide value of 24 for an even divider (and some overconstraining). +set PL_SPI_DIVIDE_VAL 10 +set PL_SPI_RB_DIVIDE_VAL 24 +set PL_SPI_CLK_A [get_ports DBA_CPLD_PL_SPI_SCLK] +create_generated_clock -name pl_spi_clk_a \ + -source [get_pins [all_fanin -flat -only_cells -startpoints_only $PL_SPI_CLK_A]/C] \ + -divide_by $PL_SPI_DIVIDE_VAL $PL_SPI_CLK_A +create_generated_clock -name pl_spi_rb_clk_a \ + -master_clock [get_clocks radio_clk] \ + -source [get_pins [all_fanin -flat -only_cells -startpoints_only $PL_SPI_CLK_A]/C] \ + -divide_by $PL_SPI_RB_DIVIDE_VAL -add $PL_SPI_CLK_A +set PL_SPI_CLK_B [get_ports DBB_CPLD_PL_SPI_SCLK] +create_generated_clock -name pl_spi_clk_b \ + -source [get_pins [all_fanin -flat -only_cells -startpoints_only $PL_SPI_CLK_B]/C] \ + -divide_by $PL_SPI_DIVIDE_VAL $PL_SPI_CLK_B +create_generated_clock -name pl_spi_rb_clk_b \ + -master_clock [get_clocks radio_clk] \ + -source [get_pins [all_fanin -flat -only_cells -startpoints_only $PL_SPI_CLK_B]/C] \ + -divide_by $PL_SPI_RB_DIVIDE_VAL -add $PL_SPI_CLK_B + +#******************************************************************************* +## JTAG +set DB_JTAG_DIVISOR 4 +create_generated_clock -name dba_jtag_tck -divide_by $DB_JTAG_DIVISOR \ + -source [get_pins {inst_n310_ps/jtag_0/U0/bitq_ctrl/bitq_state_reg[1]/C}] \ + [get_ports DBA_CPLD_JTAG_TCK] + +create_generated_clock -name dbb_jtag_tck -divide_by $DB_JTAG_DIVISOR \ + -source [get_pins {inst_n310_ps/jtag_1/U0/bitq_ctrl/bitq_state_reg[1]/C}] \ + [get_ports DBB_CPLD_JTAG_TCK] + diff --git a/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/ClockingRegs.vhd b/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/ClockingRegs.vhd new file mode 100644 index 000000000..52c68ab4a --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/ClockingRegs.vhd @@ -0,0 +1,345 @@ +------------------------------------------------------------------------------- +-- +-- File: ClockingRegs.vhd +-- Author: Daniel Jepson; mods by Humberto Jimenez +-- Original Project: N310; N32x +-- Date: 17 March 2016 +-- +------------------------------------------------------------------------------- +-- Copyright 2016-2018 Ettus Research, A National Instruments Company +-- SPDX-License-Identifier: LGPL-3.0 +------------------------------------------------------------------------------- +-- +-- Purpose: +-- +-- Register access to the control/status bits and interfaces for the +-- RadioClocking module. +-- +-- XML register definition is included below the module. +-- +------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + +library work; + use work.PkgClockingRegMap.all; + use work.PkgRegs.all; + + +entity ClockingRegs is + port( + -- Async reset. Can be tied low if desired. + aReset : in boolean; + -- Sync reset... used in the same places as the async one. + bReset : in boolean; + -- Register Bus Clock -- this module connects the BusClk to PsClk, so it's limited + -- to 200 MHz! + BusClk : in std_logic; + + bRegPortOut : out RegPortOut_t; + bRegPortIn : in RegPortIn_t; + + -- Phase shift interface to the RadioClkMmcm. + -- There is a reset crossing here between the MMCM reset and aReset. The outgoing + -- crossing is safe because (a) the enable signal driven to the MMCM is a strobe-only + -- signal and (b) this interface should only be used when the MMCM is not in reset + -- (SW waits for the MMCM to be out of reset and locked before using this interface). + -- The only input signal, pPsDone, is double-synced in this file before being used. + -- This is OK (even though it is a strobe signal) because there is only a reset + -- crossing and not a clock domain crossing. + pPsInc : out std_logic; + pPsEn : out std_logic; + pPsDone : in std_logic; + + -- PsClk is driven directly by BusClk, so p = b in the logic below! + PsClk : out std_logic; + + -- Sync reset strobes from the register bus to the RadioClkMmcm. + bRadioClkMmcmReset : out std_logic; + -- Status of RadioClk MMCM lock to register bus. + aRadioClksValid : in std_logic; + + bRadioClk1xEnabled : out std_logic; + bRadioClk2xEnabled : out std_logic; + bRadioClk3xEnabled : out std_logic; + + bJesdRefClkPresent : in std_logic + ); +end ClockingRegs; + + +architecture RTL of ClockingRegs is + + --vhook_sigstart + --vhook_sigend + + signal bRadioClkMmcmResetInt : std_logic := '1'; + + signal bRegPortOutLcl : RegPortOut_t := kRegPortOutZero; + + signal bPsDone, + bPsEn, + bPsInc, + pPsDoneDs_ms, + pPsDoneDs : std_logic := '0'; + + signal bRadioClk1xEnabledInt, + bRadioClk2xEnabledInt, + bRadioClk3xEnabledInt, + bRadioClksValid_ms, + bRadioClksValid : std_logic := '0'; + + attribute ASYNC_REG : string; + attribute ASYNC_REG of bRadioClksValid_ms : signal is "true"; + attribute ASYNC_REG of bRadioClksValid : signal is "true"; + attribute ASYNC_REG of pPsDoneDs_ms : signal is "true"; + attribute ASYNC_REG of pPsDoneDs : signal is "true"; + +begin + + -- Locals to outputs. + PsClk <= BusClk; + pPsInc <= bPsInc; + pPsEn <= bPsEn; + + bRadioClkMmcmReset <= bRadioClkMmcmResetInt; + + bRadioClk1xEnabled <= bRadioClk1xEnabledInt; + bRadioClk2xEnabled <= bRadioClk2xEnabledInt; + bRadioClk3xEnabled <= bRadioClk3xEnabledInt; + + + -- Write Registers : ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------ + WriteRegisters: process(aReset, BusClk) + begin + if aReset then + bRadioClkMmcmResetInt <= '1'; + bPsInc <= '0'; + bPsEn <= '0'; + bRadioClk1xEnabledInt <= '0'; + bRadioClk2xEnabledInt <= '0'; + bRadioClk3xEnabledInt <= '0'; + elsif rising_edge(BusClk) then + + if bReset then + bRadioClkMmcmResetInt <= '1'; + bPsInc <= '0'; + bPsEn <= '0'; + bRadioClk1xEnabledInt <= '0'; + bRadioClk2xEnabledInt <= '0'; + bRadioClk3xEnabledInt <= '0'; + else + -- Clear strobe + bPsEn <= '0'; + + if RegWrite(kPhaseShiftControl, bRegPortIn) then + if bRegPortIn.Data(kPsInc) = '1' then + bPsInc <= '1'; + bPsEn <= '1'; + elsif bRegPortIn.Data(kPsDec) = '1' then + bPsInc <= '0'; + bPsEn <= '1'; + end if; + end if; + + if RegWrite(kRadioClkMmcm, bRegPortIn) then + -- Set/Clear pair + if bRegPortIn.Data(kRadioClkMmcmResetSet) = '1' then + bRadioClkMmcmResetInt <= '1'; + elsif bRegPortIn.Data(kRadioClkMmcmResetClear) = '1' then + bRadioClkMmcmResetInt <= '0'; + end if; + end if; + + if RegWrite(kRadioClkEnables, bRegPortIn) then + bRadioClk1xEnabledInt <= bRegPortIn.Data(kRadioClk1xEnabled); + bRadioClk2xEnabledInt <= bRegPortIn.Data(kRadioClk2xEnabled); + bRadioClk3xEnabledInt <= bRegPortIn.Data(kRadioClk3xEnabled); + end if; + + end if; + end if; + end process WriteRegisters; + + + DoubleSyncs : process (aReset, BusClk) + begin + if aReset then + bRadioClksValid_ms <= '0'; + bRadioClksValid <= '0'; + pPsDoneDs_ms <= '0'; + pPsDoneDs <= '0'; + elsif rising_edge(BusClk) then + -- No sync reset on double-syncs (however there are default assignments above)! + bRadioClksValid_ms <= aRadioClksValid; + bRadioClksValid <= bRadioClksValid_ms; + pPsDoneDs_ms <= pPsDone; + pPsDoneDs <= pPsDoneDs_ms; + end if; + end process; + + + -- Read Registers : ------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------ + ReadRegisters: process(aReset, BusClk) + begin + if aReset then + bRegPortOutLcl <= kRegPortOutZero; + bPsDone <= '0'; + elsif rising_edge(BusClk) then + + if bReset then + bRegPortOutLcl <= kRegPortOutZero; + bPsDone <= '0'; + else + -- Deassert strobes + bRegPortOutLcl.Data <= kRegPortDataZero; + + -- All of these transactions only take one clock cycle, so we do not have to + -- de-assert the Ready signal (ever). + bRegPortOutLcl.Ready <= true; + + -- Process the returned data from the phase shifter in the MMCM. Note that even + -- though the prefixes are different (p and b), we drive the PsClk from the BusClk + -- so this "crossing" is actually safe. Whenever the Done signal asserts (pPsDone - + -- pay attention to the prefix!) from the MMCM, we set a sticky bit to tell SW + -- that the shift operation is complete. + -- + -- However, if pPsDone asserts at the same time that SW tries to read the register, + -- we should accurately report that the operation is indeed complete and then NOT + -- store the sticky (since it has already been read by SW). If a read does not come + -- through at the same time pPsDone is asserted, then we store the done state as a + -- sticky, bPsDone, which is only cleared by a read to this register. + if RegRead(kPhaseShiftControl, bRegPortIn) then + -- The phase shift is always enabled for the feedback clock in RadioClocking.vhd + bRegPortOutLcl.Data(kPsEnabledForFdbClk) <= '1'; + bRegPortOutLcl.Data(kPsDone) <= bPsDone or pPsDoneDs; + bPsDone <= '0'; + elsif pPsDoneDs = '1' then + bPsDone <= '1'; + end if; + + if RegRead(kRadioClkMmcm, bRegPortIn) then + bRegPortOutLcl.Data(kRadioClkMmcmLocked) <= bRadioClksValid; + end if; + + if RegRead(kRadioClkEnables, bRegPortIn) then + bRegPortOutLcl.Data(kRadioClk1xEnabled) <= bRadioClk1xEnabledInt; + bRegPortOutLcl.Data(kRadioClk2xEnabled) <= bRadioClk2xEnabledInt; + bRegPortOutLcl.Data(kRadioClk3xEnabled) <= bRadioClk3xEnabledInt; + end if; + + if RegRead(kMgtRefClkStatus, bRegPortIn) then + bRegPortOutLcl.Data(kJesdRefClkPresent) <= bJesdRefClkPresent; + end if; + + end if; + end if; + end process ReadRegisters; + + -- Local to output + bRegPortOut <= bRegPortOutLcl; + + +end RTL; + + +--XmlParse xml_on +-- +-- +-- +-- +-- +-- +-- +-- +-- Reflects the locked status of the MMCM. '1' = locked. This bit is only valid +-- when the MMCM reset is de-asserted. Read-only. +-- +-- +-- +-- +-- Controls the reset to the Radio Clock MMCM. Strobe this bit to de-assert the +-- reset to the MMCM. Default is reset asserted. Write-only. +-- +-- +-- +-- +-- Controls the reset to the Radio Clock MMCM. Strobe this bit to assert the +-- reset to the MMCM. Default is reset asserted. Write-only. +-- +-- +-- +-- +-- +-- +-- Phase Shift for RadioClkMmcm. +-- +-- +-- +-- This bit should set after a shift operation successfully completes. +-- Reading this register will clear this bit. Read-only. +-- +-- +-- +-- +-- Strobe this bit to increment the phase. This bit is self-clearing and will +-- always return '0' when read. If PsInc and PsDec are asserted together, +-- the phase will increment. +-- +-- +-- +-- +-- Strobe this bit to decrement the phase. This bit is self-clearing and will +-- always return '0' when read. If PsInc and PsDec are asserted together, +-- the phase will increment. +-- +-- +-- +-- +-- Read-only. +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- Set to '1' to enable the clock. Default disabled = '0'. +-- Do so ONLY after the MMCM is out of reset and locked! +-- +-- +-- +-- +-- Set to '1' to enable the clock. Default disabled = '0'. +-- Do so ONLY after the MMCM is out of reset and locked! +-- +-- +-- +-- +-- Set to '1' to enable the clock. Default disabled = '0'. +-- Do so ONLY after the MMCM is out of reset and locked! +-- +-- +-- +-- +-- +-- +-- +-- +-- +-- Live indicator of the MGT Reference Clock toggling and within expected +-- frequency limits. If this bit is de-asserted, then the JESD204b core will +-- not function correctly! +-- +-- +-- +-- +-- +-- +-- +--XmlParse xml_off diff --git a/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/DaughterboardRegs.vhd b/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/DaughterboardRegs.vhd new file mode 100644 index 000000000..8a9c20372 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/DaughterboardRegs.vhd @@ -0,0 +1,116 @@ +------------------------------------------------------------------------------- +-- +-- File: DaughterboardRegs.vhd +-- Author: Daniel Jepson; mods by Humberto Jimenez +-- Original Project: N310; N32x +-- Date: 27 April 2016 +-- +------------------------------------------------------------------------------- +-- Copyright 2016-2018 Ettus Research, A National Instruments Company +-- SPDX-License-Identifier: LGPL-3.0 +------------------------------------------------------------------------------- +-- +-- Purpose: +-- +-- Register interface to the semi-static control lines for the Mg +-- Daughterboard. +-- +-- XML register definition is included below the module. +-- +------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + +library work; + use work.PkgDaughterboardRegMap.all; + use work.PkgRegs.all; + + +entity DaughterboardRegs is + port( + -- Async reset. Can be tied low if desired. + aReset : in boolean; + -- Sync reset... used in the same places as the async one. + bReset : in boolean; + BusClk : in std_logic; + + bRegPortOut : out RegPortOut_t; + bRegPortIn : in RegPortIn_t; + + -- Slot and DB ID values. These should be tied to constants! + kDbId : in std_logic_vector(15 downto 0); + kSlotId : in std_logic + + ); +end DaughterboardRegs; + + +architecture RTL of DaughterboardRegs is + + --vhook_sigstart + --vhook_sigend + + signal bRegPortOutLcl : RegPortOut_t := kRegPortOutZero; + +begin + + + -- Read Registers : ------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------ + ReadRegisters: process(aReset, BusClk) + begin + if aReset then + bRegPortOutLcl <= kRegPortOutZero; + elsif rising_edge(BusClk) then + if bReset then + bRegPortOutLcl <= kRegPortOutZero; + else + -- De-assert strobes + bRegPortOutLcl.Data <= kRegPortDataZero; + + -- All of these transactions only take one clock cycle, so we do not have to + -- de-assert the Ready signal (ever). + bRegPortOutLcl.Ready <= true; + + if RegRead(kDaughterboardId, bRegPortIn) then + bRegPortOutLcl.Data(kDbIdValMsb downto kDbIdVal) <= kDbId; + bRegPortOutLcl.Data(kSlotIdVal) <= kSlotId; + end if; + + end if; + end if; + end process ReadRegisters; + + -- Local to output + bRegPortOut <= bRegPortOutLcl; + + +end RTL; + + +--XmlParse xml_on +-- +-- +-- +-- +-- +-- +-- +-- +-- ID for the DB with which this file is designed to communicate. Matches the DB +-- EEPROM ID. +-- +-- +-- +-- +-- ID for the Slot this module controls. Options are 0 and 1 for the N310 MB. +-- +-- +-- +-- +-- +-- +-- +-- +--XmlParse xml_off diff --git a/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/DbCore.vhd b/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/DbCore.vhd new file mode 100644 index 000000000..f54a976d1 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/DbCore.vhd @@ -0,0 +1,563 @@ +------------------------------------------------------------------------------- +-- +-- File: DbCore.vhd +-- Author: Daniel Jepson; mods by Humberto Jimenez +-- Original Project: N310; N320 +-- Date: 12 April 2017 +-- +------------------------------------------------------------------------------- +-- Copyright 2017-2018 Ettus Research, A National Instruments Company +-- SPDX-License-Identifier: LGPL-3.0 +------------------------------------------------------------------------------- +-- +-- Purpose: +-- +-- Wrapper file for Daughterboard Control. This includes the semi-static control +-- and status registers, clocking, synchronization, and JESD204B cores. +-- +-- There is no version register for the plain-text files here. +-- Version control for the Sync and JESD204B cores is internal to the netlists. +-- +-- The resets for this core are almost entirely local and/or synchronous. +-- bBusReset is a Synchronous reset on the BusClk domain that resets all of the +-- registers connected to the RegPort, as well as any other stray registers +-- connected to the BusClk. All other resets are local to the modules they touch. +-- No other reset drives all modules universally. +-- +------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +library work; + use work.PkgRhPersonality.all; + use work.PkgRegs.all; + use work.PkgJesdConfig.all; + use work.PkgAdcDacInterfaceTypes.all; + + +entity DbCore is + generic( + -- Set to '1' to include the White Rabbit TDC. + kInclWhiteRabbitTdc : std_logic := '0' + ); + port( + + -- Resets -- + -- Synchronous Reset for the BusClk domain (mainly for the RegPort) + bBusReset : in std_logic; + + -- Clocks -- + -- Register Bus Clock (any frequency) + BusClk : in std_logic; + -- Always-on at 40 MHz + Clk40 : in std_logic; + -- Super secret crazy awesome measurement clock at weird frequencies. + MeasClk : in std_logic; + -- FPGA Sample Clock from DB LMK + FpgaClk_p : in std_logic; + FpgaClk_n : in std_logic; + + -- Sample Clock Sharing. The clocks generated in this module are exported out to the + -- top level so they can be shared amongst daughterboards. Therefore they must be + -- driven back into the SampleClk*x inputs at a higher level in order for this module + -- to work correctly. There are a few isolated cases where SampleClk*xOut is used + -- directly in this module, and those are documented below. + SampleClk1xOut : out std_logic; + SampleClk1x : in std_logic; + SampleClk2xOut : out std_logic; + SampleClk2x : in std_logic; + + + -- Register Ports -- + -- + -- Only synchronous resets can be used for these ports! + bRegPortInFlat : in std_logic_vector(49 downto 0); + bRegPortOutFlat : out std_logic_vector(33 downto 0); + + -- Slot ID value. This should be tied to a constant! + kSlotId : in std_logic; + + + -- SYSREF -- + -- + -- SYSREF direct from the LMK + sSysRefFpgaLvds_p, + sSysRefFpgaLvds_n : in std_logic; + -- SYNC directly to the LMK + aLmkSync : out std_logic; + + + -- JESD Signals -- + -- + -- GTX Sample Clock Reference Input. Direct connect to FPGA pins. + JesdRefClk_p, + JesdRefClk_n : in std_logic; + + -- ADC JESD PHY Interface. Direct connect to FPGA pins. + aAdcRx_p, + aAdcRx_n : in std_logic_vector(3 downto 0); + aSyncAdcOut_n : out std_logic; + + -- DAC JESD PHY Interface. Direct connect to FPGA pins. + aDacTx_p, + aDacTx_n : out std_logic_vector(3 downto 0); + aSyncDacIn_n : in std_logic; + + + -- Data Pipes to/from the DACs/ADCs -- + -- + -- - Data is presented as two samples per cycle. + -- - sAdcDataValid asserts when ADC data is valid. + -- - sDacReadyForInput asserts when DAC data is ready to be received. + -- + -- Reset Crossings: + -- The ADC data and valid outputs are synchronously cleared before the asynchronous + -- reset is asserted--preventing any reset crossing issues here between the RX + -- (internal to the core) reset and the no-reset domain of RFNoC. + -- + -- The DAC samples should be zeros on reset de-assertion due to RFI being de-asserted + -- in reset. If they are not zeros, then it is still OK because data is ignored until + -- RFI is asserted. DAC RFI is double-synchronized to protect against the reset + -- crossing. This is safe to do because it simply delays the output of RFI by two + -- cycles on the assertion edge, and as long as reset is held for more than two + -- cycles, the de-assertion edge of RFI should come long before the TX module is + -- taken out of reset. + + -- Supporting 2 samples per clk cycle. + sAdcDataValid : out std_logic; + sAdcDataSample0I : out std_logic_vector(15 downto 0); + sAdcDataSample0Q : out std_logic_vector(15 downto 0); + sAdcDataSample1I : out std_logic_vector(15 downto 0); + sAdcDataSample1Q : out std_logic_vector(15 downto 0); + -- + sDacReadyForInput : out std_logic; + sDacDataSample0I : in std_logic_vector(15 downto 0); + sDacDataSample0Q : in std_logic_vector(15 downto 0); + sDacDataSample1I : in std_logic_vector(15 downto 0); + sDacDataSample1Q : in std_logic_vector(15 downto 0); + + + -- RefClk & Timing & Sync -- + RefClk : in std_logic; + rPpsPulse : in std_logic; + rGatedPulseToPin : inout std_logic; -- straight to pin + sGatedPulseToPin : inout std_logic; -- straight to pin + sPps : out std_logic; + sPpsToIob : out std_logic; + + -- White Rabbit Timing & Sync -- + WrRefClk : in std_logic; + rWrPpsPulse : in std_logic; + rWrGatedPulseToPin : inout std_logic; -- straight to pin + sWrGatedPulseToPin : inout std_logic; -- straight to pin + aPpsSfpSel : in std_logic_vector(1 downto 0); + + -- Debug for JESD + sAdcSync : out std_logic; + sDacSync : out std_logic; + sSysRef : out std_logic; + + -- Debug for Timing & Sync + rRpTransfer : out std_logic; + sSpTransfer : out std_logic; + rWrRpTransfer : out std_logic; + sWrSpTransfer : out std_logic + ); + +end DbCore; + + +architecture RTL of DbCore is + + component Jesd204bXcvrCore + port ( + bBusReset : in STD_LOGIC; + BusClk : in STD_LOGIC; + ReliableClk40 : in STD_LOGIC; + FpgaClk1x : in STD_LOGIC; + FpgaClk2x : in STD_LOGIC; + bFpgaClksStable : in STD_LOGIC; + JesdRefClk_p : in STD_LOGIC; + JesdRefClk_n : in STD_LOGIC; + bJesdRefClkPresent : out STD_LOGIC; + aLmkSync : out STD_LOGIC; + bRegPortInFlat : in STD_LOGIC_VECTOR(49 downto 0); + bRegPortOutFlat : out STD_LOGIC_VECTOR(33 downto 0); + CaptureSysRefClk : in STD_LOGIC; + cSysRefFpgaLvds_p : in STD_LOGIC; + cSysRefFpgaLvds_n : in STD_LOGIC; + fSysRef : out STD_LOGIC; + aAdcRx_p : in STD_LOGIC_VECTOR(3 downto 0); + aAdcRx_n : in STD_LOGIC_VECTOR(3 downto 0); + aSyncAdcOut_n : out STD_LOGIC; + aDacTx_p : out STD_LOGIC_VECTOR(3 downto 0); + aDacTx_n : out STD_LOGIC_VECTOR(3 downto 0); + aSyncDacIn_n : in STD_LOGIC; + fAdcDataFlatter : out STD_LOGIC_VECTOR(63 downto 0); + fDacDataFlatter : in STD_LOGIC_VECTOR(63 downto 0); + fAdcDataValid : out STD_LOGIC; + fDacReadyForInput : out STD_LOGIC; + aDacSync : out STD_LOGIC; + aAdcSync : out STD_LOGIC); + end component; + + function to_Boolean (s : std_ulogic) return boolean is + begin + return (To_X01(s)='1'); + end to_Boolean; + + function to_StdLogic(b : boolean) return std_ulogic is + begin + if b then + return '1'; + else + return '0'; + end if; + end to_StdLogic; + + --vhook_sigstart + signal aAdcSync: STD_LOGIC; + signal aDacSync: STD_LOGIC; + signal bClockingRegPortOut: RegPortOut_t; + signal bDbRegPortOut: RegPortOut_t; + signal bFpgaClksStable: STD_LOGIC; + signal bJesdCoreRegPortInFlat: STD_LOGIC_VECTOR(49 downto 0); + signal bJesdCoreRegPortOutFlat: STD_LOGIC_VECTOR(33 downto 0); + signal bJesdRefClkPresent: STD_LOGIC; + signal bRadioClk1xEnabled: std_logic; + signal bRadioClk2xEnabled: std_logic; + signal bRadioClk3xEnabled: std_logic; + signal bRadioClkMmcmReset: std_logic; + signal bRadioClksValid: std_logic; + signal pPsDone: std_logic; + signal pPsEn: std_logic; + signal pPsInc: std_logic; + signal PsClk: std_logic; + signal sAdcDataFlatter: STD_LOGIC_VECTOR(63 downto 0); + signal SampleClk1xOutLcl: std_logic; + signal sDacDataFlatter: STD_LOGIC_VECTOR(63 downto 0); + signal sDacReadyForInputAsyncReset: STD_LOGIC; + signal sRegPps: std_logic; + signal sSysRefAsyncReset: STD_LOGIC; + signal sWrPps: std_logic; + --vhook_sigend + + signal bJesdRegPortInGrp, bSyncRegPortIn, bWrSyncRegPortIn, bRegPortIn : RegPortIn_t; + signal bJesdRegPortOut, bSyncRegPortOut, bWrSyncRegPortOut, bRegPortOut : RegPortOut_t; + + signal sDacReadyForInput_ms, sDacReadyForInputLcl, + sDacSync_ms, sDacSyncLcl, + sAdcSync_ms, sAdcSyncLcl, + sSysRef_ms, sSysRefLcl : std_logic := '0'; + + signal sAdcDataAry : AdcDataAry_t; + signal sDacDataAry : DacDataAry_t; + + signal sPpsSfpSel_ms, sPpsSfpSel : std_logic_vector(1 downto 0) := (others => '0'); + signal sUseWrTdcPps : boolean := false; + signal sPpsInt, sPpsMuxed : std_logic := '0'; + + attribute ASYNC_REG : string; + attribute ASYNC_REG of sDacReadyForInput_ms : signal is "true"; + attribute ASYNC_REG of sDacReadyForInputLcl : signal is "true"; + attribute ASYNC_REG of sDacSync_ms : signal is "true"; + attribute ASYNC_REG of sDacSyncLcl : signal is "true"; + attribute ASYNC_REG of sAdcSync_ms : signal is "true"; + attribute ASYNC_REG of sAdcSyncLcl : signal is "true"; + attribute ASYNC_REG of sSysRef_ms : signal is "true"; + attribute ASYNC_REG of sSysRefLcl : signal is "true"; + attribute ASYNC_REG of sPpsSfpSel_ms : signal is "true"; + attribute ASYNC_REG of sPpsSfpSel : signal is "true"; + +begin + + bRegPortOutFlat <= Flatten(bRegPortOut); + bRegPortIn <= Unflatten(bRegPortInFlat); + + + -- Combine return RegPorts. + bRegPortOut <= bJesdRegPortOut + + bClockingRegPortOut + + bSyncRegPortOut + bWrSyncRegPortOut + + bDbRegPortOut; + + + -- Clocking : ------------------------------------------------------------------------- + -- Automatically export the Sample Clocks and only use the incoming clocks in the + -- remainder of the logic. For a single module, the clocks must be looped back + -- in at a higher level! + -- ------------------------------------------------------------------------------------ + + --vhook_e RadioClocking + --vhook_a aReset false + --vhook_a bReset to_boolean(bBusReset) + --vhook_a RadioClk1x SampleClk1xOutLcl + --vhook_a RadioClk2x SampleClk2xOut + --vhook_a RadioClk3x open + RadioClockingx: entity work.RadioClocking (rtl) + port map ( + aReset => false, --in boolean + bReset => to_boolean(bBusReset), --in boolean + BusClk => BusClk, --in std_logic + bRadioClkMmcmReset => bRadioClkMmcmReset, --in std_logic + bRadioClksValid => bRadioClksValid, --out std_logic + bRadioClk1xEnabled => bRadioClk1xEnabled, --in std_logic + bRadioClk2xEnabled => bRadioClk2xEnabled, --in std_logic + bRadioClk3xEnabled => bRadioClk3xEnabled, --in std_logic + pPsInc => pPsInc, --in std_logic + pPsEn => pPsEn, --in std_logic + PsClk => PsClk, --in std_logic + pPsDone => pPsDone, --out std_logic + FpgaClk_n => FpgaClk_n, --in std_logic + FpgaClk_p => FpgaClk_p, --in std_logic + RadioClk1x => SampleClk1xOutLcl, --out std_logic + RadioClk2x => SampleClk2xOut, --out std_logic + RadioClk3x => open); --out std_logic + + -- We need an internal copy of SampleClk1x for the TDC, since we don't want to try + -- and align the other DB's clock accidentally. + SampleClk1xOut <= SampleClk1xOutLcl; + + --vhook_e ClockingRegs + --vhook_a aReset false + --vhook_a bReset to_boolean(bBusReset) + --vhook_a bRegPortOut bClockingRegPortOut + --vhook_a aRadioClksValid bRadioClksValid + ClockingRegsx: entity work.ClockingRegs (RTL) + port map ( + aReset => false, --in boolean + bReset => to_boolean(bBusReset), --in boolean + BusClk => BusClk, --in std_logic + bRegPortOut => bClockingRegPortOut, --out RegPortOut_t + bRegPortIn => bRegPortIn, --in RegPortIn_t + pPsInc => pPsInc, --out std_logic + pPsEn => pPsEn, --out std_logic + pPsDone => pPsDone, --in std_logic + PsClk => PsClk, --out std_logic + bRadioClkMmcmReset => bRadioClkMmcmReset, --out std_logic + aRadioClksValid => bRadioClksValid, --in std_logic + bRadioClk1xEnabled => bRadioClk1xEnabled, --out std_logic + bRadioClk2xEnabled => bRadioClk2xEnabled, --out std_logic + bRadioClk3xEnabled => bRadioClk3xEnabled, --out std_logic + bJesdRefClkPresent => bJesdRefClkPresent); --in std_logic + + + + -- JESD204B : ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------ + + bJesdRegPortInGrp <= Mask(RegPortIn => bRegPortIn, + kRegisterOffset => kJesdRegGroupInDbRegs); -- 0x2000 to 0x3FFC + + -- Expand/compress the RegPort for moving through the netlist boundary. + bJesdRegPortOut <= Unflatten(bJesdCoreRegPortOutFlat); + bJesdCoreRegPortInFlat <= Flatten(bJesdRegPortInGrp); + + + --vhook Jesd204bXcvrCore + --vhook_a bRegPortInFlat bJesdCoreRegPortInFlat + --vhook_a bRegPortOutFlat bJesdCoreRegPortOutFlat + --vhook_a FpgaClk1x SampleClk1x + --vhook_a FpgaClk2x SampleClk2x + --vhook_a ReliableClk40 Clk40 + --vhook_a CaptureSysRefClk SampleClk1xOutLcl + --vhook_a cSysRefFpgaLvds_p sSysRefFpgaLvds_p + --vhook_a cSysRefFpgaLvds_n sSysRefFpgaLvds_n + --vhook_a fSysRef sSysRefAsyncReset + --vhook_a fDacReadyForInput sDacReadyForInputAsyncReset + --vhook_a {^f(.*)} s$1 + Jesd204bXcvrCorex: Jesd204bXcvrCore + port map ( + bBusReset => bBusReset, --in STD_LOGIC + BusClk => BusClk, --in STD_LOGIC + ReliableClk40 => Clk40, --in STD_LOGIC + FpgaClk1x => SampleClk1x, --in STD_LOGIC + FpgaClk2x => SampleClk2x, --in STD_LOGIC + bFpgaClksStable => bFpgaClksStable, --in STD_LOGIC + JesdRefClk_p => JesdRefClk_p, --in STD_LOGIC + JesdRefClk_n => JesdRefClk_n, --in STD_LOGIC + bJesdRefClkPresent => bJesdRefClkPresent, --out STD_LOGIC + aLmkSync => aLmkSync, --out STD_LOGIC + bRegPortInFlat => bJesdCoreRegPortInFlat, --in STD_LOGIC_VECTOR(49:0) + bRegPortOutFlat => bJesdCoreRegPortOutFlat, --out STD_LOGIC_VECTOR(33:0) + CaptureSysRefClk => SampleClk1xOutLcl, --in STD_LOGIC + cSysRefFpgaLvds_p => sSysRefFpgaLvds_p, --in STD_LOGIC + cSysRefFpgaLvds_n => sSysRefFpgaLvds_n, --in STD_LOGIC + fSysRef => sSysRefAsyncReset, --out STD_LOGIC + aAdcRx_p => aAdcRx_p, --in STD_LOGIC_VECTOR(3:0) + aAdcRx_n => aAdcRx_n, --in STD_LOGIC_VECTOR(3:0) + aSyncAdcOut_n => aSyncAdcOut_n, --out STD_LOGIC + aDacTx_p => aDacTx_p, --out STD_LOGIC_VECTOR(3:0) + aDacTx_n => aDacTx_n, --out STD_LOGIC_VECTOR(3:0) + aSyncDacIn_n => aSyncDacIn_n, --in STD_LOGIC + fAdcDataFlatter => sAdcDataFlatter, --out STD_LOGIC_VECTOR(63:0) + fDacDataFlatter => sDacDataFlatter, --in STD_LOGIC_VECTOR(63:0) + fAdcDataValid => sAdcDataValid, --out STD_LOGIC + fDacReadyForInput => sDacReadyForInputAsyncReset, --out STD_LOGIC + aDacSync => aDacSync, --out STD_LOGIC + aAdcSync => aAdcSync); --out STD_LOGIC + + + JesdDoubleSyncToNoResetSampleClk : process (SampleClk1x) + begin + if rising_edge(SampleClk1x) then + sDacReadyForInput_ms <= sDacReadyForInputAsyncReset; + sDacReadyForInputLcl <= sDacReadyForInput_ms; + -- No clock crossing here -- just reset, although the prefix declares otherwise... + sDacSync_ms <= aDacSync; + sDacSyncLcl <= sDacSync_ms; + sAdcSync_ms <= aAdcSync; + sAdcSyncLcl <= sAdcSync_ms; + sSysRef_ms <= sSysRefAsyncReset; + sSysRefLcl <= sSysRef_ms; + end if; + end process; + + -- Locals to outputs. + sDacReadyForInput <= sDacReadyForInputLcl; + sDacSync <= sDacSyncLcl; + sAdcSync <= sAdcSyncLcl; + sSysRef <= sSysRefLcl; + + -- Just combine the first two enables, since they're the ones that are used for JESD. + -- No reset crossing here, since bFpgaClksStable is only received by a no-reset domain + -- and the MGTs directly. + bFpgaClksStable <= bRadioClksValid and bRadioClk1xEnabled and bRadioClk2xEnabled; + + -- Compress/expand the flat data types from the netlist and route to top level. + sAdcDataAry <= Unflatten(sAdcDataFlatter); + sDacDataFlatter <= Flatten(sDacDataAry); + + -- Data mapping using the array types. + sAdcDataSample0I <= (sAdcDataAry(0).Data.I & sAdcDataAry(0).Over.I & sAdcDataAry(0).CBit1.I); + sAdcDataSample0Q <= (sAdcDataAry(0).Data.Q & sAdcDataAry(0).Over.Q & sAdcDataAry(0).CBit1.Q); + sAdcDataSample1I <= (sAdcDataAry(1).Data.I & sAdcDataAry(1).Over.I & sAdcDataAry(1).CBit1.I); + sAdcDataSample1Q <= (sAdcDataAry(1).Data.Q & sAdcDataAry(1).Over.Q & sAdcDataAry(1).CBit1.Q); + -- + sDacDataAry(0).Data.I <= sDacDataSample0I; + sDacDataAry(0).Data.Q <= sDacDataSample0Q; + sDacDataAry(1).Data.I <= sDacDataSample1I; + sDacDataAry(1).Data.Q <= sDacDataSample1Q; + + + -- Timing and Sync : ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------ + + bSyncRegPortIn <= Mask(RegPortIn => bRegPortIn, + kRegisterOffset => kTdc0OffsetsInEndpoint); -- 0x0200 + + --vhook_e TdcWrapper + --vhook_# Use the local copy of the SampleClock, since we want the TDC to measure the + --vhook_# clock offset for this daughterboard, not the global SampleClock. + --vhook_a SampleClk SampleClk1xOutLcl + --vhook_a sPpsPulse sRegPps + TdcWrapperx: entity work.TdcWrapper (struct) + port map ( + BusClk => BusClk, --in std_logic + bBusReset => bBusReset, --in std_logic + RefClk => RefClk, --in std_logic + SampleClk => SampleClk1xOutLcl, --in std_logic + MeasClk => MeasClk, --in std_logic + bSyncRegPortOut => bSyncRegPortOut, --out RegPortOut_t + bSyncRegPortIn => bSyncRegPortIn, --in RegPortIn_t + rPpsPulse => rPpsPulse, --in std_logic + sPpsPulse => sRegPps, --out std_logic + rRpTransfer => rRpTransfer, --out std_logic + sSpTransfer => sSpTransfer, --out std_logic + rGatedPulseToPin => rGatedPulseToPin, --inout std_logic + sGatedPulseToPin => sGatedPulseToPin); --inout std_logic + + WrTdcGen: if kInclWhiteRabbitTdc = '1' generate + bWrSyncRegPortIn <= Mask(RegPortIn => bRegPortIn, + kRegisterOffset => kTdc1OffsetsInEndpoint); -- 0x0400 + + --vhook_e TdcWrapper WrTdcWrapperx + --vhook_# Use the local copy of the SampleClock, since we want the TDC to measure the + --vhook_# clock offset for this daughterboard, not the global SampleClock. + --vhook_a bSyncRegPortIn bWrSyncRegPortIn + --vhook_a bSyncRegPortOut bWrSyncRegPortOut + --vhook_a SampleClk SampleClk1xOutLcl + --vhook_a RefClk WrRefClk + --vhook_a rPpsPulse rWrPpsPulse + --vhook_a sPpsPulse sWrPps + --vhook_a rRpTransfer rWrRpTransfer + --vhook_a sSpTransfer sWrSpTransfer + --vhook_a rGatedPulseToPin rWrGatedPulseToPin + --vhook_a sGatedPulseToPin sWrGatedPulseToPin + WrTdcWrapperx: entity work.TdcWrapper (struct) + port map ( + BusClk => BusClk, --in std_logic + bBusReset => bBusReset, --in std_logic + RefClk => WrRefClk, --in std_logic + SampleClk => SampleClk1xOutLcl, --in std_logic + MeasClk => MeasClk, --in std_logic + bSyncRegPortOut => bWrSyncRegPortOut, --out RegPortOut_t + bSyncRegPortIn => bWrSyncRegPortIn, --in RegPortIn_t + rPpsPulse => rWrPpsPulse, --in std_logic + sPpsPulse => sWrPps, --out std_logic + rRpTransfer => rWrRpTransfer, --out std_logic + sSpTransfer => sWrSpTransfer, --out std_logic + rGatedPulseToPin => rWrGatedPulseToPin, --inout std_logic + sGatedPulseToPin => sWrGatedPulseToPin); --inout std_logic + end generate WrTdcGen; + + WrTdcNotGen: if kInclWhiteRabbitTdc = '0' generate + bWrSyncRegPortOut <= kRegPortOutZero; + sWrPps <= '0'; + rWrRpTransfer <= '0'; + sWrSpTransfer <= '0'; + rWrGatedPulseToPin <= '0'; + sWrGatedPulseToPin <= '0'; + end generate WrTdcNotGen; + + -- Mux the output PPS based on the SFP selection bits. Encoding is one-hot, with zero + -- also a valid state. Regardless of whether the user selects SFP0 or SFP1 as the time + -- source, there is only one White Rabbit TDC, so '01' and '10' are equivalent. + -- '00': Use the PPS output from the "regular" TDC. + -- '01': Use the PPS output from the "white rabbit" TDC. + -- '10': Use the PPS output from the "white rabbit" TDC. + PpsOutputMux : process (SampleClk1xOutLcl) + begin + if rising_edge(SampleClk1xOutLcl) then + -- Double-sync the control bits to the Sample Clock domain. + sPpsSfpSel_ms <= aPpsSfpSel; + sPpsSfpSel <= sPpsSfpSel_ms; + + -- OR the control bits together to produce a single override enable for the WR TDC. + sUseWrTdcPps <= to_boolean(sPpsSfpSel(0) or sPpsSfpSel(1)); + + -- Flop the outputs. One flop for the PPS output IOB, the other for use internally. + sPpsInt <= sPpsMuxed; + end if; + end process PpsOutputMux; + + sPpsMuxed <= sWrPps when sUseWrTdcPps else sRegPps; + sPps <= sPpsInt; + sPpsToIob <= sPpsMuxed; -- No added flop here since there's an IOB outside this module. + + + -- Daughterboard Control : ------------------------------------------------------------ + -- ------------------------------------------------------------------------------------ + + --vhook_e DaughterboardRegs + --vhook_# Tying this low is safe because the sync reset is used inside DaughterboardRegs. + --vhook_a aReset false + --vhook_a bReset to_boolean(bBusReset) + --vhook_a bRegPortOut bDbRegPortOut + --vhook_a kDbId std_logic_vector(to_unsigned(kDbId,kDbIdSize)) + DaughterboardRegsx: entity work.DaughterboardRegs (RTL) + port map ( + aReset => false, --in boolean + bReset => to_boolean(bBusReset), --in boolean + BusClk => BusClk, --in std_logic + bRegPortOut => bDbRegPortOut, --out RegPortOut_t + bRegPortIn => bRegPortIn, --in RegPortIn_t + kDbId => std_logic_vector(to_unsigned(kDbId,kDbIdSize)), --in std_logic_vector(15:0) + kSlotId => kSlotId); --in std_logic + + + + +end RTL; diff --git a/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/Jesd204bXcvrCore.edf b/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/Jesd204bXcvrCore.edf new file mode 100644 index 000000000..c9df3749b Binary files /dev/null and b/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/Jesd204bXcvrCore.edf differ diff --git a/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/Jesd204bXcvrCore_stub.vhd b/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/Jesd204bXcvrCore_stub.vhd new file mode 100644 index 000000000..bf75e9d0d --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/Jesd204bXcvrCore_stub.vhd @@ -0,0 +1,54 @@ +-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2017.4 (win64) Build 2086221 Fri Dec 15 20:55:39 MST 2017 +-- Date : Fri Nov 9 16:19:51 2018 +-- Host : hjimenez running 64-bit major release (build 9200) +-- Command : write_vhdl -mode synth_stub -force -file ./Jesd204bXcvrCore_stub.vhd +-- Design : Jesd204bXcvrCore +-- Purpose : Stub declaration of top-level module interface +-- Device : xc7z100ffg900-2 +-- -------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +entity Jesd204bXcvrCore is + Port ( + bBusReset : in STD_LOGIC; + BusClk : in STD_LOGIC; + ReliableClk40 : in STD_LOGIC; + FpgaClk1x : in STD_LOGIC; + FpgaClk2x : in STD_LOGIC; + bFpgaClksStable : in STD_LOGIC; + JesdRefClk_p : in STD_LOGIC; + JesdRefClk_n : in STD_LOGIC; + bJesdRefClkPresent : out STD_LOGIC; + aLmkSync : out STD_LOGIC; + bRegPortInFlat : in STD_LOGIC_VECTOR ( 49 downto 0 ); + bRegPortOutFlat : out STD_LOGIC_VECTOR ( 33 downto 0 ); + CaptureSysRefClk : in STD_LOGIC; + cSysRefFpgaLvds_p : in STD_LOGIC; + cSysRefFpgaLvds_n : in STD_LOGIC; + fSysRef : out STD_LOGIC; + aAdcRx_p : in STD_LOGIC_VECTOR ( 3 downto 0 ); + aAdcRx_n : in STD_LOGIC_VECTOR ( 3 downto 0 ); + aSyncAdcOut_n : out STD_LOGIC; + aDacTx_p : out STD_LOGIC_VECTOR ( 3 downto 0 ); + aDacTx_n : out STD_LOGIC_VECTOR ( 3 downto 0 ); + aSyncDacIn_n : in STD_LOGIC; + fAdcDataFlatter : out STD_LOGIC_VECTOR ( 63 downto 0 ); + fDacDataFlatter : in STD_LOGIC_VECTOR ( 63 downto 0 ); + fAdcDataValid : out STD_LOGIC; + fDacReadyForInput : out STD_LOGIC; + aDacSync : out STD_LOGIC; + aAdcSync : out STD_LOGIC + ); + +end Jesd204bXcvrCore; + +architecture stub of Jesd204bXcvrCore is +attribute syn_black_box : boolean; +attribute black_box_pad_pin : string; +attribute syn_black_box of stub : architecture is true; +attribute black_box_pad_pin of stub : architecture is "bBusReset,BusClk,ReliableClk40,FpgaClk1x,FpgaClk2x,bFpgaClksStable,JesdRefClk_p,JesdRefClk_n,bJesdRefClkPresent,aLmkSync,bRegPortInFlat[49:0],bRegPortOutFlat[33:0],CaptureSysRefClk,cSysRefFpgaLvds_p,cSysRefFpgaLvds_n,fSysRef,aAdcRx_p[3:0],aAdcRx_n[3:0],aSyncAdcOut_n,aDacTx_p[3:0],aDacTx_n[3:0],aSyncDacIn_n,fAdcDataFlatter[63:0],fDacDataFlatter[63:0],fAdcDataValid,fDacReadyForInput,aDacSync,aAdcSync"; +begin +end; diff --git a/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/PkgAdcDacInterfaceTypes.vhd b/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/PkgAdcDacInterfaceTypes.vhd new file mode 100644 index 000000000..8512d133a --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/PkgAdcDacInterfaceTypes.vhd @@ -0,0 +1,302 @@ +------------------------------------------------------------------------------- +-- +-- File: PkgAdcDacInterfaceTypes.vhd +-- Author: National Instruments +-- Original Project: USRP N32x +-- Date: 15 Dec 2017 +-- +------------------------------------------------------------------------------- +-- (c) 2018 Copyright National Instruments Corporation +-- All Rights Reserved +-- National Instruments Internal Information +------------------------------------------------------------------------------- +-- +-- Purpose: Contains types for ADC and DAC data so they can more easily be +-- passed through the design. +-- +-- vreview_group JesdCoreN32x +-- vreview_reviewers djepson wfife +------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +library work; + use work.PkgJesdConfig.all; + + +package PkgAdcDacInterfaceTypes is + + -- Data type for the DACs. + type DacData_t is record + I : std_logic_vector(kDacDataWidth - 1 downto 0); + Q : std_logic_vector(kDacDataWidth - 1 downto 0); + end record; + + -- Data type for the ADCs. + type AdcData_t is record + I : std_logic_vector(kAdcDataWidth - 1 downto 0); + Q : std_logic_vector(kAdcDataWidth - 1 downto 0); + end record; + + -- Type has two bits that correspond to I and Q; example usage: overrange flags. + type IQFlags_t is record + I : std_logic; + Q : std_logic; + end record; + + -- Single data type for all information from the ADCs. + type AdcSamples_t is record + Data : AdcData_t; + Over : IQFlags_t; + CBit1 : IQFlags_t; + end record; + + -- Single data type for all information to the DACs. + type DacSamples_t is record + Data : DacData_t; + end record; + + -- To support multiple data values per clock cycle, these types + -- are arrays of the ADC and DAC data types where the size of the array + -- corresponds to the number of samples per cycle. + type AdcDataAry_t is array (kSamplesPerCycle - 1 downto 0) of AdcSamples_t; + type DacDataAry_t is array (kSamplesPerCycle - 1 downto 0) of DacSamples_t; + + -- Zero/default constant + constant kAdcDataAryZero : AdcDataAry_t := + (others => (Data => (others => (others => '0')), + Over => (others => '0'), + CBit1 => (others => '0')) + ); + + -- Zero/default constant + constant kDacDataAryZero : DacDataAry_t := + (others => (Data => (others => (others => '0'))) + ); + + -- Flattened type that converts the ADC data into std_logic_vector types. This type is + -- not suitable for use in the port maps of components that are presynthesized (into EDF + -- or NGC files) but is useful for passing data to the top level. + type AdcDataAryFlat_t is record + DataI : std_logic_vector(kSamplesPerCycle*kAdcDataWidth - 1 downto 0); + DataQ : std_logic_vector(kSamplesPerCycle*kAdcDataWidth - 1 downto 0); + OverI : std_logic_vector(kSamplesPerCycle - 1 downto 0); + OverQ : std_logic_vector(kSamplesPerCycle - 1 downto 0); + CBit1I : std_logic_vector(kSamplesPerCycle - 1 downto 0); + CBit1Q : std_logic_vector(kSamplesPerCycle - 1 downto 0); + end record; + + -- Fully flattened ADC data for passing into and out of presynthesized components. + subtype AdcDataAryFlatter_t is std_logic_vector(2*(kSamplesPerCycle*(kAdcDataWidth + 2)) - 1 downto 0); + + -- Flattened type that converts the DAC data into std_logic_vector types. This type is + -- not suitable for use in the port maps of components that are presynthesized (into EDF + -- or NGC files) but is useful for passing data from the top level. + type DacDataAryFlat_t is record + DataI : std_logic_vector(kSamplesPerCycle*kDacDataWidth - 1 downto 0); + DataQ : std_logic_vector(kSamplesPerCycle*kDacDataWidth - 1 downto 0); + end record; + + -- Fully flattened DAC data for passing into and out of presynthesized components. + subtype DacDataAryFlatter_t is std_logic_vector(2*(kSamplesPerCycle*kDacDataWidth) - 1 downto 0); + + -- Function to convert types defined above for the ADC data + function Flatten (AdcData : AdcDataAry_t) return AdcDataAryFlat_t; + function Flatten (AdcData : AdcDataAryFlat_t) return AdcDataAryFlatter_t; + function Flatten (AdcData : AdcDataAry_t) return AdcDataAryFlatter_t; + function Unflatten(AdcData : AdcDataAryFlatter_t) return AdcDataAryFlat_t; + function Unflatten(AdcData : AdcDataAryFlat_t) return AdcDataAry_t; + function Unflatten(AdcData : AdcDataAryFlatter_t) return AdcDataAry_t; + + + -- Function to convert types defined above for the DAC data + function Flatten (DacData : DacDataAry_t) return DacDataAryFlat_t; + function Flatten (DacData : DacDataAryFlat_t) return DacDataAryFlatter_t; + function Flatten (DacData : DacDataAry_t) return DacDataAryFlatter_t; + function Unflatten(DacData : DacDataAryFlatter_t) return DacDataAryFlat_t; + function UnFlatten(DacData : DacDataAryFlat_t) return DacDataAry_t; + function Unflatten(DacData : DacDataAryFlatter_t) return DacDataAry_t; + + +end package PkgAdcDacInterfaceTypes; + + +package body PkgAdcDacInterfaceTypes is + + -- Flattens AdcDataAry_t to AdcDataAryFlat_t + function Flatten(AdcData : AdcDataAry_t) return AdcDataAryFlat_t + is + variable ReturnVar : AdcDataAryFlat_t; + begin + ReturnVar := (DataI => (others => '0'), -- Note (others => (others => '0')) does not work here + DataQ => (others => '0'), -- since DataX and OverX/CBit1X are of different lengths (ModelSim error) + OverI => (others => '0'), + OverQ => (others => '0'), + CBit1I => (others => '0'), + CBit1Q => (others => '0')); + + -- The upstream logic puts the 0th element of an array in the MSBs of its data word + for i in 0 to kSamplesPerCycle - 1 loop + ReturnVar.DataI((kSamplesPerCycle - i)*kAdcDataWidth - 1 downto (kSamplesPerCycle - 1 - i)*kAdcDataWidth) := AdcData(i).Data.I; -- Input Data 0 to MSB + ReturnVar.DataQ((kSamplesPerCycle - i)*kAdcDataWidth - 1 downto (kSamplesPerCycle - 1 - i)*kAdcDataWidth) := AdcData(i).Data.Q; + ReturnVar.OverI (kSamplesPerCycle - 1 - i) := AdcData(i).Over.I; -- Input Data 0 to MSB + ReturnVar.OverQ (kSamplesPerCycle - 1 - i) := AdcData(i).Over.Q; + ReturnVar.CBit1I(kSamplesPerCycle - 1 - i) := AdcData(i).CBit1.I; + ReturnVar.CBit1Q(kSamplesPerCycle - 1 - i) := AdcData(i).CBit1.Q; + end loop; + + return ReturnVar; + end function Flatten; + + + -- UnFlattens AdcDataAryFlat_t to AdcDataAry_t + function Unflatten(AdcData : AdcDataAryFlat_t) return AdcDataAry_t + is + variable ReturnVar : AdcDataAry_t; + begin + ReturnVar := (others => (Data => (others => (others => '0')), Over => (others => '0'), CBit1 => (others => '0'))); + for i in 0 to kSamplesPerCycle - 1 loop + -- MSB of flattened word = 0th element of ADC data array - this corresponds to how TheWindow + -- expects data arrays to be transferred. + ReturnVar(kSamplesPerCycle - 1 - i).Data.I := AdcData.DataI((i+1)*kAdcDataWidth - 1 downto i*kAdcDataWidth); + ReturnVar(kSamplesPerCycle - 1 - i).Data.Q := AdcData.DataQ((i+1)*kAdcDataWidth - 1 downto i*kAdcDataWidth); + ReturnVar(kSamplesPerCycle - 1 - i).Over.I := AdcData.OverI(i); + ReturnVar(kSamplesPerCycle - 1 - i).Over.Q := AdcData.OverQ(i); + ReturnVar(kSamplesPerCycle - 1 - i).CBit1.I := AdcData.CBit1I(i); + ReturnVar(kSamplesPerCycle - 1 - i).CBit1.Q := AdcData.CBit1Q(i); + end loop; + return ReturnVar; + end function Unflatten; + + + + + -- Flattens AdcDataAryFlat_t to AdcDataAryFlatter_t + function Flatten(AdcData : AdcDataAryFlat_t) return AdcDataAryFlatter_t + is + variable ReturnVar : AdcDataAryFlatter_t; + begin + ReturnVar := AdcData.OverQ & AdcData.CBit1Q & AdcData.OverI & AdcData.CBit1I & AdcData.DataQ & AdcData.DataI; + return ReturnVar; + end function Flatten; + + -- UnFlattens AdcDataAryFlatter_t to AdcDataAryFlat_t + function Unflatten(AdcData : AdcDataAryFlatter_t) return AdcDataAryFlat_t + is + variable ReturnVar : AdcDataAryFlat_t; + begin + ReturnVar.DataI := AdcData(1*kSamplesPerCycle*kAdcDataWidth + 0*kSamplesPerCycle - 1 downto 0*kSamplesPerCycle*kAdcDataWidth + 0*kSamplesPerCycle); + ReturnVar.DataQ := AdcData(2*kSamplesPerCycle*kAdcDataWidth + 0*kSamplesPerCycle - 1 downto 1*kSamplesPerCycle*kAdcDataWidth + 0*kSamplesPerCycle); + ReturnVar.CBit1I := AdcData(2*kSamplesPerCycle*kAdcDataWidth + 1*kSamplesPerCycle - 1 downto 2*kSamplesPerCycle*kAdcDataWidth + 0*kSamplesPerCycle); + ReturnVar.OverI := AdcData(2*kSamplesPerCycle*kAdcDataWidth + 2*kSamplesPerCycle - 1 downto 2*kSamplesPerCycle*kAdcDataWidth + 1*kSamplesPerCycle); + ReturnVar.CBit1Q := AdcData(2*kSamplesPerCycle*kAdcDataWidth + 3*kSamplesPerCycle - 1 downto 2*kSamplesPerCycle*kAdcDataWidth + 2*kSamplesPerCycle); + ReturnVar.OverQ := AdcData(2*kSamplesPerCycle*kAdcDataWidth + 4*kSamplesPerCycle - 1 downto 2*kSamplesPerCycle*kAdcDataWidth + 3*kSamplesPerCycle); + return ReturnVar; + end function Unflatten; + + + -- Flattens AdcDataAry_t to AdcDataAryFlatter_t + function Flatten(AdcData : AdcDataAry_t) return AdcDataAryFlatter_t + is + variable TempVar : AdcDataAryFlat_t; + variable ReturnVar : AdcDataAryFlatter_t; + begin + TempVar := Flatten(AdcData); + ReturnVar := Flatten(TempVar); + return ReturnVar; + end function Flatten; + + -- UnFlattens AdcDataAryFlatter_t to AdcDataAry_t + function Unflatten(AdcData : AdcDataAryFlatter_t) return AdcDataAry_t + is + variable TempVar : AdcDataAryFlat_t; + variable ReturnVar : AdcDataAry_t; + begin + TempVar := Unflatten(AdcData); + ReturnVar := Unflatten(TempVar); + return ReturnVar; + end function Unflatten; + + + + -- Flattens DacDataAry_t to DacDataAryFlat_t + function Flatten(DacData : DacDataAry_t) return DacDataAryFlat_t + is + variable ReturnVar : DacDataAryFlat_t; + begin + ReturnVar := (others => (others => '0')); + for i in 0 to kSamplesPerCycle - 1 loop + -- MSB of flattened word = 0th element of ADC data array - this corresponds to how TheWindow + -- expects data arrays to be transferred. + ReturnVar.DataI((i+1)*kDacDataWidth - 1 downto i*kDacDataWidth) := DacData(kSamplesPerCycle - 1 - i).Data.I; + ReturnVar.DataQ((i+1)*kDacDataWidth - 1 downto i*kDacDataWidth) := DacData(kSamplesPerCycle - 1 - i).Data.Q; + end loop; + return ReturnVar; + end function Flatten; + + + -- UnFlattens DacDataAryFlat_t to DacDataAry_t + function UnFlatten(DacData : DacDataAryFlat_t) return DacDataAry_t + is + variable ReturnVar : DacDataAry_t; + begin + ReturnVar := (others => (Data => (others => (others => '0')))); + + -- The upstream logic puts the 0th element of an array in the MSBs of its data word + for i in 0 to kSamplesPerCycle - 1 loop + ReturnVar(kSamplesPerCycle - 1 - i).Data.I := DacData.DataI(kDacDataWidth*(i+1) - 1 downto kDacDataWidth*i); + ReturnVar(kSamplesPerCycle - 1 - i).Data.Q := DacData.DataQ(kDacDataWidth*(i+1) - 1 downto kDacDataWidth*i); + end loop; + + return ReturnVar; + end function UnFlatten; + + + + -- Flattens DacDataAryFlat_t to DacDataAryFlatter_t + function Flatten(DacData : DacDataAryFlat_t) return DacDataAryFlatter_t + is + variable ReturnVar : DacDataAryFlatter_t; + begin + ReturnVar := DacData.DataQ & DacData.DataI; + return ReturnVar; + end function Flatten; + + + -- UnFlattens DacDataAryFlatter_t to DacDataAryFlat_t + function Unflatten(DacData : DacDataAryFlatter_t) return DacDataAryFlat_t + is + variable ReturnVar : DacDataAryFlat_t; + begin + ReturnVar.DataI := DacData(1*kSamplesPerCycle*kDacDataWidth - 1 downto 0*kSamplesPerCycle*kDacDataWidth); + ReturnVar.DataQ := DacData(2*kSamplesPerCycle*kDacDataWidth - 1 downto 1*kSamplesPerCycle*kDacDataWidth); + return ReturnVar; + end function Unflatten; + + + -- Flattens DacDataAry_t to DacDataAryFlatter_t + function Flatten(DacData : DacDataAry_t) return DacDataAryFlatter_t + is + variable TempVar : DacDataAryFlat_t; + variable ReturnVar : DacDataAryFlatter_t; + begin + TempVar := Flatten(DacData); + ReturnVar := Flatten(TempVar); + return ReturnVar; + end function Flatten; + + -- UnFlattens DacDataAryFlatter_t to DacDataAry_t + function Unflatten(DacData : DacDataAryFlatter_t) return DacDataAry_t + is + variable TempVar : DacDataAryFlat_t; + variable ReturnVar : DacDataAry_t; + begin + TempVar := Unflatten(DacData); + ReturnVar := Unflatten(TempVar); + return ReturnVar; + end function Unflatten; + + +end package body; diff --git a/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/PkgClockingRegMap.vhd b/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/PkgClockingRegMap.vhd new file mode 100644 index 000000000..03b95c100 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/PkgClockingRegMap.vhd @@ -0,0 +1,107 @@ +------------------------------------------------------------------------------- +-- +-- File: PkgClockingRegMap.vhd +-- Author: Autogenerated by XmlParse +-- Original Project: -- +-- Date: -- +-- +------------------------------------------------------------------------------- +-- Copyright 2017 Ettus Research, A National Instruments Company +-- SPDX-License-Identifier: LGPL-3.0 +------------------------------------------------------------------------------- +-- +-- Purpose: +-- The constants in this file are autogenerated by XmlParse and should +-- be used by testbench code to access specific register fields. +-- +------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +package PkgClockingRegMap is + +--=============================================================================== +-- A numerically ordered list of registers and their VHDL source files +--=============================================================================== + + -- RadioClkMmcm : 0x20 (ClockingRegs.vhd) + -- PhaseShiftControl : 0x24 (ClockingRegs.vhd) + -- RadioClkEnables : 0x28 (ClockingRegs.vhd) + -- MgtRefClkStatus : 0x30 (ClockingRegs.vhd) + +--=============================================================================== +-- RegTypes +--=============================================================================== + +--=============================================================================== +-- Register Group ClockingRegs +--=============================================================================== + + -- RadioClkMmcm Register (from ClockingRegs.vhd) + constant kRadioClkMmcm : integer := 16#20#; -- Register Offset + constant kRadioClkMmcmSize: integer := 32; -- register width in bits + constant kRadioClkMmcmMask : std_logic_vector(31 downto 0) := X"00000013"; + constant kRadioClkMmcmResetSetSize : integer := 1; --RadioClkMmcm:RadioClkMmcmResetSet + constant kRadioClkMmcmResetSetMsb : integer := 0; --RadioClkMmcm:RadioClkMmcmResetSet + constant kRadioClkMmcmResetSet : integer := 0; --RadioClkMmcm:RadioClkMmcmResetSet + constant kRadioClkMmcmResetClearSize : integer := 1; --RadioClkMmcm:RadioClkMmcmResetClear + constant kRadioClkMmcmResetClearMsb : integer := 1; --RadioClkMmcm:RadioClkMmcmResetClear + constant kRadioClkMmcmResetClear : integer := 1; --RadioClkMmcm:RadioClkMmcmResetClear + constant kRadioClkMmcmLockedSize : integer := 1; --RadioClkMmcm:RadioClkMmcmLocked + constant kRadioClkMmcmLockedMsb : integer := 4; --RadioClkMmcm:RadioClkMmcmLocked + constant kRadioClkMmcmLocked : integer := 4; --RadioClkMmcm:RadioClkMmcmLocked + + -- PhaseShiftControl Register (from ClockingRegs.vhd) + constant kPhaseShiftControl : integer := 16#24#; -- Register Offset + constant kPhaseShiftControlSize: integer := 32; -- register width in bits + constant kPhaseShiftControlMask : std_logic_vector(31 downto 0) := X"10010011"; + constant kPsIncSize : integer := 1; --PhaseShiftControl:PsInc + constant kPsIncMsb : integer := 0; --PhaseShiftControl:PsInc + constant kPsInc : integer := 0; --PhaseShiftControl:PsInc + constant kPsDecSize : integer := 1; --PhaseShiftControl:PsDec + constant kPsDecMsb : integer := 4; --PhaseShiftControl:PsDec + constant kPsDec : integer := 4; --PhaseShiftControl:PsDec + constant kPsEnabledForFdbClkSize : integer := 1; --PhaseShiftControl:PsEnabledForFdbClk + constant kPsEnabledForFdbClkMsb : integer := 16; --PhaseShiftControl:PsEnabledForFdbClk + constant kPsEnabledForFdbClk : integer := 16; --PhaseShiftControl:PsEnabledForFdbClk + constant kPsDoneSize : integer := 1; --PhaseShiftControl:PsDone + constant kPsDoneMsb : integer := 28; --PhaseShiftControl:PsDone + constant kPsDone : integer := 28; --PhaseShiftControl:PsDone + + -- RadioClkEnables Register (from ClockingRegs.vhd) + constant kRadioClkEnables : integer := 16#28#; -- Register Offset + constant kRadioClkEnablesSize: integer := 32; -- register width in bits + constant kRadioClkEnablesMask : std_logic_vector(31 downto 0) := X"00000111"; + constant kRadioClk1xEnabledSize : integer := 1; --RadioClkEnables:RadioClk1xEnabled + constant kRadioClk1xEnabledMsb : integer := 0; --RadioClkEnables:RadioClk1xEnabled + constant kRadioClk1xEnabled : integer := 0; --RadioClkEnables:RadioClk1xEnabled + constant kRadioClk2xEnabledSize : integer := 1; --RadioClkEnables:RadioClk2xEnabled + constant kRadioClk2xEnabledMsb : integer := 4; --RadioClkEnables:RadioClk2xEnabled + constant kRadioClk2xEnabled : integer := 4; --RadioClkEnables:RadioClk2xEnabled + constant kRadioClk3xEnabledSize : integer := 1; --RadioClkEnables:RadioClk3xEnabled + constant kRadioClk3xEnabledMsb : integer := 8; --RadioClkEnables:RadioClk3xEnabled + constant kRadioClk3xEnabled : integer := 8; --RadioClkEnables:RadioClk3xEnabled + + -- MgtRefClkStatus Register (from ClockingRegs.vhd) + constant kMgtRefClkStatus : integer := 16#30#; -- Register Offset + constant kMgtRefClkStatusSize: integer := 32; -- register width in bits + constant kMgtRefClkStatusMask : std_logic_vector(31 downto 0) := X"00000001"; + constant kJesdRefClkPresentSize : integer := 1; --MgtRefClkStatus:JesdRefClkPresent + constant kJesdRefClkPresentMsb : integer := 0; --MgtRefClkStatus:JesdRefClkPresent + constant kJesdRefClkPresent : integer := 0; --MgtRefClkStatus:JesdRefClkPresent + +end package; + +package body PkgClockingRegMap is + + -- function kRadioClkMmcmRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kPhaseShiftControlRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kRadioClkEnablesRec not implemented because PkgXReg in this project does not support XReg2_t. + + -- function kMgtRefClkStatusRec not implemented because PkgXReg in this project does not support XReg2_t. + +end package body; diff --git a/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/PkgDaughterboardRegMap.vhd b/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/PkgDaughterboardRegMap.vhd new file mode 100644 index 000000000..06708cde3 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/PkgDaughterboardRegMap.vhd @@ -0,0 +1,56 @@ +------------------------------------------------------------------------------- +-- +-- File: PkgDaughterboardRegMap.vhd +-- Author: Autogenerated by XmlParse +-- Original Project: -- +-- Date: -- +-- +------------------------------------------------------------------------------- +-- Copyright 2017 Ettus Research, A National Instruments Company +-- SPDX-License-Identifier: LGPL-3.0 +------------------------------------------------------------------------------- +-- +-- Purpose: +-- The constants in this file are autogenerated by XmlParse and should +-- be used by testbench code to access specific register fields. +-- +------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +package PkgDaughterboardRegMap is + +--=============================================================================== +-- A numerically ordered list of registers and their VHDL source files +--=============================================================================== + + -- DaughterboardId : 0x630 (DaughterboardRegs.vhd) + +--=============================================================================== +-- RegTypes +--=============================================================================== + +--=============================================================================== +-- Register Group StaticControl +--=============================================================================== + + -- DaughterboardId Register (from DaughterboardRegs.vhd) + constant kDaughterboardId : integer := 16#630#; -- Register Offset + constant kDaughterboardIdSize: integer := 32; -- register width in bits + constant kDaughterboardIdMask : std_logic_vector(31 downto 0) := X"0001ffff"; + constant kDbIdValSize : integer := 16; --DaughterboardId:DbIdVal + constant kDbIdValMsb : integer := 15; --DaughterboardId:DbIdVal + constant kDbIdVal : integer := 0; --DaughterboardId:DbIdVal + constant kSlotIdValSize : integer := 1; --DaughterboardId:SlotIdVal + constant kSlotIdValMsb : integer := 16; --DaughterboardId:SlotIdVal + constant kSlotIdVal : integer := 16; --DaughterboardId:SlotIdVal + +end package; + +package body PkgDaughterboardRegMap is + + -- function kDaughterboardIdRec not implemented because PkgXReg in this project does not support XReg2_t. + +end package body; diff --git a/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/PkgJesdConfig.vhd b/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/PkgJesdConfig.vhd new file mode 100644 index 000000000..4913b03fc --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/PkgJesdConfig.vhd @@ -0,0 +1,165 @@ +------------------------------------------------------------------------------- +-- +-- File: PkgJesdConfig.vhd +-- Author: National Instruments +-- Original Project: N32x +-- Date: 15 Dec 2017 +-- +------------------------------------------------------------------------------- +-- Copyright 2016-2018 Ettus Research, A National Instruments Company +-- SPDX-License-Identifier: LGPL-3.0 +------------------------------------------------------------------------------- +-- +-- Purpose: JESD204B setup constants and functions. These constants are shared +-- between RX and TX JESD cores. +-- +-- vreview_group JesdCoreN32x +-- vreview_reviewers djepson wfife +------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +library work; + use work.PkgRegs.all; + + +package PkgJesdConfig is + + -- "JESD" in ASCII - with the core number 0 or 1 on the LSb. + constant kJesdSignature : std_logic_vector(31 downto 0) := x"4a455344"; + + -- Register endpoints + constant kJesdDrpRegsInEndpoint : RegOffset_t := (kOffset => 16#0800#, -- 0x2800 to + kWidth => 16#0800#); -- 0x2FFF + + -- Selects the UsrClk2 for the transceivers. For 64-bit wide transceivers, the + -- UsrClk = 2*UserClk2 frequency. For 32-bit wide transceivers, UsrClk = UserClk2 + -- frequency. This is a generalization, the clock ratio should be confirmed based on + -- the transceiver configuration. + -- The N310 transceivers use the single rate reference, hence = false. + constant kDoubleRateUsrClk : boolean := false; + + -- For the N32x, all lanes are in one quad and we use the QPLL. + constant kJesdUseQpll : boolean := true; + + constant kAdcDataWidth : integer := 14; -- ADC data width in bits + constant kDacDataWidth : integer := 16; -- DAC data width in bits + constant kSamplesPerCycle : integer := 2; -- Number of samples per SampleClk1x + + constant kGtxDrpAddrWidth : natural := 9; + constant kGtxAddrLsbPosition : natural := 2; + constant kQpllDrpAddrWidth : natural := 8; + constant kGtxDrpDataWidth : natural := 16; + + -- Max supported number of lanes + constant kMaxNumLanes : natural := 4; + -- Max supported number of quads (normally there is 1 quad per 4 lanes but disconnect + -- the definitions to allow quad sharing) + constant kMaxNumQuads : natural := 1; + + -- Rhodium: + -- JESD shared setup - LMFS = 4211, HD = 1 (Samples are split across multiple lanes). + constant kNumLanes : natural := 4; -- L + constant kNumConvs : positive := 2; -- M + constant kOctetsPerFrame : natural := 1; -- F + constant kDacJesdSamplesPerCycle : integer := 1; -- S + constant kOctetsPerLane : natural := 2; -- MGT data is kOctetsPerLane*8 = 16 bits wide + constant kNumQuads : natural := kNumLanes / 4; -- 4 lanes per quad + constant kHighDensity : boolean := true; -- HD + constant kConvResBits : positive := kDacDataWidth; -- Converter resolution in bits + constant kConvSampleBits : positive := 16; -- Sample Length in bits + constant kInitLaneAlignCnt : positive := 4; + constant kFramesPerMulti : natural := 24; -- K + + -- Rhodium: + -- The converters are running at 400/491.52/500 MSPS (DeviceClk), and the sampling + -- clock at the FPGA (UserClk) is 200/245.76/250 MHz; so UsrClk = (DeviceClk / 2). + -- The frame rate = DeviceClk, and the Multiframe rate = (frame rate / kFramesPerMulti) + -- Thus, kUserClksPerMulti = (UsrClk / Multiframe rate) + -- = (UsrClk / (DeviceClk / kFramesPerMulti)) + -- since UsrClk = DeviceClk / 2 then, + -- kUserClksPerMulti = ((DeviceClk / 2) / (DeviceClk / kFramesPerMulti)) + -- therefore, + -- kUserClksPerMulti = kFramesPerMulti / 2 + constant kUserClksPerMulti : integer := kFramesPerMulti / 2; + + + type NaturalVector is array ( natural range <>) of natural; + + -- The PCB connections are are passed trough, any swapping is handled somewhere else. + -- + -- Transceiver MGT Channel ADC Lane DAC Lane + -- *********** *********** ******** ******** + -- GT0: X0Y8 0 0 0 + -- GT1: X0Y9 1 1 1 + -- GT2: X0Y10 2 2 2 + -- GT3: X0Y11 3 3 3 + constant kRxLaneIndices : NaturalVector(kNumLanes - 1 downto 0) := + ( + -- MGT => ADC (in above table) + 0 => 0, + 1 => 1, + 2 => 2, + 3 => 3 + ); + + constant kTxLaneIndices : NaturalVector(kNumLanes - 1 downto 0) := + ( + -- MGT => DAC lane + 0 => 0, + 1 => 1, + 2 => 2, + 3 => 3 + ); + + constant kLaneToQuadMap : NaturalVector(kNumLanes - 1 downto 0) := + ( + -- All lanes are in one quad + 0 => 0, + 1 => 0, + 2 => 0, + 3 => 0 + ); + + + -- The master transceiver channel for channel bonding. E(kMasterBondingChannel) + -- must have the highest value decrementing to b"000" for that last channels to bond. + constant kMasterBondingChannel : integer := 1; + + -- Channel bonding occurs when a master detects a K-char sequence and aligns its + -- internal FIFO to the start of this sequence. A signal is then generated to other + -- slave transceivers that cause them to bond to the sequence - this bonding signal is + -- cascaded from master to slave to slave to slave, etc where each slave must know how + -- many levels to the master there are. The last slave to bond must be at level b"000" + -- and the master is at the highest level; the number of levels in the sequence is + -- governed by the size of the transceiver FIFO (see the Xilinx user guides for more + -- information). + type BondLevels_t is array(0 to kNumLanes - 1) of std_logic_vector(2 downto 0); + constant kBondLevel : BondLevels_t := ( + 0 => b"000", -- Control from 1 + 1 => b"001", -- Master + 2 => b"000", -- Control from 1 + 3 => b"000" -- Control from 1 + ); + + + -- User Rx Data + -- ADC Word data width: 14 sample bits + 2 tails bits + constant kAdcWordWidth : integer := 16; + subtype AdcWord_t is std_logic_vector(kAdcWordWidth - 1 downto 0); + type AdcWordArray_t is array(kSamplesPerCycle*2 - 1 downto 0) of AdcWord_t; -- The *2 is because there are two samples (I and Q) per "sample" + + -- Constants to specify the contents of the AdcWord_t vector. + constant kAdcWordDataMsb : integer := 15; + constant kAdcWordDataLsb : integer := 2; + constant kAdcWordOver : integer := 1; + constant kAdcWordCBit1 : integer := 0; + + + -- Option to pipeline stages to improve timing, if needed + constant kPipelineDetectCharsStage : boolean := false; + constant kPipelineCharReplStage : boolean := false; + +end package; diff --git a/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/PkgRhPersonality.vhd b/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/PkgRhPersonality.vhd new file mode 100644 index 000000000..801a46d15 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/PkgRhPersonality.vhd @@ -0,0 +1,62 @@ +------------------------------------------------------------------------------- +-- +-- File: PkgRhPersonality.vhd +-- Author: National Instruments +-- Original Project: N32x +-- Date: 15 Dec 2017 +-- +------------------------------------------------------------------------------- +-- Copyright 2017 Ettus Research, A National Instruments Company +-- SPDX-License-Identifier: GPL-3.0 +------------------------------------------------------------------------------- +-- +-- Purpose: This package contains constants and helpful functions that enable +-- the FPGA to be compiled with different features. +-- +------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +library work; + use work.PkgRegs.all; + + +package PkgRhPersonality is + + -- Rhodium daughterboard ID definition. + constant kDbId : integer := 16#152#; + constant kDbIdSize : integer := 16; + + + -- RegPort Address Definitions : ------------------------------------------------------ + -- + -- DB Regs ... + -- + -- Clocking Offset: 0x 000 Width: 0x 200 + -- Tdco0 Offset: 0x 200 Width: 0x 200 + -- Tdco1 Offset: 0x 400 Width: 0x 200 + -- Daughterboard Ctrl Offset: 0x 600 Width: 0x 200 + -- Total: 0x2000 + -- JESD 2x - A Offset: 0x2000 Width: 0x1000 + -- JESD 2x - B Offset: 0x3000 Width: 0x1000 + -- Total: 0x4000 + -- Total: 0x8000 for two DBs + -- ------------------------------------------------------------------------------------ + + -- A single RegPort runs to the JESD204B Core. + constant kJesdRegGroupInDbRegs : RegOffset_t := (kOffset => 16#2000#, -- 0x2000 to + kWidth => 16#1000#); -- 0x2FFF + + -- DB Regs : -------------------------------------------------------------------------- + constant kClockingOffsetInEndpoint : RegOffset_t := (kOffset => 16#0000#, -- 0x0000 to + kWidth => 16#0200#); -- 0x01FF + constant kTdc0OffsetsInEndpoint : RegOffset_t := (kOffset => 16#0200#, -- 0x0200 to + kWidth => 16#0200#); -- 0x03FF + constant kTdc1OffsetsInEndpoint : RegOffset_t := (kOffset => 16#0400#, -- 0x0400 to + kWidth => 16#0200#); -- 0x05FF + constant kDaughterboardOffsetInEndpoint : RegOffset_t := (kOffset => 16#0600#, -- 0x0600 to + kWidth => 16#0200#); -- 0x07FF + +end package PkgRhPersonality; diff --git a/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/RadioClocking.vhd b/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/RadioClocking.vhd new file mode 100644 index 000000000..69a15d49a --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/RadioClocking.vhd @@ -0,0 +1,305 @@ +------------------------------------------------------------------------------- +-- +-- File: RadioClocking.vhd +-- Author: Daniel Jepson +-- Original Project: N310 +-- Date: 22 February 2016 +-- +------------------------------------------------------------------------------- +-- Copyright 2016-2018 Ettus Research, A National Instruments Company +-- SPDX-License-Identifier: LGPL-3.0 +------------------------------------------------------------------------------- +-- +-- Purpose: +-- +-- Instantiates a MMCM to produce 1x, 2x, and 3x versions of the Radio Clock +-- coming from the FPGA input pin. Handles all the buffering for the input clock. +-- Additionally allows the clocks to be turned on and off, and phase shifted. +-- +-- NOTE: This module hard-codes the MMCM settings for a SPECIFIC clock rate! +-- +------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + +library unisim; + use unisim.vcomponents.all; + + +entity RadioClocking is + port ( + -- Async reset. Can be tied low if desired. + aReset : in boolean; + -- Sync reset... used in the same places as the async one. + bReset : in boolean; + + -- Should be a always-on clock + BusClk : in std_logic; + + -- Sync reset to the RadioClkMmcm. + bRadioClkMmcmReset : in std_logic; + + -- Locked indication from the RadioClkMmcm in BusClk and aReset domains. + bRadioClksValid : out std_logic; + + bRadioClk1xEnabled : in std_logic; + bRadioClk2xEnabled : in std_logic; + bRadioClk3xEnabled : in std_logic; + + -- Phase shift interface for the RadioClkMmcm. PsClk must be <= 200 MHz. + pPsInc : in std_logic; + pPsEn : in std_logic; + PsClk : in std_logic; + pPsDone : out std_logic; + + -- Straight from pins. Buffer included in here. + FpgaClk_n : in std_logic; + FpgaClk_p : in std_logic; + + RadioClk1x : out std_logic; + RadioClk2x : out std_logic; + RadioClk3x : out std_logic + + ); +end RadioClocking; + + +architecture rtl of RadioClocking is + + --vhook_sigstart + signal RadioClk1xLcl: std_logic; + signal RadioClk1xPll: std_logic; + signal RadioClk2xLcl: std_logic; + signal RadioClk2xPll: std_logic; + signal RadioClk3xLcl: std_logic; + signal RadioClk3xPll: std_logic; + --vhook_sigend + + signal RadioClkMmcmFeedbackIn, + RadioClkMmcmFeedbackOut, + FpgaClkSE, + aRadioClkMmcmLocked : std_logic; + + signal bRadioClkMmcmLocked_ms, + bRadioClkMmcmLocked, + bEnableRadioClkBufgOutputs, + bEnableRadioClk1xBufgOutput, + bEnableRadioClk2xBufgOutput, + bEnableRadioClk3xBufgOutput : std_logic := '0'; + + signal aRadioClkMmcmResetInternal : std_logic := '1'; + + attribute ASYNC_REG : string; + attribute ASYNC_REG of bRadioClkMmcmLocked_ms : signal is "true"; + attribute ASYNC_REG of bRadioClkMmcmLocked : signal is "true"; + +begin + + -- Radio Clock Buffering : ------------------------------------------------------------ + -- + -- ------------------------------------------------------------------------------------ + --vhook_i IBUFDS FpgaClkIbufg hidegeneric=true + --vhook_a I FpgaClk_p + --vhook_a IB FpgaClk_n + --vhook_a O FpgaClkSE + FpgaClkIbufg: IBUFDS + port map ( + O => FpgaClkSE, --out std_ulogic + I => FpgaClk_p, --in std_ulogic + IB => FpgaClk_n); --in std_ulogic + + + ResetDelay : process(aReset, BusClk) + begin + if aReset then + aRadioClkMmcmResetInternal <= '1'; + elsif rising_edge(BusClk) then + if bReset then + aRadioClkMmcmResetInternal <= '1'; + else + -- Delay by 1 to allow the BUFGs to turn off before the MMCM is reset. + aRadioClkMmcmResetInternal <= bRadioClkMmcmReset; + end if; + end if; + end process ResetDelay; + + + RadioClkMmcm: MMCME2_ADV + generic map( + COMPENSATION => "ZHOLD", + BANDWIDTH => "OPTIMIZED", + CLKFBOUT_MULT_F => 4.000, -- Feedback + CLKOUT0_DIVIDE_F => 4.000, -- Data Clock 1x, RadioClk1xPll + CLKOUT1_DIVIDE => 2, -- Data Clock 2x, RadioClk2xPll + CLKOUT2_DIVIDE => 2, -- Data Clock 3x, RadioClk3xPll + CLKOUT3_DIVIDE => 1, -- unused + CLKOUT4_DIVIDE => 1, -- unused + CLKOUT5_DIVIDE => 1, -- unused + CLKOUT6_DIVIDE => 1, -- unused + CLKFBOUT_PHASE => 0.000, -- Feedback + CLKOUT0_PHASE => 0.000, -- Data Clock 1x + CLKOUT1_PHASE => 0.000, -- Data Clock 2x + CLKOUT2_PHASE => 0.000, -- Data Clock 3x + CLKOUT3_PHASE => 0.000, -- unused + CLKOUT4_PHASE => 0.000, -- unused + CLKOUT5_PHASE => 0.000, -- unused + CLKOUT6_PHASE => 0.000, -- unused + CLKOUT0_DUTY_CYCLE => 0.500, + CLKOUT1_DUTY_CYCLE => 0.500, + CLKOUT2_DUTY_CYCLE => 0.500, + CLKOUT3_DUTY_CYCLE => 0.500, + CLKOUT4_DUTY_CYCLE => 0.500, + CLKOUT5_DUTY_CYCLE => 0.500, + CLKOUT6_DUTY_CYCLE => 0.500, + DIVCLK_DIVIDE => 1, + REF_JITTER1 => 0.010, + CLKIN1_PERIOD => 4.069, -- 245.76 MHz max + CLKFBOUT_USE_FINE_PS => true, + CLKOUT0_USE_FINE_PS => false, + CLKOUT1_USE_FINE_PS => false, + CLKOUT2_USE_FINE_PS => false, + CLKOUT3_USE_FINE_PS => false, + CLKOUT4_USE_FINE_PS => false, + CLKOUT5_USE_FINE_PS => false, + CLKOUT6_USE_FINE_PS => false, + STARTUP_WAIT => false, + CLKOUT4_CASCADE => false) + port map ( + CLKINSEL => '1', + CLKIN1 => FpgaClkSE, + CLKIN2 => '0', + CLKFBIN => RadioClkMmcmFeedbackIn, + RST => aRadioClkMmcmResetInternal, + PWRDWN => '0', + DADDR => (others => '0'), + DI => (others => '0'), + DWE => '0', + DEN => '0', + DCLK => '0', + DO => open, + DRDY => open, + PSINCDEC => pPsInc, + PSEN => pPsEn, + PSCLK => PsClk, + PSDONE => pPsDone, + CLKOUT0 => RadioClk1xPll, + CLKOUT0B => open, + CLKOUT1 => RadioClk2xPll, + CLKOUT1B => open, + CLKOUT2 => RadioClk3xPll, + CLKOUT2B => open, + CLKOUT3 => open, + CLKOUT3B => open, + CLKOUT4 => open, + CLKOUT5 => open, + CLKOUT6 => open, + CLKFBOUT => RadioClkMmcmFeedbackOut, + CLKFBOUTB => open, + LOCKED => aRadioClkMmcmLocked, + CLKINSTOPPED => open, + CLKFBSTOPPED => open); + + RadioClkMmcmFeedbackBufg: BUFG + port map ( + I => RadioClkMmcmFeedbackOut, + O => RadioClkMmcmFeedbackIn + ); + + + -- Only enable the WRAPBUFGs when the MMCM is locked. If the MMCM is ever placed in + -- reset, we turn off the clocks one cycle before the asynchronous version + -- (aRadioClkMmcmResetInternal) reaches the MMCM inputs in order to prevent + -- output glitches. + CombineEnablesForBuffers : process(aReset, BusClk) + begin + if aReset then + bRadioClkMmcmLocked_ms <= '0'; + bRadioClkMmcmLocked <= '0'; + bEnableRadioClk1xBufgOutput <= '0'; + bEnableRadioClk2xBufgOutput <= '0'; + bEnableRadioClk3xBufgOutput <= '0'; + bEnableRadioClkBufgOutputs <= '0'; + elsif rising_edge(BusClk) then + if bReset then + bRadioClkMmcmLocked_ms <= '0'; + bRadioClkMmcmLocked <= '0'; + bEnableRadioClk1xBufgOutput <= '0'; + bEnableRadioClk2xBufgOutput <= '0'; + bEnableRadioClk3xBufgOutput <= '0'; + bEnableRadioClkBufgOutputs <= '0'; + else + bRadioClkMmcmLocked_ms <= aRadioClkMmcmLocked; + bRadioClkMmcmLocked <= bRadioClkMmcmLocked_ms; + + bEnableRadioClkBufgOutputs <= bRadioClkMmcmLocked and + not bRadioClkMmcmReset; + bEnableRadioClk1xBufgOutput <= bRadioClk1xEnabled and bEnableRadioClkBufgOutputs; + bEnableRadioClk2xBufgOutput <= bRadioClk2xEnabled and bEnableRadioClkBufgOutputs; + bEnableRadioClk3xBufgOutput <= bRadioClk3xEnabled and bEnableRadioClkBufgOutputs; + end if; + end if; + end process CombineEnablesForBuffers; + + bRadioClksValid <= bEnableRadioClkBufgOutputs; + + --vhook_e WrapBufg RadioClk1xBuf + --vhook_a kEnableByDefault false + --vhook_a kIgnore false + --vhook_a kEnableIsAsync true + --vhook_a ClkIn RadioClk1xPll + --vhook_a aCe bEnableRadioClk1xBufgOutput + --vhook_a ClkOut RadioClk1xLcl + RadioClk1xBuf: entity work.WrapBufg (rtl) + generic map ( + kEnableByDefault => false, --boolean:=false + kIgnore => false, --boolean:=false + kEnableIsAsync => true) --boolean:=false + port map ( + ClkIn => RadioClk1xPll, --in std_logic + aCe => bEnableRadioClk1xBufgOutput, --in std_logic + ClkOut => RadioClk1xLcl); --out std_logic + + --vhook_e WrapBufg RadioClk2xBuf + --vhook_a kEnableByDefault false + --vhook_a kIgnore false + --vhook_a kEnableIsAsync true + --vhook_a ClkIn RadioClk2xPll + --vhook_a aCe bEnableRadioClk2xBufgOutput + --vhook_a ClkOut RadioClk2xLcl + RadioClk2xBuf: entity work.WrapBufg (rtl) + generic map ( + kEnableByDefault => false, --boolean:=false + kIgnore => false, --boolean:=false + kEnableIsAsync => true) --boolean:=false + port map ( + ClkIn => RadioClk2xPll, --in std_logic + aCe => bEnableRadioClk2xBufgOutput, --in std_logic + ClkOut => RadioClk2xLcl); --out std_logic + + --vhook_e WrapBufg RadioClk3xBuf + --vhook_a kEnableByDefault false + --vhook_a kIgnore false + --vhook_a kEnableIsAsync true + --vhook_a ClkIn RadioClk3xPll + --vhook_a aCe bEnableRadioClk3xBufgOutput + --vhook_a ClkOut RadioClk3xLcl + RadioClk3xBuf: entity work.WrapBufg (rtl) + generic map ( + kEnableByDefault => false, --boolean:=false + kIgnore => false, --boolean:=false + kEnableIsAsync => true) --boolean:=false + port map ( + ClkIn => RadioClk3xPll, --in std_logic + aCe => bEnableRadioClk3xBufgOutput, --in std_logic + ClkOut => RadioClk3xLcl); --out std_logic + + + -- Assign outputs from locals. + RadioClk1x <= RadioClk1xLcl; + RadioClk2x <= RadioClk2xLcl; + RadioClk3x <= RadioClk3xLcl; + + + +end rtl; diff --git a/fpga/usrp3/top/n3xx/dboards/rh/db_pins.xdc b/fpga/usrp3/top/n3xx/dboards/rh/db_pins.xdc new file mode 100644 index 000000000..b67269cd9 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/rh/db_pins.xdc @@ -0,0 +1,280 @@ +## TDC : ################################################################################ +## Bank 10, 2.5V (DB A) +######################################################################################### + +set_property PACKAGE_PIN AB15 [get_ports {UNUSED_PIN_TDCA_0}] +set_property PACKAGE_PIN AB14 [get_ports {UNUSED_PIN_TDCA_1}] +set_property PACKAGE_PIN AB16 [get_ports {UNUSED_PIN_TDCA_2}] +set_property PACKAGE_PIN AB17 [get_ports {UNUSED_PIN_TDCA_3}] +set_property IOSTANDARD LVCMOS25 [get_ports {UNUSED_PIN_TDCA_*}] +set_property IOB TRUE [get_ports {UNUSED_PIN_TDCA_*}] + + +## TDC : ################################################################################ +## Bank 11, 2.5V (DB B) +######################################################################################### + +set_property PACKAGE_PIN W21 [get_ports {UNUSED_PIN_TDCB_0}] +set_property PACKAGE_PIN Y21 [get_ports {UNUSED_PIN_TDCB_1}] +set_property PACKAGE_PIN Y22 [get_ports {UNUSED_PIN_TDCB_2}] +set_property PACKAGE_PIN Y23 [get_ports {UNUSED_PIN_TDCB_3}] +set_property IOSTANDARD LVCMOS25 [get_ports {UNUSED_PIN_TDCB_*}] +set_property IOB TRUE [get_ports {UNUSED_PIN_TDCB_*}] + + +## USRP IO A : ########################################################################## +# DBA +######################################################################################### + +set_property PACKAGE_PIN G1 [get_ports DBA_MODULE_PWR_ENABLE] +set_property PACKAGE_PIN E5 [get_ports DBA_RF_PWR_ENABLE] + +set_property PACKAGE_PIN AF14 [get_ports DBA_FPGA_CLK_P] +set_property PACKAGE_PIN AG14 [get_ports DBA_FPGA_CLK_N] + +set_property PACKAGE_PIN N8 [get_ports DBA_MGTCLK_P] +set_property PACKAGE_PIN N7 [get_ports DBA_MGTCLK_N] + +set_property PACKAGE_PIN AG17 [get_ports DBA_FPGA_SYSREF_P] +set_property PACKAGE_PIN AG16 [get_ports DBA_FPGA_SYSREF_N] +set_property IOB TRUE [get_ports DBA_FPGA_SYSREF_*] + + +# set_property PACKAGE_PIN AD10 [get_ports NET2CLK_P] +# set_property PACKAGE_PIN AD9 [get_ports NET2CLK_N] + +set_property PACKAGE_PIN C2 [get_ports DBA_CPLD_PL_SPI_SCLK] +set_property PACKAGE_PIN B1 [get_ports DBA_TXLO_SPI_CS_B]; # DBA_CPLD_PL_SPI_LE +set_property PACKAGE_PIN B2 [get_ports DBA_CPLD_PL_SPI_CS_B]; # DBA_CPLD_PL_SPI_ADDR[0] +set_property PACKAGE_PIN F4 [get_ports DBA_RXLO_SPI_CS_B]; # DBA_CPLD_PL_SPI_ADDR[1] +set_property PACKAGE_PIN F3 [get_ports DBA_LODIS_SPI_CS_B]; # DBA_CPLD_PL_SPI_ADDR[2] +set_property PACKAGE_PIN C1 [get_ports DBA_CPLD_PL_SPI_MISO] +set_property PACKAGE_PIN A3 [get_ports DBA_CPLD_PL_SPI_MOSI] + +set_property PACKAGE_PIN AC16 [get_ports DBA_CPLD_PS_SPI_SCLK]; # DBA_CPLD_PS_SPI_ADDR[0] +set_property PACKAGE_PIN AE15 [get_ports DBA_CPLD_PS_SPI_CS_B]; # DBA_CPLD_PS_SPI_ADDR[1] +set_property PACKAGE_PIN AE16 [get_ports DBA_PHDAC_SPI_CS_B]; # DBA_CPLD_PS_SPI_LE +set_property PACKAGE_PIN AF17 [get_ports DBA_CLKDIS_SPI_CS_B] +set_property PACKAGE_PIN AK16 [get_ports DBA_ADC_SPI_CS_B]; # DBA_CPLD_UNUSED[12] +set_property PACKAGE_PIN AJ16 [get_ports DBA_DAC_SPI_CS_B]; # DBA_CPLD_UNUSED[13] +set_property PACKAGE_PIN AC17 [get_ports DBA_CPLD_PS_SPI_MISO] +set_property PACKAGE_PIN AF18 [get_ports DBA_CPLD_PS_SPI_MOSI] + +set_property PACKAGE_PIN AH13 [get_ports DBA_CPLD_JTAG_TDI] +set_property PACKAGE_PIN AH14 [get_ports DBA_CPLD_JTAG_TDO] +set_property PACKAGE_PIN AE13 [get_ports DBA_CPLD_JTAG_TMS] +set_property PACKAGE_PIN AF13 [get_ports DBA_CPLD_JTAG_TCK] + +set_property PACKAGE_PIN E1 [get_ports DBA_CLKDIST_SYNC] + +set_property PACKAGE_PIN A2 [get_ports DBA_ATR_TX] +set_property PACKAGE_PIN E3 [get_ports DBA_ATR_RX] + +set_property PACKAGE_PIN E2 [get_ports DBA_TXRX_SW_CTRL_1] +set_property PACKAGE_PIN F5 [get_ports DBA_TXRX_SW_CTRL_2] + +set_property PACKAGE_PIN AE12 [get_ports DBA_ADC_SYNCB_P] +set_property PACKAGE_PIN AF12 [get_ports DBA_ADC_SYNCB_N] +set_property PACKAGE_PIN AD14 [get_ports DBA_DAC_SYNCB_P]; # Layout swapped, RTL is negated. +set_property PACKAGE_PIN AD13 [get_ports DBA_DAC_SYNCB_N]; # Layout swapped, RTL is negated. + +# This mapping uses the TX pins as the "master" and mimics RX off of them so Vivado +# places the transceivers in the correct places. The mixup in lanes is accounted for +# in the AD9695 and the DAC37J82 crossbar settings. +set_property PACKAGE_PIN V6 [get_ports DBA_RX_P[0]] +set_property PACKAGE_PIN V5 [get_ports DBA_RX_N[0]] +set_property PACKAGE_PIN U4 [get_ports DBA_RX_P[1]] +set_property PACKAGE_PIN U3 [get_ports DBA_RX_N[1]] +set_property PACKAGE_PIN T6 [get_ports DBA_RX_P[2]] +set_property PACKAGE_PIN T5 [get_ports DBA_RX_N[2]] +set_property PACKAGE_PIN P6 [get_ports DBA_RX_P[3]] +set_property PACKAGE_PIN P5 [get_ports DBA_RX_N[3]] + +set_property PACKAGE_PIN T2 [get_ports DBA_TX_P[0]] +set_property PACKAGE_PIN T1 [get_ports DBA_TX_N[0]] +set_property PACKAGE_PIN R4 [get_ports DBA_TX_P[1]] +set_property PACKAGE_PIN R3 [get_ports DBA_TX_N[1]] +set_property PACKAGE_PIN P2 [get_ports DBA_TX_P[2]] +set_property PACKAGE_PIN P1 [get_ports DBA_TX_N[2]] +set_property PACKAGE_PIN N4 [get_ports DBA_TX_P[3]] +set_property PACKAGE_PIN N3 [get_ports DBA_TX_N[3]] + +set_property PACKAGE_PIN AG12 [get_ports DBA_LED_RX] +set_property PACKAGE_PIN AH12 [get_ports DBA_LED_RX2] +set_property PACKAGE_PIN AJ13 [get_ports DBA_LED_TX] + +# Possibly need to be used. Connected to CPLD. +# set_property PACKAGE_PIN C4 [get_ports DBA_CPLD_UNUSED[0]] +# set_property PACKAGE_PIN C3 [get_ports DBA_CPLD_UNUSED[1]] +# set_property PACKAGE_PIN K1 [get_ports DBA_CPLD_UNUSED[2]] +# set_property PACKAGE_PIN L1 [get_ports DBA_CPLD_UNUSED[3]] +# set_property PACKAGE_PIN D1 [get_ports DBA_CPLD_UNUSED[4]] +# set_property PACKAGE_PIN AE17 [get_ports DBA_CPLD_UNUSED[5]] +# set_property PACKAGE_PIN AE18 [get_ports DBA_CPLD_UNUSED[6]] +# set_property PACKAGE_PIN AB12 [get_ports DBA_CPLD_UNUSED[7]] +# set_property PACKAGE_PIN AC12 [get_ports DBA_CPLD_UNUSED[8]] +# set_property PACKAGE_PIN AG17 [get_ports DBA_CPLD_UNUSED[9]] +# set_property PACKAGE_PIN AK12 [get_ports DBA_CPLD_UNUSED[10]] +# set_property PACKAGE_PIN AK13 [get_ports DBA_CPLD_UNUSED[11]] + +set UsrpIoAHpPinsSe [get_ports {DBA_MODULE_PWR_ENABLE \ + DBA_RF_PWR_ENABLE \ + DBA_CPLD_PL_SPI_* \ + DBA_TXLO_SPI_CS_B \ + DBA_RXLO_SPI_CS_B \ + DBA_LODIS_SPI_CS_B \ + DBA_CLKDIST_SYNC \ + DBA_TXRX_SW_CTRL_* \ + DBA_ATR_*}] +set_property IOSTANDARD LVCMOS18 $UsrpIoAHpPinsSe +set_property DRIVE 6 $UsrpIoAHpPinsSe +set_property SLEW SLOW $UsrpIoAHpPinsSe + +set UsrpIoAHrPinsSeDr4 [get_ports {DBA_LED_* \ + DBA_CPLD_JTAG_*}] +set_property IOSTANDARD LVCMOS25 $UsrpIoAHrPinsSeDr4 +set_property DRIVE 4 $UsrpIoAHrPinsSeDr4 +set_property SLEW SLOW $UsrpIoAHrPinsSeDr4 + +set UsrpIoAHrPinsSeDr8 [get_ports {DBA_CPLD_PS_SPI_* \ + DBA_PHDAC_SPI_CS_B \ + DBA_CLKDIS_SPI_CS_B \ + DBA_ADC_SPI_CS_B \ + DBA_DAC_SPI_CS_B}] +set_property IOSTANDARD LVCMOS25 $UsrpIoAHrPinsSeDr8 +set_property DRIVE 8 $UsrpIoAHrPinsSeDr8 +set_property SLEW SLOW $UsrpIoAHrPinsSeDr8 + +set UsrpIoAHrPinsDiff [get_ports {DBA_ADC_SYNCB_* \ + DBA_DAC_SYNCB_* \ + DBA_FPGA_CLK_* \ + DBA_FPGA_SYSREF_*}] +set_property IOSTANDARD LVDS_25 $UsrpIoAHrPinsDiff +set_property DIFF_TERM TRUE $UsrpIoAHrPinsDiff + + +## USRP IO B : ########################################################################## +# DBB +######################################################################################### + +set_property PACKAGE_PIN J4 [get_ports DBB_MODULE_PWR_ENABLE] +set_property PACKAGE_PIN G4 [get_ports DBB_RF_PWR_ENABLE] + +set_property PACKAGE_PIN AG21 [get_ports DBB_FPGA_CLK_P] +set_property PACKAGE_PIN AH21 [get_ports DBB_FPGA_CLK_N] + +set_property PACKAGE_PIN W8 [get_ports DBB_MGTCLK_P] +set_property PACKAGE_PIN W7 [get_ports DBB_MGTCLK_N] + +set_property PACKAGE_PIN AE22 [get_ports DBB_FPGA_SYSREF_P] +set_property PACKAGE_PIN AF22 [get_ports DBB_FPGA_SYSREF_N] +set_property IOB TRUE [get_ports DBB_FPGA_SYSREF_*] + +set_property PACKAGE_PIN K6 [get_ports DBB_CPLD_PL_SPI_SCLK] +set_property PACKAGE_PIN F2 [get_ports DBB_TXLO_SPI_CS_B]; # DBB_CPLD_PL_SPI_LE +set_property PACKAGE_PIN G2 [get_ports DBB_CPLD_PL_SPI_CS_B]; # DBB_CPLD_PL_SPI_ADDR[0] +set_property PACKAGE_PIN H4 [get_ports DBB_RXLO_SPI_CS_B]; # DBB_CPLD_PL_SPI_ADDR[1] +set_property PACKAGE_PIN H3 [get_ports DBB_LODIS_SPI_CS_B]; # DBB_CPLD_PL_SPI_ADDR[2] +set_property PACKAGE_PIN J6 [get_ports DBB_CPLD_PL_SPI_MISO] +set_property PACKAGE_PIN D5 [get_ports DBB_CPLD_PL_SPI_MOSI] + +set_property PACKAGE_PIN AG22 [get_ports DBB_CPLD_PS_SPI_SCLK] +set_property PACKAGE_PIN AD23 [get_ports DBB_CPLD_PS_SPI_CS_B]; # DBB_CPLD_PS_SPI_ADDR[0] +set_property PACKAGE_PIN AE23 [get_ports DBB_PHDAC_SPI_CS_B]; # DBB_CPLD_PS_SPI_ADDR[1] +set_property PACKAGE_PIN AB24 [get_ports DBB_CLKDIS_SPI_CS_B]; # DBB_CPLD_PS_SPI_LE +set_property PACKAGE_PIN AJ23 [get_ports DBB_ADC_SPI_CS_B]; # DBB_CPLD_UNUSED[12]] +set_property PACKAGE_PIN AJ24 [get_ports DBB_DAC_SPI_CS_B]; # DBB_CPLD_UNUSED[13]] +set_property PACKAGE_PIN AH22 [get_ports DBB_CPLD_PS_SPI_MISO] +set_property PACKAGE_PIN AA24 [get_ports DBB_CPLD_PS_SPI_MOSI] + +set_property PACKAGE_PIN AH19 [get_ports DBB_CPLD_JTAG_TDI] +set_property PACKAGE_PIN AJ19 [get_ports DBB_CPLD_JTAG_TDO] +set_property PACKAGE_PIN AB21 [get_ports DBB_CPLD_JTAG_TMS] +set_property PACKAGE_PIN AB22 [get_ports DBB_CPLD_JTAG_TCK] + +set_property PACKAGE_PIN D3 [get_ports DBB_CLKDIST_SYNC] + +set_property PACKAGE_PIN E6 [get_ports DBB_ATR_TX] +set_property PACKAGE_PIN J5 [get_ports DBB_ATR_RX] + +set_property PACKAGE_PIN K5 [get_ports DBB_TXRX_SW_CTRL_1] +set_property PACKAGE_PIN G5 [get_ports DBB_TXRX_SW_CTRL_2] + +set_property PACKAGE_PIN AF23 [get_ports DBB_ADC_SYNCB_P] +set_property PACKAGE_PIN AF24 [get_ports DBB_ADC_SYNCB_N] +set_property PACKAGE_PIN AD21 [get_ports DBB_DAC_SYNCB_P] +set_property PACKAGE_PIN AE21 [get_ports DBB_DAC_SYNCB_N] + +# This mapping uses the TX pins as the "master" and mimics RX off of them so Vivado +# places the transceivers in the correct places. The mixup in lanes is accounted for +# in the AD9695 and the DAC37J82 crossbar settings. +set_property PACKAGE_PIN AC4 [get_ports DBB_RX_P[0]] +set_property PACKAGE_PIN AC3 [get_ports DBB_RX_N[0]] +set_property PACKAGE_PIN AB6 [get_ports DBB_RX_P[1]] +set_property PACKAGE_PIN AB5 [get_ports DBB_RX_N[1]] +set_property PACKAGE_PIN Y6 [get_ports DBB_RX_P[2]] +set_property PACKAGE_PIN Y5 [get_ports DBB_RX_N[2]] +set_property PACKAGE_PIN AA4 [get_ports DBB_RX_P[3]] +set_property PACKAGE_PIN AA3 [get_ports DBB_RX_N[3]] + +set_property PACKAGE_PIN AB2 [get_ports DBB_TX_P[0]] +set_property PACKAGE_PIN AB1 [get_ports DBB_TX_N[0]] +set_property PACKAGE_PIN Y2 [get_ports DBB_TX_P[1]] +set_property PACKAGE_PIN Y1 [get_ports DBB_TX_N[1]] +set_property PACKAGE_PIN W4 [get_ports DBB_TX_P[2]] +set_property PACKAGE_PIN W3 [get_ports DBB_TX_N[2]] +set_property PACKAGE_PIN V2 [get_ports DBB_TX_P[3]] +set_property PACKAGE_PIN V1 [get_ports DBB_TX_N[3]] + +set_property PACKAGE_PIN AK17 [get_ports DBB_LED_RX] +set_property PACKAGE_PIN AK18 [get_ports DBB_LED_RX2] +set_property PACKAGE_PIN AK21 [get_ports DBB_LED_TX] + +# Possibly need to be used. Connected to CPLD. +# set_property PACKAGE_PIN G6 [get_ports DBB_CPLD_UNUSED[0]] +# set_property PACKAGE_PIN H6 [get_ports DBB_CPLD_UNUSED[1]] +# set_property PACKAGE_PIN L3 [get_ports DBB_CPLD_UNUSED[2]] +# set_property PACKAGE_PIN L2 [get_ports DBB_CPLD_UNUSED[3]] +# set_property PACKAGE_PIN D4 [get_ports DBB_CPLD_UNUSED[4]] +# set_property PACKAGE_PIN AC22 [get_ports DBB_CPLD_UNUSED[5]] +# set_property PACKAGE_PIN AC23 [get_ports DBB_CPLD_UNUSED[6]] +# set_property PACKAGE_PIN AC24 [get_ports DBB_CPLD_UNUSED[7]] +# set_property PACKAGE_PIN AD24 [get_ports DBB_CPLD_UNUSED[8]] +# set_property PACKAGE_PIN AE22 [get_ports DBB_CPLD_UNUSED[9]] +# set_property PACKAGE_PIN AK20 [get_ports DBB_CPLD_UNUSED[10]] +# set_property PACKAGE_PIN AJ20 [get_ports DBB_CPLD_UNUSED[11]] + +set UsrpIoBHpPinsSe [get_ports {DBB_MODULE_PWR_ENABLE \ + DBB_RF_PWR_ENABLE \ + DBB_CPLD_PL_SPI_* \ + DBB_TXLO_SPI_CS_B \ + DBB_RXLO_SPI_CS_B \ + DBB_LODIS_SPI_CS_B \ + DBB_CLKDIST_SYNC \ + DBB_TXRX_SW_CTRL_* \ + DBB_ATR_*}] +set_property IOSTANDARD LVCMOS18 $UsrpIoBHpPinsSe +set_property DRIVE 6 $UsrpIoBHpPinsSe +set_property SLEW SLOW $UsrpIoBHpPinsSe + +set UsrpIoBHrPinsSeDr4 [get_ports {DBB_LED_* \ + DBB_CPLD_JTAG_*}] +set_property IOSTANDARD LVCMOS25 $UsrpIoBHrPinsSeDr4 +set_property DRIVE 4 $UsrpIoBHrPinsSeDr4 +set_property SLEW SLOW $UsrpIoBHrPinsSeDr4 + +set UsrpIoBHrPinsSeDr8 [get_ports {DBB_CPLD_PS_SPI_* \ + DBB_PHDAC_SPI_CS_B \ + DBB_CLKDIS_SPI_CS_B \ + DBB_ADC_SPI_CS_B \ + DBB_DAC_SPI_CS_B}] +set_property IOSTANDARD LVCMOS25 $UsrpIoBHrPinsSeDr8 +set_property DRIVE 8 $UsrpIoBHrPinsSeDr8 +set_property SLEW SLOW $UsrpIoBHrPinsSeDr8 + +set UsrpIoBHrPinsDiff [get_ports {DBB_ADC_SYNCB_* \ + DBB_DAC_SYNCB_* \ + DBB_FPGA_CLK_* \ + DBB_FPGA_SYSREF_*}] +set_property IOSTANDARD LVDS_25 $UsrpIoBHrPinsDiff +set_property DIFF_TERM TRUE $UsrpIoBHrPinsDiff diff --git a/fpga/usrp3/top/n3xx/dboards/rh/db_timing.xdc b/fpga/usrp3/top/n3xx/dboards/rh/db_timing.xdc new file mode 100644 index 000000000..cffbd839a --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/rh/db_timing.xdc @@ -0,0 +1,264 @@ +# +# Copyright 2017 Ettus Research, A National Instruments Company +# SPDX-License-Identifier: LGPL-3.0 +# +# Timing analysis is performed in "usrp3/top/n3xx/dboards/rh/doc/rh_timing.xlsx". +# See this spreadsheet for more details and explanations. + +#******************************************************************************* +## Asynchronous clock groups + +# MGT reference clocks are also async to everything. +set_clock_groups -asynchronous -group [get_clocks mgt_clk_dba -include_generated_clocks] +set_clock_groups -asynchronous -group [get_clocks mgt_clk_dbb -include_generated_clocks] + +# fpga_clk_a and fpga_clk_b are related to one another after synchronization. +# However, we do need to declare that these clocks (both a and b) and their children +# are async to the remainder of the design. Use the wildcard at the end to grab the +# virtual clock as well as the real ones. +set_clock_groups -asynchronous -group [get_clocks {fpga_clk_a* fpga_clk_b*} -include_generated_clocks] + +# The SPI readback and write clocks cannot be active at the same time, as they +# originate from the same pin. +set_clock_groups -physically_exclusive \ + -group [get_clocks pl_spi_rb_clk_a] \ + -group [get_clocks pl_spi_clk_a] +set_clock_groups -physically_exclusive \ + -group [get_clocks pl_spi_rb_clk_b] \ + -group [get_clocks pl_spi_clk_b] + +#******************************************************************************* +## PS SPI: since these lines all come from the PS and I don't have access to the +# driving clock (or anything for that matter), I'm left with constraining the maximum +# and minimum delay on these lines, per a Xilinx AR: +# https://www.xilinx.com/support/answers/62122.html +set CPLD_SPI_OUTS [get_ports {DB*_CPLD_PS_SPI_SCLK \ + DB*_CPLD_PS_SPI_MOSI \ + DB*_CPLD_PS_SPI_CS_B \ + DB*_CLKDIS_SPI_CS_B \ + DB*_PHDAC_SPI_CS_B \ + DB*_ADC_SPI_CS_B \ + DB*_DAC_SPI_CS_B}] + +# The actual min and max path delays before applying constraints were (from report_timing): +# 3.332 ns (Min at Fast Process Corner) +# 10.596 ns (Max at Slow Process Corner) +# Therefore, we round those number to their immediate succesor respectively. +# After implementation, the tools were unable to meet timing when leaving a 11 ns max +# delay value, so it was incremented. +set MIN_OUT_DELAY 3.0 +set MAX_OUT_DELAY 12.0 + +set_max_delay $MAX_OUT_DELAY -to $CPLD_SPI_OUTS +set_min_delay $MIN_OUT_DELAY -to $CPLD_SPI_OUTS + +# report_timing -to $CPLD_SPI_OUTS -max_paths 20 -delay_type min_max -name CpldSpiOutTiming + +# The actual min and max path delays before applying constraints were (from report_timing): +# 2.733 ns (Min at Fast Process Corner) +# 6.071 ns (Max at Slow Process Corner) +# Therefore, we round those number to their immediate succesor respectively. +set MIN_IN_DELAY 2.0 +set MAX_IN_DELAY 10.0 + +set PS_SPI_INPUTS_0 [get_pins -hierarchical -filter {NAME =~ "*/PS7_i/EMIOSPI0MI"}] +set PS_SPI_INPUTS_1 [get_pins -hierarchical -filter {NAME =~ "*/PS7_i/EMIOSPI1MI"}] + +set_max_delay $MAX_IN_DELAY -to $PS_SPI_INPUTS_0 +set_min_delay $MIN_IN_DELAY -to $PS_SPI_INPUTS_0 +set_max_delay $MAX_IN_DELAY -to $PS_SPI_INPUTS_1 +set_min_delay $MIN_IN_DELAY -to $PS_SPI_INPUTS_1 + +# report_timing -to $PS_SPI_INPUTS_0 -max_paths 30 -delay_type min_max -nworst 30 -name Spi0InTiming +# report_timing -to $PS_SPI_INPUTS_1 -max_paths 30 -delay_type min_max -nworst 30 -name Spi1InTiming + + + +#******************************************************************************* +## PL SPI to the CPLD +# +# All of these lines are driven or received from flops in simple_spi_core. The CPLD +# calculations assume the FPGA has less than 6 ns of skew between the SCK and +# SDI/CS_n. Pretty easy constraint to write! See above for the clock definition. +# Do this for DBA and DBB independently. +set MAX_SKEW 6.0 +set SETUP_SKEW [expr {$MAX_SKEW / 2}] +set HOLD_SKEW [expr {$MAX_SKEW / 2}] +# Do not set the output delay constraint on the clock line! +set PORT_LIST_A [get_ports {DBA_CPLD_PL_SPI_CS_B \ + DBA_CPLD_PL_SPI_MOSI \ + DBA_TXLO_SPI_CS_B \ + DBA_RXLO_SPI_CS_B \ + DBA_LODIS_SPI_CS_B }] +set PORT_LIST_B [get_ports {DBB_CPLD_PL_SPI_CS_B \ + DBB_CPLD_PL_SPI_MOSI \ + DBB_TXLO_SPI_CS_B \ + DBB_RXLO_SPI_CS_B \ + DBB_LODIS_SPI_CS_B }] +# Then add the output delay on each of the ports. +set_output_delay -clock [get_clocks pl_spi_clk_a] -max -$SETUP_SKEW $PORT_LIST_A +set_output_delay -add_delay -clock_fall -clock [get_clocks pl_spi_clk_a] -max -$SETUP_SKEW $PORT_LIST_A +set_output_delay -clock [get_clocks pl_spi_clk_a] -min $HOLD_SKEW $PORT_LIST_A +set_output_delay -add_delay -clock_fall -clock [get_clocks pl_spi_clk_a] -min $HOLD_SKEW $PORT_LIST_A +set_output_delay -clock [get_clocks pl_spi_clk_b] -max -$SETUP_SKEW $PORT_LIST_B +set_output_delay -add_delay -clock_fall -clock [get_clocks pl_spi_clk_b] -max -$SETUP_SKEW $PORT_LIST_B +set_output_delay -clock [get_clocks pl_spi_clk_b] -min $HOLD_SKEW $PORT_LIST_B +set_output_delay -add_delay -clock_fall -clock [get_clocks pl_spi_clk_b] -min $HOLD_SKEW $PORT_LIST_B +# Finally, make both the setup and hold checks use the same launching and latching edges. +set_multicycle_path -setup -from [get_clocks radio_clk] -to [get_clocks pl_spi_clk_a] -start 0 +set_multicycle_path -hold -from [get_clocks radio_clk] -to [get_clocks pl_spi_clk_a] -1 +set_multicycle_path -setup -from [get_clocks radio_clk] -to [get_clocks pl_spi_clk_b] -start 0 +set_multicycle_path -hold -from [get_clocks radio_clk] -to [get_clocks pl_spi_clk_b] -1 + +# For SDO input timing (MISO), we need to look at the CPLD's constraints on turnaround +# time plus any board propagation delay. +# CPLD clk-to-q is 20 ns, then add 1.2 ns for board delay (once for clock, once for data) +# For hold time, assume zero delay (likely overconstraining here, due to board delays) +set MISO_INPUT_A [get_ports DBA_CPLD_PL_SPI_MISO] +set MISO_INPUT_B [get_ports DBB_CPLD_PL_SPI_MISO] +set_input_delay -clock [get_clocks pl_spi_rb_clk_a] -clock_fall -max 22.400 $MISO_INPUT_A +set_input_delay -clock [get_clocks pl_spi_rb_clk_a] -clock_fall -min 0.000 $MISO_INPUT_A +set_input_delay -clock [get_clocks pl_spi_rb_clk_b] -clock_fall -max 22.400 $MISO_INPUT_B +set_input_delay -clock [get_clocks pl_spi_rb_clk_b] -clock_fall -min 0.000 $MISO_INPUT_B + +# Since the input delay span is clearly more than a period of the radio_clk, we need to +# add a multicycle path here as well to define the clock divider ratio. The MISO data +# is driven on the falling edge of the SPI clock and captured on the rising edge, so we +# only have one half of a SPI clock cycle for our setup. Hold is left alone and is OK +# as-is due to the delays in the CPLD and board. +set SETUP_CYCLES [expr {$PL_SPI_RB_DIVIDE_VAL / 2}] +set HOLD_CYCLES 0 +set_multicycle_path -setup -from [get_clocks pl_spi_rb_clk_a] -through $MISO_INPUT_A \ + $SETUP_CYCLES +set_multicycle_path -hold -from [get_clocks pl_spi_rb_clk_a] -through $MISO_INPUT_A -end \ + [expr {$SETUP_CYCLES + $HOLD_CYCLES - 1}] +set_multicycle_path -setup -from [get_clocks pl_spi_rb_clk_b] -through $MISO_INPUT_B \ + $SETUP_CYCLES +set_multicycle_path -hold -from [get_clocks pl_spi_rb_clk_b] -through $MISO_INPUT_B -end \ + [expr {$SETUP_CYCLES + $HOLD_CYCLES - 1}] + +#******************************************************************************* +## SYSREF/SYNC JESD Timing +# +# SYNC is async, SYSREF is tightly timed. + +# The SYNC output (to ADC) for both DBs is governed by the JESD cores, which are solely +# driven by DB-A clock... but it is an asynchronous signal so we use the async_out_clk. +set_output_delay -clock [get_clocks async_out_clk] 0.000 [get_ports DB*_ADC_SYNCB_P] +set_max_delay -to [get_ports DB*_ADC_SYNCB_P] 50.000 +set_min_delay -to [get_ports DB*_ADC_SYNCB_P] 0.000 + +# The SYNC input (from DAC) for both DBs is received by the DB-A clock inside the JESD +# cores... but again, it is asynchronous and therefore uses the async_in_clk. +set_input_delay -clock [get_clocks async_in_clk] 0.000 [get_ports DB*_DAC_SYNCB_P] +set_max_delay -from [get_ports DB*_DAC_SYNCB_P] 50.000 +set_min_delay -from [get_ports DB*_DAC_SYNCB_P] 0.000 + +# SYSREF is driven by the LMK directly to the FPGA. Timing analysis was performed once +# for the worst-case numbers across both DBs to produce one set of numbers for both DBs. +# Since we easily meet setup and hold in Vivado, then this is an acceptable approach. +# SYSREF is captured by the local clock from each DB, so we have two sets of constraints. +set_input_delay -clock fpga_clk_a_v -min -0.479 [get_ports DBA_FPGA_SYSREF_*] +set_input_delay -clock fpga_clk_a_v -max 0.661 [get_ports DBA_FPGA_SYSREF_*] + +set_input_delay -clock fpga_clk_b_v -min -0.479 [get_ports DBB_FPGA_SYSREF_*] +set_input_delay -clock fpga_clk_b_v -max 0.661 [get_ports DBB_FPGA_SYSREF_*] + + +#******************************************************************************* +## PPS Timing + +# Due to the N3xx synchronization and clocking structure, the PPS output is driven from +# the Sample Clock domain instead of the input Reference Clock. Constrain the output as +# tightly as possible to accurately mimic the internal Sample Clock timing. +set SETUP_SKEW 2.0 +set HOLD_SKEW -0.5 +set_output_delay -clock [get_clocks fpga_clk_a_v] -max -$SETUP_SKEW [get_ports REF_1PPS_OUT] +set_output_delay -clock [get_clocks fpga_clk_a_v] -min $HOLD_SKEW [get_ports REF_1PPS_OUT] +set_multicycle_path -setup -to [get_ports REF_1PPS_OUT] -start 0 +set_multicycle_path -hold -to [get_ports REF_1PPS_OUT] -1 + +#******************************************************************************* +### Async I/Os +set DB_ASYNC_OUTPUTS [get_ports { + DB*_MODULE_PWR_ENABLE + DB*_RF_PWR_ENABLE + DB*_CLKDIST_SYNC + DB*_ATR_TX + DB*_ATR_RX + DB*_TXRX_SW_CTRL_1 + DB*_TXRX_SW_CTRL_2 + DB*_LED_RX + DB*_LED_RX2 + DB*_LED_TX + QSFP_I2C_* +}] +set_output_delay -clock [get_clocks async_out_clk] 0.000 $DB_ASYNC_OUTPUTS +set_max_delay -to $DB_ASYNC_OUTPUTS 50.000 +set_min_delay -to $DB_ASYNC_OUTPUTS 0.000 + +set_input_delay -clock [get_clocks async_in_clk] 0.000 [get_ports QSFP_I2C_*] +set_max_delay -from [get_ports QSFP_I2C_*] 50.000 +set_min_delay -from [get_ports QSFP_I2C_*] 0.000 + +#******************************************************************************* +## JTAG + +## MAX 10 JTAG TDI setup: 2 ns +## MAX 10 JTAG TMS setup: 3 ns +## MAX 10 JTAG hold: 10 ns +## MAX 10 JTAG clk-to-q: 18 ns +## Board delay: < 1.5 ns +## +## Setup time = Board delay + TMS setup = 3 ns + 1.5 ns = 4.5 ns +## Hold time = Board delay + TMS hold = 1.5 ns + 10 ns = 11.5 ns +## Overconstrain output delay and keep skew to +/- 8 ns +## +## Input delay = 2x Board delay + clk-to-q = 3 ns + 18 ns = 21 ns + +# Constrain outputs for skew, with same latch/launch edge: +set_output_delay -clock [get_clocks dba_jtag_tck] -max -4.0 \ + [get_ports {DBA_CPLD_JTAG_TDI DBA_CPLD_JTAG_TMS}] +set_output_delay -add_delay -clock_fall -clock [get_clocks dba_jtag_tck] -max -4.0 \ + [get_ports {DBA_CPLD_JTAG_TDI DBA_CPLD_JTAG_TMS}] +set_output_delay -clock [get_clocks dba_jtag_tck] -min 4.0 \ + [get_ports {DBA_CPLD_JTAG_TDI DBA_CPLD_JTAG_TMS}] +set_output_delay -add_delay -clock_fall -clock [get_clocks dba_jtag_tck] -min 4.0 \ + [get_ports {DBA_CPLD_JTAG_TDI DBA_CPLD_JTAG_TMS}] +set_output_delay -clock [get_clocks dbb_jtag_tck] -max -4.0 \ + [get_ports {DBB_CPLD_JTAG_TDI DBB_CPLD_JTAG_TMS}] +set_output_delay -add_delay -clock_fall -clock [get_clocks dbb_jtag_tck] -max -4.0 \ + [get_ports {DBB_CPLD_JTAG_TDI DBB_CPLD_JTAG_TMS}] +set_output_delay -clock [get_clocks dbb_jtag_tck] -min 4.0 \ + [get_ports {DBB_CPLD_JTAG_TDI DBB_CPLD_JTAG_TMS}] +set_output_delay -add_delay -clock_fall -clock [get_clocks dbb_jtag_tck] -min 4.0 \ + [get_ports {DBB_CPLD_JTAG_TDI DBB_CPLD_JTAG_TMS}] +# Finally, make both the setup and hold checks use the same launching and latching edges. +set_multicycle_path -setup -from [get_clocks clk40] -to [get_clocks dba_jtag_tck] -start 0 +set_multicycle_path -hold -from [get_clocks clk40] -to [get_clocks dba_jtag_tck] -1 +set_multicycle_path -setup -from [get_clocks clk40] -to [get_clocks dbb_jtag_tck] -start 0 +set_multicycle_path -hold -from [get_clocks clk40] -to [get_clocks dbb_jtag_tck] -1 + +set_input_delay -clock [get_clocks dba_jtag_tck] -clock_fall -max 21 \ + [get_ports DBA_CPLD_JTAG_TDO] +set_input_delay -clock [get_clocks dba_jtag_tck] -clock_fall -min 0 \ + [get_ports DBA_CPLD_JTAG_TDO] +set_input_delay -clock [get_clocks dbb_jtag_tck] -clock_fall -max 21 \ + [get_ports DBB_CPLD_JTAG_TDO] +set_input_delay -clock [get_clocks dbb_jtag_tck] -clock_fall -min 0 \ + [get_ports DBB_CPLD_JTAG_TDO] +# Inputs have setup checks relative to half a period of TCK (launch on fall, +# latch on rise). Actual latch clock is faster, so push back setup and hold +# checks to match. +set_multicycle_path -setup -from [get_clocks dba_jtag_tck] \ + -through [get_ports DBA_CPLD_JTAG_TDO] \ + [expr {$DB_JTAG_DIVISOR / 2}] +set_multicycle_path -end -hold -from [get_clocks dba_jtag_tck] \ + -through [get_ports DBA_CPLD_JTAG_TDO] \ + [expr {$DB_JTAG_DIVISOR - 1}] +set_multicycle_path -setup -from [get_clocks dbb_jtag_tck] \ + -through [get_ports DBB_CPLD_JTAG_TDO] \ + [expr {$DB_JTAG_DIVISOR / 2}] +set_multicycle_path -end -hold -from [get_clocks dbb_jtag_tck] \ + -through [get_ports DBB_CPLD_JTAG_TDO] \ + [expr {$DB_JTAG_DIVISOR - 1}] diff --git a/fpga/usrp3/top/n3xx/dboards/rh/doc/rh_timing.xlsx b/fpga/usrp3/top/n3xx/dboards/rh/doc/rh_timing.xlsx new file mode 100755 index 000000000..7782ee33c Binary files /dev/null and b/fpga/usrp3/top/n3xx/dboards/rh/doc/rh_timing.xlsx differ diff --git a/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v b/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v new file mode 100644 index 000000000..e697f00d4 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v @@ -0,0 +1,3855 @@ +/////////////////////////////////////////////////////////////////// +/// +// Copyright 2018-2019 Ettus Research, A National Instruments Brand +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// +// Module: n3xx +// Description: +// Top Level for N320, N321 devices +// +////////////////////////////////////////////////////////////////////// + +module n3xx ( + inout [11:0] FPGA_GPIO, + + input FPGA_REFCLK_P, + input FPGA_REFCLK_N, + input REF_1PPS_IN, + input NETCLK_REF_P, + input NETCLK_REF_N, + //input REF_1PPS_IN_MGMT, + output REF_1PPS_OUT, + + //TDC + inout UNUSED_PIN_TDCA_0, + inout UNUSED_PIN_TDCA_1, + inout UNUSED_PIN_TDCA_2, + inout UNUSED_PIN_TDCA_3, + inout UNUSED_PIN_TDCB_0, + inout UNUSED_PIN_TDCB_1, + inout UNUSED_PIN_TDCB_2, + inout UNUSED_PIN_TDCB_3, + +`ifdef NPIO_LANES + input NPIO_RX0_P, + input NPIO_RX0_N, + output NPIO_TX0_P, + output NPIO_TX0_N, + input NPIO_RX1_P, + input NPIO_RX1_N, + output NPIO_TX1_P, + output NPIO_TX1_N, +`endif +`ifdef QSFP_LANES + input [`QSFP_LANES-1:0] QSFP_RX_P, + input [`QSFP_LANES-1:0] QSFP_RX_N, + output [`QSFP_LANES-1:0] QSFP_TX_P, + output [`QSFP_LANES-1:0] QSFP_TX_N, + output QSFP_RESET_B, + output QSFP_LED, + output QSFP_MODSEL_B, + output QSFP_LPMODE, + input QSFP_PRESENT_B, + input QSFP_INT_B, + inout QSFP_I2C_SCL, + inout QSFP_I2C_SDA, +`endif + //TODO: Uncomment when connected here + //input NPIO_0_RXSYNC_0_P, NPIO_0_RXSYNC_1_P, + //input NPIO_0_RXSYNC_0_N, NPIO_0_RXSYNC_1_N, + //output NPIO_0_TXSYNC_0_P, NPIO_0_TXSYNC_1_P, + //output NPIO_0_TXSYNC_0_N, NPIO_0_TXSYNC_1_N, + //input NPIO_1_RXSYNC_0_P, NPIO_1_RXSYNC_1_P, + //input NPIO_1_RXSYNC_0_N, NPIO_1_RXSYNC_1_N, + //output NPIO_1_TXSYNC_0_P, NPIO_1_TXSYNC_1_P, + //output NPIO_1_TXSYNC_0_N, NPIO_1_TXSYNC_1_N, + //input NPIO_2_RXSYNC_0_P, NPIO_2_RXSYNC_1_P, + //input NPIO_2_RXSYNC_0_N, NPIO_2_RXSYNC_1_N, + //output NPIO_2_TXSYNC_0_P, NPIO_2_TXSYNC_1_P, + //output NPIO_2_TXSYNC_0_N, NPIO_2_TXSYNC_1_N, + + //GPS + input GPS_1PPS, + //input GPS_1PPS_RAW, + + //Misc + input ENET0_CLK125, + //inout ENET0_PTP, + //output ENET0_PTP_DIR, + //inout ATSHA204_SDA, + input FPGA_PL_RESETN, // TODO: Add to reset logic + // output reg [1:0] FPGA_TEST, + //input PWR_CLK_FPGA, // TODO: check direction + input FPGA_PUDC_B, + + //White Rabbit + input WB_20MHZ_P, + input WB_20MHZ_N, + output WB_DAC_DIN, + output WB_DAC_NCLR, + output WB_DAC_NLDAC, + output WB_DAC_NSYNC, + output WB_DAC_SCLK, + + //LEDS + output PANEL_LED_GPS, + output PANEL_LED_LINK, + output PANEL_LED_PPS, + output PANEL_LED_REF, + + // ARM Connections (PS) + inout [53:0] MIO, + inout PS_SRSTB, + inout PS_CLK, + inout PS_PORB, + inout DDR_Clk, + inout DDR_Clk_n, + inout DDR_CKE, + inout DDR_CS_n, + inout DDR_RAS_n, + inout DDR_CAS_n, + inout DDR_WEB, + inout [2:0] DDR_BankAddr, + inout [14:0] DDR_Addr, + inout DDR_ODT, + inout DDR_DRSTB, + inout [31:0] DDR_DQ, + inout [3:0] DDR_DM, + inout [3:0] DDR_DQS, + inout [3:0] DDR_DQS_n, + inout DDR_VRP, + inout DDR_VRN, + + + /////////////////////////////////// + // + // High Speed SPF+ signals and clocking + // + /////////////////////////////////// + + // These clock inputs must always be enabled with a buffer regardless of the build + // target to avoid damage to the FPGA. + input NETCLK_P, + input NETCLK_N, + input MGT156MHZ_CLK1_P, + input MGT156MHZ_CLK1_N, + + input SFP_0_RX_P, input SFP_0_RX_N, + output SFP_0_TX_P, output SFP_0_TX_N, + input SFP_1_RX_P, input SFP_1_RX_N, + output SFP_1_TX_P, output SFP_1_TX_N, + + + /////////////////////////////////// + // + // DRAM Interface + // + /////////////////////////////////// + inout [31:0] ddr3_dq, // Data pins. Input for Reads, Output for Writes. + inout [3:0] ddr3_dqs_n, // Data Strobes. Input for Reads, Output for Writes. + inout [3:0] ddr3_dqs_p, + // + output [15:0] ddr3_addr, // Address + output [2:0] ddr3_ba, // Bank Address + output ddr3_ras_n, // Row Address Strobe. + output ddr3_cas_n, // Column address select + output ddr3_we_n, // Write Enable + output ddr3_reset_n, // SDRAM reset pin. + output [0:0] ddr3_ck_p, // Differential clock + output [0:0] ddr3_ck_n, + output [0:0] ddr3_cke, // Clock Enable + output [0:0] ddr3_cs_n, // Chip Select + output [3:0] ddr3_dm, // Data Mask [3] = UDM.U26, [2] = LDM.U26, ... + output [0:0] ddr3_odt, // On-Die termination enable. + // + input sys_clk_p, // Differential + input sys_clk_n, // 100MHz clock source to generate DDR3 clocking. + + + /////////////////////////////////// + // + // Supporting I/O for SPF+ interfaces + // (non high speed stuff) + // + /////////////////////////////////// + + //SFP+ 0, Slow Speed, Bank 13 3.3V + input SFP_0_I2C_NPRESENT, + output SFP_0_LED_A, + output SFP_0_LED_B, + input SFP_0_LOS, + output SFP_0_RS0, + output SFP_0_RS1, + output SFP_0_TXDISABLE, + input SFP_0_TXFAULT, + + //SFP+ 1, Slow Speed, Bank 13 3.3V + //input SFP_1_I2C_NPRESENT, + output SFP_1_LED_A, + output SFP_1_LED_B, + input SFP_1_LOS, + output SFP_1_RS0, + output SFP_1_RS1, + output SFP_1_TXDISABLE, + input SFP_1_TXFAULT, + + //USRP IO A + output DBA_MODULE_PWR_ENABLE, + output DBA_RF_PWR_ENABLE, + + output DBA_CPLD_PS_SPI_SCLK, + output DBA_CLKDIS_SPI_CS_B, + output DBA_CPLD_PS_SPI_CS_B, + output DBA_PHDAC_SPI_CS_B, + output DBA_CPLD_PS_SPI_MOSI, + input DBA_CPLD_PS_SPI_MISO, + + output DBA_ATR_RX, + output DBA_ATR_TX, + output DBA_TXRX_SW_CTRL_1, + output DBA_TXRX_SW_CTRL_2, + + output DBA_CPLD_PL_SPI_SCLK, + output DBA_ADC_SPI_CS_B, + output DBA_DAC_SPI_CS_B, + output DBA_TXLO_SPI_CS_B, + output DBA_RXLO_SPI_CS_B, + output DBA_LODIS_SPI_CS_B, + output DBA_CPLD_PL_SPI_CS_B, + output DBA_CPLD_PL_SPI_MOSI, + input DBA_CPLD_PL_SPI_MISO, + + output DBA_ADC_SYNCB_P, + output DBA_ADC_SYNCB_N, + input DBA_DAC_SYNCB_P, + input DBA_DAC_SYNCB_N, + + output DBA_CLKDIST_SYNC, + + inout DBA_CPLD_JTAG_TCK, + inout DBA_CPLD_JTAG_TMS, + inout DBA_CPLD_JTAG_TDI, + input DBA_CPLD_JTAG_TDO, + + input DBA_FPGA_CLK_P, + input DBA_FPGA_CLK_N, + + input DBA_FPGA_SYSREF_P, + input DBA_FPGA_SYSREF_N, + + input DBA_MGTCLK_P, + input DBA_MGTCLK_N, + + input [3:0] DBA_RX_P, + input [3:0] DBA_RX_N, + output [3:0] DBA_TX_P, + output [3:0] DBA_TX_N, + + output DBA_LED_RX, + output DBA_LED_RX2, + output DBA_LED_TX, + + //USRP IO B + output DBB_MODULE_PWR_ENABLE, + output DBB_RF_PWR_ENABLE, + + output DBB_CPLD_PS_SPI_SCLK, + output DBB_CLKDIS_SPI_CS_B, + output DBB_CPLD_PS_SPI_CS_B, + output DBB_PHDAC_SPI_CS_B, + output DBB_CPLD_PS_SPI_MOSI, + input DBB_CPLD_PS_SPI_MISO, + + output DBB_ATR_RX, + output DBB_ATR_TX, + output DBB_TXRX_SW_CTRL_1, + output DBB_TXRX_SW_CTRL_2, + + output DBB_CPLD_PL_SPI_SCLK, + output DBB_ADC_SPI_CS_B, + output DBB_DAC_SPI_CS_B, + output DBB_TXLO_SPI_CS_B, + output DBB_RXLO_SPI_CS_B, + output DBB_LODIS_SPI_CS_B, + output DBB_CPLD_PL_SPI_CS_B, + output DBB_CPLD_PL_SPI_MOSI, + input DBB_CPLD_PL_SPI_MISO, + + output DBB_ADC_SYNCB_P, + output DBB_ADC_SYNCB_N, + input DBB_DAC_SYNCB_P, + input DBB_DAC_SYNCB_N, + + output DBB_CLKDIST_SYNC, + + inout DBB_CPLD_JTAG_TCK, + inout DBB_CPLD_JTAG_TMS, + inout DBB_CPLD_JTAG_TDI, + input DBB_CPLD_JTAG_TDO, + + input DBB_FPGA_CLK_P, + input DBB_FPGA_CLK_N, + + input DBB_FPGA_SYSREF_P, + input DBB_FPGA_SYSREF_N, + + input DBB_MGTCLK_P, + input DBB_MGTCLK_N, + + input [3:0] DBB_RX_P, + input [3:0] DBB_RX_N, + output [3:0] DBB_TX_P, + output [3:0] DBB_TX_N, + + output DBB_LED_RX, + output DBB_LED_RX2, + output DBB_LED_TX +); + + localparam N_AXILITE_SLAVES = 4; + localparam REG_AWIDTH = 14; // log2(0x4000) + localparam QSFP_REG_AWIDTH = 17; // log2(0x20000) + localparam REG_DWIDTH = 32; + localparam FP_GPIO_OFFSET = 32; + localparam FP_GPIO_WIDTH = 12; + + localparam NUM_RADIOS = 2; + localparam NUM_CHANNELS_PER_RADIO = 1; + localparam NUM_DBOARDS = 2; + localparam NUM_CHANNELS = NUM_RADIOS * NUM_CHANNELS_PER_RADIO; + localparam CHANNEL_WIDTH = 32; + + + // Internal connections to PS + // HP0 -- High Performance port 0, FPGA is the master + wire [5:0] S_AXI_HP0_AWID; + wire [31:0] S_AXI_HP0_AWADDR; + wire [2:0] S_AXI_HP0_AWPROT; + wire S_AXI_HP0_AWVALID; + wire S_AXI_HP0_AWREADY; + wire [63:0] S_AXI_HP0_WDATA; + wire [7:0] S_AXI_HP0_WSTRB; + wire S_AXI_HP0_WVALID; + wire S_AXI_HP0_WREADY; + wire [1:0] S_AXI_HP0_BRESP; + wire S_AXI_HP0_BVALID; + wire S_AXI_HP0_BREADY; + wire [5:0] S_AXI_HP0_ARID; + wire [31:0] S_AXI_HP0_ARADDR; + wire [2:0] S_AXI_HP0_ARPROT; + wire S_AXI_HP0_ARVALID; + wire S_AXI_HP0_ARREADY; + wire [63:0] S_AXI_HP0_RDATA; + wire [1:0] S_AXI_HP0_RRESP; + wire S_AXI_HP0_RVALID; + wire S_AXI_HP0_RREADY; + wire S_AXI_HP0_RLAST; + wire [3:0] S_AXI_HP0_ARCACHE; + wire [7:0] S_AXI_HP0_AWLEN; + wire [2:0] S_AXI_HP0_AWSIZE; + wire [1:0] S_AXI_HP0_AWBURST; + wire [3:0] S_AXI_HP0_AWCACHE; + wire S_AXI_HP0_WLAST; + wire [7:0] S_AXI_HP0_ARLEN; + wire [1:0] S_AXI_HP0_ARBURST; + wire [2:0] S_AXI_HP0_ARSIZE; + + // GP0 -- General Purpose port 0, FPGA is the master + wire [4:0] S_AXI_GP0_AWID; + wire [31:0] S_AXI_GP0_AWADDR; + wire [2:0] S_AXI_GP0_AWPROT; + wire S_AXI_GP0_AWVALID; + wire S_AXI_GP0_AWREADY; + wire [31:0] S_AXI_GP0_WDATA; + wire [3:0] S_AXI_GP0_WSTRB; + wire S_AXI_GP0_WVALID; + wire S_AXI_GP0_WREADY; + wire [1:0] S_AXI_GP0_BRESP; + wire S_AXI_GP0_BVALID; + wire S_AXI_GP0_BREADY; + wire [4:0] S_AXI_GP0_ARID; + wire [31:0] S_AXI_GP0_ARADDR; + wire [2:0] S_AXI_GP0_ARPROT; + wire S_AXI_GP0_ARVALID; + wire S_AXI_GP0_ARREADY; + wire [31:0] S_AXI_GP0_RDATA; + wire [1:0] S_AXI_GP0_RRESP; + wire S_AXI_GP0_RVALID; + wire S_AXI_GP0_RREADY; + wire S_AXI_GP0_RLAST; + wire [3:0] S_AXI_GP0_ARCACHE; + wire [7:0] S_AXI_GP0_AWLEN; + wire [2:0] S_AXI_GP0_AWSIZE; + wire [1:0] S_AXI_GP0_AWBURST; + wire [3:0] S_AXI_GP0_AWCACHE; + wire S_AXI_GP0_WLAST; + wire [7:0] S_AXI_GP0_ARLEN; + wire [1:0] S_AXI_GP0_ARBURST; + wire [2:0] S_AXI_GP0_ARSIZE; + + // HP1 -- High Performance port 1, FPGA is the master + wire [5:0] S_AXI_HP1_AWID; + wire [31:0] S_AXI_HP1_AWADDR; + wire [2:0] S_AXI_HP1_AWPROT; + wire S_AXI_HP1_AWVALID; + wire S_AXI_HP1_AWREADY; + wire [63:0] S_AXI_HP1_WDATA; + wire [7:0] S_AXI_HP1_WSTRB; + wire S_AXI_HP1_WVALID; + wire S_AXI_HP1_WREADY; + wire [1:0] S_AXI_HP1_BRESP; + wire S_AXI_HP1_BVALID; + wire S_AXI_HP1_BREADY; + wire [5:0] S_AXI_HP1_ARID; + wire [31:0] S_AXI_HP1_ARADDR; + wire [2:0] S_AXI_HP1_ARPROT; + wire S_AXI_HP1_ARVALID; + wire S_AXI_HP1_ARREADY; + wire [63:0] S_AXI_HP1_RDATA; + wire [1:0] S_AXI_HP1_RRESP; + wire S_AXI_HP1_RVALID; + wire S_AXI_HP1_RREADY; + wire S_AXI_HP1_RLAST; + wire [3:0] S_AXI_HP1_ARCACHE; + wire [7:0] S_AXI_HP1_AWLEN; + wire [2:0] S_AXI_HP1_AWSIZE; + wire [1:0] S_AXI_HP1_AWBURST; + wire [3:0] S_AXI_HP1_AWCACHE; + wire S_AXI_HP1_WLAST; + wire [7:0] S_AXI_HP1_ARLEN; + wire [1:0] S_AXI_HP1_ARBURST; + wire [2:0] S_AXI_HP1_ARSIZE; + + // GP1 -- General Purpose port 1, FPGA is the master + wire [4:0] S_AXI_GP1_AWID; + wire [31:0] S_AXI_GP1_AWADDR; + wire [2:0] S_AXI_GP1_AWPROT; + wire S_AXI_GP1_AWVALID; + wire S_AXI_GP1_AWREADY; + wire [31:0] S_AXI_GP1_WDATA; + wire [3:0] S_AXI_GP1_WSTRB; + wire S_AXI_GP1_WVALID; + wire S_AXI_GP1_WREADY; + wire [1:0] S_AXI_GP1_BRESP; + wire S_AXI_GP1_BVALID; + wire S_AXI_GP1_BREADY; + wire [4:0] S_AXI_GP1_ARID; + wire [31:0] S_AXI_GP1_ARADDR; + wire [2:0] S_AXI_GP1_ARPROT; + wire S_AXI_GP1_ARVALID; + wire S_AXI_GP1_ARREADY; + wire [31:0] S_AXI_GP1_RDATA; + wire [1:0] S_AXI_GP1_RRESP; + wire S_AXI_GP1_RVALID; + wire S_AXI_GP1_RREADY; + wire S_AXI_GP1_RLAST; + wire [3:0] S_AXI_GP1_ARCACHE; + wire [7:0] S_AXI_GP1_AWLEN; + wire [2:0] S_AXI_GP1_AWSIZE; + wire [1:0] S_AXI_GP1_AWBURST; + wire [3:0] S_AXI_GP1_AWCACHE; + wire S_AXI_GP1_WLAST; + wire [7:0] S_AXI_GP1_ARLEN; + wire [1:0] S_AXI_GP1_ARBURST; + wire [2:0] S_AXI_GP1_ARSIZE; + + // GP0 -- General Purpose port 0, FPGA is the slave + wire M_AXI_GP0_ARVALID; + wire M_AXI_GP0_AWVALID; + wire M_AXI_GP0_BREADY; + wire M_AXI_GP0_RREADY; + wire M_AXI_GP0_WVALID; + wire [11:0] M_AXI_GP0_ARID; + wire [11:0] M_AXI_GP0_AWID; + wire [11:0] M_AXI_GP0_WID; + wire [31:0] M_AXI_GP0_ARADDR; + wire [31:0] M_AXI_GP0_AWADDR; + wire [31:0] M_AXI_GP0_WDATA; + wire [3:0] M_AXI_GP0_WSTRB; + wire M_AXI_GP0_ARREADY; + wire M_AXI_GP0_AWREADY; + wire M_AXI_GP0_BVALID; + wire M_AXI_GP0_RLAST; + wire M_AXI_GP0_RVALID; + wire M_AXI_GP0_WREADY; + wire [1:0] M_AXI_GP0_BRESP; + wire [1:0] M_AXI_GP0_RRESP; + wire [31:0] M_AXI_GP0_RDATA; + + wire M_AXI_ETH_DMA0_ARVALID; + wire M_AXI_ETH_DMA0_AWVALID; + wire M_AXI_ETH_DMA0_BREADY; + wire M_AXI_ETH_DMA0_RREADY; + wire M_AXI_ETH_DMA0_WVALID; + wire [11:0] M_AXI_ETH_DMA0_ARID; + wire [11:0] M_AXI_ETH_DMA0_AWID; + wire [11:0] M_AXI_ETH_DMA0_WID; + wire [31:0] M_AXI_ETH_DMA0_ARADDR; + wire [31:0] M_AXI_ETH_DMA0_AWADDR; + wire [31:0] M_AXI_ETH_DMA0_WDATA; + wire [3:0] M_AXI_ETH_DMA0_WSTRB; + wire M_AXI_ETH_DMA0_ARREADY; + wire M_AXI_ETH_DMA0_AWREADY; + wire M_AXI_ETH_DMA0_BVALID; + wire M_AXI_ETH_DMA0_RLAST; + wire M_AXI_ETH_DMA0_RVALID; + wire M_AXI_ETH_DMA0_WREADY; + wire [1:0] M_AXI_ETH_DMA0_BRESP; + wire [1:0] M_AXI_ETH_DMA0_RRESP; + wire [31:0] M_AXI_ETH_DMA0_RDATA; + + wire M_AXI_NET0_ARVALID; + wire M_AXI_NET0_AWVALID; + wire M_AXI_NET0_BREADY; + wire M_AXI_NET0_RREADY; + wire M_AXI_NET0_WVALID; + wire [11:0] M_AXI_NET0_ARID; + wire [11:0] M_AXI_NET0_AWID; + wire [11:0] M_AXI_NET0_WID; + wire [31:0] M_AXI_NET0_ARADDR; + wire [31:0] M_AXI_NET0_AWADDR; + wire [31:0] M_AXI_NET0_WDATA; + wire [3:0] M_AXI_NET0_WSTRB; + wire M_AXI_NET0_ARREADY; + wire M_AXI_NET0_AWREADY; + wire M_AXI_NET0_BVALID; + wire M_AXI_NET0_RLAST; + wire M_AXI_NET0_RVALID; + wire M_AXI_NET0_WREADY; + wire [1:0] M_AXI_NET0_BRESP; + wire [1:0] M_AXI_NET0_RRESP; + wire [31:0] M_AXI_NET0_RDATA; + + wire M_AXI_ETH_DMA1_ARVALID; + wire M_AXI_ETH_DMA1_AWVALID; + wire M_AXI_ETH_DMA1_BREADY; + wire M_AXI_ETH_DMA1_RREADY; + wire M_AXI_ETH_DMA1_WVALID; + wire [11:0] M_AXI_ETH_DMA1_ARID; + wire [11:0] M_AXI_ETH_DMA1_AWID; + wire [11:0] M_AXI_ETH_DMA1_WID; + wire [31:0] M_AXI_ETH_DMA1_ARADDR; + wire [31:0] M_AXI_ETH_DMA1_AWADDR; + wire [31:0] M_AXI_ETH_DMA1_WDATA; + wire [3:0] M_AXI_ETH_DMA1_WSTRB; + wire M_AXI_ETH_DMA1_ARREADY; + wire M_AXI_ETH_DMA1_AWREADY; + wire M_AXI_ETH_DMA1_BVALID; + wire M_AXI_ETH_DMA1_RLAST; + wire M_AXI_ETH_DMA1_RVALID; + wire M_AXI_ETH_DMA1_WREADY; + wire [1:0] M_AXI_ETH_DMA1_BRESP; + wire [1:0] M_AXI_ETH_DMA1_RRESP; + wire [31:0] M_AXI_ETH_DMA1_RDATA; + + wire M_AXI_NET1_ARVALID; + wire M_AXI_NET1_AWVALID; + wire M_AXI_NET1_BREADY; + wire M_AXI_NET1_RREADY; + wire M_AXI_NET1_WVALID; + wire [11:0] M_AXI_NET1_ARID; + wire [11:0] M_AXI_NET1_AWID; + wire [11:0] M_AXI_NET1_WID; + wire [31:0] M_AXI_NET1_ARADDR; + wire [31:0] M_AXI_NET1_AWADDR; + wire [31:0] M_AXI_NET1_WDATA; + wire [3:0] M_AXI_NET1_WSTRB; + wire M_AXI_NET1_ARREADY; + wire M_AXI_NET1_AWREADY; + wire M_AXI_NET1_BVALID; + wire M_AXI_NET1_RLAST; + wire M_AXI_NET1_RVALID; + wire M_AXI_NET1_WREADY; + wire [1:0] M_AXI_NET1_BRESP; + wire [1:0] M_AXI_NET1_RRESP; + wire [31:0] M_AXI_NET1_RDATA; + + wire M_AXI_NET2_ARVALID; + wire M_AXI_NET2_AWVALID; + wire M_AXI_NET2_BREADY; + wire M_AXI_NET2_RREADY; + wire M_AXI_NET2_WVALID; + wire [11:0] M_AXI_NET2_ARID; + wire [11:0] M_AXI_NET2_AWID; + wire [11:0] M_AXI_NET2_WID; + wire [31:0] M_AXI_NET2_ARADDR; + wire [31:0] M_AXI_NET2_AWADDR; + wire [31:0] M_AXI_NET2_WDATA; + wire [3:0] M_AXI_NET2_WSTRB; + wire M_AXI_NET2_ARREADY; + wire M_AXI_NET2_AWREADY; + wire M_AXI_NET2_BVALID; + wire M_AXI_NET2_RLAST; + wire M_AXI_NET2_RVALID; + wire M_AXI_NET2_WREADY; + wire [1:0] M_AXI_NET2_BRESP; + wire [1:0] M_AXI_NET2_RRESP; + wire [31:0] M_AXI_NET2_RDATA; + + wire M_AXI_XBAR_ARVALID; + wire M_AXI_XBAR_AWVALID; + wire M_AXI_XBAR_BREADY; + wire M_AXI_XBAR_RREADY; + wire M_AXI_XBAR_WVALID; + wire [11:0] M_AXI_XBAR_ARID; + wire [11:0] M_AXI_XBAR_AWID; + wire [11:0] M_AXI_XBAR_WID; + wire [31:0] M_AXI_XBAR_ARADDR; + wire [31:0] M_AXI_XBAR_AWADDR; + wire [31:0] M_AXI_XBAR_WDATA; + wire [3:0] M_AXI_XBAR_WSTRB; + wire M_AXI_XBAR_ARREADY; + wire M_AXI_XBAR_AWREADY; + wire M_AXI_XBAR_BVALID; + wire M_AXI_XBAR_RLAST; + wire M_AXI_XBAR_RVALID; + wire M_AXI_XBAR_WREADY; + wire [1:0] M_AXI_XBAR_BRESP; + wire [1:0] M_AXI_XBAR_RRESP; + wire [31:0] M_AXI_XBAR_RDATA; + + wire M_AXI_JESD0_ARVALID; + wire M_AXI_JESD0_AWVALID; + wire M_AXI_JESD0_BREADY; + wire M_AXI_JESD0_RREADY; + wire M_AXI_JESD0_WVALID; + wire [11:0] M_AXI_JESD0_ARID; + wire [11:0] M_AXI_JESD0_AWID; + wire [11:0] M_AXI_JESD0_WID; + wire [31:0] M_AXI_JESD0_ARADDR; + wire [31:0] M_AXI_JESD0_AWADDR; + wire [31:0] M_AXI_JESD0_WDATA; + wire [3:0] M_AXI_JESD0_WSTRB; + wire M_AXI_JESD0_ARREADY; + wire M_AXI_JESD0_AWREADY; + wire M_AXI_JESD0_BVALID; + wire M_AXI_JESD0_RLAST; + wire M_AXI_JESD0_RVALID; + wire M_AXI_JESD0_WREADY; + wire [1:0] M_AXI_JESD0_BRESP; + wire [1:0] M_AXI_JESD0_RRESP; + wire [31:0] M_AXI_JESD0_RDATA; + + wire M_AXI_JESD1_ARVALID; + wire M_AXI_JESD1_AWVALID; + wire M_AXI_JESD1_BREADY; + wire M_AXI_JESD1_RREADY; + wire M_AXI_JESD1_WVALID; + wire [11:0] M_AXI_JESD1_ARID; + wire [11:0] M_AXI_JESD1_AWID; + wire [11:0] M_AXI_JESD1_WID; + wire [31:0] M_AXI_JESD1_ARADDR; + wire [31:0] M_AXI_JESD1_AWADDR; + wire [31:0] M_AXI_JESD1_WDATA; + wire [3:0] M_AXI_JESD1_WSTRB; + wire M_AXI_JESD1_ARREADY; + wire M_AXI_JESD1_AWREADY; + wire M_AXI_JESD1_BVALID; + wire M_AXI_JESD1_RLAST; + wire M_AXI_JESD1_RVALID; + wire M_AXI_JESD1_WREADY; + wire [1:0] M_AXI_JESD1_BRESP; + wire [1:0] M_AXI_JESD1_RRESP; + wire [31:0] M_AXI_JESD1_RDATA; + + // White Rabbit + wire wr_uart_txd; + wire wr_uart_rxd; + wire pps_wr_refclk; + wire wr_ref_clk; + + // AXI bus from PS to WR Core + wire m_axi_wr_clk; + wire [31:0] m_axi_wr_araddr; + wire [0:0] m_axi_wr_arready; + wire [0:0] m_axi_wr_arvalid; + wire [31:0] m_axi_wr_awaddr; + wire [0:0] m_axi_wr_awready; + wire [0:0] m_axi_wr_awvalid; + wire [0:0] m_axi_wr_bready; + wire [1:0] m_axi_wr_bresp; + wire [0:0] m_axi_wr_bvalid; + wire [31:0] m_axi_wr_rdata; + wire [0:0] m_axi_wr_rready; + wire [1:0] m_axi_wr_rresp; + wire [0:0] m_axi_wr_rvalid; + wire [31:0] m_axi_wr_wdata; + wire [0:0] m_axi_wr_wready; + wire [3:0] m_axi_wr_wstrb; + wire [0:0] m_axi_wr_wvalid; + + wire [63:0] ps_gpio_out; + wire [63:0] ps_gpio_in; + wire [63:0] ps_gpio_tri; + + wire [15:0] IRQ_F2P; + wire FCLK_CLK0; + wire FCLK_CLK1; + wire FCLK_CLK2; + wire FCLK_CLK3; + wire clk100; + wire clk40; + wire meas_clk_ref; + wire bus_clk; + wire gige_refclk; + wire gige_refclk_bufg; + wire xgige_refclk; + wire xgige_clk156; + wire xgige_dclk; + + wire global_rst; + wire radio_rst; + wire bus_rst; + wire FCLK_RESET0_N; + wire clk40_rst; + wire clk40_rstn; + + wire [1:0] USB0_PORT_INDCTL; + wire USB0_VBUS_PWRSELECT; + wire USB0_VBUS_PWRFAULT; + + wire ref_clk; + wire wr_refclk_buf; + wire netclk_buf; + wire meas_clk; + wire ddr3_dma_clk; + wire meas_clk_reset; + wire meas_clk_locked; + wire enable_ref_clk_async; + wire pps_radioclk1x_iob; + wire pps_radioclk1x; + wire [3:0] pps_select; + wire pps_out_enb; + wire [1:0] pps_select_sfp; + wire pps_refclk; + wire export_pps_radioclk; + wire radio_clk; + wire radio_clkB; + wire radio_clk_2x; + wire radio_clk_2xB; + + wire qsfp_sda_i; + wire qsfp_sda_o; + wire qsfp_sda_t; + wire qsfp_scl_i; + wire qsfp_scl_o; + wire qsfp_scl_t; + + ///////////////////////////////////////////////////////////////////// + // + // Resets + // + ////////////////////////////////////////////////////////////////////// + + // Global synchronous reset, on the bus_clk domain. De-asserts after 85 + // bus_clk cycles. Asserted by default. + por_gen por_gen(.clk(bus_clk), .reset_out(global_rst)); + + // Synchronous reset for the radio_clk domain, based on the global_rst. + reset_sync radio_reset_gen ( + .clk(radio_clk), + .reset_in(global_rst), + .reset_out(radio_rst) + ); + + // Synchronous reset for the bus_clk domain, based on the global_rst. + reset_sync bus_reset_gen ( + .clk(bus_clk), + .reset_in(global_rst), + .reset_out(bus_rst) + ); + + + // PS-based Resets // + // + // Synchronous reset for the clk40 domain. This is derived from the PS reset 0. + reset_sync clk40_reset_gen ( + .clk(clk40), + .reset_in(~FCLK_RESET0_N), + .reset_out(clk40_rst) + ); + // Invert for various modules. + assign clk40_rstn = ~clk40_rst; + + + ///////////////////////////////////////////////////////////////////// + // + // Timing + // + ////////////////////////////////////////////////////////////////////// + + // Clocks from the PS + // + // These clocks appear to have BUFGs already instantiated by the ip generator. + // Simply rename them here for clarity. + // FCLK_CLK0 : 100 MHz + // FCLK_CLK1 : 40 MHz + // FCLK_CLK2 : 166.6667 MHz + // FCLK_CLK3 : 200 MHz + assign clk100 = FCLK_CLK0; + assign clk40 = FCLK_CLK1; + assign meas_clk_ref = FCLK_CLK2; + assign bus_clk = FCLK_CLK3; + + //If bus_clk freq ever changes, update this paramter accordingly. + localparam BUS_CLK_RATE = 32'd200000000; //200 MHz bus_clk rate. + + n3xx_clocking n3xx_clocking_i ( + .enable_ref_clk_async(enable_ref_clk_async), + .FPGA_REFCLK_P(FPGA_REFCLK_P), + .FPGA_REFCLK_N(FPGA_REFCLK_N), + .ref_clk(ref_clk), + .WB_20MHz_P(WB_20MHZ_P), + .WB_20MHz_N(WB_20MHZ_N), + .wr_refclk_buf(wr_refclk_buf), + .NETCLK_REF_P(NETCLK_REF_P), + .NETCLK_REF_N(NETCLK_REF_N), + .netclk_buf(netclk_buf), + .NETCLK_P(NETCLK_P), + .NETCLK_N(NETCLK_N), + .gige_refclk_buf(gige_refclk), + .MGT156MHZ_CLK1_P(MGT156MHZ_CLK1_P), + .MGT156MHZ_CLK1_N(MGT156MHZ_CLK1_N), + .xgige_refclk_buf(xgige_refclk), + .misc_clks_ref(meas_clk_ref), + .meas_clk(meas_clk), + .ddr3_dma_clk(ddr3_dma_clk), + .misc_clks_reset(meas_clk_reset), + .misc_clks_locked(meas_clk_locked), + .ext_pps_from_pin(REF_1PPS_IN), + .gps_pps_from_pin(GPS_1PPS), + .pps_select(pps_select), + .pps_refclk(pps_refclk) + ); + + // Drive the rear panel connector with another controllable copy of the post-TDC PPS + // that SW can enable/disable. The user is free to hack this to be whatever + // they desire. Flop the PPS signal one more time in order that it can be packed into + // an IOB. This extra flop stage matches the additional flop inside DbCore to allow + // pps_radioclk1x and pps_out_radioclk to be in sync with one another. + synchronizer #( + .FALSE_PATH_TO_IN(0) + ) pps_export_dsync ( + .clk(radio_clk), .rst(1'b0), .in(pps_out_enb), .out(export_pps_radioclk) + ); + + // The radio_clk rate is between [122.88M, 250M] for all known N3xx variants, + // resulting in approximately [8ns, 4ns] periods. To pulse-extend the PPS output, + // we create a 25 bit-wide counter, creating ~[.262s, .131s] long output high pulses, + // variable depending on our radio_clk rate. Create two of the same output signal + // in order that the PPS_OUT gets packed into an IOB for tight timing. + reg [24:0] pps_out_count = 'b0; + reg pps_out_radioclk = 1'b0; + reg pps_led_radioclk = 1'b0; + + always @(posedge radio_clk) begin + if (export_pps_radioclk) begin + if (pps_radioclk1x_iob) begin + pps_out_radioclk <= 1'b1; + pps_led_radioclk <= 1'b1; + pps_out_count <= {25{1'b1}}; + end else begin + if (pps_out_count > 0) begin + pps_out_count <= pps_out_count - 1'b1; + end else begin + pps_out_radioclk <= 1'b0; + pps_led_radioclk <= 1'b0; + end + end + end else begin + pps_out_radioclk <= 1'b0; + pps_led_radioclk <= 1'b0; + end + end + // Local to output. + assign REF_1PPS_OUT = pps_out_radioclk; + assign PANEL_LED_PPS = pps_led_radioclk; + + ///////////////////////////////////////////////////////////////////// + // + // SFP, QSFP and NPIO MGT Connections + // + ////////////////////////////////////////////////////////////////////// + wire reg_wr_req_npio; + wire [REG_AWIDTH-1:0] reg_wr_addr_npio; + wire [REG_DWIDTH-1:0] reg_wr_data_npio; + wire reg_rd_req_npio; + wire [REG_AWIDTH-1:0] reg_rd_addr_npio; + wire reg_rd_resp_npio, reg_rd_resp_npio0, reg_rd_resp_npio1; + wire [REG_DWIDTH-1:0] reg_rd_data_npio, reg_rd_data_npio0, reg_rd_data_npio1; + + localparam NPIO_REG_BASE = 14'h0200; + + regport_resp_mux #( + .WIDTH (REG_DWIDTH), + .NUM_SLAVES (2) + ) npio_resp_mux_i( + .clk(bus_clk), .reset(bus_rst), + .sla_rd_resp({reg_rd_resp_npio0, reg_rd_resp_npio1}), + .sla_rd_data({reg_rd_data_npio0, reg_rd_data_npio1}), + .mst_rd_resp(reg_rd_resp_npio), .mst_rd_data(reg_rd_data_npio) + ); + + //-------------------------------------------------------------- + // SFP/MGT Reference Clocks + //-------------------------------------------------------------- + + // We support the HG, XG, XA, AA targets, all of which require + // the 156.25MHz reference clock. Instantiate it here. + ten_gige_phy_clk_gen xgige_clk_gen_i ( + .refclk_ibuf(xgige_refclk), + .clk156(xgige_clk156), + .dclk(xgige_dclk) + ); + + wire qpllreset; + wire qpllreset_sfp0, qpllreset_sfp1, qpllreset_npio0, qpllreset_npio1; + wire qplllock; + wire qplloutclk; + wire qplloutrefclk; + + // We reuse this GT_COMMON wrapper for both ethernet and Aurora because + // the behavior is identical + ten_gig_eth_pcs_pma_gt_common # ( + .WRAPPER_SIM_GTRESET_SPEEDUP("TRUE") //Does not affect hardware + ) ten_gig_eth_pcs_pma_gt_common_block ( + .refclk(xgige_refclk), + .qpllreset(qpllreset), //from 2nd sfp + .qplllock(qplllock), + .qplloutclk(qplloutclk), + .qplloutrefclk(qplloutrefclk), + .qpllrefclksel(3'b101 /*GTSOUTHREFCLK0*/) + ); + + // The quad's QPLL should reset if any of the channels request it + // This should never really happen because we are not changing the reference clock + // source for the QPLL. + assign qpllreset = qpllreset_sfp0 | qpllreset_sfp1 | qpllreset_npio0 | qpllreset_npio1; + + // Use the 156.25MHz reference clock for Aurora + wire aurora_refclk = xgige_refclk; + wire aurora_clk156 = xgige_clk156; + wire aurora_init_clk = xgige_dclk; + + // White Rabbit and 1GbE both use the same clocking +`ifdef SFP0_1GBE + `define SFP0_WR_1GBE +`endif +`ifdef SFP0_WR + `define SFP0_WR_1GBE +`endif + +`ifdef SFP0_WR_1GBE + // HG and WX targets require the 1GbE clock support + BUFG bufg_gige_refclk_i ( + .I(gige_refclk), + .O(gige_refclk_bufg) + ); + assign SFP_0_RS0 = 1'b0; + assign SFP_0_RS1 = 1'b0; +`else + assign SFP_0_RS0 = 1'b1; + assign SFP_0_RS1 = 1'b1; +`endif + + // SFP 1 is always set to run at ~10Gbps rates. + assign SFP_1_RS0 = 1'b1; + assign SFP_1_RS1 = 1'b1; + + // SFP port specific reference clocks + wire sfp0_gt_refclk, sfp1_gt_refclk; + wire sfp0_gb_refclk, sfp1_gb_refclk; + wire sfp0_misc_clk, sfp1_misc_clk; + +`ifdef SFP0_10GBE + assign sfp0_gt_refclk = xgige_refclk; + assign sfp0_gb_refclk = xgige_clk156; + assign sfp0_misc_clk = xgige_dclk; +`endif +`ifdef SFP0_WR_1GBE + assign sfp0_gt_refclk = gige_refclk; + assign sfp0_gb_refclk = gige_refclk_bufg; + assign sfp0_misc_clk = gige_refclk_bufg; +`endif +`ifdef SFP0_AURORA + assign sfp0_gt_refclk = aurora_refclk; + assign sfp0_gb_refclk = aurora_clk156; + assign sfp0_misc_clk = aurora_init_clk; +`endif + +`ifdef SFP1_10GBE + assign sfp1_gt_refclk = xgige_refclk; + assign sfp1_gb_refclk = xgige_clk156; + assign sfp1_misc_clk = xgige_dclk; +`endif +`ifdef SFP1_1GBE + assign sfp1_gt_refclk = gige_refclk; + assign sfp1_gb_refclk = gige_refclk_bufg; + assign sfp1_misc_clk = gige_refclk_bufg; +`endif +`ifdef SFP1_AURORA + assign sfp1_gt_refclk = aurora_refclk; + assign sfp1_gb_refclk = aurora_clk156; + assign sfp1_misc_clk = aurora_init_clk; +`endif + + // Instantiate Aurora MMCM if either of the SFPs + // or NPIOs are Aurora + wire au_tx_clk; + wire au_mmcm_reset; + wire au_user_clk; + wire au_sync_clk; + wire au_mmcm_locked; + wire sfp0_tx_out_clk, sfp1_tx_out_clk; + wire sfp0_gt_pll_lock, sfp1_gt_pll_lock; + wire npio0_tx_out_clk, npio1_tx_out_clk; + wire npio0_gt_pll_lock, npio1_gt_pll_lock; + + //NOTE: need to declare one of these defines in order to enable Aurora on + //any SFP or NPIO lane. +`ifdef SFP1_AURORA + `define SFP_AU_MMCM + assign au_tx_clk = sfp1_tx_out_clk; + assign au_mmcm_reset = ~sfp1_gt_pll_lock; +`elsif NPIO0 + `define SFP_AU_MMCM + assign au_tx_clk = npio0_tx_out_clk; + assign au_mmcm_reset = ~npio0_gt_pll_lock; +`elsif NPIO1 + `define SFP_AU_MMCM + assign au_tx_clk = npio1_tx_out_clk; + assign au_mmcm_reset = ~npio1_gt_pll_lock; +`endif + + +`ifdef SFP_AU_MMCM + aurora_phy_mmcm au_phy_mmcm_i ( + .aurora_tx_clk_unbuf(au_tx_clk), + .mmcm_reset(au_mmcm_reset), + .user_clk(au_user_clk), + .sync_clk(au_sync_clk), + .mmcm_locked(au_mmcm_locked) + ); +`else + assign au_user_clk = 1'b0; + assign au_sync_clk = 1'b0; + assign au_mmcm_locked = 1'b0; +`endif + + //-------------------------------------------------------------- + // NPIO-QSFP MGT Lanes (Example loopback config) + //-------------------------------------------------------------- + +`ifdef QSFP_LANES + localparam NUM_QSFP_LANES = `QSFP_LANES; + + // QSFP wires to the ARM core and the crossbar + // These will only be connected if QSFP is 2x10 GbE + wire [NUM_QSFP_LANES*64-1:0] arm_eth_qsfp_tx_tdata_b; + wire [NUM_QSFP_LANES-1:0] arm_eth_qsfp_tx_tvalid_b; + wire [NUM_QSFP_LANES-1:0] arm_eth_qsfp_tx_tlast_b; + wire [NUM_QSFP_LANES-1:0] arm_eth_qsfp_tx_tready_b; + wire [NUM_QSFP_LANES*4-1:0] arm_eth_qsfp_tx_tuser_b; + wire [NUM_QSFP_LANES*8-1:0] arm_eth_qsfp_tx_tkeep_b; + + wire [NUM_QSFP_LANES*64-1:0] arm_eth_qsfp_rx_tdata_b; + wire [NUM_QSFP_LANES-1:0] arm_eth_qsfp_rx_tvalid_b; + wire [NUM_QSFP_LANES-1:0] arm_eth_qsfp_rx_tlast_b; + wire [NUM_QSFP_LANES-1:0] arm_eth_qsfp_rx_tready_b; + wire [NUM_QSFP_LANES*4-1:0] arm_eth_qsfp_rx_tuser_b; + wire [NUM_QSFP_LANES*8-1:0] arm_eth_qsfp_rx_tkeep_b; + + wire [NUM_QSFP_LANES*64-1:0] v2e_qsfp_tdata; + wire [NUM_QSFP_LANES-1:0] v2e_qsfp_tlast; + wire [NUM_QSFP_LANES-1:0] v2e_qsfp_tvalid; + wire [NUM_QSFP_LANES-1:0] v2e_qsfp_tready; + + wire [NUM_QSFP_LANES*64-1:0] e2v_qsfp_tdata; + wire [NUM_QSFP_LANES-1:0] e2v_qsfp_tlast; + wire [NUM_QSFP_LANES-1:0] e2v_qsfp_tvalid; + wire [NUM_QSFP_LANES-1:0] e2v_qsfp_tready; + + wire [NUM_QSFP_LANES-1:0] qsfp_link_up; + + // QSFP quad's specific reference clocks + wire qsfp_gt_refclk; + wire qsfp_gb_refclk; + wire qsfp_misc_clk; + + wire qsfp_qplloutclk; + wire qsfp_qplloutrefclk; + wire qsfp_qplllock; + wire qsfp_qpllreset; + + wire qsfp_gt_tx_out_clk; + wire qsfp_gt_pll_lock; + + wire qsfp_au_user_clk; + wire qsfp_au_sync_clk; + wire qsfp_au_mmcm_locked; + + +`ifdef QSFP_10GBE + assign qsfp_gt_refclk = xgige_refclk; + assign qsfp_gb_refclk = xgige_clk156; + assign qsfp_misc_clk = xgige_dclk; +`endif +`ifdef QSFP_AURORA + assign qsfp_gt_refclk = aurora_refclk; + assign qsfp_gb_refclk = aurora_clk156; + assign qsfp_misc_clk = aurora_init_clk; +`endif + + // We reuse this GT_COMMON wrapper for both ethernet and Aurora because + // the behavior is identical + ten_gig_eth_pcs_pma_gt_common # ( + .WRAPPER_SIM_GTRESET_SPEEDUP("TRUE") //Does not affect hardware + ) qsfp_gt_common_block ( + .refclk(xgige_refclk), + .qpllreset(qsfp_qpllreset), + .qplllock(qsfp_qplllock), + .qplloutclk(qsfp_qplloutclk), + .qplloutrefclk(qsfp_qplloutrefclk), + .qpllrefclksel(3'b001 /*GTREFCLK0*/) + ); + + `ifdef QSFP_AURORA + aurora_phy_mmcm aurora_phy_mmcm ( + .aurora_tx_clk_unbuf(qsfp_gt_tx_out_clk), + .mmcm_reset(~qsfp_gt_pll_lock), + .user_clk(qsfp_au_user_clk), + .sync_clk(qsfp_au_sync_clk), + .mmcm_locked(qsfp_au_mmcm_locked) + ); + `else + assign qsfp_au_user_clk = 1'b0; + assign qsfp_au_sync_clk = 1'b0; + assign qsfp_au_mmcm_locked = 1'b0; + `endif + + n3xx_mgt_channel_wrapper #( + `ifdef QSFP_10GBE + .PROTOCOL ("10GbE"), + .MDIO_EN (1'b1), + .MDIO_PHYADDR (5'd4), + `elsif QSFP_AURORA + .PROTOCOL ("Aurora"), + .MDIO_EN (1'b0), + `endif + .LANES (NUM_QSFP_LANES), + .GT_COMMON (1), + .PORTNUM_BASE (4), + .REG_DWIDTH (REG_DWIDTH), + .REG_AWIDTH (QSFP_REG_AWIDTH) + ) qsfp_wrapper_i ( + .areset (global_rst), + .gt_refclk (qsfp_gt_refclk), + .gb_refclk (qsfp_gb_refclk), + .misc_clk (qsfp_misc_clk), + .user_clk (qsfp_au_user_clk), + .sync_clk (qsfp_au_sync_clk), + .gt_tx_out_clk_unbuf(qsfp_gt_tx_out_clk), + + .bus_clk (bus_clk), + .bus_rst (bus_rst), + + // GT Common + .qpllrefclklost (), + .qplllock (qsfp_qplllock), + .qplloutclk (qsfp_qplloutclk), + .qplloutrefclk (qsfp_qplloutrefclk), + .qpllreset (qsfp_qpllreset), + + // Aurora MMCM + .mmcm_locked (qsfp_au_mmcm_locked), + .gt_pll_lock (qsfp_gt_pll_lock), + + .txp (QSFP_TX_P), + .txn (QSFP_TX_N), + .rxp (QSFP_RX_P), + .rxn (QSFP_RX_N), + + .mod_present_n (QSFP_PRESENT_B), + .mod_rxlos (1'b0), + .mod_tx_fault (1'b0), + .mod_tx_disable (), + .mod_int_n (QSFP_INT_B), + .mod_reset_n (QSFP_RESET_B), + .mod_lpmode (QSFP_LPMODE), + .mod_sel_n (QSFP_MODSEL_B), + + // Clock and reset + .s_axi_aclk (clk40), + .s_axi_aresetn (clk40_rstn), + // AXI4-Lite: Write address port (domain: s_axi_aclk) + .s_axi_awaddr (M_AXI_NET2_AWADDR[QSFP_REG_AWIDTH-1:0]), + .s_axi_awvalid (M_AXI_NET2_AWVALID), + .s_axi_awready (M_AXI_NET2_AWREADY), + // AXI4-Lite: Write data port (domain: s_axi_aclk) + .s_axi_wdata (M_AXI_NET2_WDATA), + .s_axi_wstrb (M_AXI_NET2_WSTRB), + .s_axi_wvalid (M_AXI_NET2_WVALID), + .s_axi_wready (M_AXI_NET2_WREADY), + // AXI4-Lite: Write response port (domain: s_axi_aclk) + .s_axi_bresp (M_AXI_NET2_BRESP), + .s_axi_bvalid (M_AXI_NET2_BVALID), + .s_axi_bready (M_AXI_NET2_BREADY), + // AXI4-Lite: Read address port (domain: s_axi_aclk) + .s_axi_araddr (M_AXI_NET2_ARADDR[QSFP_REG_AWIDTH-1:0]), + .s_axi_arvalid (M_AXI_NET2_ARVALID), + .s_axi_arready (M_AXI_NET2_ARREADY), + // AXI4-Lite: Read data port (domain: s_axi_aclk) + .s_axi_rdata (M_AXI_NET2_RDATA), + .s_axi_rresp (M_AXI_NET2_RRESP), + .s_axi_rvalid (M_AXI_NET2_RVALID), + .s_axi_rready (M_AXI_NET2_RREADY), + + // Ethernet to Vita + .e2v_tdata (e2v_qsfp_tdata), + .e2v_tlast (e2v_qsfp_tlast), + .e2v_tvalid (e2v_qsfp_tvalid), + .e2v_tready (e2v_qsfp_tready), + + // Vita to Ethernet + .v2e_tdata (v2e_qsfp_tdata), + .v2e_tlast (v2e_qsfp_tlast), + .v2e_tvalid (v2e_qsfp_tvalid), + .v2e_tready (v2e_qsfp_tready), + + // Ethernet to CPU + .e2c_tdata (arm_eth_qsfp_rx_tdata_b), + .e2c_tkeep (arm_eth_qsfp_rx_tkeep_b), + .e2c_tlast (arm_eth_qsfp_rx_tlast_b), + .e2c_tvalid (arm_eth_qsfp_rx_tvalid_b), + .e2c_tready (arm_eth_qsfp_rx_tready_b), + + // CPU to Ethernet + .c2e_tdata (arm_eth_qsfp_tx_tdata_b), + .c2e_tkeep (arm_eth_qsfp_tx_tkeep_b), + .c2e_tlast (arm_eth_qsfp_tx_tlast_b), + .c2e_tvalid (arm_eth_qsfp_tx_tvalid_b), + .c2e_tready (arm_eth_qsfp_tx_tready_b), + + // Sideband White Rabbit Control + .wr_reset_n (1'b1), + .wr_refclk (1'b0), + + .wr_dac_sclk (), + .wr_dac_din (), + .wr_dac_clr_n (), + .wr_dac_cs_n (), + .wr_dac_ldac_n (), + + .wr_eeprom_scl_o(), + .wr_eeprom_scl_i(1'b0), + .wr_eeprom_sda_o(), + .wr_eeprom_sda_i(1'b0), + + .wr_uart_rx (1'b0), + .wr_uart_tx (), + + .mod_pps (), + .mod_refclk (), + + // WR AXI Control + .wr_axi_aclk (), + .wr_axi_aresetn (1'b1), + .wr_axi_awaddr (), + .wr_axi_awvalid (), + .wr_axi_awready (), + .wr_axi_wdata (), + .wr_axi_wstrb (), + .wr_axi_wvalid (), + .wr_axi_wready (), + .wr_axi_bresp (), + .wr_axi_bvalid (), + .wr_axi_bready (), + .wr_axi_araddr (), + .wr_axi_arvalid (), + .wr_axi_arready (), + .wr_axi_rdata (), + .wr_axi_rresp (), + .wr_axi_rvalid (), + .wr_axi_rready (), + .wr_axi_rlast (), + + .port_info (), + .device_id (device_id), + + .link_up (qsfp_link_up), + .activity () + ); + + assign QSFP_I2C_SCL = qsfp_scl_t ? 1'bz : qsfp_scl_o; + assign qsfp_scl_i = QSFP_I2C_SCL; + assign QSFP_I2C_SDA = qsfp_sda_t ? 1'bz : qsfp_sda_o; + assign qsfp_sda_i = QSFP_I2C_SDA; + + assign QSFP_LED = |qsfp_link_up; +`else + + axi_dummy #( + .DEC_ERR(1'b0) + ) inst_axi_dummy_qsfp ( + .s_axi_aclk(bus_clk), + .s_axi_areset(bus_rst), + + .s_axi_awaddr(M_AXI_NET2_AWADDR), + .s_axi_awvalid(M_AXI_NET2_AWVALID), + .s_axi_awready(M_AXI_NET2_AWREADY), + + .s_axi_wdata(M_AXI_NET2_WDATA), + .s_axi_wvalid(M_AXI_NET2_WVALID), + .s_axi_wready(M_AXI_NET2_WREADY), + + .s_axi_bresp(M_AXI_NET2_BRESP), + .s_axi_bvalid(M_AXI_NET2_BVALID), + .s_axi_bready(M_AXI_NET2_BREADY), + + .s_axi_araddr(M_AXI_NET2_ARADDR), + .s_axi_arvalid(M_AXI_NET2_ARVALID), + .s_axi_arready(M_AXI_NET2_ARREADY), + + .s_axi_rdata(M_AXI_NET2_RDATA), + .s_axi_rresp(M_AXI_NET2_RRESP), + .s_axi_rvalid(M_AXI_NET2_RVALID), + .s_axi_rready(M_AXI_NET2_RREADY) + + ); + + assign qsfp_scl_i = qsfp_scl_t ? 1'b1 : qsfp_scl_o; + assign qsfp_sda_i = qsfp_sda_t ? 1'b1 : qsfp_sda_o; + +`endif + + //-------------------------------------------------------------- + // NPIO MGT Lanes (Example loopback config) + //-------------------------------------------------------------- + +`ifdef NPIO_LANES + + wire [127:0] npio_loopback_tdata; + wire [1:0] npio_loopback_tvalid; + wire [1:0] npio_loopback_tready; + wire [1:0] npio_loopback_tlast; + + n3xx_mgt_io_core #( + .PROTOCOL ("Aurora"), + .REG_BASE (NPIO_REG_BASE + 14'h00), + .REG_DWIDTH (REG_DWIDTH), // Width of the AXI4-Lite data bus (must be 32 or 64) + .REG_AWIDTH (REG_AWIDTH), // Width of the address bus + .PORTNUM (8'd2), + .MDIO_EN (0) + ) npio_ln_0_i ( + .areset (global_rst), + .gt_refclk (aurora_refclk), + .gb_refclk (aurora_clk156), + .misc_clk (aurora_init_clk), + .user_clk (au_user_clk), + .sync_clk (au_sync_clk), + .gt_tx_out_clk_unbuf(npio0_tx_out_clk), + + .bus_clk (bus_clk),//clk for status reg reads to mdio interface + .bus_rst (bus_rst), + .qpllreset (qpllreset_npio0), + .qplloutclk (qplloutclk), + .qplloutrefclk (qplloutrefclk), + .qplllock (qplllock), + .qpllrefclklost (), + + .rxp (NPIO_RX0_P), + .rxn (NPIO_RX0_N), + .txp (NPIO_TX0_P), + .txn (NPIO_TX0_N), + + .sfpp_rxlos (1'b0), + .sfpp_tx_fault (1'b0), + + //RegPort + .reg_wr_req (reg_wr_req_npio), + .reg_wr_addr (reg_wr_addr_npio), + .reg_wr_data (reg_wr_data_npio), + .reg_rd_req (reg_rd_req_npio), + .reg_rd_addr (reg_rd_addr_npio), + .reg_rd_resp (reg_rd_resp_npio0), + .reg_rd_data (reg_rd_data_npio0), + + //DATA (loopback mode) + .s_axis_tdata (npio_loopback_tdata[63:0]), //Data to aurora core + .s_axis_tuser (4'b0), + .s_axis_tvalid (npio_loopback_tvalid[0]), + .s_axis_tlast (npio_loopback_tlast[0]), + .s_axis_tready (npio_loopback_tready[0]), + .m_axis_tdata (npio_loopback_tdata[63:0]), //Data from aurora core + .m_axis_tuser (), + .m_axis_tvalid (npio_loopback_tvalid[0]), + .m_axis_tlast (npio_loopback_tlast[0]), + .m_axis_tready (npio_loopback_tready[0]), + + .mmcm_locked (au_mmcm_locked), + .gt_pll_lock (npio0_gt_pll_lock) + ); + + n3xx_mgt_io_core #( + .PROTOCOL ("Aurora"), + .REG_BASE (NPIO_REG_BASE + 14'h40), + .REG_DWIDTH (REG_DWIDTH), // Width of the AXI4-Lite data bus (must be 32 or 64) + .REG_AWIDTH (REG_AWIDTH), // Width of the address bus + .PORTNUM (8'd3), + .MDIO_EN (0) + ) npio_ln_1_i ( + .areset (global_rst), + .gt_refclk (aurora_refclk), + .gb_refclk (aurora_clk156), + .misc_clk (aurora_init_clk), + .user_clk (au_user_clk), + .sync_clk (au_sync_clk), + .gt_tx_out_clk_unbuf(npio1_tx_out_clk), + + .bus_clk (bus_clk),//clk for status reg reads to mdio interface + .bus_rst (bus_rst), + .qpllreset (qpllreset_npio1), + .qplloutclk (qplloutclk), + .qplloutrefclk (qplloutrefclk), + .qplllock (qplllock), + .qpllrefclklost (), + + .rxp (NPIO_RX1_P), + .rxn (NPIO_RX1_N), + .txp (NPIO_TX1_P), + .txn (NPIO_TX1_N), + + .sfpp_rxlos (1'b0), + .sfpp_tx_fault (1'b0), + + //RegPort + .reg_wr_req (reg_wr_req_npio), + .reg_wr_addr (reg_wr_addr_npio), + .reg_wr_data (reg_wr_data_npio), + .reg_rd_req (reg_rd_req_npio), + .reg_rd_addr (reg_rd_addr_npio), + .reg_rd_resp (reg_rd_resp_npio1), + .reg_rd_data (reg_rd_data_npio1), + + //DATA (loopback mode) + .s_axis_tdata (npio_loopback_tdata[127:64]), //Data to aurora core + .s_axis_tuser (4'b0), + .s_axis_tvalid (npio_loopback_tvalid[1]), + .s_axis_tlast (npio_loopback_tlast[1]), + .s_axis_tready (npio_loopback_tready[1]), + .m_axis_tdata (npio_loopback_tdata[127:64]), //Data from aurora core + .m_axis_tuser (), + .m_axis_tvalid (npio_loopback_tvalid[1]), + .m_axis_tlast (npio_loopback_tlast[1]), + .m_axis_tready (npio_loopback_tready[1]), + + .mmcm_locked (au_mmcm_locked), + .gt_pll_lock (npio1_gt_pll_lock) + ); + +`else + + assign reg_rd_resp_npio0 = 1'b0; + assign reg_rd_data_npio0 = 'h0; + assign reg_rd_resp_npio1 = 1'b0; + assign reg_rd_data_npio1 = 'h0; + assign npio0_gt_pll_lock = 1'b1; + assign npio1_gt_pll_lock = 1'b1; + assign qpllreset_npio0 = 1'b0; + assign qpllreset_npio1 = 1'b0; + +`endif + + + // ARM ethernet 0 bridge signals + wire [63:0] arm_eth0_tx_tdata; + wire arm_eth0_tx_tvalid; + wire arm_eth0_tx_tlast; + wire arm_eth0_tx_tready; + wire [3:0] arm_eth0_tx_tuser; + wire [7:0] arm_eth0_tx_tkeep; + + wire [63:0] arm_eth0_tx_tdata_b; + wire arm_eth0_tx_tvalid_b; + wire arm_eth0_tx_tlast_b; + wire arm_eth0_tx_tready_b; + wire [3:0] arm_eth0_tx_tuser_b; + wire [7:0] arm_eth0_tx_tkeep_b; + + wire [63:0] arm_eth_sfp0_tx_tdata_b; + wire arm_eth_sfp0_tx_tvalid_b; + wire arm_eth_sfp0_tx_tlast_b; + wire arm_eth_sfp0_tx_tready_b; + wire [3:0] arm_eth_sfp0_tx_tuser_b; + wire [7:0] arm_eth_sfp0_tx_tkeep_b; + + wire [63:0] arm_eth0_rx_tdata; + wire arm_eth0_rx_tvalid; + wire arm_eth0_rx_tlast; + wire arm_eth0_rx_tready; + wire [3:0] arm_eth0_rx_tuser; + wire [7:0] arm_eth0_rx_tkeep; + + wire [63:0] arm_eth0_rx_tdata_b; + wire arm_eth0_rx_tvalid_b; + wire arm_eth0_rx_tlast_b; + wire arm_eth0_rx_tready_b; + wire [3:0] arm_eth0_rx_tuser_b; + wire [7:0] arm_eth0_rx_tkeep_b; + + wire [63:0] arm_eth_sfp0_rx_tdata_b; + wire arm_eth_sfp0_rx_tvalid_b; + wire arm_eth_sfp0_rx_tlast_b; + wire arm_eth_sfp0_rx_tready_b; + wire [3:0] arm_eth_sfp0_rx_tuser_b; + wire [7:0] arm_eth_sfp0_rx_tkeep_b; + + wire arm_eth0_rx_irq; + wire arm_eth0_tx_irq; + + // ARM ethernet 1 bridge signals + wire [63:0] arm_eth1_tx_tdata; + wire arm_eth1_tx_tvalid; + wire arm_eth1_tx_tlast; + wire arm_eth1_tx_tready; + wire [3:0] arm_eth1_tx_tuser; + wire [7:0] arm_eth1_tx_tkeep; + + wire [63:0] arm_eth1_tx_tdata_b; + wire arm_eth1_tx_tvalid_b; + wire arm_eth1_tx_tlast_b; + wire arm_eth1_tx_tready_b; + wire [3:0] arm_eth1_tx_tuser_b; + wire [7:0] arm_eth1_tx_tkeep_b; + + wire [63:0] arm_eth_sfp1_tx_tdata_b; + wire arm_eth_sfp1_tx_tvalid_b; + wire arm_eth_sfp1_tx_tlast_b; + wire arm_eth_sfp1_tx_tready_b; + wire [3:0] arm_eth_sfp1_tx_tuser_b; + wire [7:0] arm_eth_sfp1_tx_tkeep_b; + + wire [63:0] arm_eth1_rx_tdata; + wire arm_eth1_rx_tvalid; + wire arm_eth1_rx_tlast; + wire arm_eth1_rx_tready; + wire [3:0] arm_eth1_rx_tuser; + wire [7:0] arm_eth1_rx_tkeep; + + wire [63:0] arm_eth1_rx_tdata_b; + wire arm_eth1_rx_tvalid_b; + wire arm_eth1_rx_tlast_b; + wire arm_eth1_rx_tready_b; + wire [3:0] arm_eth1_rx_tuser_b; + wire [7:0] arm_eth1_rx_tkeep_b; + + wire [63:0] arm_eth_sfp1_rx_tdata_b; + wire arm_eth_sfp1_rx_tvalid_b; + wire arm_eth_sfp1_rx_tlast_b; + wire arm_eth_sfp1_rx_tready_b; + wire [3:0] arm_eth_sfp1_rx_tuser_b; + wire [7:0] arm_eth_sfp1_rx_tkeep_b; + + wire arm_eth1_tx_irq; + wire arm_eth1_rx_irq; + + // Vita to Ethernet + wire [63:0] v2e0_tdata; + wire v2e0_tlast; + wire v2e0_tvalid; + wire v2e0_tready; + + wire [63:0] v2e1_tdata; + wire v2e1_tlast; + wire v2e1_tvalid; + wire v2e1_tready; + + wire [63:0] v2e_sfp0_tdata; + wire v2e_sfp0_tlast; + wire v2e_sfp0_tvalid; + wire v2e_sfp0_tready; + + wire [63:0] v2e_sfp1_tdata; + wire v2e_sfp1_tlast; + wire v2e_sfp1_tvalid; + wire v2e_sfp1_tready; + + // Ethernet to Vita + wire [63:0] e2v0_tdata; + wire e2v0_tlast; + wire e2v0_tvalid; + wire e2v0_tready; + + wire [63:0] e2v1_tdata; + wire e2v1_tlast; + wire e2v1_tvalid; + wire e2v1_tready; + + wire [63:0] e2v_sfp0_tdata; + wire e2v_sfp0_tlast; + wire e2v_sfp0_tvalid; + wire e2v_sfp0_tready; + + wire [63:0] e2v_sfp1_tdata; + wire e2v_sfp1_tlast; + wire e2v_sfp1_tvalid; + wire e2v_sfp1_tready; + + // Ethernet crossover + wire [63:0] e01_tdata, e10_tdata; + wire [3:0] e01_tuser, e10_tuser; + wire e01_tlast, e01_tvalid, e01_tready; + wire e10_tlast, e10_tvalid, e10_tready; + + + // DMA xport adapter to PS + wire [63:0] m_axis_dma_tdata; + wire [3:0] m_axis_dma_tuser; + wire m_axis_dma_tlast; + wire m_axis_dma_tready; + wire m_axis_dma_tvalid; + + wire [63:0] s_axis_dma_tdata; + wire [3:0] s_axis_dma_tdest; + wire s_axis_dma_tlast; + wire s_axis_dma_tready; + wire s_axis_dma_tvalid; + + // Misc + wire [31:0] sfp_port0_info; + wire [31:0] sfp_port1_info; + wire sfp0_link_up, sfp1_link_up; + wire [15:0] device_id; + + ///////////////////////////////////////////////////////////////////// + // + // SFP Wrapper 0: Network Interface (1/10G or Aurora) + // + ////////////////////////////////////////////////////////////////////// + + n3xx_mgt_channel_wrapper #( + .LANES(1), + `ifdef SFP0_10GBE + .PROTOCOL("10GbE"), + .MDIO_EN(1'b1), + .MDIO_PHYADDR(5'd4), // PHYADDR must match the "reg" property for PHY in DTS file + `elsif SFP0_AURORA + .PROTOCOL("Aurora"), + .MDIO_EN(1'b0), + `elsif SFP0_1GBE + .PROTOCOL("1GbE"), + .MDIO_EN(1'b1), + .MDIO_PHYADDR(5'd4), // PHYADDR must match the "reg" property for PHY in DTS file + `elsif SFP0_WR + .PROTOCOL("WhiteRabbit"), + .MDIO_EN(1'b0), + `endif + .REG_DWIDTH(REG_DWIDTH), // Width of the AXI4-Lite data bus (must be 32 or 64) + .REG_AWIDTH(REG_AWIDTH), // Width of the address bus + .GT_COMMON(1), + .PORTNUM_BASE(8'd0) + ) sfp_wrapper_0 ( + .areset(global_rst), + .gt_refclk(sfp0_gt_refclk), + .gb_refclk(sfp0_gb_refclk), + .misc_clk(sfp0_misc_clk), + .user_clk(au_user_clk), + .sync_clk(au_sync_clk), + .gt_tx_out_clk_unbuf(sfp0_tx_out_clk), + + .bus_rst(bus_rst), + .bus_clk(bus_clk), + + .qpllreset(qpllreset_sfp0), + .qplllock(qplllock), + .qplloutclk(qplloutclk), + .qplloutrefclk(qplloutrefclk), + .qpllrefclklost(), + + .mmcm_locked(au_mmcm_locked), + .gt_pll_lock(sfp0_gt_pll_lock), + + .txp(SFP_0_TX_P), + .txn(SFP_0_TX_N), + .rxp(SFP_0_RX_P), + .rxn(SFP_0_RX_N), + + .mod_present_n(SFP_0_I2C_NPRESENT), + .mod_rxlos(SFP_0_LOS), + .mod_tx_fault(SFP_0_TXFAULT), + .mod_tx_disable(SFP_0_TXDISABLE), + + // Clock and reset + .s_axi_aclk(clk40), + .s_axi_aresetn(clk40_rstn), + // AXI4-Lite: Write address port (domain: s_axi_aclk) + .s_axi_awaddr(M_AXI_NET0_AWADDR[REG_AWIDTH-1:0]), + .s_axi_awvalid(M_AXI_NET0_AWVALID), + .s_axi_awready(M_AXI_NET0_AWREADY), + // AXI4-Lite: Write data port (domain: s_axi_aclk) + .s_axi_wdata(M_AXI_NET0_WDATA), + .s_axi_wstrb(M_AXI_NET0_WSTRB), + .s_axi_wvalid(M_AXI_NET0_WVALID), + .s_axi_wready(M_AXI_NET0_WREADY), + // AXI4-Lite: Write response port (domain: s_axi_aclk) + .s_axi_bresp(M_AXI_NET0_BRESP), + .s_axi_bvalid(M_AXI_NET0_BVALID), + .s_axi_bready(M_AXI_NET0_BREADY), + // AXI4-Lite: Read address port (domain: s_axi_aclk) + .s_axi_araddr(M_AXI_NET0_ARADDR[REG_AWIDTH-1:0]), + .s_axi_arvalid(M_AXI_NET0_ARVALID), + .s_axi_arready(M_AXI_NET0_ARREADY), + // AXI4-Lite: Read data port (domain: s_axi_aclk) + .s_axi_rdata(M_AXI_NET0_RDATA), + .s_axi_rresp(M_AXI_NET0_RRESP), + .s_axi_rvalid(M_AXI_NET0_RVALID), + .s_axi_rready(M_AXI_NET0_RREADY), + + // Ethernet to Vita + .e2v_tdata(e2v_sfp0_tdata), + .e2v_tlast(e2v_sfp0_tlast), + .e2v_tvalid(e2v_sfp0_tvalid), + .e2v_tready(e2v_sfp0_tready), + + // Vita to Ethernet + .v2e_tdata(v2e_sfp0_tdata), + .v2e_tlast(v2e_sfp0_tlast), + .v2e_tvalid(v2e_sfp0_tvalid), + .v2e_tready(v2e_sfp0_tready), + + // Ethernet to CPU + .e2c_tdata(arm_eth_sfp0_rx_tdata_b), + .e2c_tkeep(arm_eth_sfp0_rx_tkeep_b), + .e2c_tlast(arm_eth_sfp0_rx_tlast_b), + .e2c_tvalid(arm_eth_sfp0_rx_tvalid_b), + .e2c_tready(arm_eth_sfp0_rx_tready_b), + + // CPU to Ethernet + .c2e_tdata(arm_eth_sfp0_tx_tdata_b), + .c2e_tkeep(arm_eth_sfp0_tx_tkeep_b), + .c2e_tlast(arm_eth_sfp0_tx_tlast_b), + .c2e_tvalid(arm_eth_sfp0_tx_tvalid_b), + .c2e_tready(arm_eth_sfp0_tx_tready_b), + + // White Rabbit Specific +`ifdef SFP0_WR + .wr_reset_n (~ps_gpio_out[48]), // reset for WR only + .wr_refclk (wr_refclk_buf), + .wr_dac_sclk (WB_DAC_SCLK), + .wr_dac_din (WB_DAC_DIN), + .wr_dac_clr_n (WB_DAC_NCLR), + .wr_dac_cs_n (WB_DAC_NSYNC), + .wr_dac_ldac_n(WB_DAC_NLDAC), + .wr_eeprom_scl_o(), // storage for delay characterization + .wr_eeprom_scl_i(1'b0), // temp + .wr_eeprom_sda_o(), + .wr_eeprom_sda_i(1'b0), // temp + .wr_uart_rx(wr_uart_rxd), // to/from PS + .wr_uart_tx(wr_uart_txd), + .mod_pps(pps_wr_refclk), // out, reference clock and pps + .mod_refclk(wr_ref_clk), + // WR Slave Port to PS + .wr_axi_aclk(m_axi_wr_clk), // out to PS + .wr_axi_aresetn(1'b1), // in + .wr_axi_awaddr(m_axi_wr_awaddr), + .wr_axi_awvalid(m_axi_wr_awvalid), + .wr_axi_awready(m_axi_wr_awready), + .wr_axi_wdata(m_axi_wr_wdata), + .wr_axi_wstrb(m_axi_wr_wstrb), + .wr_axi_wvalid(m_axi_wr_wvalid), + .wr_axi_wready(m_axi_wr_wready), + .wr_axi_bresp(m_axi_wr_bresp), + .wr_axi_bvalid(m_axi_wr_bvalid), + .wr_axi_bready(m_axi_wr_bready), + .wr_axi_araddr(m_axi_wr_araddr), + .wr_axi_arvalid(m_axi_wr_arvalid), + .wr_axi_arready(m_axi_wr_arready), + .wr_axi_rdata(m_axi_wr_rdata), + .wr_axi_rresp(m_axi_wr_rresp), + .wr_axi_rvalid(m_axi_wr_rvalid), + .wr_axi_rready(m_axi_wr_rready), + .wr_axi_rlast(), +`else + .wr_reset_n(1'b1), + .wr_refclk(1'b0), + .wr_eeprom_scl_i(1'b0), + .wr_eeprom_sda_i(1'b0), + .wr_uart_rx(1'b0), +`endif + + // Misc + .port_info(sfp_port0_info), + .device_id(device_id), + + // LED + .link_up(sfp0_link_up), + .activity(SFP_0_LED_A) + ); + + assign ps_gpio_in[60] = ps_gpio_tri[60] ? sfp0_link_up : ps_gpio_out[60]; + assign SFP_0_LED_B = sfp0_link_up; + +`ifndef SFP0_WR + assign WB_DAC_SCLK = 1'b0; + assign WB_DAC_DIN = 1'b0; + assign WB_DAC_NCLR = 1'b1; + assign WB_DAC_NSYNC = 1'b1; + assign WB_DAC_NLDAC = 1'b1; + assign pps_wr_refclk = 1'b0; + assign wr_ref_clk = 1'b0; +`endif + + ///////////////////////////////////////////////////////////////////// + // + // SFP Wrapper 1: Network Interface (1/10G or Aurora) + // + ////////////////////////////////////////////////////////////////////// + + n3xx_mgt_channel_wrapper #( + .LANES(1), + `ifdef SFP1_10GBE + .PROTOCOL("10GbE"), + .MDIO_EN(1'b1), + .MDIO_PHYADDR(5'd4), // PHYADDR must match the "reg" property for PHY in DTS file + `elsif SFP1_AURORA + .PROTOCOL("Aurora"), + .MDIO_EN(1'b0), + `endif + .REG_DWIDTH(REG_DWIDTH), // Width of the AXI4-Lite data bus (must be 32 or 64) + .REG_AWIDTH(REG_AWIDTH), // Width of the address bus + .GT_COMMON(1), + .PORTNUM_BASE(8'd1) + ) sfp_wrapper_1 ( + .areset(global_rst), + + .gt_refclk(sfp1_gt_refclk), + .gb_refclk(sfp1_gb_refclk), + .misc_clk(sfp1_misc_clk), + .user_clk(au_user_clk), + .sync_clk(au_sync_clk), + .gt_tx_out_clk_unbuf(sfp1_tx_out_clk), + + .bus_rst(bus_rst), + .bus_clk(bus_clk), + + .qpllreset(qpllreset_sfp1), + .qplllock(qplllock), + .qplloutclk(qplloutclk), + .qplloutrefclk(qplloutrefclk), + .qpllrefclklost(), + + .mmcm_locked(au_mmcm_locked), + .gt_pll_lock(sfp1_gt_pll_lock), + + .txp(SFP_1_TX_P), + .txn(SFP_1_TX_N), + .rxp(SFP_1_RX_P), + .rxn(SFP_1_RX_N), + + .mod_rxlos(SFP_1_LOS), + .mod_tx_fault(SFP_1_TXFAULT), + .mod_tx_disable(SFP_1_TXDISABLE), + + // Clock and reset + .s_axi_aclk(clk40), + .s_axi_aresetn(clk40_rstn), + // AXI4-Lite: Write address port (domain: s_axi_aclk) + .s_axi_awaddr(M_AXI_NET1_AWADDR[REG_AWIDTH-1:0]), + .s_axi_awvalid(M_AXI_NET1_AWVALID), + .s_axi_awready(M_AXI_NET1_AWREADY), + // AXI4-Lite: Write data port (domain: s_axi_aclk) + .s_axi_wdata(M_AXI_NET1_WDATA), + .s_axi_wstrb(M_AXI_NET1_WSTRB), + .s_axi_wvalid(M_AXI_NET1_WVALID), + .s_axi_wready(M_AXI_NET1_WREADY), + // AXI4-Lite: Write response port (domain: s_axi_aclk) + .s_axi_bresp(M_AXI_NET1_BRESP), + .s_axi_bvalid(M_AXI_NET1_BVALID), + .s_axi_bready(M_AXI_NET1_BREADY), + // AXI4-Lite: Read address port (domain: s_axi_aclk) + .s_axi_araddr(M_AXI_NET1_ARADDR[REG_AWIDTH-1:0]), + .s_axi_arvalid(M_AXI_NET1_ARVALID), + .s_axi_arready(M_AXI_NET1_ARREADY), + // AXI4-Lite: Read data port (domain: s_axi_aclk) + .s_axi_rdata(M_AXI_NET1_RDATA), + .s_axi_rresp(M_AXI_NET1_RRESP), + .s_axi_rvalid(M_AXI_NET1_RVALID), + .s_axi_rready(M_AXI_NET1_RREADY), + + // Ethernet to Vita + .e2v_tdata(e2v_sfp1_tdata), + .e2v_tlast(e2v_sfp1_tlast), + .e2v_tvalid(e2v_sfp1_tvalid), + .e2v_tready(e2v_sfp1_tready), + + // Vita to Ethernet + .v2e_tdata(v2e_sfp1_tdata), + .v2e_tlast(v2e_sfp1_tlast), + .v2e_tvalid(v2e_sfp1_tvalid), + .v2e_tready(v2e_sfp1_tready), + + // Ethernet to CPU + .e2c_tdata(arm_eth_sfp1_rx_tdata_b), + .e2c_tkeep(arm_eth_sfp1_rx_tkeep_b), + .e2c_tlast(arm_eth_sfp1_rx_tlast_b), + .e2c_tvalid(arm_eth_sfp1_rx_tvalid_b), + .e2c_tready(arm_eth_sfp1_rx_tready_b), + + // CPU to Ethernet + .c2e_tdata(arm_eth_sfp1_tx_tdata_b), + .c2e_tkeep(arm_eth_sfp1_tx_tkeep_b), + .c2e_tlast(arm_eth_sfp1_tx_tlast_b), + .c2e_tvalid(arm_eth_sfp1_tx_tvalid_b), + .c2e_tready(arm_eth_sfp1_tx_tready_b), + + // Misc + .port_info(sfp_port1_info), + .device_id(device_id), + + // LED + .link_up(sfp1_link_up), + .activity(SFP_1_LED_A) + ); + + assign ps_gpio_in[61] = ps_gpio_tri[61] ? sfp1_link_up : ps_gpio_out[61]; + assign SFP_1_LED_B = sfp1_link_up; + + ///////////////////////////////////////////////////////////////////// + // + // Ethernet DMA 0 + // + ////////////////////////////////////////////////////////////////////// + + assign IRQ_F2P[0] = arm_eth0_rx_irq; + assign IRQ_F2P[1] = arm_eth0_tx_irq; + + assign {S_AXI_HP0_AWID, S_AXI_HP0_ARID} = 12'd0; + assign {S_AXI_GP0_AWID, S_AXI_GP0_ARID} = 10'd0; + +`ifdef QSFP_10GBE + // QSFP+ lanes connect to DMA engines and crossbar + // Connect first QSFP+ 10 GbE port to a DMA engine (and the PS/ARM) + assign arm_eth_qsfp_tx_tdata_b[0*64 +: 64] = arm_eth0_tx_tdata_b; + assign arm_eth_qsfp_tx_tvalid_b[0] = arm_eth0_tx_tvalid_b; + assign arm_eth_qsfp_tx_tlast_b[0] = arm_eth0_tx_tlast_b; + assign arm_eth0_tx_tready_b = arm_eth_qsfp_tx_tready_b[0]; + assign arm_eth_qsfp_tx_tuser_b[0*4 +: 4] = arm_eth0_tx_tuser_b; + assign arm_eth_qsfp_tx_tkeep_b[0*8 +: 8] = arm_eth0_tx_tkeep_b; + + assign arm_eth0_rx_tdata_b = arm_eth_qsfp_rx_tdata_b[0*64 +: 64]; + assign arm_eth0_rx_tvalid_b = arm_eth_qsfp_rx_tvalid_b[0]; + assign arm_eth0_rx_tlast_b = arm_eth_qsfp_rx_tlast_b[0]; + assign arm_eth_qsfp_rx_tready_b[0] = arm_eth0_rx_tready_b; + assign arm_eth0_rx_tuser_b = arm_eth_qsfp_rx_tuser_b[0*4 +: 4]; + assign arm_eth0_rx_tkeep_b = arm_eth_qsfp_rx_tkeep_b[0*8 +: 8]; + + // Connect first QSFP+ 10 GbE port to the crossbar + assign v2e_qsfp_tdata[0*64 +: 64] = v2e0_tdata; + assign v2e_qsfp_tlast[0] = v2e0_tlast; + assign v2e_qsfp_tvalid[0] = v2e0_tvalid; + assign v2e0_tready = v2e_qsfp_tready[0]; + + assign e2v0_tdata = e2v_qsfp_tdata[0*64 +: 64]; + assign e2v0_tlast = e2v_qsfp_tlast[0]; + assign e2v0_tvalid = e2v_qsfp_tvalid[0]; + assign e2v_qsfp_tready[0] = e2v0_tready; + + // Connect second QSFP+ 10 GbE port to a DMA engine (and the PS/ARM) + assign arm_eth_qsfp_tx_tdata_b[1*64 +: 64] = arm_eth1_tx_tdata_b; + assign arm_eth_qsfp_tx_tvalid_b[1] = arm_eth1_tx_tvalid_b; + assign arm_eth_qsfp_tx_tlast_b[1] = arm_eth1_tx_tlast_b; + assign arm_eth1_tx_tready_b = arm_eth_qsfp_tx_tready_b[1]; + assign arm_eth_qsfp_tx_tuser_b[1*4 +: 4] = arm_eth1_tx_tuser_b; + assign arm_eth_qsfp_tx_tkeep_b[1*8 +: 8] = arm_eth1_tx_tkeep_b; + + assign arm_eth1_rx_tdata_b = arm_eth_qsfp_rx_tdata_b[1*64 +: 64]; + assign arm_eth1_rx_tvalid_b = arm_eth_qsfp_rx_tvalid_b[1]; + assign arm_eth1_rx_tlast_b = arm_eth_qsfp_rx_tlast_b[1]; + assign arm_eth_qsfp_rx_tready_b[1] = arm_eth1_rx_tready_b; + assign arm_eth1_rx_tuser_b = arm_eth_qsfp_rx_tuser_b[1*4 +: 4]; + assign arm_eth1_rx_tkeep_b = arm_eth_qsfp_rx_tkeep_b[1*8 +: 8]; + + // Connect second QSFP+ 10 GbE port to the crossbar + assign v2e_qsfp_tdata[1*64 +: 64] = v2e1_tdata; + assign v2e_qsfp_tlast[1] = v2e1_tlast; + assign v2e_qsfp_tvalid[1] = v2e1_tvalid; + assign v2e1_tready = v2e_qsfp_tready[1]; + + assign e2v1_tdata = e2v_qsfp_tdata[1*64 +: 64]; + assign e2v1_tlast = e2v_qsfp_tlast[1]; + assign e2v1_tvalid = e2v_qsfp_tvalid[1]; + assign e2v_qsfp_tready[1] = e2v1_tready; +`else + // SFP+ ports connects to DMA engines and crossbar + // Connect first SFP+ 10 GbE port to a DMA engine (and the PS/ARM) + assign arm_eth_sfp0_tx_tdata_b = arm_eth0_tx_tdata_b; + assign arm_eth_sfp0_tx_tvalid_b = arm_eth0_tx_tvalid_b; + assign arm_eth_sfp0_tx_tlast_b = arm_eth0_tx_tlast_b; + assign arm_eth0_tx_tready_b = arm_eth_sfp0_tx_tready_b; + assign arm_eth_sfp0_tx_tuser_b = arm_eth0_tx_tuser_b; + assign arm_eth_sfp0_tx_tkeep_b = arm_eth0_tx_tkeep_b; + + assign arm_eth0_rx_tdata_b = arm_eth_sfp0_rx_tdata_b; + assign arm_eth0_rx_tvalid_b = arm_eth_sfp0_rx_tvalid_b; + assign arm_eth0_rx_tlast_b = arm_eth_sfp0_rx_tlast_b; + assign arm_eth_sfp0_rx_tready_b = arm_eth0_rx_tready_b; + assign arm_eth0_rx_tuser_b = arm_eth_sfp0_rx_tuser_b; + assign arm_eth0_rx_tkeep_b = arm_eth_sfp0_rx_tkeep_b; + + // Connect first SFP+ 10 GbE port to the crossbar + assign v2e_sfp0_tdata = v2e0_tdata; + assign v2e_sfp0_tlast = v2e0_tlast; + assign v2e_sfp0_tvalid = v2e0_tvalid; + assign v2e0_tready = v2e_sfp0_tready; + + assign e2v0_tdata = e2v_sfp0_tdata; + assign e2v0_tlast = e2v_sfp0_tlast; + assign e2v0_tvalid = e2v_sfp0_tvalid; + assign e2v_sfp0_tready = e2v0_tready; + + // Connect second SFP+ 10 GbE port to a DMA engine (and the PS/ARM) + assign arm_eth_sfp1_tx_tdata_b = arm_eth1_tx_tdata_b; + assign arm_eth_sfp1_tx_tvalid_b = arm_eth1_tx_tvalid_b; + assign arm_eth_sfp1_tx_tlast_b = arm_eth1_tx_tlast_b; + assign arm_eth1_tx_tready_b = arm_eth_sfp1_tx_tready_b; + assign arm_eth_sfp1_tx_tuser_b = arm_eth1_tx_tuser_b; + assign arm_eth_sfp1_tx_tkeep_b = arm_eth1_tx_tkeep_b; + + assign arm_eth1_rx_tdata_b = arm_eth_sfp1_rx_tdata_b; + assign arm_eth1_rx_tvalid_b = arm_eth_sfp1_rx_tvalid_b; + assign arm_eth1_rx_tlast_b = arm_eth_sfp1_rx_tlast_b; + assign arm_eth_sfp1_rx_tready_b = arm_eth1_rx_tready_b; + assign arm_eth1_rx_tuser_b = arm_eth_sfp1_rx_tuser_b; + assign arm_eth1_rx_tkeep_b = arm_eth_sfp1_rx_tkeep_b; + + // Connect first SFP+ 10 GbE port to the crossbar + assign v2e_sfp1_tdata = v2e1_tdata; + assign v2e_sfp1_tlast = v2e1_tlast; + assign v2e_sfp1_tvalid = v2e1_tvalid; + assign v2e1_tready = v2e_sfp1_tready; + + assign e2v1_tdata = e2v_sfp1_tdata; + assign e2v1_tlast = e2v_sfp1_tlast; + assign e2v1_tvalid = e2v_sfp1_tvalid; + assign e2v_sfp1_tready = e2v1_tready; + + // Don't actually instantiate DMA engines if protocols can't use them + `ifdef SFP0_AURORA + `define NO_ETH_DMA_0 + `elsif SFP0_WR + `define NO_ETH_DMA_0 + `endif + + `ifdef SFP1_AURORA + `define NO_ETH_DMA_1 + `endif +`endif + +`ifdef NO_ETH_DMA_0 + //If inst Aurora, tie off each axi/axi-lite interface + axi_dummy #( + .DEC_ERR(1'b0) + ) inst_axi_dummy_sfp0_eth_dma ( + .s_axi_aclk(bus_clk), + .s_axi_areset(bus_rst), + + .s_axi_awaddr(M_AXI_ETH_DMA0_AWADDR), + .s_axi_awvalid(M_AXI_ETH_DMA0_AWVALID), + .s_axi_awready(M_AXI_ETH_DMA0_AWREADY), + + .s_axi_wdata(M_AXI_ETH_DMA0_WDATA), + .s_axi_wvalid(M_AXI_ETH_DMA0_WVALID), + .s_axi_wready(M_AXI_ETH_DMA0_WREADY), + + .s_axi_bresp(M_AXI_ETH_DMA0_BRESP), + .s_axi_bvalid(M_AXI_ETH_DMA0_BVALID), + .s_axi_bready(M_AXI_ETH_DMA0_BREADY), + + .s_axi_araddr(M_AXI_ETH_DMA0_ARADDR), + .s_axi_arvalid(M_AXI_ETH_DMA0_ARVALID), + .s_axi_arready(M_AXI_ETH_DMA0_ARREADY), + + .s_axi_rdata(M_AXI_ETH_DMA0_RDATA), + .s_axi_rresp(M_AXI_ETH_DMA0_RRESP), + .s_axi_rvalid(M_AXI_ETH_DMA0_RVALID), + .s_axi_rready(M_AXI_ETH_DMA0_RREADY) + + ); + //S_AXI_GP0 outputs from axi_eth_dma, so needs some sort of controller/tie off + assign S_AXI_GP0_AWADDR = 32'h0; + assign S_AXI_GP0_AWLEN = 8'h0; + assign S_AXI_GP0_AWSIZE = 4'h0; + assign S_AXI_GP0_AWBURST = 3'h0; + assign S_AXI_GP0_AWPROT = 3'h0; + assign S_AXI_GP0_AWCACHE = 4'h0; + assign S_AXI_GP0_AWVALID = 1'b0; + //S_AXI_GP0_AWREADY output from PS + assign S_AXI_GP0_WDATA = 32'h0; + assign S_AXI_GP0_WSTRB = 4'h0; + assign S_AXI_GP0_WLAST = 1'b0; + assign S_AXI_GP0_WVALID = 1'b0; + //S_AXI_GP0_WREADY output from PS + //S_AXI_GP0_BRESP + //S_AXI_GP0_BVALID + assign S_AXI_GP0_BREADY = 1'b1; + assign S_AXI_GP0_ARADDR = 32'h0; + assign S_AXI_GP0_ARLEN = 8'h0; + assign S_AXI_GP0_ARSIZE = 3'h0; + assign S_AXI_GP0_ARBURST = 2'h0; + assign S_AXI_GP0_ARPROT = 3'h0; + assign S_AXI_GP0_ARCACHE = 4'h0; + assign S_AXI_GP0_ARVALID = 1'b0; + //S_AXI_GP0_ARREADY + //S_AXI_GP0_RDATA + //S_AXI_GP0_RRESP + //S_AXI_GP0_RLAST + //S_AXI_GP0_RVALID + assign S_AXI_GP0_RREADY = 1'b1; + + //S_AXI_HP0 from axi_eth_dma + assign S_AXI_HP0_ARADDR = 32'h0; + assign S_AXI_HP0_ARLEN = 8'h0; + assign S_AXI_HP0_ARSIZE = 3'h0; + assign S_AXI_HP0_ARBURST = 2'h0; + assign S_AXI_HP0_ARPROT = 3'h0; + assign S_AXI_HP0_ARCACHE = 4'h0; + assign S_AXI_HP0_ARVALID = 1'b0; + //S_AXI_HP0_ARREADY + //S_AXI_HP0_RDATA + //S_AXI_HP0_RRESP + //S_AXI_HP0_RLAST + //S_AXI_HP0_RVALID + assign S_AXI_HP0_RREADY = 1'b1; + assign S_AXI_HP0_AWADDR = 32'h0; + assign S_AXI_HP0_AWLEN = 8'h0; + assign S_AXI_HP0_AWSIZE = 3'h0; + assign S_AXI_HP0_AWBURST = 2'h0; + assign S_AXI_HP0_AWPROT = 3'h0; + assign S_AXI_HP0_AWCACHE = 4'h0; + assign S_AXI_HP0_AWVALID = 1'b0; + //S_AXI_HP0_AWREADY + assign S_AXI_HP0_WDATA = 64'h0; + assign S_AXI_HP0_WSTRB = 8'h0; + assign S_AXI_HP0_WLAST = 1'b0; + assign S_AXI_HP0_WVALID = 1'b0; + //S_AXI_HP0_WREADY + //S_AXI_HP0_BRESP + //S_AXI_HP0_BVALID + assign S_AXI_HP0_BREADY = 1'b1; + +`else + + axi_eth_dma inst_axi_eth_dma0 ( + .s_axi_lite_aclk(clk40), + .m_axi_sg_aclk(clk40), + .m_axi_mm2s_aclk(clk40), + .m_axi_s2mm_aclk(clk40), + .axi_resetn(clk40_rstn), + + .s_axi_lite_awaddr(M_AXI_ETH_DMA0_AWADDR), + .s_axi_lite_awvalid(M_AXI_ETH_DMA0_AWVALID), + .s_axi_lite_awready(M_AXI_ETH_DMA0_AWREADY), + + .s_axi_lite_wdata(M_AXI_ETH_DMA0_WDATA), + .s_axi_lite_wvalid(M_AXI_ETH_DMA0_WVALID), + .s_axi_lite_wready(M_AXI_ETH_DMA0_WREADY), + + .s_axi_lite_bresp(M_AXI_ETH_DMA0_BRESP), + .s_axi_lite_bvalid(M_AXI_ETH_DMA0_BVALID), + .s_axi_lite_bready(M_AXI_ETH_DMA0_BREADY), + + .s_axi_lite_araddr(M_AXI_ETH_DMA0_ARADDR), + .s_axi_lite_arvalid(M_AXI_ETH_DMA0_ARVALID), + .s_axi_lite_arready(M_AXI_ETH_DMA0_ARREADY), + + .s_axi_lite_rdata(M_AXI_ETH_DMA0_RDATA), + .s_axi_lite_rresp(M_AXI_ETH_DMA0_RRESP), + .s_axi_lite_rvalid(M_AXI_ETH_DMA0_RVALID), + .s_axi_lite_rready(M_AXI_ETH_DMA0_RREADY), + + .m_axi_sg_awaddr(S_AXI_GP0_AWADDR), + .m_axi_sg_awlen(S_AXI_GP0_AWLEN), + .m_axi_sg_awsize(S_AXI_GP0_AWSIZE), + .m_axi_sg_awburst(S_AXI_GP0_AWBURST), + .m_axi_sg_awprot(S_AXI_GP0_AWPROT), + .m_axi_sg_awcache(S_AXI_GP0_AWCACHE), + .m_axi_sg_awvalid(S_AXI_GP0_AWVALID), + .m_axi_sg_awready(S_AXI_GP0_AWREADY), + .m_axi_sg_wdata(S_AXI_GP0_WDATA), + .m_axi_sg_wstrb(S_AXI_GP0_WSTRB), + .m_axi_sg_wlast(S_AXI_GP0_WLAST), + .m_axi_sg_wvalid(S_AXI_GP0_WVALID), + .m_axi_sg_wready(S_AXI_GP0_WREADY), + .m_axi_sg_bresp(S_AXI_GP0_BRESP), + .m_axi_sg_bvalid(S_AXI_GP0_BVALID), + .m_axi_sg_bready(S_AXI_GP0_BREADY), + .m_axi_sg_araddr(S_AXI_GP0_ARADDR), + .m_axi_sg_arlen(S_AXI_GP0_ARLEN), + .m_axi_sg_arsize(S_AXI_GP0_ARSIZE), + .m_axi_sg_arburst(S_AXI_GP0_ARBURST), + .m_axi_sg_arprot(S_AXI_GP0_ARPROT), + .m_axi_sg_arcache(S_AXI_GP0_ARCACHE), + .m_axi_sg_arvalid(S_AXI_GP0_ARVALID), + .m_axi_sg_arready(S_AXI_GP0_ARREADY), + .m_axi_sg_rdata(S_AXI_GP0_RDATA), + .m_axi_sg_rresp(S_AXI_GP0_RRESP), + .m_axi_sg_rlast(S_AXI_GP0_RLAST), + .m_axi_sg_rvalid(S_AXI_GP0_RVALID), + .m_axi_sg_rready(S_AXI_GP0_RREADY), + + .m_axi_mm2s_araddr(S_AXI_HP0_ARADDR), + .m_axi_mm2s_arlen(S_AXI_HP0_ARLEN), + .m_axi_mm2s_arsize(S_AXI_HP0_ARSIZE), + .m_axi_mm2s_arburst(S_AXI_HP0_ARBURST), + .m_axi_mm2s_arprot(S_AXI_HP0_ARPROT), + .m_axi_mm2s_arcache(S_AXI_HP0_ARCACHE), + .m_axi_mm2s_arvalid(S_AXI_HP0_ARVALID), + .m_axi_mm2s_arready(S_AXI_HP0_ARREADY), + .m_axi_mm2s_rdata(S_AXI_HP0_RDATA), + .m_axi_mm2s_rresp(S_AXI_HP0_RRESP), + .m_axi_mm2s_rlast(S_AXI_HP0_RLAST), + .m_axi_mm2s_rvalid(S_AXI_HP0_RVALID), + .m_axi_mm2s_rready(S_AXI_HP0_RREADY), + + .mm2s_prmry_reset_out_n(), + .m_axis_mm2s_tdata(arm_eth0_tx_tdata), + .m_axis_mm2s_tkeep(arm_eth0_tx_tkeep), + .m_axis_mm2s_tvalid(arm_eth0_tx_tvalid), + .m_axis_mm2s_tready(arm_eth0_tx_tready), + .m_axis_mm2s_tlast(arm_eth0_tx_tlast), + + .m_axi_s2mm_awaddr(S_AXI_HP0_AWADDR), + .m_axi_s2mm_awlen(S_AXI_HP0_AWLEN), + .m_axi_s2mm_awsize(S_AXI_HP0_AWSIZE), + .m_axi_s2mm_awburst(S_AXI_HP0_AWBURST), + .m_axi_s2mm_awprot(S_AXI_HP0_AWPROT), + .m_axi_s2mm_awcache(S_AXI_HP0_AWCACHE), + .m_axi_s2mm_awvalid(S_AXI_HP0_AWVALID), + .m_axi_s2mm_awready(S_AXI_HP0_AWREADY), + .m_axi_s2mm_wdata(S_AXI_HP0_WDATA), + .m_axi_s2mm_wstrb(S_AXI_HP0_WSTRB), + .m_axi_s2mm_wlast(S_AXI_HP0_WLAST), + .m_axi_s2mm_wvalid(S_AXI_HP0_WVALID), + .m_axi_s2mm_wready(S_AXI_HP0_WREADY), + .m_axi_s2mm_bresp(S_AXI_HP0_BRESP), + .m_axi_s2mm_bvalid(S_AXI_HP0_BVALID), + .m_axi_s2mm_bready(S_AXI_HP0_BREADY), + + .s2mm_prmry_reset_out_n(), + .s_axis_s2mm_tdata(arm_eth0_rx_tdata), + .s_axis_s2mm_tkeep(arm_eth0_rx_tkeep), + .s_axis_s2mm_tvalid(arm_eth0_rx_tvalid), + .s_axis_s2mm_tready(arm_eth0_rx_tready), + .s_axis_s2mm_tlast(arm_eth0_rx_tlast), + + .mm2s_introut(arm_eth0_tx_irq), + .s2mm_introut(arm_eth0_rx_irq), + .axi_dma_tstvec() + ); + + axi_fifo_2clk #( + .WIDTH(1+8+64), + .SIZE(5) + ) eth_tx_0_fifo_2clk_i ( + .reset(clk40_rst), + .i_aclk(clk40), + .i_tdata({arm_eth0_tx_tlast, arm_eth0_tx_tkeep, arm_eth0_tx_tdata}), + .i_tvalid(arm_eth0_tx_tvalid), + .i_tready(arm_eth0_tx_tready), + .o_aclk(bus_clk), + .o_tdata({arm_eth0_tx_tlast_b, arm_eth0_tx_tkeep_b, arm_eth0_tx_tdata_b}), + .o_tvalid(arm_eth0_tx_tvalid_b), + .o_tready(arm_eth0_tx_tready_b) + ); + + axi_fifo_2clk #( + .WIDTH(1+8+64), + .SIZE(5) + ) eth_rx_0_fifo_2clk_i ( + .reset(bus_rst), + .i_aclk(bus_clk), + .i_tdata({arm_eth0_rx_tlast_b, arm_eth0_rx_tkeep_b, arm_eth0_rx_tdata_b}), + .i_tvalid(arm_eth0_rx_tvalid_b), + .i_tready(arm_eth0_rx_tready_b), + .o_aclk(clk40), + .o_tdata({arm_eth0_rx_tlast, arm_eth0_rx_tkeep, arm_eth0_rx_tdata}), + .o_tvalid(arm_eth0_rx_tvalid), + .o_tready(arm_eth0_rx_tready) + ); + +`endif + + ///////////////////////////////////////////////////////////////////// + // + // Ethernet DMA 1 + // + ////////////////////////////////////////////////////////////////////// + + assign IRQ_F2P[2] = arm_eth1_rx_irq; + assign IRQ_F2P[3] = arm_eth1_tx_irq; + + assign {S_AXI_HP1_AWID, S_AXI_HP1_ARID} = 12'd0; + assign {S_AXI_GP1_AWID, S_AXI_GP1_ARID} = 10'd0; + +`ifdef NO_ETH_DMA_1 + //If inst Aurora, tie off each axi/axi-lite interface + axi_dummy #(.DEC_ERR(1'b0)) inst_axi_dummy_sfp1_eth_dma + ( + .s_axi_aclk(bus_clk), + .s_axi_areset(bus_rst), + + .s_axi_awaddr(M_AXI_ETH_DMA1_AWADDR), + .s_axi_awvalid(M_AXI_ETH_DMA1_AWVALID), + .s_axi_awready(M_AXI_ETH_DMA1_AWREADY), + + .s_axi_wdata(M_AXI_ETH_DMA1_WDATA), + .s_axi_wvalid(M_AXI_ETH_DMA1_WVALID), + .s_axi_wready(M_AXI_ETH_DMA1_WREADY), + + .s_axi_bresp(M_AXI_ETH_DMA1_BRESP), + .s_axi_bvalid(M_AXI_ETH_DMA1_BVALID), + .s_axi_bready(M_AXI_ETH_DMA1_BREADY), + + .s_axi_araddr(M_AXI_ETH_DMA1_ARADDR), + .s_axi_arvalid(M_AXI_ETH_DMA1_ARVALID), + .s_axi_arready(M_AXI_ETH_DMA1_ARREADY), + + .s_axi_rdata(M_AXI_ETH_DMA1_RDATA), + .s_axi_rresp(M_AXI_ETH_DMA1_RRESP), + .s_axi_rvalid(M_AXI_ETH_DMA1_RVALID), + .s_axi_rready(M_AXI_ETH_DMA1_RREADY) + + ); + //S_AXI_GP0 outputs from axi_eth_dma, so needs some sort of controller/tie off + assign S_AXI_GP1_AWADDR = 32'h0; + assign S_AXI_GP1_AWLEN = 8'h0; + assign S_AXI_GP1_AWSIZE = 4'h0; + assign S_AXI_GP1_AWBURST = 3'h0; + assign S_AXI_GP1_AWPROT = 3'h0; + assign S_AXI_GP1_AWCACHE = 4'h0; + assign S_AXI_GP1_AWVALID = 1'b0; + //S_AXI_GP1_AWREADY output from PS + assign S_AXI_GP1_WDATA = 32'h0; + assign S_AXI_GP1_WSTRB = 4'h0; + assign S_AXI_GP1_WLAST = 1'b0; + assign S_AXI_GP1_WVALID = 1'b0; + //S_AXI_GP1_WREADY output from PS + //S_AXI_GP1_BRESP + //S_AXI_GP1_BVALID + assign S_AXI_GP1_BREADY = 1'b1; + assign S_AXI_GP1_ARADDR = 32'h0; + assign S_AXI_GP1_ARLEN = 8'h0; + assign S_AXI_GP1_ARSIZE = 3'h0; + assign S_AXI_GP1_ARBURST = 2'h0; + assign S_AXI_GP1_ARPROT = 3'h0; + assign S_AXI_GP1_ARCACHE = 4'h0; + assign S_AXI_GP1_ARVALID = 1'b0; + //S_AXI_GP1_ARREADY + //S_AXI_GP1_RDATA + //S_AXI_GP1_RRESP + //S_AXI_GP1_RLAST + //S_AXI_GP1_RVALID + assign S_AXI_GP1_RREADY = 1'b1; + + //S_AXI_HP0 from axi_eth_dma + assign S_AXI_HP1_ARADDR = 32'h0; + assign S_AXI_HP1_ARLEN = 8'h0; + assign S_AXI_HP1_ARSIZE = 3'h0; + assign S_AXI_HP1_ARBURST = 2'h0; + assign S_AXI_HP1_ARPROT = 3'h0; + assign S_AXI_HP1_ARCACHE = 4'h0; + assign S_AXI_HP1_ARVALID = 1'b0; + //S_AXI_HP1_ARREADY + //S_AXI_HP1_RDATA + //S_AXI_HP1_RRESP + //S_AXI_HP1_RLAST + //S_AXI_HP1_RVALID + assign S_AXI_HP1_RREADY = 1'b1; + assign S_AXI_HP1_AWADDR = 32'h0; + assign S_AXI_HP1_AWLEN = 8'h0; + assign S_AXI_HP1_AWSIZE = 3'h0; + assign S_AXI_HP1_AWBURST = 2'h0; + assign S_AXI_HP1_AWPROT = 3'h0; + assign S_AXI_HP1_AWCACHE = 4'h0; + assign S_AXI_HP1_AWVALID = 1'b0; + //S_AXI_HP1_AWREADY + assign S_AXI_HP1_WDATA = 64'h0; + assign S_AXI_HP1_WSTRB = 8'h0; + assign S_AXI_HP1_WLAST = 1'b0; + assign S_AXI_HP1_WVALID = 1'b0; + //S_AXI_HP1_WREADY + //S_AXI_HP1_BRESP + //S_AXI_HP1_BVALID + assign S_AXI_HP1_BREADY = 1'b1; + +`else + + axi_eth_dma inst_axi_eth_dma1 ( + .s_axi_lite_aclk(clk40), + .m_axi_sg_aclk(clk40), + .m_axi_mm2s_aclk(clk40), + .m_axi_s2mm_aclk(clk40), + .axi_resetn(clk40_rstn), + + .s_axi_lite_awaddr(M_AXI_ETH_DMA1_AWADDR), + .s_axi_lite_awvalid(M_AXI_ETH_DMA1_AWVALID), + .s_axi_lite_awready(M_AXI_ETH_DMA1_AWREADY), + + .s_axi_lite_wdata(M_AXI_ETH_DMA1_WDATA), + .s_axi_lite_wvalid(M_AXI_ETH_DMA1_WVALID), + .s_axi_lite_wready(M_AXI_ETH_DMA1_WREADY), + + .s_axi_lite_bresp(M_AXI_ETH_DMA1_BRESP), + .s_axi_lite_bvalid(M_AXI_ETH_DMA1_BVALID), + .s_axi_lite_bready(M_AXI_ETH_DMA1_BREADY), + + .s_axi_lite_araddr(M_AXI_ETH_DMA1_ARADDR), + .s_axi_lite_arvalid(M_AXI_ETH_DMA1_ARVALID), + .s_axi_lite_arready(M_AXI_ETH_DMA1_ARREADY), + + .s_axi_lite_rdata(M_AXI_ETH_DMA1_RDATA), + .s_axi_lite_rresp(M_AXI_ETH_DMA1_RRESP), + .s_axi_lite_rvalid(M_AXI_ETH_DMA1_RVALID), + .s_axi_lite_rready(M_AXI_ETH_DMA1_RREADY), + + .m_axi_sg_awaddr(S_AXI_GP1_AWADDR), + .m_axi_sg_awlen(S_AXI_GP1_AWLEN), + .m_axi_sg_awsize(S_AXI_GP1_AWSIZE), + .m_axi_sg_awburst(S_AXI_GP1_AWBURST), + .m_axi_sg_awprot(S_AXI_GP1_AWPROT), + .m_axi_sg_awcache(S_AXI_GP1_AWCACHE), + .m_axi_sg_awvalid(S_AXI_GP1_AWVALID), + .m_axi_sg_awready(S_AXI_GP1_AWREADY), + .m_axi_sg_wdata(S_AXI_GP1_WDATA), + .m_axi_sg_wstrb(S_AXI_GP1_WSTRB), + .m_axi_sg_wlast(S_AXI_GP1_WLAST), + .m_axi_sg_wvalid(S_AXI_GP1_WVALID), + .m_axi_sg_wready(S_AXI_GP1_WREADY), + .m_axi_sg_bresp(S_AXI_GP1_BRESP), + .m_axi_sg_bvalid(S_AXI_GP1_BVALID), + .m_axi_sg_bready(S_AXI_GP1_BREADY), + .m_axi_sg_araddr(S_AXI_GP1_ARADDR), + .m_axi_sg_arlen(S_AXI_GP1_ARLEN), + .m_axi_sg_arsize(S_AXI_GP1_ARSIZE), + .m_axi_sg_arburst(S_AXI_GP1_ARBURST), + .m_axi_sg_arprot(S_AXI_GP1_ARPROT), + .m_axi_sg_arcache(S_AXI_GP1_ARCACHE), + .m_axi_sg_arvalid(S_AXI_GP1_ARVALID), + .m_axi_sg_arready(S_AXI_GP1_ARREADY), + .m_axi_sg_rdata(S_AXI_GP1_RDATA), + .m_axi_sg_rresp(S_AXI_GP1_RRESP), + .m_axi_sg_rlast(S_AXI_GP1_RLAST), + .m_axi_sg_rvalid(S_AXI_GP1_RVALID), + .m_axi_sg_rready(S_AXI_GP1_RREADY), + + .m_axi_mm2s_araddr(S_AXI_HP1_ARADDR), + .m_axi_mm2s_arlen(S_AXI_HP1_ARLEN), + .m_axi_mm2s_arsize(S_AXI_HP1_ARSIZE), + .m_axi_mm2s_arburst(S_AXI_HP1_ARBURST), + .m_axi_mm2s_arprot(S_AXI_HP1_ARPROT), + .m_axi_mm2s_arcache(S_AXI_HP1_ARCACHE), + .m_axi_mm2s_arvalid(S_AXI_HP1_ARVALID), + .m_axi_mm2s_arready(S_AXI_HP1_ARREADY), + .m_axi_mm2s_rdata(S_AXI_HP1_RDATA), + .m_axi_mm2s_rresp(S_AXI_HP1_RRESP), + .m_axi_mm2s_rlast(S_AXI_HP1_RLAST), + .m_axi_mm2s_rvalid(S_AXI_HP1_RVALID), + .m_axi_mm2s_rready(S_AXI_HP1_RREADY), + + .mm2s_prmry_reset_out_n(), + .m_axis_mm2s_tdata(arm_eth1_tx_tdata), + .m_axis_mm2s_tkeep(arm_eth1_tx_tkeep), + .m_axis_mm2s_tvalid(arm_eth1_tx_tvalid), + .m_axis_mm2s_tready(arm_eth1_tx_tready), + .m_axis_mm2s_tlast(arm_eth1_tx_tlast), + + .m_axi_s2mm_awaddr(S_AXI_HP1_AWADDR), + .m_axi_s2mm_awlen(S_AXI_HP1_AWLEN), + .m_axi_s2mm_awsize(S_AXI_HP1_AWSIZE), + .m_axi_s2mm_awburst(S_AXI_HP1_AWBURST), + .m_axi_s2mm_awprot(S_AXI_HP1_AWPROT), + .m_axi_s2mm_awcache(S_AXI_HP1_AWCACHE), + .m_axi_s2mm_awvalid(S_AXI_HP1_AWVALID), + .m_axi_s2mm_awready(S_AXI_HP1_AWREADY), + .m_axi_s2mm_wdata(S_AXI_HP1_WDATA), + .m_axi_s2mm_wstrb(S_AXI_HP1_WSTRB), + .m_axi_s2mm_wlast(S_AXI_HP1_WLAST), + .m_axi_s2mm_wvalid(S_AXI_HP1_WVALID), + .m_axi_s2mm_wready(S_AXI_HP1_WREADY), + .m_axi_s2mm_bresp(S_AXI_HP1_BRESP), + .m_axi_s2mm_bvalid(S_AXI_HP1_BVALID), + .m_axi_s2mm_bready(S_AXI_HP1_BREADY), + + .s2mm_prmry_reset_out_n(), + .s_axis_s2mm_tdata(arm_eth1_rx_tdata), + .s_axis_s2mm_tkeep(arm_eth1_rx_tkeep), + .s_axis_s2mm_tvalid(arm_eth1_rx_tvalid), + .s_axis_s2mm_tready(arm_eth1_rx_tready), + .s_axis_s2mm_tlast(arm_eth1_rx_tlast), + + .mm2s_introut(arm_eth1_tx_irq), + .s2mm_introut(arm_eth1_rx_irq), + .axi_dma_tstvec() + ); + + axi_fifo_2clk #( + .WIDTH(1+8+64), + .SIZE(5) + ) eth_tx_1_fifo_2clk_i ( + .reset(clk40_rst), + .i_aclk(clk40), + .i_tdata({arm_eth1_tx_tlast, arm_eth1_tx_tkeep, arm_eth1_tx_tdata}), + .i_tvalid(arm_eth1_tx_tvalid), + .i_tready(arm_eth1_tx_tready), + .o_aclk(bus_clk), + .o_tdata({arm_eth1_tx_tlast_b, arm_eth1_tx_tkeep_b, arm_eth1_tx_tdata_b}), + .o_tvalid(arm_eth1_tx_tvalid_b), + .o_tready(arm_eth1_tx_tready_b) + ); + + axi_fifo_2clk #( + .WIDTH(1+8+64), + .SIZE(5) + ) eth_rx_1_fifo_2clk_i ( + .reset(bus_rst), + .i_aclk(bus_clk), + .i_tdata({arm_eth1_rx_tlast_b, arm_eth1_rx_tkeep_b, arm_eth1_rx_tdata_b}), + .i_tvalid(arm_eth1_rx_tvalid_b), + .i_tready(arm_eth1_rx_tready_b), + .o_aclk(clk40), + .o_tdata({arm_eth1_rx_tlast, arm_eth1_rx_tkeep, arm_eth1_rx_tdata}), + .o_tvalid(arm_eth1_rx_tvalid), + .o_tready(arm_eth1_rx_tready) + ); +`endif + + ///////////////////////////////////////////////////////////////////// + // + // Processing System + // + ////////////////////////////////////////////////////////////////////// + + wire spi0_sclk; + wire spi0_mosi; + wire spi0_miso; + wire spi0_ss0; + wire spi0_ss1; + wire spi0_ss2; + wire spi1_sclk; + wire spi1_mosi; + wire spi1_miso; + wire spi1_ss0; + wire spi1_ss1; + wire spi1_ss2; + + assign DBA_MODULE_PWR_ENABLE = ps_gpio_out[8]; + assign DBA_RF_PWR_ENABLE = ps_gpio_out[9]; + assign DBB_MODULE_PWR_ENABLE = ps_gpio_out[10]; + assign DBB_RF_PWR_ENABLE = ps_gpio_out[11]; + assign ps_gpio_in[8] = DBA_MODULE_PWR_ENABLE; + assign ps_gpio_in[9] = DBA_RF_PWR_ENABLE; + assign ps_gpio_in[10] = DBB_MODULE_PWR_ENABLE; + assign ps_gpio_in[11] = DBB_RF_PWR_ENABLE; + + // Processing System + n310_ps_bd inst_n310_ps ( + .SPI0_SCLK_I(1'b0), + .SPI0_SCLK_O(spi0_sclk), + .SPI0_SCLK_T(), + .SPI0_MOSI_I(1'b0), + .SPI0_MOSI_O(spi0_mosi), + .SPI0_MOSI_T(), + .SPI0_MISO_I(spi0_miso), + .SPI0_MISO_O(), + .SPI0_MISO_T(), + .SPI0_SS_I(1'b1), + .SPI0_SS_O(spi0_ss0), + .SPI0_SS1_O(spi0_ss1), + .SPI0_SS2_O(spi0_ss2), + .SPI0_SS_T(), + + .SPI1_SCLK_I(1'b0), + .SPI1_SCLK_O(spi1_sclk), + .SPI1_SCLK_T(), + .SPI1_MOSI_I(1'b0), + .SPI1_MOSI_O(spi1_mosi), + .SPI1_MOSI_T(), + .SPI1_MISO_I(spi1_miso), + .SPI1_MISO_O(), + .SPI1_MISO_T(), + .SPI1_SS_I(1'b1), + .SPI1_SS_O(spi1_ss0), + .SPI1_SS1_O(spi1_ss1), + .SPI1_SS2_O(spi1_ss2), + .SPI1_SS_T(), + + .bus_clk(bus_clk), + .bus_rstn(~bus_rst), + .clk40(clk40), + .clk40_rstn(clk40_rstn), + + .M_AXI_ETH_DMA0_araddr(M_AXI_ETH_DMA0_ARADDR), + .M_AXI_ETH_DMA0_arprot(), + .M_AXI_ETH_DMA0_arready(M_AXI_ETH_DMA0_ARREADY), + .M_AXI_ETH_DMA0_arvalid(M_AXI_ETH_DMA0_ARVALID), + + .M_AXI_ETH_DMA0_awaddr(M_AXI_ETH_DMA0_AWADDR), + .M_AXI_ETH_DMA0_awprot(), + .M_AXI_ETH_DMA0_awready(M_AXI_ETH_DMA0_AWREADY), + .M_AXI_ETH_DMA0_awvalid(M_AXI_ETH_DMA0_AWVALID), + + .M_AXI_ETH_DMA0_wdata(M_AXI_ETH_DMA0_WDATA), + .M_AXI_ETH_DMA0_wready(M_AXI_ETH_DMA0_WREADY), + .M_AXI_ETH_DMA0_wstrb(M_AXI_ETH_DMA0_WSTRB), + .M_AXI_ETH_DMA0_wvalid(M_AXI_ETH_DMA0_WVALID), + + .M_AXI_ETH_DMA0_rdata(M_AXI_ETH_DMA0_RDATA), + .M_AXI_ETH_DMA0_rready(M_AXI_ETH_DMA0_RREADY), + .M_AXI_ETH_DMA0_rresp(M_AXI_ETH_DMA0_RRESP), + .M_AXI_ETH_DMA0_rvalid(M_AXI_ETH_DMA0_RVALID), + + .M_AXI_ETH_DMA0_bready(M_AXI_ETH_DMA0_BREADY), + .M_AXI_ETH_DMA0_bresp(M_AXI_ETH_DMA0_BRESP), + .M_AXI_ETH_DMA0_bvalid(M_AXI_ETH_DMA0_BVALID), + + .M_AXI_ETH_DMA1_araddr(M_AXI_ETH_DMA1_ARADDR), + .M_AXI_ETH_DMA1_arprot(), + .M_AXI_ETH_DMA1_arready(M_AXI_ETH_DMA1_ARREADY), + .M_AXI_ETH_DMA1_arvalid(M_AXI_ETH_DMA1_ARVALID), + + .M_AXI_ETH_DMA1_awaddr(M_AXI_ETH_DMA1_AWADDR), + .M_AXI_ETH_DMA1_awprot(), + .M_AXI_ETH_DMA1_awready(M_AXI_ETH_DMA1_AWREADY), + .M_AXI_ETH_DMA1_awvalid(M_AXI_ETH_DMA1_AWVALID), + + .M_AXI_ETH_DMA1_bready(M_AXI_ETH_DMA1_BREADY), + .M_AXI_ETH_DMA1_bresp(M_AXI_ETH_DMA1_BRESP), + .M_AXI_ETH_DMA1_bvalid(M_AXI_ETH_DMA1_BVALID), + + .M_AXI_ETH_DMA1_rdata(M_AXI_ETH_DMA1_RDATA), + .M_AXI_ETH_DMA1_rready(M_AXI_ETH_DMA1_RREADY), + .M_AXI_ETH_DMA1_rresp(M_AXI_ETH_DMA1_RRESP), + .M_AXI_ETH_DMA1_rvalid(M_AXI_ETH_DMA1_RVALID), + + .M_AXI_ETH_DMA1_wdata(M_AXI_ETH_DMA1_WDATA), + .M_AXI_ETH_DMA1_wready(M_AXI_ETH_DMA1_WREADY), + .M_AXI_ETH_DMA1_wstrb(M_AXI_ETH_DMA1_WSTRB), + .M_AXI_ETH_DMA1_wvalid(M_AXI_ETH_DMA1_WVALID), + + .M_AXI_JESD0_araddr(M_AXI_JESD0_ARADDR), + .M_AXI_JESD0_arprot(), + .M_AXI_JESD0_arready(M_AXI_JESD0_ARREADY), + .M_AXI_JESD0_arvalid(M_AXI_JESD0_ARVALID), + + .M_AXI_JESD0_awaddr(M_AXI_JESD0_AWADDR), + .M_AXI_JESD0_awprot(), + .M_AXI_JESD0_awready(M_AXI_JESD0_AWREADY), + .M_AXI_JESD0_awvalid(M_AXI_JESD0_AWVALID), + + .M_AXI_JESD0_bready(M_AXI_JESD0_BREADY), + .M_AXI_JESD0_bresp(M_AXI_JESD0_BRESP), + .M_AXI_JESD0_bvalid(M_AXI_JESD0_BVALID), + + .M_AXI_JESD0_rdata(M_AXI_JESD0_RDATA), + .M_AXI_JESD0_rready(M_AXI_JESD0_RREADY), + .M_AXI_JESD0_rresp(M_AXI_JESD0_RRESP), + .M_AXI_JESD0_rvalid(M_AXI_JESD0_RVALID), + + .M_AXI_JESD0_wdata(M_AXI_JESD0_WDATA), + .M_AXI_JESD0_wready(M_AXI_JESD0_WREADY), + .M_AXI_JESD0_wstrb(M_AXI_JESD0_WSTRB), + .M_AXI_JESD0_wvalid(M_AXI_JESD0_WVALID), + + .M_AXI_JESD1_araddr(M_AXI_JESD1_ARADDR), + .M_AXI_JESD1_arprot(), + .M_AXI_JESD1_arready(M_AXI_JESD1_ARREADY), + .M_AXI_JESD1_arvalid(M_AXI_JESD1_ARVALID), + + .M_AXI_JESD1_awaddr(M_AXI_JESD1_AWADDR), + .M_AXI_JESD1_awprot(), + .M_AXI_JESD1_awready(M_AXI_JESD1_AWREADY), + .M_AXI_JESD1_awvalid(M_AXI_JESD1_AWVALID), + + .M_AXI_JESD1_bready(M_AXI_JESD1_BREADY), + .M_AXI_JESD1_bresp(M_AXI_JESD1_BRESP), + .M_AXI_JESD1_bvalid(M_AXI_JESD1_BVALID), + + .M_AXI_JESD1_rdata(M_AXI_JESD1_RDATA), + .M_AXI_JESD1_rready(M_AXI_JESD1_RREADY), + .M_AXI_JESD1_rresp(M_AXI_JESD1_RRESP), + .M_AXI_JESD1_rvalid(M_AXI_JESD1_RVALID), + + .M_AXI_JESD1_wdata(M_AXI_JESD1_WDATA), + .M_AXI_JESD1_wready(M_AXI_JESD1_WREADY), + .M_AXI_JESD1_wstrb(M_AXI_JESD1_WSTRB), + .M_AXI_JESD1_wvalid(M_AXI_JESD1_WVALID), + + .M_AXI_NET0_araddr(M_AXI_NET0_ARADDR), + .M_AXI_NET0_arprot(), + .M_AXI_NET0_arready(M_AXI_NET0_ARREADY), + .M_AXI_NET0_arvalid(M_AXI_NET0_ARVALID), + + .M_AXI_NET0_awaddr(M_AXI_NET0_AWADDR), + .M_AXI_NET0_awprot(), + .M_AXI_NET0_awready(M_AXI_NET0_AWREADY), + .M_AXI_NET0_awvalid(M_AXI_NET0_AWVALID), + + .M_AXI_NET0_bready(M_AXI_NET0_BREADY), + .M_AXI_NET0_bresp(M_AXI_NET0_BRESP), + .M_AXI_NET0_bvalid(M_AXI_NET0_BVALID), + + .M_AXI_NET0_rdata(M_AXI_NET0_RDATA), + .M_AXI_NET0_rready(M_AXI_NET0_RREADY), + .M_AXI_NET0_rresp(M_AXI_NET0_RRESP), + .M_AXI_NET0_rvalid(M_AXI_NET0_RVALID), + + .M_AXI_NET0_wdata(M_AXI_NET0_WDATA), + .M_AXI_NET0_wready(M_AXI_NET0_WREADY), + .M_AXI_NET0_wstrb(M_AXI_NET0_WSTRB), + .M_AXI_NET0_wvalid(M_AXI_NET0_WVALID), + + .M_AXI_NET1_araddr(M_AXI_NET1_ARADDR), + .M_AXI_NET1_arprot(), + .M_AXI_NET1_arready(M_AXI_NET1_ARREADY), + .M_AXI_NET1_arvalid(M_AXI_NET1_ARVALID), + + .M_AXI_NET1_awaddr(M_AXI_NET1_AWADDR), + .M_AXI_NET1_awprot(), + .M_AXI_NET1_awready(M_AXI_NET1_AWREADY), + .M_AXI_NET1_awvalid(M_AXI_NET1_AWVALID), + + .M_AXI_NET1_bready(M_AXI_NET1_BREADY), + .M_AXI_NET1_bresp(M_AXI_NET1_BRESP), + .M_AXI_NET1_bvalid(M_AXI_NET1_BVALID), + + .M_AXI_NET1_rdata(M_AXI_NET1_RDATA), + .M_AXI_NET1_rready(M_AXI_NET1_RREADY), + .M_AXI_NET1_rresp(M_AXI_NET1_RRESP), + .M_AXI_NET1_rvalid(M_AXI_NET1_RVALID), + + .M_AXI_NET1_wdata(M_AXI_NET1_WDATA), + .M_AXI_NET1_wready(M_AXI_NET1_WREADY), + .M_AXI_NET1_wstrb(M_AXI_NET1_WSTRB), + .M_AXI_NET1_wvalid(M_AXI_NET1_WVALID), + + .M_AXI_NET2_araddr(M_AXI_NET2_ARADDR), + .M_AXI_NET2_arprot(), + .M_AXI_NET2_arready(M_AXI_NET2_ARREADY), + .M_AXI_NET2_arvalid(M_AXI_NET2_ARVALID), + + .M_AXI_NET2_awaddr(M_AXI_NET2_AWADDR), + .M_AXI_NET2_awprot(), + .M_AXI_NET2_awready(M_AXI_NET2_AWREADY), + .M_AXI_NET2_awvalid(M_AXI_NET2_AWVALID), + + .M_AXI_NET2_bready(M_AXI_NET2_BREADY), + .M_AXI_NET2_bresp(M_AXI_NET2_BRESP), + .M_AXI_NET2_bvalid(M_AXI_NET2_BVALID), + + .M_AXI_NET2_rdata(M_AXI_NET2_RDATA), + .M_AXI_NET2_rready(M_AXI_NET2_RREADY), + .M_AXI_NET2_rresp(M_AXI_NET2_RRESP), + .M_AXI_NET2_rvalid(M_AXI_NET2_RVALID), + + .M_AXI_NET2_wdata(M_AXI_NET2_WDATA), + .M_AXI_NET2_wready(M_AXI_NET2_WREADY), + .M_AXI_NET2_wstrb(M_AXI_NET2_WSTRB), + .M_AXI_NET2_wvalid(M_AXI_NET2_WVALID), + + .M_AXI_WR_CLK(m_axi_wr_clk), + .M_AXI_WR_RSTn(1'b1), + .M_AXI_WR_araddr(m_axi_wr_araddr), + .M_AXI_WR_arready(m_axi_wr_arready), + .M_AXI_WR_arvalid(m_axi_wr_arvalid), + .M_AXI_WR_arprot(), + .M_AXI_WR_awaddr(m_axi_wr_awaddr), + .M_AXI_WR_awready(m_axi_wr_awready), + .M_AXI_WR_awvalid(m_axi_wr_awvalid), + .M_AXI_WR_awprot(), + .M_AXI_WR_bready(m_axi_wr_bready), + .M_AXI_WR_bresp(m_axi_wr_bresp), + .M_AXI_WR_bvalid(m_axi_wr_bvalid), + .M_AXI_WR_rdata(m_axi_wr_rdata), + .M_AXI_WR_rready(m_axi_wr_rready), + .M_AXI_WR_rresp(m_axi_wr_rresp), + .M_AXI_WR_rvalid(m_axi_wr_rvalid), + .M_AXI_WR_wdata(m_axi_wr_wdata), + .M_AXI_WR_wready(m_axi_wr_wready), + .M_AXI_WR_wstrb(m_axi_wr_wstrb), + .M_AXI_WR_wvalid(m_axi_wr_wvalid), + + .M_AXI_XBAR_araddr(M_AXI_XBAR_ARADDR), + .M_AXI_XBAR_arprot(), + .M_AXI_XBAR_arready(M_AXI_XBAR_ARREADY), + .M_AXI_XBAR_arvalid(M_AXI_XBAR_ARVALID), + + .M_AXI_XBAR_awaddr(M_AXI_XBAR_AWADDR), + .M_AXI_XBAR_awprot(), + .M_AXI_XBAR_awready(M_AXI_XBAR_AWREADY), + .M_AXI_XBAR_awvalid(M_AXI_XBAR_AWVALID), + + .M_AXI_XBAR_bready(M_AXI_XBAR_BREADY), + .M_AXI_XBAR_bresp(M_AXI_XBAR_BRESP), + .M_AXI_XBAR_bvalid(M_AXI_XBAR_BVALID), + + .M_AXI_XBAR_rdata(M_AXI_XBAR_RDATA), + .M_AXI_XBAR_rready(M_AXI_XBAR_RREADY), + .M_AXI_XBAR_rresp(M_AXI_XBAR_RRESP), + .M_AXI_XBAR_rvalid(M_AXI_XBAR_RVALID), + + .M_AXI_XBAR_wdata(M_AXI_XBAR_WDATA), + .M_AXI_XBAR_wready(M_AXI_XBAR_WREADY), + .M_AXI_XBAR_wstrb(M_AXI_XBAR_WSTRB), + .M_AXI_XBAR_wvalid(M_AXI_XBAR_WVALID), + + .S_AXI_GP0_ACLK(clk40), + .S_AXI_GP0_ARESETN(clk40_rstn), + .S_AXI_GP0_araddr(S_AXI_GP0_ARADDR), + .S_AXI_GP0_arburst(S_AXI_GP0_ARBURST), + .S_AXI_GP0_arcache(S_AXI_GP0_ARCACHE), + .S_AXI_GP0_arid(S_AXI_GP0_ARID), + .S_AXI_GP0_arlen(S_AXI_GP0_ARLEN), + .S_AXI_GP0_arlock(1'b0), + .S_AXI_GP0_arprot(S_AXI_GP0_ARPROT), + .S_AXI_GP0_arqos(4'b0000), + .S_AXI_GP0_arready(S_AXI_GP0_ARREADY), + .S_AXI_GP0_arregion(4'b0000), + .S_AXI_GP0_arsize(S_AXI_GP0_ARSIZE), + .S_AXI_GP0_arvalid(S_AXI_GP0_ARVALID), + .S_AXI_GP0_awaddr(S_AXI_GP0_AWADDR), + .S_AXI_GP0_awburst(S_AXI_GP0_AWBURST), + .S_AXI_GP0_awcache(S_AXI_GP0_AWCACHE), + .S_AXI_GP0_awid(S_AXI_GP0_AWID), + .S_AXI_GP0_awlen(S_AXI_GP0_AWLEN), + .S_AXI_GP0_awlock(1'b0), + .S_AXI_GP0_awprot(S_AXI_GP0_AWPROT), + .S_AXI_GP0_awqos(4'b0000), + .S_AXI_GP0_awregion(4'b0000), + .S_AXI_GP0_awready(S_AXI_GP0_AWREADY), + .S_AXI_GP0_awsize(S_AXI_GP0_AWSIZE), + .S_AXI_GP0_awvalid(S_AXI_GP0_AWVALID), + .S_AXI_GP0_bid(), + .S_AXI_GP0_bready(S_AXI_GP0_BREADY), + .S_AXI_GP0_bresp(S_AXI_GP0_BRESP), + .S_AXI_GP0_bvalid(S_AXI_GP0_BVALID), + .S_AXI_GP0_rdata(S_AXI_GP0_RDATA), + .S_AXI_GP0_rid(), + .S_AXI_GP0_rlast(S_AXI_GP0_RLAST), + .S_AXI_GP0_rready(S_AXI_GP0_RREADY), + .S_AXI_GP0_rresp(S_AXI_GP0_RRESP), + .S_AXI_GP0_rvalid(S_AXI_GP0_RVALID), + .S_AXI_GP0_wdata(S_AXI_GP0_WDATA), + .S_AXI_GP0_wlast(S_AXI_GP0_WLAST), + .S_AXI_GP0_wready(S_AXI_GP0_WREADY), + .S_AXI_GP0_wstrb(S_AXI_GP0_WSTRB), + .S_AXI_GP0_wvalid(S_AXI_GP0_WVALID), + + .S_AXI_GP1_ACLK(clk40), + .S_AXI_GP1_ARESETN(clk40_rstn), + .S_AXI_GP1_araddr(S_AXI_GP1_ARADDR), + .S_AXI_GP1_arburst(S_AXI_GP1_ARBURST), + .S_AXI_GP1_arcache(S_AXI_GP1_ARCACHE), + .S_AXI_GP1_arid(S_AXI_GP1_ARID), + .S_AXI_GP1_arlen(S_AXI_GP1_ARLEN), + .S_AXI_GP1_arlock(1'b0), + .S_AXI_GP1_arprot(S_AXI_GP1_ARPROT), + .S_AXI_GP1_arqos(4'b000), + .S_AXI_GP1_arregion(4'b0000), + .S_AXI_GP1_arready(S_AXI_GP1_ARREADY), + .S_AXI_GP1_arsize(S_AXI_GP1_ARSIZE), + .S_AXI_GP1_arvalid(S_AXI_GP1_ARVALID), + .S_AXI_GP1_awaddr(S_AXI_GP1_AWADDR), + .S_AXI_GP1_awburst(S_AXI_GP1_AWBURST), + .S_AXI_GP1_awcache(S_AXI_GP1_AWCACHE), + .S_AXI_GP1_awid(S_AXI_GP1_AWID), + .S_AXI_GP1_awlen(S_AXI_GP1_AWLEN), + .S_AXI_GP1_awlock(1'b0), + .S_AXI_GP1_awprot(S_AXI_GP1_AWPROT), + .S_AXI_GP1_awqos(4'b0000), + .S_AXI_GP1_awregion(4'b0000), + .S_AXI_GP1_awready(S_AXI_GP1_AWREADY), + .S_AXI_GP1_awsize(S_AXI_GP1_AWSIZE), + .S_AXI_GP1_awvalid(S_AXI_GP1_AWVALID), + .S_AXI_GP1_bid(), + .S_AXI_GP1_bready(S_AXI_GP1_BREADY), + .S_AXI_GP1_bresp(S_AXI_GP1_BRESP), + .S_AXI_GP1_bvalid(S_AXI_GP1_BVALID), + .S_AXI_GP1_rdata(S_AXI_GP1_RDATA), + .S_AXI_GP1_rid(), + .S_AXI_GP1_rlast(S_AXI_GP1_RLAST), + .S_AXI_GP1_rready(S_AXI_GP1_RREADY), + .S_AXI_GP1_rresp(S_AXI_GP1_RRESP), + .S_AXI_GP1_rvalid(S_AXI_GP1_RVALID), + .S_AXI_GP1_wdata(S_AXI_GP1_WDATA), + .S_AXI_GP1_wlast(S_AXI_GP1_WLAST), + .S_AXI_GP1_wready(S_AXI_GP1_WREADY), + .S_AXI_GP1_wstrb(S_AXI_GP1_WSTRB), + .S_AXI_GP1_wvalid(S_AXI_GP1_WVALID), + + .S_AXI_HP0_ACLK(clk40), + .S_AXI_HP0_ARESETN(clk40_rstn), + .S_AXI_HP0_araddr(S_AXI_HP0_ARADDR), + .S_AXI_HP0_arburst(S_AXI_HP0_ARBURST), + .S_AXI_HP0_arcache(S_AXI_HP0_ARCACHE), + .S_AXI_HP0_arid(S_AXI_HP0_ARID), + .S_AXI_HP0_arlen(S_AXI_HP0_ARLEN), + .S_AXI_HP0_arlock(1'b0), + .S_AXI_HP0_arprot(S_AXI_HP0_ARPROT), + .S_AXI_HP0_arqos(4'b0000), + .S_AXI_HP0_arready(S_AXI_HP0_ARREADY), + .S_AXI_HP0_arsize(S_AXI_HP0_ARSIZE), + .S_AXI_HP0_arvalid(S_AXI_HP0_ARVALID), + .S_AXI_HP0_awaddr(S_AXI_HP0_AWADDR), + .S_AXI_HP0_awburst(S_AXI_HP0_AWBURST), + .S_AXI_HP0_awcache(S_AXI_HP0_AWCACHE), + .S_AXI_HP0_awid(S_AXI_HP0_AWID), + .S_AXI_HP0_awlen(S_AXI_HP0_AWLEN), + .S_AXI_HP0_awlock(1'b0), + .S_AXI_HP0_awprot(S_AXI_HP0_AWPROT), + .S_AXI_HP0_awqos(4'b0000), + .S_AXI_HP0_awready(S_AXI_HP0_AWREADY), + .S_AXI_HP0_awsize(S_AXI_HP0_AWSIZE), + .S_AXI_HP0_awvalid(S_AXI_HP0_AWVALID), + .S_AXI_HP0_bid(), + .S_AXI_HP0_bready(S_AXI_HP0_BREADY), + .S_AXI_HP0_bresp(S_AXI_HP0_BRESP), + .S_AXI_HP0_bvalid(S_AXI_HP0_BVALID), + .S_AXI_HP0_rdata(S_AXI_HP0_RDATA), + .S_AXI_HP0_rid(), + .S_AXI_HP0_rlast(S_AXI_HP0_RLAST), + .S_AXI_HP0_rready(S_AXI_HP0_RREADY), + .S_AXI_HP0_rresp(S_AXI_HP0_RRESP), + .S_AXI_HP0_rvalid(S_AXI_HP0_RVALID), + .S_AXI_HP0_wdata(S_AXI_HP0_WDATA), + .S_AXI_HP0_wlast(S_AXI_HP0_WLAST), + .S_AXI_HP0_wready(S_AXI_HP0_WREADY), + .S_AXI_HP0_wstrb(S_AXI_HP0_WSTRB), + .S_AXI_HP0_wvalid(S_AXI_HP0_WVALID), + + .S_AXI_HP1_ACLK(clk40), + .S_AXI_HP1_ARESETN(clk40_rstn), + .S_AXI_HP1_araddr(S_AXI_HP1_ARADDR), + .S_AXI_HP1_arburst(S_AXI_HP1_ARBURST), + .S_AXI_HP1_arcache(S_AXI_HP1_ARCACHE), + .S_AXI_HP1_arid(S_AXI_HP1_ARID), + .S_AXI_HP1_arlen(S_AXI_HP1_ARLEN), + .S_AXI_HP1_arlock(1'b0), + .S_AXI_HP1_arprot(S_AXI_HP1_ARPROT), + .S_AXI_HP1_arqos(4'b0000), + .S_AXI_HP1_arready(S_AXI_HP1_ARREADY), + .S_AXI_HP1_arsize(S_AXI_HP1_ARSIZE), + .S_AXI_HP1_arvalid(S_AXI_HP1_ARVALID), + .S_AXI_HP1_awaddr(S_AXI_HP1_AWADDR), + .S_AXI_HP1_awburst(S_AXI_HP1_AWBURST), + .S_AXI_HP1_awcache(S_AXI_HP1_AWCACHE), + .S_AXI_HP1_awid(S_AXI_HP1_AWID), + .S_AXI_HP1_awlen(S_AXI_HP1_AWLEN), + .S_AXI_HP1_awlock(1'b0), + .S_AXI_HP1_awprot(S_AXI_HP1_AWPROT), + .S_AXI_HP1_awqos(4'b0000), + .S_AXI_HP1_awready(S_AXI_HP1_AWREADY), + .S_AXI_HP1_awsize(S_AXI_HP1_AWSIZE), + .S_AXI_HP1_awvalid(S_AXI_HP1_AWVALID), + .S_AXI_HP1_bid(), + .S_AXI_HP1_bready(S_AXI_HP1_BREADY), + .S_AXI_HP1_bresp(S_AXI_HP1_BRESP), + .S_AXI_HP1_bvalid(S_AXI_HP1_BVALID), + .S_AXI_HP1_rdata(S_AXI_HP1_RDATA), + .S_AXI_HP1_rid(), + .S_AXI_HP1_rlast(S_AXI_HP1_RLAST), + .S_AXI_HP1_rready(S_AXI_HP1_RREADY), + .S_AXI_HP1_rresp(S_AXI_HP1_RRESP), + .S_AXI_HP1_rvalid(S_AXI_HP1_RVALID), + .S_AXI_HP1_wdata(S_AXI_HP1_WDATA), + .S_AXI_HP1_wlast(S_AXI_HP1_WLAST), + .S_AXI_HP1_wready(S_AXI_HP1_WREADY), + .S_AXI_HP1_wstrb(S_AXI_HP1_WSTRB), + .S_AXI_HP1_wvalid(S_AXI_HP1_WVALID), + + // ARM DMA + .s_axis_dma_tdata(s_axis_dma_tdata), + .s_axis_dma_tdest(s_axis_dma_tdest), + .s_axis_dma_tlast(s_axis_dma_tlast), + .s_axis_dma_tready(s_axis_dma_tready), + .s_axis_dma_tvalid(s_axis_dma_tvalid), + .m_axis_dma_tdata(m_axis_dma_tdata), + .m_axis_dma_tuser(m_axis_dma_tuser), + .m_axis_dma_tlast(m_axis_dma_tlast), + .m_axis_dma_tready(m_axis_dma_tready), + .m_axis_dma_tvalid(m_axis_dma_tvalid), + + // Misc Interrupts, GPIO, clk + .IRQ_F2P(IRQ_F2P), + + .GPIO_0_tri_i(ps_gpio_in), + .GPIO_0_tri_o(ps_gpio_out), + .GPIO_0_tri_t(ps_gpio_tri), + + .JTAG0_TCK(DBA_CPLD_JTAG_TCK), + .JTAG0_TMS(DBA_CPLD_JTAG_TMS), + .JTAG0_TDI(DBA_CPLD_JTAG_TDI), + .JTAG0_TDO(DBA_CPLD_JTAG_TDO), + + .JTAG1_TCK(DBB_CPLD_JTAG_TCK), + .JTAG1_TMS(DBB_CPLD_JTAG_TMS), + .JTAG1_TDI(DBB_CPLD_JTAG_TDI), + .JTAG1_TDO(DBB_CPLD_JTAG_TDO), + + .FCLK_CLK0(FCLK_CLK0), + .FCLK_RESET0_N(FCLK_RESET0_N), + .FCLK_CLK1(FCLK_CLK1), + .FCLK_RESET1_N(), + .FCLK_CLK2(FCLK_CLK2), + .FCLK_RESET2_N(), + .FCLK_CLK3(FCLK_CLK3), + .FCLK_RESET3_N(), + + .WR_UART_txd(wr_uart_rxd), // rx <-> tx + .WR_UART_rxd(wr_uart_txd), // rx <-> tx + + .qsfp_sda_i(qsfp_sda_i), + .qsfp_sda_o(qsfp_sda_o), + .qsfp_sda_t(qsfp_sda_t), + .qsfp_scl_i(qsfp_scl_i), + .qsfp_scl_o(qsfp_scl_o), + .qsfp_scl_t(qsfp_scl_t), + + .USBIND_0_port_indctl(), + .USBIND_0_vbus_pwrfault(), + .USBIND_0_vbus_pwrselect(), + + // Outward connections to the pins + .MIO(MIO), + .DDR_cas_n(DDR_CAS_n), + .DDR_cke(DDR_CKE), + .DDR_ck_n(DDR_Clk_n), + .DDR_ck_p(DDR_Clk), + .DDR_cs_n(DDR_CS_n), + .DDR_reset_n(DDR_DRSTB), + .DDR_odt(DDR_ODT), + .DDR_ras_n(DDR_RAS_n), + .DDR_we_n(DDR_WEB), + .DDR_ba(DDR_BankAddr), + .DDR_addr(DDR_Addr), + .DDR_VRN(DDR_VRN), + .DDR_VRP(DDR_VRP), + .DDR_dm(DDR_DM), + .DDR_dq(DDR_DQ), + .DDR_dqs_n(DDR_DQS_n), + .DDR_dqs_p(DDR_DQS), + .PS_SRSTB(PS_SRSTB), + .PS_CLK(PS_CLK), + .PS_PORB(PS_PORB) + ); + + /////////////////////////////////////////////////////////////////////////////////// + // + // Xilinx DDR3 Controller and PHY. + // + /////////////////////////////////////////////////////////////////////////////////// + + wire ddr3_axi_clk; // 1/4 DDR external clock rate (200MHz) + wire ddr3_axi_rst; // Synchronized to ddr_sys_clk + wire ddr3_running; // DRAM calibration complete. + wire [11:0] device_temp; + + // Slave Interface Write Address Ports + wire [3:0] ddr3_axi_awid; + wire [31:0] ddr3_axi_awaddr; + wire [7:0] ddr3_axi_awlen; + wire [2:0] ddr3_axi_awsize; + wire [1:0] ddr3_axi_awburst; + wire [0:0] ddr3_axi_awlock; + wire [3:0] ddr3_axi_awcache; + wire [2:0] ddr3_axi_awprot; + wire [3:0] ddr3_axi_awqos; + wire ddr3_axi_awvalid; + wire ddr3_axi_awready; + // Slave Interface Write Data Ports + wire [255:0] ddr3_axi_wdata; + wire [31:0] ddr3_axi_wstrb; + wire ddr3_axi_wlast; + wire ddr3_axi_wvalid; + wire ddr3_axi_wready; + // Slave Interface Write Response Ports + wire ddr3_axi_bready; + wire [3:0] ddr3_axi_bid; + wire [1:0] ddr3_axi_bresp; + wire ddr3_axi_bvalid; + // Slave Interface Read Address Ports + wire [3:0] ddr3_axi_arid; + wire [31:0] ddr3_axi_araddr; + wire [7:0] ddr3_axi_arlen; + wire [2:0] ddr3_axi_arsize; + wire [1:0] ddr3_axi_arburst; + wire [0:0] ddr3_axi_arlock; + wire [3:0] ddr3_axi_arcache; + wire [2:0] ddr3_axi_arprot; + wire [3:0] ddr3_axi_arqos; + wire ddr3_axi_arvalid; + wire ddr3_axi_arready; + // Slave Interface Read Data Ports + wire ddr3_axi_rready; + wire [3:0] ddr3_axi_rid; + wire [255:0] ddr3_axi_rdata; + wire [1:0] ddr3_axi_rresp; + wire ddr3_axi_rlast; + wire ddr3_axi_rvalid; + + reg ddr3_axi_rst_reg_n; + + // Copied this reset circuit from example design. + always @(posedge ddr3_axi_clk) + ddr3_axi_rst_reg_n <= ~ddr3_axi_rst; + + + // Instantiate the DDR3 MIG core + // + // The top-level IP block has no parameters defined for some reason. + // Most of configurable parameters are hard-coded in the mig so get + // some additional knobs we pull those out into verilog headers. + // + // Synthesis params: ip/ddr3_32bit/ddr3_32bit_mig_parameters.vh + // Simulation params: ip/ddr3_32bit/ddr3_32bit_mig_sim_parameters.vh + + ddr3_32bit u_ddr3_32bit ( + // Memory interface ports + .ddr3_addr (ddr3_addr), + .ddr3_ba (ddr3_ba), + .ddr3_cas_n (ddr3_cas_n), + .ddr3_ck_n (ddr3_ck_n), + .ddr3_ck_p (ddr3_ck_p), + .ddr3_cke (ddr3_cke), + .ddr3_ras_n (ddr3_ras_n), + .ddr3_reset_n (ddr3_reset_n), + .ddr3_we_n (ddr3_we_n), + .ddr3_dq (ddr3_dq), + .ddr3_dqs_n (ddr3_dqs_n), + .ddr3_dqs_p (ddr3_dqs_p), + .init_calib_complete (ddr3_running), + .device_temp_i (device_temp), + + .ddr3_cs_n (ddr3_cs_n), + .ddr3_dm (ddr3_dm), + .ddr3_odt (ddr3_odt), + // Application interface ports + .ui_clk (ddr3_axi_clk), // 200Hz clock out + .ui_clk_sync_rst (ddr3_axi_rst), // Active high Reset signal synchronised to 200 MHz. + .aresetn (ddr3_axi_rst_reg_n), + .app_sr_req (1'b0), + .app_sr_active (), + .app_ref_req (1'b0), + .app_ref_ack (), + .app_zq_req (1'b0), + .app_zq_ack (), + // Slave Interface Write Address Ports + .s_axi_awid (ddr3_axi_awid), + .s_axi_awaddr (ddr3_axi_awaddr), + .s_axi_awlen (ddr3_axi_awlen), + .s_axi_awsize (ddr3_axi_awsize), + .s_axi_awburst (ddr3_axi_awburst), + .s_axi_awlock (ddr3_axi_awlock), + .s_axi_awcache (ddr3_axi_awcache), + .s_axi_awprot (ddr3_axi_awprot), + .s_axi_awqos (ddr3_axi_awqos), + .s_axi_awvalid (ddr3_axi_awvalid), + .s_axi_awready (ddr3_axi_awready), + // Slave Interface Write Data Ports + .s_axi_wdata (ddr3_axi_wdata), + .s_axi_wstrb (ddr3_axi_wstrb), + .s_axi_wlast (ddr3_axi_wlast), + .s_axi_wvalid (ddr3_axi_wvalid), + .s_axi_wready (ddr3_axi_wready), + // Slave Interface Write Response Ports + .s_axi_bid (ddr3_axi_bid), + .s_axi_bresp (ddr3_axi_bresp), + .s_axi_bvalid (ddr3_axi_bvalid), + .s_axi_bready (ddr3_axi_bready), + // Slave Interface Read Address Ports + .s_axi_arid (ddr3_axi_arid), + .s_axi_araddr (ddr3_axi_araddr), + .s_axi_arlen (ddr3_axi_arlen), + .s_axi_arsize (ddr3_axi_arsize), + .s_axi_arburst (ddr3_axi_arburst), + .s_axi_arlock (ddr3_axi_arlock), + .s_axi_arcache (ddr3_axi_arcache), + .s_axi_arprot (ddr3_axi_arprot), + .s_axi_arqos (ddr3_axi_arqos), + .s_axi_arvalid (ddr3_axi_arvalid), + .s_axi_arready (ddr3_axi_arready), + // Slave Interface Read Data Ports + .s_axi_rid (ddr3_axi_rid), + .s_axi_rdata (ddr3_axi_rdata), + .s_axi_rresp (ddr3_axi_rresp), + .s_axi_rlast (ddr3_axi_rlast), + .s_axi_rvalid (ddr3_axi_rvalid), + .s_axi_rready (ddr3_axi_rready), + // System Clock Ports + .sys_clk_p (sys_clk_p), + .sys_clk_n (sys_clk_n), + .clk_ref_i (bus_clk), + + .sys_rst (~global_rst) // IJB. Poorly named active low. Should change RST_ACT_LOW. + ); + + // Temperature monitor module + mig_7series_v4_2_tempmon #( + .TEMP_MON_CONTROL("INTERNAL"), + .XADC_CLK_PERIOD(5000 /* 200MHz clock period in ps */) + ) tempmon_i ( + .clk(bus_clk), .xadc_clk(bus_clk), .rst(bus_rst), + .device_temp_i(12'd0 /* ignored */), .device_temp(device_temp) + ); + + /////////////////////////////////////////////////////// + // + // DB PS SPI Connections + // + /////////////////////////////////////////////////////// + wire [NUM_CHANNELS-1:0] rx_atr; + wire [NUM_CHANNELS-1:0] tx_atr; + (* IOB = "true" *) reg [NUM_CHANNELS-1:0] rx_atr_reg; + (* IOB = "true" *) reg [NUM_CHANNELS-1:0] tx_atr_reg; + + // Radio GPIO control for DSA + wire [16*NUM_CHANNELS-1:0] db_gpio_out; + wire [16*NUM_CHANNELS-1:0] db_gpio_ddr; + wire [16*NUM_CHANNELS-1:0] db_gpio_in; + wire [16*NUM_CHANNELS-1:0] db_gpio_fab; + + // DB A SPI Connections + assign DBA_CPLD_PS_SPI_SCLK = spi0_sclk; + assign DBA_CPLD_PS_SPI_MOSI = spi0_mosi; + + // Assign individual chip selects from PS SPI MASTER 0. + assign DBA_CPLD_PS_SPI_CS_B = spi0_ss0; + assign DBA_CLKDIS_SPI_CS_B = spi0_ss1; + assign DBA_PHDAC_SPI_CS_B = spi0_ss2; + assign DBA_ADC_SPI_CS_B = ps_gpio_out[13]; + assign DBA_DAC_SPI_CS_B = ps_gpio_out[14]; + + // Returned data mux from the SPI interfaces. + assign spi0_miso = DBA_CPLD_PS_SPI_MISO; + + // TODO: How to control? + assign DBA_ATR_RX = rx_atr_reg[0]; + assign DBA_ATR_TX = tx_atr_reg[0]; + assign DBA_TXRX_SW_CTRL_1 = db_gpio_out[16*0+0]; + assign DBA_TXRX_SW_CTRL_2 = db_gpio_out[16*0+1]; + assign DBA_LED_RX = db_gpio_out[16*0+2]; + assign DBA_LED_RX2 = db_gpio_out[16*0+3]; + assign DBA_LED_TX = db_gpio_out[16*0+4]; + + // DB B SPI Connections + assign DBB_CPLD_PS_SPI_SCLK = spi1_sclk; + assign DBB_CPLD_PS_SPI_MOSI = spi1_mosi; + + // Assign individual chip selects from PS SPI MASTER 1. + assign DBB_CPLD_PS_SPI_CS_B = spi1_ss0; + assign DBB_CLKDIS_SPI_CS_B = spi1_ss1; + assign DBB_PHDAC_SPI_CS_B = spi1_ss2; + assign DBB_ADC_SPI_CS_B = ps_gpio_out[15]; + assign DBB_DAC_SPI_CS_B = ps_gpio_out[16]; + + // Returned data mux from the SPI interfaces. + assign spi1_miso = DBB_CPLD_PS_SPI_MISO; + + + // TODO: How to control? + assign DBB_ATR_RX = rx_atr_reg[1]; + assign DBB_ATR_TX = tx_atr_reg[1]; + assign DBB_TXRX_SW_CTRL_1 = db_gpio_out[16*1+0]; + assign DBB_TXRX_SW_CTRL_2 = db_gpio_out[16*1+1]; + assign DBB_LED_RX = db_gpio_out[16*1+2]; + assign DBB_LED_RX2 = db_gpio_out[16*1+3]; + assign DBB_LED_TX = db_gpio_out[16*1+4]; + + + /////////////////////////////////////////////////////// + // + // N320 CORE + // + /////////////////////////////////////////////////////// + + wire [CHANNEL_WIDTH-1:0] rx_db[2*NUM_CHANNELS-1:0]; + wire [CHANNEL_WIDTH-1:0] tx_db[2*NUM_CHANNELS-1:0]; + wire [CHANNEL_WIDTH-1:0] rx[NUM_CHANNELS-1:0]; + wire [CHANNEL_WIDTH-1:0] tx[NUM_CHANNELS-1:0]; + wire [CHANNEL_WIDTH*NUM_CHANNELS-1:0] rx_flat; + wire [CHANNEL_WIDTH*NUM_CHANNELS-1:0] tx_flat; + wire [47:0] rx_hb[NUM_CHANNELS-1:0]; + wire [95:0] tx_hb[NUM_CHANNELS-1:0]; + + wire [NUM_CHANNELS-1:0] rx_stb; + wire [NUM_CHANNELS-1:0] tx_stb; + + wire [31:0] build_datestamp; + + /* 2:1 and 1:2 filters to bring sample rates down + */ + genvar i; + generate for (i = 0; i < NUM_CHANNELS; i = i + 1) begin + hb47_1to2 tx_1to2 ( + .aresetn(!radio_rst), + .aclk(radio_clk), + .s_axis_data_tvalid(tx_stb[i]), + .s_axis_data_tready(), + .s_axis_data_tdata(tx[i]), + .m_axis_data_tvalid(), + .m_axis_data_tready(tx_stb[i]), + .m_axis_data_tdata(tx_hb[i]) + ); + + assign tx_db[2*i] = {tx_hb[i][39:24], tx_hb[i][15:0]}; + assign tx_db[2*i+1] = {tx_hb[i][87:72], tx_hb[i][63:48]}; + + hb47_2to1 rx_2to1 ( + .aresetn(!radio_rst), + .aclk(radio_clk), + .s_axis_data_tvalid(rx_stb[i]), + .s_axis_data_tready(), + .s_axis_data_tdata({rx_db[2*i+1], rx_db[2*i]}), + .m_axis_data_tvalid(), + .m_axis_data_tdata(rx_hb[i]) + ); + + assign rx[i] = {rx_hb[i][39:24], rx_hb[i][15:0]}; + end endgenerate + + generate + for (i = 0; i < NUM_CHANNELS; i = i + 1) begin + // Radio Data + assign rx_flat[CHANNEL_WIDTH*i +: CHANNEL_WIDTH] = rx[i]; + assign tx[i] = tx_flat[CHANNEL_WIDTH*i +: CHANNEL_WIDTH]; + end + endgenerate + + USR_ACCESSE2 usr_access_i ( + .DATA(build_datestamp), .CFGCLK(), .DATAVALID() + ); + + n3xx_core #( + .REG_AWIDTH(14), + .BUS_CLK_RATE(BUS_CLK_RATE), + .FP_GPIO_WIDTH(FP_GPIO_WIDTH), + .CHANNEL_WIDTH(CHANNEL_WIDTH), + .NUM_CHANNELS_PER_RADIO(NUM_CHANNELS_PER_RADIO), + .NUM_CHANNELS(NUM_CHANNELS), + .NUM_DBOARDS(NUM_DBOARDS), + .NUM_SPI_PER_DBOARD(4), + .USE_CORRECTION(1), + `ifdef USE_REPLAY + .USE_REPLAY(1) + `else + .USE_REPLAY(0) + `endif + ) n3xx_core( + // Clocks and resets +`ifdef NO_DB + .radio_clk(bus_clk), + .radio_rst(bus_rst), +`else + .radio_clk(radio_clk), + .radio_rst(radio_rst), +`endif + .bus_clk(bus_clk), + .bus_rst(bus_rst), + .ddr3_dma_clk(ddr3_dma_clk), + .clk40(clk40), + + // Clocking and PPS Controls/Indicators + .pps(pps_radioclk1x), + .pps_select(pps_select), + .pps_out_enb(pps_out_enb), + .pps_select_sfp(pps_select_sfp), + .ref_clk_reset(), + .meas_clk_reset(meas_clk_reset), + .ref_clk_locked(1'b1), + .meas_clk_locked(meas_clk_locked), + .enable_ref_clk_async(enable_ref_clk_async), + + .s_axi_aclk(clk40), + .s_axi_aresetn(clk40_rstn), + // AXI4-Lite: Write address port (domain: s_axi_aclk) + .s_axi_awaddr(M_AXI_XBAR_AWADDR), + .s_axi_awvalid(M_AXI_XBAR_AWVALID), + .s_axi_awready(M_AXI_XBAR_AWREADY), + // AXI4-Lite: Write data port (domain: s_axi_aclk) + .s_axi_wdata(M_AXI_XBAR_WDATA), + .s_axi_wstrb(M_AXI_XBAR_WSTRB), + .s_axi_wvalid(M_AXI_XBAR_WVALID), + .s_axi_wready(M_AXI_XBAR_WREADY), + // AXI4-Lite: Write response port (domain: s_axi_aclk) + .s_axi_bresp(M_AXI_XBAR_BRESP), + .s_axi_bvalid(M_AXI_XBAR_BVALID), + .s_axi_bready(M_AXI_XBAR_BREADY), + // AXI4-Lite: Read address port (domain: s_axi_aclk) + .s_axi_araddr(M_AXI_XBAR_ARADDR), + .s_axi_arvalid(M_AXI_XBAR_ARVALID), + .s_axi_arready(M_AXI_XBAR_ARREADY), + // AXI4-Lite: Read data port (domain: s_axi_aclk) + .s_axi_rdata(M_AXI_XBAR_RDATA), + .s_axi_rresp(M_AXI_XBAR_RRESP), + .s_axi_rvalid(M_AXI_XBAR_RVALID), + .s_axi_rready(M_AXI_XBAR_RREADY), + // ps gpio source + .ps_gpio_tri(ps_gpio_tri[FP_GPIO_WIDTH+FP_GPIO_OFFSET-1:FP_GPIO_OFFSET]), + .ps_gpio_out(ps_gpio_out[FP_GPIO_WIDTH+FP_GPIO_OFFSET-1:FP_GPIO_OFFSET]), + .ps_gpio_in(ps_gpio_in[FP_GPIO_WIDTH+FP_GPIO_OFFSET-1:FP_GPIO_OFFSET]), + // FP_GPIO + .fp_gpio_inout(FPGA_GPIO), + // Radio ATR + .rx_atr(rx_atr), + .tx_atr(tx_atr), + // Radio GPIO DSA + .db_gpio_out_flat(db_gpio_out), + .db_gpio_in_flat(db_gpio_in), + .db_gpio_ddr_flat(db_gpio_ddr), + .db_gpio_fab_flat(db_gpio_fab), + // Radio Strobes + .rx_stb(rx_stb), + .tx_stb(tx_stb), + // Radio Data + .rx(rx_flat), + .tx(tx_flat), + //cpld rx_lo tx_lo spi + .sclk_flat({DBB_CPLD_PL_SPI_SCLK, + DBA_CPLD_PL_SPI_SCLK}), + .sen_flat({DBB_CPLD_PL_SPI_CS_B,DBB_LODIS_SPI_CS_B,DBB_RXLO_SPI_CS_B,DBB_TXLO_SPI_CS_B, + DBA_CPLD_PL_SPI_CS_B,DBA_LODIS_SPI_CS_B,DBA_RXLO_SPI_CS_B,DBA_TXLO_SPI_CS_B}), + .mosi_flat({DBB_CPLD_PL_SPI_MOSI, + DBA_CPLD_PL_SPI_MOSI}), + .miso_flat({DBB_CPLD_PL_SPI_MISO, + DBA_CPLD_PL_SPI_MISO}), + // DRAM signals. + .ddr3_axi_clk (ddr3_axi_clk), + .ddr3_axi_rst (ddr3_axi_rst), + .ddr3_running (ddr3_running), + // Slave Interface Write Address Ports + .ddr3_axi_awid (ddr3_axi_awid), + .ddr3_axi_awaddr (ddr3_axi_awaddr), + .ddr3_axi_awlen (ddr3_axi_awlen), + .ddr3_axi_awsize (ddr3_axi_awsize), + .ddr3_axi_awburst (ddr3_axi_awburst), + .ddr3_axi_awlock (ddr3_axi_awlock), + .ddr3_axi_awcache (ddr3_axi_awcache), + .ddr3_axi_awprot (ddr3_axi_awprot), + .ddr3_axi_awqos (ddr3_axi_awqos), + .ddr3_axi_awvalid (ddr3_axi_awvalid), + .ddr3_axi_awready (ddr3_axi_awready), + // Slave Interface Write Data Ports + .ddr3_axi_wdata (ddr3_axi_wdata), + .ddr3_axi_wstrb (ddr3_axi_wstrb), + .ddr3_axi_wlast (ddr3_axi_wlast), + .ddr3_axi_wvalid (ddr3_axi_wvalid), + .ddr3_axi_wready (ddr3_axi_wready), + // Slave Interface Write Response Ports + .ddr3_axi_bid (ddr3_axi_bid), + .ddr3_axi_bresp (ddr3_axi_bresp), + .ddr3_axi_bvalid (ddr3_axi_bvalid), + .ddr3_axi_bready (ddr3_axi_bready), + // Slave Interface Read Address Ports + .ddr3_axi_arid (ddr3_axi_arid), + .ddr3_axi_araddr (ddr3_axi_araddr), + .ddr3_axi_arlen (ddr3_axi_arlen), + .ddr3_axi_arsize (ddr3_axi_arsize), + .ddr3_axi_arburst (ddr3_axi_arburst), + .ddr3_axi_arlock (ddr3_axi_arlock), + .ddr3_axi_arcache (ddr3_axi_arcache), + .ddr3_axi_arprot (ddr3_axi_arprot), + .ddr3_axi_arqos (ddr3_axi_arqos), + .ddr3_axi_arvalid (ddr3_axi_arvalid), + .ddr3_axi_arready (ddr3_axi_arready), + // Slave Interface Read Data Ports + .ddr3_axi_rid (ddr3_axi_rid), + .ddr3_axi_rdata (ddr3_axi_rdata), + .ddr3_axi_rresp (ddr3_axi_rresp), + .ddr3_axi_rlast (ddr3_axi_rlast), + .ddr3_axi_rvalid (ddr3_axi_rvalid), + .ddr3_axi_rready (ddr3_axi_rready), + + // DMA to PS + .m_dma_tdata(s_axis_dma_tdata), + .m_dma_tdest(s_axis_dma_tdest), + .m_dma_tlast(s_axis_dma_tlast), + .m_dma_tready(s_axis_dma_tready), + .m_dma_tvalid(s_axis_dma_tvalid), + + .s_dma_tdata(m_axis_dma_tdata), + .s_dma_tuser(m_axis_dma_tuser), + .s_dma_tlast(m_axis_dma_tlast), + .s_dma_tready(m_axis_dma_tready), + .s_dma_tvalid(m_axis_dma_tvalid), + + // VITA to Ethernet + .v2e0_tdata(v2e0_tdata), + .v2e0_tvalid(v2e0_tvalid), + .v2e0_tlast(v2e0_tlast), + .v2e0_tready(v2e0_tready), + + .v2e1_tdata(v2e1_tdata), + .v2e1_tlast(v2e1_tlast), + .v2e1_tvalid(v2e1_tvalid), + .v2e1_tready(v2e1_tready), + + // Ethernet to VITA + .e2v0_tdata(e2v0_tdata), + .e2v0_tlast(e2v0_tlast), + .e2v0_tvalid(e2v0_tvalid), + .e2v0_tready(e2v0_tready), + + .e2v1_tdata(e2v1_tdata), + .e2v1_tlast(e2v1_tlast), + .e2v1_tvalid(e2v1_tvalid), + .e2v1_tready(e2v1_tready), + + //regport interface to npio + .reg_wr_req_npio(reg_wr_req_npio), + .reg_wr_addr_npio(reg_wr_addr_npio), + .reg_wr_data_npio(reg_wr_data_npio), + .reg_rd_req_npio(reg_rd_req_npio), + .reg_rd_addr_npio(reg_rd_addr_npio), + .reg_rd_resp_npio(reg_rd_resp_npio), + .reg_rd_data_npio(reg_rd_data_npio), + + .build_datestamp(build_datestamp), + .xadc_readback({20'h0, device_temp}), + .sfp_ports_info({sfp_port1_info, sfp_port0_info}), + .device_id(device_id) + ); + + // Register the ATR bits once between sending them out to the CPLD to avoid + // glitches on the outputs! + always @(posedge radio_clk) begin + rx_atr_reg <= rx_atr; + tx_atr_reg <= tx_atr; + end + + // ////////////////////////////////////////////////////////////////////// + // + // Daughterboard Cores + // + // ////////////////////////////////////////////////////////////////////// + + wire sAdcSyncUnusedA; + wire sAdcSyncUnusedB; + wire sDacSyncUnusedA; + wire sDacSyncUnusedB; + wire sSysrefUnusedA; + wire sSysrefUnusedB; + wire rRpTransferUnusedA; + wire rRpTransferUnusedB; + wire sSpTransferUnusedA; + wire sSpTransferUnusedB; + wire rWrRpTransferUnusedA; + wire rWrRpTransferUnusedB; + wire sWrSpTransferUnusedA; + wire sWrSpTransferUnusedB; + wire sPpsUnusedB; + wire sPpsToIobUnusedB; + + wire dba_adc_sync_b; + wire dba_dac_sync_b; + wire dba_dac_sync_b_n; // This is the swapped version coming from the IBUFDS. + wire dbb_adc_sync_b; + wire dbb_dac_sync_b; + + wire [49:0] bRegPortInFlatA; + wire [49:0] bRegPortInFlatB; + wire [33:0] bRegPortOutFlatA; + wire [33:0] bRegPortOutFlatB; + + wire rx_a_valid; + wire rx_b_valid; + wire tx_a_rfi; + wire tx_b_rfi; + +`ifdef BUILD_WR + localparam INCL_WR_TDC = 1'b1; +`else + localparam INCL_WR_TDC = 1'b0; +`endif + + wire reg_portA_rd; + wire reg_portA_wr; + wire [14-1:0] reg_portA_addr; + wire [32-1:0] reg_portA_wr_data; + wire [32-1:0] reg_portA_rd_data; + wire reg_portA_ready; + wire validA_unused; + + OBUFDS dba_adc_sync_buf( + .O(DBA_ADC_SYNCB_P), + .OB(DBA_ADC_SYNCB_N), + .I(dba_adc_sync_b) + ); + + IBUFDS dba_dac_sync_buf( + .I(DBA_DAC_SYNCB_P), + .IB(DBA_DAC_SYNCB_N), + .O(dba_dac_sync_b_n) + ); + + // The differential signals are swapped in the pins, so the SYNC signal + // must be negated after the IBUFDS. + assign dba_dac_sync_b = ~ dba_dac_sync_b_n; + + OBUFDS dbb_adc_sync_buf( + .O(DBB_ADC_SYNCB_P), + .OB(DBB_ADC_SYNCB_N), + .I(dbb_adc_sync_b) + ); + + IBUFDS dbb_dac_sync_buf( + .I(DBB_DAC_SYNCB_P), + .IB(DBB_DAC_SYNCB_N), + .O(dbb_dac_sync_b) + ); + + + assign bRegPortInFlatA = {2'b0, reg_portA_addr, reg_portA_wr_data, reg_portA_rd, reg_portA_wr}; + assign {reg_portA_rd_data, validA_unused, reg_portA_ready} = bRegPortOutFlatA; + + DbCore + # (.kInclWhiteRabbitTdc(INCL_WR_TDC)) //std_logic:='0' + dba_core ( + .bBusReset(clk40_rst), //in std_logic + .BusClk(clk40), //in std_logic + .Clk40(clk40), //in std_logic + .MeasClk(meas_clk), //in std_logic + .FpgaClk_p(DBA_FPGA_CLK_P), //in std_logic + .FpgaClk_n(DBA_FPGA_CLK_N), //in std_logic + .SampleClk1xOut(radio_clk), //out std_logic + .SampleClk1x(radio_clk), //in std_logic + .SampleClk2xOut(radio_clk_2x), //out std_logic + .SampleClk2x(radio_clk_2x), //in std_logic + .bRegPortInFlat(bRegPortInFlatA), //in std_logic_vector(49:0) + .bRegPortOutFlat(bRegPortOutFlatA), //out std_logic_vector(33:0) + .kSlotId(1'b0), //in std_logic + .sSysRefFpgaLvds_p(DBA_FPGA_SYSREF_P), //in std_logic + .sSysRefFpgaLvds_n(DBA_FPGA_SYSREF_N), //in std_logic + .aLmkSync(DBA_CLKDIST_SYNC), //out std_logic + .JesdRefClk_p(DBA_MGTCLK_P), //in std_logic + .JesdRefClk_n(DBA_MGTCLK_N), //in std_logic + .aAdcRx_p(DBA_RX_P), //in std_logic_vector(3:0) + .aAdcRx_n(DBA_RX_N), //in std_logic_vector(3:0) + .aSyncAdcOut_n(dba_adc_sync_b), //out std_logic + .aDacTx_p(DBA_TX_P), //out std_logic_vector(3:0) + .aDacTx_n(DBA_TX_N), //out std_logic_vector(3:0) + .aSyncDacIn_n(dba_dac_sync_b), //in std_logic + .sAdcDataValid(rx_a_valid), //out std_logic + .sAdcDataSample0I(rx_db[0][31:16]), //out std_logic_vector(15:0) + .sAdcDataSample0Q(rx_db[0][15: 0]), //out std_logic_vector(15:0) + .sAdcDataSample1I(rx_db[1][31:16]), //out std_logic_vector(15:0) + .sAdcDataSample1Q(rx_db[1][15: 0]), //out std_logic_vector(15:0) + .sDacReadyForInput(tx_a_rfi), //out std_logic + .sDacDataSample0I(tx_db[0][31:16]), //in std_logic_vector(15:0) + .sDacDataSample0Q(tx_db[0][15: 0]), //in std_logic_vector(15:0) + .sDacDataSample1I(tx_db[1][31:16]), //in std_logic_vector(15:0) + .sDacDataSample1Q(tx_db[1][15: 0]), //in std_logic_vector(15:0) + .RefClk(ref_clk), //in std_logic + .rPpsPulse(pps_refclk), //in std_logic + .rGatedPulseToPin(UNUSED_PIN_TDCA_0), //inout std_logic + .sGatedPulseToPin(UNUSED_PIN_TDCA_1), //inout std_logic + .sPps(pps_radioclk1x), //out std_logic + .sPpsToIob(pps_radioclk1x_iob), //out std_logic + .WrRefClk(wr_ref_clk), //in std_logic + .rWrPpsPulse(pps_wr_refclk), //in std_logic + .rWrGatedPulseToPin(UNUSED_PIN_TDCA_2), //inout std_logic + .sWrGatedPulseToPin(UNUSED_PIN_TDCA_3), //inout std_logic + .aPpsSfpSel(pps_select_sfp), //in std_logic_vector(1:0) + .sAdcSync(sAdcSyncUnusedA), //out std_logic + .sDacSync(sDacSyncUnusedA), //out std_logic + .sSysRef(sSysrefUnusedA), //out std_logic + .rRpTransfer(rRpTransferUnusedA), //out std_logic + .sSpTransfer(sSpTransferUnusedA), //out std_logic + .rWrRpTransfer(rWrRpTransferUnusedA), //out std_logic + .sWrSpTransfer(sWrSpTransferUnusedA)); //out std_logic + + + + assign rx_stb[0] = rx_a_valid; + assign tx_stb[0] = tx_a_rfi; + + axil_to_ni_regport #( + .RP_DWIDTH (32), + .RP_AWIDTH (14), + .TIMEOUT (512) + ) ni_regportA_inst ( + // Clock and reset + .s_axi_aclk (clk40), + .s_axi_areset (clk40_rst), + // AXI4-Lite: Write address port (domain: s_axi_aclk) + .s_axi_awaddr(M_AXI_JESD0_AWADDR), + .s_axi_awvalid(M_AXI_JESD0_AWVALID), + .s_axi_awready(M_AXI_JESD0_AWREADY), + // AXI4-Lite: Write data port (domain: s_axi_aclk) + .s_axi_wdata(M_AXI_JESD0_WDATA), + .s_axi_wstrb(M_AXI_JESD0_WSTRB), + .s_axi_wvalid(M_AXI_JESD0_WVALID), + .s_axi_wready(M_AXI_JESD0_WREADY), + // AXI4-Lite: Write response port (domain: s_axi_aclk) + .s_axi_bresp(M_AXI_JESD0_BRESP), + .s_axi_bvalid(M_AXI_JESD0_BVALID), + .s_axi_bready(M_AXI_JESD0_BREADY), + // AXI4-Lite: Read address port (domain: s_axi_aclk) + .s_axi_araddr(M_AXI_JESD0_ARADDR), + .s_axi_arvalid(M_AXI_JESD0_ARVALID), + .s_axi_arready(M_AXI_JESD0_ARREADY), + // AXI4-Lite: Read data port (domain: s_axi_aclk) + .s_axi_rdata(M_AXI_JESD0_RDATA), + .s_axi_rresp(M_AXI_JESD0_RRESP), + .s_axi_rvalid(M_AXI_JESD0_RVALID), + .s_axi_rready(M_AXI_JESD0_RREADY), + // Register port + .reg_port_in_rd (reg_portA_rd), + .reg_port_in_wt (reg_portA_wr), + .reg_port_in_addr (reg_portA_addr), + .reg_port_in_data (reg_portA_wr_data), + .reg_port_out_data (reg_portA_rd_data), + .reg_port_out_ready(reg_portA_ready) + ); + + wire reg_portB_rd; + wire reg_portB_wr; + wire [14-1:0] reg_portB_addr; + wire [32-1:0] reg_portB_wr_data; + wire [32-1:0] reg_portB_rd_data; + wire reg_portB_ready; + wire validB_unused; + + assign bRegPortInFlatB = {2'b0, reg_portB_addr, reg_portB_wr_data, reg_portB_rd, reg_portB_wr}; + assign {reg_portB_rd_data, validB_unused, reg_portB_ready} = bRegPortOutFlatB; + + DbCore + # (.kInclWhiteRabbitTdc(INCL_WR_TDC)) //std_logic:='0' + dbb_core ( + .bBusReset(clk40_rst), //in std_logic + .BusClk(clk40), //in std_logic + .Clk40(clk40), //in std_logic + .MeasClk(meas_clk), //in std_logic + .FpgaClk_p(DBB_FPGA_CLK_P), //in std_logic + .FpgaClk_n(DBB_FPGA_CLK_N), //in std_logic + .SampleClk1xOut(radio_clkB), //out std_logic + .SampleClk1x(radio_clk), //in std_logic + .SampleClk2xOut(radio_clk_2xB), //out std_logic + .SampleClk2x(radio_clk_2x), //in std_logic + .bRegPortInFlat(bRegPortInFlatB), //in std_logic_vector(49:0) + .bRegPortOutFlat(bRegPortOutFlatB), //out std_logic_vector(33:0) + .kSlotId(1'b1), //in std_logic + .sSysRefFpgaLvds_p(DBB_FPGA_SYSREF_P), //in std_logic + .sSysRefFpgaLvds_n(DBB_FPGA_SYSREF_N), //in std_logic + .aLmkSync(DBB_CLKDIST_SYNC), //out std_logic + .JesdRefClk_p(DBB_MGTCLK_P), //in std_logic + .JesdRefClk_n(DBB_MGTCLK_N), //in std_logic + .aAdcRx_p(DBB_RX_P), //in std_logic_vector(3:0) + .aAdcRx_n(DBB_RX_N), //in std_logic_vector(3:0) + .aSyncAdcOut_n(dbb_adc_sync_b), //out std_logic + .aDacTx_p(DBB_TX_P), //out std_logic_vector(3:0) + .aDacTx_n(DBB_TX_N), //out std_logic_vector(3:0) + .aSyncDacIn_n(dbb_dac_sync_b), //in std_logic + .sAdcDataValid(rx_b_valid), //out std_logic + .sAdcDataSample0I(rx_db[2][31:16]), //out std_logic_vector(15:0) + .sAdcDataSample0Q(rx_db[2][15: 0]), //out std_logic_vector(15:0) + .sAdcDataSample1I(rx_db[3][31:16]), //out std_logic_vector(15:0) + .sAdcDataSample1Q(rx_db[3][15: 0]), //out std_logic_vector(15:0) + .sDacReadyForInput(tx_b_rfi), //out std_logic + .sDacDataSample0I(tx_db[2][31:16]), //in std_logic_vector(15:0) + .sDacDataSample0Q(tx_db[2][15: 0]), //in std_logic_vector(15:0) + .sDacDataSample1I(tx_db[3][31:16]), //in std_logic_vector(15:0) + .sDacDataSample1Q(tx_db[3][15: 0]), //in std_logic_vector(15:0) + .RefClk(ref_clk), //in std_logic + .rPpsPulse(pps_refclk), //in std_logic + .rGatedPulseToPin(UNUSED_PIN_TDCB_0), //inout std_logic + .sGatedPulseToPin(UNUSED_PIN_TDCB_1), //inout std_logic + .sPps(sPpsUnusedB), //out std_logic + .sPpsToIob(sPpsToIobUnusedB), //out std_logic + .WrRefClk(wr_ref_clk), //in std_logic + .rWrPpsPulse(pps_wr_refclk), //in std_logic + .rWrGatedPulseToPin(UNUSED_PIN_TDCB_2), //inout std_logic + .sWrGatedPulseToPin(UNUSED_PIN_TDCB_3), //inout std_logic + .aPpsSfpSel(2'd0), //in std_logic_vector(1:0) + .sAdcSync(sAdcSyncUnusedB), //out std_logic + .sDacSync(sDacSyncUnusedB), //out std_logic + .sSysRef(sSysrefUnusedB), //out std_logic + .rRpTransfer(rRpTransferUnusedB), //out std_logic + .sSpTransfer(sSpTransferUnusedB), //out std_logic + .rWrRpTransfer(rWrRpTransferUnusedB), //out std_logic + .sWrSpTransfer(sWrSpTransferUnusedB)); //out std_logic + + + + assign rx_stb[1] = rx_b_valid; + assign tx_stb[1] = tx_b_rfi; + + axil_to_ni_regport #( + .RP_DWIDTH (32), + .RP_AWIDTH (14), + .TIMEOUT (512) + ) ni_regportB_inst ( + // Clock and reset + .s_axi_aclk (clk40), + .s_axi_areset (clk40_rst), + // AXI4-Lite: Write address port (domain: s_axi_aclk) + .s_axi_awaddr(M_AXI_JESD1_AWADDR), + .s_axi_awvalid(M_AXI_JESD1_AWVALID), + .s_axi_awready(M_AXI_JESD1_AWREADY), + // AXI4-Lite: Write data port (domain: s_axi_aclk) + .s_axi_wdata(M_AXI_JESD1_WDATA), + .s_axi_wstrb(M_AXI_JESD1_WSTRB), + .s_axi_wvalid(M_AXI_JESD1_WVALID), + .s_axi_wready(M_AXI_JESD1_WREADY), + // AXI4-Lite: Write response port (domain: s_axi_aclk) + .s_axi_bresp(M_AXI_JESD1_BRESP), + .s_axi_bvalid(M_AXI_JESD1_BVALID), + .s_axi_bready(M_AXI_JESD1_BREADY), + // AXI4-Lite: Read address port (domain: s_axi_aclk) + .s_axi_araddr(M_AXI_JESD1_ARADDR), + .s_axi_arvalid(M_AXI_JESD1_ARVALID), + .s_axi_arready(M_AXI_JESD1_ARREADY), + // AXI4-Lite: Read data port (domain: s_axi_aclk) + .s_axi_rdata (M_AXI_JESD1_RDATA), + .s_axi_rresp (M_AXI_JESD1_RRESP), + .s_axi_rvalid (M_AXI_JESD1_RVALID), + .s_axi_rready (M_AXI_JESD1_RREADY), + // Register port + .reg_port_in_rd (reg_portB_rd), + .reg_port_in_wt (reg_portB_wr), + .reg_port_in_addr (reg_portB_addr), + .reg_port_in_data (reg_portB_wr_data), + .reg_port_out_data (reg_portB_rd_data), + .reg_port_out_ready(reg_portB_ready) + ); + + + // ////////////////////////////////////////////////////////////////////// + // + // LEDS + // + // ////////////////////////////////////////////////////////////////////// + + assign PANEL_LED_LINK = ps_gpio_out[45]; + assign PANEL_LED_REF = ps_gpio_out[46]; + assign PANEL_LED_GPS = ps_gpio_out[47]; + + + ///////////////////////////////////////////////////////////////////// + // + // PUDC Workaround + // + ////////////////////////////////////////////////////////////////////// + // This is a workaround for a silicon bug in Series 7 FPGA where a + // race condition with the reading of PUDC during the erase of the FPGA + // image cause glitches on output IO pins. + // + // Workaround: + // - Define the PUDC pin in the XDC file with a pullup. + // - Implements an IBUF on the PUDC input and make sure that it does + // not get optimized out. + (* dont_touch = "true" *) wire fpga_pudc_b_buf; + IBUF pudc_ibuf_i ( + .I(FPGA_PUDC_B), + .O(fpga_pudc_b_buf)); + +endmodule diff --git a/fpga/usrp3/top/n3xx/dev_config.json b/fpga/usrp3/top/n3xx/dev_config.json new file mode 100644 index 000000000..eb6ce9dc0 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dev_config.json @@ -0,0 +1,3 @@ +{ + "warning": { "ignore": ["."]} +} diff --git a/fpga/usrp3/top/n3xx/doc/mb_timing.xlsx b/fpga/usrp3/top/n3xx/doc/mb_timing.xlsx new file mode 100644 index 000000000..ec3849d59 Binary files /dev/null and b/fpga/usrp3/top/n3xx/doc/mb_timing.xlsx differ diff --git a/fpga/usrp3/top/n3xx/dts/dma-common.dtsi b/fpga/usrp3/top/n3xx/dts/dma-common.dtsi new file mode 100644 index 000000000..65d33cb76 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dts/dma-common.dtsi @@ -0,0 +1,588 @@ +/* + * Copyright (c) 2017 National Instruments Corp + * + * SPDX-License-Identifier: GPL-2.0 OR X11 + */ + +&fpga_full { + tx_dma0: dma@43CA0000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43CA0000 0x10000>; + interrupts = <0 53 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <0>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <1>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + tx_dma1: dma@43CB0000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43CB0000 0x10000>; + interrupts = <0 53 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <0>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <1>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + tx_dma2: dma@43CC0000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43CC0000 0x10000>; + interrupts = <0 53 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <0>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <1>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + tx_dma3: dma@43CD0000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43CD0000 0x10000>; + interrupts = <0 53 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <0>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <1>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + tx_dma4: dma@43CE0000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43CE0000 0x10000>; + interrupts = <0 53 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <0>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <1>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + tx_dma5: dma@43CF0000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43CF0000 0x10000>; + interrupts = <0 53 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <0>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <1>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + tx_dma6: dma@43D00000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43D00000 0x10000>; + interrupts = <0 53 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <0>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <1>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + tx_dma7: dma@43D10000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43D10000 0x10000>; + interrupts = <0 53 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <0>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <1>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + tx_dma8: dma@43D20000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43D20000 0x10000>; + interrupts = <0 53 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <0>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <1>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + tx_dma9: dma@43D30000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43D30000 0x10000>; + interrupts = <0 53 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <0>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <1>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + rx_dma0: dma@43C00000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43C00000 0x10000>; + interrupts = <0 52 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <1>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <0>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + rx_dma1: dma@43C10000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43C10000 0x10000>; + interrupts = <0 52 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <1>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <0>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + rx_dma2: dma@43C20000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43C20000 0x10000>; + interrupts = <0 52 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <1>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <0>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + rx_dma3: dma@43C30000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43C30000 0x10000>; + interrupts = <0 52 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <1>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <0>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + rx_dma4: dma@43C40000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43C40000 0x10000>; + interrupts = <0 52 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <1>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <0>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + rx_dma5: dma@43C50000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43C50000 0x10000>; + interrupts = <0 52 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <1>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <0>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + rx_dma6: dma@43C60000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43C60000 0x10000>; + interrupts = <0 52 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <1>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <0>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + rx_dma7: dma@43C70000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43C70000 0x10000>; + interrupts = <0 52 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <1>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <0>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + rx_dma8: dma@43C80000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43C80000 0x10000>; + interrupts = <0 52 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <1>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <0>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + rx_dma9: dma@43C90000 { + compatible = "adi,axi-dmac-1.00.a"; + reg = <0x43C90000 0x10000>; + interrupts = <0 52 4>; + interrupt-parent = <&intc>; + clocks = <&clkc 15>; + #dma-cells = <1>; + adi,channels { + #size-cells = <0>; + #address-cells = <1>; + dma-channel@0 { + reg = <0>; + adi,source-bus-type = <1>; + adi,source-bus-width = <0x20>; + adi,destination-bus-type = <0>; + adi,destination-bus-width = <0x20>; + adi,length-width = <24>; + }; + }; + }; + + + usrp_rx_dma0: usrp-rx-dma@43c00000 { + compatible = "ettus,usrp-rx-dma"; + dmas = <&rx_dma0 0>; + dma-names = "dma"; + port-id = <0>; + status = "okay"; + + regmap = <&dma_conf0>; + offset = <0x0>; + }; + + usrp_rx_dma1: usrp-rx-dma@43c10000 { + compatible = "ettus,usrp-rx-dma"; + dmas = <&rx_dma1 0>; + dma-names = "dma"; + port-id = <1>; + + regmap = <&dma_conf0>; + offset = <0x4>; + }; + + usrp_rx_dma2: usrp-rx-dma@43c20000 { + compatible = "ettus,usrp-rx-dma"; + dmas = <&rx_dma2 0>; + dma-names = "dma"; + port-id = <2>; + + regmap = <&dma_conf0>; + offset = <0x8>; + }; + + usrp_rx_dma3: usrp-rx-dma@43c30000 { + compatible = "ettus,usrp-rx-dma"; + dmas = <&rx_dma3 0>; + dma-names = "dma"; + port-id = <3>; + + regmap = <&dma_conf0>; + offset = <0xc>; + }; + + usrp_rx_dma4: usrp-rx-dma@43c40000 { + compatible = "ettus,usrp-rx-dma"; + dmas = <&rx_dma4 0>; + dma-names = "dma"; + port-id = <4>; + + regmap = <&dma_conf0>; + offset = <0x10>; + }; + + usrp_rx_dma5: usrp-rx-dma@43c50000 { + compatible = "ettus,usrp-rx-dma"; + dmas = <&rx_dma5 0>; + dma-names = "dma"; + port-id = <5>; + + regmap = <&dma_conf0>; + offset = <0x14>; + }; + + usrp_rx_dma6: usrp-rx-dma@43c60000 { + compatible = "ettus,usrp-rx-dma"; + dmas = <&rx_dma6 0>; + dma-names = "dma"; + port-id = <6>; + + regmap = <&dma_conf0>; + offset = <0x18>; + }; + + usrp_rx_dma7: usrp-rx-dma@43c70000 { + compatible = "ettus,usrp-rx-dma"; + dmas = <&rx_dma7 0>; + dma-names = "dma"; + port-id = <7>; + + regmap = <&dma_conf0>; + offset = <0x1c>; + }; + + usrp_rx_dma8: usrp-rx-dma@43c80000 { + compatible = "ettus,usrp-rx-dma"; + dmas = <&rx_dma8 0>; + dma-names = "dma"; + port-id = <8>; + + regmap = <&dma_conf0>; + offset = <0x20>; + }; + + usrp_rx_dma9: usrp-rx-dma@43c90000 { + compatible = "ettus,usrp-rx-dma"; + dmas = <&rx_dma9 0>; + dma-names = "dma"; + port-id = <9>; + + regmap = <&dma_conf0>; + offset = <0x24>; + }; + + usrp_tx_dma0: usrp-tx-dma@43ca0000 { + compatible = "ettus,usrp-tx-dma"; + dmas = <&tx_dma0 0>; + dma-names = "dma"; + port-id = <0>; + }; + + usrp_tx_dma1: usrp-tx-dma@43cb0000 { + compatible = "ettus,usrp-tx-dma"; + dmas = <&tx_dma1 0>; + dma-names = "dma"; + port-id = <1>; + }; + + usrp_tx_dma2: usrp-tx-dma@43cc0000 { + compatible = "ettus,usrp-tx-dma"; + dmas = <&tx_dma2 0>; + dma-names = "dma"; + port-id = <2>; + status = "okay"; + }; + + usrp_tx_dma3: usrp-tx-dma@43cd0000 { + compatible = "ettus,usrp-tx-dma"; + dmas = <&tx_dma3 0>; + dma-names = "dma"; + port-id = <3>; + }; + + usrp_tx_dma4: usrp-tx-dma@43ce0000 { + compatible = "ettus,usrp-tx-dma"; + dmas = <&tx_dma4 0>; + dma-names = "dma"; + port-id = <4>; + status = "okay"; + }; + + usrp_tx_dma5: usrp-tx-dma@43cf0000 { + compatible = "ettus,usrp-tx-dma"; + dmas = <&tx_dma5 0>; + dma-names = "dma"; + port-id = <5>; + }; + + usrp_tx_dma6: usrp-tx-dma@43d00000 { + compatible = "ettus,usrp-tx-dma"; + dmas = <&tx_dma6 0>; + dma-names = "dma"; + port-id = <6>; + }; + + usrp_tx_dma7: usrp-tx-dma@43d10000 { + compatible = "ettus,usrp-tx-dma"; + dmas = <&tx_dma7 0>; + dma-names = "dma"; + port-id = <7>; + }; + + usrp_tx_dma8: usrp-tx-dma@43d20000 { + compatible = "ettus,usrp-tx-dma"; + dmas = <&tx_dma8 0>; + dma-names = "dma"; + port-id = <8>; + }; + + usrp_tx_dma9: usrp-tx-dma@43d30000 { + compatible = "ettus,usrp-tx-dma"; + dmas = <&tx_dma9 0>; + dma-names = "dma"; + port-id = <9>; + }; + + dma_conf0: dma_conf0@42080000 { + compatible = "syscon"; + reg = <0x42080000 0x1000>; + status = "okay"; + }; +}; diff --git a/fpga/usrp3/top/n3xx/dts/n300-common.dtsi b/fpga/usrp3/top/n3xx/dts/n300-common.dtsi new file mode 100644 index 000000000..1042d9531 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dts/n300-common.dtsi @@ -0,0 +1,62 @@ +/* + * Copyright (c) 2017 National Instruments Corp + * + * SPDX-License-Identifier: GPL-2.0 OR X11 + */ + +&fpga_full { + uio@40010000 { + compatible = "usrp-uio"; + reg = <0x40010000 0x2000>; + reg-names = "mboard-regs"; + status = "okay"; + }; + + uio@40014000 { + compatible = "usrp-uio"; + reg = <0x40014000 0x4000>; + reg-names = "dboard-regs-0"; + status = "okay"; + }; + + uio@42100000 { + compatible = "usrp-uio"; + reg = <0x42100000 0x1000>; + reg-names = "dboard-jtag-0"; + status = "okay"; + }; +}; + +&spi0 { + status = "okay"; + + cs-gpios = <0>, <0>, <0>, <&gpio0 62 0>; + + spidev0: spidev@0 { + compatible = "rohm,dh2228fv"; + reg = <0>; + status = "okay"; + spi-max-frequency = <1000000>; + }; + + spidev1: spidev@1 { + compatible = "rohm,dh2228fv"; + reg = <1>; + status = "okay"; + spi-max-frequency = <1000000>; + }; + + spidev2: spidev@2 { + compatible = "rohm,dh2228fv"; + reg = <2>; + status = "okay"; + spi-max-frequency = <1000000>; + }; + + spidev3: spidev@3 { + compatible = "rohm,dh2228fv"; + reg = <3>; + status = "okay"; + spi-max-frequency = <1000000>; + }; +}; diff --git a/fpga/usrp3/top/n3xx/dts/n300-fpga.dtsi b/fpga/usrp3/top/n3xx/dts/n300-fpga.dtsi new file mode 100644 index 000000000..d6bbee1a3 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dts/n300-fpga.dtsi @@ -0,0 +1,8 @@ +// SPDX-License-Identifier: GPL-2.0 OR X11 +/* + * Copyright (c) 2017 National Instruments Corp + */ + +&fpga_full { + firmware-name = "n300.bin"; +}; diff --git a/fpga/usrp3/top/n3xx/dts/n310-common.dtsi b/fpga/usrp3/top/n3xx/dts/n310-common.dtsi new file mode 100644 index 000000000..14be62eaf --- /dev/null +++ b/fpga/usrp3/top/n3xx/dts/n310-common.dtsi @@ -0,0 +1,110 @@ +// SPDX-License-Identifier: GPL-2.0 OR X11 +/* + * Copyright (c) 2017 National Instruments Corp + */ + +&fpga_full { + uio@40010000 { + compatible = "usrp-uio"; + reg = <0x40010000 0x2000>; + reg-names = "mboard-regs"; + status = "okay"; + }; + + uio@40014000 { + compatible = "usrp-uio"; + reg = <0x40014000 0x4000>; + reg-names = "dboard-regs-0"; + status = "okay"; + }; + + uio@40018000 { + compatible = "usrp-uio"; + reg = <0x40018000 0x4000>; + reg-names = "dboard-regs-1"; + status = "okay"; + }; + + uio@42100000 { + compatible = "usrp-uio"; + reg = <0x42100000 0x1000>; + reg-names = "dboard-jtag-0"; + status = "okay"; + }; + + uio@42200000 { + compatible = "usrp-uio"; + reg = <0x42200000 0x1000>; + reg-names = "dboard-jtag-1"; + status = "okay"; + }; + +}; + +&spi0 { + status = "okay"; + + cs-gpios = <0>, <0>, <0>, <&gpio0 62 0>; + + spidev0: spidev@0 { + compatible = "rohm,dh2228fv"; + reg = <0>; + status = "okay"; + spi-max-frequency = <1000000>; + }; + + spidev1: spidev@1 { + compatible = "rohm,dh2228fv"; + reg = <1>; + status = "okay"; + spi-max-frequency = <1000000>; + }; + + spidev2: spidev@2 { + compatible = "rohm,dh2228fv"; + reg = <2>; + status = "okay"; + spi-max-frequency = <1000000>; + }; + + spidev3: spidev@3 { + compatible = "rohm,dh2228fv"; + reg = <3>; + status = "okay"; + spi-max-frequency = <1000000>; + }; +}; + +&spi1 { + status = "okay"; + + cs-gpios = <0>, <0>, <0>, <&gpio0 63 0>; + + spidev4: spidev@0 { + compatible = "rohm,dh2228fv"; + reg = <0>; + status = "okay"; + spi-max-frequency = <1000000>; + }; + + spidev5: spidev@1 { + compatible = "rohm,dh2228fv"; + reg = <1>; + status = "okay"; + spi-max-frequency = <1000000>; + }; + + spidev6: spidev@2 { + compatible = "rohm,dh2228fv"; + reg = <2>; + status = "okay"; + spi-max-frequency = <1000000>; + }; + + spidev7: spidev@3 { + compatible = "rohm,dh2228fv"; + reg = <3>; + status = "okay"; + spi-max-frequency = <1000000>; + }; +}; diff --git a/fpga/usrp3/top/n3xx/dts/n310-fpga.dtsi b/fpga/usrp3/top/n3xx/dts/n310-fpga.dtsi new file mode 100644 index 000000000..3859c84f0 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dts/n310-fpga.dtsi @@ -0,0 +1,8 @@ +// SPDX-License-Identifier: GPL-2.0 OR X11 +/* + * Copyright (c) 2017 National Instruments Corp + */ + +&fpga_full { + firmware-name = "n310.bin"; +}; diff --git a/fpga/usrp3/top/n3xx/dts/n320-common.dtsi b/fpga/usrp3/top/n3xx/dts/n320-common.dtsi new file mode 100644 index 000000000..e7fd557b3 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dts/n320-common.dtsi @@ -0,0 +1,132 @@ +// SPDX-License-Identifier: GPL-2.0 OR X11 +/* + * Copyright (c) 2018 National Instruments Corp + */ + +&fpga_full { + uio@40010000 { + compatible = "usrp-uio"; + reg = <0x40010000 0x2000>; + reg-names = "mboard-regs"; + status = "okay"; + }; + + uio@40014000 { + compatible = "usrp-uio"; + reg = <0x40014000 0x4000>; + reg-names = "dboard-regs-0"; + status = "okay"; + }; + + uio@40018000 { + compatible = "usrp-uio"; + reg = <0x40018000 0x4000>; + reg-names = "dboard-regs-1"; + status = "okay"; + }; + + uio@42100000 { + compatible = "usrp-uio"; + reg = <0x42100000 0x1000>; + reg-names = "dboard-jtag-0"; + status = "okay"; + }; + + uio@42200000 { + compatible = "usrp-uio"; + reg = <0x42200000 0x1000>; + reg-names = "dboard-jtag-1"; + status = "okay"; + }; +}; + +&spi0 { + status = "okay"; + + cs-gpios = <0>, <0>, <0>, <&gpio0 67 0>, <&gpio0 68 0>; + + spidev0: spidev@0 { + compatible = "rohm,dh2228fv"; + reg = <0>; + status = "okay"; + spi-max-frequency = <1000000>; + }; + + spidev1: spidev@1 { + compatible = "rohm,dh2228fv"; + reg = <1>; + status = "okay"; + spi-max-frequency = <1000000>; + }; + + spidev2: spidev@2 { + compatible = "rohm,dh2228fv"; + reg = <2>; + status = "okay"; + spi-max-frequency = <1000000>; + }; + + spidev3: spidev@3 { + compatible = "rohm,dh2228fv"; + reg = <3>; + status = "okay"; + spi-max-frequency = <1000000>; + }; + + spidev4: spidev@4 { + compatible = "rohm,dh2228fv"; + reg = <4>; + status = "okay"; + spi-max-frequency = <1000000>; + }; +}; + +&spi1 { + status = "okay"; + + cs-gpios = <0>, <0>, <0>, <&gpio0 69 0>, <&gpio0 70 0>; + + spidev5: spidev@0 { + compatible = "rohm,dh2228fv"; + reg = <0>; + status = "okay"; + spi-max-frequency = <1000000>; + }; + + spidev6: spidev@1 { + compatible = "rohm,dh2228fv"; + reg = <1>; + status = "okay"; + spi-max-frequency = <1000000>; + }; + + spidev7: spidev@2 { + compatible = "rohm,dh2228fv"; + reg = <2>; + status = "okay"; + spi-max-frequency = <1000000>; + }; + + spidev8: spidev@3 { + compatible = "rohm,dh2228fv"; + reg = <3>; + status = "okay"; + spi-max-frequency = <1000000>; + }; + + spidev9: spidev@4 { + compatible = "rohm,dh2228fv"; + reg = <4>; + status = "okay"; + spi-max-frequency = <1000000>; + }; +}; + +&usrpio_i2c0 { + rhodium_lodist_gpio: rhodium-lodist-gpio@22 { + compatible = "nxp,tca6424"; + reg = <0x22>; + gpio-controller; + #gpio-cells = <2>; + }; +}; diff --git a/fpga/usrp3/top/n3xx/dts/n320-fpga.dtsi b/fpga/usrp3/top/n3xx/dts/n320-fpga.dtsi new file mode 100644 index 000000000..5934138a9 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dts/n320-fpga.dtsi @@ -0,0 +1,8 @@ +// SPDX-License-Identifier: GPL-2.0 OR X11 +/* + * Copyright (c) 2018 National Instruments Corp + */ + +&fpga_full { + firmware-name = "n320.bin"; +}; diff --git a/fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_AA.dts b/fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_AA.dts new file mode 100644 index 000000000..f0099f08b --- /dev/null +++ b/fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_AA.dts @@ -0,0 +1,29 @@ +// SPDX-License-Identifier: GPL-2.0 OR X11 +/* + * Copyright (c) 2017 National Instruments Corp + */ + +/dts-v1/; +/plugin/; + +#include "n300-fpga.dtsi" + +&fpga_full { + uio@40004000 { + compatible = "usrp-uio"; + reg = <0x40004000 0x1000>; + reg-names = "misc-auro-regs0"; + status = "okay"; + }; + + + uio@4000c000 { + compatible = "usrp-uio"; + reg = <0x4000c000 0x1000>; + reg-names = "misc-auro-regs1"; + status = "okay"; + }; +}; + +#include "n300-common.dtsi" +#include "dma-common.dtsi" diff --git a/fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_HA.dts b/fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_HA.dts new file mode 100644 index 000000000..6cdce8022 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_HA.dts @@ -0,0 +1,53 @@ +// SPDX-License-Identifier: GPL-2.0 OR X11 +/* + * Copyright (c) 2017 National Instruments Corp + */ + +/dts-v1/; +/plugin/; + +#include "n300-fpga.dtsi" + +&fpga_full { + nixge0: ethernet@40000000 { + compatible = "ni,xge-enet-2.00"; + reg = <0x40000000 0x6000>; + + clocks = <&clkc 15>; + clock-names = "bus_clk"; + + nvmem-cells = <ð1_addr>; + nvmem-cell-names = "address"; + + interrupts = <0 29 4>, <0 30 4>; + interrupt-names = "rx", "tx"; + interrupt-parent = <&intc>; + status = "okay"; + + phy-mode = "xgmii"; + + fixed-link { + speed = <1000>; + full-duplex; + /* 114 = 54 (MIOs) + 60 (EMIO 60) */ + link-gpios = <&gpio0 114 0>; + }; + }; + + uio@40006000 { + compatible = "usrp-uio"; + reg = <0x40006000 0x2000>; + reg-names = "misc-enet-regs0"; + status = "okay"; + }; + + uio@4000c000 { + compatible = "usrp-uio"; + reg = <0x4000c000 0x1000>; + reg-names = "misc-auro-regs1"; + status = "okay"; + }; +}; + +#include "n300-common.dtsi" +#include "dma-common.dtsi" diff --git a/fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_HG.dts b/fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_HG.dts new file mode 100644 index 000000000..7f94f1126 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_HG.dts @@ -0,0 +1,80 @@ +// SPDX-License-Identifier: GPL-2.0 OR X11 +/* + * Copyright (c) 2017 National Instruments Corp + * + */ + +/dts-v1/; +/plugin/; + +#include "n300-fpga.dtsi" + +&fpga_full { + nixge0: ethernet@40000000 { + compatible = "ni,xge-enet-2.00"; + reg = <0x40000000 0x6000>; + + clocks = <&clkc 15>; + clock-names = "bus_clk"; + + nvmem-cells = <ð1_addr>; + nvmem-cell-names = "address"; + + interrupts = <0 29 4>, <0 30 4>; + interrupt-names = "rx", "tx"; + interrupt-parent = <&intc>; + status = "okay"; + + phy-mode = "xgmii"; + + fixed-link { + speed = <1000>; + full-duplex; + /* 114 = 54 (MIOs) + 60 (EMIO 60) */ + link-gpios = <&gpio0 114 0>; + }; + }; + + uio@40006000 { + compatible = "usrp-uio"; + reg = <0x40006000 0x2000>; + reg-names = "misc-enet-regs0"; + status = "okay"; + }; + + nixge1: ethernet@40008000 { + compatible = "ni,xge-enet-2.00"; + reg = <0x40008000 0x6000>; + + clocks = <&clkc 15>; + clock-names = "bus_clk"; + + nvmem-cells = <ð2_addr>; + nvmem-cell-names = "address"; + + interrupts = <0 31 4>, <0 32 4>; + interrupt-names = "rx", "tx"; + interrupt-parent = <&intc>; + status = "okay"; + + phy-mode = "xgmii"; + phy-handle = <ðernet_phy2>; + + mdio { + ethernet_phy2: ethernet-phy@4 { + compatible = "ethernet-phy-ieee802.3-c45"; + reg = <4>; + }; + }; + }; + + uio@4000e000 { + compatible = "usrp-uio"; + reg = <0x4000e000 0x2000>; + reg-names = "misc-enet-regs1"; + status = "okay"; + }; +}; + +#include "n300-common.dtsi" +#include "dma-common.dtsi" diff --git a/fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_WX.dts b/fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_WX.dts new file mode 100644 index 000000000..904149270 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_WX.dts @@ -0,0 +1,69 @@ +// SPDX-License-Identifier: GPL-2.0 OR X11 +/* + * Copyright (c) 2018 National Instruments Corp + * + */ + +/dts-v1/; +/plugin/; + +#include "n300-fpga.dtsi" + +&fpga_full { + uio@40006000 { + compatible = "usrp-uio"; + reg = <0x40006000 0x2000>; + reg-names = "misc-enet-regs0"; + status = "okay"; + }; + + nixge1: ethernet@40008000 { + compatible = "ni,xge-enet-2.00"; + reg = <0x40008000 0x6000>; + + clocks = <&clkc 15>; + clock-names = "bus_clk"; + + nvmem-cells = <ð2_addr>; + nvmem-cell-names = "address"; + + interrupts = <0 31 4>, <0 32 4>; + interrupt-names = "rx", "tx"; + interrupt-parent = <&intc>; + status = "okay"; + + phy-mode = "xgmii"; + phy-handle = <ðernet_phy2>; + + mdio { + ethernet_phy2: ethernet-phy@4 { + compatible = "ethernet-phy-ieee802.3-c45"; + reg = <4>; + }; + }; + }; + + uio@4000e000 { + compatible = "usrp-uio"; + reg = <0x4000e000 0x2000>; + reg-names = "misc-enet-regs1"; + status = "okay"; + }; + + uio@43d40000 { + compatible = "usrp-uio"; + reg = <0x43d40000 0x40000>; + reg-names = "wr-regs"; + status = "okay"; + }; + + uart2@42c00000 { + compatible = "xlnx,xps-uartlite-1.00.a"; + reg = <0x42c00000 0x1000>; + interrupt-parent = <&intc>; + interrupts = <0 54 4>; + }; +}; + +#include "n300-common.dtsi" +#include "dma-common.dtsi" diff --git a/fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_XA.dts b/fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_XA.dts new file mode 100644 index 000000000..984591d08 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_XA.dts @@ -0,0 +1,54 @@ +// SPDX-License-Identifier: GPL-2.0 OR X11 +/* + * Copyright (c) 2017 National Instruments Corp + */ + +/dts-v1/; +/plugin/; + +#include "n300-fpga.dtsi" + +&fpga_full { + nixge0: ethernet@40000000 { + compatible = "ni,xge-enet-2.00"; + reg = <0x40000000 0x6000>; + + clocks = <&clkc 15>; + clock-names = "bus_clk"; + + nvmem-cells = <ð1_addr>; + nvmem-cell-names = "address"; + + interrupts = <0 29 4>, <0 30 4>; + interrupt-names = "rx", "tx"; + interrupt-parent = <&intc>; + status = "okay"; + + phy-mode = "xgmii"; + phy-handle = <ðernet_phy1>; + + mdio { + ethernet_phy1: ethernet-phy@4 { + compatible = "ethernet-phy-ieee802.3-c45"; + reg = <4>; + }; + }; + }; + + uio@40006000 { + compatible = "usrp-uio"; + reg = <0x40006000 0x2000>; + reg-names = "misc-enet-regs0"; + status = "okay"; + }; + + uio@4000c000 { + compatible = "usrp-uio"; + reg = <0x4000c000 0x1000>; + reg-names = "misc-auro-regs1"; + status = "okay"; + }; +}; + +#include "n300-common.dtsi" +#include "dma-common.dtsi" diff --git a/fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_XG.dts b/fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_XG.dts new file mode 100644 index 000000000..3f01d18b1 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dts/usrp_n300_fpga_XG.dts @@ -0,0 +1,80 @@ +// SPDX-License-Identifier: GPL-2.0 OR X11 +/* + * Copyright (c) 2017 National Instruments Corp + */ + +/dts-v1/; +/plugin/; + +#include "n300-fpga.dtsi" + +&fpga_full { + nixge0: ethernet@40000000 { + compatible = "ni,xge-enet-2.00"; + reg = <0x40000000 0x6000>; + + clocks = <&clkc 15>; + clock-names = "bus_clk"; + + nvmem-cells = <ð1_addr>; + nvmem-cell-names = "address"; + + interrupts = <0 29 4>, <0 30 4>; + interrupt-names = "rx", "tx"; + interrupt-parent = <&intc>; + status = "okay"; + + phy-mode = "xgmii"; + phy-handle = <ðernet_phy1>; + + mdio { + ethernet_phy1: ethernet-phy@4 { + compatible = "ethernet-phy-ieee802.3-c45"; + reg = <4>; + }; + }; + }; + + uio@40006000 { + compatible = "usrp-uio"; + reg = <0x40006000 0x2000>; + reg-names = "misc-enet-regs0"; + status = "okay"; + }; + + nixge1: ethernet@40008000 { + compatible = "ni,xge-enet-2.00"; + reg = <0x40008000 0x6000>; + + clocks = <&clkc 15>; + clock-names = "bus_clk"; + + nvmem-cells = <ð2_addr>; + nvmem-cell-names = "address"; + + interrupts = <0 31 4>, <0 32 4>; + interrupt-names = "rx", "tx"; + interrupt-parent = <&intc>; + status = "okay"; + + phy-mode = "xgmii"; + phy-handle = <ðernet_phy2>; + + mdio { + ethernet_phy2: ethernet-phy@4 { + compatible = "ethernet-phy-ieee802.3-c45"; + reg = <4>; + }; + }; + }; + + uio@4000e000 { + compatible = "usrp-uio"; + reg = <0x4000e000 0x2000>; + reg-names = "misc-enet-regs1"; + status = "okay"; + }; +}; + +#include "n300-common.dtsi" +#include "dma-common.dtsi" diff --git a/fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_AA.dts b/fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_AA.dts new file mode 100644 index 000000000..637e6371d --- /dev/null +++ b/fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_AA.dts @@ -0,0 +1,29 @@ +// SPDX-License-Identifier: GPL-2.0 OR X11 +/* + * Copyright (c) 2017 National Instruments Corp + */ + +/dts-v1/; +/plugin/; + +#include "n310-fpga.dtsi" + +&fpga_full { + uio@40004000 { + compatible = "usrp-uio"; + reg = <0x40004000 0x1000>; + reg-names = "misc-auro-regs0"; + status = "okay"; + }; + + + uio@4000c000 { + compatible = "usrp-uio"; + reg = <0x4000c000 0x1000>; + reg-names = "misc-auro-regs1"; + status = "okay"; + }; +}; + +#include "n310-common.dtsi" +#include "dma-common.dtsi" diff --git a/fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_HA.dts b/fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_HA.dts new file mode 100644 index 000000000..bc03736e3 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_HA.dts @@ -0,0 +1,54 @@ +// SPDX-License-Identifier: GPL-2.0 OR X11 +/* + * Copyright (c) 2017 National Instruments Corp + * + */ + +/dts-v1/; +/plugin/; + +#include "n310-fpga.dtsi" + +&fpga_full { + nixge0: ethernet@40000000 { + compatible = "ni,xge-enet-2.00"; + reg = <0x40000000 0x6000>; + + clocks = <&clkc 15>; + clock-names = "bus_clk"; + + nvmem-cells = <ð1_addr>; + nvmem-cell-names = "address"; + + interrupts = <0 29 4>, <0 30 4>; + interrupt-names = "rx", "tx"; + interrupt-parent = <&intc>; + status = "okay"; + + phy-mode = "xgmii"; + + fixed-link { + speed = <1000>; + full-duplex; + /* 114 = 54 (MIOs) + 60 (EMIO 60) */ + link-gpios = <&gpio0 114 0>; + }; + }; + + uio@40006000 { + compatible = "usrp-uio"; + reg = <0x40006000 0x2000>; + reg-names = "misc-enet-regs0"; + status = "okay"; + }; + + uio@4000c000 { + compatible = "usrp-uio"; + reg = <0x4000c000 0x1000>; + reg-names = "misc-auro-regs1"; + status = "okay"; + }; +}; + +#include "n310-common.dtsi" +#include "dma-common.dtsi" diff --git a/fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_HG.dts b/fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_HG.dts new file mode 100644 index 000000000..0039d3b0a --- /dev/null +++ b/fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_HG.dts @@ -0,0 +1,81 @@ +// SPDX-License-Identifier: GPL-2.0 OR X11 +/* + * Copyright (c) 2017 National Instruments Corp + * + */ + +/dts-v1/; +/plugin/; + +#include "n310-fpga.dtsi" + +&fpga_full{ + nixge0: ethernet@40000000 { + compatible = "ni,xge-enet-2.00"; + reg = <0x40000000 0x6000>; + + clocks = <&clkc 15>; + clock-names = "bus_clk"; + + nvmem-cells = <ð1_addr>; + nvmem-cell-names = "address"; + + interrupts = <0 29 4>, <0 30 4>; + interrupt-names = "rx", "tx"; + interrupt-parent = <&intc>; + status = "okay"; + + phy-mode = "xgmii"; + + fixed-link { + speed = <1000>; + full-duplex; + /* 114 = 54 (MIOs) + 60 (EMIO 60) */ + link-gpios = <&gpio0 114 0>; + }; + + }; + + uio@40006000 { + compatible = "usrp-uio"; + reg = <0x40006000 0x2000>; + reg-names = "misc-enet-regs0"; + status = "okay"; + }; + + nixge1: ethernet@40008000 { + compatible = "ni,xge-enet-2.00"; + reg = <0x40008000 0x6000>; + + clocks = <&clkc 15>; + clock-names = "bus_clk"; + + nvmem-cells = <ð2_addr>; + nvmem-cell-names = "address"; + + interrupts = <0 31 4>, <0 32 4>; + interrupt-names = "rx", "tx"; + interrupt-parent = <&intc>; + status = "okay"; + + phy-mode = "xgmii"; + phy-handle = <ðernet_phy2>; + + mdio { + ethernet_phy2: ethernet-phy@4 { + compatible = "ethernet-phy-ieee802.3-c45"; + reg = <4>; + }; + }; + }; + + uio@4000e000 { + compatible = "usrp-uio"; + reg = <0x4000e000 0x2000>; + reg-names = "misc-enet-regs1"; + status = "okay"; + }; +}; + +#include "n310-common.dtsi" +#include "dma-common.dtsi" diff --git a/fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_WX.dts b/fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_WX.dts new file mode 100644 index 000000000..41ee3c0a8 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_WX.dts @@ -0,0 +1,68 @@ +// SPDX-License-Identifier: GPL-2.0 OR X11 +/* + * Copyright (c) 2018 National Instruments Corp + */ + +/dts-v1/; +/plugin/; + +#include "n310-fpga.dtsi" + +&fpga_full { + uio@40006000 { + compatible = "usrp-uio"; + reg = <0x40006000 0x2000>; + reg-names = "misc-enet-regs0"; + status = "okay"; + }; + + nixge1: ethernet@40008000 { + compatible = "ni,xge-enet-2.00"; + reg = <0x40008000 0x6000>; + + clocks = <&clkc 15>; + clock-names = "bus_clk"; + + nvmem-cells = <ð2_addr>; + nvmem-cell-names = "address"; + + interrupts = <0 31 4>, <0 32 4>; + interrupt-names = "rx", "tx"; + interrupt-parent = <&intc>; + status = "okay"; + + phy-mode = "xgmii"; + phy-handle = <ðernet_phy2>; + + mdio { + ethernet_phy2: ethernet-phy@4 { + compatible = "ethernet-phy-ieee802.3-c45"; + reg = <4>; + }; + }; + }; + + uio@4000e000 { + compatible = "usrp-uio"; + reg = <0x4000e000 0x2000>; + reg-names = "misc-enet-regs1"; + status = "okay"; + }; + + uio@43d40000 { + compatible = "usrp-uio"; + reg = <0x43d40000 0x40000>; + reg-names = "wr-regs"; + status = "okay"; + }; + + uart2@42c00000 { + compatible = "xlnx,xps-uartlite-1.00.a"; + reg = <0x42c00000 0x1000>; + interrupt-parent = <&intc>; + interrupts = <0 54 4>; + }; +}; + +#include "n310-common.dtsi" +#include "dma-common.dtsi" diff --git a/fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_XA.dts b/fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_XA.dts new file mode 100644 index 000000000..0838595dd --- /dev/null +++ b/fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_XA.dts @@ -0,0 +1,55 @@ +// SPDX-License-Identifier: GPL-2.0 OR X11 +/* + * Copyright (c) 2017 National Instruments Corp + * + */ + +/dts-v1/; +/plugin/; + +#include "n310-fpga.dtsi" + +&fpga_full { + nixge0: ethernet@40000000 { + compatible = "ni,xge-enet-2.00"; + reg = <0x40000000 0x6000>; + + clocks = <&clkc 15>; + clock-names = "bus_clk"; + + nvmem-cells = <ð1_addr>; + nvmem-cell-names = "address"; + + interrupts = <0 29 4>, <0 30 4>; + interrupt-names = "rx", "tx"; + interrupt-parent = <&intc>; + status = "okay"; + + phy-mode = "xgmii"; + phy-handle = <ðernet_phy1>; + + mdio { + ethernet_phy1: ethernet-phy@4 { + compatible = "ethernet-phy-ieee802.3-c45"; + reg = <4>; + }; + }; + }; + + uio@40006000 { + compatible = "usrp-uio"; + reg = <0x40006000 0x2000>; + reg-names = "misc-enet-regs0"; + status = "okay"; + }; + + uio@4000c000 { + compatible = "usrp-uio"; + reg = <0x4000c000 0x1000>; + reg-names = "misc-auro-regs1"; + status = "okay"; + }; +}; + +#include "n310-common.dtsi" +#include "dma-common.dtsi" diff --git a/fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_XG.dts b/fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_XG.dts new file mode 100644 index 000000000..204f91515 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dts/usrp_n310_fpga_XG.dts @@ -0,0 +1,81 @@ +// SPDX-License-Identifier: GPL-2.0 OR X11 +/* + * Copyright (c) 2017 National Instruments Corp + * + */ + +/dts-v1/; +/plugin/; + +#include "n310-fpga.dtsi" + +&fpga_full { + nixge0: ethernet@40000000 { + compatible = "ni,xge-enet-2.00"; + reg = <0x40000000 0x6000>; + + clocks = <&clkc 15>; + clock-names = "bus_clk"; + + nvmem-cells = <ð1_addr>; + nvmem-cell-names = "address"; + + interrupts = <0 29 4>, <0 30 4>; + interrupt-names = "rx", "tx"; + interrupt-parent = <&intc>; + status = "okay"; + + phy-mode = "xgmii"; + phy-handle = <ðernet_phy1>; + + mdio { + ethernet_phy1: ethernet-phy@4 { + compatible = "ethernet-phy-ieee802.3-c45"; + reg = <4>; + }; + }; + }; + + uio@40006000 { + compatible = "usrp-uio"; + reg = <0x40006000 0x2000>; + reg-names = "misc-enet-regs0"; + status = "okay"; + }; + + nixge1: ethernet@40008000 { + compatible = "ni,xge-enet-2.00"; + reg = <0x40008000 0x6000>; + + clocks = <&clkc 15>; + clock-names = "bus_clk"; + + nvmem-cells = <ð2_addr>; + nvmem-cell-names = "address"; + + interrupts = <0 31 4>, <0 32 4>; + interrupt-names = "rx", "tx"; + interrupt-parent = <&intc>; + status = "okay"; + + phy-mode = "xgmii"; + phy-handle = <ðernet_phy2>; + + mdio { + ethernet_phy2: ethernet-phy@4 { + compatible = "ethernet-phy-ieee802.3-c45"; + reg = <4>; + }; + }; + }; + + uio@4000e000 { + compatible = "usrp-uio"; + reg = <0x4000e000 0x2000>; + reg-names = "misc-enet-regs1"; + status = "okay"; + }; +}; + +#include "n310-common.dtsi" +#include "dma-common.dtsi" diff --git a/fpga/usrp3/top/n3xx/dts/usrp_n320_fpga_AQ.dts b/fpga/usrp3/top/n3xx/dts/usrp_n320_fpga_AQ.dts new file mode 100644 index 000000000..6382192b8 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dts/usrp_n320_fpga_AQ.dts @@ -0,0 +1,117 @@ +// SPDX-License-Identifier: GPL-2.0 OR X11 +/* + * Copyright (c) 2018 National Instruments Corp + */ + +/dts-v1/; +/plugin/; + +#include "n320-fpga.dtsi" + +&fpga_full { + nixge0: ethernet@40000000 { + compatible = "ni,xge-enet-2.00"; + reg = <0x40000000 0x6000>; + + clocks = <&clkc 15>; + clock-names = "bus_clk"; + + nvmem-cells = <ð1_addr>; + nvmem-cell-names = "address"; + + interrupts = <0 29 4>, <0 30 4>; + interrupt-names = "rx", "tx"; + interrupt-parent = <&intc>; + status = "okay"; + + phy-mode = "xgmii"; + phy-handle = <ðernet_phy1>; + + mdio { + ethernet_phy1: ethernet-phy@4 { + compatible = "ethernet-phy-ieee802.3-c45"; + reg = <4>; + }; + }; + }; + + uio@40006000 { + compatible = "usrp-uio"; + reg = <0x40006000 0x2000>; + reg-names = "misc-enet-regs0"; + status = "okay"; + }; + + nixge1: ethernet@40008000 { + compatible = "ni,xge-enet-2.00"; + reg = <0x40008000 0x6000>; + + clocks = <&clkc 15>; + clock-names = "bus_clk"; + + nvmem-cells = <ð2_addr>; + nvmem-cell-names = "address"; + + interrupts = <0 31 4>, <0 32 4>; + interrupt-names = "rx", "tx"; + interrupt-parent = <&intc>; + status = "okay"; + + phy-mode = "xgmii"; + phy-handle = <ðernet_phy2>; + + mdio { + ethernet_phy2: ethernet-phy@4 { + compatible = "ethernet-phy-ieee802.3-c45"; + reg = <4>; + }; + }; + }; + + uio@4000e000 { + compatible = "usrp-uio"; + reg = <0x4000e000 0x2000>; + reg-names = "misc-enet-regs1"; + status = "okay"; + }; + + uio@40020000 { + compatible = "usrp-uio"; + reg = <0x40020000 0x1000>; + reg-names = "misc-auro-regs0"; + status = "okay"; + }; + + uio@40024000 { + compatible = "usrp-uio"; + reg = <0x40024000 0x1000>; + reg-names = "misc-auro-regs1"; + status = "okay"; + }; + + uio@40028000 { + compatible = "usrp-uio"; + reg = <0x40028000 0x1000>; + reg-names = "misc-auro-regs2"; + status = "okay"; + }; + + + uio@4002c000 { + compatible = "usrp-uio"; + reg = <0x4002c000 0x1000>; + reg-names = "misc-auro-regs3"; + status = "okay"; + }; + + qsfp_i2c: qsfp-i2c@43D80000 { + compatible = "xlnx,xps-iic-2.00.a"; + clocks = <&clkc 15>; + interrupt-parent = <&intc>; + interrupts = <0 55 4>; + reg = <0x43D80000 0x10000>; + }; +}; + +#include "n320-common.dtsi" +#include "dma-common.dtsi" diff --git a/fpga/usrp3/top/n3xx/dts/usrp_n320_fpga_HG.dts b/fpga/usrp3/top/n3xx/dts/usrp_n320_fpga_HG.dts new file mode 100644 index 000000000..d08aeb87b --- /dev/null +++ b/fpga/usrp3/top/n3xx/dts/usrp_n320_fpga_HG.dts @@ -0,0 +1,79 @@ +// SPDX-License-Identifier: GPL-2.0 OR X11 +/* + * Copyright (c) 2018 National Instruments Corp + */ + +/dts-v1/; +/plugin/; + +#include "n320-fpga.dtsi" + +&fpga_full { + nixge0: ethernet@40000000 { + compatible = "ni,xge-enet-2.00"; + reg = <0x40000000 0x6000>; + + clocks = <&clkc 15>; + clock-names = "bus_clk"; + + nvmem-cells = <ð1_addr>; + nvmem-cell-names = "address"; + + interrupts = <0 29 4>, <0 30 4>; + interrupt-names = "rx", "tx"; + interrupt-parent = <&intc>; + status = "okay"; + + phy-mode = "xgmii"; + + fixed-link { + speed = <1000>; + full-duplex; + /* 114 = 54 (MIOs) + 60 (EMIO 60) */ + link-gpios = <&gpio0 114 0>; + }; + }; + + uio@40006000 { + compatible = "usrp-uio"; + reg = <0x40006000 0x2000>; + reg-names = "misc-enet-regs0"; + status = "okay"; + }; + + nixge1: ethernet@40008000 { + compatible = "ni,xge-enet-2.00"; + reg = <0x40008000 0x6000>; + + clocks = <&clkc 15>; + clock-names = "bus_clk"; + + nvmem-cells = <ð2_addr>; + nvmem-cell-names = "address"; + + interrupts = <0 31 4>, <0 32 4>; + interrupt-names = "rx", "tx"; + interrupt-parent = <&intc>; + status = "okay"; + + phy-mode = "xgmii"; + phy-handle = <ðernet_phy2>; + + mdio { + ethernet_phy2: ethernet-phy@4 { + compatible = "ethernet-phy-ieee802.3-c45"; + reg = <4>; + }; + }; + }; + + uio@4000e000 { + compatible = "usrp-uio"; + reg = <0x4000e000 0x2000>; + reg-names = "misc-enet-regs1"; + status = "okay"; + }; +}; + +#include "n320-common.dtsi" +#include "dma-common.dtsi" diff --git a/fpga/usrp3/top/n3xx/dts/usrp_n320_fpga_WX.dts b/fpga/usrp3/top/n3xx/dts/usrp_n320_fpga_WX.dts new file mode 100644 index 000000000..8b133ce98 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dts/usrp_n320_fpga_WX.dts @@ -0,0 +1,69 @@ +// SPDX-License-Identifier: GPL-2.0 OR X11 +/* + * Copyright (c) 2018 National Instruments Corp + * + */ + +/dts-v1/; +/plugin/; + +#include "n320-fpga.dtsi" + +&fpga_full { + uio@40006000 { + compatible = "usrp-uio"; + reg = <0x40006000 0x2000>; + reg-names = "misc-enet-regs0"; + status = "okay"; + }; + + nixge1: ethernet@40008000 { + compatible = "ni,xge-enet-2.00"; + reg = <0x40008000 0x6000>; + + clocks = <&clkc 15>; + clock-names = "bus_clk"; + + nvmem-cells = <ð2_addr>; + nvmem-cell-names = "address"; + + interrupts = <0 31 4>, <0 32 4>; + interrupt-names = "rx", "tx"; + interrupt-parent = <&intc>; + status = "okay"; + + phy-mode = "xgmii"; + phy-handle = <ðernet_phy2>; + + mdio { + ethernet_phy2: ethernet-phy@4 { + compatible = "ethernet-phy-ieee802.3-c45"; + reg = <4>; + }; + }; + }; + + uio@4000e000 { + compatible = "usrp-uio"; + reg = <0x4000e000 0x2000>; + reg-names = "misc-enet-regs1"; + status = "okay"; + }; + + uio@43d40000 { + compatible = "usrp-uio"; + reg = <0x43d40000 0x40000>; + reg-names = "wr-regs"; + status = "okay"; + }; + + uart2@42c00000 { + compatible = "xlnx,xps-uartlite-1.00.a"; + reg = <0x42c00000 0x1000>; + interrupt-parent = <&intc>; + interrupts = <0 54 4>; + }; +}; + +#include "n320-common.dtsi" +#include "dma-common.dtsi" diff --git a/fpga/usrp3/top/n3xx/dts/usrp_n320_fpga_XG.dts b/fpga/usrp3/top/n3xx/dts/usrp_n320_fpga_XG.dts new file mode 100644 index 000000000..f585dbddb --- /dev/null +++ b/fpga/usrp3/top/n3xx/dts/usrp_n320_fpga_XG.dts @@ -0,0 +1,80 @@ +// SPDX-License-Identifier: GPL-2.0 OR X11 +/* + * Copyright (c) 2018 National Instruments Corp + */ + +/dts-v1/; +/plugin/; + +#include "n320-fpga.dtsi" + +&fpga_full { + nixge0: ethernet@40000000 { + compatible = "ni,xge-enet-2.00"; + reg = <0x40000000 0x6000>; + + clocks = <&clkc 15>; + clock-names = "bus_clk"; + + nvmem-cells = <ð1_addr>; + nvmem-cell-names = "address"; + + interrupts = <0 29 4>, <0 30 4>; + interrupt-names = "rx", "tx"; + interrupt-parent = <&intc>; + status = "okay"; + + phy-mode = "xgmii"; + phy-handle = <ðernet_phy1>; + + mdio { + ethernet_phy1: ethernet-phy@4 { + compatible = "ethernet-phy-ieee802.3-c45"; + reg = <4>; + }; + }; + }; + + uio@40006000 { + compatible = "usrp-uio"; + reg = <0x40006000 0x2000>; + reg-names = "misc-enet-regs0"; + status = "okay"; + }; + + nixge1: ethernet@40008000 { + compatible = "ni,xge-enet-2.00"; + reg = <0x40008000 0x6000>; + + clocks = <&clkc 15>; + clock-names = "bus_clk"; + + nvmem-cells = <ð2_addr>; + nvmem-cell-names = "address"; + + interrupts = <0 31 4>, <0 32 4>; + interrupt-names = "rx", "tx"; + interrupt-parent = <&intc>; + status = "okay"; + + phy-mode = "xgmii"; + phy-handle = <ðernet_phy2>; + + mdio { + ethernet_phy2: ethernet-phy@4 { + compatible = "ethernet-phy-ieee802.3-c45"; + reg = <4>; + }; + }; + }; + + uio@4000e000 { + compatible = "usrp-uio"; + reg = <0x4000e000 0x2000>; + reg-names = "misc-enet-regs1"; + status = "okay"; + }; +}; + +#include "n320-common.dtsi" +#include "dma-common.dtsi" diff --git a/fpga/usrp3/top/n3xx/dts/usrp_n320_fpga_XQ.dts b/fpga/usrp3/top/n3xx/dts/usrp_n320_fpga_XQ.dts new file mode 100644 index 000000000..037447932 --- /dev/null +++ b/fpga/usrp3/top/n3xx/dts/usrp_n320_fpga_XQ.dts @@ -0,0 +1,117 @@ +// SPDX-License-Identifier: GPL-2.0 OR X11 +/* + * Copyright (c) 2018 National Instruments Corp + */ + +/dts-v1/; +/plugin/; + +#include "n320-fpga.dtsi" + +&fpga_full { + nixge0: ethernet@40000000 { + #address-cells = <1>; + #size-cells = <1>; + compatible = "ni,xge-enet-3.00"; + reg = <0x40000000 0x4000 + 0x40020000 0x2000>; + reg-names = "dma", "ctrl"; + + clocks = <&clkc 15>; + clock-names = "bus_clk"; + + nvmem-cells = <ð1_addr>; + nvmem-cell-names = "address"; + + interrupts = <0 29 4>, <0 30 4>; + interrupt-names = "rx", "tx"; + interrupt-parent = <&intc>; + status = "okay"; + + phy-mode = "xgmii"; + phy-handle = <ðernet_phy1>; + + mdio { + ethernet_phy1: ethernet-phy@4 { + compatible = "ethernet-phy-ieee802.3-c45"; + reg = <4>; + }; + }; + }; + + uio@40022000 { + compatible = "usrp-uio"; + reg = <0x40022000 0x2000>; + reg-names = "misc-enet-regs0"; + status = "okay"; + }; + + nixge1: ethernet@40008000 { + #address-cells = <1>; + #size-cells = <1>; + compatible = "ni,xge-enet-3.00"; + reg = <0x40008000 0x4000 + 0x40024000 0x2000>; + reg-names = "dma", "ctrl"; + + clocks = <&clkc 15>; + clock-names = "bus_clk"; + + nvmem-cells = <ð2_addr>; + nvmem-cell-names = "address"; + + interrupts = <0 31 4>, <0 32 4>; + interrupt-names = "rx", "tx"; + interrupt-parent = <&intc>; + status = "okay"; + + phy-mode = "xgmii"; + phy-handle = <ðernet_phy2>; + + mdio { + ethernet_phy2: ethernet-phy@4 { + compatible = "ethernet-phy-ieee802.3-c45"; + reg = <4>; + }; + }; + }; + + uio@40026000 { + compatible = "usrp-uio"; + reg = <0x40026000 0x2000>; + reg-names = "misc-enet-regs1"; + status = "okay"; + }; + + uio@40006000 { + compatible = "usrp-uio"; + reg = <0x40006000 0x2000>; + reg-names = "misc-enet-regs2"; + status = "okay"; + }; + + uio@43d40000 { + compatible = "usrp-uio"; + reg = <0x43d40000 0x40000>; + reg-names = "wr-regs"; + status = "okay"; + }; + + uart2@42c00000 { + compatible = "xlnx,xps-uartlite-1.00.a"; + reg = <0x42c00000 0x1000>; + interrupt-parent = <&intc>; + interrupts = <0 54 4>; + }; + + qsfp_i2c: qsfp-i2c@43D80000 { + compatible = "xlnx,xps-iic-2.00.a"; + clocks = <&clkc 15>; + interrupt-parent = <&intc>; + interrupts = <0 55 4>; + reg = <0x43D80000 0x10000>; + }; +}; + +#include "n320-common.dtsi" +#include "dma-common.dtsi" diff --git a/fpga/usrp3/top/n3xx/ip/Makefile.inc b/fpga/usrp3/top/n3xx/ip/Makefile.inc new file mode 100644 index 000000000..98021fcc7 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/Makefile.inc @@ -0,0 +1,90 @@ +# +# Copyright 2017-2018 Ettus Research, a National Instruments Company +# + +#include $(IP_DIR)/axi4_dualport_sram/Makefile.inc +include $(IP_DIR)/hb47_1to2/Makefile.inc +include $(IP_DIR)/hb47_2to1/Makefile.inc +include $(IP_DIR)/axi64_4k_2clk_fifo/Makefile.inc +include $(IP_DIR)/axi64_8k_2clk_fifo/Makefile.inc +include $(IP_DIR)/axi_intercon_2x64_256_bd/Makefile.inc +include $(IP_DIR)/axi_intercon_4x64_256_bd/Makefile.inc +include $(IP_DIR)/ddr3_32bit/Makefile.inc +include $(IP_DIR)/fifo_4k_2clk/Makefile.inc +include $(IP_DIR)/fifo_short_2clk/Makefile.inc +#include $(IP_DIR)/input_sample_fifo/Makefile.inc +include $(IP_DIR)/one_gig_eth_pcs_pma/Makefile.inc +include $(IP_DIR)/misc_clock_gen/Makefile.inc +include $(IP_DIR)/ten_gig_eth_pcs_pma/Makefile.inc +include $(IP_DIR)/aurora_64b66b_pcs_pma/Makefile.inc +include $(IP_DIR)/axi3_to_axi4lite_protocol_converter/Makefile.inc +include $(IP_DIR)/axis_fifo_to_axi4lite/Makefile.inc +include $(IP_DIR)/axi_eth_dma/Makefile.inc +include $(IP_DIR)/axi4_to_axi3_protocol_converter_32/Makefile.inc +include $(IP_DIR)/axi4_to_axi3_protocol_converter_64/Makefile.inc +include $(IP_DIR)/n310_ps_bd/Makefile.inc + +BD_SRCS = \ +$(IP_AXI_INTERCON_2X64_256_BD_SRCS) \ +$(IP_AXI_INTERCON_4X64_256_BD_SRCS) \ +$(IP_N310_PS_BD_SRCS) + +IP_XCI_SRCS = \ +$(IP_HB47_1TO2_SRCS) \ +$(IP_HB47_2TO1_SRCS) \ +$(IP_AXI4_BRAM_SRCS) \ +$(IP_AXI64_4K_2CLK_FIFO_SRCS) \ +$(IP_AXI64_8K_2CLK_FIFO_SRCS) \ +$(IP_AXI3_TO_AXI4LITE_PROTOCOL_CONVERTER_SRCS) \ +$(IP_AXI4_TO_AXI3_PROTOCOL_CONVERTER_32_SRCS) \ +$(IP_AXI4_TO_AXI3_PROTOCOL_CONVERTER_64_SRCS) \ +$(IP_AXIS_FIFO_TO_AXI4LITE_SRCS) \ +$(IP_MISC_CLOCK_GEN_SRCS) \ +$(IP_FIFO_4K_2CLK_SRCS) \ +$(IP_FIFO_SHORT_2CLK_SRCS) \ +$(IP_AXI_ETH_DMA_SRCS) \ +$(IP_ONE_GIG_ETH_PCS_PMA_SRCS) \ +$(IP_TEN_GIG_ETH_PCS_PMA_SRCS) \ +$(IP_AURORA_64B66B_PCS_PMA_SRCS) + +IP_DRAM_XCI_SRCS = \ +$(IP_DDR3_32BIT_SRCS) + +# Currently unused +# $(IP_INPUT_SAMPLE_FIFO_SRCS) \ + +IP_CODEGEN_SRCS = \ +$(ONE_GIGE_PHY_SRCS) \ +$(TEN_GIGE_PHY_SRCS) + +IP_SYNTH_OUTPUTS = \ +$(IP_HB47_1TO2_OUTS) \ +$(IP_HB47_2TO1_OUTS) \ +$(IP_AXI4_BRAM_OUTS) \ +$(IP_AXI64_4K_2CLK_FIFO_OUTS) \ +$(IP_AXI64_8K_2CLK_FIFO_OUTS) \ +$(IP_AXI3_TO_AXI4LITE_PROTOCOL_CONVERTER_OUTS) \ +$(IP_AXI_INTERCONNECT_OUTS) \ +$(IP_AXI4_TO_AXI3_PROTOCOL_CONVERTER_32_OUTS) \ +$(IP_AXI4_TO_AXI3_PROTOCOL_CONVERTER_64_OUTS) \ +$(IP_AXIS_FIFO_TO_AXI4LITE_OUTS) \ +$(IP_FIFO_4K_2CLK_OUTS) \ +$(IP_FIFO_SHORT_2CLK_OUTS) \ +$(IP_ONE_GIG_ETH_PCS_PMA_OUTS) \ +$(IP_AXI_ETH_DMA_OUTS) \ +$(IP_TEN_GIG_ETH_PCS_PMA_OUTS) \ +$(IP_AURORA_64B66B_PCS_PMA_OUTS) + +BD_OUTPUTS = \ +$(IP_AXI_INTERCON_2X64_256_BD_OUTS) \ +$(IP_AXI_INTERCON_4X64_256_BD_OUTS) \ +$(IP_N310_PS_BD_OUTS) + +# Currently unused +# $(IP_INPUT_SAMPLE_FIFO_OUTS) \ +# $(IP_AXI_INTERCON_4X64_128_OUTS) \ + +ip: $(IP_SYNTH_OUTPUTS) $(IP_CODEGEN_SRCS) $(BD_OUTPUTS) + +.PHONY: ip + diff --git a/fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/Makefile.inc b/fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/Makefile.inc new file mode 100644 index 000000000..270f4e92e --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/Makefile.inc @@ -0,0 +1,32 @@ +# +# Copyright 2016 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +AURORA_PHY_SRCS = \ +$(IP_DIR)/aurora_64b66b_pcs_pma/aurora_phy_x1.v \ +$(IP_DIR)/aurora_64b66b_pcs_pma/aurora_phy_mmcm.v \ +$(IP_DIR)/aurora_64b66b_pcs_pma/aurora_phy_clk_gen.v \ +$(IP_DIR)/aurora_64b66b_pcs_pma/aurora_axis_mac.v \ +$(IP_AURORA_64B66B_PCS_PMA_EXAMPLE_SRCS) + +IP_AURORA_64B66B_PCS_PMA_EXAMPLE_SRCS = $(addprefix $(IP_BUILD_DIR)/aurora_64b66b_pcs_pma_ex/, \ +aurora_64b66b_pcs_pma_ex.srcs/shared_logic/aurora_64b66b_pcs_pma_clock_module.v \ +aurora_64b66b_pcs_pma_ex.srcs/shared_logic/aurora_64b66b_pcs_pma_gt_common_wrapper.v \ +aurora_64b66b_pcs_pma_ex.srcs/shared_logic/aurora_64b66b_pcs_pma_support_reset_logic.v \ +aurora_64b66b_pcs_pma_ex.srcs/shared_logic/aurora_64b66b_pcs_pma_support.v \ +imports/aurora_64b66b_pcs_pma_cdc_sync_exdes.v \ +imports/aurora_64b66b_pcs_pma_example_axi_to_ll.v \ +imports/aurora_64b66b_pcs_pma_example_ll_to_axi.v \ +) + +IP_AURORA_64B66B_PCS_PMA_SRCS = $(IP_BUILD_DIR)/aurora_64b66b_pcs_pma/aurora_64b66b_pcs_pma.xci + +IP_AURORA_64B66B_PCS_PMA_OUTS = $(addprefix $(IP_BUILD_DIR)/aurora_64b66b_pcs_pma/, \ +aurora_64b66b_pcs_pma.xci.out \ +) + +$(IP_AURORA_64B66B_PCS_PMA_SRCS) $(IP_AURORA_64B66B_PCS_PMA_OUTS) $(IP_AURORA_64B66B_PCS_PMA_EXAMPLE_SRCS): $(IP_DIR)/aurora_64b66b_pcs_pma/aurora_64b66b_pcs_pma.xci + $(call BUILD_VIVADO_IP,aurora_64b66b_pcs_pma,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),1) + diff --git a/fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/aurora_64b66b_pcs_pma.xci b/fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/aurora_64b66b_pcs_pma.xci new file mode 100644 index 000000000..aaba9d9c1 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/aurora_64b66b_pcs_pma.xci @@ -0,0 +1,1780 @@ + + + xilinx.com + xci + unknown + 1.0 + + + aurora_64b66b_pcs_pma + + + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + false + 100000000 + false + 100000000 + false + 100000000 + false + 100000000 + false + 100000000 + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 0 + 0.000 + + + + 0 + 0.000 + + + + 0 + 0.000 + + + + 0 + 0.000 + + + + 0 + 0.000 + 0 + 0 + + + + 100000000 + 0 + 0.000 + + 100000000 + 0 + 0.000 + + + 0 + 0.000 + false + 100000000 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + 0 + 0 + 0 + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + 0 + 0 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + 0 + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + + + + 100000000 + 0 + 0.000 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0.000 + 156.25 + undef + + 100000000 + 0 + 0.000 + + 0 + 0.000 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 8 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 1 + 0 + 0 + undef + 0.000 + 8 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + false + BL7 + BL8 + none + BL7 + BL8 + none + BL7 + BL8 + none + BL7 + BL8 + none + BL7 + BL8 + none + X0Y0 + X0Y0 + 1 + QPLL + none + false + false + none + 8 + 1 + left + 1 + 4 + 1 + false + GTXQ0 + None + None + None + None + 1 + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + gtx + false + 78.125 + 4 + 10312.5 + false + IMM + 1 + 0 + 0 + 0 + 0 + true + 66 + 1 + 156250.0 + 2 + 1 + false + TX + true + 1 + right + false + true + false + axi4_stream + false + xc7z100 + ffg900 + -2 + 156.250 + X0Y0 + aurora_64b66b_pcs_pma + NONE + Duplex + 7 + 78.125 + AXI4_LITE + None + 1 + 20 + Streaming + true + false + None + false + AC + AUTO + 0 + PROGRAMMABLE + 800 + false + false + X0Y0 + 1 + left + false + false + false + GTXQ0 + None + None + None + None + 1 + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + gtx + 78.125 + 10.3125 + LCPLL + none + none + none + none + 156.250 + 156.250 + 156.250 + none + X0Y0 + X0Y0 + right + false + true + false + 1 + aurora_64b66b_pcs_pma + 78.125 + 20 + AC + AUTO + 0 + PROGRAMMABLE + 800 + false + false + 0 + false + false + Duplex + AXI4_LITE + None + Streaming + zynq + + + xc7z100 + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 0 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/aurora_axis_mac.v b/fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/aurora_axis_mac.v new file mode 100644 index 000000000..39ed52f8c --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/aurora_axis_mac.v @@ -0,0 +1,329 @@ +// +// Copyright 2016 Ettus Research LLC +// + +module aurora_axis_mac #( + parameter PHY_ENDIANNESS = "LITTLE", //{"LITTLE, "BIG"} + parameter PACKET_MODE = 0, + parameter MAX_PACKET_SIZE = 512, + parameter BIST_ENABLED = 1 +) ( + // Clocks and resets + input phy_clk, + input phy_rst, + input sys_clk, + input sys_rst, + input clear, + // PHY TX Interface (Synchronous to phy_clk) + output [63:0] phy_m_axis_tdata, + output phy_m_axis_tvalid, + input phy_m_axis_tready, + // PHY RX Interface (Synchronous to phy_clk) + input [63:0] phy_s_axis_tdata, + input phy_s_axis_tvalid, + // User TX Interface (Synchronous to sys_clk) + input [63:0] s_axis_tdata, + input s_axis_tlast, + input s_axis_tvalid, + output s_axis_tready, + // User RX Interface (Synchronous to sys_clk) + output [63:0] m_axis_tdata, + output m_axis_tlast, + output m_axis_tvalid, + input m_axis_tready, + // PHY Status Inputs (Synchronous to phy_clk) + input channel_up, + input hard_err, + input soft_err, + // Status and Error Outputs (Synchronous to sys_clk) + output [31:0] overruns, + output [31:0] soft_errors, + output reg [31:0] checksum_errors, + output critical_err, + // BIST Interface (Synchronous to sys_clk) + input bist_gen_en, + input [5:0] bist_gen_rate, + input bist_checker_en, + input bist_loopback_en, + output reg bist_checker_locked, + output reg [47:0] bist_checker_samps, + output reg [47:0] bist_checker_errors +); + + // ---------------------------------------------- + // Resets, Clears, Clock crossings + // ---------------------------------------------- + + wire phy_s_axis_tready; // Internal only. The PHY has no backpressure signal. + + // Stay idle if the PHY is not up or if it experiences a fatal error + wire clear_sysclk, clear_phyclk; + synchronizer #(.INITIAL_VAL(1'b1)) clear_sync_phyclk_i ( + .clk(phy_clk), .rst(1'b0 /* no reset */), .in((~channel_up) | hard_err | clear), .out(clear_phyclk)); + synchronizer #(.INITIAL_VAL(1'b1)) clear_sync_sysclk_i ( + .clk(sys_clk), .rst(1'b0 /* no reset */), .in(clear_phyclk), .out(clear_sysclk)); + + // ---------------------------------------------- + // Counters + // ---------------------------------------------- + + reg [31:0] overruns_reg; + reg [31:0] soft_errors_reg; + + // Counter for recoverable errors. For reporting only. + always @(posedge phy_clk) + if (phy_rst | clear_phyclk) + soft_errors_reg <= 32'd0; + else if (soft_err) + soft_errors_reg <= soft_errors_reg + 32'd1; + + // Tag an overrun if the FIFO is full. Samples will get dropped + always @(posedge phy_clk) + if (phy_rst | clear_phyclk) + overruns_reg <= 32'd0; + else if (phy_s_axis_tvalid & ~phy_s_axis_tready) + overruns_reg <= overruns_reg + 32'd1; + + wire [7:0] dummy0; + fifo_short_2clk status_counters_2clk_i ( + .rst(phy_rst), + .wr_clk(phy_clk), .din({8'h00, soft_errors_reg, overruns_reg}), .wr_en(1'b1), .full(), .wr_data_count(), + .rd_clk(sys_clk), .dout({dummy0, soft_errors, overruns}), .rd_en(1'b1), .empty(), .rd_data_count() + ); + + // ---------------------------------------------- + // BIST Wires + // ---------------------------------------------- + + wire [63:0] bist_o_tdata; + wire bist_o_tvalid, bist_o_tready; + wire [63:0] bist_i_tdata; + wire bist_i_tvalid, bist_i_tready; + wire [63:0] loopback_tdata; + wire loopback_tvalid, loopback_tready; + reg bist_gen_en_reg = 1'b0, bist_checker_en_reg = 1'b0, bist_loopback_en_reg = 1'b0; + reg [5:0] bist_gen_rate_reg = 'd0; + + generate if (BIST_ENABLED == 1) begin + // Pipeline control signals + always @(posedge sys_clk) begin + if (sys_rst | clear_sysclk) begin + bist_gen_en_reg <= 1'b0; + bist_checker_en_reg <= 1'b0; + bist_loopback_en_reg <= 1'b0; + bist_gen_rate_reg <= 'd0; + end else begin + bist_gen_en_reg <= bist_gen_en; + bist_checker_en_reg <= bist_checker_en; + bist_loopback_en_reg <= bist_loopback_en; + bist_gen_rate_reg <= bist_gen_rate; + end + end + end endgenerate + // ---------------------------------------------- + // RX Data Path + // ---------------------------------------------- + + wire [63:0] i_raw_tdata; + wire i_raw_tvalid, i_raw_tready; + + wire [63:0] i_pip_tdata; + wire i_pip_tvalid, i_pip_tready; + + wire [63:0] i_pkt_tdata; + wire i_pkt_tlast, i_pkt_tvalid, i_pkt_tready; + + wire [63:0] i_gt_tdata; + wire i_gt_tlast, i_gt_tvalid, i_gt_tready; + + wire checksum_err; + + wire [63:0] phy_s_axis_tdata_endian, phy_m_axis_tdata_endian; + + generate if (PHY_ENDIANNESS == "BIG") begin + assign phy_s_axis_tdata_endian = { + phy_s_axis_tdata[7:0], phy_s_axis_tdata[15:8], phy_s_axis_tdata[23:16], phy_s_axis_tdata[31:24], + phy_s_axis_tdata[39:32], phy_s_axis_tdata[47:40], phy_s_axis_tdata[55:48], phy_s_axis_tdata[63:56] + }; + assign phy_m_axis_tdata = { + phy_m_axis_tdata_endian[7:0], phy_m_axis_tdata_endian[15:8], phy_m_axis_tdata_endian[23:16], phy_m_axis_tdata_endian[31:24], + phy_m_axis_tdata_endian[39:32], phy_m_axis_tdata_endian[47:40], phy_m_axis_tdata_endian[55:48], phy_m_axis_tdata_endian[63:56] + }; + end else begin + assign phy_s_axis_tdata_endian = phy_s_axis_tdata; + assign phy_m_axis_tdata = phy_m_axis_tdata_endian; + end endgenerate + + // Large FIFO must be able to run input side at 64b@156MHz to sustain 10Gb Rx. + axi64_4k_2clk_fifo ingress_fifo_i ( + .s_aresetn(~phy_rst), .s_aclk(phy_clk), + .s_axis_tdata(phy_s_axis_tdata_endian), .s_axis_tlast(phy_s_axis_tvalid), .s_axis_tuser(4'h0), + .s_axis_tvalid(phy_s_axis_tvalid), .s_axis_tready(phy_s_axis_tready), .axis_wr_data_count(), + .m_aclk(sys_clk), + .m_axis_tdata(i_raw_tdata), .m_axis_tlast(), .m_axis_tuser(), + .m_axis_tvalid(i_raw_tvalid), .m_axis_tready(i_raw_tready), .axis_rd_data_count() + ); + + // AXI-Flop to ease timing + axi_fifo_flop #(.WIDTH(64)) input_pipe_i0 ( + .clk(sys_clk), .reset(sys_rst), .clear(clear_sysclk), + .i_tdata(i_raw_tdata), .i_tvalid(i_raw_tvalid), .i_tready(i_raw_tready), + .o_tdata(i_pip_tdata), .o_tvalid(i_pip_tvalid), + .o_tready(bist_checker_en_reg ? bist_i_tready : (bist_loopback_en_reg ? loopback_tready : i_pip_tready)), + .space(), .occupied() + ); + + assign bist_i_tdata = i_pip_tdata; + assign bist_i_tvalid = i_pip_tvalid & bist_checker_en_reg; + + assign loopback_tdata = i_pip_tdata; + assign loopback_tvalid = i_pip_tvalid & bist_loopback_en_reg; + + axi_strip_preamble #(.WIDTH(64), .MAX_PKT_SIZE(MAX_PACKET_SIZE)) axi_strip_preamble_i ( + .clk(sys_clk), .reset(sys_rst), .clear(clear_sysclk), + .i_tdata(i_pip_tdata), .i_tvalid(i_pip_tvalid & ~bist_checker_en_reg & ~bist_loopback_en_reg), .i_tready(i_pip_tready), + .o_tdata(i_gt_tdata), .o_tlast(i_gt_tlast), .o_tvalid(i_gt_tvalid), .o_tready(i_gt_tready), + .crc_err(checksum_err), .pkt_dropped(), .crit_error(critical_err) + ); + + axi_fifo_flop #(.WIDTH(65)) input_pipe_i1 ( + .clk(sys_clk), .reset(sys_rst), .clear(clear_sysclk), + .i_tdata({i_gt_tlast, i_gt_tdata}), .i_tvalid(i_gt_tvalid), .i_tready(i_gt_tready), + .o_tdata({m_axis_tlast, m_axis_tdata}), .o_tvalid(m_axis_tvalid), .o_tready(m_axis_tready), + .space(), .occupied() + ); + + always @(posedge sys_clk) + if (sys_rst | clear_sysclk) + checksum_errors <= 32'd0; + else if (checksum_err) + checksum_errors <= checksum_errors + 32'd1; + + // ---------------------------------------------- + // TX Data Path + // ---------------------------------------------- + + wire [63:0] o_pkt_tdata; + wire o_pkt_tlast, o_pkt_tvalid, o_pkt_tready; + + wire [63:0] o_pip_tdata; + wire o_pip_tvalid, o_pip_tready; + + wire [63:0] o_raw_tdata; + wire o_raw_tvalid, o_raw_tready; + + // AXI-Flop to ease timing + axi_fifo_flop #(.WIDTH(65)) output_pipe_i0 ( + .clk(sys_clk), .reset(sys_rst), .clear(clear_sysclk), + .i_tdata({s_axis_tlast, s_axis_tdata}), .i_tvalid(s_axis_tvalid), .i_tready(s_axis_tready), + .o_tdata({o_pkt_tlast, o_pkt_tdata}), .o_tvalid(o_pkt_tvalid), .o_tready(o_pkt_tready), + .space(), .occupied() + ); + + // Insert preamble and EOP + axi_add_preamble #(.WIDTH(64)) axi_add_preamble_i ( + .clk(sys_clk), .reset(sys_rst), .clear(clear_sysclk), + .i_tdata(o_pkt_tdata), .i_tlast(o_pkt_tlast), .i_tvalid(o_pkt_tvalid), .i_tready(o_pkt_tready), + .o_tdata(o_pip_tdata), .o_tvalid(o_pip_tvalid), .o_tready(o_pip_tready & ~bist_gen_en_reg & ~bist_loopback_en_reg) + ); + + // AXI-Flop to ease timing + axi_fifo_flop #(.WIDTH(64)) output_pipe_i1 ( + .clk(sys_clk), .reset(sys_rst), .clear(clear_sysclk), + .i_tdata(bist_gen_en_reg ? bist_o_tdata : (bist_loopback_en_reg ? loopback_tdata : o_pip_tdata)), + .i_tvalid(bist_gen_en_reg ? bist_o_tvalid : (bist_loopback_en_reg ? loopback_tvalid : o_pip_tvalid)), + .i_tready(o_pip_tready), + .o_tdata(o_raw_tdata), .o_tvalid(o_raw_tvalid), .o_tready(o_raw_tready), + .space(), .occupied() + ); + + assign bist_o_tready = o_pip_tready; + assign loopback_tready = o_pip_tready; + + // Egress FIFO + axi64_4k_2clk_fifo egress_fifo_i ( + .s_aresetn(~phy_rst), .s_aclk(sys_clk), + .s_axis_tdata(o_raw_tdata), .s_axis_tlast(o_raw_tvalid), .s_axis_tuser(4'h0), + .s_axis_tvalid(o_raw_tvalid), .s_axis_tready(o_raw_tready), .axis_wr_data_count(), + .m_aclk(phy_clk), + .m_axis_tdata(phy_m_axis_tdata_endian), .m_axis_tlast(), .m_axis_tuser(), + .m_axis_tvalid(phy_m_axis_tvalid), .m_axis_tready(phy_m_axis_tready), .axis_rd_data_count() + ); + + // ------------------------------------------------- + // BIST: Generator and checker for a LFSR polynomial + // ------------------------------------------------- + localparam LFSR_LEN = 32; + localparam LFSR_SEED = {LFSR_LEN{1'b1}}; + + function [LFSR_LEN-1:0] compute_lfsr_next; + input [LFSR_LEN-1:0] current; + // Maximal length polynomial: x^32 + x^22 + x^2 + x^1 + 1 + compute_lfsr_next = {current[30:0], current[31]^current[21]^current[1]^current[0]}; + endfunction + + function [63:0] lfsr_to_axis; + input [LFSR_LEN-1:0] lfsr; + lfsr_to_axis = {~lfsr, lfsr}; + endfunction + + function [LFSR_LEN-1:0] axis_to_lfsr; + input [63:0] axis; + axis_to_lfsr = axis[LFSR_LEN-1:0]; + endfunction + + generate if (BIST_ENABLED == 1) begin + // Throttle outgoing LFSR to based on the specified rate + // BIST Throughput = sys_clk BW * (bist_gen_rate+1)/64 + reg [5:0] throttle_cnt; + always @(posedge sys_clk) begin + if (sys_rst | clear_sysclk) + throttle_cnt <= 6'd0; + else if (bist_gen_en_reg) + throttle_cnt <= throttle_cnt + 6'd1; + end + // NOTE: This techinically violates AXIS spec (valid revocation) + assign bist_o_tvalid = bist_gen_en_reg && (throttle_cnt <= bist_gen_rate_reg); + + // Unsynchronized LFSR generator (for BIST output) + reg [LFSR_LEN-1:0] lfsr_gen = LFSR_SEED, lfsr_check = LFSR_SEED; + always @(posedge sys_clk) begin + if (sys_rst | clear_sysclk | ~bist_gen_en_reg) + lfsr_gen <= LFSR_SEED; + else if (bist_o_tready & bist_o_tvalid) + lfsr_gen <= compute_lfsr_next(lfsr_gen); + end + assign bist_o_tdata = lfsr_to_axis(lfsr_gen); + + // Synchronized LFSR checker (for BIST input) + wire [LFSR_LEN-1:0] lfsr_next = compute_lfsr_next(lfsr_check);; + always @(posedge sys_clk) begin + if (sys_rst | clear_sysclk | ~bist_checker_en_reg) begin + bist_checker_locked <= 1'b0; + lfsr_check <= LFSR_SEED; + end else if (bist_i_tvalid && bist_i_tready) begin + lfsr_check <= axis_to_lfsr(bist_i_tdata); + if (bist_i_tdata == lfsr_to_axis(LFSR_SEED)) + bist_checker_locked <= 1'b1; + end + end + + // LFSR checker + always @(posedge sys_clk) begin + if (bist_checker_locked) begin + if (bist_i_tvalid & bist_i_tready) begin + bist_checker_samps <= bist_checker_samps + 48'd1; + if (bist_i_tdata != lfsr_to_axis(lfsr_next)) begin + bist_checker_errors <= bist_checker_errors + 48'd1; + end + end + end else begin + bist_checker_samps <= 48'd0; + bist_checker_errors <= 48'd0; + end + end + assign bist_i_tready = 1'b1; + end endgenerate + +endmodule + diff --git a/fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/aurora_phy_clk_gen.v b/fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/aurora_phy_clk_gen.v new file mode 100644 index 000000000..9e98990c1 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/aurora_phy_clk_gen.v @@ -0,0 +1,37 @@ +// +// Copyright 2016 Ettus Research LLC +// + +module aurora_phy_clk_gen +( + input refclk_ibuf, + output clk156, + output init_clk +); + + wire init_clk_buf; + + BUFG clk156_bufg_inst ( + .I (refclk_ibuf), + .O (clk156) + ); + + // Divding independent clock by 2 as source for DRP clock + BUFR # ( + .BUFR_DIVIDE ("2") + ) dclk_divide_by_2_buf ( + .I (clk156), + .O (init_clk_buf), + .CE (1'b1), + .CLR (1'b0) + ); + + BUFG dclk_bufg_i ( + .I (init_clk_buf), + .O (init_clk) + ); + +endmodule + + + diff --git a/fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/aurora_phy_mmcm.v b/fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/aurora_phy_mmcm.v new file mode 100644 index 000000000..c2107f8b1 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/aurora_phy_mmcm.v @@ -0,0 +1,108 @@ +// +// Copyright 2017 Ettus Research LLC +// + +module aurora_phy_mmcm +( + input aurora_tx_clk_unbuf, + input mmcm_reset, + output user_clk, + output sync_clk, + output mmcm_locked +); + + wire mmcm_fb_clk; + wire user_clk_i; + wire sync_clk_i; + wire aurora_tx_clk; + + BUFG txout_clock_net_i ( + .I(aurora_tx_clk_unbuf), + .O(aurora_tx_clk) + ); + + localparam MULT = 10; + localparam DIVIDE = 5; + localparam CLK_PERIOD = 3.103; + localparam OUT0_DIVIDE = 4; + localparam OUT1_DIVIDE = 2; + localparam OUT2_DIVIDE = 6; + localparam OUT3_DIVIDE = 8; + + MMCME2_ADV #( + .BANDWIDTH ("OPTIMIZED"), + .CLKOUT4_CASCADE ("FALSE"), + .COMPENSATION ("ZHOLD"), + .STARTUP_WAIT ("FALSE"), + .DIVCLK_DIVIDE (DIVIDE), + .CLKFBOUT_MULT_F (MULT), + .CLKFBOUT_PHASE (0.000), + .CLKFBOUT_USE_FINE_PS ("FALSE"), + .CLKOUT0_DIVIDE_F (OUT0_DIVIDE), + .CLKOUT0_PHASE (0.000), + .CLKOUT0_DUTY_CYCLE (0.500), + .CLKOUT0_USE_FINE_PS ("FALSE"), + .CLKIN1_PERIOD (CLK_PERIOD), + .CLKOUT1_DIVIDE (OUT1_DIVIDE), + .CLKOUT1_PHASE (0.000), + .CLKOUT1_DUTY_CYCLE (0.500), + .CLKOUT1_USE_FINE_PS ("FALSE"), + .CLKOUT2_DIVIDE (OUT2_DIVIDE), + .CLKOUT2_PHASE (0.000), + .CLKOUT2_DUTY_CYCLE (0.500), + .CLKOUT2_USE_FINE_PS ("FALSE"), + .CLKOUT3_DIVIDE (OUT3_DIVIDE), + .CLKOUT3_PHASE (0.000), + .CLKOUT3_DUTY_CYCLE (0.500), + .CLKOUT3_USE_FINE_PS ("FALSE"), + .REF_JITTER1 (0.010) + ) mmcm_adv_inst ( + .CLKFBOUT (mmcm_fb_clk), + .CLKFBOUTB (), + .CLKOUT0 (user_clk_i), + .CLKOUT0B (), + .CLKOUT1 (sync_clk_i), + .CLKOUT1B (), + .CLKOUT2 (), + .CLKOUT2B (), + .CLKOUT3 (), + .CLKOUT3B (), + .CLKOUT4 (), + .CLKOUT5 (), + .CLKOUT6 (), + // Input clock control + .CLKFBIN (mmcm_fb_clk), + .CLKIN1 (aurora_tx_clk), + .CLKIN2 (1'b0), + // Tied to always select the primary input clock + .CLKINSEL (1'b1), + // Ports for dynamic reconfiguration + .DADDR (7'h0), + .DCLK (1'b0), + .DEN (1'b0), + .DI (16'h0), + .DO (), + .DRDY (), + .DWE (1'b0), + // Ports for dynamic phase shift + .PSCLK (1'b0), + .PSEN (1'b0), + .PSINCDEC (1'b0), + .PSDONE (), + // Other control and status signals + .LOCKED (mmcm_locked), + .CLKINSTOPPED (), + .CLKFBSTOPPED (), + .PWRDWN (1'b0), + .RST (mmcm_reset) + ); + + BUFG user_clk_net_i ( + .I(user_clk_i), + .O(user_clk) + ); + BUFG sync_clock_net_i ( + .I(sync_clk_i), + .O(sync_clk) + ); +endmodule diff --git a/fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/aurora_phy_x1.v b/fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/aurora_phy_x1.v new file mode 100644 index 000000000..6b768bfcf --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/aurora_phy_x1.v @@ -0,0 +1,258 @@ +// +// Copyright 2016 Ettus Research LLC +// + +module aurora_phy_x1 #( + parameter SIMULATION = 0 +)( + // Clocks and Resets + input areset, + input refclk, + input user_clk, + input sync_clk, + input init_clk, + input qpllclk, + input qpllrefclk, + output user_rst, + // GTX Serial I/O + input rx_p, + input rx_n, + output tx_p, + output tx_n, + // AXI4-Stream TX Interface + input [63:0] s_axis_tdata, + input s_axis_tvalid, + output s_axis_tready, + // AXI4-Stream RX Interface + output [63:0] m_axis_tdata, + output m_axis_tvalid, + // AXI4-Lite Config Interface + input [31:0] s_axi_awaddr, + input [31:0] s_axi_araddr, + input [31:0] s_axi_wdata, + input [3:0] s_axi_wstrb, + input s_axi_awvalid, + input s_axi_rready, + output [31:0] s_axi_rdata, + output s_axi_awready, + output s_axi_wready, + output s_axi_bvalid, + output [1:0] s_axi_bresp, + output [1:0] s_axi_rresp, + input s_axi_bready, + output s_axi_arready, + output s_axi_rvalid, + input s_axi_arvalid, + input s_axi_wvalid, + // Status and Error Reporting Interface + output reg channel_up, + output reg hard_err, + output reg soft_err, + input qplllock, + input qpllrefclklost, + output qpllreset, + output tx_out_clk, + input mmcm_locked, + output gt_pll_lock +); + + //-------------------------------------------------------------- + // Status and Error Signals + //-------------------------------------------------------------- + wire hard_err_i, soft_err_i, channel_up_i, lane_up_i; + always @(posedge user_clk) begin + hard_err <= hard_err_i; + soft_err <= soft_err_i; + channel_up <= channel_up_i && lane_up_i; + end + + //-------------------------------------------------------------- + // Reset and PMA Init Sequence + //-------------------------------------------------------------- + // Requirements from PG074: + // - It is expected that user_clock is stable when the reset_pb signal is applied. + // - During the board power-on sequence, both the pma_init and reset_pb signals are + // expected to be High. INIT_CLK and GT_REFCLK are expected to be stable during + // power-on for the proper functioning of the Aurora 64B/66B core. When both clocks are + // stable, pma_init is deasserted followed by the deassertion of reset_pb. + // - Normal Operation Reset Sequence: + // 1. Assert reset. Wait for a minimum time equal to 128*user_clk's time-period. + // 2. Assert pma_init. Keep pma_init and reset asserted for at least one second to prevent + // the transmission of CC characters and ensure that the remote agent detects a hot plug event. + // 3. Deassert pma_init. + // 4. Deassert reset_pb. + + localparam PWRON_PMA_INIT_CYC = 32'd1024; + localparam SYSRST_ASSERT_CYC = 32'd128; + localparam PMA_INIT_ASSERT_CYC_LOG2 = (SIMULATION == 1) ? 4 : 26; + localparam SYSRST_DEASSERT_CYC = 32'd20; + + wire reset_iclk, pma_init, reset_pb; + wire gt_pll_lock_iclk, mmcm_locked_iclk; + + synchronizer #( .STAGES(3), .INITIAL_VAL(1'b1) ) input_rst_sync_i ( + .clk(init_clk), .rst(1'b0), .in(areset), .out(reset_iclk) + ); + + synchronizer #( .STAGES(3), .INITIAL_VAL(1'b0) ) gt_pll_lock_sync_i ( + .clk(init_clk), .rst(1'b0), .in(gt_pll_lock), .out(gt_pll_lock_iclk) + ); + + synchronizer #( .STAGES(3), .INITIAL_VAL(1'b0) ) mmcm_locked_sync_i ( + .clk(init_clk), .rst(1'b0), .in(mmcm_locked), .out(mmcm_locked_iclk) + ); + + localparam [2:0] RST_ST_PWRON_PMA_INIT = 3'd0; + localparam [2:0] RST_ST_PWRON_PMA_SYSRST = 3'd1; + localparam [2:0] RST_ST_IDLE = 3'd2; + localparam [2:0] RST_ST_SYSRST_PRE = 3'd3; + localparam [2:0] RST_ST_PMA_INIT = 3'd4; + localparam [2:0] RST_ST_SYSRST_POST = 3'd5; + + reg [2:0] rst_state = RST_ST_PWRON_PMA_INIT; + reg [31:0] rst_counter = PWRON_PMA_INIT_CYC; + + always @(posedge init_clk) begin + case (rst_state) + RST_ST_PWRON_PMA_INIT: begin + if (rst_counter == 32'd0) begin + rst_state <= RST_ST_PWRON_PMA_SYSRST; + rst_counter <= SYSRST_DEASSERT_CYC; + end else begin + rst_counter <= rst_counter - 32'd1; + end + end + RST_ST_PWRON_PMA_SYSRST: begin + if (rst_counter == 32'd0) begin + rst_state <= RST_ST_IDLE; + end else begin + rst_counter <= rst_counter - 32'd1; + end + end + RST_ST_IDLE: begin + if (reset_iclk) begin + rst_state <= RST_ST_SYSRST_PRE; + rst_counter <= SYSRST_ASSERT_CYC; + end + end + RST_ST_SYSRST_PRE: begin + if (rst_counter == 32'd0) begin + rst_state <= RST_ST_PMA_INIT; + rst_counter <= {{(32-PMA_INIT_ASSERT_CYC_LOG2){1'b0}}, {PMA_INIT_ASSERT_CYC_LOG2{1'b1}}}; + end else if (mmcm_locked_iclk) begin + rst_counter <= rst_counter - 32'd1; + end + end + RST_ST_PMA_INIT: begin + if (rst_counter == 32'd0) begin + rst_state <= RST_ST_SYSRST_POST; + rst_counter <= SYSRST_DEASSERT_CYC; + end else begin + rst_counter <= rst_counter - 32'd1; + end + end + RST_ST_SYSRST_POST: begin + if (rst_counter == 32'd0) begin + rst_state <= RST_ST_IDLE; + end else begin + rst_counter <= rst_counter - 32'd1; + end + end + endcase + end + + assign reset_pb = (rst_state != RST_ST_IDLE); + assign pma_init = (rst_state == RST_ST_PMA_INIT || rst_state == RST_ST_PWRON_PMA_INIT); + + //-------------------------------------------------------------- + // GT Common + //-------------------------------------------------------------- + wire gt_qpllclk_quad1_i; + wire gt_qpllrefclk_quad1_i; + wire gt_qpllclk_quad2_i; + wire gt_qpllrefclk_quad2_i; + wire gt_to_common_qpllreset_i; + wire gt_qpllrefclklost_i; + wire gt_qplllock_i; + + assign gt_qpllclk_quad1_i = qpllclk; + assign gt_qpllrefclk_quad1_i = qpllrefclk; + assign gt_qpllclk_quad2_i = qpllclk; + assign gt_qpllrefclk_quad2_i = qpllrefclk; + assign gt_qplllock_i = qplllock; + assign gt_qpllrefclklost_i = qpllrefclklost; + assign qpllreset = gt_to_common_qpllreset_i; + + //-------------------------------------------------------------- + // IP Instantiation + //-------------------------------------------------------------- + + wire gt_rxcdrovrden_i = 1'b0; + wire [2:0] loopback_i = 3'b000; + wire power_down_i = 1'b0; +aurora_64b66b_pcs_pma aurora_64b66b_pcs_pma_i ( + .refclk1_in (refclk), + // TX AXI4-S Interface + .s_axi_tx_tdata (s_axis_tdata), + .s_axi_tx_tvalid (s_axis_tvalid), + .s_axi_tx_tready (s_axis_tready), + // RX AXI4-S Interface + .m_axi_rx_tdata (m_axis_tdata), + .m_axi_rx_tvalid (m_axis_tvalid), + // GTX Serial I/O + .rxp (rx_p), + .rxn (rx_n), + .txp (tx_p), + .txn (tx_n), + // Status and Error + .hard_err (hard_err_i), + .soft_err (soft_err_i), + .channel_up (channel_up_i), + .lane_up (lane_up_i), + // System Interface + .mmcm_not_locked (!mmcm_locked), + .user_clk (user_clk), + .sync_clk (sync_clk), + .reset_pb (reset_pb), + .gt_rxcdrovrden_in (gt_rxcdrovrden_i), + .power_down (power_down_i), + .loopback (loopback_i), + .pma_init (pma_init), + .gt_pll_lock (gt_pll_lock), + .drp_clk_in (init_clk), + .gt_qpllclk_quad1_in (gt_qpllclk_quad1_i), + .gt_qpllrefclk_quad1_in (gt_qpllrefclk_quad1_i), + .gt_to_common_qpllreset_out(gt_to_common_qpllreset_i), + .gt_qplllock_in (gt_qplllock_i), + .gt_qpllrefclklost_in (gt_qpllrefclklost_i), + // AXI4-Lite config + .s_axi_awaddr (s_axi_awaddr), + .s_axi_awvalid (s_axi_awvalid), + .s_axi_awready (s_axi_awready), + .s_axi_wdata (s_axi_wdata), + .s_axi_wstrb (s_axi_wstrb), + .s_axi_wvalid (s_axi_wvalid), + .s_axi_wready (s_axi_wready), + .s_axi_bvalid (s_axi_bvalid), + .s_axi_bresp (s_axi_bresp), + .s_axi_bready (s_axi_bready), + .s_axi_araddr (s_axi_araddr), + .s_axi_arvalid (s_axi_arvalid), + .s_axi_arready (s_axi_arready), + .s_axi_rdata (s_axi_rdata), + .s_axi_rvalid (s_axi_rvalid), + .s_axi_rresp (s_axi_rresp), + .s_axi_rready (s_axi_rready), + // GTXE2 COMMON DRP Ports + .qpll_drpaddr_in (qpll_drpaddr_in_i), + .qpll_drpdi_in (qpll_drpdi_in_i), + .qpll_drpdo_out (), + .qpll_drprdy_out (), + .qpll_drpen_in (qpll_drpen_in_i), + .qpll_drpwe_in (qpll_drpwe_in_i), + .init_clk (init_clk), + .link_reset_out (), + .sys_reset_out (user_rst), + .tx_out_clk (tx_out_clk) + ); +endmodule diff --git a/fpga/usrp3/top/n3xx/ip/axi3_to_axi4lite_protocol_converter/Makefile.inc b/fpga/usrp3/top/n3xx/ip/axi3_to_axi4lite_protocol_converter/Makefile.inc new file mode 100644 index 000000000..34dacff4f --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/axi3_to_axi4lite_protocol_converter/Makefile.inc @@ -0,0 +1,15 @@ +# +# Copyright 2015 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_AXI3_TO_AXI4LITE_PROTOCOL_CONVERTER_SRCS = $(IP_BUILD_DIR)/axi3_to_axi4lite_protocol_converter/axi3_to_axi4lite_protocol_converter.xci + +IP_AXI3_TO_AXI4LITE_PROTOCOL_CONVERTER_OUTS = $(addprefix $(IP_BUILD_DIR)/axi3_to_axi4lite_protocol_converter/, \ +axi3_to_axi4lite_protocol_converter.xci.out \ +synth/axi3_to_axi4lite_protocol_converter.v \ +) + +$(IP_AXI3_TO_AXI4LITE_PROTOCOL_CONVERTER_SRCS) $(IP_AXI3_TO_AXI4LITE_PROTOCOL_CONVERTER_OUTS) : $(IP_DIR)/axi3_to_axi4lite_protocol_converter/axi3_to_axi4lite_protocol_converter.xci + $(call BUILD_VIVADO_IP,axi3_to_axi4lite_protocol_converter,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) diff --git a/fpga/usrp3/top/n3xx/ip/axi3_to_axi4lite_protocol_converter/axi3_to_axi4lite_protocol_converter.xci b/fpga/usrp3/top/n3xx/ip/axi3_to_axi4lite_protocol_converter/axi3_to_axi4lite_protocol_converter.xci new file mode 100644 index 000000000..344851bf8 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/axi3_to_axi4lite_protocol_converter/axi3_to_axi4lite_protocol_converter.xci @@ -0,0 +1,152 @@ + + + xilinx.com + xci + unknown + 1.0 + + + axi3_to_axi4lite_protocol_converter + + + + + + 10000000 + 0 + 0.000 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 0 + ACTIVE_LOW + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 12 + 0 + 16 + 2 + 1 + 2 + 1 + 0.000 + AXI3 + READ_WRITE + 0 + 0 + 1 + 0 + 0 + 32 + 1 + 1 + 1 + 32 + 12 + 1 + 1 + 0 + 1 + 1 + zynq + 0 + 2 + 1 + 2 + 32 + 0 + 0 + 0 + axi3_to_axi4lite_protocol_converter + 32 + 12 + AXI4LITE + READ_WRITE + 0 + AXI3 + 2 + 0 + zynq + + + xc7z100 + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 19 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/n3xx/ip/axi4_to_axi3_protocol_converter_32/Makefile.inc b/fpga/usrp3/top/n3xx/ip/axi4_to_axi3_protocol_converter_32/Makefile.inc new file mode 100644 index 000000000..b7f92cf6f --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/axi4_to_axi3_protocol_converter_32/Makefile.inc @@ -0,0 +1,15 @@ +# +# Copyright 2015 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_AXI4_TO_AXI3_PROTOCOL_CONVERTER_32_SRCS = $(IP_BUILD_DIR)/axi4_to_axi3_protocol_converter_32/axi4_to_axi3_protocol_converter_32.xci + +IP_AXI4_TO_AXI3_PROTOCOL_CONVERTER_32_OUTS = $(addprefix $(IP_BUILD_DIR)/axi4_to_axi3_protocol_converter_32/, \ +axi4_to_axi3_protocol_converter_32.xci.out \ +synth/axi4_to_axi3_protocol_converter_32.v \ +) + +$(IP_AXI4_TO_AXI3_PROTOCOL_CONVERTER_32_SRCS) $(IP_AXI4_TO_AXI3_PROTOCOL_CONVERTER_32_OUTS) : $(IP_DIR)/axi4_to_axi3_protocol_converter_32/axi4_to_axi3_protocol_converter_32.xci + $(call BUILD_VIVADO_IP,axi4_to_axi3_protocol_converter_32,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) diff --git a/fpga/usrp3/top/n3xx/ip/axi4_to_axi3_protocol_converter_32/axi4_to_axi3_protocol_converter_32.xci b/fpga/usrp3/top/n3xx/ip/axi4_to_axi3_protocol_converter_32/axi4_to_axi3_protocol_converter_32.xci new file mode 100644 index 000000000..2fa5f4cac --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/axi4_to_axi3_protocol_converter_32/axi4_to_axi3_protocol_converter_32.xci @@ -0,0 +1,149 @@ + + + xilinx.com + xci + unknown + 1.0 + + + axi4_to_axi3_protocol_converter_32 + + + + + + 10000000 + 0 + 0.000 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 6 + 0 + 16 + 2 + 1 + 2 + 1 + 0.000 + AXI3 + READ_WRITE + 0 + 0 + 1 + 0 + 0 + 0 + ACTIVE_LOW + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 6 + 0 + 256 + 2 + 1 + 2 + 1 + 0.000 + AXI4 + READ_WRITE + 0 + 0 + 1 + 0 + 0 + 32 + 1 + 1 + 1 + 32 + 6 + 1 + 1 + 0 + 1 + 1 + zynq + 0 + 1 + 0 + 0 + 32 + 0 + 0 + 0 + axi4_to_axi3_protocol_converter_32 + 32 + 6 + AXI3 + READ_WRITE + 0 + AXI4 + 0 + 0 + zynq + + + xc7z100 + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 19 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/n3xx/ip/axi4_to_axi3_protocol_converter_64/Makefile.inc b/fpga/usrp3/top/n3xx/ip/axi4_to_axi3_protocol_converter_64/Makefile.inc new file mode 100644 index 000000000..0c68b7a3a --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/axi4_to_axi3_protocol_converter_64/Makefile.inc @@ -0,0 +1,15 @@ +# +# Copyright 2015 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_AXI4_TO_AXI3_PROTOCOL_CONVERTER_64_SRCS = $(IP_BUILD_DIR)/axi4_to_axi3_protocol_converter_64/axi4_to_axi3_protocol_converter_64.xci + +IP_AXI4_TO_AXI3_PROTOCOL_CONVERTER_64_OUTS = $(addprefix $(IP_BUILD_DIR)/axi4_to_axi3_protocol_converter_64/, \ +axi4_to_axi3_protocol_converter_64.xci.out \ +synth/axi4_to_axi3_protocol_converter_64.v \ +) + +$(IP_AXI4_TO_AXI3_PROTOCOL_CONVERTER_64_SRCS) $(IP_AXI4_TO_AXI3_PROTOCOL_CONVERTER_64_OUTS) : $(IP_DIR)/axi4_to_axi3_protocol_converter_64/axi4_to_axi3_protocol_converter_64.xci + $(call BUILD_VIVADO_IP,axi4_to_axi3_protocol_converter_64,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) diff --git a/fpga/usrp3/top/n3xx/ip/axi4_to_axi3_protocol_converter_64/axi4_to_axi3_protocol_converter_64.xci b/fpga/usrp3/top/n3xx/ip/axi4_to_axi3_protocol_converter_64/axi4_to_axi3_protocol_converter_64.xci new file mode 100644 index 000000000..fc8b9e67e --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/axi4_to_axi3_protocol_converter_64/axi4_to_axi3_protocol_converter_64.xci @@ -0,0 +1,151 @@ + + + xilinx.com + xci + unknown + 1.0 + + + axi4_to_axi3_protocol_converter_64 + + + + + + 10000000 + 0 + 0.000 + 32 + 0 + 0 + 0 + + 64 + 100000000 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 6 + 0 + 16 + 2 + 1 + 2 + 1 + 0.000 + AXI3 + READ_WRITE + 0 + 0 + 1 + 0 + 0 + 0 + ACTIVE_LOW + 32 + 0 + 0 + 0 + + 64 + 100000000 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 6 + 0 + 256 + 2 + 1 + 2 + 1 + 0.000 + AXI4 + READ_WRITE + 0 + 0 + 1 + 0 + 0 + 32 + 1 + 1 + 1 + 64 + 6 + 1 + 1 + 0 + 1 + 1 + zynq + 0 + 1 + 0 + 0 + 32 + 0 + 0 + 0 + axi4_to_axi3_protocol_converter_64 + 64 + 6 + AXI3 + READ_WRITE + 0 + AXI4 + 0 + 0 + zynq + + + xc7z100 + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 19 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/n3xx/ip/axi64_4k_2clk_fifo/Makefile.inc b/fpga/usrp3/top/n3xx/ip/axi64_4k_2clk_fifo/Makefile.inc new file mode 100644 index 000000000..75bdf99c3 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/axi64_4k_2clk_fifo/Makefile.inc @@ -0,0 +1,15 @@ +# +# Copyright 2014 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_AXI64_4K_2CLK_FIFO_SRCS = $(IP_BUILD_DIR)/axi64_4k_2clk_fifo/axi64_4k_2clk_fifo.xci + +IP_AXI64_4K_2CLK_FIFO_OUTS = $(addprefix $(IP_BUILD_DIR)/axi64_4k_2clk_fifo/, \ +axi64_4k_2clk_fifo.xci.out \ +synth/axi64_4k_2clk_fifo.vhd \ +) + +$(IP_AXI64_4K_2CLK_FIFO_SRCS) $(IP_AXI64_4K_2CLK_FIFO_OUTS) : $(IP_DIR)/axi64_4k_2clk_fifo/axi64_4k_2clk_fifo.xci + $(call BUILD_VIVADO_IP,axi64_4k_2clk_fifo,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) diff --git a/fpga/usrp3/top/n3xx/ip/axi64_4k_2clk_fifo/axi64_4k_2clk_fifo.xci b/fpga/usrp3/top/n3xx/ip/axi64_4k_2clk_fifo/axi64_4k_2clk_fifo.xci new file mode 100644 index 000000000..26d706087 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/axi64_4k_2clk_fifo/axi64_4k_2clk_fifo.xci @@ -0,0 +1,584 @@ + + + xilinx.com + xci + unknown + 1.0 + + + axi64_4k_2clk_fifo + + + + + + 100000000 + 0 + 0.000 + + + 100000000 + 0 + 0.000 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 1 + 1 + 0 + 0 + undef + 0.000 + 8 + 0 + 0 + 4 + + + + 100000000 + 0 + 0.000 + + 100000000 + 0 + 0.000 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 1 + 1 + 0 + 0 + undef + 0.000 + 8 + 0 + 0 + 4 + + + + 100000000 + 0 + 0.000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 64 + 1 + 1 + 8 + 8 + 4 + 0 + 32 + 1 + 1 + 1 + 64 + 1 + 8 + 1 + 1 + 1 + 1 + 0 + 0 + 10 + BlankString + 18 + 69 + 32 + 64 + 32 + 64 + 2 + 0 + 18 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + zynq + 1 + 0 + 0 + 1 + 0 + 0 + 0 + 1 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 11 + 12 + 11 + 12 + 11 + 12 + 0 + 1 + 1 + BlankString + 1 + 0 + 0 + 0 + 1 + 0 + 4kx4 + 512x72 + 512x36 + 1kx36 + 512x36 + 1kx36 + 512x36 + 2 + 509 + 13 + 1021 + 13 + 1021 + 13 + 3 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1022 + 511 + 15 + 1023 + 15 + 1023 + 15 + 1021 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 10 + 1024 + 1 + 10 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 2 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 10 + 1024 + 512 + 16 + 1024 + 16 + 1024 + 16 + 1 + 10 + 9 + 4 + 10 + 4 + 10 + 4 + 1 + 32 + 0 + 0 + false + false + false + 0 + 0 + Slave_Interface_Clock_Enable + Independent_Clock + axi64_4k_2clk_fifo + 64 + false + 10 + false + false + 0 + 2 + 509 + 13 + 1021 + 13 + 1021 + 13 + 3 + false + false + true + false + false + false + false + false + false + Hard_ECC + false + false + false + false + false + false + true + false + true + true + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Independent_Clocks_Block_RAM + Independent_Clocks_Distributed_RAM + Independent_Clocks_Block_RAM + Independent_Clocks_Distributed_RAM + Independent_Clocks_Block_RAM + Independent_Clocks_Distributed_RAM + Common_Clock_Block_RAM + 1 + 1022 + 511 + 15 + 1023 + 15 + 1023 + 15 + 1021 + false + false + false + 0 + AXI_STREAM + false + false + false + false + false + false + false + false + false + false + false + false + false + false + 18 + 1024 + 512 + 16 + 1024 + 16 + 1024 + 16 + false + 18 + 1024 + Embedded_Reg + false + false + Active_High + Active_High + AXI4 + Standard_FIFO + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + READ_WRITE + 0 + 1 + false + 10 + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + true + Asynchronous_Reset + false + 8 + 0 + 0 + 8 + 8 + 4 + false + false + Active_High + Active_High + true + false + false + false + false + Active_High + 0 + false + Active_High + 1 + false + 10 + false + FIFO + false + false + false + false + FIFO + FIFO + 2 + 2 + false + FIFO + FIFO + FIFO + zynq + + + xc7z100 + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 4 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/n3xx/ip/axi64_8k_2clk_fifo/Makefile.inc b/fpga/usrp3/top/n3xx/ip/axi64_8k_2clk_fifo/Makefile.inc new file mode 100644 index 000000000..647ca003b --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/axi64_8k_2clk_fifo/Makefile.inc @@ -0,0 +1,15 @@ +# +# Copyright 2014 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_AXI64_8K_2CLK_FIFO_SRCS = $(IP_BUILD_DIR)/axi64_8k_2clk_fifo/axi64_8k_2clk_fifo.xci + +IP_AXI64_8K_2CLK_FIFO_OUTS = $(addprefix $(IP_BUILD_DIR)/axi64_8k_2clk_fifo/, \ +axi64_8k_2clk_fifo.xci.out \ +synth/axi64_8k_2clk_fifo.vhd \ +) + +$(IP_AXI64_8K_2CLK_FIFO_SRCS) $(IP_AXI64_8K_2CLK_FIFO_OUTS) : $(IP_DIR)/axi64_8k_2clk_fifo/axi64_8k_2clk_fifo.xci + $(call BUILD_VIVADO_IP,axi64_8k_2clk_fifo,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) diff --git a/fpga/usrp3/top/n3xx/ip/axi64_8k_2clk_fifo/axi64_8k_2clk_fifo.xci b/fpga/usrp3/top/n3xx/ip/axi64_8k_2clk_fifo/axi64_8k_2clk_fifo.xci new file mode 100644 index 000000000..2f6ec0d88 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/axi64_8k_2clk_fifo/axi64_8k_2clk_fifo.xci @@ -0,0 +1,582 @@ + + + xilinx.com + xci + unknown + 1.0 + + + axi64_8k_2clk_fifo + + + + + + 100000000 + 0 + 0.000 + + + 100000000 + 0 + 0.000 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 1 + 1 + 0 + 0 + undef + 0.000 + 8 + 0 + 0 + 4 + + + + 100000000 + 0 + 0.000 + + 100000000 + 0 + 0.000 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 1 + 1 + 0 + 0 + undef + 0.000 + 8 + 0 + 0 + 4 + + + + 100000000 + 0 + 0.000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 64 + 1 + 1 + 8 + 8 + 4 + 0 + 32 + 1 + 1 + 1 + 64 + 1 + 8 + 1 + 1 + 1 + 1 + 0 + 0 + 10 + BlankString + 18 + 69 + 32 + 64 + 32 + 64 + 2 + 0 + 18 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + zynq + 1 + 0 + 0 + 1 + 0 + 0 + 0 + 1 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 11 + 12 + 11 + 12 + 11 + 12 + 0 + 1 + 1 + BlankString + 1 + 0 + 0 + 0 + 1 + 0 + 4kx4 + 1kx36 + 512x36 + 1kx36 + 512x36 + 1kx36 + 512x36 + 2 + 1021 + 13 + 1021 + 13 + 1021 + 13 + 3 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1022 + 1023 + 15 + 1023 + 15 + 1023 + 15 + 1021 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 10 + 1024 + 1 + 10 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 2 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 10 + 1024 + 1024 + 16 + 1024 + 16 + 1024 + 16 + 1 + 10 + 10 + 4 + 10 + 4 + 10 + 4 + 1 + 32 + 0 + 0 + false + false + false + 0 + 0 + Slave_Interface_Clock_Enable + Independent_Clock + axi64_8k_2clk_fifo + 64 + false + 10 + false + false + 0 + 2 + 1021 + 13 + 1021 + 13 + 1021 + 13 + 3 + false + false + true + false + false + false + false + false + false + Hard_ECC + false + false + false + false + false + false + true + false + true + true + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Independent_Clocks_Block_RAM + Independent_Clocks_Distributed_RAM + Independent_Clocks_Block_RAM + Independent_Clocks_Distributed_RAM + Independent_Clocks_Block_RAM + Independent_Clocks_Distributed_RAM + Common_Clock_Block_RAM + 1 + 1022 + 1023 + 15 + 1023 + 15 + 1023 + 15 + 1021 + false + false + false + 0 + AXI_STREAM + false + false + false + false + false + false + false + false + false + false + false + false + false + false + 18 + 1024 + 1024 + 16 + 1024 + 16 + 1024 + 16 + false + 18 + 1024 + Embedded_Reg + false + false + Active_High + Active_High + AXI4 + Standard_FIFO + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + READ_WRITE + 0 + 1 + false + 10 + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + true + Asynchronous_Reset + false + 8 + 0 + 0 + 8 + 8 + 4 + false + false + Active_High + Active_High + true + false + false + false + false + Active_High + 0 + false + Active_High + 1 + false + 10 + false + FIFO + false + false + false + false + FIFO + FIFO + 2 + 2 + false + FIFO + FIFO + FIFO + zynq + + + xc7z100 + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 4 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/n3xx/ip/axi_eth_dma/Makefile.inc b/fpga/usrp3/top/n3xx/ip/axi_eth_dma/Makefile.inc new file mode 100644 index 000000000..658891469 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/axi_eth_dma/Makefile.inc @@ -0,0 +1,14 @@ +# +# Copyright 2017 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_AXI_ETH_DMA_SRCS = $(IP_BUILD_DIR)/axi_eth_dma/axi_eth_dma.xci + +IP_AXI_ETH_DMA_OUTS = $(addprefix $(IP_BUILD_DIR)/axi_eth_dma/, \ +axi_eth_dma.xci.out \ +) + +$(IP_AXI_ETH_DMA_SRCS) $(IP_AXI_ETH_DMA_OUTS) : $(IP_DIR)/axi_eth_dma/axi_eth_dma.xci + $(call BUILD_VIVADO_IP,axi_eth_dma,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) diff --git a/fpga/usrp3/top/n3xx/ip/axi_eth_dma/axi_eth_dma.xci b/fpga/usrp3/top/n3xx/ip/axi_eth_dma/axi_eth_dma.xci new file mode 100644 index 000000000..ec1df69ec --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/axi_eth_dma/axi_eth_dma.xci @@ -0,0 +1,412 @@ + + + xilinx.com + xci + unknown + 1.0 + + + axi_eth_dma + + + 0 + 0 + 1 + 0 + 32 + 0 + 0 + 0 + + 64 + 100000000 + 1 + 1 + 1 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 256 + 1 + 2 + 1 + 0.000 + AXI4 + READ_WRITE + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + 100000000 + 1 + 1 + 1 + 0 + 0 + undef + 0.000 + 8 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 64 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 256 + 1 + 2 + 1 + 0.000 + AXI4 + READ_ONLY + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0.000 + 32 + 0 + 0 + 0 + + 64 + 100000000 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 256 + 2 + 1 + 1 + 0.000 + AXI4 + WRITE_ONLY + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0.000 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 1 + 1 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 0.000 + AXI4 + READ_WRITE + 0 + 0 + 0 + 0 + + + 100000000 + 0 + 0.000 + 1 + 0 + 0 + + 100000000 + 1 + 1 + 1 + 0 + 0 + undef + 0.000 + 8 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + 10 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0.000 + 125 + 0 + zynq + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + 16 + 32 + 64 + 32 + 64 + 32 + 64 + 32 + 1 + 1 + 0 + 16 + 0 + 23 + 0 + 32 + 64 + axi_eth_dma + 32 + 125 + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 64 + 64 + 64 + 0 + 16 + 1 + 1 + 0 + 16 + 64 + 0 + 23 + 0 + 0 + zynq + + + xc7z100 + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 20 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/n3xx/ip/axi_intercon_2x64_256_bd/Makefile.inc b/fpga/usrp3/top/n3xx/ip/axi_intercon_2x64_256_bd/Makefile.inc new file mode 100644 index 000000000..02b27ee68 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/axi_intercon_2x64_256_bd/Makefile.inc @@ -0,0 +1,17 @@ +# +# Copyright 2016 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_AXI_INTERCON_2X64_256_BD_SRCS = $(IP_BUILD_DIR)/axi_intercon_2x64_256_bd/axi_intercon_2x64_256_bd.bd \ +$(IP_BUILD_DIR)/axi_intercon_2x64_256_bd/axi_intercon_2x64_256_bd.bxml \ +$(IP_BUILD_DIR)/axi_intercon_2x64_256_bd/axi_intercon_2x64_256_bd_wrapper.v + +BD_AXI_INTERCON_2X64_256_BD_OUTS = $(addprefix $(IP_BUILD_DIR)/axi_intercon_2x64_256_bd/, \ +axi_intercon_2x64_256_bd.bd.out \ +axi_intercon_2x64_256_bd_ooc.xdc \ +) + +$(IP_AXI_INTERCON_2X64_256_BD_SRCS) $(IP_AXI_INTERCON_2X64_256_BD_OUTS) : $(IP_DIR)/axi_intercon_2x64_256_bd/axi_intercon_2x64_256_bd.bd + $(call BUILD_VIVADO_BD,axi_intercon_2x64_256_bd,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR)) diff --git a/fpga/usrp3/top/n3xx/ip/axi_intercon_2x64_256_bd/axi_intercon_2x64_256_bd.bd b/fpga/usrp3/top/n3xx/ip/axi_intercon_2x64_256_bd/axi_intercon_2x64_256_bd.bd new file mode 100644 index 000000000..121829558 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/axi_intercon_2x64_256_bd/axi_intercon_2x64_256_bd.bd @@ -0,0 +1,715 @@ + + + + + xilinx.com + BlockDiagram + axi_intercon_2x64_256_bd + 1.00.a + + + isTop + true + + + + + M00_AXI + + + + + + DATA_WIDTH + 256 + + + PROTOCOL + AXI4 + + + FREQ_HZ + 200000000 + + + ADDR_WIDTH + 32 + + + NUM_READ_OUTSTANDING + 2 + + + NUM_WRITE_OUTSTANDING + 2 + + + CLK_DOMAIN + axi_intercon_2x64_128_bd_M00_ACLK + + + + + S00_AXI + + + + + + DATA_WIDTH + 64 + + + PROTOCOL + AXI4 + + + FREQ_HZ + 350000000 + + + ID_WIDTH + 1 + + + ADDR_WIDTH + 32 + + + AWUSER_WIDTH + 0 + + + ARUSER_WIDTH + 0 + + + WUSER_WIDTH + 0 + + + RUSER_WIDTH + 0 + + + BUSER_WIDTH + 0 + + + READ_WRITE_MODE + READ_WRITE + + + HAS_BURST + 1 + + + HAS_LOCK + 1 + + + HAS_PROT + 1 + + + HAS_CACHE + 1 + + + HAS_QOS + 1 + + + HAS_REGION + 1 + + + HAS_WSTRB + 1 + + + HAS_BRESP + 1 + + + HAS_RRESP + 1 + + + SUPPORTS_NARROW_BURST + 1 + + + NUM_READ_OUTSTANDING + 2 + + + NUM_WRITE_OUTSTANDING + 2 + + + MAX_BURST_LENGTH + 256 + + + PHASE + 0.000 + + + + + S01_AXI + + + + + + DATA_WIDTH + 64 + + + PROTOCOL + AXI4 + + + FREQ_HZ + 350000000 + + + ID_WIDTH + 1 + + + ADDR_WIDTH + 32 + + + AWUSER_WIDTH + 0 + + + ARUSER_WIDTH + 0 + + + WUSER_WIDTH + 0 + + + RUSER_WIDTH + 0 + + + BUSER_WIDTH + 0 + + + READ_WRITE_MODE + READ_WRITE + + + HAS_BURST + 1 + + + HAS_LOCK + 1 + + + HAS_PROT + 1 + + + HAS_CACHE + 1 + + + HAS_QOS + 1 + + + HAS_REGION + 1 + + + HAS_WSTRB + 1 + + + HAS_BRESP + 1 + + + HAS_RRESP + 1 + + + SUPPORTS_NARROW_BURST + 1 + + + NUM_READ_OUTSTANDING + 2 + + + NUM_WRITE_OUTSTANDING + 2 + + + MAX_BURST_LENGTH + 256 + + + PHASE + 0.000 + + + + + CLK.M00_AXI_ACLK + Clk + Clock + + + + + + + CLK + + + M00_AXI_ACLK + + + + + + CLK_DOMAIN + axi_intercon_2x64_128_bd_M00_ACLK + + + ASSOCIATED_RESET + M00_AXI_ARESETN + + + + + RST.M00_AXI_ARESETN + Reset + Reset + + + + + + + RST + + + M00_AXI_ARESETN + + + + + + + CLK.S00_AXI_ACLK + Clk + Clock + + + + + + + CLK + + + S00_AXI_ACLK + + + + + + FREQ_HZ + 1000000000 + + + CLK_DOMAIN + axi_intercon_2x64_128_bd_S00_ACLK + + + ASSOCIATED_RESET + S00_AXI_ARESETN + + + + + RST.S00_AXI_ARESETN + Reset + Reset + + + + + + + RST + + + S00_AXI_ARESETN + + + + + + + CLK.S01_AXI_ACLK + Clk + Clock + + + + + + + CLK + + + S01_AXI_ACLK + + + + + + CLK_DOMAIN + axi_intercon_2x64_128_bd_S01_ACLK + + + ASSOCIATED_RESET + S01_AXI_ARESETN + + + + + RST.S01_AXI_ARESETN + Reset + Reset + + + + + + + RST + + + S01_AXI_ARESETN + + + + + + + + + + BlockDiagram + :vivado.xilinx.com: + + + + + + M00_AXI_ACLK + + in + + + + M00_AXI_ARESETN + + in + + + + S00_AXI_ACLK + + in + + + + S00_AXI_ARESETN + + in + + + + S01_AXI_ACLK + + in + + + + S01_AXI_ARESETN + + in + + + + + + + S00_AXI + 4G + 32 + + + SEG_M00_AXI_Reg + /M00_AXI/Reg + 0x00000000 + 32M + + + + + S01_AXI + 4G + 32 + + + SEG_M00_AXI_Reg + /M00_AXI/Reg + 0x02000000 + 32M + + + + + + + M00_AXI + + Reg + 0 + 64K + 32 + register + + + + + + + xilinx.com + BlockDiagram + axi_intercon_2x64_256_bd_imp + 1.00.a + + + m00_rs + + + axi_intercon_2x64_256_bd_m00_rs_0 + 1 + 1 + 1 + + + + s00_rs + + + axi_intercon_2x64_256_bd_s00_rs_0 + 1 + 1 + 1 + 1 + 1 + + + + s00_width_conv + + + axi_intercon_2x64_256_bd_s00_width_conv_0 + 64 + 256 + 2 + 1 + 2 + + + + s01_rs + + + axi_intercon_2x64_256_bd_s01_rs_0 + 1 + 1 + 1 + 1 + 1 + + + + s01_width_conv + + + axi_intercon_2x64_256_bd_s01_width_conv_0 + 64 + 256 + 2 + 1 + 2 + + + + xbar + + + axi_intercon_2x64_256_bd_xbar_0 + 2 + 1 + 2 + 256 + 1 + 0x00000001 + 0x00000002 + 0x00000003 + 0x00000004 + 0x00000005 + 0x00000006 + 0x00000007 + 0x00000008 + 0x00000009 + 0x0000000a + 0x0000000b + 0x0000000c + 0x0000000d + 0x0000000e + 0x0000000f + + + + s01_rs_256 + + + axi_intercon_2x64_256_bd_s01_rs_256_0 + 1 + 1 + 1 + 1 + 1 + + + + s00_rs_256 + + + axi_intercon_2x64_256_bd_s00_rs_256_0 + 1 + 1 + 1 + 1 + 1 + + + + + + s00_rs_M_AXI + + + + + s01_rs_M_AXI + + + + + xbar_M00_AXI + + + + + s00_rs_256_M_AXI + + + + + s01_rs_256_M_AXI + + + + + s00_width_conv_M_AXI + + + + + s01_width_conv_M_AXI + + + + + + + M00_AXI_ACLK_1 + + + + + + + + + + M00_AXI_ARESETN_1 + + + + + + + + + + S00_AXI_ACLK_1 + + + + + + S00_AXI_ARESETN_1 + + + + + + S01_AXI_ACLK_1 + + + + + + S01_AXI_ARESETN_1 + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/n3xx/ip/axi_intercon_2x64_256_bd/axi_intercon_2x64_256_bd.bxml b/fpga/usrp3/top/n3xx/ip/axi_intercon_2x64_256_bd/axi_intercon_2x64_256_bd.bxml new file mode 100644 index 000000000..5e3a0c83e --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/axi_intercon_2x64_256_bd/axi_intercon_2x64_256_bd.bxml @@ -0,0 +1,89 @@ + + + + Composite Fileset + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/n3xx/ip/axi_intercon_2x64_256_bd/axi_intercon_2x64_256_bd_wrapper.v b/fpga/usrp3/top/n3xx/ip/axi_intercon_2x64_256_bd/axi_intercon_2x64_256_bd_wrapper.v new file mode 100644 index 000000000..06a471d59 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/axi_intercon_2x64_256_bd/axi_intercon_2x64_256_bd_wrapper.v @@ -0,0 +1,500 @@ +//Copyright 1986-2015 Xilinx, Inc. All Rights Reserved. +`timescale 1 ps / 1 ps + +module axi_intercon_2x64_256_bd_wrapper + (M00_AXI_ACLK, + M00_AXI_ARESETN, + M00_AXI_ARADDR, + M00_AXI_ARBURST, + M00_AXI_ARCACHE, + M00_AXI_ARID, + M00_AXI_ARLEN, + M00_AXI_ARLOCK, + M00_AXI_ARPROT, + M00_AXI_ARQOS, + M00_AXI_ARREADY, + M00_AXI_ARREGION, + M00_AXI_ARSIZE, + M00_AXI_ARVALID, + M00_AXI_AWADDR, + M00_AXI_AWBURST, + M00_AXI_AWCACHE, + M00_AXI_AWID, + M00_AXI_AWLEN, + M00_AXI_AWLOCK, + M00_AXI_AWPROT, + M00_AXI_AWQOS, + M00_AXI_AWREADY, + M00_AXI_AWREGION, + M00_AXI_AWSIZE, + M00_AXI_AWVALID, + M00_AXI_BID, + M00_AXI_BREADY, + M00_AXI_BRESP, + M00_AXI_BVALID, + M00_AXI_RDATA, + M00_AXI_RID, + M00_AXI_RLAST, + M00_AXI_RREADY, + M00_AXI_RRESP, + M00_AXI_RVALID, + M00_AXI_WDATA, + M00_AXI_WLAST, + M00_AXI_WREADY, + M00_AXI_WSTRB, + M00_AXI_WVALID, + S00_AXI_ACLK, + S00_AXI_ARESETN, + S00_AXI_ARADDR, + S00_AXI_ARBURST, + S00_AXI_ARCACHE, + S00_AXI_ARID, + S00_AXI_ARLEN, + S00_AXI_ARLOCK, + S00_AXI_ARPROT, + S00_AXI_ARQOS, + S00_AXI_ARREADY, + S00_AXI_ARREGION, + S00_AXI_ARSIZE, + S00_AXI_ARVALID, + S00_AXI_AWADDR, + S00_AXI_AWBURST, + S00_AXI_AWCACHE, + S00_AXI_AWID, + S00_AXI_AWLEN, + S00_AXI_AWLOCK, + S00_AXI_AWPROT, + S00_AXI_AWQOS, + S00_AXI_AWREADY, + S00_AXI_AWREGION, + S00_AXI_AWSIZE, + S00_AXI_AWVALID, + S00_AXI_BID, + S00_AXI_BREADY, + S00_AXI_BRESP, + S00_AXI_BVALID, + S00_AXI_RDATA, + S00_AXI_RID, + S00_AXI_RLAST, + S00_AXI_RREADY, + S00_AXI_RRESP, + S00_AXI_RVALID, + S00_AXI_WDATA, + S00_AXI_WLAST, + S00_AXI_WREADY, + S00_AXI_WSTRB, + S00_AXI_WVALID, + S01_AXI_ACLK, + S01_AXI_ARESETN, + S01_AXI_ARADDR, + S01_AXI_ARBURST, + S01_AXI_ARCACHE, + S01_AXI_ARID, + S01_AXI_ARLEN, + S01_AXI_ARLOCK, + S01_AXI_ARPROT, + S01_AXI_ARQOS, + S01_AXI_ARREADY, + S01_AXI_ARREGION, + S01_AXI_ARSIZE, + S01_AXI_ARVALID, + S01_AXI_AWADDR, + S01_AXI_AWBURST, + S01_AXI_AWCACHE, + S01_AXI_AWID, + S01_AXI_AWLEN, + S01_AXI_AWLOCK, + S01_AXI_AWPROT, + S01_AXI_AWQOS, + S01_AXI_AWREADY, + S01_AXI_AWREGION, + S01_AXI_AWSIZE, + S01_AXI_AWVALID, + S01_AXI_BID, + S01_AXI_BREADY, + S01_AXI_BRESP, + S01_AXI_BVALID, + S01_AXI_RDATA, + S01_AXI_RID, + S01_AXI_RLAST, + S01_AXI_RREADY, + S01_AXI_RRESP, + S01_AXI_RVALID, + S01_AXI_WDATA, + S01_AXI_WLAST, + S01_AXI_WREADY, + S01_AXI_WSTRB, + S01_AXI_WVALID); + input M00_AXI_ACLK; + input M00_AXI_ARESETN; + output [31:0]M00_AXI_ARADDR; + output [1:0]M00_AXI_ARBURST; + output [3:0]M00_AXI_ARCACHE; + output [0:0]M00_AXI_ARID; + output [7:0]M00_AXI_ARLEN; + output [0:0]M00_AXI_ARLOCK; + output [2:0]M00_AXI_ARPROT; + output [3:0]M00_AXI_ARQOS; + input M00_AXI_ARREADY; + output [3:0]M00_AXI_ARREGION; + output [2:0]M00_AXI_ARSIZE; + output M00_AXI_ARVALID; + output [31:0]M00_AXI_AWADDR; + output [1:0]M00_AXI_AWBURST; + output [3:0]M00_AXI_AWCACHE; + output [0:0]M00_AXI_AWID; + output [7:0]M00_AXI_AWLEN; + output [0:0]M00_AXI_AWLOCK; + output [2:0]M00_AXI_AWPROT; + output [3:0]M00_AXI_AWQOS; + input M00_AXI_AWREADY; + output [3:0]M00_AXI_AWREGION; + output [2:0]M00_AXI_AWSIZE; + output M00_AXI_AWVALID; + input [0:0]M00_AXI_BID; + output M00_AXI_BREADY; + input [1:0]M00_AXI_BRESP; + input M00_AXI_BVALID; + input [255:0]M00_AXI_RDATA; + input [0:0]M00_AXI_RID; + input M00_AXI_RLAST; + output M00_AXI_RREADY; + input [1:0]M00_AXI_RRESP; + input M00_AXI_RVALID; + output [255:0]M00_AXI_WDATA; + output M00_AXI_WLAST; + input M00_AXI_WREADY; + output [31:0]M00_AXI_WSTRB; + output M00_AXI_WVALID; + input S00_AXI_ACLK; + input S00_AXI_ARESETN; + input [31:0]S00_AXI_ARADDR; + input [1:0]S00_AXI_ARBURST; + input [3:0]S00_AXI_ARCACHE; + input [0:0]S00_AXI_ARID; + input [7:0]S00_AXI_ARLEN; + input [0:0]S00_AXI_ARLOCK; + input [2:0]S00_AXI_ARPROT; + input [3:0]S00_AXI_ARQOS; + output S00_AXI_ARREADY; + input [3:0]S00_AXI_ARREGION; + input [2:0]S00_AXI_ARSIZE; + input S00_AXI_ARVALID; + input [31:0]S00_AXI_AWADDR; + input [1:0]S00_AXI_AWBURST; + input [3:0]S00_AXI_AWCACHE; + input [0:0]S00_AXI_AWID; + input [7:0]S00_AXI_AWLEN; + input [0:0]S00_AXI_AWLOCK; + input [2:0]S00_AXI_AWPROT; + input [3:0]S00_AXI_AWQOS; + output S00_AXI_AWREADY; + input [3:0]S00_AXI_AWREGION; + input [2:0]S00_AXI_AWSIZE; + input S00_AXI_AWVALID; + output [0:0]S00_AXI_BID; + input S00_AXI_BREADY; + output [1:0]S00_AXI_BRESP; + output S00_AXI_BVALID; + output [63:0]S00_AXI_RDATA; + output [0:0]S00_AXI_RID; + output S00_AXI_RLAST; + input S00_AXI_RREADY; + output [1:0]S00_AXI_RRESP; + output S00_AXI_RVALID; + input [63:0]S00_AXI_WDATA; + input S00_AXI_WLAST; + output S00_AXI_WREADY; + input [7:0]S00_AXI_WSTRB; + input S00_AXI_WVALID; + input S01_AXI_ACLK; + input S01_AXI_ARESETN; + input [31:0]S01_AXI_ARADDR; + input [1:0]S01_AXI_ARBURST; + input [3:0]S01_AXI_ARCACHE; + input [0:0]S01_AXI_ARID; + input [7:0]S01_AXI_ARLEN; + input [0:0]S01_AXI_ARLOCK; + input [2:0]S01_AXI_ARPROT; + input [3:0]S01_AXI_ARQOS; + output S01_AXI_ARREADY; + input [3:0]S01_AXI_ARREGION; + input [2:0]S01_AXI_ARSIZE; + input S01_AXI_ARVALID; + input [31:0]S01_AXI_AWADDR; + input [1:0]S01_AXI_AWBURST; + input [3:0]S01_AXI_AWCACHE; + input [0:0]S01_AXI_AWID; + input [7:0]S01_AXI_AWLEN; + input [0:0]S01_AXI_AWLOCK; + input [2:0]S01_AXI_AWPROT; + input [3:0]S01_AXI_AWQOS; + output S01_AXI_AWREADY; + input [3:0]S01_AXI_AWREGION; + input [2:0]S01_AXI_AWSIZE; + input S01_AXI_AWVALID; + output [0:0]S01_AXI_BID; + input S01_AXI_BREADY; + output [1:0]S01_AXI_BRESP; + output S01_AXI_BVALID; + output [63:0]S01_AXI_RDATA; + output [0:0]S01_AXI_RID; + output S01_AXI_RLAST; + input S01_AXI_RREADY; + output [1:0]S01_AXI_RRESP; + output S01_AXI_RVALID; + input [63:0]S01_AXI_WDATA; + input S01_AXI_WLAST; + output S01_AXI_WREADY; + input [7:0]S01_AXI_WSTRB; + input S01_AXI_WVALID; + + wire M00_AXI_ACLK; + wire M00_AXI_ARESETN; + wire [31:0]M00_AXI_ARADDR; + wire [1:0]M00_AXI_ARBURST; + wire [3:0]M00_AXI_ARCACHE; + wire [0:0]M00_AXI_ARID; + wire [7:0]M00_AXI_ARLEN; + wire [0:0]M00_AXI_ARLOCK; + wire [2:0]M00_AXI_ARPROT; + wire [3:0]M00_AXI_ARQOS; + wire M00_AXI_ARREADY; + wire [3:0]M00_AXI_ARREGION; + wire [2:0]M00_AXI_ARSIZE; + wire M00_AXI_ARVALID; + wire [31:0]M00_AXI_AWADDR; + wire [1:0]M00_AXI_AWBURST; + wire [3:0]M00_AXI_AWCACHE; + wire [0:0]M00_AXI_AWID; + wire [7:0]M00_AXI_AWLEN; + wire [0:0]M00_AXI_AWLOCK; + wire [2:0]M00_AXI_AWPROT; + wire [3:0]M00_AXI_AWQOS; + wire M00_AXI_AWREADY; + wire [3:0]M00_AXI_AWREGION; + wire [2:0]M00_AXI_AWSIZE; + wire M00_AXI_AWVALID; + wire [0:0]M00_AXI_BID; + wire M00_AXI_BREADY; + wire [1:0]M00_AXI_BRESP; + wire M00_AXI_BVALID; + wire [255:0]M00_AXI_RDATA; + wire [0:0]M00_AXI_RID; + wire M00_AXI_RLAST; + wire M00_AXI_RREADY; + wire [1:0]M00_AXI_RRESP; + wire M00_AXI_RVALID; + wire [255:0]M00_AXI_WDATA; + wire M00_AXI_WLAST; + wire M00_AXI_WREADY; + wire [31:0]M00_AXI_WSTRB; + wire M00_AXI_WVALID; + wire S00_AXI_ACLK; + wire S00_AXI_ARESETN; + wire [31:0]S00_AXI_ARADDR; + wire [1:0]S00_AXI_ARBURST; + wire [3:0]S00_AXI_ARCACHE; + wire [0:0]S00_AXI_ARID; + wire [7:0]S00_AXI_ARLEN; + wire [0:0]S00_AXI_ARLOCK; + wire [2:0]S00_AXI_ARPROT; + wire [3:0]S00_AXI_ARQOS; + wire S00_AXI_ARREADY; + wire [3:0]S00_AXI_ARREGION; + wire [2:0]S00_AXI_ARSIZE; + wire S00_AXI_ARVALID; + wire [31:0]S00_AXI_AWADDR; + wire [1:0]S00_AXI_AWBURST; + wire [3:0]S00_AXI_AWCACHE; + wire [0:0]S00_AXI_AWID; + wire [7:0]S00_AXI_AWLEN; + wire [0:0]S00_AXI_AWLOCK; + wire [2:0]S00_AXI_AWPROT; + wire [3:0]S00_AXI_AWQOS; + wire S00_AXI_AWREADY; + wire [3:0]S00_AXI_AWREGION; + wire [2:0]S00_AXI_AWSIZE; + wire S00_AXI_AWVALID; + wire [0:0]S00_AXI_BID; + wire S00_AXI_BREADY; + wire [1:0]S00_AXI_BRESP; + wire S00_AXI_BVALID; + wire [63:0]S00_AXI_RDATA; + wire [0:0]S00_AXI_RID; + wire S00_AXI_RLAST; + wire S00_AXI_RREADY; + wire [1:0]S00_AXI_RRESP; + wire S00_AXI_RVALID; + wire [63:0]S00_AXI_WDATA; + wire S00_AXI_WLAST; + wire S00_AXI_WREADY; + wire [7:0]S00_AXI_WSTRB; + wire S00_AXI_WVALID; + wire S01_AXI_ACLK; + wire S01_AXI_ARESETN; + wire [31:0]S01_AXI_ARADDR; + wire [1:0]S01_AXI_ARBURST; + wire [3:0]S01_AXI_ARCACHE; + wire [0:0]S01_AXI_ARID; + wire [7:0]S01_AXI_ARLEN; + wire [0:0]S01_AXI_ARLOCK; + wire [2:0]S01_AXI_ARPROT; + wire [3:0]S01_AXI_ARQOS; + wire S01_AXI_ARREADY; + wire [3:0]S01_AXI_ARREGION; + wire [2:0]S01_AXI_ARSIZE; + wire S01_AXI_ARVALID; + wire [31:0]S01_AXI_AWADDR; + wire [1:0]S01_AXI_AWBURST; + wire [3:0]S01_AXI_AWCACHE; + wire [0:0]S01_AXI_AWID; + wire [7:0]S01_AXI_AWLEN; + wire [0:0]S01_AXI_AWLOCK; + wire [2:0]S01_AXI_AWPROT; + wire [3:0]S01_AXI_AWQOS; + wire S01_AXI_AWREADY; + wire [3:0]S01_AXI_AWREGION; + wire [2:0]S01_AXI_AWSIZE; + wire S01_AXI_AWVALID; + wire [0:0]S01_AXI_BID; + wire S01_AXI_BREADY; + wire [1:0]S01_AXI_BRESP; + wire S01_AXI_BVALID; + wire [63:0]S01_AXI_RDATA; + wire [0:0]S01_AXI_RID; + wire S01_AXI_RLAST; + wire S01_AXI_RREADY; + wire [1:0]S01_AXI_RRESP; + wire S01_AXI_RVALID; + wire [63:0]S01_AXI_WDATA; + wire S01_AXI_WLAST; + wire S01_AXI_WREADY; + wire [7:0]S01_AXI_WSTRB; + wire S01_AXI_WVALID; + + axi_intercon_2x64_256_bd axi_intercon_2x64_256_bd_i ( + .M00_AXI_ACLK(M00_AXI_ACLK), + .M00_AXI_ARESETN(M00_AXI_ARESETN), + .M00_AXI_araddr(M00_AXI_ARADDR), + .M00_AXI_arburst(M00_AXI_ARBURST), + .M00_AXI_arcache(M00_AXI_ARCACHE), + .M00_AXI_arid(M00_AXI_ARID), + .M00_AXI_arlen(M00_AXI_ARLEN), + .M00_AXI_arlock(M00_AXI_ARLOCK), + .M00_AXI_arprot(M00_AXI_ARPROT), + .M00_AXI_arqos(M00_AXI_ARQOS), + .M00_AXI_arready(M00_AXI_ARREADY), + .M00_AXI_arregion(M00_AXI_ARREGION), + .M00_AXI_arsize(M00_AXI_ARSIZE), + .M00_AXI_arvalid(M00_AXI_ARVALID), + .M00_AXI_awaddr(M00_AXI_AWADDR), + .M00_AXI_awburst(M00_AXI_AWBURST), + .M00_AXI_awcache(M00_AXI_AWCACHE), + .M00_AXI_awid(M00_AXI_AWID), + .M00_AXI_awlen(M00_AXI_AWLEN), + .M00_AXI_awlock(M00_AXI_AWLOCK), + .M00_AXI_awprot(M00_AXI_AWPROT), + .M00_AXI_awqos(M00_AXI_AWQOS), + .M00_AXI_awready(M00_AXI_AWREADY), + .M00_AXI_awregion(M00_AXI_AWREGION), + .M00_AXI_awsize(M00_AXI_AWSIZE), + .M00_AXI_awvalid(M00_AXI_AWVALID), + .M00_AXI_bid(M00_AXI_BID), + .M00_AXI_bready(M00_AXI_BREADY), + .M00_AXI_bresp(M00_AXI_BRESP), + .M00_AXI_bvalid(M00_AXI_BVALID), + .M00_AXI_rdata(M00_AXI_RDATA), + .M00_AXI_rid(M00_AXI_RID), + .M00_AXI_rlast(M00_AXI_RLAST), + .M00_AXI_rready(M00_AXI_RREADY), + .M00_AXI_rresp(M00_AXI_RRESP), + .M00_AXI_rvalid(M00_AXI_RVALID), + .M00_AXI_wdata(M00_AXI_WDATA), + .M00_AXI_wlast(M00_AXI_WLAST), + .M00_AXI_wready(M00_AXI_WREADY), + .M00_AXI_wstrb(M00_AXI_WSTRB), + .M00_AXI_wvalid(M00_AXI_WVALID), + .S00_AXI_ACLK(S00_AXI_ACLK), + .S00_AXI_ARESETN(S00_AXI_ARESETN), + .S00_AXI_araddr(S00_AXI_ARADDR), + .S00_AXI_arburst(S00_AXI_ARBURST), + .S00_AXI_arcache(S00_AXI_ARCACHE), + .S00_AXI_arid(S00_AXI_ARID), + .S00_AXI_arlen(S00_AXI_ARLEN), + .S00_AXI_arlock(S00_AXI_ARLOCK), + .S00_AXI_arprot(S00_AXI_ARPROT), + .S00_AXI_arqos(S00_AXI_ARQOS), + .S00_AXI_arready(S00_AXI_ARREADY), + .S00_AXI_arregion(S00_AXI_ARREGION), + .S00_AXI_arsize(S00_AXI_ARSIZE), + .S00_AXI_arvalid(S00_AXI_ARVALID), + .S00_AXI_awaddr(S00_AXI_AWADDR), + .S00_AXI_awburst(S00_AXI_AWBURST), + .S00_AXI_awcache(S00_AXI_AWCACHE), + .S00_AXI_awid(S00_AXI_AWID), + .S00_AXI_awlen(S00_AXI_AWLEN), + .S00_AXI_awlock(S00_AXI_AWLOCK), + .S00_AXI_awprot(S00_AXI_AWPROT), + .S00_AXI_awqos(S00_AXI_AWQOS), + .S00_AXI_awready(S00_AXI_AWREADY), + .S00_AXI_awregion(S00_AXI_AWREGION), + .S00_AXI_awsize(S00_AXI_AWSIZE), + .S00_AXI_awvalid(S00_AXI_AWVALID), + .S00_AXI_bid(S00_AXI_BID), + .S00_AXI_bready(S00_AXI_BREADY), + .S00_AXI_bresp(S00_AXI_BRESP), + .S00_AXI_bvalid(S00_AXI_BVALID), + .S00_AXI_rdata(S00_AXI_RDATA), + .S00_AXI_rid(S00_AXI_RID), + .S00_AXI_rlast(S00_AXI_RLAST), + .S00_AXI_rready(S00_AXI_RREADY), + .S00_AXI_rresp(S00_AXI_RRESP), + .S00_AXI_rvalid(S00_AXI_RVALID), + .S00_AXI_wdata(S00_AXI_WDATA), + .S00_AXI_wlast(S00_AXI_WLAST), + .S00_AXI_wready(S00_AXI_WREADY), + .S00_AXI_wstrb(S00_AXI_WSTRB), + .S00_AXI_wvalid(S00_AXI_WVALID), + .S01_AXI_ACLK(S01_AXI_ACLK), + .S01_AXI_ARESETN(S01_AXI_ARESETN), + .S01_AXI_araddr(S01_AXI_ARADDR), + .S01_AXI_arburst(S01_AXI_ARBURST), + .S01_AXI_arcache(S01_AXI_ARCACHE), + .S01_AXI_arid(S01_AXI_ARID), + .S01_AXI_arlen(S01_AXI_ARLEN), + .S01_AXI_arlock(S01_AXI_ARLOCK), + .S01_AXI_arprot(S01_AXI_ARPROT), + .S01_AXI_arqos(S01_AXI_ARQOS), + .S01_AXI_arready(S01_AXI_ARREADY), + .S01_AXI_arregion(S01_AXI_ARREGION), + .S01_AXI_arsize(S01_AXI_ARSIZE), + .S01_AXI_arvalid(S01_AXI_ARVALID), + .S01_AXI_awaddr(S01_AXI_AWADDR), + .S01_AXI_awburst(S01_AXI_AWBURST), + .S01_AXI_awcache(S01_AXI_AWCACHE), + .S01_AXI_awid(S01_AXI_AWID), + .S01_AXI_awlen(S01_AXI_AWLEN), + .S01_AXI_awlock(S01_AXI_AWLOCK), + .S01_AXI_awprot(S01_AXI_AWPROT), + .S01_AXI_awqos(S01_AXI_AWQOS), + .S01_AXI_awready(S01_AXI_AWREADY), + .S01_AXI_awregion(S01_AXI_AWREGION), + .S01_AXI_awsize(S01_AXI_AWSIZE), + .S01_AXI_awvalid(S01_AXI_AWVALID), + .S01_AXI_bid(S01_AXI_BID), + .S01_AXI_bready(S01_AXI_BREADY), + .S01_AXI_bresp(S01_AXI_BRESP), + .S01_AXI_bvalid(S01_AXI_BVALID), + .S01_AXI_rdata(S01_AXI_RDATA), + .S01_AXI_rid(S01_AXI_RID), + .S01_AXI_rlast(S01_AXI_RLAST), + .S01_AXI_rready(S01_AXI_RREADY), + .S01_AXI_rresp(S01_AXI_RRESP), + .S01_AXI_rvalid(S01_AXI_RVALID), + .S01_AXI_wdata(S01_AXI_WDATA), + .S01_AXI_wlast(S01_AXI_WLAST), + .S01_AXI_wready(S01_AXI_WREADY), + .S01_AXI_wstrb(S01_AXI_WSTRB), + .S01_AXI_wvalid(S01_AXI_WVALID)); +endmodule diff --git a/fpga/usrp3/top/n3xx/ip/axi_intercon_4x64_256_bd/Makefile.inc b/fpga/usrp3/top/n3xx/ip/axi_intercon_4x64_256_bd/Makefile.inc new file mode 100644 index 000000000..2f5ba57c6 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/axi_intercon_4x64_256_bd/Makefile.inc @@ -0,0 +1,17 @@ +# +# Copyright 2016 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_AXI_INTERCON_4X64_256_BD_SRCS = $(IP_BUILD_DIR)/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd.bd \ +$(IP_BUILD_DIR)/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd.bxml \ +$(IP_BUILD_DIR)/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd_wrapper.v + +BD_AXI_INTERCON_4X64_256_BD_OUTS = $(addprefix $(IP_BUILD_DIR)/axi_intercon_4x64_256_bd/, \ +axi_intercon_4x64_256_bd.bd.out \ +axi_intercon_4x64_256_bd_ooc.xdc \ +) + +$(IP_AXI_INTERCON_4X64_256_BD_SRCS) $(IP_AXI_INTERCON_4X64_256_BD_OUTS) : $(IP_DIR)/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd.bd + $(call BUILD_VIVADO_BD,axi_intercon_4x64_256_bd,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR)) diff --git a/fpga/usrp3/top/n3xx/ip/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd.bd b/fpga/usrp3/top/n3xx/ip/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd.bd new file mode 100644 index 000000000..eb6c30100 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd.bd @@ -0,0 +1,2191 @@ + + + + + xilinx.com + BlockDiagram + axi_intercon_4x64_256_bd + 1.00.a + + + isTop + true + + + + + M00_AXI + + + + + + DATA_WIDTH + 256 + + + + + + + + PROTOCOL + AXI4 + + + + + + + + FREQ_HZ + 200000000 + + + + + + + + ID_WIDTH + 4 + + + + + + + + ADDR_WIDTH + 32 + + + + + + + + AWUSER_WIDTH + 0 + + + + + + + + ARUSER_WIDTH + 0 + + + + + + + + WUSER_WIDTH + 0 + + + + + + + + RUSER_WIDTH + 0 + + + + + + + + BUSER_WIDTH + 0 + + + + + + + + READ_WRITE_MODE + READ_WRITE + + + + + + + + HAS_BURST + 1 + + + + + + + + HAS_LOCK + 1 + + + + + + + + HAS_PROT + 1 + + + + + + + + HAS_CACHE + 1 + + + + + + + + HAS_QOS + 1 + + + + + + + + HAS_REGION + 1 + + + + + + + + HAS_WSTRB + 1 + + + + + + + + HAS_BRESP + 1 + + + + + + + + HAS_RRESP + 1 + + + + + + + + SUPPORTS_NARROW_BURST + 1 + + + + + + + + NUM_READ_OUTSTANDING + 2 + + + + + + + + NUM_WRITE_OUTSTANDING + 2 + + + + + + + + MAX_BURST_LENGTH + 256 + + + + + + + + PHASE + 0.000 + + + + + + + + CLK_DOMAIN + axi_intercon_4x64_128_bd_M00_ACLK + + + + + + + + + + S00_AXI + + + + + + DATA_WIDTH + 64 + + + + + + + + PROTOCOL + AXI4 + + + + + + + + FREQ_HZ + 350000000 + + + + + + + + ID_WIDTH + 1 + + + + + + + + ADDR_WIDTH + 32 + + + + + + + + AWUSER_WIDTH + 0 + + + + + + + + ARUSER_WIDTH + 0 + + + + + + + + WUSER_WIDTH + 0 + + + + + + + + RUSER_WIDTH + 0 + + + + + + + + BUSER_WIDTH + 0 + + + + + + + + READ_WRITE_MODE + READ_WRITE + + + + + + + + HAS_BURST + 1 + + + + + + + + HAS_LOCK + 1 + + + + + + + + HAS_PROT + 1 + + + + + + + + HAS_CACHE + 1 + + + + + + + + HAS_QOS + 1 + + + + + + + + HAS_REGION + 1 + + + + + + + + HAS_WSTRB + 1 + + + + + + + + HAS_BRESP + 1 + + + + + + + + HAS_RRESP + 1 + + + + + + + + SUPPORTS_NARROW_BURST + 1 + + + + + + + + NUM_READ_OUTSTANDING + 2 + + + + + + + + NUM_WRITE_OUTSTANDING + 2 + + + + + + + + MAX_BURST_LENGTH + 256 + + + + + + + + PHASE + 0.000 + + + + + + + + CLK_DOMAIN + axi_intercon_4x64_128_bd_S00_ACLK + + + + + + + + + + S01_AXI + + + + + + DATA_WIDTH + 64 + + + + + + + + PROTOCOL + AXI4 + + + + + + + + FREQ_HZ + 350000000 + + + + + + + + ID_WIDTH + 1 + + + + + + + + ADDR_WIDTH + 32 + + + + + + + + AWUSER_WIDTH + 0 + + + + + + + + ARUSER_WIDTH + 0 + + + + + + + + WUSER_WIDTH + 0 + + + + + + + + RUSER_WIDTH + 0 + + + + + + + + BUSER_WIDTH + 0 + + + + + + + + READ_WRITE_MODE + READ_WRITE + + + + + + + + HAS_BURST + 1 + + + + + + + + HAS_LOCK + 1 + + + + + + + + HAS_PROT + 1 + + + + + + + + HAS_CACHE + 1 + + + + + + + + HAS_QOS + 1 + + + + + + + + HAS_REGION + 1 + + + + + + + + HAS_WSTRB + 1 + + + + + + + + HAS_BRESP + 1 + + + + + + + + HAS_RRESP + 1 + + + + + + + + SUPPORTS_NARROW_BURST + 1 + + + + + + + + NUM_READ_OUTSTANDING + 2 + + + + + + + + NUM_WRITE_OUTSTANDING + 2 + + + + + + + + MAX_BURST_LENGTH + 256 + + + + + + + + PHASE + 0.000 + + + + + + + + CLK_DOMAIN + axi_intercon_4x64_128_bd_S01_ACLK + + + + + + + + + + S02_AXI + + + + + + DATA_WIDTH + 64 + + + + + + + + PROTOCOL + AXI4 + + + + + + + + FREQ_HZ + 350000000 + + + + + + + + ID_WIDTH + 1 + + + + + + + + ADDR_WIDTH + 32 + + + + + + + + AWUSER_WIDTH + 0 + + + + + + + + ARUSER_WIDTH + 0 + + + + + + + + WUSER_WIDTH + 0 + + + + + + + + RUSER_WIDTH + 0 + + + + + + + + BUSER_WIDTH + 0 + + + + + + + + READ_WRITE_MODE + READ_WRITE + + + + + + + + HAS_BURST + 1 + + + + + + + + HAS_LOCK + 1 + + + + + + + + HAS_PROT + 1 + + + + + + + + HAS_CACHE + 1 + + + + + + + + HAS_QOS + 1 + + + + + + + + HAS_REGION + 1 + + + + + + + + HAS_WSTRB + 1 + + + + + + + + HAS_BRESP + 1 + + + + + + + + HAS_RRESP + 1 + + + + + + + + SUPPORTS_NARROW_BURST + 1 + + + + + + + + NUM_READ_OUTSTANDING + 2 + + + + + + + + NUM_WRITE_OUTSTANDING + 2 + + + + + + + + MAX_BURST_LENGTH + 256 + + + + + + + + PHASE + 0.000 + + + + + + + + CLK_DOMAIN + axi_intercon_4x64_128_bd_S00_ACLK + + + + + + + + + + S03_AXI + + + + + + DATA_WIDTH + 64 + + + + + + + + PROTOCOL + AXI4 + + + + + + + + FREQ_HZ + 350000000 + + + + + + + + ID_WIDTH + 1 + + + + + + + + ADDR_WIDTH + 32 + + + + + + + + AWUSER_WIDTH + 0 + + + + + + + + ARUSER_WIDTH + 0 + + + + + + + + WUSER_WIDTH + 0 + + + + + + + + RUSER_WIDTH + 0 + + + + + + + + BUSER_WIDTH + 0 + + + + + + + + READ_WRITE_MODE + READ_WRITE + + + + + + + + HAS_BURST + 1 + + + + + + + + HAS_LOCK + 1 + + + + + + + + HAS_PROT + 1 + + + + + + + + HAS_CACHE + 1 + + + + + + + + HAS_QOS + 1 + + + + + + + + HAS_REGION + 1 + + + + + + + + HAS_WSTRB + 1 + + + + + + + + HAS_BRESP + 1 + + + + + + + + HAS_RRESP + 1 + + + + + + + + SUPPORTS_NARROW_BURST + 1 + + + + + + + + NUM_READ_OUTSTANDING + 2 + + + + + + + + NUM_WRITE_OUTSTANDING + 2 + + + + + + + + MAX_BURST_LENGTH + 256 + + + + + + + + PHASE + 0.000 + + + + + + + + CLK_DOMAIN + axi_intercon_4x64_128_bd_S00_ACLK + + + + + + + + + + CLK.M00_AXI_ACLK + Clk + Clock + + + + + + + CLK + + + M00_AXI_ACLK + + + + + + FREQ_HZ + 200000000 + + + + + + + + PHASE + 0.000 + + + + + + + + CLK_DOMAIN + axi_intercon_4x64_128_bd_M00_ACLK + + + + + + + + ASSOCIATED_BUSIF + M00_AXI + + + + + + + + ASSOCIATED_RESET + M00_AXI_ARESETN + + + + + + + + + + RST.M00_AXI_ARESETN + Reset + Reset + + + + + + + RST + + + M00_AXI_ARESETN + + + + + + POLARITY + ACTIVE_LOW + + + + + + + + + + CLK.S00_AXI_ACLK + Clk + Clock + + + + + + + CLK + + + S00_AXI_ACLK + + + + + + FREQ_HZ + 350000000 + + + + + + + + PHASE + 0.000 + + + + + + + + CLK_DOMAIN + axi_intercon_4x64_128_bd_S00_ACLK + + + + + + + + ASSOCIATED_BUSIF + S00_AXI + + + + + + + + ASSOCIATED_RESET + S00_AXI_ARESETN + + + + + + + + + + RST.S00_AXI_ARESETN + Reset + Reset + + + + + + + RST + + + S00_AXI_ARESETN + + + + + + POLARITY + ACTIVE_LOW + + + + + + + + + + CLK.S01_AXI_ACLK + Clk + Clock + + + + + + + CLK + + + S01_AXI_ACLK + + + + + + FREQ_HZ + 350000000 + + + + + + + + PHASE + 0.000 + + + + + + + + CLK_DOMAIN + axi_intercon_4x64_128_bd_S01_ACLK + + + + + + + + ASSOCIATED_BUSIF + S01_AXI + + + + + + + + ASSOCIATED_RESET + S01_AXI_ARESETN + + + + + + + + + + RST.S01_AXI_ARESETN + Reset + Reset + + + + + + + RST + + + S01_AXI_ARESETN + + + + + + POLARITY + ACTIVE_LOW + + + + + + + + + + CLK.S02_AXI_ACLK + Clk + Clock + + + + + + + CLK + + + S02_AXI_ACLK + + + + + + FREQ_HZ + 350000000 + + + + + + + + PHASE + 0.000 + + + + + + + + CLK_DOMAIN + axi_intercon_4x64_128_bd_S00_ACLK + + + + + + + + ASSOCIATED_BUSIF + S02_AXI + + + + + + + + ASSOCIATED_RESET + S00_AXI_ARESETN:S02_AXI_ARESETN + + + + + + + + + + RST.S02_AXI_ARESETN + Reset + Reset + + + + + + + RST + + + S02_AXI_ARESETN + + + + + + POLARITY + ACTIVE_LOW + + + + + + + + + + CLK.S03_AXI_ACLK + Clk + Clock + + + + + + + CLK + + + S03_AXI_ACLK + + + + + + FREQ_HZ + 350000000 + + + + + + + + PHASE + 0.000 + + + + + + + + CLK_DOMAIN + axi_intercon_4x64_128_bd_S00_ACLK + + + + + + + + ASSOCIATED_BUSIF + S02_AXI:S03_AXI + + + + + + + + ASSOCIATED_RESET + S00_AXI_ARESETN:S02_AXI_ARESETN:S03_AXI_ARESETN + + + + + + + + + + RST.S03_AXI_ARESETN + Reset + Reset + + + + + + + RST + + + S03_AXI_ARESETN + + + + + + POLARITY + ACTIVE_LOW + + + + + + + + + + + + + BlockDiagram + :vivado.xilinx.com: + + + + + + M00_AXI_ACLK + + in + + + + M00_AXI_ARESETN + + in + + + + S00_AXI_ACLK + + in + + + + S00_AXI_ARESETN + + in + + + + S01_AXI_ACLK + + in + + + + S01_AXI_ARESETN + + in + + + + S02_AXI_ACLK + + in + + + + S02_AXI_ARESETN + + in + + + + S03_AXI_ACLK + + in + + + + S03_AXI_ARESETN + + in + + + + + + + S00_AXI + 4G + 32 + + + SEG_M00_AXI_Reg + /M00_AXI/Reg + 0x00000000 + 1G + + + + + S01_AXI + 4G + 32 + + + SEG_M00_AXI_Reg + /M00_AXI/Reg + 0x00000000 + 1G + + + + + S02_AXI + 4G + 32 + + + SEG_M00_AXI_Reg + /M00_AXI/Reg + 0x00000000 + 1G + + + + + S03_AXI + 4G + 32 + + + SEG_M00_AXI_Reg + /M00_AXI/Reg + 0x00000000 + 1G + + + + + + + M00_AXI + + Reg + 0 + 64K + 32 + register + + + + + + + xilinx.com + BlockDiagram + axi_intercon_4x64_256_bd_imp + 1.00.a + + + m00_rs + + + axi_intercon_4x64_256_bd_m00_rs_0 + 4 + 1 + 1 + 1 + + + + s00_rs + + + axi_intercon_4x64_256_bd_s00_rs_0 + 1 + 1 + 1 + 1 + 1 + + + + s00_width_conv + + + axi_intercon_4x64_256_bd_s00_width_conv_0 + 64 + 256 + 2 + 1 + 2 + + + + s01_rs + + + axi_intercon_4x64_256_bd_s01_rs_0 + 1 + 1 + 1 + 1 + 1 + + + + s01_width_conv + + + axi_intercon_4x64_256_bd_s01_width_conv_0 + 64 + 256 + 2 + 1 + 2 + + + + xbar + + + axi_intercon_4x64_256_bd_xbar_0 + 4 + 1 + 2 + 256 + SAMD + 4 + 0 + 0x00000004 + 0x00000008 + 0x0000000c + 0x00000010 + 0x00000014 + 0x00000018 + 0x0000001c + 0x00000020 + 0x00000024 + 0x00000028 + 0x0000002c + 0x00000030 + 0x00000034 + 0x00000038 + 0x0000003c + + + + s01_rs_256 + + + axi_intercon_4x64_256_bd_s01_rs_256_0 + 1 + 1 + 1 + 1 + 1 + + + + s00_rs_256 + + + axi_intercon_4x64_256_bd_s00_rs_256_0 + 1 + 1 + 1 + 1 + 1 + + + + s02_rs + + + axi_intercon_4x64_256_bd_s02_rs_0 + 1 + 1 + 1 + 1 + 1 + + + + s03_rs + + + axi_intercon_4x64_256_bd_s03_rs_0 + 1 + 1 + 1 + 1 + 1 + + + + s02_width_conv + + + axi_intercon_4x64_256_bd_s02_width_conv_0 + 64 + 256 + 2 + 1 + 2 + + + + s03_width_conv + + + axi_intercon_4x64_256_bd_s03_width_conv_0 + 64 + 256 + 2 + 1 + 2 + + + + s03_rs_256 + + + axi_intercon_4x64_256_bd_s03_rs_256_0 + 1 + 1 + 1 + 1 + 1 + + + + s02_rs_256 + + + axi_intercon_4x64_256_bd_s02_rs_256_0 + 1 + 1 + 1 + 1 + 1 + + + + + + s00_rs_M_AXI + + + + + s01_rs_M_AXI + + + + + xbar_M00_AXI + + + + + s00_rs_256_M_AXI + + + + + s01_rs_256_M_AXI + + + + + s00_width_conv_M_AXI + + + + + s01_width_conv_M_AXI + + + + + s02_rs_M_AXI + + + + + s03_rs_M_AXI + + + + + s02_width_conv_M_AXI + + + + + s03_width_conv_M_AXI + + + + + s02_rs_256_M_AXI + + + + + s03_rs_256_M_AXI + + + + + + + M00_AXI_ACLK_1 + + + + + + + + + + + + + + M00_AXI_ARESETN_1 + + + + + + + + + + + + + + S00_AXI_ACLK_1 + + + + + + S00_AXI_ARESETN_1 + + + + + + S01_AXI_ACLK_1 + + + + + + S01_AXI_ARESETN_1 + + + + + + S02_AXI_ACLK_1 + + + + + + S02_AXI_ARESETN_1 + + + + + + S03_AXI_ACLK_1 + + + + + + S03_AXI_ARESETN_1 + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/n3xx/ip/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd.bxml b/fpga/usrp3/top/n3xx/ip/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd.bxml new file mode 100644 index 000000000..217597784 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd.bxml @@ -0,0 +1,123 @@ + + + + Composite Fileset + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/n3xx/ip/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd_wrapper.v b/fpga/usrp3/top/n3xx/ip/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd_wrapper.v new file mode 100644 index 000000000..71e446f92 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/axi_intercon_4x64_256_bd/axi_intercon_4x64_256_bd_wrapper.v @@ -0,0 +1,419 @@ +//Copyright 1986-2015 Xilinx, Inc. All Rights Reserved. +`timescale 1 ps / 1 ps + +module axi_intercon_4x64_256_bd_wrapper ( + input M00_AXI_ACLK, + input M00_AXI_ARESETN, + output [31:0]M00_AXI_ARADDR, + output [1:0]M00_AXI_ARBURST, + output [3:0]M00_AXI_ARCACHE, + output [3:0]M00_AXI_ARID, + output [7:0]M00_AXI_ARLEN, + output [0:0]M00_AXI_ARLOCK, + output [2:0]M00_AXI_ARPROT, + output [3:0]M00_AXI_ARQOS, + input M00_AXI_ARREADY, + output [3:0]M00_AXI_ARREGION, + output [2:0]M00_AXI_ARSIZE, + output M00_AXI_ARVALID, + output [31:0]M00_AXI_AWADDR, + output [1:0]M00_AXI_AWBURST, + output [3:0]M00_AXI_AWCACHE, + output [3:0]M00_AXI_AWID, + output [7:0]M00_AXI_AWLEN, + output [0:0]M00_AXI_AWLOCK, + output [2:0]M00_AXI_AWPROT, + output [3:0]M00_AXI_AWQOS, + input M00_AXI_AWREADY, + output [3:0]M00_AXI_AWREGION, + output [2:0]M00_AXI_AWSIZE, + output M00_AXI_AWVALID, + input [3:0]M00_AXI_BID, + output M00_AXI_BREADY, + input [1:0]M00_AXI_BRESP, + input M00_AXI_BVALID, + input [255:0]M00_AXI_RDATA, + input [3:0]M00_AXI_RID, + input M00_AXI_RLAST, + output M00_AXI_RREADY, + input [1:0]M00_AXI_RRESP, + input M00_AXI_RVALID, + output [255:0]M00_AXI_WDATA, + output M00_AXI_WLAST, + input M00_AXI_WREADY, + output [31:0]M00_AXI_WSTRB, + output M00_AXI_WVALID, + input S00_AXI_ACLK, + input S00_AXI_ARESETN, + input [31:0]S00_AXI_ARADDR, + input [1:0]S00_AXI_ARBURST, + input [3:0]S00_AXI_ARCACHE, + input [0:0]S00_AXI_ARID, + input [7:0]S00_AXI_ARLEN, + input [0:0]S00_AXI_ARLOCK, + input [2:0]S00_AXI_ARPROT, + input [3:0]S00_AXI_ARQOS, + output S00_AXI_ARREADY, + input [3:0]S00_AXI_ARREGION, + input [2:0]S00_AXI_ARSIZE, + input S00_AXI_ARVALID, + input [31:0]S00_AXI_AWADDR, + input [1:0]S00_AXI_AWBURST, + input [3:0]S00_AXI_AWCACHE, + input [0:0]S00_AXI_AWID, + input [7:0]S00_AXI_AWLEN, + input [0:0]S00_AXI_AWLOCK, + input [2:0]S00_AXI_AWPROT, + input [3:0]S00_AXI_AWQOS, + output S00_AXI_AWREADY, + input [3:0]S00_AXI_AWREGION, + input [2:0]S00_AXI_AWSIZE, + input S00_AXI_AWVALID, + output [0:0]S00_AXI_BID, + input S00_AXI_BREADY, + output [1:0]S00_AXI_BRESP, + output S00_AXI_BVALID, + output [63:0]S00_AXI_RDATA, + output [0:0]S00_AXI_RID, + output S00_AXI_RLAST, + input S00_AXI_RREADY, + output [1:0]S00_AXI_RRESP, + output S00_AXI_RVALID, + input [63:0]S00_AXI_WDATA, + input S00_AXI_WLAST, + output S00_AXI_WREADY, + input [7:0]S00_AXI_WSTRB, + input S00_AXI_WVALID, + input S01_AXI_ACLK, + input S01_AXI_ARESETN, + input [31:0]S01_AXI_ARADDR, + input [1:0]S01_AXI_ARBURST, + input [3:0]S01_AXI_ARCACHE, + input [0:0]S01_AXI_ARID, + input [7:0]S01_AXI_ARLEN, + input [0:0]S01_AXI_ARLOCK, + input [2:0]S01_AXI_ARPROT, + input [3:0]S01_AXI_ARQOS, + output S01_AXI_ARREADY, + input [3:0]S01_AXI_ARREGION, + input [2:0]S01_AXI_ARSIZE, + input S01_AXI_ARVALID, + input [31:0]S01_AXI_AWADDR, + input [1:0]S01_AXI_AWBURST, + input [3:0]S01_AXI_AWCACHE, + input [0:0]S01_AXI_AWID, + input [7:0]S01_AXI_AWLEN, + input [0:0]S01_AXI_AWLOCK, + input [2:0]S01_AXI_AWPROT, + input [3:0]S01_AXI_AWQOS, + output S01_AXI_AWREADY, + input [3:0]S01_AXI_AWREGION, + input [2:0]S01_AXI_AWSIZE, + input S01_AXI_AWVALID, + output [0:0]S01_AXI_BID, + input S01_AXI_BREADY, + output [1:0]S01_AXI_BRESP, + output S01_AXI_BVALID, + output [63:0]S01_AXI_RDATA, + output [0:0]S01_AXI_RID, + output S01_AXI_RLAST, + input S01_AXI_RREADY, + output [1:0]S01_AXI_RRESP, + output S01_AXI_RVALID, + input [63:0]S01_AXI_WDATA, + input S01_AXI_WLAST, + output S01_AXI_WREADY, + input [7:0]S01_AXI_WSTRB, + input S01_AXI_WVALID, + input S02_AXI_ACLK, + input S02_AXI_ARESETN, + input [31:0]S02_AXI_ARADDR, + input [1:0]S02_AXI_ARBURST, + input [3:0]S02_AXI_ARCACHE, + input [0:0]S02_AXI_ARID, + input [7:0]S02_AXI_ARLEN, + input [0:0]S02_AXI_ARLOCK, + input [2:0]S02_AXI_ARPROT, + input [3:0]S02_AXI_ARQOS, + output S02_AXI_ARREADY, + input [3:0]S02_AXI_ARREGION, + input [2:0]S02_AXI_ARSIZE, + input S02_AXI_ARVALID, + input [31:0]S02_AXI_AWADDR, + input [1:0]S02_AXI_AWBURST, + input [3:0]S02_AXI_AWCACHE, + input [0:0]S02_AXI_AWID, + input [7:0]S02_AXI_AWLEN, + input [0:0]S02_AXI_AWLOCK, + input [2:0]S02_AXI_AWPROT, + input [3:0]S02_AXI_AWQOS, + output S02_AXI_AWREADY, + input [3:0]S02_AXI_AWREGION, + input [2:0]S02_AXI_AWSIZE, + input S02_AXI_AWVALID, + output [0:0]S02_AXI_BID, + input S02_AXI_BREADY, + output [1:0]S02_AXI_BRESP, + output S02_AXI_BVALID, + output [63:0]S02_AXI_RDATA, + output [0:0]S02_AXI_RID, + output S02_AXI_RLAST, + input S02_AXI_RREADY, + output [1:0]S02_AXI_RRESP, + output S02_AXI_RVALID, + input [63:0]S02_AXI_WDATA, + input S02_AXI_WLAST, + output S02_AXI_WREADY, + input [7:0]S02_AXI_WSTRB, + input S02_AXI_WVALID, + input S03_AXI_ACLK, + input S03_AXI_ARESETN, + input [31:0]S03_AXI_ARADDR, + input [1:0]S03_AXI_ARBURST, + input [3:0]S03_AXI_ARCACHE, + input [0:0]S03_AXI_ARID, + input [7:0]S03_AXI_ARLEN, + input [0:0]S03_AXI_ARLOCK, + input [2:0]S03_AXI_ARPROT, + input [3:0]S03_AXI_ARQOS, + output S03_AXI_ARREADY, + input [3:0]S03_AXI_ARREGION, + input [2:0]S03_AXI_ARSIZE, + input S03_AXI_ARVALID, + input [31:0]S03_AXI_AWADDR, + input [1:0]S03_AXI_AWBURST, + input [3:0]S03_AXI_AWCACHE, + input [0:0]S03_AXI_AWID, + input [7:0]S03_AXI_AWLEN, + input [0:0]S03_AXI_AWLOCK, + input [2:0]S03_AXI_AWPROT, + input [3:0]S03_AXI_AWQOS, + output S03_AXI_AWREADY, + input [3:0]S03_AXI_AWREGION, + input [2:0]S03_AXI_AWSIZE, + input S03_AXI_AWVALID, + output [0:0]S03_AXI_BID, + input S03_AXI_BREADY, + output [1:0]S03_AXI_BRESP, + output S03_AXI_BVALID, + output [63:0]S03_AXI_RDATA, + output [0:0]S03_AXI_RID, + output S03_AXI_RLAST, + input S03_AXI_RREADY, + output [1:0]S03_AXI_RRESP, + output S03_AXI_RVALID, + input [63:0]S03_AXI_WDATA, + input S03_AXI_WLAST, + output S03_AXI_WREADY, + input [7:0]S03_AXI_WSTRB, + input S03_AXI_WVALID +); + + axi_intercon_4x64_256_bd axi_intercon_4x64_256_bd_i ( + .M00_AXI_ACLK(M00_AXI_ACLK), + .M00_AXI_ARESETN(M00_AXI_ARESETN), + .M00_AXI_araddr(M00_AXI_ARADDR), + .M00_AXI_arburst(M00_AXI_ARBURST), + .M00_AXI_arcache(M00_AXI_ARCACHE), + .M00_AXI_arid(M00_AXI_ARID), + .M00_AXI_arlen(M00_AXI_ARLEN), + .M00_AXI_arlock(M00_AXI_ARLOCK), + .M00_AXI_arprot(M00_AXI_ARPROT), + .M00_AXI_arqos(M00_AXI_ARQOS), + .M00_AXI_arready(M00_AXI_ARREADY), + .M00_AXI_arregion(M00_AXI_ARREGION), + .M00_AXI_arsize(M00_AXI_ARSIZE), + .M00_AXI_arvalid(M00_AXI_ARVALID), + .M00_AXI_awaddr(M00_AXI_AWADDR), + .M00_AXI_awburst(M00_AXI_AWBURST), + .M00_AXI_awcache(M00_AXI_AWCACHE), + .M00_AXI_awid(M00_AXI_AWID), + .M00_AXI_awlen(M00_AXI_AWLEN), + .M00_AXI_awlock(M00_AXI_AWLOCK), + .M00_AXI_awprot(M00_AXI_AWPROT), + .M00_AXI_awqos(M00_AXI_AWQOS), + .M00_AXI_awready(M00_AXI_AWREADY), + .M00_AXI_awregion(M00_AXI_AWREGION), + .M00_AXI_awsize(M00_AXI_AWSIZE), + .M00_AXI_awvalid(M00_AXI_AWVALID), + .M00_AXI_bid(M00_AXI_BID), + .M00_AXI_bready(M00_AXI_BREADY), + .M00_AXI_bresp(M00_AXI_BRESP), + .M00_AXI_bvalid(M00_AXI_BVALID), + .M00_AXI_rdata(M00_AXI_RDATA), + .M00_AXI_rid(M00_AXI_RID), + .M00_AXI_rlast(M00_AXI_RLAST), + .M00_AXI_rready(M00_AXI_RREADY), + .M00_AXI_rresp(M00_AXI_RRESP), + .M00_AXI_rvalid(M00_AXI_RVALID), + .M00_AXI_wdata(M00_AXI_WDATA), + .M00_AXI_wlast(M00_AXI_WLAST), + .M00_AXI_wready(M00_AXI_WREADY), + .M00_AXI_wstrb(M00_AXI_WSTRB), + .M00_AXI_wvalid(M00_AXI_WVALID), + .S00_AXI_ACLK(S00_AXI_ACLK), + .S00_AXI_ARESETN(S00_AXI_ARESETN), + .S00_AXI_araddr(S00_AXI_ARADDR), + .S00_AXI_arburst(S00_AXI_ARBURST), + .S00_AXI_arcache(S00_AXI_ARCACHE), + .S00_AXI_arid(S00_AXI_ARID), + .S00_AXI_arlen(S00_AXI_ARLEN), + .S00_AXI_arlock(S00_AXI_ARLOCK), + .S00_AXI_arprot(S00_AXI_ARPROT), + .S00_AXI_arqos(S00_AXI_ARQOS), + .S00_AXI_arready(S00_AXI_ARREADY), + .S00_AXI_arregion(S00_AXI_ARREGION), + .S00_AXI_arsize(S00_AXI_ARSIZE), + .S00_AXI_arvalid(S00_AXI_ARVALID), + .S00_AXI_awaddr(S00_AXI_AWADDR), + .S00_AXI_awburst(S00_AXI_AWBURST), + .S00_AXI_awcache(S00_AXI_AWCACHE), + .S00_AXI_awid(S00_AXI_AWID), + .S00_AXI_awlen(S00_AXI_AWLEN), + .S00_AXI_awlock(S00_AXI_AWLOCK), + .S00_AXI_awprot(S00_AXI_AWPROT), + .S00_AXI_awqos(S00_AXI_AWQOS), + .S00_AXI_awready(S00_AXI_AWREADY), + .S00_AXI_awregion(S00_AXI_AWREGION), + .S00_AXI_awsize(S00_AXI_AWSIZE), + .S00_AXI_awvalid(S00_AXI_AWVALID), + .S00_AXI_bid(S00_AXI_BID), + .S00_AXI_bready(S00_AXI_BREADY), + .S00_AXI_bresp(S00_AXI_BRESP), + .S00_AXI_bvalid(S00_AXI_BVALID), + .S00_AXI_rdata(S00_AXI_RDATA), + .S00_AXI_rid(S00_AXI_RID), + .S00_AXI_rlast(S00_AXI_RLAST), + .S00_AXI_rready(S00_AXI_RREADY), + .S00_AXI_rresp(S00_AXI_RRESP), + .S00_AXI_rvalid(S00_AXI_RVALID), + .S00_AXI_wdata(S00_AXI_WDATA), + .S00_AXI_wlast(S00_AXI_WLAST), + .S00_AXI_wready(S00_AXI_WREADY), + .S00_AXI_wstrb(S00_AXI_WSTRB), + .S00_AXI_wvalid(S00_AXI_WVALID), + .S01_AXI_ACLK(S01_AXI_ACLK), + .S01_AXI_ARESETN(S01_AXI_ARESETN), + .S01_AXI_araddr(S01_AXI_ARADDR), + .S01_AXI_arburst(S01_AXI_ARBURST), + .S01_AXI_arcache(S01_AXI_ARCACHE), + .S01_AXI_arid(S01_AXI_ARID), + .S01_AXI_arlen(S01_AXI_ARLEN), + .S01_AXI_arlock(S01_AXI_ARLOCK), + .S01_AXI_arprot(S01_AXI_ARPROT), + .S01_AXI_arqos(S01_AXI_ARQOS), + .S01_AXI_arready(S01_AXI_ARREADY), + .S01_AXI_arregion(S01_AXI_ARREGION), + .S01_AXI_arsize(S01_AXI_ARSIZE), + .S01_AXI_arvalid(S01_AXI_ARVALID), + .S01_AXI_awaddr(S01_AXI_AWADDR), + .S01_AXI_awburst(S01_AXI_AWBURST), + .S01_AXI_awcache(S01_AXI_AWCACHE), + .S01_AXI_awid(S01_AXI_AWID), + .S01_AXI_awlen(S01_AXI_AWLEN), + .S01_AXI_awlock(S01_AXI_AWLOCK), + .S01_AXI_awprot(S01_AXI_AWPROT), + .S01_AXI_awqos(S01_AXI_AWQOS), + .S01_AXI_awready(S01_AXI_AWREADY), + .S01_AXI_awregion(S01_AXI_AWREGION), + .S01_AXI_awsize(S01_AXI_AWSIZE), + .S01_AXI_awvalid(S01_AXI_AWVALID), + .S01_AXI_bid(S01_AXI_BID), + .S01_AXI_bready(S01_AXI_BREADY), + .S01_AXI_bresp(S01_AXI_BRESP), + .S01_AXI_bvalid(S01_AXI_BVALID), + .S01_AXI_rdata(S01_AXI_RDATA), + .S01_AXI_rid(S01_AXI_RID), + .S01_AXI_rlast(S01_AXI_RLAST), + .S01_AXI_rready(S01_AXI_RREADY), + .S01_AXI_rresp(S01_AXI_RRESP), + .S01_AXI_rvalid(S01_AXI_RVALID), + .S01_AXI_wdata(S01_AXI_WDATA), + .S01_AXI_wlast(S01_AXI_WLAST), + .S01_AXI_wready(S01_AXI_WREADY), + .S01_AXI_wstrb(S01_AXI_WSTRB), + .S01_AXI_wvalid(S01_AXI_WVALID), + .S02_AXI_ACLK(S02_AXI_ACLK), + .S02_AXI_ARESETN(S02_AXI_ARESETN), + .S02_AXI_araddr(S02_AXI_ARADDR), + .S02_AXI_arburst(S02_AXI_ARBURST), + .S02_AXI_arcache(S02_AXI_ARCACHE), + .S02_AXI_arid(S02_AXI_ARID), + .S02_AXI_arlen(S02_AXI_ARLEN), + .S02_AXI_arlock(S02_AXI_ARLOCK), + .S02_AXI_arprot(S02_AXI_ARPROT), + .S02_AXI_arqos(S02_AXI_ARQOS), + .S02_AXI_arready(S02_AXI_ARREADY), + .S02_AXI_arregion(S02_AXI_ARREGION), + .S02_AXI_arsize(S02_AXI_ARSIZE), + .S02_AXI_arvalid(S02_AXI_ARVALID), + .S02_AXI_awaddr(S02_AXI_AWADDR), + .S02_AXI_awburst(S02_AXI_AWBURST), + .S02_AXI_awcache(S02_AXI_AWCACHE), + .S02_AXI_awid(S02_AXI_AWID), + .S02_AXI_awlen(S02_AXI_AWLEN), + .S02_AXI_awlock(S02_AXI_AWLOCK), + .S02_AXI_awprot(S02_AXI_AWPROT), + .S02_AXI_awqos(S02_AXI_AWQOS), + .S02_AXI_awready(S02_AXI_AWREADY), + .S02_AXI_awregion(S02_AXI_AWREGION), + .S02_AXI_awsize(S02_AXI_AWSIZE), + .S02_AXI_awvalid(S02_AXI_AWVALID), + .S02_AXI_bid(S02_AXI_BID), + .S02_AXI_bready(S02_AXI_BREADY), + .S02_AXI_bresp(S02_AXI_BRESP), + .S02_AXI_bvalid(S02_AXI_BVALID), + .S02_AXI_rdata(S02_AXI_RDATA), + .S02_AXI_rid(S02_AXI_RID), + .S02_AXI_rlast(S02_AXI_RLAST), + .S02_AXI_rready(S02_AXI_RREADY), + .S02_AXI_rresp(S02_AXI_RRESP), + .S02_AXI_rvalid(S02_AXI_RVALID), + .S02_AXI_wdata(S02_AXI_WDATA), + .S02_AXI_wlast(S02_AXI_WLAST), + .S02_AXI_wready(S02_AXI_WREADY), + .S02_AXI_wstrb(S02_AXI_WSTRB), + .S02_AXI_wvalid(S02_AXI_WVALID), + .S03_AXI_ACLK(S03_AXI_ACLK), + .S03_AXI_ARESETN(S03_AXI_ARESETN), + .S03_AXI_araddr(S03_AXI_ARADDR), + .S03_AXI_arburst(S03_AXI_ARBURST), + .S03_AXI_arcache(S03_AXI_ARCACHE), + .S03_AXI_arid(S03_AXI_ARID), + .S03_AXI_arlen(S03_AXI_ARLEN), + .S03_AXI_arlock(S03_AXI_ARLOCK), + .S03_AXI_arprot(S03_AXI_ARPROT), + .S03_AXI_arqos(S03_AXI_ARQOS), + .S03_AXI_arready(S03_AXI_ARREADY), + .S03_AXI_arregion(S03_AXI_ARREGION), + .S03_AXI_arsize(S03_AXI_ARSIZE), + .S03_AXI_arvalid(S03_AXI_ARVALID), + .S03_AXI_awaddr(S03_AXI_AWADDR), + .S03_AXI_awburst(S03_AXI_AWBURST), + .S03_AXI_awcache(S03_AXI_AWCACHE), + .S03_AXI_awid(S03_AXI_AWID), + .S03_AXI_awlen(S03_AXI_AWLEN), + .S03_AXI_awlock(S03_AXI_AWLOCK), + .S03_AXI_awprot(S03_AXI_AWPROT), + .S03_AXI_awqos(S03_AXI_AWQOS), + .S03_AXI_awready(S03_AXI_AWREADY), + .S03_AXI_awregion(S03_AXI_AWREGION), + .S03_AXI_awsize(S03_AXI_AWSIZE), + .S03_AXI_awvalid(S03_AXI_AWVALID), + .S03_AXI_bid(S03_AXI_BID), + .S03_AXI_bready(S03_AXI_BREADY), + .S03_AXI_bresp(S03_AXI_BRESP), + .S03_AXI_bvalid(S03_AXI_BVALID), + .S03_AXI_rdata(S03_AXI_RDATA), + .S03_AXI_rid(S03_AXI_RID), + .S03_AXI_rlast(S03_AXI_RLAST), + .S03_AXI_rready(S03_AXI_RREADY), + .S03_AXI_rresp(S03_AXI_RRESP), + .S03_AXI_rvalid(S03_AXI_RVALID), + .S03_AXI_wdata(S03_AXI_WDATA), + .S03_AXI_wlast(S03_AXI_WLAST), + .S03_AXI_wready(S03_AXI_WREADY), + .S03_AXI_wstrb(S03_AXI_WSTRB), + .S03_AXI_wvalid(S03_AXI_WVALID) + ); +endmodule diff --git a/fpga/usrp3/top/n3xx/ip/axi_interconnect/Makefile.inc b/fpga/usrp3/top/n3xx/ip/axi_interconnect/Makefile.inc new file mode 100644 index 000000000..a169c12bf --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/axi_interconnect/Makefile.inc @@ -0,0 +1,16 @@ +# +# Copyright 2015 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_AXI_INTERCONNECT_SRCS = $(IP_BUILD_DIR)/axi_interconnect/axi_interconnect.xci + +IP_AXI_INTERCONNECT = $(addprefix $(IP_BUILD_DIR)/axi_interconnect/, \ +axi_interconnect.xci.out \ +synth/axi_interconnect.v \ +) + +$(IP_AXI_INTERCONNECT_SRCS) $(IP_AXI_INTERCONNECT_OUTS) : $(IP_DIR)/axi_interconnect/axi_interconnect.xci + $(call BUILD_VIVADO_IP,axi_interconnect,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) + diff --git a/fpga/usrp3/top/n3xx/ip/axi_interconnect/axi_interconnect.xci b/fpga/usrp3/top/n3xx/ip/axi_interconnect/axi_interconnect.xci new file mode 100644 index 000000000..8af91b716 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/axi_interconnect/axi_interconnect.xci @@ -0,0 +1,2674 @@ + + + xilinx.com + xci + unknown + 1.0 + + + axi_interconnect + + + + + + 10000000 + 0 + 0.000 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 0 + ACTIVE_LOW + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 1 + 1 + 1 + 32 + 1 + 2 + 1 + 0 + 1 + 0 + zynq + 0x0000000e0000000e0000000e0000000e0000000e0000000e0000000e + 0x000000004001800000000000400140000000000040010000000000004000c000000000004000800000000000400040000000000040000000 + 0x00000001000000010000000100000001000000010000000100000001 + 0x00000001000000010000000100000001000000010000000100000001 + 0x00000000000000000000000000000000000000000000000000000000 + 0x00000001000000010000000100000001000000010000000100000001 + 0x00000001000000010000000100000001000000010000000100000001 + 1 + 7 + 1 + 1 + 0x00000000 + 0x00000000 + 0x00000001 + 0x00000001 + 0x00000000 + 0x00000001 + 1 + 32 + 0 + 0 + 0 + SASD + axi_interconnect + 32 + 0 + 14 + 0x0000000040000000 + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 14 + 0x0000000040004000 + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 14 + 0x0000000040008000 + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 14 + 0x000000004000c000 + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 14 + 0x0000000040010000 + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 14 + 0x0000000040014000 + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 14 + 0x0000000040018000 + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 12 + 0x0000000000700000 + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 12 + 0x0000000000800000 + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 12 + 0x0000000000900000 + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 12 + 0x0000000000a00000 + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 12 + 0x0000000000b00000 + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 12 + 0x0000000000c00000 + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 12 + 0x0000000000d00000 + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 12 + 0x0000000000e00000 + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 12 + 0x0000000000f00000 + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 0xFFFFFFFFFFFFFFFF + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 7 + 1 + AXI4LITE + 0 + 1 + 0 + 0x00000000 + 1 + 1 + 0 + 1 + 0 + 0x00000001 + 1 + 0 + 0 + 1 + 0 + 0x00000002 + 1 + 0 + 0 + 1 + 0 + 0x00000003 + 1 + 0 + 0 + 1 + 0 + 0x00000004 + 1 + 0 + 0 + 1 + 0 + 0x00000005 + 1 + 0 + 0 + 1 + 0 + 0x00000006 + 1 + 0 + 0 + 1 + 0 + 0x00000007 + 1 + 0 + 0 + 1 + 0 + 0x00000008 + 1 + 0 + 0 + 1 + 0 + 0x00000009 + 1 + 0 + 0 + 1 + 0 + 0x0000000a + 1 + 0 + 0 + 1 + 0 + 0x0000000b + 1 + 0 + 0 + 1 + 0 + 0x0000000c + 1 + 0 + 0 + 1 + 0 + 0x0000000d + 1 + 0 + 0 + 1 + 0 + 0x0000000e + 1 + 0 + 0 + 1 + 0 + 0x0000000f + 1 + 0 + 0 + 1 + 0 + 0 + zynq + + + xc7z100 + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 20 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/n3xx/ip/axis_fifo_to_axi4lite/Makefile.inc b/fpga/usrp3/top/n3xx/ip/axis_fifo_to_axi4lite/Makefile.inc new file mode 100644 index 000000000..a78954885 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/axis_fifo_to_axi4lite/Makefile.inc @@ -0,0 +1,14 @@ +# +# Copyright 2017 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_AXIS_FIFO_TO_AXI4LITE_SRCS = $(IP_BUILD_DIR)/axis_fifo_to_axi4lite/axis_fifo_to_axi4lite.xci + +IP_AXIS_FIFO_TO_AXI4LITE_OUTS = $(addprefix $(IP_BUILD_DIR)/axis_fifo_to_axi4lite/, \ +axis_fifo_to_axi4lite.xci.out \ +) + +$(IP_AXIS_FIFO_TO_AXI4LITE_SRCS) $(IP_AXIS_FIFO_TO_AXI4LITE_OUTS) : $(IP_DIR)/axis_fifo_to_axi4lite/axis_fifo_to_axi4lite.xci + $(call BUILD_VIVADO_IP,axis_fifo_to_axi4lite,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) diff --git a/fpga/usrp3/top/n3xx/ip/axis_fifo_to_axi4lite/axis_fifo_to_axi4lite.xci b/fpga/usrp3/top/n3xx/ip/axis_fifo_to_axi4lite/axis_fifo_to_axi4lite.xci new file mode 100644 index 000000000..80d8104d5 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/axis_fifo_to_axi4lite/axis_fifo_to_axi4lite.xci @@ -0,0 +1,267 @@ + + + xilinx.com + xci + unknown + 1.0 + + + axis_fifo_to_axi4lite + + + 4096 + 4096 + + 100000000 + 0 + 0.000 + + 100000000 + 1 + 1 + 1 + 0 + 0 + undef + 0.000 + 4 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + 100000000 + 1 + 1 + 1 + 0 + 0 + undef + 0.000 + 4 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 0x80001000 + 0x80002FFF + 4 + 4 + 4 + 0x80000000 + 0 + zynq + 0 + 0 + 1 + 0 + 0 + 0x80000FFF + 32768 + 5 + 4091 + 32 + 32 + 32 + 4 + 2048 + 5 + 2043 + 0 + 1 + 0 + 0 + 1 + 0x80001000 + 0x80002FFF + 4 + 4 + 4 + XIL_AXI_STREAM_ETH_DATA + 32 + XIL_AXI_STREAM_ETH_CTRL + 32 + XIL_AXI_STREAM_ETH_DATA + 32 + 0x80000000 + 0 + false + false + true + false + false + 0x80000FFF + 32768 + 5 + 4091 + 0 + 32 + 32 + 32 + 4 + AXI4LITE + 2048 + 5 + 2043 + false + 1 + 0 + 0 + 1 + axis_fifo_to_axi4lite + zynq + + + xc7z100 + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 1 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/n3xx/ip/ddr3_32bit/Makefile.inc b/fpga/usrp3/top/n3xx/ip/ddr3_32bit/Makefile.inc new file mode 100644 index 000000000..e96eaf838 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/ddr3_32bit/Makefile.inc @@ -0,0 +1,26 @@ +# +# Copyright 2017 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_DDR3_32BIT_SRCS = \ +$(IP_BUILD_DIR)/ddr3_32bit/ddr3_32bit.xci \ +$(IP_BUILD_DIR)/ddr3_32bit/ddr3_32bit/user_design/rtl/clocking/mig_7series_v4_2_tempmon.v + +IP_DDR3_32BIT_OUTS = $(addprefix $(IP_BUILD_DIR)/ddr3_32bit/, \ +ddr3_32bit.xci.out \ +ddr3_32bit/user_design/rtl/ddr3_32bit.v \ +ddr3_32bit/user_design/rtl/ddr3_32bit_mig.v \ +) + +IP_DDR3_32BIT_SIM_OUTS = $(addprefix $(IP_BUILD_DIR)/ddr3_32bit/, \ +ddr3_32bit/example_design/sim/ddr3_model.sv \ +ddr3_32bit/example_design/sim/ddr3_model_parameters.vh \ +) + + +$(IP_DDR3_32BIT_SRCS) $(IP_DDR3_32BIT_OUTS) : $(IP_DIR)/ddr3_32bit/ddr3_32bit.xci $(IP_DIR)/ddr3_32bit/mig_xc7z*.prj + cp -f $(IP_DIR)/ddr3_32bit/mig_$(subst /,,$(PART_ID)).prj $(IP_DIR)/ddr3_32bit/mig_a.prj # Note: This won't allow parallel IP builds + $(call BUILD_VIVADO_IP,ddr3_32bit,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) + rm -f $(IP_DIR)/ddr3_32bit/mig_a.prj diff --git a/fpga/usrp3/top/n3xx/ip/ddr3_32bit/ddr3_32bit.xci b/fpga/usrp3/top/n3xx/ip/ddr3_32bit/ddr3_32bit.xci new file mode 100644 index 000000000..f72f56759 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/ddr3_32bit/ddr3_32bit.xci @@ -0,0 +1,2648 @@ + + + xilinx.com + xci + unknown + 1.0 + + + ddr3_32bit + + + 0 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + false + 100000000 + + + + 100000000 + 0 + 0.000 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 31 + 0 + 0 + 0 + + 256 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 4 + 0 + 256 + 2 + 1 + 2 + 1 + 0.000 + AXI4 + READ_WRITE + 0 + 0 + 1 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 0 + 31 + 32 + 32 + 4 + 1048576 + 256 + 4 + 2147483648 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 30 + 3 + 1 + 1 + 1 + 32 + OFF + 4 + 2 + 4 + 32 + 1 + OFF + 16 + 1 + 1 + 1 + 4 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 162548765 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 650 + 1 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + NOBUF + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + EXTERNAL + FALSE + 1 + Custom + ddr3_32bit + Custom + Custom + mig_a.prj + zynq + + + xc7z100 + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 1 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/n3xx/ip/ddr3_32bit/mig_xc7z035ffg900-2.prj b/fpga/usrp3/top/n3xx/ip/ddr3_32bit/mig_xc7z035ffg900-2.prj new file mode 100644 index 000000000..495ca2a8b --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/ddr3_32bit/mig_xc7z035ffg900-2.prj @@ -0,0 +1,164 @@ + + + + ddr3_32bit + 1 + 1 + OFF + 1024 + ON + Disabled + xc7z035-ffg900/-2 + 4.0 + Differential + No Buffer + ACTIVE LOW + FALSE + 0 + 50 Ohms + 0 + + 7z/xc7z035-ffg900 + + + DDR3_SDRAM/Components/MT41K256M16XX-125 + 1538 + 1.8V + 4:1 + 100.03 + 0 + 650 + 1.000 + 1 + 1 + 1 + 1 + 32 + 1 + 1 + Disabled + Normal + 4 + TRUE + MT41K512M16XX-125 + 16 + 10 + 3 + 1.5V + ROW_BANK_COLUMN + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 8 - Fixed + Sequential + 9 + Normal + No + Slow Exit + Enable + RZQ/6 + Disable + Enable + RZQ/6 + 0 + Disabled + Enabled + Output Buffer Enabled + Full Array + 7 + Enabled + Normal + Dynamic ODT off + AXI + + RD_PRI_REG + 31 + 256 + 4 + 1 + + + + diff --git a/fpga/usrp3/top/n3xx/ip/ddr3_32bit/mig_xc7z100ffg900-2.prj b/fpga/usrp3/top/n3xx/ip/ddr3_32bit/mig_xc7z100ffg900-2.prj new file mode 100644 index 000000000..ffad2f7f8 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/ddr3_32bit/mig_xc7z100ffg900-2.prj @@ -0,0 +1,164 @@ + + + + ddr3_32bit + 1 + 1 + OFF + 1024 + ON + Disabled + xc7z100-ffg900/-2 + 4.0 + Differential + No Buffer + ACTIVE LOW + FALSE + 0 + 50 Ohms + 0 + + 7z/xc7z035-ffg900 + + + DDR3_SDRAM/Components/MT41K256M16XX-125 + 1538 + 1.8V + 4:1 + 100.03 + 0 + 650 + 1.000 + 1 + 1 + 1 + 1 + 32 + 1 + 1 + Disabled + Normal + 4 + TRUE + MT41K512M16XX-125 + 16 + 10 + 3 + 1.5V + ROW_BANK_COLUMN + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 8 - Fixed + Sequential + 9 + Normal + No + Slow Exit + Enable + RZQ/6 + Disable + Enable + RZQ/6 + 0 + Disabled + Enabled + Output Buffer Enabled + Full Array + 7 + Enabled + Normal + Dynamic ODT off + AXI + + RD_PRI_REG + 31 + 256 + 4 + 1 + + + + diff --git a/fpga/usrp3/top/n3xx/ip/fifo_4k_2clk/Makefile.inc b/fpga/usrp3/top/n3xx/ip/fifo_4k_2clk/Makefile.inc new file mode 100644 index 000000000..e022d9a1c --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/fifo_4k_2clk/Makefile.inc @@ -0,0 +1,15 @@ +# +# Copyright 2014 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_FIFO_4K_2CLK_SRCS = $(IP_BUILD_DIR)/fifo_4k_2clk/fifo_4k_2clk.xci + +IP_FIFO_4K_2CLK_OUTS = $(addprefix $(IP_BUILD_DIR)/fifo_4k_2clk/, \ +fifo_4k_2clk.xci.out \ +synth/fifo_4k_2clk.vhd \ +) + +$(IP_FIFO_4K_2CLK_SRCS) $(IP_FIFO_4K_2CLK_OUTS) : $(IP_DIR)/fifo_4k_2clk/fifo_4k_2clk.xci + $(call BUILD_VIVADO_IP,fifo_4k_2clk,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) diff --git a/fpga/usrp3/top/n3xx/ip/fifo_4k_2clk/fifo_4k_2clk.xci b/fpga/usrp3/top/n3xx/ip/fifo_4k_2clk/fifo_4k_2clk.xci new file mode 100644 index 000000000..888840273 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/fifo_4k_2clk/fifo_4k_2clk.xci @@ -0,0 +1,576 @@ + + + xilinx.com + xci + unknown + 1.0 + + + fifo_4k_2clk + + + + + + 100000000 + 0 + 0.000 + + + 100000000 + 0 + 0.000 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + + + 100000000 + 0 + 0.000 + + 100000000 + 0 + 0.000 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + + + 100000000 + 0 + 0.000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 4 + 0 + 32 + 1 + 1 + 1 + 64 + 1 + 8 + 1 + 1 + 1 + 1 + 0 + 0 + 9 + BlankString + 72 + 1 + 32 + 64 + 1 + 64 + 2 + 0 + 72 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + zynq + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 2 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + 1 + BlankString + 0 + 0 + 0 + 0 + 0 + 1 + 512x72 + 1kx18 + 512x36 + 1kx36 + 512x36 + 1kx36 + 512x36 + 4 + 1022 + 1022 + 1022 + 1022 + 1022 + 1022 + 5 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 511 + 1023 + 1023 + 1023 + 1023 + 1023 + 1023 + 510 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 10 + 512 + 1 + 9 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 2 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 10 + 512 + 1024 + 16 + 1024 + 16 + 1024 + 16 + 1 + 9 + 10 + 4 + 10 + 4 + 10 + 4 + 1 + 32 + 0 + 0 + false + false + false + 0 + 0 + Slave_Interface_Clock_Enable + Common_Clock + fifo_4k_2clk + 64 + false + 9 + true + false + 0 + 4 + 1022 + 1022 + 1022 + 1022 + 1022 + 1022 + 5 + false + false + false + false + false + false + false + false + false + Hard_ECC + false + false + false + false + false + false + true + false + false + true + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Independent_Clocks_Block_RAM + 1 + 511 + 1023 + 1023 + 1023 + 1023 + 1023 + 1023 + 510 + false + false + false + 0 + Native + false + false + false + false + false + false + false + false + false + false + false + false + false + false + 72 + 512 + 1024 + 16 + 1024 + 16 + 1024 + 16 + false + 72 + 512 + Embedded_Reg + false + false + Active_High + Active_High + AXI4 + First_Word_Fall_Through + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + READ_WRITE + 0 + 1 + true + 10 + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + true + Asynchronous_Reset + false + 0 + 0 + 0 + 0 + 0 + 4 + false + false + Active_High + Active_High + true + false + false + true + false + Active_High + 0 + false + Active_High + 1 + true + 10 + false + FIFO + false + false + false + false + FIFO + FIFO + 2 + 2 + false + FIFO + FIFO + FIFO + zynq + + + xc7z100 + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 4 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/n3xx/ip/fifo_short_2clk/Makefile.inc b/fpga/usrp3/top/n3xx/ip/fifo_short_2clk/Makefile.inc new file mode 100644 index 000000000..8c5c54213 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/fifo_short_2clk/Makefile.inc @@ -0,0 +1,15 @@ +# +# Copyright 2014 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_FIFO_SHORT_2CLK_SRCS = $(IP_BUILD_DIR)/fifo_short_2clk/fifo_short_2clk.xci + +IP_FIFO_SHORT_2CLK_OUTS = $(addprefix $(IP_BUILD_DIR)/fifo_short_2clk/, \ +fifo_short_2clk.xci.out \ +synth/fifo_short_2clk.vhd \ +) + +$(IP_FIFO_SHORT_2CLK_SRCS) $(IP_FIFO_SHORT_2CLK_OUTS) : $(IP_DIR)/fifo_short_2clk/fifo_short_2clk.xci + $(call BUILD_VIVADO_IP,fifo_short_2clk,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) diff --git a/fpga/usrp3/top/n3xx/ip/fifo_short_2clk/fifo_short_2clk.xci b/fpga/usrp3/top/n3xx/ip/fifo_short_2clk/fifo_short_2clk.xci new file mode 100644 index 000000000..cc0f896b8 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/fifo_short_2clk/fifo_short_2clk.xci @@ -0,0 +1,578 @@ + + + xilinx.com + xci + unknown + 1.0 + + + fifo_short_2clk + + + + + + 100000000 + 0 + 0.000 + + + 100000000 + 0 + 0.000 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + + + 100000000 + 0 + 0.000 + + 100000000 + 0 + 0.000 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + + + 100000000 + 0 + 0.000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 4 + 0 + 32 + 1 + 1 + 1 + 64 + 1 + 8 + 1 + 1 + 1 + 1 + 0 + 0 + 5 + BlankString + 72 + 1 + 32 + 64 + 1 + 64 + 2 + 0 + 72 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + zynq + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 2 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + 2 + BlankString + 1 + 0 + 0 + 0 + 0 + 1 + 512x72 + 1kx18 + 512x36 + 1kx36 + 512x36 + 1kx36 + 512x36 + 4 + 1022 + 1022 + 1022 + 1022 + 1022 + 1022 + 5 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 31 + 1023 + 1023 + 1023 + 1023 + 1023 + 1023 + 30 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 6 + 32 + 1 + 5 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 3 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 6 + 32 + 1024 + 16 + 1024 + 16 + 1024 + 16 + 1 + 5 + 10 + 4 + 10 + 4 + 10 + 4 + 1 + 32 + 0 + 0 + false + false + false + 0 + 0 + Slave_Interface_Clock_Enable + Common_Clock + fifo_short_2clk + 64 + false + 5 + false + false + 0 + 4 + 1022 + 1022 + 1022 + 1022 + 1022 + 1022 + 5 + false + false + false + false + false + false + false + false + false + Hard_ECC + false + false + false + false + false + false + true + false + false + true + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Independent_Clocks_Distributed_RAM + 1 + 31 + 1023 + 1023 + 1023 + 1023 + 1023 + 1023 + 30 + false + false + false + 0 + Native + false + false + false + false + false + false + false + false + false + false + false + false + false + false + 72 + 32 + 1024 + 16 + 1024 + 16 + 1024 + 16 + false + 72 + 32 + Embedded_Reg + false + false + Active_High + Active_High + AXI4 + First_Word_Fall_Through + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + READ_WRITE + 0 + 1 + true + 6 + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + true + Asynchronous_Reset + false + 0 + 0 + 0 + 0 + 0 + 4 + false + false + Active_High + Active_High + true + false + false + true + false + Active_High + 0 + false + Active_High + 1 + true + 6 + false + FIFO + false + false + false + false + FIFO + FIFO + 3 + 2 + false + FIFO + FIFO + FIFO + zynq + + + xc7z100 + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 4 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/n3xx/ip/hb47_1to2/Makefile.inc b/fpga/usrp3/top/n3xx/ip/hb47_1to2/Makefile.inc new file mode 100644 index 000000000..14b705d1d --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/hb47_1to2/Makefile.inc @@ -0,0 +1,15 @@ +# +# Copyright 2014 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_HB47_1TO2_SRCS = $(IP_BUILD_DIR)/hb47_1to2/hb47_1to2.xci + +IP_HB47_1TO2_OUTS = $(addprefix $(IP_BUILD_DIR)/hb47_1to2/, \ +hb47_1to2.xci.out \ +synth/hb47_1to2.vhd \ +) + +$(IP_HB47_1TO2_SRCS) $(IP_HB47_1TO2_OUTS) : $(IP_DIR)/hb47_1to2/hb47_1to2.xci + $(call BUILD_VIVADO_IP,hb47_1to2,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) diff --git a/fpga/usrp3/top/n3xx/ip/hb47_1to2/hb47_1to2.xci b/fpga/usrp3/top/n3xx/ip/hb47_1to2/hb47_1to2.xci new file mode 100644 index 000000000..a192027fb --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/hb47_1to2/hb47_1to2.xci @@ -0,0 +1,294 @@ + + + xilinx.com + xci + unknown + 1.0 + + + hb47_1to2 + + + ACTIVE_LOW + + 100000000 + 0 + 0.000 + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + + 100000000 + 0 + 0 + 1 + 0 + 0 + undef + 0.000 + 12 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 1 + 0 + 0 + undef + 0.000 + 4 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + 35,35,35,35 + 35,35,35,35 + fixed + hb47_1to2.mif + 48 + 2 + 0 + 0,0,0,0 + 0,0,2,2 + 18,18,18,18 + 0 + 18 + 24 + 1 + 4 + hb47_1to2 + 0 + 0 + 1 + 0 + 0 + 16,16 + 0 + 0 + -0;-1;-0;-1 + 0,0,0,0 + 0,1,0,1 + 16,16,16,16 + 16,16 + 16 + 1 + ./ + none + 0 + 0 + 0 + 1 + 0 + 1 + 1 + 0 + 29 + 2 + 1 + 0 + 96 + 1 + 1 + 1 + 24 + 1 + 47 + 0 + 0 + none;none + -0;-1;-0;-1 + 18,18,18,18 + 1 + 18 + 1 + 0,1,2,3 + 1 + 7 + 0 + 1 + 0 + 32 + 1 + zynq + 1 + false + false + Basic + 300.0 + Vector + -62, 0, 194, 0, -440, 0, 855, 0, -1505, 0, 2478, 0, -3900, 0, 5990, 0, -9187, 0, 14632, 0, -26536, 0, 83009, 131071, 83009, 0, -26536, 0, 14632, 0, -9187, 0, 5990, 0, -3900, 0, 2478, 0, -1505, 0, 855, 0, -440, 0, 194, 0, -62 + Automatic + no_coe_file_loaded + 0 + false + 1 + Signed + Inferred + 18 + 24 + hb47_1to2 + Not_Required + 1 + Automatic + 0 + Signed + 16 + 1 + false + Systolic_Multiply_Accumulate + 1 + Interpolation + Coregen + false + false + false + 1 + false + true + Automatic + 4 + 2 + true + Not_Required + Automatic + 1 + 1 + 2 + Area + None + None + Automatic + Non_Symmetric_Rounding_Up + 18 + 0.5 + 0.0 + P4-0,P4-1,P4-2,P4-3,P4-4 + Automatic + Integer_Coefficients + Output_Sample_Period + Integer + no_coe_file_loaded + true + Single + On_Vector + true + Not_Required + 0.5 + 0.001 + All + 1.0 + 0.5 + 1 + zynq + + + xc7z100 + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 12 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/n3xx/ip/hb47_2to1/Makefile.inc b/fpga/usrp3/top/n3xx/ip/hb47_2to1/Makefile.inc new file mode 100644 index 000000000..293f64d3a --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/hb47_2to1/Makefile.inc @@ -0,0 +1,15 @@ +# +# Copyright 2014 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_HB47_2TO1_SRCS = $(IP_BUILD_DIR)/hb47_2to1/hb47_2to1.xci + +IP_HB47_2TO1_OUTS = $(addprefix $(IP_BUILD_DIR)/hb47_2to1/, \ +hb47_2to1.xci.out \ +synth/hb47_2to1.vhd \ +) + +$(IP_HB47_2TO1_SRCS) $(IP_HB47_2TO1_OUTS) : $(IP_DIR)/hb47_2to1/hb47_2to1.xci $(IP_DIR)/hb47_2to1/hb47.coe + $(call BUILD_VIVADO_IP,hb47_2to1,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) diff --git a/fpga/usrp3/top/n3xx/ip/hb47_2to1/hb47.coe b/fpga/usrp3/top/n3xx/ip/hb47_2to1/hb47.coe new file mode 100644 index 000000000..4c9a6c406 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/hb47_2to1/hb47.coe @@ -0,0 +1,51 @@ +; Generated with round((2^18-2)*halfgen_test(.21,12,1)) +; 83% effective BW, 64dB to 85dB rolloff +Radix=10; +CoefData = +-62, + 0, + 194, + 0, +-440, + 0, + 855, + 0, +-1505, + 0, + 2478, + 0, +-3900, + 0, + 5990, + 0, +-9187, + 0, + 14632, + 0, +-26536, + 0, + 83009, + 131071, + 83009, + 0, +-26536, + 0, + 14632, + 0, +-9187, + 0, + 5990, + 0, +-3900, + 0, + 2478, + 0, +-1505, + 0, + 855, + 0, +-440, + 0 + 194, + 0, +-62; diff --git a/fpga/usrp3/top/n3xx/ip/hb47_2to1/hb47_2to1.xci b/fpga/usrp3/top/n3xx/ip/hb47_2to1/hb47_2to1.xci new file mode 100644 index 000000000..7a8522f9c --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/hb47_2to1/hb47_2to1.xci @@ -0,0 +1,310 @@ + + + xilinx.com + xci + unknown + 1.0 + + + hb47_2to1 + + + ACTIVE_LOW + + 100000000 + 0 + 0.000 + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 6 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 1 + 0 + 0 + undef + 0.000 + 8 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + 35,35 + 35,35,35,35 + fixed + hb47_2to1.mif + 47 + 2 + 0 + 0,0,0,0 + 0,0,0,0 + 18,18,18,18 + 0 + 18 + 47 + 1 + 4 + hb47_2to1 + 0 + 0 + 1 + 2 + 0 + 16,16,16,16 + 0 + 0 + -0,2;-1,3;0,-2;1,-3 + 0,0,0,0 + 0,1,2,3 + 16,16,16,16 + 16,16,16,16 + 16 + 1 + ./ + none + 0 + 0 + 0 + 1 + 0 + 1 + 1 + 2 + 52 + 2 + 0 + 0 + 48 + 1 + 1 + 1 + 47 + 1 + 47 + 0 + 0 + none + 0,-2;1,-3 + 17,17 + 1 + 17 + 1 + 2,3,2,3 + 1 + 7 + 0 + 0 + 0 + 64 + 1 + zynq + 1 + false + false + Basic + 300.0 + COE_File + 6,0,-4,-3,5,6,-6,-13,7,44,64,44,7,-13,-6,6,5,-3,-4,0,6 + Automatic + hb47.coe + 0 + false + 1 + Signed + Inferred + 18 + 47 + hb47_2to1 + Not_Required + 1 + Automatic + 0 + Signed + 16 + 2 + false + Systolic_Multiply_Accumulate + 1 + Decimation + Coregen + false + false + false + 1 + false + true + Automatic + 4 + 1 + false + Not_Required + Automatic + 1 + 1 + 2 + Area + None + None + Automatic + Non_Symmetric_Rounding_Up + 17 + 0.4 + 0.0 + P4-0,P4-1,P4-2,P4-3,P4-4 + Automatic + Integer_Coefficients + Input_Sample_Period + Integer + no_coe_file_loaded + true + Single + On_Vector + false + Not_Required + 0.5 + 0.001 + All + 1.0 + 0.59 + 1 + zynq + + + xc7z100 + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 12 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/n3xx/ip/misc_clock_gen/Makefile.inc b/fpga/usrp3/top/n3xx/ip/misc_clock_gen/Makefile.inc new file mode 100644 index 000000000..2caa3384b --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/misc_clock_gen/Makefile.inc @@ -0,0 +1,18 @@ +# +# Copyright 2014 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_MISC_CLOCK_GEN_SRCS = $(IP_BUILD_DIR)/misc_clock_gen/misc_clock_gen.xci + +IP_MISC_CLOCK_GEN_OUTS = $(addprefix $(IP_BUILD_DIR)/misc_clock_gen/, \ +misc_clock_gen.xci.out \ +misc_clock_gen.v \ +) + +# We have to patch the XDC file to remove constraints on the source clock for the module +# All timing constraints are handled in one place (timing.xdc) +$(IP_MISC_CLOCK_GEN_SRCS) $(IP_MISC_CLOCK_GEN_OUTS) : $(IP_DIR)/misc_clock_gen/misc_clock_gen.xci + $(call BUILD_VIVADO_IP,misc_clock_gen,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) + diff --git a/fpga/usrp3/top/n3xx/ip/misc_clock_gen/misc_clock_gen.xci b/fpga/usrp3/top/n3xx/ip/misc_clock_gen/misc_clock_gen.xci new file mode 100644 index 000000000..2a2cc31ef --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/misc_clock_gen/misc_clock_gen.xci @@ -0,0 +1,739 @@ + + + xilinx.com + xci + unknown + 1.0 + + + misc_clock_gen + + + false + 100000000 + false + 100000000 + false + 100000000 + false + 100000000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + 1 + LEVEL_HIGH + + + + 100000000 + 0 + 0.000 + 0 + 0 + + 100000000 + 0 + 0.000 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 0 + MMCM + cddcdone + cddcreq + 0000 + 0000 + clkfb_in_n + clkfb_in + clkfb_in_p + SINGLE + clkfb_out_n + clkfb_out + clkfb_out_p + clkfb_stopped + 59.989999999999995 + 100.0 + 0000 + 0000 + 198.413 + 0000 + 0000 + 303.819 + BUFGCE + 50.0 + false + 198.413 + 0.000 + 50.0 + 198.412704761 + 0.000 + 1 + 0000 + 0000 + 100.000 + BUFGCE + 50.0 + false + 303.819 + 0.000 + 50.0 + 305.55555 + 0.000 + 1 + 1 + 0000 + 0000 + 100.000 + BUFGCE + 50.000 + false + 100.000 + 0.000 + 50.000 + 100.000 + 0.000 + 1 + 0 + 0000 + 0000 + 100.000 + BUFGCE + 50.000 + false + 100.000 + 0.000 + 50.000 + 100.000 + 0.000 + 1 + 0 + 0000 + 0000 + 100.000 + BUFGCE + 50.000 + false + 100.000 + 0.000 + 50.000 + 100.000 + 0.000 + 1 + 0 + 0000 + 0000 + 100.000 + BUFGCE + 50.000 + false + 100.000 + 0.000 + 50.000 + 100.000 + 0.000 + 1 + 0 + BUFGCE + 50.000 + false + 100.000 + 0.000 + 50.000 + 100.000 + 0.000 + 1 + 0 + VCO + clk_in_sel + meas_clk + ddr3_dma_clk + clk_out3 + clk_out4 + clk_out5 + clk_out6 + clk_out7 + CLK_VALID + NA + daddr + dclk + den + din + 0000 + 1 + 0.6493506819332852 + 1.98412704761 + 1.98412704761 + 1.98412704761 + 1.98412704761 + 1.98412704761 + dout + drdy + dwe + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + FDBK_AUTO + 0000 + 0000 + 0 + Input Clock Freq (MHz) Input Jitter (UI) + __primary________166.666667____________0.010 + no_secondary_input_clock + input_clk_stopped + 0 + Units_MHz + No_Jitter + locked + 0000 + 0000 + 0000 + false + false + false + false + false + false + false + false + OPTIMIZED + 21.875 + 0.000 + FALSE + 6.000 + 10.000 + 6.125 + 0.500 + 0.000 + FALSE + 4 + 0.500 + 0.000 + FALSE + 1 + 0.500 + 0.000 + FALSE + 1 + 0.500 + 0.000 + FALSE + FALSE + 1 + 0.500 + 0.000 + FALSE + 1 + 0.500 + 0.000 + FALSE + 1 + 0.500 + 0.000 + FALSE + FALSE + ZHOLD + 3 + None + 0.010 + 0.010 + FALSE + 2 + Output Output Phase Duty Cycle Pk-to-Pk Phase + Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) + meas_clk___198.413______0.000______50.0______113.755____141.292 + ddr3_dma_clk___303.819______0.000______50.0______105.705____141.292 + no_CLK_OUT3_output + no_CLK_OUT4_output + no_CLK_OUT5_output + no_CLK_OUT6_output + no_CLK_OUT7_output + 0 + 0 + WAVEFORM + UNKNOWN + false + false + false + false + false + OPTIMIZED + 1 + 0.000 + 1.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + CLKFBOUT + SYSTEM_SYNCHRONOUS + 1 + No notes + 0.010 + power_down + 0000 + 1 + clk_in + MMCM + AUTO + 166.666667 + 0.010 + 10.000 + No_buffer + psclk + psdone + psen + psincdec + 100.0 + 0 + reset + 100.000 + 0.010 + 10.000 + clk_in2 + Single_ended_clock_capable_pin + CENTER_HIGH + 4000 + 0.004 + STATUS + 11 + 32 + 100.0 + 100.0 + 100.0 + 100.0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 0 + 0 + misc_clock_gen + MMCM + false + empty + cddcdone + cddcreq + clkfb_in_n + clkfb_in + clkfb_in_p + SINGLE + clkfb_out_n + clkfb_out + clkfb_out_p + clkfb_stopped + 59.989999999999995 + 0.010 + 100.0 + 0.010 + BUFGCE + 113.755 + false + 141.292 + 50.0 + 198.412704761 + 0.000 + 1 + true + BUFGCE + 105.705 + false + 141.292 + 50.0 + 305.55555 + 0.000 + 1 + true + BUFGCE + 81.390 + false + 326.622 + 50.000 + 100.000 + 0.000 + 1 + false + BUFGCE + 81.390 + false + 326.622 + 50.000 + 100.000 + 0.000 + 1 + false + BUFGCE + 0.0 + false + 0.0 + 50.000 + 100.000 + 0.000 + 1 + false + BUFGCE + 0.0 + false + 0.0 + 50.000 + 100.000 + 0.000 + 1 + false + BUFGCE + 0.0 + false + 0.0 + 50.000 + 100.000 + 0.000 + 1 + false + 600.000 + Custom + Custom + clk_in_sel + meas_clk + false + ddr3_dma_clk + false + clk_out3 + false + clk_out4 + false + clk_out5 + false + clk_out6 + false + clk_out7 + false + CLK_VALID + auto + misc_clock_gen + daddr + dclk + den + Custom + Custom + din + dout + drdy + dwe + false + false + false + false + false + false + false + false + false + FDBK_AUTO + input_clk_stopped + frequency + Enable_AXI + Units_MHz + Units_UI + UI + No_Jitter + locked + OPTIMIZED + 21.875 + 0.000 + false + 6.000 + 10.000 + 6.125 + 0.500 + 0.000 + false + 4 + 0.500 + 0.000 + false + 1 + 0.500 + 0.000 + false + 1 + 0.500 + 0.000 + false + false + 1 + 0.500 + 0.000 + false + 1 + 0.500 + 0.000 + false + 1 + 0.500 + 0.000 + false + false + ZHOLD + 3 + None + 0.010 + 0.010 + false + 2 + false + false + WAVEFORM + false + UNKNOWN + OPTIMIZED + 4 + 0.000 + 10.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + CLKFBOUT + SYSTEM_SYNCHRONOUS + 1 + None + 0.010 + power_down + 1 + clk_in + MMCM + mmcm_adv + 166.666667 + 0.010 + 10.000 + No_buffer + psclk + psdone + psen + psincdec + 100.0 + REL_PRIMARY + Custom + reset + ACTIVE_HIGH + 100.000 + 0.010 + 10.000 + clk_in2 + Single_ended_clock_capable_pin + CENTER_HIGH + 250 + 0.004 + STATUS + empty + 100.0 + 100.0 + 100.0 + 100.0 + false + false + false + false + false + false + false + true + false + false + true + false + false + false + false + false + true + true + false + false + zynq + + + xc7z100 + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 3 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/n3xx/ip/n310_ps_bd/Makefile.inc b/fpga/usrp3/top/n3xx/ip/n310_ps_bd/Makefile.inc new file mode 100644 index 000000000..26f994676 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/n310_ps_bd/Makefile.inc @@ -0,0 +1,35 @@ +# +# Copyright 2016 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak +include $(LIB_DIR)/rfnoc/utils/Makefile.srcs + +IP_N310_PS_ORIG_SRCS = $(addprefix $(IP_DIR)/n310_ps_bd/, \ +n310_ps_bd.tcl \ +chdr_dma_rx.tcl \ +chdr_dma_tx.tcl \ +chdr_dma_frame_size.tcl \ +chdr_dma_top.tcl \ +) + +IP_N310_PS_BDTCL_SRCS = $(addprefix $(IP_BUILD_DIR)/n310_ps_bd/, \ +n310_ps_bd.tcl \ +chdr_dma_rx.tcl \ +chdr_dma_tx.tcl \ +chdr_dma_frame_size.tcl \ +chdr_dma_top.tcl \ +) + +IP_N310_PS_HDL_SRCS = $(RFNOC_UTIL_SRCS) + +IP_N310_PS_BD_SRCS = $(IP_BUILD_DIR)/n310_ps_bd/n310_ps_bd/n310_ps_bd.bd + +BD_N310_PS_BD_OUTS = $(addprefix $(IP_BUILD_DIR)/n310_ps_bd/, \ +n310_ps_bd.bd.out \ +n310_ps_bd/n310_ps_bd_ooc.xdc \ +) + +$(IP_N310_PS_BD_SRCS) $(BD_N310_PS_BD_OUTS) $(IP_N310_PS_BDTCL_SRCS): $(IP_N310_PS_ORIG_SRCS) $(IP_N310_PS_HDL_SRCS) + $(call BUILD_VIVADO_BDTCL,n310_ps_bd,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),$(LIB_DIR)/vivado_ipi,$(IP_N310_PS_HDL_SRCS)) + diff --git a/fpga/usrp3/top/n3xx/ip/n310_ps_bd/chdr_dma_frame_size.tcl b/fpga/usrp3/top/n3xx/ip/n310_ps_bd/chdr_dma_frame_size.tcl new file mode 100644 index 000000000..387f67d9c --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/n310_ps_bd/chdr_dma_frame_size.tcl @@ -0,0 +1,59 @@ +# Hierarchical cell: mtu +proc create_hier_cell_mtu { parentCell nameHier numPorts } { + + if { $parentCell eq "" || $nameHier eq "" } { + puts "ERROR: create_hier_cell_mtu() - Empty argument(s)!" + return + } + + # Get object for parentCell + set parentObj [get_bd_cells $parentCell] + if { $parentObj == "" } { + puts "ERROR: Unable to find parent cell <$parentCell>!" + return + } + + # Make sure parentObj is hier blk + set parentType [get_property TYPE $parentObj] + if { $parentType ne "hier" } { + puts "ERROR: Parent <$parentObj> has TYPE = <$parentType>. Expected to be ." + return + } + + # Save current instance; Restore later + set oldCurInst [current_bd_instance .] + + # Set parent object as current + current_bd_instance $parentObj + + # Create cell and set as current instance + set hier_obj [create_bd_cell -type hier $nameHier] + current_bd_instance $hier_obj + + # Create cells and wire everything up + create_bd_pin -dir I -from [expr $numPorts * 32 - 1] -to 0 mtu_regs + connect_bd_net -net mtu_regs_1 [get_bd_pins mtu_regs] + # BUG: Vivado 2015.4 does not connect nets the first time with just the driver + connect_bd_net -quiet -net mtu_regs_1 [get_bd_pins mtu_regs] + + for {set i 0} {$i < $numPorts} {incr i} { + # Create instance: xlslice_0, and set properties + set xlslice [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlslice:1.0 xlslice_$i ] + set_property -dict [ list \ + CONFIG.DIN_FROM [expr $i * 32 + 15] \ + CONFIG.DIN_TO [expr $i * 32] \ + CONFIG.DIN_WIDTH [expr $numPorts * 32] \ + CONFIG.DOUT_WIDTH {16} \ + ] $xlslice + + connect_bd_net -net mtu_regs_1 [get_bd_pins $xlslice/Din] + + create_bd_pin -dir O -from 15 -to 0 mtu$i + connect_bd_net [get_bd_pins mtu$i] [get_bd_pins $xlslice/Dout] + } + + # Restore current instance + current_bd_instance $oldCurInst +} + + diff --git a/fpga/usrp3/top/n3xx/ip/n310_ps_bd/chdr_dma_rx.tcl b/fpga/usrp3/top/n3xx/ip/n310_ps_bd/chdr_dma_rx.tcl new file mode 100644 index 000000000..500771071 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/n310_ps_bd/chdr_dma_rx.tcl @@ -0,0 +1,339 @@ +set scriptDir [file dirname [info script]] + +source "$scriptDir/chdr_dma_frame_size.tcl" + +proc create_hier_cell_rx_dma_channel { parentCell nameHier } { + + if { $parentCell eq "" || $nameHier eq "" } { + puts "ERROR: create_hier_cell_dma() - Empty argument(s)!" + return + } + + # Get object for parentCell + set parentObj [get_bd_cells $parentCell] + if { $parentObj == "" } { + puts "ERROR: Unable to find parent cell <$parentCell>!" + return + } + + # Make sure parentObj is hier blk + set parentType [get_property TYPE $parentObj] + if { $parentType ne "hier" } { + puts "ERROR: Parent <$parentObj> has TYPE = <$parentType>. Expected to be ." + return + } + + # Save current instance; Restore later + set oldCurInst [current_bd_instance .] + + # Set parent object as current + current_bd_instance $parentObj + + # Create cell and set as current instance + set hier_obj [create_bd_cell -type hier $nameHier] + current_bd_instance $hier_obj + + ######################### + # Pin list + ######################### + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 S_AXIS + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_dest_axi + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi + + create_bd_pin -dir I -from 15 -to 0 frame_size + create_bd_pin -dir O -type intr irq + create_bd_pin -dir I -type rst m_dest_axi_aresetn + create_bd_pin -dir I -type clk s_axi_aclk + create_bd_pin -dir I -type rst s_axi_aresetn + create_bd_pin -dir I -type clk s_axis_aclk + + ######################### + # Instantiate IPs + ######################### + set reset_inv [ create_bd_cell -type ip -vlnv xilinx.com:ip:util_vector_logic:2.0 reset_inv ] + set_property -dict [ list \ + CONFIG.C_SIZE {1} \ + CONFIG.C_OPERATION {not} \ + ] $reset_inv + + set chdr_padder [ create_bd_cell -type module -reference chdr_pad_packet chdr_padder ] + set_property -dict [ list \ + CONFIG.CHDR_W {64} \ + ] $chdr_padder + set_property CONFIG.POLARITY ACTIVE_HIGH [get_bd_pins chdr_padder/rst] + + set axi_rx_dmac [ create_bd_cell -type ip -vlnv analog.com:user:axi_dmac:1.0 axi_rx_dmac ] + set_property -dict [ list \ + CONFIG.ASYNC_CLK_DEST_REQ {true} \ + CONFIG.ASYNC_CLK_REQ_SRC {true} \ + CONFIG.ASYNC_CLK_SRC_DEST {false} \ + CONFIG.DMA_AXI_PROTOCOL_DEST {1} \ + CONFIG.DMA_TYPE_SRC {1} \ + CONFIG.SYNC_TRANSFER_START {false} \ + ] $axi_rx_dmac + + ######################### + # Wiring + ######################### + + # Top-level connections + connect_bd_net -net aclk_1 \ + [get_bd_pins s_axis_aclk] \ + [get_bd_pins chdr_padder/clk] \ + [get_bd_pins axi_rx_dmac/m_dest_axi_aclk] \ + [get_bd_pins axi_rx_dmac/s_axis_aclk] + connect_bd_net -net aresetn_1 \ + [get_bd_pins m_dest_axi_aresetn] \ + [get_bd_pins reset_inv/Op1] \ + [get_bd_pins axi_rx_dmac/m_dest_axi_aresetn] + connect_bd_net -net areset_1 \ + [get_bd_pins reset_inv/Res] \ + [get_bd_pins chdr_padder/rst] + connect_bd_net -net s_axi_aclk_1 \ + [get_bd_pins s_axi_aclk] \ + [get_bd_pins axi_rx_dmac/s_axi_aclk] + connect_bd_net -net s_axi_aresetn_1 \ + [get_bd_pins s_axi_aresetn] \ + [get_bd_pins axi_rx_dmac/s_axi_aresetn] + connect_bd_net -net axi_rx_dmac_irq \ + [get_bd_pins irq] \ + [get_bd_pins axi_rx_dmac/irq] + connect_bd_net -net mtu \ + [get_bd_pins frame_size] \ + [get_bd_pins chdr_padder/len] + + # Control and DMA ports + connect_bd_intf_net -intf_net axi_rx_dmac_s_axi \ + [get_bd_intf_pins s_axi] \ + [get_bd_intf_pins axi_rx_dmac/s_axi] + connect_bd_intf_net -intf_net axi_rx_dmac_m_dest_axi \ + [get_bd_intf_pins m_dest_axi] \ + [get_bd_intf_pins axi_rx_dmac/m_dest_axi] + + # AXI-Stream ports + connect_bd_intf_net -intf_net s_axis_dma \ + [get_bd_intf_pins S_AXIS] \ + [get_bd_intf_pins chdr_padder/s_axis] + connect_bd_intf_net -intf_net s_axis_dma_padded \ + [get_bd_intf_pins chdr_padder/m_axis] \ + [get_bd_intf_pins axi_rx_dmac/s_axis] + + # Restore current instance + current_bd_instance $oldCurInst +} + +# Hierarchical cell: rx +proc create_hier_cell_rx_dma { parentCell nameHier numPorts } { + + if { $parentCell eq "" || $nameHier eq "" || $numPorts eq "" } { + puts "ERROR: create_hier_cell_rx() - Empty argument(s)!" + return + } + + # Get object for parentCell + set parentObj [get_bd_cells $parentCell] + if { $parentObj == "" } { + puts "ERROR: Unable to find parent cell <$parentCell>!" + return + } + + if { $numPorts < 1 } { + puts "ERROR: numPorts invalid: $numPorts" + return + } + + # Make sure parentObj is hier blk + set parentType [get_property TYPE $parentObj] + if { $parentType ne "hier" } { + puts "ERROR: Parent <$parentObj> has TYPE = <$parentType>. Expected to be ." + return + } + + # Save current instance; Restore later + set oldCurInst [current_bd_instance .] + + # Set parent object as current + current_bd_instance $parentObj + + # Create cell and set as current instance + set hier_obj [create_bd_cell -type hier $nameHier] + current_bd_instance $hier_obj + + ######################### + # Pin list + ######################### + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 M_AXI_RX_DMA + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 S_AXIS_DMA + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_rx_dmac + + create_bd_pin -dir I bus_clk + create_bd_pin -dir I bus_rstn + create_bd_pin -dir I clk40 + create_bd_pin -dir I clk40_rstn + create_bd_pin -dir O -from [expr $numPorts - 1] -to 0 irq + create_bd_pin -dir I -from [expr $numPorts * 32 - 1] -to 0 mtu_regs + ######################### + # Instantiate IPs + ######################### + # For sharing one S_AXI_HP port across all RX DMA engines + set axi_crossbar_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_crossbar:2.1 axi_crossbar_0 ] + set_property -dict [ list \ + CONFIG.CONNECTIVITY_MODE {SASD} \ + CONFIG.NUM_MI {1} \ + CONFIG.NUM_SI $numPorts \ + CONFIG.R_REGISTER {1} \ + ] $axi_crossbar_0 + + # For fanning out AXI-Lite bus to all RX DMA engines + set axi_interconnect_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_interconnect_0 ] + set_property -dict [ list \ + CONFIG.NUM_MI $numPorts \ + ] $axi_interconnect_0 + + # Routes AXI-Stream to appropriate RX DMA engine + set axis_switch_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axis_switch:1.1 axis_switch_0 ] + set_property -dict [ list \ + CONFIG.DECODER_REG {1} \ + CONFIG.NUM_MI $numPorts \ + CONFIG.NUM_SI {1} \ + ] $axis_switch_0 + + # Cross domains from incoming AXI-Stream to RX DMA engines domain + # Note that the fifo_generator_0 is hard-coded to have 4 TDEST bits, so we + # are limited to 16 RX DMA channels + set fifo_generator_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:fifo_generator:13.2 fifo_generator_0 ] + set_property -dict [ list \ + CONFIG.Clock_Type_AXI {Independent_Clock} \ + CONFIG.Empty_Threshold_Assert_Value_axis {1021} \ + CONFIG.Empty_Threshold_Assert_Value_rach {13} \ + CONFIG.Empty_Threshold_Assert_Value_rdch {1021} \ + CONFIG.Empty_Threshold_Assert_Value_wach {13} \ + CONFIG.Empty_Threshold_Assert_Value_wdch {1021} \ + CONFIG.Empty_Threshold_Assert_Value_wrch {13} \ + CONFIG.Enable_TLAST {true} \ + CONFIG.FIFO_Implementation_axis {Independent_Clocks_Block_RAM} \ + CONFIG.FIFO_Implementation_rach {Independent_Clocks_Distributed_RAM} \ + CONFIG.FIFO_Implementation_rdch {Independent_Clocks_Block_RAM} \ + CONFIG.FIFO_Implementation_wach {Independent_Clocks_Distributed_RAM} \ + CONFIG.FIFO_Implementation_wdch {Independent_Clocks_Block_RAM} \ + CONFIG.FIFO_Implementation_wrch {Independent_Clocks_Distributed_RAM} \ + CONFIG.Full_Flags_Reset_Value {1} \ + CONFIG.Full_Threshold_Assert_Value_axis {1023} \ + CONFIG.Full_Threshold_Assert_Value_rach {15} \ + CONFIG.Full_Threshold_Assert_Value_wach {15} \ + CONFIG.Full_Threshold_Assert_Value_wrch {15} \ + CONFIG.HAS_TKEEP {false} \ + CONFIG.INTERFACE_TYPE {AXI_STREAM} \ + CONFIG.Input_Depth_axis {1024} \ + CONFIG.Reset_Type {Asynchronous_Reset} \ + CONFIG.TDATA_NUM_BYTES {8} \ + CONFIG.TDEST_WIDTH {4} \ + CONFIG.TKEEP_WIDTH {0} \ + CONFIG.TSTRB_WIDTH {8} \ + CONFIG.TUSER_WIDTH {0} \ + ] $fifo_generator_0 + + set rx_dmac_irq_concat [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconcat:2.1 rx_dmac_irq_concat ] + set_property -dict [ list \ + CONFIG.NUM_PORTS $numPorts \ + ] $rx_dmac_irq_concat + + create_hier_cell_mtu $hier_obj mtu $numPorts + + ######################### + # Wiring + ######################### + connect_bd_intf_net -intf_net S00_AXIS_1 \ + [get_bd_intf_pins S_AXIS_DMA] \ + [get_bd_intf_pins fifo_generator_0/S_AXIS] + + connect_bd_intf_net -intf_net axi_crossbar_0_M00_AXI \ + [get_bd_intf_pins M_AXI_RX_DMA] \ + [get_bd_intf_pins axi_crossbar_0/M00_AXI] + + connect_bd_intf_net -intf_net fifo_generator_0_M_AXIS \ + [get_bd_intf_pins axis_switch_0/S00_AXIS] \ + [get_bd_intf_pins fifo_generator_0/M_AXIS] + + connect_bd_intf_net -intf_net s_axi_rx_dmac_1 \ + [get_bd_intf_pins s_axi_rx_dmac] \ + [get_bd_intf_pins axi_interconnect_0/S00_AXI] + + connect_bd_net -net aresetn_1 \ + [get_bd_pins bus_rstn] \ + [get_bd_pins fifo_generator_0/s_aresetn] + connect_bd_net -net bus_clk \ + [get_bd_pins bus_clk] \ + [get_bd_pins fifo_generator_0/s_aclk] + + connect_bd_net -net clk40 \ + [get_bd_pins clk40] \ + [get_bd_pins axi_crossbar_0/aclk] \ + [get_bd_pins axi_interconnect_0/ACLK] \ + [get_bd_pins axi_interconnect_0/S00_ACLK] \ + [get_bd_pins axis_switch_0/aclk] \ + [get_bd_pins fifo_generator_0/m_aclk] + + connect_bd_net -net clk40_rstn \ + [get_bd_pins clk40_rstn] \ + [get_bd_pins axi_crossbar_0/aresetn] \ + [get_bd_pins axi_interconnect_0/ARESETN] \ + [get_bd_pins axi_interconnect_0/S00_ARESETN] \ + [get_bd_pins axis_switch_0/aresetn] + + connect_bd_net -net mtu_regs_1 \ + [get_bd_pins mtu_regs] \ + [get_bd_pins mtu/mtu_regs] + + connect_bd_net -net rx_dmac_irq_concat_dout \ + [get_bd_pins irq] \ + [get_bd_pins rx_dmac_irq_concat/dout] + + ######################### + # Per-port Section + ######################### + for {set i 0} {$i < $numPorts} {incr i} { + puts "Instantiating rx_dma port ${i}" + create_hier_cell_rx_dma_channel $hier_obj dma$i + + set_property -dict [ list \ + [format "CONFIG.S%02d_SINGLE_THREAD" ${i}] {1} \ + ] $axi_crossbar_0 + + connect_bd_intf_net -intf_net [format "axis_switch_0_M%02d_AXIS" ${i}] \ + [get_bd_intf_pins [format "axis_switch_0/M%02d_AXIS" ${i}]] \ + [get_bd_intf_pins dma${i}/S_AXIS] + + connect_bd_intf_net -intf_net [format "axi_interconnect_0_M%02d_AXI" ${i}] \ + [get_bd_intf_pins [format "axi_interconnect_0/M%02d_AXI" ${i}]] \ + [get_bd_intf_pins dma${i}/s_axi] + + connect_bd_intf_net -intf_net dma${i}_m_dest_axi \ + [get_bd_intf_pins [format "axi_crossbar_0/S%02d_AXI" ${i}]] \ + [get_bd_intf_pins dma${i}/m_dest_axi] + + connect_bd_net -net clk40 \ + [get_bd_pins [format "axi_interconnect_0/M%02d_ACLK" ${i}]] \ + [get_bd_pins dma${i}/s_axi_aclk] \ + [get_bd_pins dma${i}/s_axis_aclk] + + connect_bd_net -net clk40_rstn \ + [get_bd_pins [format "axi_interconnect_0/M%02d_ARESETN" ${i}]] \ + [get_bd_pins dma${i}/m_dest_axi_aresetn] \ + [get_bd_pins dma${i}/s_axi_aresetn] + + connect_bd_net -net dma${i}_irq \ + [get_bd_pins dma${i}/irq] \ + [get_bd_pins rx_dmac_irq_concat/In${i}] + + connect_bd_net -net frame_size_${i} \ + [get_bd_pins dma${i}/frame_size] \ + [get_bd_pins mtu/mtu${i}] + } + + # Restore current instance + current_bd_instance $oldCurInst +} + + + diff --git a/fpga/usrp3/top/n3xx/ip/n310_ps_bd/chdr_dma_top.tcl b/fpga/usrp3/top/n3xx/ip/n310_ps_bd/chdr_dma_top.tcl new file mode 100644 index 000000000..9a4e832aa --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/n310_ps_bd/chdr_dma_top.tcl @@ -0,0 +1,159 @@ +set scriptDir [file dirname [info script]] + +source "$scriptDir/chdr_dma_rx.tcl" +source "$scriptDir/chdr_dma_tx.tcl" + +# Hierarchical cell: dma +proc create_hier_cell_dma { parentCell nameHier numPorts } { + + if { $parentCell eq "" || $nameHier eq "" || $numPorts eq "" } { + puts "ERROR: create_hier_cell_dma() - Empty argument(s)!" + return + } + + # Get object for parentCell + set parentObj [get_bd_cells $parentCell] + if { $parentObj == "" } { + puts "ERROR: Unable to find parent cell <$parentCell>!" + return + } + + if { $numPorts < 2 } { + puts "ERROR: numPorts invalid: $numPorts" + return + } + + # Make sure parentObj is hier blk + set parentType [get_property TYPE $parentObj] + if { $parentType ne "hier" } { + puts "ERROR: Parent <$parentObj> has TYPE = <$parentType>. Expected to be ." + return + } + + # Save current instance; Restore later + set oldCurInst [current_bd_instance .] + + # Set parent object as current + current_bd_instance $parentObj + + # Create cell and set as current instance + set hier_obj [create_bd_cell -type hier $nameHier] + current_bd_instance $hier_obj + + ######################### + # Pin list + ######################### + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 m_axis_dma + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 M_AXI_RX_DMA + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 M_AXI_TX_DMA + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 s_axis_dma + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_rx_dmac + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_tx_dmac + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_regfile + + create_bd_pin -dir I bus_clk + create_bd_pin -dir I bus_rstn + create_bd_pin -dir I clk40 + create_bd_pin -dir I clk40_rstn + create_bd_pin -dir O rx_irq + create_bd_pin -dir O tx_irq + + ######################### + # Instantiate IPs + ######################### + # Create instance: rx + create_hier_cell_rx_dma $hier_obj rx $numPorts + + # Create instance: tx + create_hier_cell_tx_dma $hier_obj tx $numPorts + + # Used to set frame size of RX DMA engines + set axi_regfile_0 [ create_bd_cell -type ip -vlnv ettus.com:ip:axi_regfile:1.0 axi_regfile_0 ] + set_property -dict [ list \ +CONFIG.NUM_REGS $numPorts \ + ] $axi_regfile_0 + + set util_reduced_logic_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:util_reduced_logic:2.0 util_reduced_logic_0 ] + set_property -dict [ list \ +CONFIG.C_OPERATION {or} \ +CONFIG.C_SIZE $numPorts \ + ] $util_reduced_logic_0 + + set util_reduced_logic_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:util_reduced_logic:2.0 util_reduced_logic_1 ] + set_property -dict [ list \ +CONFIG.C_OPERATION {or} \ +CONFIG.C_SIZE $numPorts \ + ] $util_reduced_logic_1 + + ######################### + # Wiring + ######################### + # Clocks and resets + connect_bd_net -net bus_clk_1 \ + [get_bd_pins bus_clk] \ + [get_bd_pins rx/bus_clk] \ + [get_bd_pins tx/bus_clk] + connect_bd_net -net bus_rstn_1 \ + [get_bd_pins bus_rstn] \ + [get_bd_pins rx/bus_rstn] \ + [get_bd_pins tx/bus_rstn] + connect_bd_net -net clk40_1 \ + [get_bd_pins clk40] \ + [get_bd_pins rx/clk40] \ + [get_bd_pins tx/clk40] \ + [get_bd_pins axi_regfile_0/S_AXI_ACLK] + connect_bd_net -net clk40_rstn_1 \ + [get_bd_pins clk40_rstn] \ + [get_bd_pins axi_regfile_0/S_AXI_ARESETN] \ + [get_bd_pins rx/clk40_rstn] \ + [get_bd_pins tx/clk40_rstn] + + # AXI buses + connect_bd_intf_net -intf_net s_axi_rx_dmac_1 \ + [get_bd_intf_pins s_axi_rx_dmac] \ + [get_bd_intf_pins rx/s_axi_rx_dmac] + connect_bd_intf_net -intf_net rx_dma_M_AXI_RX_DMA \ + [get_bd_intf_pins M_AXI_RX_DMA] \ + [get_bd_intf_pins rx/M_AXI_RX_DMA] + connect_bd_intf_net -intf_net s_axi_tx_dmac_1 \ + [get_bd_intf_pins s_axi_tx_dmac] \ + [get_bd_intf_pins tx/s_axi_tx_dmac] + connect_bd_intf_net -intf_net tx_M_AXI_TX_DMA \ + [get_bd_intf_pins M_AXI_TX_DMA] \ + [get_bd_intf_pins tx/M_AXI_TX_DMA] + connect_bd_intf_net -intf_net s_axi_regfile_1 \ + [get_bd_intf_pins s_axi_regfile] \ + [get_bd_intf_pins axi_regfile_0/S_AXI] + + # RX CHDR + connect_bd_intf_net -intf_net s_axis_dma_1 \ + [get_bd_intf_pins s_axis_dma] \ + [get_bd_intf_pins rx/S_AXIS_DMA] + + # TX CHDR + connect_bd_intf_net -intf_net m_axis_dma_1 \ + [get_bd_intf_pins tx/M_AXIS_DMA] \ + [get_bd_intf_pins m_axis_dma] + + # IRQs and Frame Sizes + connect_bd_net -net frame_sizes \ + [get_bd_pins axi_regfile_0/regs] \ + [get_bd_pins rx/mtu_regs] + connect_bd_net -net rx_irq1 \ + [get_bd_pins rx/irq] \ + [get_bd_pins util_reduced_logic_0/Op1] + connect_bd_net -net tx_irq1 \ + [get_bd_pins tx/irq] \ + [get_bd_pins util_reduced_logic_1/Op1] + connect_bd_net -net util_reduced_logic_0_Res \ + [get_bd_pins rx_irq] \ + [get_bd_pins util_reduced_logic_0/Res] + connect_bd_net -net util_reduced_logic_1_Res \ + [get_bd_pins tx_irq] \ + [get_bd_pins util_reduced_logic_1/Res] + + # Restore current instance + current_bd_instance $oldCurInst +} + + diff --git a/fpga/usrp3/top/n3xx/ip/n310_ps_bd/chdr_dma_tx.tcl b/fpga/usrp3/top/n3xx/ip/n310_ps_bd/chdr_dma_tx.tcl new file mode 100644 index 000000000..e2d160f69 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/n310_ps_bd/chdr_dma_tx.tcl @@ -0,0 +1,193 @@ +# Hierarchical cell: tx +proc create_hier_cell_tx_dma { parentCell nameHier numPorts } { + + if { $parentCell eq "" || $nameHier eq "" || $numPorts eq "" } { + puts "ERROR: create_hier_cell_tx() - Empty argument(s)!" + return + } + + # Get object for parentCell + set parentObj [get_bd_cells $parentCell] + if { $parentObj == "" } { + puts "ERROR: Unable to find parent cell <$parentCell>!" + return + } + + if { $numPorts < 1 } { + puts "ERROR: numPorts invalid: $numPorts" + return + } + + # Make sure parentObj is hier blk + set parentType [get_property TYPE $parentObj] + if { $parentType ne "hier" } { + puts "ERROR: Parent <$parentObj> has TYPE = <$parentType>. Expected to be ." + return + } + + # Save current instance; Restore later + set oldCurInst [current_bd_instance .] + + # Set parent object as current + current_bd_instance $parentObj + + # Create cell and set as current instance + set hier_obj [create_bd_cell -type hier $nameHier] + current_bd_instance $hier_obj + + ######################### + # Pin list + ######################### + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 M_AXIS_DMA + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 M_AXI_TX_DMA + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_tx_dmac + + create_bd_pin -dir I bus_clk + create_bd_pin -dir I bus_rstn + create_bd_pin -dir I clk40 + create_bd_pin -dir I clk40_rstn + create_bd_pin -dir O -from [expr $numPorts - 1] -to 0 irq + + ######################### + # Instantiate IPs + ######################### + set axi_interconnect_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_interconnect_0 ] + set_property -dict [ list \ + CONFIG.NUM_MI $numPorts \ + ] $axi_interconnect_0 + + set axi_crossbar_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_crossbar:2.1 axi_crossbar_0 ] + set_property -dict [ list \ + CONFIG.NUM_MI {1} \ + CONFIG.NUM_SI $numPorts + ] $axi_crossbar_0 + + set axis_interconnect_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axis_interconnect:2.1 axis_interconnect_0 ] + set_property -dict [ list \ + CONFIG.ARB_ON_TLAST {1} \ + CONFIG.ARB_ON_MAX_XFERS {0} \ + CONFIG.ENABLE_ADVANCED_OPTIONS {1} \ + CONFIG.M00_HAS_REGSLICE {1} \ + CONFIG.NUM_MI {1} \ + CONFIG.NUM_SI $numPorts \ + ] $axis_interconnect_0 + + set xlconcat_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconcat:2.1 xlconcat_0 ] + set_property -dict [ list \ + CONFIG.NUM_PORTS $numPorts \ + ] $xlconcat_0 + + set xlconstant_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconstant:1.1 xlconstant_0 ] + set_property -dict [ list \ +CONFIG.CONST_VAL {0} \ + ] $xlconstant_0 + + ######################### + # Wiring + ######################### + connect_bd_net -net bus_clk \ + [get_bd_pins bus_clk] \ + [get_bd_pins axis_interconnect_0/ACLK] \ + [get_bd_pins axis_interconnect_0/M00_AXIS_ACLK] + connect_bd_net -net bus_rstn \ + [get_bd_pins bus_rstn] \ + [get_bd_pins axis_interconnect_0/ARESETN] \ + [get_bd_pins axis_interconnect_0/M00_AXIS_ARESETN] + connect_bd_net -net clk40 \ + [get_bd_pins clk40] \ + [get_bd_pins axi_crossbar_0/aclk] \ + [get_bd_pins axi_interconnect_0/ACLK] \ + [get_bd_pins axi_interconnect_0/S00_ACLK] + connect_bd_net -net clk40_rstn \ + [get_bd_pins clk40_rstn] \ + [get_bd_pins axi_crossbar_0/aresetn] \ + [get_bd_pins axi_interconnect_0/ARESETN] \ + [get_bd_pins axi_interconnect_0/S00_ARESETN] + + connect_bd_net -net xlconstant_0_dout \ + [get_bd_pins xlconstant_0/dout] + connect_bd_net -net xlconcat_0_dout \ + [get_bd_pins irq] \ + [get_bd_pins xlconcat_0/dout] + + connect_bd_intf_net -intf_net M_AXI_TX_DMAC_1 \ + [get_bd_intf_pins s_axi_tx_dmac] \ + [get_bd_intf_pins axi_interconnect_0/S00_AXI] + connect_bd_intf_net -intf_net axi_crossbar_0_M00_AXI \ + [get_bd_intf_pins M_AXI_TX_DMA] \ + [get_bd_intf_pins axi_crossbar_0/M00_AXI] + connect_bd_intf_net -intf_net axis_interconnect_0_M00_AXIS \ + [get_bd_intf_pins M_AXIS_DMA] \ + [get_bd_intf_pins axis_interconnect_0/M00_AXIS] + + ######################### + # Per-port Section + ######################### + for {set i 0} {$i < $numPorts} {incr i} { + # Configure each port on axi_crossbar and axis_interconnect + puts "Creating TX dma port ${i}" + set_property [format "CONFIG.S%02d_SINGLE_THREAD" ${i}] {1} $axi_crossbar_0 + set_property -dict [ list \ + [format "CONFIG.S%02d_HAS_REGSLICE" ${i}] {1} \ + ] $axis_interconnect_0 + + set axi_tx_dmac [ create_bd_cell -type ip -vlnv analog.com:user:axi_dmac:1.0 axi_tx_dmac_$i ] + set_property -dict [ list \ + CONFIG.DMA_TYPE_DEST {1} \ + CONFIG.DMA_TYPE_SRC {0} \ + ] $axi_tx_dmac + + # Add a tuser signal indicating which DMA channel originated the packet + # Hard-coded to handle up to 16 DMA channels + # Convert i (in decimal) to 4-bit binary: + binary scan [binary format c ${i}] B* i_binary + set i_binary [string range ${i_binary} end-3 end] + + set tuser_appender [ create_bd_cell -type ip -vlnv xilinx.com:ip:axis_subset_converter:1.1 axis_subset_converter_${i} ] + set_property -dict [ list \ + CONFIG.M_TUSER_WIDTH.VALUE_SRC USER \ + ] $tuser_appender + set_property -dict [ list \ + CONFIG.M_TUSER_WIDTH {4} \ + CONFIG.TUSER_REMAP 4'b${i_binary} \ + ] $tuser_appender + + connect_bd_intf_net -intf_net [format "axis_subset_converter_%d_S_AXIS" ${i}] \ + [get_bd_intf_pins $axi_tx_dmac/m_axis] \ + [get_bd_intf_pins ${tuser_appender}/S_AXIS] + connect_bd_intf_net -intf_net [format "S%02d_AXIS_1" ${i}] \ + [get_bd_intf_pins ${tuser_appender}/M_AXIS] \ + [get_bd_intf_pins [format "axis_interconnect_0/S%02d_AXIS" ${i}]] + connect_bd_intf_net -intf_net axi_dmac_${i}_m_src_axi \ + [get_bd_intf_pins [format "axi_crossbar_0/S%02d_AXI" ${i}]] \ + [get_bd_intf_pins $axi_tx_dmac/m_src_axi] + connect_bd_intf_net -intf_net [format "axi_interconnect_0_M%02d_AXI" ${i}] \ + [get_bd_intf_pins [format "axi_interconnect_0/M%02d_AXI" ${i}]] \ + [get_bd_intf_pins $axi_tx_dmac/s_axi] + + connect_bd_net [get_bd_pins $axi_tx_dmac/irq] [get_bd_pins xlconcat_0/In${i}] + + connect_bd_net -net clk40 \ + [get_bd_pins [format "axi_interconnect_0/M%02d_ACLK" ${i}]]\ + [get_bd_pins $axi_tx_dmac/m_axis_aclk] \ + [get_bd_pins $axi_tx_dmac/m_src_axi_aclk] \ + [get_bd_pins $axi_tx_dmac/s_axi_aclk] \ + [get_bd_pins $tuser_appender/aclk] \ + [get_bd_pins [format "axis_interconnect_0/S%02d_AXIS_ACLK" ${i}]] + + connect_bd_net -net clk40_rstn \ + [get_bd_pins [format "axi_interconnect_0/M%02d_ARESETN" ${i}]] \ + [get_bd_pins $axi_tx_dmac/m_src_axi_aresetn] \ + [get_bd_pins $axi_tx_dmac/s_axi_aresetn] \ + [get_bd_pins $tuser_appender/aresetn] \ + [get_bd_pins [format "axis_interconnect_0/S%02d_AXIS_ARESETN" ${i}]] + + connect_bd_net -net xlconstant_0_dout \ + [get_bd_pins [format "axis_interconnect_0/S%02d_ARB_REQ_SUPPRESS" ${i}]] + } + + # Restore current instance + current_bd_instance $oldCurInst +} + + diff --git a/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl b/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl new file mode 100644 index 000000000..b3516e2fa --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl @@ -0,0 +1,726 @@ +# CHANGE DESIGN NAME HERE +set design_name n310_ps_bd + +# Creating design if needed +set errMsg "" +set nRet 0 + +set cur_design [current_bd_design -quiet] +set list_cells [get_bd_cells -quiet] + +create_bd_design $design_name +current_bd_design $design_name + +if { $nRet != 0 } { + puts $errMsg + return $nRet +} + +set scriptDir [file dirname [info script]] + +################################################################## +# DESIGN PROCs +################################################################## +source "$scriptDir/chdr_dma_top.tcl" + +# Procedure to create entire design; Provide argument to make +# procedure reusable. If parentCell is "", will use root. +proc create_root_design { parentCell } { + + if { $parentCell eq "" } { + set parentCell [get_bd_cells /] + } + + # Get object for parentCell + set parentObj [get_bd_cells $parentCell] + if { $parentObj == "" } { + puts "ERROR: Unable to find parent cell <$parentCell>!" + return + } + + # Make sure parentObj is hier blk + set parentType [get_property TYPE $parentObj] + if { $parentType ne "hier" } { + puts "ERROR: Parent <$parentObj> has TYPE = <$parentType>. Expected to be ." + return + } + + # Save current instance; Restore later + set oldCurInst [current_bd_instance .] + + # Set parent object as current + current_bd_instance $parentObj + + + # Create interface ports + set DDR [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:ddrx_rtl:1.0 DDR ] + set GPIO_0 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:gpio_rtl:1.0 GPIO_0 ] + set m_axis_dma [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 m_axis_dma ] + set s_axis_dma [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 s_axis_dma ] + set_property -dict [ list \ + CONFIG.HAS_TLAST 1 \ + CONFIG.TDATA_NUM_BYTES 8 \ + CONFIG.TDEST_WIDTH 4 \ + ] $s_axis_dma + set WR_UART [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:uart_rtl:1.0 WR_UART ] + set M_AXI_ETH_DMA0 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 M_AXI_ETH_DMA0 ] + set_property -dict [ list \ +CONFIG.ADDR_WIDTH {32} \ +CONFIG.DATA_WIDTH {32} \ +CONFIG.FREQ_HZ {40000000} \ +CONFIG.HAS_BURST {0} \ +CONFIG.HAS_CACHE {0} \ +CONFIG.HAS_LOCK {0} \ +CONFIG.HAS_PROT {0} \ +CONFIG.HAS_QOS {0} \ +CONFIG.HAS_WSTRB {1} \ +CONFIG.NUM_READ_OUTSTANDING {2} \ +CONFIG.NUM_WRITE_OUTSTANDING {2} \ +CONFIG.PROTOCOL {AXI4LITE} \ + ] $M_AXI_ETH_DMA0 + set M_AXI_WR [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 M_AXI_WR ] + set_property -dict [ list \ +CONFIG.ADDR_WIDTH {32} \ +CONFIG.DATA_WIDTH {32} \ +CONFIG.FREQ_HZ {62500000} \ +CONFIG.HAS_BURST {0} \ +CONFIG.HAS_CACHE {0} \ +CONFIG.HAS_LOCK {0} \ +CONFIG.HAS_PROT {0} \ +CONFIG.HAS_QOS {0} \ +CONFIG.HAS_WSTRB {1} \ +CONFIG.NUM_READ_OUTSTANDING {2} \ +CONFIG.NUM_WRITE_OUTSTANDING {2} \ +CONFIG.PROTOCOL {AXI4LITE} \ + ] $M_AXI_WR + set M_AXI_ETH_DMA1 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 M_AXI_ETH_DMA1 ] + set_property -dict [ list \ +CONFIG.ADDR_WIDTH {32} \ +CONFIG.DATA_WIDTH {32} \ +CONFIG.FREQ_HZ {40000000} \ +CONFIG.HAS_BURST {0} \ +CONFIG.HAS_CACHE {0} \ +CONFIG.HAS_LOCK {0} \ +CONFIG.HAS_PROT {0} \ +CONFIG.HAS_QOS {0} \ +CONFIG.HAS_WSTRB {1} \ +CONFIG.NUM_READ_OUTSTANDING {2} \ +CONFIG.NUM_WRITE_OUTSTANDING {2} \ +CONFIG.PROTOCOL {AXI4LITE} \ + ] $M_AXI_ETH_DMA1 + set M_AXI_JESD0 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 M_AXI_JESD0 ] + set_property -dict [ list \ +CONFIG.ADDR_WIDTH {32} \ +CONFIG.DATA_WIDTH {32} \ +CONFIG.FREQ_HZ {40000000} \ +CONFIG.HAS_BURST {0} \ +CONFIG.HAS_CACHE {0} \ +CONFIG.HAS_LOCK {0} \ +CONFIG.HAS_PROT {0} \ +CONFIG.HAS_QOS {0} \ +CONFIG.HAS_WSTRB {0} \ +CONFIG.NUM_READ_OUTSTANDING {2} \ +CONFIG.NUM_WRITE_OUTSTANDING {2} \ +CONFIG.PROTOCOL {AXI4LITE} \ + ] $M_AXI_JESD0 + set M_AXI_JESD1 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 M_AXI_JESD1 ] + set_property -dict [ list \ +CONFIG.ADDR_WIDTH {32} \ +CONFIG.DATA_WIDTH {32} \ +CONFIG.FREQ_HZ {40000000} \ +CONFIG.HAS_BURST {0} \ +CONFIG.HAS_CACHE {0} \ +CONFIG.HAS_LOCK {0} \ +CONFIG.HAS_PROT {0} \ +CONFIG.HAS_QOS {0} \ +CONFIG.HAS_WSTRB {0} \ +CONFIG.NUM_READ_OUTSTANDING {2} \ +CONFIG.NUM_WRITE_OUTSTANDING {2} \ +CONFIG.PROTOCOL {AXI4LITE} \ + ] $M_AXI_JESD1 + set M_AXI_NET0 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 M_AXI_NET0 ] + set_property -dict [ list \ +CONFIG.ADDR_WIDTH {32} \ +CONFIG.DATA_WIDTH {32} \ +CONFIG.FREQ_HZ {40000000} \ +CONFIG.HAS_BURST {0} \ +CONFIG.HAS_CACHE {0} \ +CONFIG.HAS_LOCK {0} \ +CONFIG.HAS_PROT {0} \ +CONFIG.HAS_QOS {0} \ +CONFIG.HAS_WSTRB {0} \ +CONFIG.NUM_READ_OUTSTANDING {2} \ +CONFIG.NUM_WRITE_OUTSTANDING {2} \ +CONFIG.PROTOCOL {AXI4LITE} \ + ] $M_AXI_NET0 + set M_AXI_NET1 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 M_AXI_NET1 ] + set_property -dict [ list \ +CONFIG.ADDR_WIDTH {32} \ +CONFIG.DATA_WIDTH {32} \ +CONFIG.FREQ_HZ {40000000} \ +CONFIG.HAS_BURST {0} \ +CONFIG.HAS_CACHE {0} \ +CONFIG.HAS_LOCK {0} \ +CONFIG.HAS_PROT {0} \ +CONFIG.HAS_QOS {0} \ +CONFIG.HAS_WSTRB {0} \ +CONFIG.NUM_READ_OUTSTANDING {2} \ +CONFIG.NUM_WRITE_OUTSTANDING {2} \ +CONFIG.PROTOCOL {AXI4LITE} \ + ] $M_AXI_NET1 + set M_AXI_NET2 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 M_AXI_NET2 ] + set_property -dict [ list \ +CONFIG.ADDR_WIDTH {32} \ +CONFIG.DATA_WIDTH {32} \ +CONFIG.FREQ_HZ {40000000} \ +CONFIG.HAS_BURST {0} \ +CONFIG.HAS_CACHE {0} \ +CONFIG.HAS_LOCK {0} \ +CONFIG.HAS_PROT {0} \ +CONFIG.HAS_QOS {0} \ +CONFIG.HAS_WSTRB {0} \ +CONFIG.NUM_READ_OUTSTANDING {2} \ +CONFIG.NUM_WRITE_OUTSTANDING {2} \ +CONFIG.PROTOCOL {AXI4LITE} \ + ] $M_AXI_NET2 + set M_AXI_XBAR [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 M_AXI_XBAR ] + set_property -dict [ list \ +CONFIG.ADDR_WIDTH {32} \ +CONFIG.DATA_WIDTH {32} \ +CONFIG.FREQ_HZ {40000000} \ +CONFIG.HAS_BURST {0} \ +CONFIG.HAS_CACHE {0} \ +CONFIG.HAS_LOCK {0} \ +CONFIG.HAS_PROT {0} \ +CONFIG.HAS_QOS {0} \ +CONFIG.HAS_WSTRB {0} \ +CONFIG.NUM_READ_OUTSTANDING {2} \ +CONFIG.NUM_WRITE_OUTSTANDING {2} \ +CONFIG.PROTOCOL {AXI4LITE} \ + ] $M_AXI_XBAR + set S_AXI_GP0 [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 S_AXI_GP0 ] + set_property -dict [ list \ +CONFIG.ADDR_WIDTH {32} \ +CONFIG.ARUSER_WIDTH {0} \ +CONFIG.AWUSER_WIDTH {0} \ +CONFIG.BUSER_WIDTH {0} \ +CONFIG.DATA_WIDTH {32} \ +CONFIG.HAS_BRESP {1} \ +CONFIG.HAS_BURST {1} \ +CONFIG.HAS_CACHE {1} \ +CONFIG.HAS_LOCK {1} \ +CONFIG.HAS_PROT {1} \ +CONFIG.HAS_QOS {1} \ +CONFIG.HAS_REGION {0} \ +CONFIG.HAS_RRESP {1} \ +CONFIG.HAS_WSTRB {1} \ +CONFIG.ID_WIDTH {5} \ +CONFIG.MAX_BURST_LENGTH {16} \ +CONFIG.NUM_READ_OUTSTANDING {8} \ +CONFIG.NUM_WRITE_OUTSTANDING {8} \ +CONFIG.PROTOCOL {AXI4} \ +CONFIG.READ_WRITE_MODE {READ_WRITE} \ +CONFIG.RUSER_WIDTH {0} \ +CONFIG.SUPPORTS_NARROW_BURST {1} \ +CONFIG.WUSER_WIDTH {0} \ + ] $S_AXI_GP0 + set S_AXI_GP1 [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 S_AXI_GP1 ] + set_property -dict [ list \ +CONFIG.ADDR_WIDTH {32} \ +CONFIG.ARUSER_WIDTH {0} \ +CONFIG.AWUSER_WIDTH {0} \ +CONFIG.BUSER_WIDTH {0} \ +CONFIG.DATA_WIDTH {32} \ +CONFIG.HAS_BRESP {1} \ +CONFIG.HAS_BURST {1} \ +CONFIG.HAS_CACHE {1} \ +CONFIG.HAS_LOCK {1} \ +CONFIG.HAS_PROT {1} \ +CONFIG.HAS_QOS {1} \ +CONFIG.HAS_REGION {0} \ +CONFIG.HAS_RRESP {1} \ +CONFIG.HAS_WSTRB {1} \ +CONFIG.ID_WIDTH {5} \ +CONFIG.MAX_BURST_LENGTH {16} \ +CONFIG.NUM_READ_OUTSTANDING {8} \ +CONFIG.NUM_WRITE_OUTSTANDING {8} \ +CONFIG.PROTOCOL {AXI4} \ +CONFIG.READ_WRITE_MODE {READ_WRITE} \ +CONFIG.RUSER_WIDTH {0} \ +CONFIG.SUPPORTS_NARROW_BURST {1} \ +CONFIG.WUSER_WIDTH {0} \ + ] $S_AXI_GP1 + set S_AXI_HP0 [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 S_AXI_HP0 ] + set_property -dict [ list \ +CONFIG.ADDR_WIDTH {32} \ +CONFIG.ARUSER_WIDTH {0} \ +CONFIG.AWUSER_WIDTH {0} \ +CONFIG.BUSER_WIDTH {0} \ +CONFIG.DATA_WIDTH {64} \ +CONFIG.FREQ_HZ {40000000} \ +CONFIG.HAS_BRESP {1} \ +CONFIG.HAS_BURST {1} \ +CONFIG.HAS_CACHE {1} \ +CONFIG.HAS_LOCK {1} \ +CONFIG.HAS_PROT {1} \ +CONFIG.HAS_QOS {1} \ +CONFIG.HAS_REGION {0} \ +CONFIG.HAS_RRESP {1} \ +CONFIG.HAS_WSTRB {1} \ +CONFIG.ID_WIDTH {5} \ +CONFIG.MAX_BURST_LENGTH {16} \ +CONFIG.NUM_READ_OUTSTANDING {8} \ +CONFIG.NUM_WRITE_OUTSTANDING {8} \ +CONFIG.PROTOCOL {AXI4} \ +CONFIG.READ_WRITE_MODE {READ_WRITE} \ +CONFIG.RUSER_WIDTH {0} \ +CONFIG.SUPPORTS_NARROW_BURST {1} \ +CONFIG.WUSER_WIDTH {0} \ + ] $S_AXI_HP0 + set S_AXI_HP1 [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 S_AXI_HP1 ] + set_property -dict [ list \ +CONFIG.ADDR_WIDTH {32} \ +CONFIG.ARUSER_WIDTH {0} \ +CONFIG.AWUSER_WIDTH {0} \ +CONFIG.BUSER_WIDTH {0} \ +CONFIG.DATA_WIDTH {64} \ +CONFIG.FREQ_HZ {40000000} \ +CONFIG.HAS_BRESP {1} \ +CONFIG.HAS_BURST {1} \ +CONFIG.HAS_CACHE {1} \ +CONFIG.HAS_LOCK {1} \ +CONFIG.HAS_PROT {1} \ +CONFIG.HAS_QOS {1} \ +CONFIG.HAS_REGION {0} \ +CONFIG.HAS_RRESP {1} \ +CONFIG.HAS_WSTRB {1} \ +CONFIG.ID_WIDTH {5} \ +CONFIG.MAX_BURST_LENGTH {16} \ +CONFIG.NUM_READ_OUTSTANDING {8} \ +CONFIG.NUM_WRITE_OUTSTANDING {8} \ +CONFIG.PROTOCOL {AXI4} \ +CONFIG.READ_WRITE_MODE {READ_WRITE} \ +CONFIG.RUSER_WIDTH {0} \ +CONFIG.SUPPORTS_NARROW_BURST {1} \ +CONFIG.WUSER_WIDTH {0} \ + ] $S_AXI_HP1 + set USBIND_0 [ create_bd_intf_port -mode Master -vlnv xilinx.com:display_processing_system7:usbctrl_rtl:1.0 USBIND_0 ] + + # Create ports + set DDR_VRN [ create_bd_port -dir IO DDR_VRN ] + set DDR_VRP [ create_bd_port -dir IO DDR_VRP ] + set FCLK_CLK0 [ create_bd_port -dir O -type clk FCLK_CLK0 ] + set FCLK_CLK1 [ create_bd_port -dir O -type clk FCLK_CLK1 ] + set FCLK_CLK2 [ create_bd_port -dir O -type clk FCLK_CLK2 ] + set FCLK_CLK3 [ create_bd_port -dir O -type clk FCLK_CLK3 ] + set FCLK_RESET0_N [ create_bd_port -dir O -type rst FCLK_RESET0_N ] + set FCLK_RESET1_N [ create_bd_port -dir O -type rst FCLK_RESET1_N ] + set FCLK_RESET2_N [ create_bd_port -dir O -type rst FCLK_RESET2_N ] + set FCLK_RESET3_N [ create_bd_port -dir O -type rst FCLK_RESET3_N ] + set IRQ_F2P [ create_bd_port -dir I -from 15 -to 0 -type intr IRQ_F2P ] + set_property -dict [ list \ +CONFIG.PortWidth {16} \ +CONFIG.SENSITIVITY {EDGE_RISING} \ + ] $IRQ_F2P + set MIO [ create_bd_port -dir IO -from 53 -to 0 MIO ] + set PS_CLK [ create_bd_port -dir IO PS_CLK ] + set PS_PORB [ create_bd_port -dir IO PS_PORB ] + set PS_SRSTB [ create_bd_port -dir IO PS_SRSTB ] + set SPI0_MISO_I [ create_bd_port -dir I SPI0_MISO_I ] + set SPI0_MISO_O [ create_bd_port -dir O SPI0_MISO_O ] + set SPI0_MISO_T [ create_bd_port -dir O SPI0_MISO_T ] + set SPI0_MOSI_I [ create_bd_port -dir I SPI0_MOSI_I ] + set SPI0_MOSI_O [ create_bd_port -dir O SPI0_MOSI_O ] + set SPI0_MOSI_T [ create_bd_port -dir O SPI0_MOSI_T ] + set SPI0_SCLK_I [ create_bd_port -dir I SPI0_SCLK_I ] + set SPI0_SCLK_O [ create_bd_port -dir O SPI0_SCLK_O ] + set SPI0_SCLK_T [ create_bd_port -dir O SPI0_SCLK_T ] + set SPI0_SS1_O [ create_bd_port -dir O SPI0_SS1_O ] + set SPI0_SS2_O [ create_bd_port -dir O SPI0_SS2_O ] + set SPI0_SS_I [ create_bd_port -dir I SPI0_SS_I ] + set SPI0_SS_O [ create_bd_port -dir O SPI0_SS_O ] + set SPI0_SS_T [ create_bd_port -dir O SPI0_SS_T ] + set SPI1_MISO_I [ create_bd_port -dir I SPI1_MISO_I ] + set SPI1_MISO_O [ create_bd_port -dir O SPI1_MISO_O ] + set SPI1_MISO_T [ create_bd_port -dir O SPI1_MISO_T ] + set SPI1_MOSI_I [ create_bd_port -dir I SPI1_MOSI_I ] + set SPI1_MOSI_O [ create_bd_port -dir O SPI1_MOSI_O ] + set SPI1_MOSI_T [ create_bd_port -dir O SPI1_MOSI_T ] + set SPI1_SCLK_I [ create_bd_port -dir I SPI1_SCLK_I ] + set SPI1_SCLK_O [ create_bd_port -dir O SPI1_SCLK_O ] + set SPI1_SCLK_T [ create_bd_port -dir O SPI1_SCLK_T ] + set SPI1_SS1_O [ create_bd_port -dir O SPI1_SS1_O ] + set SPI1_SS2_O [ create_bd_port -dir O SPI1_SS2_O ] + set SPI1_SS_I [ create_bd_port -dir I SPI1_SS_I ] + set SPI1_SS_O [ create_bd_port -dir O SPI1_SS_O ] + set SPI1_SS_T [ create_bd_port -dir O SPI1_SS_T ] + set JTAG0_TCK [ create_bd_port -dir IO JTAG0_TCK ] + set JTAG0_TMS [ create_bd_port -dir IO JTAG0_TMS ] + set JTAG0_TDI [ create_bd_port -dir IO JTAG0_TDI ] + set JTAG0_TDO [ create_bd_port -dir I JTAG0_TDO ] + set JTAG1_TCK [ create_bd_port -dir IO JTAG1_TCK ] + set JTAG1_TMS [ create_bd_port -dir IO JTAG1_TMS ] + set JTAG1_TDI [ create_bd_port -dir IO JTAG1_TDI ] + set JTAG1_TDO [ create_bd_port -dir I JTAG1_TDO ] + set S_AXI_GP0_ACLK [ create_bd_port -dir I -type clk S_AXI_GP0_ACLK ] + set_property -dict [ list \ +CONFIG.ASSOCIATED_RESET {S_AXI_GP0_ARESETN} \ +CONFIG.FREQ_HZ {40000000} \ + ] $S_AXI_GP0_ACLK + set S_AXI_GP0_ARESETN [ create_bd_port -dir I -type rst S_AXI_GP0_ARESETN ] + set S_AXI_GP1_ACLK [ create_bd_port -dir I -type clk S_AXI_GP1_ACLK ] + set_property -dict [ list \ +CONFIG.ASSOCIATED_RESET {S_AXI_GP1_ARESETN} \ +CONFIG.FREQ_HZ {40000000} \ + ] $S_AXI_GP1_ACLK + set S_AXI_GP1_ARESETN [ create_bd_port -dir I -type rst S_AXI_GP1_ARESETN ] + set S_AXI_HP0_ACLK [ create_bd_port -dir I -type clk S_AXI_HP0_ACLK ] + set_property -dict [ list \ +CONFIG.FREQ_HZ {40000000} \ + ] $S_AXI_HP0_ACLK + set S_AXI_HP0_ARESETN [ create_bd_port -dir I -type rst S_AXI_HP0_ARESETN ] + set S_AXI_HP1_ACLK [ create_bd_port -dir I -type clk S_AXI_HP1_ACLK ] + set_property -dict [ list \ +CONFIG.ASSOCIATED_RESET {S_AXI_HP1_ARESETN} \ +CONFIG.FREQ_HZ {40000000} \ + ] $S_AXI_HP1_ACLK + set S_AXI_HP1_ARESETN [ create_bd_port -dir I -type rst S_AXI_HP1_ARESETN ] + set bus_clk [ create_bd_port -dir I -type clk bus_clk ] + set_property -dict [ list \ +CONFIG.ASSOCIATED_BUSIF {m_axis_dma:s_axis_dma} \ +CONFIG.ASSOCIATED_RESET {bus_rstn} \ +CONFIG.FREQ_HZ {200000000} \ + ] $bus_clk + set bus_rstn [ create_bd_port -dir I -type rst bus_rstn ] + + set M_AXI_WR_CLK [ create_bd_port -dir I -type clk M_AXI_WR_CLK ] + set_property -dict [ list \ +CONFIG.ASSOCIATED_BUSIF {M_AXI_WR} \ +CONFIG.ASSOCIATED_RESET {M_AXI_WR_RSTn} \ +CONFIG.FREQ_HZ {62500000} \ + ] $M_AXI_WR_CLK + set M_AXI_WR_RSTn [ create_bd_port -dir I -type rst M_AXI_WR_RSTn ] + + set clk40 [ create_bd_port -dir I -type clk clk40 ] + set_property -dict [ list \ +CONFIG.ASSOCIATED_BUSIF {M_AXI_NET0:M_AXI_NET1:M_AXI_XBAR:M_AXI_JESD0:M_AXI_JESD1:M_AXI_ETH_DMA0:M_AXI_ETH_DMA1:M_AXI_NET2} \ +CONFIG.ASSOCIATED_RESET {clk40_rstn} \ +CONFIG.FREQ_HZ {40000000} \ + ] $clk40 + set clk40_rstn [ create_bd_port -dir I -type rst clk40_rstn ] + + create_bd_port -dir I qsfp_sda_i + create_bd_port -dir O qsfp_sda_o + create_bd_port -dir O qsfp_sda_t + create_bd_port -dir I qsfp_scl_i + create_bd_port -dir O qsfp_scl_o + create_bd_port -dir O qsfp_scl_t + + # Create instance: axi_interconnect_hp0, and set properties + set axi_interconnect_hp0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_interconnect_hp0 ] + set_property -dict [ list \ +CONFIG.ENABLE_ADVANCED_OPTIONS {0} \ +CONFIG.NUM_MI {1} \ +CONFIG.NUM_SI {2} \ + ] $axi_interconnect_hp0 + + set axi_interconnect_hp1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_interconnect_hp1 ] + set_property -dict [ list \ +CONFIG.ENABLE_ADVANCED_OPTIONS {0} \ +CONFIG.NUM_MI {1} \ +CONFIG.NUM_SI {2} \ + ] $axi_interconnect_hp1 + + # Create instance: axi_interconnect_0, and set properties + set axi_interconnect_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_interconnect_0 ] + set_property -dict [ list \ +CONFIG.ENABLE_ADVANCED_OPTIONS {0} \ +CONFIG.NUM_MI {16} \ + ] $axi_interconnect_0 + + # Create instance: axi_uartlite_0, and set properties + set axi_uartlite_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_uartlite:2.0 axi_uartlite_0 ] + set_property -dict [ list \ +CONFIG.C_BAUDRATE {115200} \ + ] $axi_uartlite_0 + + # Create instance: dma + create_hier_cell_dma [current_bd_instance .] dma 10 + + # Create instance: processing_system7_0, and set properties + set processing_system7_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:processing_system7:5.5 processing_system7_0 ] + set_property -dict [ list \ +CONFIG.PCW_APU_PERIPHERAL_FREQMHZ {800} \ +CONFIG.PCW_ENET0_ENET0_IO {MIO 16 .. 27} \ +CONFIG.PCW_ENET0_GRP_MDIO_ENABLE {1} \ +CONFIG.PCW_ENET0_PERIPHERAL_ENABLE {1} \ +CONFIG.PCW_ENET0_RESET_ENABLE {1} \ +CONFIG.PCW_ENET0_RESET_IO {MIO 6} \ +CONFIG.PCW_EN_CLK1_PORT {1} \ +CONFIG.PCW_EN_CLK2_PORT {1} \ +CONFIG.PCW_EN_CLK3_PORT {1} \ +CONFIG.PCW_EN_RST1_PORT {1} \ +CONFIG.PCW_EN_RST2_PORT {1} \ +CONFIG.PCW_EN_RST3_PORT {1} \ +CONFIG.PCW_FPGA0_PERIPHERAL_FREQMHZ {100} \ +CONFIG.PCW_FPGA1_PERIPHERAL_FREQMHZ {40} \ +CONFIG.PCW_FPGA2_PERIPHERAL_FREQMHZ {166.6667} \ +CONFIG.PCW_FPGA3_PERIPHERAL_FREQMHZ {200} \ +CONFIG.PCW_GPIO_EMIO_GPIO_ENABLE {1} \ +CONFIG.PCW_GPIO_MIO_GPIO_ENABLE {1} \ +CONFIG.PCW_GPIO_MIO_GPIO_IO {MIO} \ +CONFIG.PCW_I2C0_I2C0_IO {MIO 50 .. 51} \ +CONFIG.PCW_I2C0_PERIPHERAL_ENABLE {1} \ +CONFIG.PCW_I2C0_RESET_ENABLE {1} \ +CONFIG.PCW_I2C0_RESET_IO {MIO 3} \ +CONFIG.PCW_IRQ_F2P_INTR {1} \ +CONFIG.PCW_PJTAG_PERIPHERAL_ENABLE {1} \ +CONFIG.PCW_PJTAG_PJTAG_IO {MIO 10 .. 13} \ +CONFIG.PCW_PRESET_BANK0_VOLTAGE {LVCMOS 1.8V} \ +CONFIG.PCW_PRESET_BANK1_VOLTAGE {LVCMOS 1.8V} \ +CONFIG.PCW_SD0_PERIPHERAL_ENABLE {1} \ +CONFIG.PCW_SPI0_PERIPHERAL_ENABLE {1} \ +CONFIG.PCW_SPI1_PERIPHERAL_ENABLE {1} \ +CONFIG.PCW_UART0_PERIPHERAL_ENABLE {1} \ +CONFIG.PCW_UART0_UART0_IO {MIO 14 .. 15} \ +CONFIG.PCW_UART1_PERIPHERAL_ENABLE {1} \ +CONFIG.PCW_UART1_UART1_IO {MIO 8 .. 9} \ +CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY0 {0.096} \ +CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY1 {0.102} \ +CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY2 {0.100} \ +CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY3 {0.090} \ +CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_0 {0.054} \ +CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_1 {0.040} \ +CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_2 {0.041} \ +CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_3 {0.010} \ +CONFIG.PCW_UIPARAM_DDR_PARTNO {MT41K256M16 RE-125} \ +CONFIG.PCW_USB0_PERIPHERAL_ENABLE {1} \ +CONFIG.PCW_USB0_RESET_ENABLE {1} \ +CONFIG.PCW_USB0_RESET_IO {MIO 7} \ +CONFIG.PCW_USE_FABRIC_INTERRUPT {1} \ +CONFIG.PCW_USE_S_AXI_GP0 {0} \ +CONFIG.PCW_USE_S_AXI_GP1 {0} \ +CONFIG.PCW_USE_S_AXI_HP0 {1} \ +CONFIG.PCW_USE_S_AXI_HP1 {1} \ +CONFIG.PCW_USE_S_AXI_HP2 {1} \ +CONFIG.PCW_USE_S_AXI_HP3 {1} \ + ] $processing_system7_0 + + # Create instance: xlconcat_0, and set properties + set xlconcat_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconcat:2.1 xlconcat_0 ] + set_property -dict [ list \ +CONFIG.IN0_WIDTH {8} \ +CONFIG.NUM_PORTS {9} \ + ] $xlconcat_0 + + # Create instance: xlslice_2, and set properties + set xlslice_2 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlslice:1.0 xlslice_2 ] + set_property -dict [ list \ +CONFIG.DIN_FROM {7} \ +CONFIG.DIN_TO {0} \ +CONFIG.DIN_WIDTH {16} \ +CONFIG.DOUT_WIDTH {8} \ + ] $xlslice_2 + + # Create instance: jtag_0, jtag_1 + set jtag_0 [ create_bd_cell -type ip -vlnv ettus.com:ip:axi_bitq:1.0 jtag_0 ] + set jtag_1 [ create_bd_cell -type ip -vlnv ettus.com:ip:axi_bitq:1.0 jtag_1 ] + + # Create instance: axi_iic_0 for QSFP i2c + set axi_iic_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_iic:2.0 axi_iic_0 ] + + + # Create interface connections + connect_bd_intf_net -intf_net S00_AXI_1 [get_bd_intf_pins axi_interconnect_0/S00_AXI] [get_bd_intf_pins processing_system7_0/M_AXI_GP0] + connect_bd_intf_net -intf_net S_AXI_GP0_1 [get_bd_intf_ports S_AXI_GP0] [get_bd_intf_pins axi_interconnect_hp0/S01_AXI] + connect_bd_intf_net -intf_net S_AXI_GP1_1 [get_bd_intf_ports S_AXI_GP1] [get_bd_intf_pins axi_interconnect_hp1/S01_AXI] + connect_bd_intf_net -intf_net S_AXI_HP0_1 [get_bd_intf_ports S_AXI_HP0] [get_bd_intf_pins axi_interconnect_hp0/S00_AXI] + connect_bd_intf_net -intf_net S_AXI_HP1_1 [get_bd_intf_ports S_AXI_HP1] [get_bd_intf_pins axi_interconnect_hp1/S00_AXI] + connect_bd_intf_net -intf_net axi_interconnect_0_M00_AXI [get_bd_intf_ports M_AXI_ETH_DMA0] [get_bd_intf_pins axi_interconnect_0/M00_AXI] + connect_bd_intf_net -intf_net axi_interconnect_0_M01_AXI [get_bd_intf_ports M_AXI_NET0] [get_bd_intf_pins axi_interconnect_0/M01_AXI] + connect_bd_intf_net -intf_net axi_interconnect_0_M02_AXI [get_bd_intf_ports M_AXI_ETH_DMA1] [get_bd_intf_pins axi_interconnect_0/M02_AXI] + connect_bd_intf_net -intf_net axi_interconnect_0_M03_AXI [get_bd_intf_ports M_AXI_NET1] [get_bd_intf_pins axi_interconnect_0/M03_AXI] + connect_bd_intf_net -intf_net axi_interconnect_0_M04_AXI [get_bd_intf_ports M_AXI_XBAR] [get_bd_intf_pins axi_interconnect_0/M04_AXI] + connect_bd_intf_net -intf_net axi_interconnect_0_M05_AXI [get_bd_intf_ports M_AXI_JESD0] [get_bd_intf_pins axi_interconnect_0/M05_AXI] + connect_bd_intf_net -intf_net axi_interconnect_0_M06_AXI [get_bd_intf_ports M_AXI_JESD1] [get_bd_intf_pins axi_interconnect_0/M06_AXI] + connect_bd_intf_net -intf_net axi_interconnect_0_M07_AXI [get_bd_intf_ports M_AXI_NET2] [get_bd_intf_pins axi_interconnect_0/M07_AXI] + connect_bd_intf_net -intf_net axi_interconnect_0_M08_AXI [get_bd_intf_pins axi_interconnect_0/M08_AXI] [get_bd_intf_pins dma/s_axi_rx_dmac] + connect_bd_intf_net -intf_net axi_interconnect_0_M09_AXI [get_bd_intf_pins axi_interconnect_0/M09_AXI] [get_bd_intf_pins dma/s_axi_tx_dmac] + connect_bd_intf_net -intf_net axi_interconnect_0_M10_AXI [get_bd_intf_pins axi_interconnect_0/M10_AXI] [get_bd_intf_pins dma/s_axi_regfile] + connect_bd_intf_net -intf_net axi_interconnect_0_M11_AXI [get_bd_intf_pins jtag_0/S_AXI] [get_bd_intf_pins axi_interconnect_0/M11_AXI] + connect_bd_intf_net -intf_net axi_interconnect_0_M12_AXI [get_bd_intf_pins jtag_1/S_AXI] [get_bd_intf_pins axi_interconnect_0/M12_AXI] + connect_bd_intf_net -intf_net axi_interconnect_0_M13_AXI [get_bd_intf_pins axi_interconnect_0/M13_AXI] [get_bd_intf_pins axi_uartlite_0/S_AXI] + connect_bd_intf_net -intf_net axi_interconnect_0_M14_AXI [get_bd_intf_ports M_AXI_WR] [get_bd_intf_pins axi_interconnect_0/M14_AXI] + connect_bd_intf_net -intf_net axi_interconnect_0_M15_AXI [get_bd_intf_pins axi_iic_0/S_AXI] [get_bd_intf_pins axi_interconnect_0/M15_AXI] + + connect_bd_intf_net -intf_net axi_protocol_converter_hp0_M_AXI [get_bd_intf_pins axi_interconnect_hp0/M00_AXI] [get_bd_intf_pins processing_system7_0/S_AXI_HP0] + connect_bd_intf_net -intf_net axi_protocol_converter_hp1_M_AXI [get_bd_intf_pins axi_interconnect_hp1/M00_AXI] [get_bd_intf_pins processing_system7_0/S_AXI_HP1] + connect_bd_intf_net -intf_net dma_M_AXI_RX_DMA [get_bd_intf_pins dma/M_AXI_RX_DMA] [get_bd_intf_pins processing_system7_0/S_AXI_HP2] + connect_bd_intf_net -intf_net dma_M_AXI_TX_DMA [get_bd_intf_pins dma/M_AXI_TX_DMA] [get_bd_intf_pins processing_system7_0/S_AXI_HP3] + connect_bd_intf_net -intf_net s_axis_dma_1 [get_bd_intf_ports s_axis_dma] [get_bd_intf_pins dma/s_axis_dma] + connect_bd_intf_net -intf_net m_axis_dma_1 [get_bd_intf_ports m_axis_dma] [get_bd_intf_pins dma/m_axis_dma] + connect_bd_intf_net -intf_net processing_system7_0_DDR [get_bd_intf_ports DDR] [get_bd_intf_pins processing_system7_0/DDR] + connect_bd_intf_net -intf_net processing_system7_0_GPIO_0 [get_bd_intf_ports GPIO_0] [get_bd_intf_pins processing_system7_0/GPIO_0] + connect_bd_intf_net -intf_net processing_system7_0_USBIND_0 [get_bd_intf_ports USBIND_0] [get_bd_intf_pins processing_system7_0/USBIND_0] + connect_bd_intf_net -intf_net WR_UART [get_bd_intf_ports WR_UART] [get_bd_intf_pins axi_uartlite_0/UART] + + # Create port connections + connect_bd_net -net IRQ_F2P_1 [get_bd_ports IRQ_F2P] [get_bd_pins xlslice_2/Din] + connect_bd_net -net SPI0_MISO_I_1 [get_bd_ports SPI0_MISO_I] [get_bd_pins processing_system7_0/SPI0_MISO_I] + connect_bd_net -net SPI0_MOSI_I_1 [get_bd_ports SPI0_MOSI_I] [get_bd_pins processing_system7_0/SPI0_MOSI_I] + connect_bd_net -net SPI0_SCLK_I_1 [get_bd_ports SPI0_SCLK_I] [get_bd_pins processing_system7_0/SPI0_SCLK_I] + connect_bd_net -net SPI0_SS_I_1 [get_bd_ports SPI0_SS_I] [get_bd_pins processing_system7_0/SPI0_SS_I] + connect_bd_net -net SPI1_MISO_I_1 [get_bd_ports SPI1_MISO_I] [get_bd_pins processing_system7_0/SPI1_MISO_I] + connect_bd_net -net SPI1_MOSI_I_1 [get_bd_ports SPI1_MOSI_I] [get_bd_pins processing_system7_0/SPI1_MOSI_I] + connect_bd_net -net SPI1_SCLK_I_1 [get_bd_ports SPI1_SCLK_I] [get_bd_pins processing_system7_0/SPI1_SCLK_I] + connect_bd_net -net SPI1_SS_I_1 [get_bd_ports SPI1_SS_I] [get_bd_pins processing_system7_0/SPI1_SS_I] + connect_bd_net -net S_AXI_HP0_ACLK_1 [get_bd_pins axi_interconnect_hp0/S01_ACLK] + connect_bd_net -net S_AXI_HP0_ARESETN_1 [get_bd_pins axi_interconnect_hp0/S01_ARESETN] + connect_bd_net -net S_AXI_HP1_ACLK_1 [get_bd_pins axi_interconnect_hp1/S01_ACLK] + connect_bd_net -net S_AXI_HP1_ARESETN_1 [get_bd_pins axi_interconnect_hp1/S01_ARESETN] + connect_bd_net -net S_AXI_HP0_ACLK_1 [get_bd_ports S_AXI_HP0_ACLK] [get_bd_pins axi_interconnect_hp0/M00_ACLK] [get_bd_pins axi_interconnect_hp0/S00_ACLK] [get_bd_pins processing_system7_0/S_AXI_HP0_ACLK] [get_bd_pins axi_interconnect_hp0/ACLK] + connect_bd_net -net S_AXI_HP0_ARESETN_1 [get_bd_ports S_AXI_HP0_ARESETN] [get_bd_pins axi_interconnect_hp0/M00_ARESETN] [get_bd_pins axi_interconnect_hp0/S00_ARESETN] [get_bd_pins axi_interconnect_hp0/ARESETN] + connect_bd_net -net S_AXI_HP1_ACLK_1 [get_bd_ports S_AXI_HP1_ACLK] [get_bd_pins axi_interconnect_hp1/M00_ACLK] [get_bd_pins axi_interconnect_hp1/S00_ACLK] [get_bd_pins processing_system7_0/S_AXI_HP1_ACLK] [get_bd_pins axi_interconnect_hp1/ACLK] + connect_bd_net -net S_AXI_HP1_ARESETN_1 [get_bd_ports S_AXI_HP1_ARESETN] [get_bd_pins axi_interconnect_hp1/M00_ARESETN] [get_bd_pins axi_interconnect_hp1/S00_ARESETN] [get_bd_pins axi_interconnect_hp1/ARESETN] + connect_bd_net -net bus_clk [get_bd_ports bus_clk] [get_bd_pins dma/bus_clk] + connect_bd_net -net bus_rstn [get_bd_ports bus_rstn] [get_bd_pins dma/bus_rstn] + connect_bd_net -net clk40 [get_bd_ports clk40] [get_bd_pins axi_interconnect_0/M00_ACLK] [get_bd_pins axi_interconnect_0/M01_ACLK] [get_bd_pins axi_interconnect_0/M02_ACLK] [get_bd_pins axi_interconnect_0/M03_ACLK] [get_bd_pins axi_interconnect_0/M04_ACLK] [get_bd_pins axi_interconnect_0/M05_ACLK] [get_bd_pins axi_interconnect_0/M06_ACLK] [get_bd_pins axi_interconnect_0/M07_ACLK] [get_bd_pins axi_interconnect_0/M08_ACLK] [get_bd_pins axi_interconnect_0/M09_ACLK] [get_bd_pins axi_interconnect_0/M10_ACLK] [get_bd_pins dma/clk40] [get_bd_pins processing_system7_0/S_AXI_HP2_ACLK] [get_bd_pins processing_system7_0/S_AXI_HP3_ACLK] [get_bd_pins axi_interconnect_0/M11_ACLK] [get_bd_pins axi_interconnect_0/M12_ACLK] [get_bd_pins axi_interconnect_0/M15_ACLK] + connect_bd_net -net clk40_rstn [get_bd_ports clk40_rstn] [get_bd_pins axi_interconnect_0/M00_ARESETN] [get_bd_pins axi_interconnect_0/M01_ARESETN] [get_bd_pins axi_interconnect_0/M02_ARESETN] [get_bd_pins axi_interconnect_0/M03_ARESETN] [get_bd_pins axi_interconnect_0/M04_ARESETN] [get_bd_pins axi_interconnect_0/M05_ARESETN] [get_bd_pins axi_interconnect_0/M06_ARESETN] [get_bd_pins axi_interconnect_0/M07_ARESETN] [get_bd_pins axi_interconnect_0/M08_ARESETN] [get_bd_pins axi_interconnect_0/M09_ARESETN] [get_bd_pins axi_interconnect_0/M10_ARESETN] [get_bd_pins axi_interconnect_0/M11_ARESETN] [get_bd_pins axi_interconnect_0/M12_ARESETN] [get_bd_pins axi_interconnect_0/M15_ARESETN] [get_bd_pins dma/clk40_rstn] + connect_bd_net -net M_AXI_WR_CLK [get_bd_ports M_AXI_WR_CLK] [get_bd_pins axi_interconnect_0/M14_ACLK] + connect_bd_net -net M_AXI_WR_RSTn [get_bd_ports M_AXI_WR_RSTn] [get_bd_pins axi_interconnect_0/M14_ARESETN] + connect_bd_net -net ddr_vrn [get_bd_ports DDR_VRN] [get_bd_pins processing_system7_0/DDR_VRN] + connect_bd_net -net ddr_vrp [get_bd_ports DDR_VRP] [get_bd_pins processing_system7_0/DDR_VRP] + connect_bd_net -net dma_tx_irq [get_bd_pins dma/tx_irq] [get_bd_pins xlconcat_0/In2] + connect_bd_net -net clk40 [get_bd_ports clk40] [get_bd_pins axi_interconnect_0/ACLK] [get_bd_pins axi_interconnect_0/S00_ACLK] [get_bd_pins processing_system7_0/M_AXI_GP0_ACLK] [get_bd_pins jtag_0/S_AXI_ACLK] [get_bd_pins jtag_1/S_AXI_ACLK] [get_bd_pins axi_iic_0/s_axi_aclk] + connect_bd_net -net clk40_rstn [get_bd_ports clk40_rstn] [get_bd_pins axi_interconnect_0/ARESETN] [get_bd_pins axi_interconnect_0/S00_ARESETN] [get_bd_pins jtag_0/S_AXI_ARESETN] [get_bd_pins jtag_1/S_AXI_ARESETN] [get_bd_pins axi_iic_0/s_axi_aresetn] + connect_bd_net -net mio [get_bd_ports MIO] [get_bd_pins processing_system7_0/MIO] + connect_bd_net -net processing_system7_0_FCLK_CLK0 [get_bd_ports FCLK_CLK0] [get_bd_pins processing_system7_0/FCLK_CLK0] + connect_bd_net -net processing_system7_0_FCLK_CLK1 [get_bd_ports FCLK_CLK1] [get_bd_pins processing_system7_0/FCLK_CLK1] + connect_bd_net -net processing_system7_0_FCLK_CLK2 [get_bd_ports FCLK_CLK2] [get_bd_pins processing_system7_0/FCLK_CLK2] + connect_bd_net -net processing_system7_0_FCLK_CLK3 [get_bd_ports FCLK_CLK3] [get_bd_pins processing_system7_0/FCLK_CLK3] + connect_bd_net -net processing_system7_0_FCLK_RESET0_N [get_bd_ports FCLK_RESET0_N] [get_bd_pins processing_system7_0/FCLK_RESET0_N] + connect_bd_net -net processing_system7_0_FCLK_RESET1_N [get_bd_ports FCLK_RESET1_N] [get_bd_pins processing_system7_0/FCLK_RESET1_N] + connect_bd_net -net processing_system7_0_FCLK_RESET2_N [get_bd_ports FCLK_RESET2_N] [get_bd_pins processing_system7_0/FCLK_RESET2_N] + connect_bd_net -net processing_system7_0_FCLK_RESET3_N [get_bd_ports FCLK_RESET3_N] [get_bd_pins processing_system7_0/FCLK_RESET3_N] + connect_bd_net -net processing_system7_0_SPI0_MISO_O [get_bd_ports SPI0_MISO_O] [get_bd_pins processing_system7_0/SPI0_MISO_O] + connect_bd_net -net processing_system7_0_SPI0_MISO_T [get_bd_ports SPI0_MISO_T] [get_bd_pins processing_system7_0/SPI0_MISO_T] + connect_bd_net -net processing_system7_0_SPI0_MOSI_O [get_bd_ports SPI0_MOSI_O] [get_bd_pins processing_system7_0/SPI0_MOSI_O] + connect_bd_net -net processing_system7_0_SPI0_MOSI_T [get_bd_ports SPI0_MOSI_T] [get_bd_pins processing_system7_0/SPI0_MOSI_T] + connect_bd_net -net processing_system7_0_SPI0_SCLK_O [get_bd_ports SPI0_SCLK_O] [get_bd_pins processing_system7_0/SPI0_SCLK_O] + connect_bd_net -net processing_system7_0_SPI0_SCLK_T [get_bd_ports SPI0_SCLK_T] [get_bd_pins processing_system7_0/SPI0_SCLK_T] + connect_bd_net -net processing_system7_0_SPI0_SS1_O [get_bd_ports SPI0_SS1_O] [get_bd_pins processing_system7_0/SPI0_SS1_O] + connect_bd_net -net processing_system7_0_SPI0_SS2_O [get_bd_ports SPI0_SS2_O] [get_bd_pins processing_system7_0/SPI0_SS2_O] + connect_bd_net -net processing_system7_0_SPI0_SS_O [get_bd_ports SPI0_SS_O] [get_bd_pins processing_system7_0/SPI0_SS_O] + connect_bd_net -net processing_system7_0_SPI0_SS_T [get_bd_ports SPI0_SS_T] [get_bd_pins processing_system7_0/SPI0_SS_T] + connect_bd_net -net processing_system7_0_SPI1_MISO_O [get_bd_ports SPI1_MISO_O] [get_bd_pins processing_system7_0/SPI1_MISO_O] + connect_bd_net -net processing_system7_0_SPI1_MISO_T [get_bd_ports SPI1_MISO_T] [get_bd_pins processing_system7_0/SPI1_MISO_T] + connect_bd_net -net processing_system7_0_SPI1_MOSI_O [get_bd_ports SPI1_MOSI_O] [get_bd_pins processing_system7_0/SPI1_MOSI_O] + connect_bd_net -net processing_system7_0_SPI1_MOSI_T [get_bd_ports SPI1_MOSI_T] [get_bd_pins processing_system7_0/SPI1_MOSI_T] + connect_bd_net -net processing_system7_0_SPI1_SCLK_O [get_bd_ports SPI1_SCLK_O] [get_bd_pins processing_system7_0/SPI1_SCLK_O] + connect_bd_net -net processing_system7_0_SPI1_SCLK_T [get_bd_ports SPI1_SCLK_T] [get_bd_pins processing_system7_0/SPI1_SCLK_T] + connect_bd_net -net processing_system7_0_SPI1_SS1_O [get_bd_ports SPI1_SS1_O] [get_bd_pins processing_system7_0/SPI1_SS1_O] + connect_bd_net -net processing_system7_0_SPI1_SS2_O [get_bd_ports SPI1_SS2_O] [get_bd_pins processing_system7_0/SPI1_SS2_O] + connect_bd_net -net processing_system7_0_SPI1_SS_O [get_bd_ports SPI1_SS_O] [get_bd_pins processing_system7_0/SPI1_SS_O] + connect_bd_net -net processing_system7_0_SPI1_SS_T [get_bd_ports SPI1_SS_T] [get_bd_pins processing_system7_0/SPI1_SS_T] + connect_bd_net -net ps_clk [get_bd_ports PS_CLK] [get_bd_pins processing_system7_0/PS_CLK] + connect_bd_net -net ps_porb [get_bd_ports PS_PORB] [get_bd_pins processing_system7_0/PS_PORB] + connect_bd_net -net ps_srstb [get_bd_ports PS_SRSTB] [get_bd_pins processing_system7_0/PS_SRSTB] + connect_bd_net -net rx_dma_irq [get_bd_pins dma/rx_irq] [get_bd_pins xlconcat_0/In1] + connect_bd_net -net xlconcat_0_dout [get_bd_pins processing_system7_0/IRQ_F2P] [get_bd_pins xlconcat_0/dout] + connect_bd_net -net xlslice_2_Dout [get_bd_pins xlconcat_0/In0] [get_bd_pins xlslice_2/Dout] + connect_bd_net -net JTAG0_TCK [get_bd_ports JTAG0_TCK] [get_bd_pins jtag_0/bit_clk] + connect_bd_net -net JTAG0_TMS [get_bd_ports JTAG0_TMS] [get_bd_pins jtag_0/bit_stb] + connect_bd_net -net JTAG0_TDI [get_bd_ports JTAG0_TDI] [get_bd_pins jtag_0/bit_out] + connect_bd_net -net JTAG0_TDO [get_bd_ports JTAG0_TDO] [get_bd_pins jtag_0/bit_in] + connect_bd_net -net JTAG1_TCK [get_bd_ports JTAG1_TCK] [get_bd_pins jtag_1/bit_clk] + connect_bd_net -net JTAG1_TMS [get_bd_ports JTAG1_TMS] [get_bd_pins jtag_1/bit_stb] + connect_bd_net -net JTAG1_TDI [get_bd_ports JTAG1_TDI] [get_bd_pins jtag_1/bit_out] + connect_bd_net -net JTAG1_TDO [get_bd_ports JTAG1_TDO] [get_bd_pins jtag_1/bit_in] + connect_bd_net [get_bd_pins axi_uartlite_0/interrupt] [get_bd_pins xlconcat_0/In3] + connect_bd_net [get_bd_pins axi_iic_0/iic2intc_irpt] [get_bd_pins xlconcat_0/In4] + connect_bd_net -net qsfp_sda_i [get_bd_pins axi_iic_0/sda_i] [get_bd_ports qsfp_sda_i] + connect_bd_net -net qsfp_sda_o [get_bd_pins axi_iic_0/sda_o] [get_bd_ports qsfp_sda_o] + connect_bd_net -net qsfp_sda_t [get_bd_pins axi_iic_0/sda_t] [get_bd_ports qsfp_sda_t] + connect_bd_net -net qsfp_scl_i [get_bd_pins axi_iic_0/scl_i] [get_bd_ports qsfp_scl_i] + connect_bd_net -net qsfp_scl_o [get_bd_pins axi_iic_0/scl_o] [get_bd_ports qsfp_scl_o] + connect_bd_net -net qsfp_scl_t [get_bd_pins axi_iic_0/scl_t] [get_bd_ports qsfp_scl_t] + connect_bd_net [get_bd_ports clk40] [get_bd_pins axi_uartlite_0/s_axi_aclk] + connect_bd_net [get_bd_ports clk40_rstn] [get_bd_pins axi_uartlite_0/s_axi_aresetn] + connect_bd_net [get_bd_ports clk40] [get_bd_pins axi_interconnect_0/M13_ACLK] + connect_bd_net [get_bd_ports clk40_rstn] [get_bd_pins axi_interconnect_0/M13_ARESETN] + + # Create address segments + create_bd_addr_seg -range 0x4000 -offset 0x40000000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs M_AXI_ETH_DMA0/Reg] SEG_M_AXI_ETH_DMA0_Reg + create_bd_addr_seg -range 0x4000 -offset 0x40008000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs M_AXI_ETH_DMA1/Reg] SEG_M_AXI_ETH_DMA1_Reg + create_bd_addr_seg -range 0x4000 -offset 0x40014000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs M_AXI_JESD0/Reg] SEG_M_AXI_JESD0_Reg + create_bd_addr_seg -range 0x4000 -offset 0x40004000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs M_AXI_NET0/Reg] SEG_M_AXI_NET0_Reg + create_bd_addr_seg -range 0x4000 -offset 0x4000C000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs M_AXI_NET1/Reg] SEG_M_AXI_NET1_Reg + create_bd_addr_seg -range 0x20000 -offset 0x40020000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs M_AXI_NET2/Reg] SEG_M_AXI_NET2_Reg + create_bd_addr_seg -range 0x4000 -offset 0x40018000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs M_AXI_JESD1/Reg] SEG_M_AXI_JESD1_Reg + create_bd_addr_seg -range 0x4000 -offset 0x40010000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs M_AXI_XBAR/Reg] SEG_M_AXI_XBAR_Reg + create_bd_addr_seg -range 0x10000 -offset 0x43CA0000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/tx/axi_tx_dmac_0/s_axi/axi_lite] SEG_axi_dmac_0_axi_lite + create_bd_addr_seg -range 0x10000 -offset 0x43CB0000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/tx/axi_tx_dmac_1/s_axi/axi_lite] SEG_axi_dmac_1_axi_lite + create_bd_addr_seg -range 0x10000 -offset 0x43CC0000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/tx/axi_tx_dmac_2/s_axi/axi_lite] SEG_axi_dmac_2_axi_lite + create_bd_addr_seg -range 0x10000 -offset 0x43CD0000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/tx/axi_tx_dmac_3/s_axi/axi_lite] SEG_axi_dmac_3_axi_lite + create_bd_addr_seg -range 0x10000 -offset 0x43CE0000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/tx/axi_tx_dmac_4/s_axi/axi_lite] SEG_axi_dmac_4_axi_lite + create_bd_addr_seg -range 0x10000 -offset 0x43CF0000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/tx/axi_tx_dmac_5/s_axi/axi_lite] SEG_axi_dmac_5_axi_lite + create_bd_addr_seg -range 0x10000 -offset 0x43D00000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/tx/axi_tx_dmac_6/s_axi/axi_lite] SEG_axi_dmac_6_axi_lite + create_bd_addr_seg -range 0x10000 -offset 0x43D10000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/tx/axi_tx_dmac_7/s_axi/axi_lite] SEG_axi_dmac_7_axi_lite + create_bd_addr_seg -range 0x10000 -offset 0x43D20000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/tx/axi_tx_dmac_8/s_axi/axi_lite] SEG_axi_dmac_8_axi_lite + create_bd_addr_seg -range 0x10000 -offset 0x43D30000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/tx/axi_tx_dmac_9/s_axi/axi_lite] SEG_axi_dmac_9_axi_lite + create_bd_addr_seg -range 0x40000 -offset 0x43D40000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs M_AXI_WR/Reg] SEG_M_AXI_WR_Reg + create_bd_addr_seg -range 0x10000 -offset 0x43D80000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs axi_iic_0/S_AXI/Reg] SEG_axi_iic_0_Reg + create_bd_addr_seg -range 0x1000 -offset 0x42080000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/axi_regfile_0/S_AXI/regs] SEG_axi_regfile_0_regs + create_bd_addr_seg -range 0x10000 -offset 0x43C00000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/rx/dma0/axi_rx_dmac/s_axi/axi_lite] SEG_axi_rx_dmac_axi_lite + create_bd_addr_seg -range 0x10000 -offset 0x43C10000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/rx/dma1/axi_rx_dmac/s_axi/axi_lite] SEG_axi_rx_dmac_axi_lite11 + create_bd_addr_seg -range 0x10000 -offset 0x43C20000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/rx/dma2/axi_rx_dmac/s_axi/axi_lite] SEG_axi_rx_dmac_axi_lite13 + create_bd_addr_seg -range 0x10000 -offset 0x43C30000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/rx/dma3/axi_rx_dmac/s_axi/axi_lite] SEG_axi_rx_dmac_axi_lite15 + create_bd_addr_seg -range 0x10000 -offset 0x43C40000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/rx/dma4/axi_rx_dmac/s_axi/axi_lite] SEG_axi_rx_dmac_axi_lite17 + create_bd_addr_seg -range 0x10000 -offset 0x43C50000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/rx/dma5/axi_rx_dmac/s_axi/axi_lite] SEG_axi_rx_dmac_axi_lite19 + create_bd_addr_seg -range 0x10000 -offset 0x43C60000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/rx/dma6/axi_rx_dmac/s_axi/axi_lite] SEG_axi_rx_dmac_axi_lite21 + create_bd_addr_seg -range 0x10000 -offset 0x43C70000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/rx/dma7/axi_rx_dmac/s_axi/axi_lite] SEG_axi_rx_dmac_axi_lite23 + create_bd_addr_seg -range 0x10000 -offset 0x43C80000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/rx/dma8/axi_rx_dmac/s_axi/axi_lite] SEG_axi_rx_dmac_axi_lite25 + create_bd_addr_seg -range 0x10000 -offset 0x43C90000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs dma/rx/dma9/axi_rx_dmac/s_axi/axi_lite] SEG_axi_rx_dmac_axi_lite27 + create_bd_addr_seg -range 0x1000 -offset 0x42100000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs jtag_0/S_AXI/reg0] SEG_jtag_0_reg0 + create_bd_addr_seg -range 0x1000 -offset 0x42200000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs jtag_1/S_AXI/reg0] SEG_jtag_1_reg0 + create_bd_addr_seg -range 0x1000 -offset 0x42C00000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs {axi_uartlite_0/S_AXI/Reg }] SEG_WR_UART_Reg + create_bd_addr_seg -range 0x40000000 -offset 0x0 [get_bd_addr_spaces dma/tx/axi_tx_dmac_0/m_src_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP3/HP3_DDR_LOWOCM] SEG_processing_system7_0_HP3_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x0 [get_bd_addr_spaces dma/tx/axi_tx_dmac_1/m_src_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP3/HP3_DDR_LOWOCM] SEG_processing_system7_0_HP3_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x0 [get_bd_addr_spaces dma/tx/axi_tx_dmac_2/m_src_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP3/HP3_DDR_LOWOCM] SEG_processing_system7_0_HP3_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x0 [get_bd_addr_spaces dma/tx/axi_tx_dmac_3/m_src_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP3/HP3_DDR_LOWOCM] SEG_processing_system7_0_HP3_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x0 [get_bd_addr_spaces dma/tx/axi_tx_dmac_4/m_src_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP3/HP3_DDR_LOWOCM] SEG_processing_system7_0_HP3_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x0 [get_bd_addr_spaces dma/tx/axi_tx_dmac_5/m_src_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP3/HP3_DDR_LOWOCM] SEG_processing_system7_0_HP3_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x0 [get_bd_addr_spaces dma/tx/axi_tx_dmac_6/m_src_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP3/HP3_DDR_LOWOCM] SEG_processing_system7_0_HP3_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x0 [get_bd_addr_spaces dma/tx/axi_tx_dmac_7/m_src_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP3/HP3_DDR_LOWOCM] SEG_processing_system7_0_HP3_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x0 [get_bd_addr_spaces dma/tx/axi_tx_dmac_8/m_src_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP3/HP3_DDR_LOWOCM] SEG_processing_system7_0_HP3_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x0 [get_bd_addr_spaces dma/tx/axi_tx_dmac_9/m_src_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP3/HP3_DDR_LOWOCM] SEG_processing_system7_0_HP3_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x0 [get_bd_addr_spaces dma/rx/dma0/axi_rx_dmac/m_dest_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP2/HP2_DDR_LOWOCM] SEG_processing_system7_0_HP2_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x0 [get_bd_addr_spaces dma/rx/dma1/axi_rx_dmac/m_dest_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP2/HP2_DDR_LOWOCM] SEG_processing_system7_0_HP2_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x0 [get_bd_addr_spaces dma/rx/dma2/axi_rx_dmac/m_dest_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP2/HP2_DDR_LOWOCM] SEG_processing_system7_0_HP2_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x0 [get_bd_addr_spaces dma/rx/dma3/axi_rx_dmac/m_dest_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP2/HP2_DDR_LOWOCM] SEG_processing_system7_0_HP2_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x0 [get_bd_addr_spaces dma/rx/dma4/axi_rx_dmac/m_dest_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP2/HP2_DDR_LOWOCM] SEG_processing_system7_0_HP2_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x0 [get_bd_addr_spaces dma/rx/dma5/axi_rx_dmac/m_dest_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP2/HP2_DDR_LOWOCM] SEG_processing_system7_0_HP2_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x0 [get_bd_addr_spaces dma/rx/dma6/axi_rx_dmac/m_dest_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP2/HP2_DDR_LOWOCM] SEG_processing_system7_0_HP2_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x0 [get_bd_addr_spaces dma/rx/dma7/axi_rx_dmac/m_dest_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP2/HP2_DDR_LOWOCM] SEG_processing_system7_0_HP2_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x0 [get_bd_addr_spaces dma/rx/dma8/axi_rx_dmac/m_dest_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP2/HP2_DDR_LOWOCM] SEG_processing_system7_0_HP2_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x0 [get_bd_addr_spaces dma/rx/dma9/axi_rx_dmac/m_dest_axi] [get_bd_addr_segs processing_system7_0/S_AXI_HP2/HP2_DDR_LOWOCM] SEG_processing_system7_0_HP2_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x0 [get_bd_addr_spaces S_AXI_GP0] [get_bd_addr_segs processing_system7_0/S_AXI_HP0/HP0_DDR_LOWOCM] SEG_processing_system7_0_GP0_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x0 [get_bd_addr_spaces S_AXI_GP1] [get_bd_addr_segs processing_system7_0/S_AXI_HP1/HP1_DDR_LOWOCM] SEG_processing_system7_0_GP1_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x0 [get_bd_addr_spaces S_AXI_HP0] [get_bd_addr_segs processing_system7_0/S_AXI_HP0/HP0_DDR_LOWOCM] SEG_processing_system7_0_HP0_DDR_LOWOCM + create_bd_addr_seg -range 0x40000000 -offset 0x0 [get_bd_addr_spaces S_AXI_HP1] [get_bd_addr_segs processing_system7_0/S_AXI_HP1/HP1_DDR_LOWOCM] SEG_processing_system7_0_HP1_DDR_LOWOCM + + # Restore current instance + current_bd_instance $oldCurInst + + save_bd_design +} +# End of create_root_design() + + +################################################################## +# MAIN FLOW +################################################################## + +create_root_design "" + + diff --git a/fpga/usrp3/top/n3xx/ip/one_gig_eth_pcs_pma/Makefile.inc b/fpga/usrp3/top/n3xx/ip/one_gig_eth_pcs_pma/Makefile.inc new file mode 100644 index 000000000..b159ce4b6 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/one_gig_eth_pcs_pma/Makefile.inc @@ -0,0 +1,45 @@ +# +# Copyright 2008-2013 Ettus Research LLC +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +ONE_GIGE_PHY_SRCS = \ +$(IP_DIR)/one_gig_eth_pcs_pma/one_gige_phy.v \ +$(IP_DIR)/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_support.v \ +$(IP_DIR)/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_clocking.v \ +$(IP_ONE_GIG_ETH_PCS_PMA_EXAMPLE_SRCS) + +IP_ONE_GIG_ETH_PCS_PMA_EXAMPLE_SRCS = $(addprefix $(IP_BUILD_DIR)/one_gig_eth_pcs_pma_ex/imports/, \ +one_gig_eth_pcs_pma_example_design.v \ +one_gig_eth_pcs_pma_reset_sync_ex.v \ +one_gig_eth_pcs_pma_sync_block_ex.v \ +one_gig_eth_pcs_pma_tx_elastic_buffer.v \ +one_gig_eth_pcs_pma_gt_common.v \ +one_gig_eth_pcs_pma_resets.v \ +) + +IP_ONE_GIG_ETH_PCS_PMA_SRCS = $(IP_BUILD_DIR)/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma.xci + +IP_ONE_GIG_ETH_PCS_PMA_OUTS = $(addprefix $(IP_BUILD_DIR)/one_gig_eth_pcs_pma/, \ +one_gig_eth_pcs_pma.xci.out \ +synth/one_gig_eth_pcs_pma_block.v \ +synth/one_gig_eth_pcs_pma_reset_sync.v \ +synth/one_gig_eth_pcs_pma.v \ +synth/one_gig_eth_pcs_pma_ooc.xdc \ +synth/one_gig_eth_pcs_pma_sync_block.v \ +synth/one_gig_eth_pcs_pma.xdc \ +synth/transceiver/one_gig_eth_pcs_pma_gtwizard_gt.v \ +synth/transceiver/one_gig_eth_pcs_pma_gtwizard.v \ +synth/transceiver/one_gig_eth_pcs_pma_transceiver.v \ +synth/transceiver/one_gig_eth_pcs_pma_gtwizard_init.v \ +synth/transceiver/one_gig_eth_pcs_pma_reset_wtd_timer.v \ +synth/transceiver/one_gig_eth_pcs_pma_tx_startup_fsm.v \ +synth/transceiver/one_gig_eth_pcs_pma_gtwizard_multi_gt.v \ +synth/transceiver/one_gig_eth_pcs_pma_rx_startup_fsm.v \ +) + +$(IP_ONE_GIG_ETH_PCS_PMA_EXAMPLE_SRCS) : $(IP_ONE_GIG_ETH_PCS_PMA_OUTS) + +$(IP_ONE_GIG_ETH_PCS_PMA_SRCS) $(IP_ONE_GIG_ETH_PCS_PMA_OUTS) : $(IP_DIR)/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma.xci + $(call BUILD_VIVADO_IP,one_gig_eth_pcs_pma,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),1) diff --git a/fpga/usrp3/top/n3xx/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma.xci b/fpga/usrp3/top/n3xx/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma.xci new file mode 100644 index 000000000..79d8850b4 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma.xci @@ -0,0 +1,353 @@ + + + xilinx.com + xci + unknown + 1.0 + + + one_gig_eth_pcs_pma + + + 1 + 1 + 1 + 1 + + + + 0 + + + + 0 + + + 0 + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + 100000000 + 0 + 0.000 + + + 100000000 + 0 + 0.000 + false + false + false + false + 0 + + + + 0 + + + + 0 + false + 100000000 + + + + 0 + + + + 0 + + + + 100000000 + 0 + 0.000 + + + 100000000 + 0 + 0.000 + + + 100000000 + 0 + 0.000 + false + false + false + false + 0 + 0 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + false + false + false + + + + 100000000 + 0 + 0.000 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + ACTIVE_LOW + ACTIVE_LOW + ACTIVE_LOW + ACTIVE_LOW + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + + 100000000 + 0 + 0.000 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + true + 0 + 0 + false + false + DIFF_PAIR_0 + DIFF_PAIR_1 + false + DIFF_PAIR_0 + DIFF_PAIR_1 + zynq + 0 + one_gig_eth_pcs_pma + 50 + false + . + false + false + false + false + zynq + 8 + 9 + X0Y0 + 7 + 4 + GTH + false + false + false + false + true + false + false + 1 + clk0 + 125 + TXOUTCLK + true + false + one_gig_eth_pcs_pma_gt + false + GTXE2 + false + 1 + false + false + true + xc7z100 + false + 1 + false + false + Sync + one_gig_eth_pcs_pma + Custom + 50 + TEMAC + Custom + 0 + false + false + false + false + X0Y0 + GTH + false + false + 125 + Custom + true + 1G + 1 + Transceiver + 125 + clk0 + TXOUTCLK + DIFF_PAIR_0 + DIFF_PAIR_1 + false + 10_100_1000 + false + 1000BASEX + Include_Shared_Logic_in_Example_Design + Time_of_day + false + DIFF_PAIR_0 + DIFF_PAIR_1 + 1 + false + zynq + + + xc7z100 + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 6 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/n3xx/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_clocking.v b/fpga/usrp3/top/n3xx/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_clocking.v new file mode 100644 index 000000000..e5e05c62b --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_clocking.v @@ -0,0 +1,188 @@ +//------------------------------------------------------------------------------ +// File : one_gig_eth_pcs_pma_clocking.v +// Author : Xilinx Inc. +//------------------------------------------------------------------------------ +// (c) Copyright 2011 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +// +//------------------------------------------------------------------------------ +// Description: This module holds the Clocking logic for pcs/pma core. + + +`timescale 1 ps/1 ps + + +//------------------------------------------------------------------------------ +// The module declaration for the example design +//------------------------------------------------------------------------------ + +module one_gig_eth_pcs_pma_clocking + ( + input txoutclk, // txoutclk from GT transceiver. + input rxoutclk, // rxoutclk from GT transceiver. + input mmcm_reset, // MMCM Reset + output wire mmcm_locked, // MMCM locked + + output userclk, // for GT PMA reference clock + output userclk2, // 125MHz clock for core reference clock. + output rxuserclk, // for GT PMA reference clock + output rxuserclk2 // 125MHz clock for core reference clock. + ); + + wire clkout0; // MMCM output clock + wire clkout1; // MMCM output clock + wire clkfbout; // MMCM Feedback clock +// Route txoutclk input through a BUFG + wire txoutclk_bufg; + wire userclk_i; + wire rxoutclk_buf; + + //--------------------------------------------------------------------------- + // Transceiver Clock Management + //--------------------------------------------------------------------------- + + // Route txoutclk input through a BUFG + BUFG bufg_txoutclk ( + .I (txoutclk), + .O (txoutclk_bufg) + ); + + // The GT transceiver provides a 62.5MHz clock to the FPGA fabrix. This is + // routed to an MMCM module where it is used to create phase and frequency + // related 62.5MHz and 125MHz clock sources + MMCME2_ADV # ( + .BANDWIDTH ("OPTIMIZED"), + .CLKOUT4_CASCADE ("FALSE"), + .COMPENSATION ("ZHOLD"), + .STARTUP_WAIT ("FALSE"), + .DIVCLK_DIVIDE (1), + .CLKFBOUT_PHASE (0.000), + .CLKFBOUT_USE_FINE_PS ("FALSE"), + .CLKOUT0_PHASE (0.000), + .CLKOUT0_DUTY_CYCLE (0.5), + .CLKOUT0_USE_FINE_PS ("FALSE"), + .CLKOUT1_PHASE (0.000), + .CLKOUT1_DUTY_CYCLE (0.5), + .CLKOUT1_USE_FINE_PS ("FALSE"), + .CLKIN1_PERIOD (16.0), + .CLKFBOUT_MULT_F (16.000), + .CLKOUT0_DIVIDE_F (8.000), + .CLKOUT1_DIVIDE (16), + + .REF_JITTER1 (0.010) + ) mmcm_adv_inst ( + // Output clocks + .CLKFBOUT (clkfbout), + .CLKFBOUTB (), + .CLKOUT0 (clkout0), + .CLKOUT0B (), + .CLKOUT1 (clkout1), + .CLKOUT1B (), + .CLKOUT2 (), + .CLKOUT2B (), + .CLKOUT3 (), + .CLKOUT3B (), + .CLKOUT4 (), + .CLKOUT5 (), + .CLKOUT6 (), + // Input clock control + .CLKFBIN (clkfbout), + .CLKIN1 (txoutclk_bufg), + .CLKIN2 (1'b0), + // Tied to always select the primary input clock + .CLKINSEL (1'b1), + // Ports for dynamic reconfiguration + .DADDR (7'h0), + .DCLK (1'b0), + .DEN (1'b0), + .DI (16'h0), + .DO (), + .DRDY (), + .DWE (1'b0), + // Ports for dynamic phase shift + .PSCLK (1'b0), + .PSEN (1'b0), + .PSINCDEC (1'b0), + .PSDONE (), + // Other control and status signals + .LOCKED (mmcm_locked), + .CLKINSTOPPED (), + .CLKFBSTOPPED (), + .PWRDWN (1'b0), + .RST (mmcm_reset) + ); + // This 62.5MHz clock is placed onto global clock routing and is then used + // for tranceiver TXUSRCLK/RXUSRCLK. + BUFG bufg_userclk ( + .I (clkout1), + .O (userclk_i) + ); + + + // This 125MHz clock is placed onto global clock routing and is then used + // to clock all Ethernet core logic. + BUFG bufg_userclk2 ( + .I (clkout0), + .O (userclk2) + ); + + + +assign userclk = userclk_i; + + + // Place the Rx recovered clock on a Global Clock Buffer (it may be possible + // to switch this for a BUFHCE/BUFR and BUFMR combination) + BUFG rxrecclkbufg ( + .I (rxoutclk), + .O (rxoutclk_buf) + ); + + assign rxuserclk2 = rxoutclk_buf; + assign rxuserclk = rxoutclk_buf; + +endmodule // one_gig_eth_pcs_pma_clocking diff --git a/fpga/usrp3/top/n3xx/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_support.v b/fpga/usrp3/top/n3xx/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_support.v new file mode 100644 index 000000000..4c92d105a --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_support.v @@ -0,0 +1,238 @@ +//------------------------------------------------------------------------------ +// File : one_gig_eth_pcs_pma_support.v +// Author : Xilinx Inc. +//------------------------------------------------------------------------------ +// (c) Copyright 2011 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +// +//------------------------------------------------------------------------------ +// Description: This module holds the support level for the pcs/pma core +// This can be used as-is in a single core design, or adapted +// for use with multi-core implementations + +`timescale 1 ps/1 ps +(* DowngradeIPIdentifiedWarnings="yes" *) + +//------------------------------------------------------------------------------ +// The module declaration for the Core Block wrapper. +//------------------------------------------------------------------------------ + +module one_gig_eth_pcs_pma_support + ( + // Transceiver Interface + //---------------------- + + + input gtrefclk, // gtrefclk routed through an IBUFG. + input gtrefclk_bufg, // gtrefclk routed through a BUFG for driving logic. + output txp, // Differential +ve of serial transmission from PMA to PMD. + output txn, // Differential -ve of serial transmission from PMA to PMD. + input rxp, // Differential +ve for serial reception from PMD to PMA. + input rxn, // Differential -ve for serial reception from PMD to PMA. + output userclk_out, + output userclk2_out, + output rxuserclk_out, + output rxuserclk2_out, + input independent_clock_bufg, // Freerun Independent clock, + output pma_reset_out, // transceiver PMA reset signal + output mmcm_locked_out, // MMCM Locked + output resetdone, + // GMII Interface + //--------------- + input [7:0] gmii_txd, // Transmit data from client MAC. + input gmii_tx_en, // Transmit control signal from client MAC. + input gmii_tx_er, // Transmit control signal from client MAC. + output [7:0] gmii_rxd, // Received Data to client MAC. + output gmii_rx_dv, // Received control signal to client MAC. + output gmii_rx_er, // Received control signal to client MAC. + output gmii_isolate, // Tristate control to electrically isolate GMII. + + // Management: MDIO Interface + //--------------------------- + + input mdc, // Management Data Clock + input mdio_i, // Management Data In + output mdio_o, // Management Data Out + output mdio_t, // Management Data Tristate + input [4:0] phyaddr, // MDIO address + input [4:0] configuration_vector, // Alternative to MDIO interface. + input configuration_valid, // Validation signal for Config vector + + // General IO's + //------------- + output [15:0] status_vector, // Core status. + input reset, // Asynchronous reset for entire core. + + input signal_detect, // Input from PMD to indicate presence of optical input. + input gt0_qplloutclk_in, + input gt0_qplloutrefclk_in + + + + ); + + + //--------------------------------------------------------------------------- + // Internal signals used in this block level wrapper. + //--------------------------------------------------------------------------- + + // Core <=> Transceiver interconnect + wire cplllock; // reset done indication from transceiver + wire mmcm_reset; // Reset to MMCM based on resetdone + wire mmcm_locked; // Signal indicating that MMCM has locked + wire pma_reset; // Reset synchronized to system clock + wire txoutclk; // txoutclk from GT transceiver (62.5MHz) + wire rxoutclk; // txoutclk from GT transceiver (62.5MHz) + wire userclk; + wire userclk2; + wire rxuserclk; + wire rxuserclk2; + + // GT Interface + //------------- + //wire gt0_qplloutclk; + //wire gt0_qplloutrefclk; + +one_gig_eth_pcs_pma +pcs_pma_i + ( + + // Transceiver Interface + //---------------------- + + .gtrefclk (gtrefclk), // Very high quality clock for GT transceiver. + .gtrefclk_bufg (gtrefclk_bufg), + .txp (txp), // Differential +ve of serial transmission from PMA to PMD. + .txn (txn), // Differential -ve of serial transmission from PMA to PMD. + .rxp (rxp), // Differential +ve for serial reception from PMD to PMA. + .rxn (rxn), // Differential -ve for serial reception from PMD to PMA. + + .txoutclk (txoutclk), + .rxoutclk (rxoutclk), + .resetdone (resetdone), + .cplllock (cplllock), + .mmcm_reset (mmcm_reset), + .userclk (userclk), + .userclk2 (userclk2), + .rxuserclk (rxuserclk), + .rxuserclk2 (rxuserclk2), + .independent_clock_bufg (independent_clock_bufg), + .pma_reset (pma_reset), + .mmcm_locked (mmcm_locked), + + .gmii_txd (gmii_txd), + .gmii_tx_en (gmii_tx_en), + .gmii_tx_er (gmii_tx_er), + .gmii_rxd (gmii_rxd), + .gmii_rx_dv (gmii_rx_dv), + .gmii_rx_er (gmii_rx_er), + .gmii_isolate (gmii_isolate), + + // Management: MDIO Interface + //--------------------------- + + .mdc (mdc), + .mdio_i (mdio_i), + .mdio_o (mdio_o), + .mdio_t (mdio_t), + .phyaddr (phyaddr), + .configuration_vector (configuration_vector), + .configuration_valid (configuration_valid), + + + // General IO's + //------------- + .status_vector (status_vector), // Core status. + .reset (pma_reset), // Asynchronous reset for entire core. + + .signal_detect (signal_detect) , // Input from PMD to indicate presence of optical input. + .gt0_qplloutclk_in (gt0_qplloutclk_in), + .gt0_qplloutrefclk_in (gt0_qplloutrefclk_in) + ); + + + //---------------------------------------------------------------------------- + // Instantiate the clocking module. + //---------------------------------------------------------------------------- + one_gig_eth_pcs_pma_clocking core_clocking_i + ( + .txoutclk (txoutclk), + .rxoutclk (rxoutclk), + .mmcm_reset (mmcm_reset), + .mmcm_locked (mmcm_locked), + .userclk (userclk), + .userclk2 (userclk2), + .rxuserclk (rxuserclk), + .rxuserclk2 (rxuserclk2) + ); + +assign gtrefclk_out = gtrefclk; +assign gtrefclk_bufg_out = gtrefclk_bufg; +assign userclk_out = userclk; +assign userclk2_out = userclk2; +assign rxuserclk_out = rxuserclk; +assign rxuserclk2_out = rxuserclk2; + + + //--------------------------------------------------------------------------- + // Transceiver PMA reset circuitry + //--------------------------------------------------------------------------- + one_gig_eth_pcs_pma_resets core_resets_i + ( + .reset (reset), + .independent_clock_bufg (independent_clock_bufg), + + .pma_reset (pma_reset) + ); + +assign pma_reset_out = pma_reset; + + + assign mmcm_locked_out = mmcm_locked; + +endmodule // one_gig_eth_pcs_pma_support + diff --git a/fpga/usrp3/top/n3xx/ip/one_gig_eth_pcs_pma/one_gige_phy.v b/fpga/usrp3/top/n3xx/ip/one_gig_eth_pcs_pma/one_gige_phy.v new file mode 100644 index 000000000..b02424f03 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/one_gig_eth_pcs_pma/one_gige_phy.v @@ -0,0 +1,105 @@ +// +// Copyright 2014 Ettus Research LLC +// + +module one_gige_phy +( + input independent_clock, + input gt0_qplloutclk_in, + input gt0_qplloutrefclk_in, + + // Tranceiver Interface + //--------------------- + input gtrefclk, // Reference clock for MGT: 125MHz, very high quality. + input gtrefclk_bufg, // Reference clock routed through a BUFG + output txp, // Differential +ve of serial transmission from PMA to PMD. + output txn, // Differential -ve of serial transmission from PMA to PMD. + input rxp, // Differential +ve for serial reception from PMD to PMA. + input rxn, // Differential -ve for serial reception from PMD to PMA. + + // GMII Interface (client MAC <=> PCS) + //------------------------------------ + output gmii_clk, // Receive clock to client MAC. + input [7:0] gmii_txd, // Transmit data from client MAC. + input gmii_tx_en, // Transmit control signal from client MAC. + input gmii_tx_er, // Transmit control signal from client MAC. + output reg [7:0] gmii_rxd, // Received Data to client MAC. + output reg gmii_rx_dv, // Received control signal to client MAC. + output reg gmii_rx_er, // Received control signal to client MAC. + + // Management: MDIO Interface + //--------------------------- + input mdc, // Management Data Clock + input mdio_i, // Management Data In + output mdio_o, // Management Data Out + output mdio_t, // Management Data Tristate + input [4:0] phyaddr, // MDIO PHY Address + input [4:0] configuration_vector, // Alternative to MDIO interface. + input configuration_valid, // Validation signal for Config vector + + // General IO's + //------------- + output [15:0] status_vector, // Core status. + input reset, // Asynchronous reset for entire core. + input signal_detect, // Input from PMD to indicate presence of optical input. + output pma_reset_out +); + + wire resetdone; // To indicate that the GT transceiver has completed its reset cycle + wire userclk; // 62.5MHz clock for GT transceiver Tx/Rx user clocks + wire userclk2; // 125MHz clock for core reference clock. + wire rxuserclk2; + wire gmii_isolate; // internal gmii_isolate signal. + + wire [7:0] gmii_rxd_int; + wire gmii_rx_dv_int; + wire gmii_rx_er_int; + + always @(posedge gmii_clk) begin + gmii_rxd <= gmii_rxd_int; + gmii_rx_dv <= gmii_rx_dv_int; + gmii_rx_er <= gmii_rx_er_int; + end + + //---------------------------------------------------------------------------- + // Instantiate core wrapper + //---------------------------------------------------------------------------- + one_gig_eth_pcs_pma_support core_support_i ( + .gtrefclk (gtrefclk), + .gtrefclk_bufg (gtrefclk_bufg), + .txp (txp), + .txn (txn), + .rxp (rxp), + .rxn (rxn), + .mmcm_locked_out (), + .userclk_out (userclk), + .userclk2_out (userclk2), + .rxuserclk_out (), + .rxuserclk2_out (rxuserclk2), + .independent_clock_bufg(independent_clock), + .pma_reset_out (pma_reset_out), + .resetdone (resetdone), + .gmii_txd (gmii_txd), + .gmii_tx_en (gmii_tx_en), + .gmii_tx_er (gmii_tx_er), + .gmii_rxd (gmii_rxd_int), + .gmii_rx_dv (gmii_rx_dv_int), + .gmii_rx_er (gmii_rx_er_int), + .gmii_isolate (gmii_isolate), + .mdc (mdc), + .mdio_i (mdio_i), + .mdio_o (mdio_o), + .mdio_t (mdio_t), + .phyaddr (phyaddr), + .configuration_vector (configuration_vector), + .configuration_valid (configuration_valid), + .status_vector (status_vector), + .reset (reset), + .signal_detect (signal_detect), + .gt0_qplloutclk_in (gt0_qplloutclk_in), + .gt0_qplloutrefclk_in (gt0_qplloutrefclk_in) + ); + + assign gmii_clk = userclk2; + +endmodule // one_gige_phy diff --git a/fpga/usrp3/top/n3xx/ip/one_gig_eth_pcs_pma/one_gige_phy.xdc b/fpga/usrp3/top/n3xx/ip/one_gig_eth_pcs_pma/one_gige_phy.xdc new file mode 100644 index 000000000..4dadace3b --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/one_gig_eth_pcs_pma/one_gige_phy.xdc @@ -0,0 +1,164 @@ + + +#*********************************************************** +# The following constraints target the Transceiver Physical* +# Interface which is instantiated in the Example Design. * +#*********************************************************** +#----------------------------------------------------------- +# Transceiver I/O placement: - +#----------------------------------------------------------- + + +# Place the transceiver components, chosen for this example design +# *** These values should be modified according to your specific design *** + +#set_property LOC GTXE2_CHANNEL_X0Y1 [get_cells */*/*/transceiver_inst/gtwizard_inst/*/gtwizard_i/gt0_GTWIZARD_i/gtxe2_i] + + +#----------------------------------------------------------- +# Clock source used for the IDELAY Controller (if present) - +# and for the transceiver reset circuitry - +#----------------------------------------------------------- + + +create_clock -name independent_clock -period 5.000 [get_ports independent_clock] + +#----------------------------------------------------------- +# PCS/PMA Clock period Constraints: please do not relax - +#----------------------------------------------------------- + +create_clock -add -name gtrefclk -period 8.000 [get_ports gtrefclk_p] + + +#----------------------------------------------------------- +# Transceiver I/O placement: - +#----------------------------------------------------------- + +# Place the transceiver components, chosen for this example design +# *** These values should be modified according to your specific design *** + +#set_property LOC H6 [get_ports gtrefclk_p] +#set_property LOC H5 [get_ports gtrefclk_n] + + +#*********************************************************** +# The following constraints target the GMII implemented in * +# the Example Design. * +#*********************************************************** +# If the GMII is intended to be an internal interface, * +# the GMII signals can be connected directly to user * +# logic and all of the following constraints in this file * +# should be removed. * +# * +# If the GMII is intended to be an external interface, * +# all of the following constraints in this file should be * +# maintained. * +#*********************************************************** + +#----------------------------------------------------------- +# GMII IOSTANDARD Constraints: please select an I/O - +# Standard (LVTTL is suggested). - +#----------------------------------------------------------- + +# Please update the IOSTANDARD according to that available in the device + +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_txd[0]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_txd[1]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_txd[2]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_txd[3]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_txd[4]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_txd[5]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_txd[6]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_txd[7]}] +#set_property IOSTANDARD LVCMOS33 [get_ports gmii_tx_en] +#set_property IOSTANDARD LVCMOS33 [get_ports gmii_tx_er] + +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_rxd[0]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_rxd[1]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_rxd[2]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_rxd[3]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_rxd[4]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_rxd[5]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_rxd[6]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_rxd[7]}] +#set_property IOSTANDARD LVCMOS33 [get_ports gmii_rx_dv] +#set_property IOSTANDARD LVCMOS33 [get_ports gmii_rx_er] + +#set_property IOSTANDARD LVCMOS33 [get_ports gmii_tx_clk] +#set_property IOSTANDARD LVCMOS33 [get_ports gmii_rx_clk] + +#----------------------------------------------------------- +# Lock down the GMII Tx signals to the same bank for low - +# skew. This is an example placement only. - +#----------------------------------------------------------- + + +#----------------------------------------------------------- +# To Adjust GMII Tx Input Setup/Hold Timing - +#----------------------------------------------------------- +# These constraints will be set at a later date when device speed files have matured + +#set_property IDELAY_VALUE 0 [get_cells delay_gmii_tx_en] +#set_property IDELAY_VALUE 0 [get_cells delay_gmii_tx_er] + +#set_property IDELAY_VALUE 0 [get_cells {gmii_data_bus[7].delay_gmii_txd}] +#set_property IDELAY_VALUE 0 [get_cells {gmii_data_bus[6].delay_gmii_txd}] +#set_property IDELAY_VALUE 0 [get_cells {gmii_data_bus[5].delay_gmii_txd}] +#set_property IDELAY_VALUE 0 [get_cells {gmii_data_bus[4].delay_gmii_txd}] +#set_property IDELAY_VALUE 0 [get_cells {gmii_data_bus[3].delay_gmii_txd}] +#set_property IDELAY_VALUE 0 [get_cells {gmii_data_bus[2].delay_gmii_txd}] +#set_property IDELAY_VALUE 0 [get_cells {gmii_data_bus[1].delay_gmii_txd}] +#set_property IDELAY_VALUE 0 [get_cells {gmii_data_bus[0].delay_gmii_txd}] + + + +#----------------------------------------------------------- +# To check (analyze) GMII Tx Input Setup/Hold Timing - +#----------------------------------------------------------- + +create_clock -name gmii_tx_clk -period 8.000 [get_ports gmii_tx_clk] + + + +#----------------------------------------------------------- +# Fast Skew maximises output setup and hold timing - +#----------------------------------------------------------- +set_property SLEW FAST [get_ports {gmii_rxd[*]}] +set_property SLEW FAST [get_ports gmii_rx_dv] +set_property SLEW FAST [get_ports gmii_rx_er] +set_property SLEW FAST [get_ports gmii_rx_clk] + + +#----------------------------------------------------------- +# GMII Transmitter Constraints: place flip-flops in IOB - +#----------------------------------------------------------- +#set_property IOB TRUE [get_cells gmii_txd_IBUF*] +#set_property IOB TRUE [get_cells gmii_tx_en_IBUF*] +#set_property IOB TRUE [get_cells gmii_tx_er_IBUF*] + +#----------------------------------------------------------- +# GMII Receiver Constraints: place flip-flops in IOB - +#----------------------------------------------------------- +#set_property IOB TRUE [get_cells gmii_rxd_obuf_reg*] +#set_property IOB TRUE [get_cells gmii_rx_dv_obuf_reg] +#set_property IOB TRUE [get_cells gmii_rx_er_obuf_reg] + + + +#----------------------------------------------------------- +# GMII Tx Elastic Buffer Constraints - +#----------------------------------------------------------- + +# Control Gray Code delay and skew across clock boundary +set_false_path -to [get_pins -hier -filter {name =~ *tx_elastic_buffer_inst/reclock_rd_addrgray*/data_sync*/D}] +set_false_path -to [get_pins -hier -filter {name =~ *tx_elastic_buffer_inst/reclock_wr_addrgray*/data_sync*/D}] + +# Constrain between Distributed Memory (output data) and the 1st set of flip-flops +set_false_path -from [get_clocks gmii_tx_clk] -to [get_pins -hier -filter {name =~ tx_elastic_buffer_inst/tx_en_fifo_reg1*/D}] +set_false_path -from [get_clocks gmii_tx_clk] -to [get_pins -hier -filter {name =~ tx_elastic_buffer_inst/tx_er_fifo_reg1*/D}] +set_false_path -from [get_clocks gmii_tx_clk] -to [get_pins -hier -filter {name =~ tx_elastic_buffer_inst/txd_fifo_reg1*/D}] + +set_false_path -to [get_pins -hier -filter {name =~ *reset_sync*/PRE }] + +set_false_path -to [get_pins -hier -filter {name =~ */core_resets_i/pma_reset_pipe_reg*/PRE}] +set_false_path -to [get_pins -hier -filter {name =~ */core_resets_i/pma_reset_pipe*[0]/D}] diff --git a/fpga/usrp3/top/n3xx/ip/ten_gig_eth_pcs_pma/Makefile.inc b/fpga/usrp3/top/n3xx/ip/ten_gig_eth_pcs_pma/Makefile.inc new file mode 100644 index 000000000..db95346bd --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/ten_gig_eth_pcs_pma/Makefile.inc @@ -0,0 +1,42 @@ +# +# Copyright 2008-2013 Ettus Research LLC +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +TEN_GIGE_PHY_SRCS = \ +$(IP_DIR)/ten_gig_eth_pcs_pma/ten_gige_phy_clk_gen.v \ +$(IP_DIR)/ten_gig_eth_pcs_pma/ten_gige_phy.v \ +$(IP_DIR)/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_gt_common.v \ +$(IP_TEN_GIG_ETH_PCS_PMA_EXAMPLE_SRCS) + +IP_TEN_GIG_ETH_PCS_PMA_EXAMPLE_SRCS = $(addprefix $(IP_BUILD_DIR)/ten_gig_eth_pcs_pma_ex/imports/, \ +ten_gig_eth_pcs_pma_example_design.v \ +ten_gig_eth_pcs_pma_ff_synchronizer_rst2.v \ +ten_gig_eth_pcs_pma_shared_clock_and_reset.v \ +ten_gig_eth_pcs_pma_support.v \ +) + +IP_TEN_GIG_ETH_PCS_PMA_SRCS = $(IP_BUILD_DIR)/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.xci + +IP_TEN_GIG_ETH_PCS_PMA_OUTS = $(addprefix $(IP_BUILD_DIR)/ten_gig_eth_pcs_pma/, \ +ten_gig_eth_pcs_pma.xci.out \ +synth/ten_gig_eth_pcs_pma_block.v \ +synth/ten_gig_eth_pcs_pma_gtwizard_10gbaser_multi_gt.v \ +synth/ten_gig_eth_pcs_pma_cable_pull_logic.v \ +synth/ten_gig_eth_pcs_pma_local_clock_and_reset.v \ +synth/ten_gig_eth_pcs_pma_clocks.xdc \ +synth/ten_gig_eth_pcs_pma_ooc.xdc \ +synth/ten_gig_eth_pcs_pma_ff_synchronizer_rst.v \ +synth/ten_gig_eth_pcs_pma_sim_speedup_controller.v \ +synth/ten_gig_eth_pcs_pma_ff_synchronizer.v \ +synth/ten_gig_eth_pcs_pma.v \ +synth/ten_gig_eth_pcs_pma_gtwizard_10gbaser_gt.v \ +synth/ten_gig_eth_pcs_pma.xdc \ +) + +$(IP_TEN_GIG_ETH_PCS_PMA_EXAMPLE_SRCS) : $(IP_TEN_GIG_ETH_PCS_PMA_OUTS) + +$(IP_TEN_GIG_ETH_PCS_PMA_SRCS) $(IP_TEN_GIG_ETH_PCS_PMA_OUTS) : $(IP_DIR)/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.xci + $(call BUILD_VIVADO_IP,ten_gig_eth_pcs_pma,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),1) + diff --git a/fpga/usrp3/top/n3xx/ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.xci b/fpga/usrp3/top/n3xx/ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.xci new file mode 100644 index 000000000..8af7da882 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.xci @@ -0,0 +1,192 @@ + + + xilinx.com + xci + unknown + 1.0 + + + ten_gig_eth_pcs_pma + + + 0 + 0 + 0 + 0 + 0 + + + 0 + 0.000 + + + + 0 + 0.000 + + + 100000000 + 0 + 0.000 + 0 + 0 + 0 + 0 + 0 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + 0 + false + 0 + 0 + + + + 100000000 + 0 + 0.000 + + + + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 0 + 0.000 + false + 0 + 0 + + + 0 + 0.000 + 0 + + + + 0 + 0.000 + + + + 0 + 0.000 + 0 + + + + 0 + 0.000 + + + + 0 + 0.000 + + 0 + 0.000 + + + + 0 + 0.000 + 0 + ten_gig_eth_pcs_pma + 100.00 + zynq + X0Y0 + 32 + 0 + false + false + true + false + false + false + clk0 + 156 + 10 + ten_gig_eth_pcs_pma_gt + ten_gig_eth_pcs_pma + 100.00 + None + X0Y0 + true + clk0 + 156.25 + 0 + Time_of_day + false + false + false + BASE-R + 64bit + false + false + 10Gig + GTH + zynq + + + xc7z100 + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 15 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + diff --git a/fpga/usrp3/top/n3xx/ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_gt_common.v b/fpga/usrp3/top/n3xx/ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_gt_common.v new file mode 100644 index 000000000..8d03d78df --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_gt_common.v @@ -0,0 +1,202 @@ +//----------------------------------------------------------------------------- +// Title : GT Common wrapper +// Project : 10GBASE-R +//----------------------------------------------------------------------------- +// File : ten_gig_eth_pcs_pma_gt_common.v +//----------------------------------------------------------------------------- +// Description: This file contains the +// 10GBASE-R Transceiver GT Common block. +//----------------------------------------------------------------------------- +// (c) Copyright 2009 - 2014 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. + +`timescale 1ps / 1ps + +module ten_gig_eth_pcs_pma_gt_common # ( + parameter WRAPPER_SIM_GTRESET_SPEEDUP = "false" ) //Does not affect hardware + ( + input refclk, + input qpllreset, + output qplllock, + output qplloutclk, + output qplloutrefclk, + input [2:0] qpllrefclksel + ); + + +//***************************** Parameter Declarations ************************ + localparam QPLL_FBDIV_TOP = 66; + + localparam QPLL_FBDIV_IN = (QPLL_FBDIV_TOP == 16) ? 10'b0000100000 : + (QPLL_FBDIV_TOP == 20) ? 10'b0000110000 : + (QPLL_FBDIV_TOP == 32) ? 10'b0001100000 : + (QPLL_FBDIV_TOP == 40) ? 10'b0010000000 : + (QPLL_FBDIV_TOP == 64) ? 10'b0011100000 : + (QPLL_FBDIV_TOP == 66) ? 10'b0101000000 : + (QPLL_FBDIV_TOP == 80) ? 10'b0100100000 : + (QPLL_FBDIV_TOP == 100) ? 10'b0101110000 : 10'b0000000000; + + localparam QPLL_FBDIV_RATIO = (QPLL_FBDIV_TOP == 16) ? 1'b1 : + (QPLL_FBDIV_TOP == 20) ? 1'b1 : + (QPLL_FBDIV_TOP == 32) ? 1'b1 : + (QPLL_FBDIV_TOP == 40) ? 1'b1 : + (QPLL_FBDIV_TOP == 64) ? 1'b1 : + (QPLL_FBDIV_TOP == 66) ? 1'b0 : + (QPLL_FBDIV_TOP == 80) ? 1'b1 : + (QPLL_FBDIV_TOP == 100) ? 1'b1 : 1'b1; + +//***************************** Wire Declarations ***************************** + + // ground and vcc signals + wire tied_to_ground_i; + wire [63:0] tied_to_ground_vec_i; + wire tied_to_vcc_i; + wire [63:0] tied_to_vcc_vec_i; + +//********************************* Main Body of Code************************** + + assign tied_to_ground_i = 1'b0; + assign tied_to_ground_vec_i = 64'h0000000000000000; + assign tied_to_vcc_i = 1'b1; + assign tied_to_vcc_vec_i = 64'hffffffffffffffff; + + + wire gt0_gtrefclk0_common_in; + wire gt0_qpllreset_in; + wire gt0_qplllock_out; + wire gt0_qplloutclk_i; + wire gt0_qplloutrefclk_i; + + assign gt0_gtrefclk0_common_in = refclk; + assign gt0_qpllreset_in = qpllreset; + assign qplllock = gt0_qplllock_out; + assign qplloutclk = gt0_qplloutclk_i; + assign qplloutrefclk = gt0_qplloutrefclk_i; + + + //_________________________________________________________________________ + //_________________________________________________________________________ + //_________________________GTXE2_COMMON____________________________________ + + GTXE2_COMMON # + ( + // Simulation attributes + .SIM_RESET_SPEEDUP (WRAPPER_SIM_GTRESET_SPEEDUP), + .SIM_QPLLREFCLK_SEL (3'b001), + .SIM_VERSION ("4.0"), + + + //----------------COMMON BLOCK Attributes--------------- + .BIAS_CFG (64'h0000040000001000), + .COMMON_CFG (32'h00000000), + .QPLL_CFG (27'h0680181), + .QPLL_CLKOUT_CFG (4'b0000), + .QPLL_COARSE_FREQ_OVRD (6'b010000), + .QPLL_COARSE_FREQ_OVRD_EN (1'b0), + .QPLL_CP (10'b0000011111), + .QPLL_CP_MONITOR_EN (1'b0), + .QPLL_DMONITOR_SEL (1'b0), + .QPLL_FBDIV (QPLL_FBDIV_IN), + .QPLL_FBDIV_MONITOR_EN (1'b0), + .QPLL_FBDIV_RATIO (QPLL_FBDIV_RATIO), + .QPLL_INIT_CFG (24'h000006), + .QPLL_LOCK_CFG (16'h21E8), + .QPLL_LPF (4'b1111), + .QPLL_REFCLK_DIV (1) + ) + gtxe2_common_0_i + ( + //----------- Common Block - Dynamic Reconfiguration Port (DRP) ----------- + .DRPADDR (tied_to_ground_vec_i[7:0]), + .DRPCLK (tied_to_ground_i), + .DRPDI (tied_to_ground_vec_i[15:0]), + .DRPDO (), + .DRPEN (tied_to_ground_i), + .DRPRDY (), + .DRPWE (tied_to_ground_i), + //-------------------- Common Block - Ref Clock Ports --------------------- + .GTGREFCLK (tied_to_ground_i), + .GTNORTHREFCLK0 (tied_to_ground_i), + .GTNORTHREFCLK1 (tied_to_ground_i), + .GTREFCLK0 (gt0_gtrefclk0_common_in), + .GTREFCLK1 (tied_to_ground_i), + .GTSOUTHREFCLK0 (tied_to_ground_i), + .GTSOUTHREFCLK1 (tied_to_ground_i), + //--------------------- Common Block - Clocking Ports ---------------------- + .QPLLOUTCLK (gt0_qplloutclk_i), + .QPLLOUTREFCLK (gt0_qplloutrefclk_i), + .REFCLKOUTMONITOR (), + //----------------------- Common Block - QPLL Ports ------------------------ + .QPLLDMONITOR (), + .QPLLFBCLKLOST (), + .QPLLLOCK (gt0_qplllock_out), + .QPLLLOCKDETCLK (1'b0), + .QPLLLOCKEN (tied_to_vcc_i), + .QPLLOUTRESET (tied_to_ground_i), + .QPLLPD (tied_to_ground_i), + .QPLLREFCLKLOST (), + .QPLLREFCLKSEL (qpllrefclksel), + .QPLLRESET (gt0_qpllreset_in), + .QPLLRSVD1 (16'b0000000000000000), + .QPLLRSVD2 (5'b11111), + //------------------------------- QPLL Ports ------------------------------- + .BGBYPASSB (tied_to_vcc_i), + .BGMONITORENB (tied_to_vcc_i), + .BGPDB (tied_to_vcc_i), + .BGRCALOVRD (5'b11111), + .PMARSVD (8'b00000000), + .RCALENB (tied_to_vcc_i) + + ); + + + + + +endmodule + + + diff --git a/fpga/usrp3/top/n3xx/ip/ten_gig_eth_pcs_pma/ten_gige_phy.v b/fpga/usrp3/top/n3xx/ip/ten_gig_eth_pcs_pma/ten_gige_phy.v new file mode 100644 index 000000000..5c798136f --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/ten_gig_eth_pcs_pma/ten_gige_phy.v @@ -0,0 +1,241 @@ +// +// Copyright 2008-2013 Ettus Research LLC +// + +module ten_gige_phy +( + input refclk, + input clk156, + input dclk, + input areset, + input sim_speedup_control, + input [63:0] xgmii_txd, + input [7:0] xgmii_txc, + output reg [63:0] xgmii_rxd, + output reg [7:0] xgmii_rxc, + output txp, + output txn, + input rxp, + input rxn, + input mdc, + input mdio_in, + output reg mdio_out, + output reg mdio_tri, + input [4:0] prtad, + output [7:0] core_status, + output resetdone, + input signal_detect, + input tx_fault, + output tx_disable, + output qpllreset, + input qplllock, + input qplloutclk, + input qplloutrefclk, + output tx_out_clk +); + + reg [63:0] xgmii_txd_reg; + reg [7:0] xgmii_txc_reg; + wire [63:0] xgmii_rxd_int; + wire [7:0] xgmii_rxc_int; + + // Add a pipeline to the xmgii_tx inputs, to aid timing closure + always @(posedge clk156) + begin + xgmii_txd_reg <= xgmii_txd; + xgmii_txc_reg <= xgmii_txc; + end + + // Add a pipeline to the xmgii_rx outputs, to aid timing closure + always @(posedge clk156) + begin + xgmii_rxd <= xgmii_rxd_int; + xgmii_rxc <= xgmii_rxc_int; + end + + wire mdio_out_int; + wire mdio_tri_int; + reg mdc_reg; + reg mdio_in_reg; + + // Add a pipeline to the mdio in/outputs, to aid timing closure + // This is safe because the mdio clock is running so slowly + always @(posedge clk156) + begin + mdio_out <= mdio_out_int; + mdio_tri <= mdio_tri_int; + mdc_reg <= mdc; + mdio_in_reg <= mdio_in; + end + + // Signal declarations + wire txclk322; + + wire drp_gnt; + wire drp_req; + wire drp_den_o; + wire drp_dwe_o; + wire [15:0] drp_daddr_o; + wire [15:0] drp_di_o; + wire drp_drdy_o; + wire [15:0] drp_drpdo_o; + wire drp_den_i; + wire drp_dwe_i; + wire [15:0] drp_daddr_i; + wire [15:0] drp_di_i; + wire drp_drdy_i; + wire [15:0] drp_drpdo_i; + + wire tx_resetdone_int; + wire rx_resetdone_int; + + wire areset_clk156; + wire gttxreset; + wire gtrxreset; + wire qplllock_txusrclk2; + wire gttxreset_txusrclk2; + wire reset_counter_done; + wire txusrclk; + wire txusrclk2; + reg txuserrdy; + + assign resetdone = tx_resetdone_int && rx_resetdone_int; + + // If no arbitration is required on the GT DRP ports then connect REQ to GNT + // and connect other signals i <= o; + assign drp_gnt = drp_req; + assign drp_den_i = drp_den_o; + assign drp_dwe_i = drp_dwe_o; + assign drp_daddr_i = drp_daddr_o; + assign drp_di_i = drp_di_o; + assign drp_drdy_i = drp_drdy_o; + assign drp_drpdo_i = drp_drpdo_o; + + // Asynch reset synchronizers... + ten_gig_eth_pcs_pma_ff_synchronizer_rst2 #( + .C_NUM_SYNC_REGS(4), + .C_RVAL(1'b1) + ) areset_clk156_sync_i ( + .clk(clk156), + .rst(areset), + .data_in(1'b0), + .data_out(areset_clk156) + ); + + ten_gig_eth_pcs_pma_ff_synchronizer_rst2 #( + .C_NUM_SYNC_REGS(4), + .C_RVAL(1'b0) + ) qplllock_txusrclk2_sync_i ( + .clk(txusrclk2), + .rst(!qplllock), + .data_in(1'b1), + .data_out(qplllock_txusrclk2) + ); + + reg [7:0] reset_counter = 8'h00; + reg [3:0] reset_pulse = 4'b1110; + assign reset_counter_done = reset_counter[7]; + + // Hold off the GT resets until 500ns after configuration. + // 128 ticks at 6.4ns period will be >> 500 ns. + always @(posedge clk156) + begin + if (!reset_counter[7]) + reset_counter <= reset_counter + 1'b1; + else + reset_counter <= reset_counter; + end + + always @(posedge clk156) + begin + if (areset_clk156 == 1'b1) + reset_pulse <= 4'b1110; + else if(reset_counter[7]) + reset_pulse <= {1'b0, reset_pulse[3:1]}; + end + + assign qpllreset = reset_pulse[0]; + assign gttxreset = reset_pulse[0]; + assign gtrxreset = reset_pulse[0]; + + ten_gig_eth_pcs_pma_ff_synchronizer_rst2 #( + .C_NUM_SYNC_REGS(4), + .C_RVAL(1'b1) + ) gttxreset_txusrclk2_sync_i ( + .clk(txusrclk2), + .rst(gttxreset), + .data_in(1'b0), + .data_out(gttxreset_txusrclk2) + ); + + always @(posedge txusrclk2 or posedge gttxreset_txusrclk2) + begin + if(gttxreset_txusrclk2) + txuserrdy <= 1'b0; + else + txuserrdy <= qplllock_txusrclk2; + end + + BUFG tx322clk_bufg_i ( + .I (txclk322), + .O (txusrclk) + ); + + assign txusrclk2 = txusrclk; + assign tx_out_clk = txclk322; + + // Instantiate the 10GBASER/KR Block Level + ten_gig_eth_pcs_pma ten_gig_eth_pcs_pma_i ( + .coreclk(clk156), + .dclk(dclk), + .rxrecclk_out(), + .txusrclk(txusrclk), + .txusrclk2(txusrclk2), + .txoutclk(txclk322), + .areset_coreclk(areset_clk156), + .txuserrdy(txuserrdy), + .areset(areset), + .gttxreset(gttxreset), + .gtrxreset(gtrxreset), + .sim_speedup_control(sim_speedup_control), + .qplllock(qplllock), + .qplloutclk(qplloutclk), + .qplloutrefclk(qplloutrefclk), + .reset_counter_done(reset_counter_done), + .xgmii_txd(xgmii_txd_reg), + .xgmii_txc(xgmii_txc_reg), + .xgmii_rxd(xgmii_rxd_int), + .xgmii_rxc(xgmii_rxc_int), + .txp(txp), + .txn(txn), + .rxp(rxp), + .rxn(rxn), + .mdc(mdc_reg), + .mdio_in(mdio_in_reg), + .mdio_out(mdio_out_int), + .mdio_tri(mdio_tri_int), + .prtad(prtad), + .core_status(core_status), + .tx_resetdone(tx_resetdone_int), + .rx_resetdone(rx_resetdone_int), + .signal_detect(signal_detect), + .tx_fault(tx_fault), + .drp_req(drp_req), + .drp_gnt(drp_gnt), + .drp_den_o(drp_den_o), + .drp_dwe_o(drp_dwe_o), + .drp_daddr_o(drp_daddr_o), + .drp_di_o(drp_di_o), + .drp_drdy_o(drp_drdy_o), + .drp_drpdo_o(drp_drpdo_o), + .drp_den_i(drp_den_i), + .drp_dwe_i(drp_dwe_i), + .drp_daddr_i(drp_daddr_i), + .drp_di_i(drp_di_i), + .drp_drdy_i(drp_drdy_i), + .drp_drpdo_i(drp_drpdo_i), + .pma_pmd_type(3'b101), + .tx_disable(tx_disable) + ); + +endmodule diff --git a/fpga/usrp3/top/n3xx/ip/ten_gig_eth_pcs_pma/ten_gige_phy.xdc b/fpga/usrp3/top/n3xx/ip/ten_gig_eth_pcs_pma/ten_gige_phy.xdc new file mode 100644 index 000000000..3e637368a --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/ten_gig_eth_pcs_pma/ten_gige_phy.xdc @@ -0,0 +1,91 @@ +## (c) Copyright 2009 - 2014 Xilinx, Inc. All rights reserved. +## +## This file contains confidential and proprietary information +## of Xilinx, Inc. and is protected under U.S. and +## international copyright and other intellectual property +## laws. +## +## DISCLAIMER +## This disclaimer is not a license and does not grant any +## rights to the materials distributed herewith. Except as +## otherwise provided in a valid license issued to you by +## Xilinx, and to the maximum extent permitted by applicable +## law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +## WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +## AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +## BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +## INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +## (2) Xilinx shall not be liable (whether in contract or tort, +## including negligence, or under any other theory of +## liability) for any loss or damage of any kind or nature +## related to, arising under or in connection with these +## materials, including for any direct, or any indirect, +## special, incidental, or consequential loss or damage +## (including loss of data, profits, goodwill, or any type of +## loss or damage suffered as a result of any action brought +## by a third party) even if such damage or loss was +## reasonably foreseeable or Xilinx had been advised of the +## possibility of the same. +## +## CRITICAL APPLICATIONS +## Xilinx products are not designed or intended to be fail- +## safe, or for use in any application requiring fail-safe +## performance, such as life-support or safety devices or +## systems, Class III medical devices, nuclear facilities, +## applications related to the deployment of airbags, or any +## other applications that could lead to death, personal +## injury, or severe property or environmental damage +## (individually and collectively, "Critical +## Applications"). Customer assumes the sole risk and +## liability of any use of Xilinx products in Critical +## Applications, subject only to applicable laws and +## regulations governing limitations on product liability. +## +## THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +## PART OF THIS FILE AT ALL TIMES. + +create_clock -period 6.400 [get_ports {dclk}] + +create_clock -period 6.400 [get_ports refclk_p] + +create_generated_clock -name ddrclock -divide_by 1 -invert -source [get_pins *rx_clk_ddr/C] [get_ports xgmii_rx_clk] +set_output_delay -max 1.500 -clock [get_clocks ddrclock] [get_ports * -filter {NAME =~ *xgmii_rxd*}] +set_output_delay -min -1.500 -clock [get_clocks ddrclock] [get_ports * -filter {NAME =~ *xgmii_rxd*}] +set_output_delay -max 1.500 -clock [get_clocks ddrclock] [get_ports * -filter {NAME =~ *xgmii_rxc*}] +set_output_delay -min -1.500 -clock [get_clocks ddrclock] [get_ports * -filter {NAME =~ *xgmii_rxc*}] + +# False paths for async reset removal synchronizers +set_false_path -to [get_pins -of_objects [get_cells -hierarchical -filter {NAME =~ ten_gig_eth_pcs_pma_core_support_layer_i/*shared*sync1_r_reg*}] -filter {NAME =~ *PRE}] +set_false_path -to [get_pins -of_objects [get_cells -hierarchical -filter {NAME =~ ten_gig_eth_pcs_pma_core_support_layer_i/*shared*sync1_r_reg*}] -filter {NAME =~ *CLR}] + + +## Sample constraint for GT location +#set_property LOC GTXE2_CHANNEL_X0Y18 [get_cells ten_gig_eth_pcs_pma_core_support_layer_i/ten_gig_eth_pcs_pma_i/*/gt0_gtwizard_10gbaser_multi_gt_i/gt0_gtwizard_10gbaser_i/gtxe2_i] +#set_property LOC GTXE2_COMMON_X0Y4 [get_cells ten_gig_eth_pcs_pma_core_support_layer_i/ten_gig_eth_pcs_pma_gt_common_block/gtxe2_common_0_i] + +set_property IOSTANDARD HSTL_I [get_ports {xgmii_txc[*]}] +set_property IOSTANDARD HSTL_I [get_ports {xgmii_txd[*]}] + +set_property IOSTANDARD HSTL_I [get_ports {xgmii_rxc[*]}] +set_property IOSTANDARD HSTL_I [get_ports {xgmii_rxd[*]}] + +set_property IOB TRUE [get_cells {xgmii_rxc_reg[*]}] +set_property IOB TRUE [get_cells {xgmii_rxd_reg[*]}] + +set_property IOSTANDARD HSTL_I [get_ports xgmii_rx_clk] + + +################################################################## +# MDIO-related constraints # +################################################################## +set_property IOB TRUE [get_cells * -filter {NAME =~ *mdio_out*reg*}] +set_property IOB TRUE [get_cells * -filter {NAME =~ *mdio_tri*reg*}] +################################################################### + +################################################################## +# MDIO-related constraints # +################################################################## +set_property IOB TRUE [get_cells * -hierarchical -filter {NAME =~ mdc_reg_reg}] +set_property IOB TRUE [get_cells * -hierarchical -filter {NAME =~ mdio_in_reg_reg}] +################################################################### + diff --git a/fpga/usrp3/top/n3xx/ip/ten_gig_eth_pcs_pma/ten_gige_phy_clk_gen.v b/fpga/usrp3/top/n3xx/ip/ten_gig_eth_pcs_pma/ten_gige_phy_clk_gen.v new file mode 100644 index 000000000..7c1d09fe6 --- /dev/null +++ b/fpga/usrp3/top/n3xx/ip/ten_gig_eth_pcs_pma/ten_gige_phy_clk_gen.v @@ -0,0 +1,37 @@ +// +// Copyright 2008-2013 Ettus Research LLC +// + +module ten_gige_phy_clk_gen +( + input refclk_ibuf, + output clk156, + output dclk +); + + wire dclk_buf; + + BUFG clk156_bufg_inst ( + .I (refclk_ibuf), + .O (clk156) + ); + + // Dividing independent clock by 2 as source for DRP clock + BUFR # ( + .BUFR_DIVIDE ("2") + ) dclk_divide_by_2_buf ( + .I (clk156), + .O (dclk_buf), + .CE (1'b1), + .CLR (1'b0) + ); + + BUFG dclk_bufg_i ( + .I (dclk_buf), + .O (dclk) + ); + +endmodule + + + diff --git a/fpga/usrp3/top/n3xx/mb_clocks.xdc b/fpga/usrp3/top/n3xx/mb_clocks.xdc new file mode 100644 index 000000000..30736155f --- /dev/null +++ b/fpga/usrp3/top/n3xx/mb_clocks.xdc @@ -0,0 +1,86 @@ +# +# Copyright 2017 Ettus Research, A National Instruments Company +# SPDX-License-Identifier: LGPL-3.0 +# +# Timing analysis is performed in "/n3xx/doc/mb_timing.xlsx". See +# the spreadsheet for more details and explanations. + +#******************************************************************************* +## Motherboard Clocks + +# 10/20/25 MHz reference clock from rear panel connector. Constrain to the fastest +# possible clock rate. +set REF_CLK_PERIOD 40.00 +create_clock -name ref_clk -period $REF_CLK_PERIOD [get_ports FPGA_REFCLK_P] +# 125 MHz RJ45 Ethernet clock +create_clock -name ge_phy_clk -period 8.000 [get_ports ENET0_CLK125] +# 156.25 MHz oscillator to MGT bank 110 +create_clock -name xge_clk -period 6.400 [get_ports MGT156MHZ_CLK1_P] +# 125 MHz PLL for MG bank 109 +create_clock -name net_clk -period 8.000 [get_ports NETCLK_P] + +# Virtual clocks for constraining I/O (used below) +create_clock -name async_in_clk -period 50.00 +create_clock -name async_out_clk -period 50.00 + + + +#******************************************************************************* +## Aliases for auto-generated clocks + +# Rename the PS clocks. These are originally declared in the PS7 IP block, but do not +# have super descriptive names. We rename them here for additional clarity, and to match +# the rest of the design. + +# First save off the input jitter setting for each, before we nuke the original clocks. +set clk100_jitter [get_property INPUT_JITTER [get_clocks clk_fpga_0]] +set clk40_jitter [get_property INPUT_JITTER [get_clocks clk_fpga_1]] +set meas_clk_ref_jitter [get_property INPUT_JITTER [get_clocks clk_fpga_2]] +set bus_clk_jitter [get_property INPUT_JITTER [get_clocks clk_fpga_3]] + +# Create the new clocks based on the old ones. This generates critical warnings that +# we are completely rewriting the old clock definition... this is OK. +create_clock -name clk100 \ + -period [get_property PERIOD [get_clocks clk_fpga_0]] \ + [get_pins [get_property SOURCE_PINS [get_clocks clk_fpga_0]]] +create_clock -name clk40 \ + -period [get_property PERIOD [get_clocks clk_fpga_1]] \ + [get_pins [get_property SOURCE_PINS [get_clocks clk_fpga_1]]] +create_clock -name meas_clk_ref \ + -period [get_property PERIOD [get_clocks clk_fpga_2]] \ + [get_pins [get_property SOURCE_PINS [get_clocks clk_fpga_2]]] +create_clock -name bus_clk \ + -period [get_property PERIOD [get_clocks clk_fpga_3]] \ + [get_pins [get_property SOURCE_PINS [get_clocks clk_fpga_3]]] + +# Apply the jitter setting from the original clocks. +set_input_jitter [get_clocks clk100] $clk100_jitter +set_input_jitter [get_clocks clk40] $clk40_jitter +set_input_jitter [get_clocks meas_clk_ref] $meas_clk_ref_jitter +set_input_jitter [get_clocks bus_clk] $bus_clk_jitter + + +# TDC Measurement Clock +create_generated_clock -name meas_clk_fb [get_pins {n3xx_clocking_i/misc_clock_gen_i/inst/mmcm_adv_inst/CLKFBOUT}] +create_generated_clock -name meas_clk [get_pins {n3xx_clocking_i/misc_clock_gen_i/inst/mmcm_adv_inst/CLKOUT0}] + +#******************************************************************************* +## White Rabbit DAC +# Constrain the DIN and NSYNC bits around the clock output. No readback. + +set WR_OUT_CLK [get_ports {WB_DAC_SCLK}] +create_generated_clock -name wr_bus_clk \ + -source [get_pins [all_fanin -flat -only_cells -startpoints_only $WR_OUT_CLK]/C] \ + -divide_by 2 $WR_OUT_CLK + +#******************************************************************************* +## Front Panel GPIO +# These bits are driven from the DB-A radio clock. Although they are received async in +# the outside world, they should be constrained in the FPGA to avoid any race +# conditions. The best way to do this is a skew constraint across all the bits. + +set FP_GPIO_CLK [get_ports {FPGA_GPIO[0]}] +create_generated_clock -name fp_gpio_bus_clk \ + -source [get_pins [all_fanin -flat -only_cells -startpoints_only $FP_GPIO_CLK]/C] \ + -divide_by 2 $FP_GPIO_CLK + diff --git a/fpga/usrp3/top/n3xx/mb_pins.xdc b/fpga/usrp3/top/n3xx/mb_pins.xdc new file mode 100644 index 000000000..6eecc42d5 --- /dev/null +++ b/fpga/usrp3/top/n3xx/mb_pins.xdc @@ -0,0 +1,386 @@ +# +# Copyright 2017 Ettus Research, A National Instruments Company +# SPDX-License-Identifier: LGPL-3.0 +# +# Motherboard Pin Definitions for the N3xx Product Family. +# + +## Bank 12: 3.3V Logic : ################################################################ +## Front-panel GPIO +## FPGA test outputs +######################################################################################### + +set_property PACKAGE_PIN AF25 [get_ports {FPGA_GPIO[0]}] +set_property PACKAGE_PIN AE25 [get_ports {FPGA_GPIO[1]}] +set_property PACKAGE_PIN AG26 [get_ports {FPGA_GPIO[2]}] +set_property PACKAGE_PIN AG27 [get_ports {FPGA_GPIO[3]}] +set_property PACKAGE_PIN AE26 [get_ports {FPGA_GPIO[4]}] +set_property PACKAGE_PIN AB26 [get_ports {FPGA_GPIO[5]}] +set_property PACKAGE_PIN AF27 [get_ports {FPGA_GPIO[6]}] +set_property PACKAGE_PIN AA27 [get_ports {FPGA_GPIO[7]}] +set_property PACKAGE_PIN AE27 [get_ports {FPGA_GPIO[8]}] +set_property PACKAGE_PIN AC26 [get_ports {FPGA_GPIO[9]}] +set_property PACKAGE_PIN AD25 [get_ports {FPGA_GPIO[10]}] +set_property PACKAGE_PIN AD26 [get_ports {FPGA_GPIO[11]}] +set_property IOSTANDARD LVCMOS33 [get_ports {FPGA_GPIO[*]}] +set_property DRIVE 4 [get_ports {FPGA_GPIO[*]}] +set_property SLEW SLOW [get_ports {FPGA_GPIO[*]}] + +# These pins should be commented out for release hardware. +set_property PACKAGE_PIN Y30 [get_ports {FPGA_TEST[0]}] +set_property PACKAGE_PIN AA30 [get_ports {FPGA_TEST[1]}] +set_property IOSTANDARD LVCMOS33 [get_ports {FPGA_TEST[*]}] + +# set_property PACKAGE_PIN AH27 [get_ports {MGMT-GPIO0}] +# set_property PACKAGE_PIN AH26 [get_ports {MGMT-GPIO1}] +# set_property PACKAGE_PIN AC27 [get_ports {MGMT-JTAG-TCK}] +# set_property PACKAGE_PIN AF29 [get_ports {MGMT-JTAG-TDI}] +# set_property PACKAGE_PIN AG29 [get_ports {MGMT-JTAG-TDO}] +# set_property PACKAGE_PIN AB27 [get_ports {MGMT-JTAG-TMS}] +# set_property PACKAGE_PIN Y28 [get_ports {MGMT-SPI-LE}] +# set_property PACKAGE_PIN AD28 [get_ports {MGMT-SPI-MISO}] +# set_property PACKAGE_PIN AA28 [get_ports {MGMT-SPI-MOSI}] +# set_property PACKAGE_PIN AE28 [get_ports {MGMT-SPI-RESET}] +# set_property PACKAGE_PIN AC28 [get_ports {MGMT-SPI-SCLK}] +# When implemented, the MGMT signals need DRIVE and SLEW attributes applied. + +# NPIO and QSFP located elsewhere + + +## Bank 9, 2.5V Logic : ################################################################# +## All of these are inputs and all require internal termination to meet voltage +## swing requirements at the pin. These ports and buffers should always be instantiated +## to meet the internal termination requirement. +######################################################################################### + +set_property PACKAGE_PIN AC18 [get_ports FPGA_REFCLK_P] +set_property PACKAGE_PIN AC19 [get_ports FPGA_REFCLK_N] +set_property IOSTANDARD LVDS_25 [get_ports FPGA_REFCLK_*] +set_property DIFF_TERM TRUE [get_ports FPGA_REFCLK_*] + +set_property PACKAGE_PIN AD18 [get_ports NETCLK_REF_P] +set_property PACKAGE_PIN AD19 [get_ports NETCLK_REF_N] +set_property DIFF_TERM TRUE [get_ports NETCLK_REF_*] +set_property IOSTANDARD LVDS_25 [get_ports NETCLK_REF_*] + +set_property PACKAGE_PIN AA18 [get_ports WB_20MHZ_P] +set_property PACKAGE_PIN AA19 [get_ports WB_20MHZ_N] +set_property DIFF_TERM TRUE [get_ports WB_20MHZ_*] +set_property IOSTANDARD LVDS_25 [get_ports WB_20MHZ_*] + + +## Bank 13, 3.3V : ###################################################################### +## PPS In/Out (including MGMT PPS, unused) +## GPS PPS Raw/Disciplined +## RJ45 signaling (unused) +## Authentication IC +## Resets +## Rear-panel LEDs +######################################################################################### + +set_property PACKAGE_PIN U24 [get_ports REF_1PPS_IN] +set_property IOSTANDARD LVCMOS33 [get_ports REF_1PPS_IN] + +set_property PACKAGE_PIN V29 [get_ports REF_1PPS_OUT] +set_property IOSTANDARD LVCMOS33 [get_ports REF_1PPS_OUT] +set_property DRIVE 12 [get_ports REF_1PPS_OUT] +set_property SLEW SLOW [get_ports REF_1PPS_OUT] +set_property IOB TRUE [get_ports REF_1PPS_OUT] + +# set_property PACKAGE_PIN U29 [get_ports REF_1PPS_IN_MGMT] +# set_property IOSTANDARD LVCMOS33 [get_ports REF_1PPS_IN_MGMT] + +set_property PACKAGE_PIN W30 [get_ports GPS_1PPS] +set_property IOSTANDARD LVCMOS33 [get_ports GPS_1PPS] + +# set_property PACKAGE_PIN V28 [get_ports GPS_1PPS_RAW] +# set_property IOSTANDARD LVCMOS33 [get_ports GPS_1PPS_RAW] + +set_property PACKAGE_PIN U26 [get_ports ENET0_CLK125] +set_property IOSTANDARD LVCMOS33 [get_ports ENET0_CLK125] + +# set_property PACKAGE_PIN R25 [get_ports ENET0_PTP] +# set_property IOSTANDARD LVCMOS33 [get_ports ENET0_PTP] + +# set_property PACKAGE_PIN R30 [get_ports ENET0_PTP_DIR] +# set_property IOSTANDARD LVCMOS33 [get_ports ENET0_PTP_DIR] + +# set_property PACKAGE_PIN U30 [get_ports ATSHA204_SDA] +# set_property IOSTANDARD LVCMOS33 [get_ports ATSHA204_SDA] + +set_property PACKAGE_PIN P26 [get_ports FPGA_PL_RESETN] +set_property IOSTANDARD LVCMOS33 [get_ports FPGA_PL_RESETN] + +set_property PACKAGE_PIN U25 [get_ports PANEL_LED_GPS] +set_property PACKAGE_PIN T25 [get_ports PANEL_LED_LINK] +set_property PACKAGE_PIN W29 [get_ports PANEL_LED_PPS] +set_property PACKAGE_PIN V24 [get_ports PANEL_LED_REF] +set_property IOSTANDARD LVCMOS33 [get_ports PANEL_LED_*] +set_property DRIVE 4 [get_ports PANEL_LED_*] +set_property SLEW SLOW [get_ports PANEL_LED_*] + +# SFP+ Sideband and White Rabbit DAC Control located elsewhere + + +## NanoPitch Interface : ################################################################ +## Bank 12, 3.3V +######################################################################################### + +# set_property PACKAGE_PIN AD29 [get_ports {NPIO-GPIO0}] +# set_property PACKAGE_PIN AC29 [get_ports {NPIO-GPIO1}] +# set_property PACKAGE_PIN AE30 [get_ports {NPIO-GPIO2}] +# set_property PACKAGE_PIN AD30 [get_ports {NPIO-GPIO3}] +# set_property PACKAGE_PIN AH29 [get_ports {NPIO-GPIO4}] +# set_property PACKAGE_PIN AH28 [get_ports {NPIO-GPIO5}] +# set_property PACKAGE_PIN AF30 [get_ports {NPIO-GPIO6}] +# set_property PACKAGE_PIN AG30 [get_ports {NPIO-GPIO7}] +# When implemented, the QSFP signals need DRIVE and SLEW attributes applied. + +set_property PACKAGE_PIN AE8 [get_ports {NPIO_RX0_P}] +set_property PACKAGE_PIN AE7 [get_ports {NPIO_RX0_N}] +set_property PACKAGE_PIN AG8 [get_ports {NPIO_RX1_P}] +set_property PACKAGE_PIN AG7 [get_ports {NPIO_RX1_N}] +set_property PACKAGE_PIN AK2 [get_ports {NPIO_TX0_P}] +set_property PACKAGE_PIN AK1 [get_ports {NPIO_TX0_N}] +set_property PACKAGE_PIN AJ4 [get_ports {NPIO_TX1_P}] +set_property PACKAGE_PIN AJ3 [get_ports {NPIO_TX1_N}] + + +## QSFP : ############################################################################### +## Bank 12, 3.3V +######################################################################################### + +set_property PACKAGE_PIN AJ26 [get_ports {QSFP_I2C_SCL}] +set_property IOSTANDARD LVCMOS33 [get_ports {QSFP_I2C_SCL}] +set_property DRIVE 8 [get_ports {QSFP_I2C_SCL}] + +set_property PACKAGE_PIN AK26 [get_ports {QSFP_I2C_SDA}] +set_property IOSTANDARD LVCMOS33 [get_ports {QSFP_I2C_SDA}] +set_property DRIVE 8 [get_ports {QSFP_I2C_SDA}] + +set_property PACKAGE_PIN AK28 [get_ports {QSFP_INT_B}] +set_property IOSTANDARD LVCMOS33 [get_ports {QSFP_INT_B}] + +set_property PACKAGE_PIN AK30 [get_ports {QSFP_LED}] +set_property IOSTANDARD LVCMOS33 [get_ports {QSFP_LED}] + +set_property PACKAGE_PIN AJ29 [get_ports {QSFP_LPMODE}] +set_property IOSTANDARD LVCMOS33 [get_ports {QSFP_LPMODE}] + +set_property PACKAGE_PIN AK27 [get_ports {QSFP_PRESENT_B}] +set_property IOSTANDARD LVCMOS33 [get_ports {QSFP_PRESENT_B}] + +set_property PACKAGE_PIN AJ28 [get_ports {QSFP_MODSEL_B}] +set_property IOSTANDARD LVCMOS33 [get_ports {QSFP_MODSEL_B}] + +set_property PACKAGE_PIN AJ30 [get_ports {QSFP_RESET_B}] +set_property IOSTANDARD LVCMOS33 [get_ports {QSFP_RESET_B}] + +# When implemented, the QSFP signals need DRIVE and SLEW attributes applied. + +set_property PACKAGE_PIN AD5 [get_ports {QSFP_RX_N[0]}] +set_property PACKAGE_PIN AD6 [get_ports {QSFP_RX_P[0]}] +set_property PACKAGE_PIN AF5 [get_ports {QSFP_RX_N[1]}] +set_property PACKAGE_PIN AF6 [get_ports {QSFP_RX_P[1]}] +set_property PACKAGE_PIN AG3 [get_ports {QSFP_RX_N[2]}] +set_property PACKAGE_PIN AG4 [get_ports {QSFP_RX_P[2]}] +set_property PACKAGE_PIN AH5 [get_ports {QSFP_RX_N[3]}] +set_property PACKAGE_PIN AH6 [get_ports {QSFP_RX_P[3]}] +set_property PACKAGE_PIN AD1 [get_ports {QSFP_TX_N[0]}] +set_property PACKAGE_PIN AD2 [get_ports {QSFP_TX_P[0]}] +set_property PACKAGE_PIN AE3 [get_ports {QSFP_TX_N[1]}] +set_property PACKAGE_PIN AE4 [get_ports {QSFP_TX_P[1]}] +set_property PACKAGE_PIN AF1 [get_ports {QSFP_TX_N[2]}] +set_property PACKAGE_PIN AF2 [get_ports {QSFP_TX_P[2]}] +set_property PACKAGE_PIN AH1 [get_ports {QSFP_TX_N[3]}] +set_property PACKAGE_PIN AH2 [get_ports {QSFP_TX_P[3]}] + + +## White Rabbit : ####################################################################### +## Bank 13, 3.3V +######################################################################################### + +set_property PACKAGE_PIN T29 [get_ports {WB_DAC_DIN}] +set_property PACKAGE_PIN T28 [get_ports {WB_DAC_NCLR}] +set_property PACKAGE_PIN T30 [get_ports {WB_DAC_NLDAC}] +set_property PACKAGE_PIN N29 [get_ports {WB_DAC_NSYNC}] +set_property PACKAGE_PIN P29 [get_ports {WB_DAC_SCLK}] +set_property IOSTANDARD LVCMOS33 [get_ports {WB_DAC_*}] +set_property DRIVE 4 [get_ports {WB_DAC_*}] +set_property SLEW SLOW [get_ports {WB_DAC_*}] + + +## SFP+ : ############################################################################### +## +######################################################################################### + +## Clocks, Bank 109 and 110 + +# These need to have the internal buffer in the FPGA enabled at all times to avoid +# damage to the part. Therefore declare them here in the top level pins file. +set_property PACKAGE_PIN AA8 [get_ports {MGT156MHZ_CLK1_P}] +set_property PACKAGE_PIN AA7 [get_ports {MGT156MHZ_CLK1_N}] + +set_property PACKAGE_PIN AF10 [get_ports {NETCLK_P}] +set_property PACKAGE_PIN AF9 [get_ports {NETCLK_N}] + +# Swapping SFP_0 and SFP_1 pinout to match the label on the silkscreen. +# These FPGA pins are reversed with respect to the schematic now. +## MGTs, Bank 109 + +set_property PACKAGE_PIN AJ7 [get_ports SFP_0_RX_N] +set_property PACKAGE_PIN AJ8 [get_ports SFP_0_RX_P] +set_property PACKAGE_PIN AK5 [get_ports SFP_0_TX_N] +set_property PACKAGE_PIN AK6 [get_ports SFP_0_TX_P] + +set_property PACKAGE_PIN AH9 [get_ports SFP_1_RX_N] +set_property PACKAGE_PIN AH10 [get_ports SFP_1_RX_P] +set_property PACKAGE_PIN AK9 [get_ports SFP_1_TX_N] +set_property PACKAGE_PIN AK10 [get_ports SFP_1_TX_P] + +## SFP+ 0, Sideband, Bank 13 3.3V + +set_property PACKAGE_PIN T27 [get_ports {SFP_0_I2C_NPRESENT}] +set_property IOSTANDARD LVCMOS33 [get_ports {SFP_0_I2C_NPRESENT}] + +set_property PACKAGE_PIN N27 [get_ports SFP_0_LED_A] +set_property PACKAGE_PIN N28 [get_ports SFP_0_LED_B] +set_property IOSTANDARD LVCMOS33 [get_ports SFP_0_LED_*] +set_property DRIVE 4 [get_ports SFP_0_LED_*] +set_property SLEW SLOW [get_ports SFP_0_LED_*] + +set_property PACKAGE_PIN R27 [get_ports SFP_0_LOS] +set_property IOSTANDARD LVCMOS33 [get_ports SFP_0_LOS] + +set_property PACKAGE_PIN R26 [get_ports SFP_0_RS0] +set_property PACKAGE_PIN P28 [get_ports SFP_0_RS1] +set_property IOSTANDARD LVCMOS33 [get_ports SFP_0_RS*] +set_property DRIVE 4 [get_ports SFP_0_RS*] +set_property SLEW SLOW [get_ports SFP_0_RS*] + +set_property PACKAGE_PIN U27 [get_ports SFP_0_TXDISABLE] +set_property IOSTANDARD LVCMOS33 [get_ports SFP_0_TXDISABLE] +set_property DRIVE 4 [get_ports SFP_0_TXDISABLE] +set_property SLEW SLOW [get_ports SFP_0_TXDISABLE] + +set_property PACKAGE_PIN V26 [get_ports SFP_0_TXFAULT] +set_property IOSTANDARD LVCMOS33 [get_ports SFP_0_TXFAULT] + +## SFP+ 1, Slow Speed, Bank 13 3.3V + +# set_property PACKAGE_PIN V23 [get_ports {SFP_1_I2C_NPRESENT}] +# set_property IOSTANDARD LVCMOS33 [get_ports {SFP_1_I2C_NPRESENT}] + +set_property PACKAGE_PIN N26 [get_ports SFP_1_LED_A] +set_property PACKAGE_PIN P30 [get_ports SFP_1_LED_B] +set_property IOSTANDARD LVCMOS33 [get_ports SFP_1_LED_*] +set_property DRIVE 4 [get_ports SFP_1_LED_*] +set_property SLEW SLOW [get_ports SFP_1_LED_*] + +set_property PACKAGE_PIN R28 [get_ports SFP_1_LOS] +set_property IOSTANDARD LVCMOS33 [get_ports SFP_1_LOS] + +set_property PACKAGE_PIN T24 [get_ports SFP_1_RS0] +set_property PACKAGE_PIN P25 [get_ports SFP_1_RS1] +set_property IOSTANDARD LVCMOS33 [get_ports SFP_1_RS*] +set_property DRIVE 4 [get_ports SFP_1_RS*] +set_property SLEW SLOW [get_ports SFP_1_RS*] + +set_property PACKAGE_PIN V27 [get_ports SFP_1_TXDISABLE] +set_property IOSTANDARD LVCMOS33 [get_ports SFP_1_TXDISABLE] +set_property DRIVE 4 [get_ports SFP_1_TXDISABLE] +set_property SLEW SLOW [get_ports SFP_1_TXDISABLE] + +set_property PACKAGE_PIN W24 [get_ports SFP_1_TXFAULT] +set_property IOSTANDARD LVCMOS33 [get_ports SFP_1_TXFAULT] + + +## PL DDR : ############################################################################# +## +######################################################################################### + +# This port must be always enabled due to a Xilinx bug in the silicon. +# https://www.xilinx.com/support/answers/63950.html +set_property PACKAGE_PIN A8 [get_ports FPGA_PUDC_B] +set_property IOSTANDARD LVDCI_15 [get_ports FPGA_PUDC_B] + +set_property PACKAGE_PIN D8 [get_ports {ddr3_addr[0]}] +set_property PACKAGE_PIN A7 [get_ports {ddr3_addr[1]}] +set_property PACKAGE_PIN C7 [get_ports {ddr3_addr[2]}] +set_property PACKAGE_PIN D9 [get_ports {ddr3_addr[3]}] +set_property PACKAGE_PIN J9 [get_ports {ddr3_addr[4]}] +set_property PACKAGE_PIN E8 [get_ports {ddr3_addr[5]}] +set_property PACKAGE_PIN G7 [get_ports {ddr3_addr[6]}] +set_property PACKAGE_PIN E7 [get_ports {ddr3_addr[7]}] +set_property PACKAGE_PIN G11 [get_ports {ddr3_addr[8]}] +set_property PACKAGE_PIN C6 [get_ports {ddr3_addr[9]}] +set_property PACKAGE_PIN B6 [get_ports {ddr3_addr[10]}] +set_property PACKAGE_PIN H7 [get_ports {ddr3_addr[11]}] +set_property PACKAGE_PIN B7 [get_ports {ddr3_addr[12]}] +set_property PACKAGE_PIN F7 [get_ports {ddr3_addr[13]}] +set_property PACKAGE_PIN F8 [get_ports {ddr3_addr[14]}] +set_property PACKAGE_PIN F9 [get_ports {ddr3_addr[15]}] + +set_property PACKAGE_PIN C9 [get_ports {ddr3_ba[0]}] +set_property PACKAGE_PIN E10 [get_ports {ddr3_ba[1]}] +set_property PACKAGE_PIN B9 [get_ports {ddr3_ba[2]}] + +set_property PACKAGE_PIN A10 [get_ports ddr3_cas_n] +set_property PACKAGE_PIN E11 [get_ports {ddr3_cke[0]}] +set_property PACKAGE_PIN H8 [get_ports {ddr3_ck_n[0]}] +set_property PACKAGE_PIN J8 [get_ports {ddr3_ck_p[0]}] +set_property PACKAGE_PIN D11 [get_ports {ddr3_cs_n[0]}] + +set_property PACKAGE_PIN B16 [get_ports {ddr3_dm[0]}] +set_property PACKAGE_PIN B11 [get_ports {ddr3_dm[1]}] +set_property PACKAGE_PIN H13 [get_ports {ddr3_dm[2]}] +set_property PACKAGE_PIN G15 [get_ports {ddr3_dm[3]}] + +set_property PACKAGE_PIN B17 [get_ports {ddr3_dq[0]}] +set_property PACKAGE_PIN A17 [get_ports {ddr3_dq[1]}] +set_property PACKAGE_PIN D15 [get_ports {ddr3_dq[2]}] +set_property PACKAGE_PIN D14 [get_ports {ddr3_dq[3]}] +set_property PACKAGE_PIN C17 [get_ports {ddr3_dq[4]}] +set_property PACKAGE_PIN E15 [get_ports {ddr3_dq[5]}] +set_property PACKAGE_PIN C16 [get_ports {ddr3_dq[6]}] +set_property PACKAGE_PIN D16 [get_ports {ddr3_dq[7]}] +set_property PACKAGE_PIN A13 [get_ports {ddr3_dq[8]}] +set_property PACKAGE_PIN A12 [get_ports {ddr3_dq[9]}] +set_property PACKAGE_PIN C14 [get_ports {ddr3_dq[10]}] +set_property PACKAGE_PIN B12 [get_ports {ddr3_dq[11]}] +set_property PACKAGE_PIN B14 [get_ports {ddr3_dq[12]}] +set_property PACKAGE_PIN C12 [get_ports {ddr3_dq[13]}] +set_property PACKAGE_PIN A14 [get_ports {ddr3_dq[14]}] +set_property PACKAGE_PIN C11 [get_ports {ddr3_dq[15]}] +set_property PACKAGE_PIN J15 [get_ports {ddr3_dq[16]}] +set_property PACKAGE_PIN L14 [get_ports {ddr3_dq[17]}] +set_property PACKAGE_PIN L15 [get_ports {ddr3_dq[18]}] +set_property PACKAGE_PIN J13 [get_ports {ddr3_dq[19]}] +set_property PACKAGE_PIN J14 [get_ports {ddr3_dq[20]}] +set_property PACKAGE_PIN K15 [get_ports {ddr3_dq[21]}] +set_property PACKAGE_PIN J16 [get_ports {ddr3_dq[22]}] +set_property PACKAGE_PIN H14 [get_ports {ddr3_dq[23]}] +set_property PACKAGE_PIN F15 [get_ports {ddr3_dq[24]}] +set_property PACKAGE_PIN G16 [get_ports {ddr3_dq[25]}] +set_property PACKAGE_PIN F14 [get_ports {ddr3_dq[26]}] +set_property PACKAGE_PIN E13 [get_ports {ddr3_dq[27]}] +set_property PACKAGE_PIN G14 [get_ports {ddr3_dq[28]}] +set_property PACKAGE_PIN D13 [get_ports {ddr3_dq[29]}] +set_property PACKAGE_PIN F13 [get_ports {ddr3_dq[30]}] +set_property PACKAGE_PIN E12 [get_ports {ddr3_dq[31]}] + +set_property PACKAGE_PIN F17 [get_ports {ddr3_dqs_p[0]}] +set_property PACKAGE_PIN E17 [get_ports {ddr3_dqs_n[0]}] +set_property PACKAGE_PIN B15 [get_ports {ddr3_dqs_p[1]}] +set_property PACKAGE_PIN A15 [get_ports {ddr3_dqs_n[1]}] +set_property PACKAGE_PIN L13 [get_ports {ddr3_dqs_p[2]}] +set_property PACKAGE_PIN K13 [get_ports {ddr3_dqs_n[2]}] +set_property PACKAGE_PIN F12 [get_ports {ddr3_dqs_n[3]}] +set_property PACKAGE_PIN G12 [get_ports {ddr3_dqs_p[3]}] + +set_property PACKAGE_PIN D10 [get_ports {ddr3_odt[0]}] +set_property PACKAGE_PIN B10 [get_ports ddr3_ras_n] +set_property PACKAGE_PIN D6 [get_ports ddr3_reset_n] +set_property PACKAGE_PIN G9 [get_ports sys_clk_n] +set_property PACKAGE_PIN H9 [get_ports sys_clk_p] +set_property PACKAGE_PIN A9 [get_ports ddr3_we_n] diff --git a/fpga/usrp3/top/n3xx/mb_timing.xdc b/fpga/usrp3/top/n3xx/mb_timing.xdc new file mode 100644 index 000000000..6aa3f8132 --- /dev/null +++ b/fpga/usrp3/top/n3xx/mb_timing.xdc @@ -0,0 +1,111 @@ +# +# Copyright 2017 Ettus Research, A National Instruments Company +# SPDX-License-Identifier: LGPL-3.0 +# +# Timing analysis is performed in "/n3xx/doc/mb_timing.xlsx". See +# the spreadsheet for more details and explanations. + +#******************************************************************************* +## Asynchronous clock groups + +# All the clocks from the PS are async to everything else except clocks generated +# from themselves. +set_clock_groups -asynchronous -group [get_clocks clk100 -include_generated_clocks] +set_clock_groups -asynchronous -group [get_clocks clk40 -include_generated_clocks] +set_clock_groups -asynchronous -group [get_clocks bus_clk -include_generated_clocks] +set_clock_groups -asynchronous -group [get_clocks meas_clk_ref -include_generated_clocks] + + + +#******************************************************************************* +## PPS Input Timing + +# The external PPS is synchronous to the external reference clock, which is expected to +# be at 10 MHz. Given [setup, hold] of [5ns, 5ns] at the rear panel inputs of the N310, +# we have an adequate data valid window at the FPGA. However, since we overconstrain the +# reference clock to 25 MHz, we use the alternative period here for setup analysis. +set_input_delay -clock ref_clk -min 4.651 [get_ports REF_1PPS_IN] +set_input_delay -clock ref_clk -max [expr {$REF_CLK_PERIOD - 0.235}] [get_ports REF_1PPS_IN] + +# The GPS PPS is also synchronous to the external reference clock (since there is a +# switch on the clock input outside the FPGA). Again, use the overconstrained period. +set_input_delay -clock ref_clk -min 1.234 [get_ports GPS_1PPS] +set_input_delay -clock ref_clk -max [expr {$REF_CLK_PERIOD - 2.111}] [get_ports GPS_1PPS] + + + +#******************************************************************************* +## White Rabbit DAC +# Constrain the DIN and NSYNC bits around the clock output. No readback. + +set MAX_SKEW 5 +set SETUP_SKEW [expr {($MAX_SKEW / 2)-0.5}] +set HOLD_SKEW [expr {($MAX_SKEW / 2)+0.5}] +set PORT_LIST [get_ports {WB_DAC_DIN WB_DAC_NCLR WB_DAC_NSYNC WB_DAC_NLDAC}] +# Then add the output delay on each of the ports. +set_output_delay -clock [get_clocks wr_bus_clk] -max -$SETUP_SKEW $PORT_LIST +set_output_delay -add_delay -clock_fall -clock [get_clocks wr_bus_clk] -max -$SETUP_SKEW $PORT_LIST +set_output_delay -clock [get_clocks wr_bus_clk] -min $HOLD_SKEW $PORT_LIST +set_output_delay -add_delay -clock_fall -clock [get_clocks wr_bus_clk] -min $HOLD_SKEW $PORT_LIST +# Finally, make both the setup and hold checks use the same launching and latching edges. +set_multicycle_path -setup -to [get_clocks wr_bus_clk] -start 0 +set_multicycle_path -hold -to [get_clocks wr_bus_clk] -1 +# Remove analysis from the output "clock" pin. There are ways to do this using TCL, but +# they aren't supported in XDC files... so we do it the old fashioned way. +set_output_delay -clock [get_clocks async_out_clk] 0.000 $WR_OUT_CLK +set_max_delay -to $WR_OUT_CLK 50.000 +set_min_delay -to $WR_OUT_CLK 0.000 + + + +#******************************************************************************* +## MB Async Ins/Outs + +set ASYNC_MB_INPUTS [get_ports {SFP_*_LOS SFP_*_TXFAULT UNUSED_PIN_TDC*}] + +set_input_delay -clock [get_clocks async_in_clk] 0.000 $ASYNC_MB_INPUTS +set_max_delay -from $ASYNC_MB_INPUTS 50.000 +set_min_delay -from $ASYNC_MB_INPUTS 0.000 + + +set ASYNC_MB_OUTPUTS [get_ports {*LED* SFP_*TXDISABLE UNUSED_PIN_TDC* \ + FPGA_TEST[*]}] + +set_output_delay -clock [get_clocks async_out_clk] 0.000 $ASYNC_MB_OUTPUTS +set_max_delay -to $ASYNC_MB_OUTPUTS 50.000 +set_min_delay -to $ASYNC_MB_OUTPUTS 0.000 + + + +#******************************************************************************* +## Front Panel GPIO +# These bits are driven from the DB-A radio clock. Although they are received async in +# the outside world, they should be constrained in the FPGA to avoid any race +# conditions. The best way to do this is a skew constraint across all the bits. + +set MAX_SKEW 10 +set SETUP_SKEW [expr {($MAX_SKEW / 2)-0.5}] +set HOLD_SKEW [expr {($MAX_SKEW / 2)+0.5}] +set PORT_LIST [get_ports {FPGA_GPIO[*]}] +# Then add the output delay on each of the ports. +set_output_delay -clock [get_clocks fp_gpio_bus_clk] -max -$SETUP_SKEW $PORT_LIST +set_output_delay -add_delay -clock_fall -clock [get_clocks fp_gpio_bus_clk] -max -$SETUP_SKEW $PORT_LIST +set_output_delay -clock [get_clocks fp_gpio_bus_clk] -min $HOLD_SKEW $PORT_LIST +set_output_delay -add_delay -clock_fall -clock [get_clocks fp_gpio_bus_clk] -min $HOLD_SKEW $PORT_LIST +# Finally, make both the setup and hold checks use the same launching and latching edges. +set_multicycle_path -setup -to [get_clocks fp_gpio_bus_clk] -start 0 +set_multicycle_path -hold -to [get_clocks fp_gpio_bus_clk] -1 +# Remove analysis from the output "clock" pin. There are ways to do this using TCL, but +# they aren't supported in XDC files... so we do it the old fashioned way. +set_output_delay -clock [get_clocks async_out_clk] 0.000 $FP_GPIO_CLK +set_max_delay -to $FP_GPIO_CLK 50.000 +set_min_delay -to $FP_GPIO_CLK 0.000 +# All inputs on this interface are async. +set_input_delay -clock [get_clocks async_in_clk] 0.000 $PORT_LIST +set_max_delay -from $PORT_LIST 50.000 +set_min_delay -from $PORT_LIST 0.000 + +#****************************************************************************** +## Reset Sync False Path +set_false_path -to [get_pins */synchronizer_false_path/stages[0].value_reg[0]/D] +set_false_path -to [get_pins */synchronizer_false_path/stages[0].value_reg[0]/C] diff --git a/fpga/usrp3/top/n3xx/n300_bist_image_core.v b/fpga/usrp3/top/n3xx/n300_bist_image_core.v new file mode 100644 index 000000000..31d4eeb86 --- /dev/null +++ b/fpga/usrp3/top/n3xx/n300_bist_image_core.v @@ -0,0 +1,885 @@ +// +// Copyright 2019 Ettus Research, A National Instruments Brand +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// + +// Module: rfnoc_image_core (for n300) +// This file was autogenerated by UHD's image builder tool (rfnoc_image_builder) +// Re-running that tool will overwrite this file! +// File generated on: 2019-11-08T15:58:13.938765 +// Source: ./n3xx/n300_bist_image_core.yml +// Source SHA256: 3bed6bab7b614594eb5ea4e49b34a6e9560b10ff4622c1033c206bec1f4a0f2c + +module rfnoc_image_core #( + parameter [15:0] PROTOVER = {8'd1, 8'd0} +)( + // Clocks + input wire chdr_aclk, + input wire ctrl_aclk, + input wire core_arst, + input wire radio_clk, + input wire dram_clk, + // Basic + input wire [15:0] device_id, +//// IO ports ////////////////////////////////// +// ctrlport_radio0 + output wire [ 1-1:0] m_ctrlport_radio0_req_wr, + output wire [ 1-1:0] m_ctrlport_radio0_req_rd, + output wire [ 20-1:0] m_ctrlport_radio0_req_addr, + output wire [ 32-1:0] m_ctrlport_radio0_req_data, + output wire [ 4-1:0] m_ctrlport_radio0_req_byte_en, + output wire [ 1-1:0] m_ctrlport_radio0_req_has_time, + output wire [ 64-1:0] m_ctrlport_radio0_req_time, + input wire [ 1-1:0] m_ctrlport_radio0_resp_ack, + input wire [ 2-1:0] m_ctrlport_radio0_resp_status, + input wire [ 32-1:0] m_ctrlport_radio0_resp_data, +// time_keeper + input wire [ 64-1:0] radio_time, +// x300_radio0 + input wire [ 64-1:0] radio_rx_data_radio0, + input wire [ 2-1:0] radio_rx_stb_radio0, + output wire [ 2-1:0] radio_rx_running_radio0, + output wire [ 64-1:0] radio_tx_data_radio0, + input wire [ 2-1:0] radio_tx_stb_radio0, + output wire [ 2-1:0] radio_tx_running_radio0, +// dram + input wire [ 1-1:0] axi_rst, + output wire [ 4-1:0] m_axi_awid, + output wire [128-1:0] m_axi_awaddr, + output wire [ 32-1:0] m_axi_awlen, + output wire [ 12-1:0] m_axi_awsize, + output wire [ 8-1:0] m_axi_awburst, + output wire [ 4-1:0] m_axi_awlock, + output wire [ 16-1:0] m_axi_awcache, + output wire [ 12-1:0] m_axi_awprot, + output wire [ 16-1:0] m_axi_awqos, + output wire [ 16-1:0] m_axi_awregion, + output wire [ 4-1:0] m_axi_awuser, + output wire [ 4-1:0] m_axi_awvalid, + input wire [ 4-1:0] m_axi_awready, + output wire [256-1:0] m_axi_wdata, + output wire [ 32-1:0] m_axi_wstrb, + output wire [ 4-1:0] m_axi_wlast, + output wire [ 4-1:0] m_axi_wuser, + output wire [ 4-1:0] m_axi_wvalid, + input wire [ 4-1:0] m_axi_wready, + input wire [ 4-1:0] m_axi_bid, + input wire [ 8-1:0] m_axi_bresp, + input wire [ 4-1:0] m_axi_buser, + input wire [ 4-1:0] m_axi_bvalid, + output wire [ 4-1:0] m_axi_bready, + output wire [ 4-1:0] m_axi_arid, + output wire [128-1:0] m_axi_araddr, + output wire [ 32-1:0] m_axi_arlen, + output wire [ 12-1:0] m_axi_arsize, + output wire [ 8-1:0] m_axi_arburst, + output wire [ 4-1:0] m_axi_arlock, + output wire [ 16-1:0] m_axi_arcache, + output wire [ 12-1:0] m_axi_arprot, + output wire [ 16-1:0] m_axi_arqos, + output wire [ 16-1:0] m_axi_arregion, + output wire [ 4-1:0] m_axi_aruser, + output wire [ 4-1:0] m_axi_arvalid, + input wire [ 4-1:0] m_axi_arready, + input wire [ 4-1:0] m_axi_rid, + input wire [256-1:0] m_axi_rdata, + input wire [ 8-1:0] m_axi_rresp, + input wire [ 4-1:0] m_axi_rlast, + input wire [ 4-1:0] m_axi_ruser, + input wire [ 4-1:0] m_axi_rvalid, + output wire [ 4-1:0] m_axi_rready, + // Transport 0 (eth0 1G) + input wire [64-1:0] s_eth0_tdata, + input wire s_eth0_tlast, + input wire s_eth0_tvalid, + output wire s_eth0_tready, + output wire [64-1:0] m_eth0_tdata, + output wire m_eth0_tlast, + output wire m_eth0_tvalid, + input wire m_eth0_tready, + // Transport 1 (eth1 10G) + input wire [64-1:0] s_eth1_tdata, + input wire s_eth1_tlast, + input wire s_eth1_tvalid, + output wire s_eth1_tready, + output wire [64-1:0] m_eth1_tdata, + output wire m_eth1_tlast, + output wire m_eth1_tvalid, + input wire m_eth1_tready, + // Transport 2 (dma dma) + input wire [64-1:0] s_dma_tdata, + input wire s_dma_tlast, + input wire s_dma_tvalid, + output wire s_dma_tready, + output wire [64-1:0] m_dma_tdata, + output wire m_dma_tlast, + output wire m_dma_tvalid, + input wire m_dma_tready +); + + localparam CHDR_W = 64; + localparam MTU = 10; + localparam EDGE_TBL_FILE = `"`RFNOC_EDGE_TBL_FILE`"; + + wire rfnoc_chdr_clk, rfnoc_chdr_rst; + wire rfnoc_ctrl_clk, rfnoc_ctrl_rst; + + // ---------------------------------------------------- + // CHDR Crossbar + // ---------------------------------------------------- + wire [CHDR_W-1:0] xb_to_ep0_tdata ; + wire xb_to_ep0_tlast ; + wire xb_to_ep0_tvalid; + wire xb_to_ep0_tready; + wire [CHDR_W-1:0] ep0_to_xb_tdata ; + wire ep0_to_xb_tlast ; + wire ep0_to_xb_tvalid; + wire ep0_to_xb_tready; + wire [CHDR_W-1:0] xb_to_ep1_tdata ; + wire xb_to_ep1_tlast ; + wire xb_to_ep1_tvalid; + wire xb_to_ep1_tready; + wire [CHDR_W-1:0] ep1_to_xb_tdata ; + wire ep1_to_xb_tlast ; + wire ep1_to_xb_tvalid; + wire ep1_to_xb_tready; + wire [CHDR_W-1:0] xb_to_ep4_tdata ; + wire xb_to_ep4_tlast ; + wire xb_to_ep4_tvalid; + wire xb_to_ep4_tready; + wire [CHDR_W-1:0] ep4_to_xb_tdata ; + wire ep4_to_xb_tlast ; + wire ep4_to_xb_tvalid; + wire ep4_to_xb_tready; + wire [CHDR_W-1:0] xb_to_ep5_tdata ; + wire xb_to_ep5_tlast ; + wire xb_to_ep5_tvalid; + wire xb_to_ep5_tready; + wire [CHDR_W-1:0] ep5_to_xb_tdata ; + wire ep5_to_xb_tlast ; + wire ep5_to_xb_tvalid; + wire ep5_to_xb_tready; + + chdr_crossbar_nxn #( + .CHDR_W (CHDR_W), + .NPORTS (7), + .DEFAULT_PORT (0), + .MTU (MTU), + .ROUTE_TBL_SIZE (6), + .MUX_ALLOC ("ROUND-ROBIN"), + .OPTIMIZE ("AREA"), + .NPORTS_MGMT (3), + .EXT_RTCFG_PORT (0), + .PROTOVER (PROTOVER) + ) chdr_xb_i ( + .clk (rfnoc_chdr_clk), + .reset (rfnoc_chdr_rst), + .device_id (device_id), + .s_axis_tdata ({ep5_to_xb_tdata, ep4_to_xb_tdata, ep1_to_xb_tdata, ep0_to_xb_tdata, s_dma_tdata, s_eth1_tdata, s_eth0_tdata}), + .s_axis_tlast ({ep5_to_xb_tlast, ep4_to_xb_tlast, ep1_to_xb_tlast, ep0_to_xb_tlast, s_dma_tlast, s_eth1_tlast, s_eth0_tlast}), + .s_axis_tvalid ({ep5_to_xb_tvalid, ep4_to_xb_tvalid, ep1_to_xb_tvalid, ep0_to_xb_tvalid, s_dma_tvalid, s_eth1_tvalid, s_eth0_tvalid}), + .s_axis_tready ({ep5_to_xb_tready, ep4_to_xb_tready, ep1_to_xb_tready, ep0_to_xb_tready, s_dma_tready, s_eth1_tready, s_eth0_tready}), + .m_axis_tdata ({xb_to_ep5_tdata, xb_to_ep4_tdata, xb_to_ep1_tdata, xb_to_ep0_tdata, m_dma_tdata, m_eth1_tdata, m_eth0_tdata}), + .m_axis_tlast ({xb_to_ep5_tlast, xb_to_ep4_tlast, xb_to_ep1_tlast, xb_to_ep0_tlast, m_dma_tlast, m_eth1_tlast, m_eth0_tlast}), + .m_axis_tvalid ({xb_to_ep5_tvalid, xb_to_ep4_tvalid, xb_to_ep1_tvalid, xb_to_ep0_tvalid, m_dma_tvalid, m_eth1_tvalid, m_eth0_tvalid}), + .m_axis_tready ({xb_to_ep5_tready, xb_to_ep4_tready, xb_to_ep1_tready, xb_to_ep0_tready, m_dma_tready, m_eth1_tready, m_eth0_tready}), + .ext_rtcfg_stb (1'h0), + .ext_rtcfg_addr (16'h0), + .ext_rtcfg_data (32'h0), + .ext_rtcfg_ack () + ); + + // ---------------------------------------------------- + // Stream Endpoints + // ---------------------------------------------------- + + wire [CHDR_W-1:0] m_ep0_out0_tdata; + wire m_ep0_out0_tlast; + wire m_ep0_out0_tvalid; + wire m_ep0_out0_tready; + wire [CHDR_W-1:0] s_ep0_in0_tdata; + wire s_ep0_in0_tlast; + wire s_ep0_in0_tvalid; + wire s_ep0_in0_tready; + wire [31:0] m_ep0_ctrl_tdata , s_ep0_ctrl_tdata ; + wire m_ep0_ctrl_tlast , s_ep0_ctrl_tlast ; + wire m_ep0_ctrl_tvalid, s_ep0_ctrl_tvalid; + wire m_ep0_ctrl_tready, s_ep0_ctrl_tready; + + chdr_stream_endpoint #( + .PROTOVER (PROTOVER), + .CHDR_W (CHDR_W), + .AXIS_CTRL_EN (1), + .AXIS_DATA_EN (1), + .NUM_DATA_I (1), + .NUM_DATA_O (1), + .INST_NUM (0), + .CTRL_XBAR_PORT (1), + .INGRESS_BUFF_SIZE (14), + .MTU (MTU), + .REPORT_STRM_ERRS (1) + ) ep0_i ( + .rfnoc_chdr_clk (rfnoc_chdr_clk ), + .rfnoc_chdr_rst (rfnoc_chdr_rst ), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk ), + .rfnoc_ctrl_rst (rfnoc_ctrl_rst ), + .device_id (device_id ), + .s_axis_chdr_tdata (xb_to_ep0_tdata ), + .s_axis_chdr_tlast (xb_to_ep0_tlast ), + .s_axis_chdr_tvalid (xb_to_ep0_tvalid ), + .s_axis_chdr_tready (xb_to_ep0_tready ), + .m_axis_chdr_tdata (ep0_to_xb_tdata ), + .m_axis_chdr_tlast (ep0_to_xb_tlast ), + .m_axis_chdr_tvalid (ep0_to_xb_tvalid ), + .m_axis_chdr_tready (ep0_to_xb_tready ), + .s_axis_data_tdata ({s_ep0_in0_tdata}), + .s_axis_data_tlast ({s_ep0_in0_tlast}), + .s_axis_data_tvalid ({s_ep0_in0_tvalid}), + .s_axis_data_tready ({s_ep0_in0_tready}), + .m_axis_data_tdata ({m_ep0_out0_tdata}), + .m_axis_data_tlast ({m_ep0_out0_tlast}), + .m_axis_data_tvalid ({m_ep0_out0_tvalid}), + .m_axis_data_tready ({m_ep0_out0_tready}), + .s_axis_ctrl_tdata (s_ep0_ctrl_tdata ), + .s_axis_ctrl_tlast (s_ep0_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_ep0_ctrl_tvalid), + .s_axis_ctrl_tready (s_ep0_ctrl_tready), + .m_axis_ctrl_tdata (m_ep0_ctrl_tdata ), + .m_axis_ctrl_tlast (m_ep0_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_ep0_ctrl_tvalid), + .m_axis_ctrl_tready (m_ep0_ctrl_tready), + .strm_seq_err_stb ( ), + .strm_data_err_stb ( ), + .strm_route_err_stb ( ), + .signal_data_err (1'b0 ) + ); + + wire [CHDR_W-1:0] m_ep1_out0_tdata; + wire m_ep1_out0_tlast; + wire m_ep1_out0_tvalid; + wire m_ep1_out0_tready; + wire [CHDR_W-1:0] s_ep1_in0_tdata; + wire s_ep1_in0_tlast; + wire s_ep1_in0_tvalid; + wire s_ep1_in0_tready; + wire [31:0] m_ep1_ctrl_tdata , s_ep1_ctrl_tdata ; + wire m_ep1_ctrl_tlast , s_ep1_ctrl_tlast ; + wire m_ep1_ctrl_tvalid, s_ep1_ctrl_tvalid; + wire m_ep1_ctrl_tready, s_ep1_ctrl_tready; + + chdr_stream_endpoint #( + .PROTOVER (PROTOVER), + .CHDR_W (CHDR_W), + .AXIS_CTRL_EN (0), + .AXIS_DATA_EN (1), + .NUM_DATA_I (1), + .NUM_DATA_O (1), + .INST_NUM (1), + .CTRL_XBAR_PORT (2), + .INGRESS_BUFF_SIZE (14), + .MTU (MTU), + .REPORT_STRM_ERRS (1) + ) ep1_i ( + .rfnoc_chdr_clk (rfnoc_chdr_clk ), + .rfnoc_chdr_rst (rfnoc_chdr_rst ), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk ), + .rfnoc_ctrl_rst (rfnoc_ctrl_rst ), + .device_id (device_id ), + .s_axis_chdr_tdata (xb_to_ep1_tdata ), + .s_axis_chdr_tlast (xb_to_ep1_tlast ), + .s_axis_chdr_tvalid (xb_to_ep1_tvalid ), + .s_axis_chdr_tready (xb_to_ep1_tready ), + .m_axis_chdr_tdata (ep1_to_xb_tdata ), + .m_axis_chdr_tlast (ep1_to_xb_tlast ), + .m_axis_chdr_tvalid (ep1_to_xb_tvalid ), + .m_axis_chdr_tready (ep1_to_xb_tready ), + .s_axis_data_tdata ({s_ep1_in0_tdata}), + .s_axis_data_tlast ({s_ep1_in0_tlast}), + .s_axis_data_tvalid ({s_ep1_in0_tvalid}), + .s_axis_data_tready ({s_ep1_in0_tready}), + .m_axis_data_tdata ({m_ep1_out0_tdata}), + .m_axis_data_tlast ({m_ep1_out0_tlast}), + .m_axis_data_tvalid ({m_ep1_out0_tvalid}), + .m_axis_data_tready ({m_ep1_out0_tready}), + .s_axis_ctrl_tdata (s_ep1_ctrl_tdata ), + .s_axis_ctrl_tlast (s_ep1_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_ep1_ctrl_tvalid), + .s_axis_ctrl_tready (s_ep1_ctrl_tready), + .m_axis_ctrl_tdata (m_ep1_ctrl_tdata ), + .m_axis_ctrl_tlast (m_ep1_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_ep1_ctrl_tvalid), + .m_axis_ctrl_tready (m_ep1_ctrl_tready), + .strm_seq_err_stb ( ), + .strm_data_err_stb ( ), + .strm_route_err_stb ( ), + .signal_data_err (1'b0 ) + ); + + wire [CHDR_W-1:0] m_ep4_out0_tdata; + wire m_ep4_out0_tlast; + wire m_ep4_out0_tvalid; + wire m_ep4_out0_tready; + wire [CHDR_W-1:0] s_ep4_in0_tdata; + wire s_ep4_in0_tlast; + wire s_ep4_in0_tvalid; + wire s_ep4_in0_tready; + wire [31:0] m_ep4_ctrl_tdata , s_ep4_ctrl_tdata ; + wire m_ep4_ctrl_tlast , s_ep4_ctrl_tlast ; + wire m_ep4_ctrl_tvalid, s_ep4_ctrl_tvalid; + wire m_ep4_ctrl_tready, s_ep4_ctrl_tready; + + chdr_stream_endpoint #( + .PROTOVER (PROTOVER), + .CHDR_W (CHDR_W), + .AXIS_CTRL_EN (0), + .AXIS_DATA_EN (1), + .NUM_DATA_I (1), + .NUM_DATA_O (1), + .INST_NUM (2), + .CTRL_XBAR_PORT (3), + .INGRESS_BUFF_SIZE (14), + .MTU (MTU), + .REPORT_STRM_ERRS (1) + ) ep4_i ( + .rfnoc_chdr_clk (rfnoc_chdr_clk ), + .rfnoc_chdr_rst (rfnoc_chdr_rst ), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk ), + .rfnoc_ctrl_rst (rfnoc_ctrl_rst ), + .device_id (device_id ), + .s_axis_chdr_tdata (xb_to_ep4_tdata ), + .s_axis_chdr_tlast (xb_to_ep4_tlast ), + .s_axis_chdr_tvalid (xb_to_ep4_tvalid ), + .s_axis_chdr_tready (xb_to_ep4_tready ), + .m_axis_chdr_tdata (ep4_to_xb_tdata ), + .m_axis_chdr_tlast (ep4_to_xb_tlast ), + .m_axis_chdr_tvalid (ep4_to_xb_tvalid ), + .m_axis_chdr_tready (ep4_to_xb_tready ), + .s_axis_data_tdata ({s_ep4_in0_tdata}), + .s_axis_data_tlast ({s_ep4_in0_tlast}), + .s_axis_data_tvalid ({s_ep4_in0_tvalid}), + .s_axis_data_tready ({s_ep4_in0_tready}), + .m_axis_data_tdata ({m_ep4_out0_tdata}), + .m_axis_data_tlast ({m_ep4_out0_tlast}), + .m_axis_data_tvalid ({m_ep4_out0_tvalid}), + .m_axis_data_tready ({m_ep4_out0_tready}), + .s_axis_ctrl_tdata (s_ep4_ctrl_tdata ), + .s_axis_ctrl_tlast (s_ep4_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_ep4_ctrl_tvalid), + .s_axis_ctrl_tready (s_ep4_ctrl_tready), + .m_axis_ctrl_tdata (m_ep4_ctrl_tdata ), + .m_axis_ctrl_tlast (m_ep4_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_ep4_ctrl_tvalid), + .m_axis_ctrl_tready (m_ep4_ctrl_tready), + .strm_seq_err_stb ( ), + .strm_data_err_stb ( ), + .strm_route_err_stb ( ), + .signal_data_err (1'b0 ) + ); + + wire [CHDR_W-1:0] m_ep5_out0_tdata; + wire m_ep5_out0_tlast; + wire m_ep5_out0_tvalid; + wire m_ep5_out0_tready; + wire [CHDR_W-1:0] s_ep5_in0_tdata; + wire s_ep5_in0_tlast; + wire s_ep5_in0_tvalid; + wire s_ep5_in0_tready; + wire [31:0] m_ep5_ctrl_tdata , s_ep5_ctrl_tdata ; + wire m_ep5_ctrl_tlast , s_ep5_ctrl_tlast ; + wire m_ep5_ctrl_tvalid, s_ep5_ctrl_tvalid; + wire m_ep5_ctrl_tready, s_ep5_ctrl_tready; + + chdr_stream_endpoint #( + .PROTOVER (PROTOVER), + .CHDR_W (CHDR_W), + .AXIS_CTRL_EN (0), + .AXIS_DATA_EN (1), + .NUM_DATA_I (1), + .NUM_DATA_O (1), + .INST_NUM (3), + .CTRL_XBAR_PORT (4), + .INGRESS_BUFF_SIZE (14), + .MTU (MTU), + .REPORT_STRM_ERRS (1) + ) ep5_i ( + .rfnoc_chdr_clk (rfnoc_chdr_clk ), + .rfnoc_chdr_rst (rfnoc_chdr_rst ), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk ), + .rfnoc_ctrl_rst (rfnoc_ctrl_rst ), + .device_id (device_id ), + .s_axis_chdr_tdata (xb_to_ep5_tdata ), + .s_axis_chdr_tlast (xb_to_ep5_tlast ), + .s_axis_chdr_tvalid (xb_to_ep5_tvalid ), + .s_axis_chdr_tready (xb_to_ep5_tready ), + .m_axis_chdr_tdata (ep5_to_xb_tdata ), + .m_axis_chdr_tlast (ep5_to_xb_tlast ), + .m_axis_chdr_tvalid (ep5_to_xb_tvalid ), + .m_axis_chdr_tready (ep5_to_xb_tready ), + .s_axis_data_tdata ({s_ep5_in0_tdata}), + .s_axis_data_tlast ({s_ep5_in0_tlast}), + .s_axis_data_tvalid ({s_ep5_in0_tvalid}), + .s_axis_data_tready ({s_ep5_in0_tready}), + .m_axis_data_tdata ({m_ep5_out0_tdata}), + .m_axis_data_tlast ({m_ep5_out0_tlast}), + .m_axis_data_tvalid ({m_ep5_out0_tvalid}), + .m_axis_data_tready ({m_ep5_out0_tready}), + .s_axis_ctrl_tdata (s_ep5_ctrl_tdata ), + .s_axis_ctrl_tlast (s_ep5_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_ep5_ctrl_tvalid), + .s_axis_ctrl_tready (s_ep5_ctrl_tready), + .m_axis_ctrl_tdata (m_ep5_ctrl_tdata ), + .m_axis_ctrl_tlast (m_ep5_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_ep5_ctrl_tvalid), + .m_axis_ctrl_tready (m_ep5_ctrl_tready), + .strm_seq_err_stb ( ), + .strm_data_err_stb ( ), + .strm_route_err_stb ( ), + .signal_data_err (1'b0 ) + ); + + + + // ---------------------------------------------------- + // Control Crossbar + // ---------------------------------------------------- + + wire [31:0] m_core_ctrl_tdata , s_core_ctrl_tdata ; + wire m_core_ctrl_tlast , s_core_ctrl_tlast ; + wire m_core_ctrl_tvalid, s_core_ctrl_tvalid; + wire m_core_ctrl_tready, s_core_ctrl_tready; + wire [31:0] m_radio0_ctrl_tdata , s_radio0_ctrl_tdata ; + wire m_radio0_ctrl_tlast , s_radio0_ctrl_tlast ; + wire m_radio0_ctrl_tvalid, s_radio0_ctrl_tvalid; + wire m_radio0_ctrl_tready, s_radio0_ctrl_tready; + wire [31:0] m_fifo0_ctrl_tdata , s_fifo0_ctrl_tdata ; + wire m_fifo0_ctrl_tlast , s_fifo0_ctrl_tlast ; + wire m_fifo0_ctrl_tvalid, s_fifo0_ctrl_tvalid; + wire m_fifo0_ctrl_tready, s_fifo0_ctrl_tready; + + axis_ctrl_crossbar_nxn #( + .WIDTH (32), + .NPORTS (4), + .TOPOLOGY ("TORUS"), + .INGRESS_BUFF_SIZE(5), + .ROUTER_BUFF_SIZE (5), + .ROUTING_ALLOC ("WORMHOLE"), + .SWITCH_ALLOC ("PRIO") + ) ctrl_xb_i ( + .clk (rfnoc_ctrl_clk), + .reset (rfnoc_ctrl_rst), + .s_axis_tdata ({m_fifo0_ctrl_tdata , m_radio0_ctrl_tdata , m_ep0_ctrl_tdata , m_core_ctrl_tdata }), + .s_axis_tvalid ({m_fifo0_ctrl_tvalid, m_radio0_ctrl_tvalid, m_ep0_ctrl_tvalid, m_core_ctrl_tvalid}), + .s_axis_tlast ({m_fifo0_ctrl_tlast , m_radio0_ctrl_tlast , m_ep0_ctrl_tlast , m_core_ctrl_tlast }), + .s_axis_tready ({m_fifo0_ctrl_tready, m_radio0_ctrl_tready, m_ep0_ctrl_tready, m_core_ctrl_tready}), + .m_axis_tdata ({s_fifo0_ctrl_tdata , s_radio0_ctrl_tdata , s_ep0_ctrl_tdata , s_core_ctrl_tdata }), + .m_axis_tvalid ({s_fifo0_ctrl_tvalid, s_radio0_ctrl_tvalid, s_ep0_ctrl_tvalid, s_core_ctrl_tvalid}), + .m_axis_tlast ({s_fifo0_ctrl_tlast , s_radio0_ctrl_tlast , s_ep0_ctrl_tlast , s_core_ctrl_tlast }), + .m_axis_tready ({s_fifo0_ctrl_tready, s_radio0_ctrl_tready, s_ep0_ctrl_tready, s_core_ctrl_tready}), + .deadlock_detected() + ); + + // ---------------------------------------------------- + // RFNoC Core Kernel + // ---------------------------------------------------- + wire [(512*2)-1:0] rfnoc_core_config, rfnoc_core_status; + + rfnoc_core_kernel #( + .PROTOVER (PROTOVER), + .DEVICE_TYPE (16'h1300), + .DEVICE_FAMILY ("7SERIES"), + .SAFE_START_CLKS (0), + .NUM_BLOCKS (2), + .NUM_STREAM_ENDPOINTS(4), + .NUM_ENDPOINTS_CTRL (1), + .NUM_TRANSPORTS (3), + .NUM_EDGES (8), + .CHDR_XBAR_PRESENT (1), + .EDGE_TBL_FILE (EDGE_TBL_FILE) + ) core_kernel_i ( + .chdr_aclk (chdr_aclk), + .chdr_aclk_locked (1'b1), + .ctrl_aclk (ctrl_aclk), + .ctrl_aclk_locked (1'b1), + .core_arst (core_arst), + .core_chdr_clk (rfnoc_chdr_clk), + .core_chdr_rst (rfnoc_chdr_rst), + .core_ctrl_clk (rfnoc_ctrl_clk), + .core_ctrl_rst (rfnoc_ctrl_rst), + .s_axis_ctrl_tdata (s_core_ctrl_tdata ), + .s_axis_ctrl_tlast (s_core_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_core_ctrl_tvalid), + .s_axis_ctrl_tready (s_core_ctrl_tready), + .m_axis_ctrl_tdata (m_core_ctrl_tdata ), + .m_axis_ctrl_tlast (m_core_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_core_ctrl_tvalid), + .m_axis_ctrl_tready (m_core_ctrl_tready), + .device_id (device_id), + .rfnoc_core_config (rfnoc_core_config), + .rfnoc_core_status (rfnoc_core_status) + ); + + // ---------------------------------------------------- + // Blocks + // ---------------------------------------------------- + + // ---------------------------------------------------- + // radio0 + // ---------------------------------------------------- + wire radio0_radio_clk; + wire [CHDR_W-1:0] s_radio0_in_1_tdata , s_radio0_in_0_tdata ; + wire s_radio0_in_1_tlast , s_radio0_in_0_tlast ; + wire s_radio0_in_1_tvalid, s_radio0_in_0_tvalid; + wire s_radio0_in_1_tready, s_radio0_in_0_tready; + wire [CHDR_W-1:0] m_radio0_out_1_tdata , m_radio0_out_0_tdata ; + wire m_radio0_out_1_tlast , m_radio0_out_0_tlast ; + wire m_radio0_out_1_tvalid, m_radio0_out_0_tvalid; + wire m_radio0_out_1_tready, m_radio0_out_0_tready; + + // ctrl_port + wire [ 1-1:0] radio0_m_ctrlport_req_wr; + wire [ 1-1:0] radio0_m_ctrlport_req_rd; + wire [ 20-1:0] radio0_m_ctrlport_req_addr; + wire [ 32-1:0] radio0_m_ctrlport_req_data; + wire [ 4-1:0] radio0_m_ctrlport_req_byte_en; + wire [ 1-1:0] radio0_m_ctrlport_req_has_time; + wire [ 64-1:0] radio0_m_ctrlport_req_time; + wire [ 1-1:0] radio0_m_ctrlport_resp_ack; + wire [ 2-1:0] radio0_m_ctrlport_resp_status; + wire [ 32-1:0] radio0_m_ctrlport_resp_data; + // time_keeper + wire [ 64-1:0] radio0_radio_time; + // x300_radio + wire [ 64-1:0] radio0_radio_rx_data; + wire [ 2-1:0] radio0_radio_rx_stb; + wire [ 2-1:0] radio0_radio_rx_running; + wire [ 64-1:0] radio0_radio_tx_data; + wire [ 2-1:0] radio0_radio_tx_stb; + wire [ 2-1:0] radio0_radio_tx_running; + + rfnoc_block_radio #( + .THIS_PORTID(2), + .CHDR_W(CHDR_W), + .NUM_PORTS(2), + .MTU(MTU) + ) b_radio0_0 ( + .rfnoc_chdr_clk (rfnoc_chdr_clk), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk), + .radio_clk(radio0_radio_clk), + .rfnoc_core_config (rfnoc_core_config[512*1-1:512*0]), + .rfnoc_core_status (rfnoc_core_status[512*1-1:512*0]), + + .m_ctrlport_req_wr(radio0_m_ctrlport_req_wr), + .m_ctrlport_req_rd(radio0_m_ctrlport_req_rd), + .m_ctrlport_req_addr(radio0_m_ctrlport_req_addr), + .m_ctrlport_req_data(radio0_m_ctrlport_req_data), + .m_ctrlport_req_byte_en(radio0_m_ctrlport_req_byte_en), + .m_ctrlport_req_has_time(radio0_m_ctrlport_req_has_time), + .m_ctrlport_req_time(radio0_m_ctrlport_req_time), + .m_ctrlport_resp_ack(radio0_m_ctrlport_resp_ack), + .m_ctrlport_resp_status(radio0_m_ctrlport_resp_status), + .m_ctrlport_resp_data(radio0_m_ctrlport_resp_data), + .radio_time(radio0_radio_time), + .radio_rx_data(radio0_radio_rx_data), + .radio_rx_stb(radio0_radio_rx_stb), + .radio_rx_running(radio0_radio_rx_running), + .radio_tx_data(radio0_radio_tx_data), + .radio_tx_stb(radio0_radio_tx_stb), + .radio_tx_running(radio0_radio_tx_running), + + .s_rfnoc_chdr_tdata ({s_radio0_in_1_tdata , s_radio0_in_0_tdata }), + .s_rfnoc_chdr_tlast ({s_radio0_in_1_tlast , s_radio0_in_0_tlast }), + .s_rfnoc_chdr_tvalid({s_radio0_in_1_tvalid, s_radio0_in_0_tvalid}), + .s_rfnoc_chdr_tready({s_radio0_in_1_tready, s_radio0_in_0_tready}), + .m_rfnoc_chdr_tdata ({m_radio0_out_1_tdata , m_radio0_out_0_tdata }), + .m_rfnoc_chdr_tlast ({m_radio0_out_1_tlast , m_radio0_out_0_tlast }), + .m_rfnoc_chdr_tvalid({m_radio0_out_1_tvalid, m_radio0_out_0_tvalid}), + .m_rfnoc_chdr_tready({m_radio0_out_1_tready, m_radio0_out_0_tready}), + .s_rfnoc_ctrl_tdata (s_radio0_ctrl_tdata ), + .s_rfnoc_ctrl_tlast (s_radio0_ctrl_tlast ), + .s_rfnoc_ctrl_tvalid(s_radio0_ctrl_tvalid), + .s_rfnoc_ctrl_tready(s_radio0_ctrl_tready), + .m_rfnoc_ctrl_tdata (m_radio0_ctrl_tdata ), + .m_rfnoc_ctrl_tlast (m_radio0_ctrl_tlast ), + .m_rfnoc_ctrl_tvalid(m_radio0_ctrl_tvalid), + .m_rfnoc_ctrl_tready(m_radio0_ctrl_tready) + ); + + + // ---------------------------------------------------- + // fifo0 + // ---------------------------------------------------- + wire fifo0_mem_clk; + wire [CHDR_W-1:0] s_fifo0_in_3_tdata , s_fifo0_in_2_tdata , s_fifo0_in_1_tdata , s_fifo0_in_0_tdata ; + wire s_fifo0_in_3_tlast , s_fifo0_in_2_tlast , s_fifo0_in_1_tlast , s_fifo0_in_0_tlast ; + wire s_fifo0_in_3_tvalid, s_fifo0_in_2_tvalid, s_fifo0_in_1_tvalid, s_fifo0_in_0_tvalid; + wire s_fifo0_in_3_tready, s_fifo0_in_2_tready, s_fifo0_in_1_tready, s_fifo0_in_0_tready; + wire [CHDR_W-1:0] m_fifo0_out_3_tdata , m_fifo0_out_2_tdata , m_fifo0_out_1_tdata , m_fifo0_out_0_tdata ; + wire m_fifo0_out_3_tlast , m_fifo0_out_2_tlast , m_fifo0_out_1_tlast , m_fifo0_out_0_tlast ; + wire m_fifo0_out_3_tvalid, m_fifo0_out_2_tvalid, m_fifo0_out_1_tvalid, m_fifo0_out_0_tvalid; + wire m_fifo0_out_3_tready, m_fifo0_out_2_tready, m_fifo0_out_1_tready, m_fifo0_out_0_tready; + + // axi_ram + wire [ 1-1:0] fifo0_axi_rst; + wire [ 4-1:0] fifo0_m_axi_awid; + wire [128-1:0] fifo0_m_axi_awaddr; + wire [ 32-1:0] fifo0_m_axi_awlen; + wire [ 12-1:0] fifo0_m_axi_awsize; + wire [ 8-1:0] fifo0_m_axi_awburst; + wire [ 4-1:0] fifo0_m_axi_awlock; + wire [ 16-1:0] fifo0_m_axi_awcache; + wire [ 12-1:0] fifo0_m_axi_awprot; + wire [ 16-1:0] fifo0_m_axi_awqos; + wire [ 16-1:0] fifo0_m_axi_awregion; + wire [ 4-1:0] fifo0_m_axi_awuser; + wire [ 4-1:0] fifo0_m_axi_awvalid; + wire [ 4-1:0] fifo0_m_axi_awready; + wire [256-1:0] fifo0_m_axi_wdata; + wire [ 32-1:0] fifo0_m_axi_wstrb; + wire [ 4-1:0] fifo0_m_axi_wlast; + wire [ 4-1:0] fifo0_m_axi_wuser; + wire [ 4-1:0] fifo0_m_axi_wvalid; + wire [ 4-1:0] fifo0_m_axi_wready; + wire [ 4-1:0] fifo0_m_axi_bid; + wire [ 8-1:0] fifo0_m_axi_bresp; + wire [ 4-1:0] fifo0_m_axi_buser; + wire [ 4-1:0] fifo0_m_axi_bvalid; + wire [ 4-1:0] fifo0_m_axi_bready; + wire [ 4-1:0] fifo0_m_axi_arid; + wire [128-1:0] fifo0_m_axi_araddr; + wire [ 32-1:0] fifo0_m_axi_arlen; + wire [ 12-1:0] fifo0_m_axi_arsize; + wire [ 8-1:0] fifo0_m_axi_arburst; + wire [ 4-1:0] fifo0_m_axi_arlock; + wire [ 16-1:0] fifo0_m_axi_arcache; + wire [ 12-1:0] fifo0_m_axi_arprot; + wire [ 16-1:0] fifo0_m_axi_arqos; + wire [ 16-1:0] fifo0_m_axi_arregion; + wire [ 4-1:0] fifo0_m_axi_aruser; + wire [ 4-1:0] fifo0_m_axi_arvalid; + wire [ 4-1:0] fifo0_m_axi_arready; + wire [ 4-1:0] fifo0_m_axi_rid; + wire [256-1:0] fifo0_m_axi_rdata; + wire [ 8-1:0] fifo0_m_axi_rresp; + wire [ 4-1:0] fifo0_m_axi_rlast; + wire [ 4-1:0] fifo0_m_axi_ruser; + wire [ 4-1:0] fifo0_m_axi_rvalid; + wire [ 4-1:0] fifo0_m_axi_rready; + + rfnoc_block_axi_ram_fifo #( + .THIS_PORTID(3), + .CHDR_W(CHDR_W), + .NUM_PORTS(4), + .MEM_DATA_W(64), + .MEM_ADDR_W(31), + .FIFO_ADDR_BASE({30'h06000000, 30'h04000000, 30'h02000000, 30'h00000000}), + .FIFO_ADDR_MASK({30'h01FFFFFF, 30'h01FFFFFF, 30'h01FFFFFF, 30'h01FFFFFF}), + .MEM_CLK_RATE(303819444), + .MTU(MTU) + ) b_fifo0_1 ( + .rfnoc_chdr_clk (rfnoc_chdr_clk), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk), + .mem_clk(fifo0_mem_clk), + .rfnoc_core_config (rfnoc_core_config[512*2-1:512*1]), + .rfnoc_core_status (rfnoc_core_status[512*2-1:512*1]), + + .axi_rst(fifo0_axi_rst), + .m_axi_awid(fifo0_m_axi_awid), + .m_axi_awaddr(fifo0_m_axi_awaddr), + .m_axi_awlen(fifo0_m_axi_awlen), + .m_axi_awsize(fifo0_m_axi_awsize), + .m_axi_awburst(fifo0_m_axi_awburst), + .m_axi_awlock(fifo0_m_axi_awlock), + .m_axi_awcache(fifo0_m_axi_awcache), + .m_axi_awprot(fifo0_m_axi_awprot), + .m_axi_awqos(fifo0_m_axi_awqos), + .m_axi_awregion(fifo0_m_axi_awregion), + .m_axi_awuser(fifo0_m_axi_awuser), + .m_axi_awvalid(fifo0_m_axi_awvalid), + .m_axi_awready(fifo0_m_axi_awready), + .m_axi_wdata(fifo0_m_axi_wdata), + .m_axi_wstrb(fifo0_m_axi_wstrb), + .m_axi_wlast(fifo0_m_axi_wlast), + .m_axi_wuser(fifo0_m_axi_wuser), + .m_axi_wvalid(fifo0_m_axi_wvalid), + .m_axi_wready(fifo0_m_axi_wready), + .m_axi_bid(fifo0_m_axi_bid), + .m_axi_bresp(fifo0_m_axi_bresp), + .m_axi_buser(fifo0_m_axi_buser), + .m_axi_bvalid(fifo0_m_axi_bvalid), + .m_axi_bready(fifo0_m_axi_bready), + .m_axi_arid(fifo0_m_axi_arid), + .m_axi_araddr(fifo0_m_axi_araddr), + .m_axi_arlen(fifo0_m_axi_arlen), + .m_axi_arsize(fifo0_m_axi_arsize), + .m_axi_arburst(fifo0_m_axi_arburst), + .m_axi_arlock(fifo0_m_axi_arlock), + .m_axi_arcache(fifo0_m_axi_arcache), + .m_axi_arprot(fifo0_m_axi_arprot), + .m_axi_arqos(fifo0_m_axi_arqos), + .m_axi_arregion(fifo0_m_axi_arregion), + .m_axi_aruser(fifo0_m_axi_aruser), + .m_axi_arvalid(fifo0_m_axi_arvalid), + .m_axi_arready(fifo0_m_axi_arready), + .m_axi_rid(fifo0_m_axi_rid), + .m_axi_rdata(fifo0_m_axi_rdata), + .m_axi_rresp(fifo0_m_axi_rresp), + .m_axi_rlast(fifo0_m_axi_rlast), + .m_axi_ruser(fifo0_m_axi_ruser), + .m_axi_rvalid(fifo0_m_axi_rvalid), + .m_axi_rready(fifo0_m_axi_rready), + + .s_rfnoc_chdr_tdata ({s_fifo0_in_3_tdata , s_fifo0_in_2_tdata , s_fifo0_in_1_tdata , s_fifo0_in_0_tdata }), + .s_rfnoc_chdr_tlast ({s_fifo0_in_3_tlast , s_fifo0_in_2_tlast , s_fifo0_in_1_tlast , s_fifo0_in_0_tlast }), + .s_rfnoc_chdr_tvalid({s_fifo0_in_3_tvalid, s_fifo0_in_2_tvalid, s_fifo0_in_1_tvalid, s_fifo0_in_0_tvalid}), + .s_rfnoc_chdr_tready({s_fifo0_in_3_tready, s_fifo0_in_2_tready, s_fifo0_in_1_tready, s_fifo0_in_0_tready}), + .m_rfnoc_chdr_tdata ({m_fifo0_out_3_tdata , m_fifo0_out_2_tdata , m_fifo0_out_1_tdata , m_fifo0_out_0_tdata }), + .m_rfnoc_chdr_tlast ({m_fifo0_out_3_tlast , m_fifo0_out_2_tlast , m_fifo0_out_1_tlast , m_fifo0_out_0_tlast }), + .m_rfnoc_chdr_tvalid({m_fifo0_out_3_tvalid, m_fifo0_out_2_tvalid, m_fifo0_out_1_tvalid, m_fifo0_out_0_tvalid}), + .m_rfnoc_chdr_tready({m_fifo0_out_3_tready, m_fifo0_out_2_tready, m_fifo0_out_1_tready, m_fifo0_out_0_tready}), + .s_rfnoc_ctrl_tdata (s_fifo0_ctrl_tdata ), + .s_rfnoc_ctrl_tlast (s_fifo0_ctrl_tlast ), + .s_rfnoc_ctrl_tvalid(s_fifo0_ctrl_tvalid), + .s_rfnoc_ctrl_tready(s_fifo0_ctrl_tready), + .m_rfnoc_ctrl_tdata (m_fifo0_ctrl_tdata ), + .m_rfnoc_ctrl_tlast (m_fifo0_ctrl_tlast ), + .m_rfnoc_ctrl_tvalid(m_fifo0_ctrl_tvalid), + .m_rfnoc_ctrl_tready(m_fifo0_ctrl_tready) + ); + + + // ---------------------------------------------------- + // Static Router + // ---------------------------------------------------- + assign s_radio0_in_0_tdata = m_ep0_out0_tdata ; + assign s_radio0_in_0_tlast = m_ep0_out0_tlast ; + assign s_radio0_in_0_tvalid = m_ep0_out0_tvalid; + assign m_ep0_out0_tready = s_radio0_in_0_tready; + + assign s_ep0_in0_tdata = m_radio0_out_0_tdata ; + assign s_ep0_in0_tlast = m_radio0_out_0_tlast ; + assign s_ep0_in0_tvalid = m_radio0_out_0_tvalid; + assign m_radio0_out_0_tready = s_ep0_in0_tready; + + assign s_radio0_in_1_tdata = m_ep1_out0_tdata ; + assign s_radio0_in_1_tlast = m_ep1_out0_tlast ; + assign s_radio0_in_1_tvalid = m_ep1_out0_tvalid; + assign m_ep1_out0_tready = s_radio0_in_1_tready; + + assign s_ep1_in0_tdata = m_radio0_out_1_tdata ; + assign s_ep1_in0_tlast = m_radio0_out_1_tlast ; + assign s_ep1_in0_tvalid = m_radio0_out_1_tvalid; + assign m_radio0_out_1_tready = s_ep1_in0_tready; + + assign s_fifo0_in_0_tdata = m_ep4_out0_tdata ; + assign s_fifo0_in_0_tlast = m_ep4_out0_tlast ; + assign s_fifo0_in_0_tvalid = m_ep4_out0_tvalid; + assign m_ep4_out0_tready = s_fifo0_in_0_tready; + + assign s_ep4_in0_tdata = m_fifo0_out_0_tdata ; + assign s_ep4_in0_tlast = m_fifo0_out_0_tlast ; + assign s_ep4_in0_tvalid = m_fifo0_out_0_tvalid; + assign m_fifo0_out_0_tready = s_ep4_in0_tready; + + assign s_fifo0_in_1_tdata = m_ep5_out0_tdata ; + assign s_fifo0_in_1_tlast = m_ep5_out0_tlast ; + assign s_fifo0_in_1_tvalid = m_ep5_out0_tvalid; + assign m_ep5_out0_tready = s_fifo0_in_1_tready; + + assign s_ep5_in0_tdata = m_fifo0_out_1_tdata ; + assign s_ep5_in0_tlast = m_fifo0_out_1_tlast ; + assign s_ep5_in0_tvalid = m_fifo0_out_1_tvalid; + assign m_fifo0_out_1_tready = s_ep5_in0_tready; + + + // ---------------------------------------------------- + // Unused Ports + // ---------------------------------------------------- + assign s_fifo0_in_2_tdata = {CHDR_W{1'b0}}; + assign s_fifo0_in_2_tlast = 1'b0; + assign s_fifo0_in_2_tvalid = 1'b0; + assign s_fifo0_in_3_tdata = {CHDR_W{1'b0}}; + assign s_fifo0_in_3_tlast = 1'b0; + assign s_fifo0_in_3_tvalid = 1'b0; + assign m_fifo0_out_2_tready = 1'b1; + assign m_fifo0_out_3_tready = 1'b1; + + // ---------------------------------------------------- + // Clock Domains + // ---------------------------------------------------- + assign radio0_radio_clk = radio_clk; + assign fifo0_mem_clk = dram_clk; + + + // ---------------------------------------------------- + // IO Port Connection + // ---------------------------------------------------- + // Master/Slave Connections: + assign m_ctrlport_radio0_req_wr = radio0_m_ctrlport_req_wr; + assign m_ctrlport_radio0_req_rd = radio0_m_ctrlport_req_rd; + assign m_ctrlport_radio0_req_addr = radio0_m_ctrlport_req_addr; + assign m_ctrlport_radio0_req_data = radio0_m_ctrlport_req_data; + assign m_ctrlport_radio0_req_byte_en = radio0_m_ctrlport_req_byte_en; + assign m_ctrlport_radio0_req_has_time = radio0_m_ctrlport_req_has_time; + assign m_ctrlport_radio0_req_time = radio0_m_ctrlport_req_time; + assign radio0_m_ctrlport_resp_ack = m_ctrlport_radio0_resp_ack; + assign radio0_m_ctrlport_resp_status = m_ctrlport_radio0_resp_status; + assign radio0_m_ctrlport_resp_data = m_ctrlport_radio0_resp_data; + + assign radio0_radio_rx_data = radio_rx_data_radio0; + assign radio0_radio_rx_stb = radio_rx_stb_radio0; + assign radio_rx_running_radio0 = radio0_radio_rx_running; + assign radio_tx_data_radio0 = radio0_radio_tx_data; + assign radio0_radio_tx_stb = radio_tx_stb_radio0; + assign radio_tx_running_radio0 = radio0_radio_tx_running; + + assign fifo0_axi_rst = axi_rst; + assign m_axi_awid = fifo0_m_axi_awid; + assign m_axi_awaddr = fifo0_m_axi_awaddr; + assign m_axi_awlen = fifo0_m_axi_awlen; + assign m_axi_awsize = fifo0_m_axi_awsize; + assign m_axi_awburst = fifo0_m_axi_awburst; + assign m_axi_awlock = fifo0_m_axi_awlock; + assign m_axi_awcache = fifo0_m_axi_awcache; + assign m_axi_awprot = fifo0_m_axi_awprot; + assign m_axi_awqos = fifo0_m_axi_awqos; + assign m_axi_awregion = fifo0_m_axi_awregion; + assign m_axi_awuser = fifo0_m_axi_awuser; + assign m_axi_awvalid = fifo0_m_axi_awvalid; + assign fifo0_m_axi_awready = m_axi_awready; + assign m_axi_wdata = fifo0_m_axi_wdata; + assign m_axi_wstrb = fifo0_m_axi_wstrb; + assign m_axi_wlast = fifo0_m_axi_wlast; + assign m_axi_wuser = fifo0_m_axi_wuser; + assign m_axi_wvalid = fifo0_m_axi_wvalid; + assign fifo0_m_axi_wready = m_axi_wready; + assign fifo0_m_axi_bid = m_axi_bid; + assign fifo0_m_axi_bresp = m_axi_bresp; + assign fifo0_m_axi_buser = m_axi_buser; + assign fifo0_m_axi_bvalid = m_axi_bvalid; + assign m_axi_bready = fifo0_m_axi_bready; + assign m_axi_arid = fifo0_m_axi_arid; + assign m_axi_araddr = fifo0_m_axi_araddr; + assign m_axi_arlen = fifo0_m_axi_arlen; + assign m_axi_arsize = fifo0_m_axi_arsize; + assign m_axi_arburst = fifo0_m_axi_arburst; + assign m_axi_arlock = fifo0_m_axi_arlock; + assign m_axi_arcache = fifo0_m_axi_arcache; + assign m_axi_arprot = fifo0_m_axi_arprot; + assign m_axi_arqos = fifo0_m_axi_arqos; + assign m_axi_arregion = fifo0_m_axi_arregion; + assign m_axi_aruser = fifo0_m_axi_aruser; + assign m_axi_arvalid = fifo0_m_axi_arvalid; + assign fifo0_m_axi_arready = m_axi_arready; + assign fifo0_m_axi_rid = m_axi_rid; + assign fifo0_m_axi_rdata = m_axi_rdata; + assign fifo0_m_axi_rresp = m_axi_rresp; + assign fifo0_m_axi_rlast = m_axi_rlast; + assign fifo0_m_axi_ruser = m_axi_ruser; + assign fifo0_m_axi_rvalid = m_axi_rvalid; + assign m_axi_rready = fifo0_m_axi_rready; + + // Broadcaster/Listener Connections: + assign radio0_radio_time = radio_time; + +endmodule diff --git a/fpga/usrp3/top/n3xx/n300_bist_image_core.yml b/fpga/usrp3/top/n3xx/n300_bist_image_core.yml new file mode 100644 index 000000000..446c0ae6b --- /dev/null +++ b/fpga/usrp3/top/n3xx/n300_bist_image_core.yml @@ -0,0 +1,87 @@ +# General parameters +# ----------------------------------------- +schema: rfnoc_imagebuilder_args # Identifier for the schema used to validate this file +copyright: 'Ettus Research, A National Instruments Brand' # Copyright information used in file headers +license: 'SPDX-License-Identifier: LGPL-3.0-or-later' # License information used in file headers +version: 1.0 # File version +rfnoc_version: 1.0 # RFNoC protocol version +chdr_width: 64 # Bit width of the CHDR bus for this image +device: 'n300' +default_target: 'N300_AA' + +# A list of all stream endpoints in design +# ---------------------------------------- +stream_endpoints: + ep0: # Stream endpoint name + ctrl: True # Endpoint passes control traffic + data: True # Endpoint passes data traffic + buff_size: 16384 # Ingress buffer size for data + ep1: # Stream endpoint name + ctrl: False # Endpoint passes control traffic + data: True # Endpoint passes data traffic + buff_size: 16384 # Ingress buffer size for data + # We call the next endpoints 4 and 5 to keep them consistent with the + # N310 version of this file + ep4: # Stream endpoint name + ctrl: False # Endpoint passes control traffic + data: True # Endpoint passes data traffic + buff_size: 16384 # Ingress buffer size for data + ep5: # Stream endpoint name + ctrl: False # Endpoint passes control traffic + data: True # Endpoint passes data traffic + buff_size: 16384 # Ingress buffer size for data + +# A list of all NoC blocks in design +# ---------------------------------- +noc_blocks: + radio0: + block_desc: 'radio_2x64.yml' + fifo0: + block_desc: 'axi_ram_fifo_4x64.yml' + parameters: + # These parameters match the memory interface on the N3XX + NUM_PORTS: 4 + MEM_DATA_W: 64 + MEM_ADDR_W: 31 + FIFO_ADDR_BASE: "{30'h06000000, 30'h04000000, 30'h02000000, 30'h00000000}" + FIFO_ADDR_MASK: "{30'h01FFFFFF, 30'h01FFFFFF, 30'h01FFFFFF, 30'h01FFFFFF}" + MEM_CLK_RATE: "303819444" # 166.666666 MHz * 21.875 / 4 / 3 = 303.819444 MHz + +# A list of all static connections in design +# ------------------------------------------ +# Format: A list of connection maps (list of key-value pairs) with the following keys +# - srcblk = Source block to connect +# - srcport = Port on the source block to connect +# - dstblk = Destination block to connect +# - dstport = Port on the destination block to connect +connections: + # ep0 to radio0(0) - RF0 TX + - { srcblk: ep0, srcport: out0, dstblk: radio0, dstport: in_0 } + # radio0(0) to ep0 - RF0 RX + - { srcblk: radio0, srcport: out_0, dstblk: ep0, dstport: in0 } + # ep1 to radio0(1) - RF1 TX + - { srcblk: ep1, srcport: out0, dstblk: radio0, dstport: in_1 } + # radio0(1) to ep1 - RF1 RX + - { srcblk: radio0, srcport: out_1, dstblk: ep1, dstport: in0 } + # ep4 to fifo0(0) + - { srcblk: ep4, srcport: out0, dstblk: fifo0, dstport: in_0 } + - { srcblk: fifo0, srcport: out_0, dstblk: ep4, dstport: in0 } + # ep5 to fifo0(1) + - { srcblk: ep5, srcport: out0, dstblk: fifo0, dstport: in_1 } + - { srcblk: fifo0, srcport: out_1, dstblk: ep5, dstport: in0 } + # BSP Connections + - { srcblk: radio0, srcport: ctrl_port, dstblk: _device_, dstport: ctrlport_radio0 } + - { srcblk: _device_, srcport: x300_radio0, dstblk: radio0, dstport: x300_radio } + - { srcblk: _device_, srcport: time_keeper, dstblk: radio0, dstport: time_keeper } + - { srcblk: fifo0, srcport: axi_ram, dstblk: _device_, dstport: dram } + +# A list of all clock domain connections in design +# ------------------------------------------------ +# Format: A list of connection maps (list of key-value pairs) with the following keys +# - srcblk = Source block to connect (Always "_device"_) +# - srcport = Clock domain on the source block to connect +# - dstblk = Destination block to connect +# - dstport = Clock domain on the destination block to connect +clk_domains: + - { srcblk: _device_, srcport: radio, dstblk: radio0, dstport: radio } + - { srcblk: _device_, srcport: dram, dstblk: fifo0, dstport: mem } diff --git a/fpga/usrp3/top/n3xx/n300_bist_static_router.hex b/fpga/usrp3/top/n3xx/n300_bist_static_router.hex new file mode 100644 index 000000000..99c3acfdf --- /dev/null +++ b/fpga/usrp3/top/n3xx/n300_bist_static_router.hex @@ -0,0 +1,9 @@ +00000008 +00400140 +01400040 +00800141 +01410080 +00c00180 +018000c0 +01000181 +01810100 diff --git a/fpga/usrp3/top/n3xx/n300_rfnoc_image_core.v b/fpga/usrp3/top/n3xx/n300_rfnoc_image_core.v new file mode 100644 index 000000000..064dcf16b --- /dev/null +++ b/fpga/usrp3/top/n3xx/n300_rfnoc_image_core.v @@ -0,0 +1,652 @@ +// +// Copyright 2019 Ettus Research, A National Instruments Brand +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// + +// Module: rfnoc_image_core (for n300) +// This file was autogenerated by UHD's image builder tool (rfnoc_image_builder) +// Re-running that tool will overwrite this file! +// File generated on: 2019-11-08T15:58:12.572410 +// Source: ./n3xx/n300_rfnoc_image_core.yml +// Source SHA256: 0137aa82c54cb7f7e539f2b09d75ec9c2b5d0c97479431953ab78fc8c56b7201 + +module rfnoc_image_core #( + parameter [15:0] PROTOVER = {8'd1, 8'd0} +)( + // Clocks + input wire chdr_aclk, + input wire ctrl_aclk, + input wire core_arst, + input wire radio_clk, + input wire dram_clk, + // Basic + input wire [15:0] device_id, +//// IO ports ////////////////////////////////// +// ctrlport_radio0 + output wire [ 1-1:0] m_ctrlport_radio0_req_wr, + output wire [ 1-1:0] m_ctrlport_radio0_req_rd, + output wire [ 20-1:0] m_ctrlport_radio0_req_addr, + output wire [ 32-1:0] m_ctrlport_radio0_req_data, + output wire [ 4-1:0] m_ctrlport_radio0_req_byte_en, + output wire [ 1-1:0] m_ctrlport_radio0_req_has_time, + output wire [ 64-1:0] m_ctrlport_radio0_req_time, + input wire [ 1-1:0] m_ctrlport_radio0_resp_ack, + input wire [ 2-1:0] m_ctrlport_radio0_resp_status, + input wire [ 32-1:0] m_ctrlport_radio0_resp_data, +// time_keeper + input wire [ 64-1:0] radio_time, +// x300_radio0 + input wire [ 64-1:0] radio_rx_data_radio0, + input wire [ 2-1:0] radio_rx_stb_radio0, + output wire [ 2-1:0] radio_rx_running_radio0, + output wire [ 64-1:0] radio_tx_data_radio0, + input wire [ 2-1:0] radio_tx_stb_radio0, + output wire [ 2-1:0] radio_tx_running_radio0, +// dram + input wire [ 1-1:0] axi_rst, + output wire [ 4-1:0] m_axi_awid, + output wire [128-1:0] m_axi_awaddr, + output wire [ 32-1:0] m_axi_awlen, + output wire [ 12-1:0] m_axi_awsize, + output wire [ 8-1:0] m_axi_awburst, + output wire [ 4-1:0] m_axi_awlock, + output wire [ 16-1:0] m_axi_awcache, + output wire [ 12-1:0] m_axi_awprot, + output wire [ 16-1:0] m_axi_awqos, + output wire [ 16-1:0] m_axi_awregion, + output wire [ 4-1:0] m_axi_awuser, + output wire [ 4-1:0] m_axi_awvalid, + input wire [ 4-1:0] m_axi_awready, + output wire [256-1:0] m_axi_wdata, + output wire [ 32-1:0] m_axi_wstrb, + output wire [ 4-1:0] m_axi_wlast, + output wire [ 4-1:0] m_axi_wuser, + output wire [ 4-1:0] m_axi_wvalid, + input wire [ 4-1:0] m_axi_wready, + input wire [ 4-1:0] m_axi_bid, + input wire [ 8-1:0] m_axi_bresp, + input wire [ 4-1:0] m_axi_buser, + input wire [ 4-1:0] m_axi_bvalid, + output wire [ 4-1:0] m_axi_bready, + output wire [ 4-1:0] m_axi_arid, + output wire [128-1:0] m_axi_araddr, + output wire [ 32-1:0] m_axi_arlen, + output wire [ 12-1:0] m_axi_arsize, + output wire [ 8-1:0] m_axi_arburst, + output wire [ 4-1:0] m_axi_arlock, + output wire [ 16-1:0] m_axi_arcache, + output wire [ 12-1:0] m_axi_arprot, + output wire [ 16-1:0] m_axi_arqos, + output wire [ 16-1:0] m_axi_arregion, + output wire [ 4-1:0] m_axi_aruser, + output wire [ 4-1:0] m_axi_arvalid, + input wire [ 4-1:0] m_axi_arready, + input wire [ 4-1:0] m_axi_rid, + input wire [256-1:0] m_axi_rdata, + input wire [ 8-1:0] m_axi_rresp, + input wire [ 4-1:0] m_axi_rlast, + input wire [ 4-1:0] m_axi_ruser, + input wire [ 4-1:0] m_axi_rvalid, + output wire [ 4-1:0] m_axi_rready, + // Transport 0 (eth0 1G) + input wire [64-1:0] s_eth0_tdata, + input wire s_eth0_tlast, + input wire s_eth0_tvalid, + output wire s_eth0_tready, + output wire [64-1:0] m_eth0_tdata, + output wire m_eth0_tlast, + output wire m_eth0_tvalid, + input wire m_eth0_tready, + // Transport 1 (eth1 10G) + input wire [64-1:0] s_eth1_tdata, + input wire s_eth1_tlast, + input wire s_eth1_tvalid, + output wire s_eth1_tready, + output wire [64-1:0] m_eth1_tdata, + output wire m_eth1_tlast, + output wire m_eth1_tvalid, + input wire m_eth1_tready, + // Transport 2 (dma dma) + input wire [64-1:0] s_dma_tdata, + input wire s_dma_tlast, + input wire s_dma_tvalid, + output wire s_dma_tready, + output wire [64-1:0] m_dma_tdata, + output wire m_dma_tlast, + output wire m_dma_tvalid, + input wire m_dma_tready +); + + localparam CHDR_W = 64; + localparam MTU = 10; + localparam EDGE_TBL_FILE = `"`RFNOC_EDGE_TBL_FILE`"; + + wire rfnoc_chdr_clk, rfnoc_chdr_rst; + wire rfnoc_ctrl_clk, rfnoc_ctrl_rst; + + // ---------------------------------------------------- + // CHDR Crossbar + // ---------------------------------------------------- + wire [CHDR_W-1:0] xb_to_ep0_tdata ; + wire xb_to_ep0_tlast ; + wire xb_to_ep0_tvalid; + wire xb_to_ep0_tready; + wire [CHDR_W-1:0] ep0_to_xb_tdata ; + wire ep0_to_xb_tlast ; + wire ep0_to_xb_tvalid; + wire ep0_to_xb_tready; + wire [CHDR_W-1:0] xb_to_ep1_tdata ; + wire xb_to_ep1_tlast ; + wire xb_to_ep1_tvalid; + wire xb_to_ep1_tready; + wire [CHDR_W-1:0] ep1_to_xb_tdata ; + wire ep1_to_xb_tlast ; + wire ep1_to_xb_tvalid; + wire ep1_to_xb_tready; + + chdr_crossbar_nxn #( + .CHDR_W (CHDR_W), + .NPORTS (5), + .DEFAULT_PORT (0), + .MTU (MTU), + .ROUTE_TBL_SIZE (6), + .MUX_ALLOC ("ROUND-ROBIN"), + .OPTIMIZE ("AREA"), + .NPORTS_MGMT (3), + .EXT_RTCFG_PORT (0), + .PROTOVER (PROTOVER) + ) chdr_xb_i ( + .clk (rfnoc_chdr_clk), + .reset (rfnoc_chdr_rst), + .device_id (device_id), + .s_axis_tdata ({ep1_to_xb_tdata, ep0_to_xb_tdata, s_dma_tdata, s_eth1_tdata, s_eth0_tdata}), + .s_axis_tlast ({ep1_to_xb_tlast, ep0_to_xb_tlast, s_dma_tlast, s_eth1_tlast, s_eth0_tlast}), + .s_axis_tvalid ({ep1_to_xb_tvalid, ep0_to_xb_tvalid, s_dma_tvalid, s_eth1_tvalid, s_eth0_tvalid}), + .s_axis_tready ({ep1_to_xb_tready, ep0_to_xb_tready, s_dma_tready, s_eth1_tready, s_eth0_tready}), + .m_axis_tdata ({xb_to_ep1_tdata, xb_to_ep0_tdata, m_dma_tdata, m_eth1_tdata, m_eth0_tdata}), + .m_axis_tlast ({xb_to_ep1_tlast, xb_to_ep0_tlast, m_dma_tlast, m_eth1_tlast, m_eth0_tlast}), + .m_axis_tvalid ({xb_to_ep1_tvalid, xb_to_ep0_tvalid, m_dma_tvalid, m_eth1_tvalid, m_eth0_tvalid}), + .m_axis_tready ({xb_to_ep1_tready, xb_to_ep0_tready, m_dma_tready, m_eth1_tready, m_eth0_tready}), + .ext_rtcfg_stb (1'h0), + .ext_rtcfg_addr (16'h0), + .ext_rtcfg_data (32'h0), + .ext_rtcfg_ack () + ); + + // ---------------------------------------------------- + // Stream Endpoints + // ---------------------------------------------------- + + wire [CHDR_W-1:0] m_ep0_out0_tdata; + wire m_ep0_out0_tlast; + wire m_ep0_out0_tvalid; + wire m_ep0_out0_tready; + wire [CHDR_W-1:0] s_ep0_in0_tdata; + wire s_ep0_in0_tlast; + wire s_ep0_in0_tvalid; + wire s_ep0_in0_tready; + wire [31:0] m_ep0_ctrl_tdata , s_ep0_ctrl_tdata ; + wire m_ep0_ctrl_tlast , s_ep0_ctrl_tlast ; + wire m_ep0_ctrl_tvalid, s_ep0_ctrl_tvalid; + wire m_ep0_ctrl_tready, s_ep0_ctrl_tready; + + chdr_stream_endpoint #( + .PROTOVER (PROTOVER), + .CHDR_W (CHDR_W), + .AXIS_CTRL_EN (1), + .AXIS_DATA_EN (1), + .NUM_DATA_I (1), + .NUM_DATA_O (1), + .INST_NUM (0), + .CTRL_XBAR_PORT (1), + .INGRESS_BUFF_SIZE (15), + .MTU (MTU), + .REPORT_STRM_ERRS (1) + ) ep0_i ( + .rfnoc_chdr_clk (rfnoc_chdr_clk ), + .rfnoc_chdr_rst (rfnoc_chdr_rst ), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk ), + .rfnoc_ctrl_rst (rfnoc_ctrl_rst ), + .device_id (device_id ), + .s_axis_chdr_tdata (xb_to_ep0_tdata ), + .s_axis_chdr_tlast (xb_to_ep0_tlast ), + .s_axis_chdr_tvalid (xb_to_ep0_tvalid ), + .s_axis_chdr_tready (xb_to_ep0_tready ), + .m_axis_chdr_tdata (ep0_to_xb_tdata ), + .m_axis_chdr_tlast (ep0_to_xb_tlast ), + .m_axis_chdr_tvalid (ep0_to_xb_tvalid ), + .m_axis_chdr_tready (ep0_to_xb_tready ), + .s_axis_data_tdata ({s_ep0_in0_tdata}), + .s_axis_data_tlast ({s_ep0_in0_tlast}), + .s_axis_data_tvalid ({s_ep0_in0_tvalid}), + .s_axis_data_tready ({s_ep0_in0_tready}), + .m_axis_data_tdata ({m_ep0_out0_tdata}), + .m_axis_data_tlast ({m_ep0_out0_tlast}), + .m_axis_data_tvalid ({m_ep0_out0_tvalid}), + .m_axis_data_tready ({m_ep0_out0_tready}), + .s_axis_ctrl_tdata (s_ep0_ctrl_tdata ), + .s_axis_ctrl_tlast (s_ep0_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_ep0_ctrl_tvalid), + .s_axis_ctrl_tready (s_ep0_ctrl_tready), + .m_axis_ctrl_tdata (m_ep0_ctrl_tdata ), + .m_axis_ctrl_tlast (m_ep0_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_ep0_ctrl_tvalid), + .m_axis_ctrl_tready (m_ep0_ctrl_tready), + .strm_seq_err_stb ( ), + .strm_data_err_stb ( ), + .strm_route_err_stb ( ), + .signal_data_err (1'b0 ) + ); + + wire [CHDR_W-1:0] m_ep1_out0_tdata; + wire m_ep1_out0_tlast; + wire m_ep1_out0_tvalid; + wire m_ep1_out0_tready; + wire [CHDR_W-1:0] s_ep1_in0_tdata; + wire s_ep1_in0_tlast; + wire s_ep1_in0_tvalid; + wire s_ep1_in0_tready; + wire [31:0] m_ep1_ctrl_tdata , s_ep1_ctrl_tdata ; + wire m_ep1_ctrl_tlast , s_ep1_ctrl_tlast ; + wire m_ep1_ctrl_tvalid, s_ep1_ctrl_tvalid; + wire m_ep1_ctrl_tready, s_ep1_ctrl_tready; + + chdr_stream_endpoint #( + .PROTOVER (PROTOVER), + .CHDR_W (CHDR_W), + .AXIS_CTRL_EN (0), + .AXIS_DATA_EN (1), + .NUM_DATA_I (1), + .NUM_DATA_O (1), + .INST_NUM (1), + .CTRL_XBAR_PORT (2), + .INGRESS_BUFF_SIZE (15), + .MTU (MTU), + .REPORT_STRM_ERRS (1) + ) ep1_i ( + .rfnoc_chdr_clk (rfnoc_chdr_clk ), + .rfnoc_chdr_rst (rfnoc_chdr_rst ), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk ), + .rfnoc_ctrl_rst (rfnoc_ctrl_rst ), + .device_id (device_id ), + .s_axis_chdr_tdata (xb_to_ep1_tdata ), + .s_axis_chdr_tlast (xb_to_ep1_tlast ), + .s_axis_chdr_tvalid (xb_to_ep1_tvalid ), + .s_axis_chdr_tready (xb_to_ep1_tready ), + .m_axis_chdr_tdata (ep1_to_xb_tdata ), + .m_axis_chdr_tlast (ep1_to_xb_tlast ), + .m_axis_chdr_tvalid (ep1_to_xb_tvalid ), + .m_axis_chdr_tready (ep1_to_xb_tready ), + .s_axis_data_tdata ({s_ep1_in0_tdata}), + .s_axis_data_tlast ({s_ep1_in0_tlast}), + .s_axis_data_tvalid ({s_ep1_in0_tvalid}), + .s_axis_data_tready ({s_ep1_in0_tready}), + .m_axis_data_tdata ({m_ep1_out0_tdata}), + .m_axis_data_tlast ({m_ep1_out0_tlast}), + .m_axis_data_tvalid ({m_ep1_out0_tvalid}), + .m_axis_data_tready ({m_ep1_out0_tready}), + .s_axis_ctrl_tdata (s_ep1_ctrl_tdata ), + .s_axis_ctrl_tlast (s_ep1_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_ep1_ctrl_tvalid), + .s_axis_ctrl_tready (s_ep1_ctrl_tready), + .m_axis_ctrl_tdata (m_ep1_ctrl_tdata ), + .m_axis_ctrl_tlast (m_ep1_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_ep1_ctrl_tvalid), + .m_axis_ctrl_tready (m_ep1_ctrl_tready), + .strm_seq_err_stb ( ), + .strm_data_err_stb ( ), + .strm_route_err_stb ( ), + .signal_data_err (1'b0 ) + ); + + + + // ---------------------------------------------------- + // Control Crossbar + // ---------------------------------------------------- + + wire [31:0] m_core_ctrl_tdata , s_core_ctrl_tdata ; + wire m_core_ctrl_tlast , s_core_ctrl_tlast ; + wire m_core_ctrl_tvalid, s_core_ctrl_tvalid; + wire m_core_ctrl_tready, s_core_ctrl_tready; + wire [31:0] m_duc0_ctrl_tdata , s_duc0_ctrl_tdata ; + wire m_duc0_ctrl_tlast , s_duc0_ctrl_tlast ; + wire m_duc0_ctrl_tvalid, s_duc0_ctrl_tvalid; + wire m_duc0_ctrl_tready, s_duc0_ctrl_tready; + wire [31:0] m_ddc0_ctrl_tdata , s_ddc0_ctrl_tdata ; + wire m_ddc0_ctrl_tlast , s_ddc0_ctrl_tlast ; + wire m_ddc0_ctrl_tvalid, s_ddc0_ctrl_tvalid; + wire m_ddc0_ctrl_tready, s_ddc0_ctrl_tready; + wire [31:0] m_radio0_ctrl_tdata , s_radio0_ctrl_tdata ; + wire m_radio0_ctrl_tlast , s_radio0_ctrl_tlast ; + wire m_radio0_ctrl_tvalid, s_radio0_ctrl_tvalid; + wire m_radio0_ctrl_tready, s_radio0_ctrl_tready; + + axis_ctrl_crossbar_nxn #( + .WIDTH (32), + .NPORTS (5), + .TOPOLOGY ("TORUS"), + .INGRESS_BUFF_SIZE(5), + .ROUTER_BUFF_SIZE (5), + .ROUTING_ALLOC ("WORMHOLE"), + .SWITCH_ALLOC ("PRIO") + ) ctrl_xb_i ( + .clk (rfnoc_ctrl_clk), + .reset (rfnoc_ctrl_rst), + .s_axis_tdata ({m_radio0_ctrl_tdata , m_ddc0_ctrl_tdata , m_duc0_ctrl_tdata , m_ep0_ctrl_tdata , m_core_ctrl_tdata }), + .s_axis_tvalid ({m_radio0_ctrl_tvalid, m_ddc0_ctrl_tvalid, m_duc0_ctrl_tvalid, m_ep0_ctrl_tvalid, m_core_ctrl_tvalid}), + .s_axis_tlast ({m_radio0_ctrl_tlast , m_ddc0_ctrl_tlast , m_duc0_ctrl_tlast , m_ep0_ctrl_tlast , m_core_ctrl_tlast }), + .s_axis_tready ({m_radio0_ctrl_tready, m_ddc0_ctrl_tready, m_duc0_ctrl_tready, m_ep0_ctrl_tready, m_core_ctrl_tready}), + .m_axis_tdata ({s_radio0_ctrl_tdata , s_ddc0_ctrl_tdata , s_duc0_ctrl_tdata , s_ep0_ctrl_tdata , s_core_ctrl_tdata }), + .m_axis_tvalid ({s_radio0_ctrl_tvalid, s_ddc0_ctrl_tvalid, s_duc0_ctrl_tvalid, s_ep0_ctrl_tvalid, s_core_ctrl_tvalid}), + .m_axis_tlast ({s_radio0_ctrl_tlast , s_ddc0_ctrl_tlast , s_duc0_ctrl_tlast , s_ep0_ctrl_tlast , s_core_ctrl_tlast }), + .m_axis_tready ({s_radio0_ctrl_tready, s_ddc0_ctrl_tready, s_duc0_ctrl_tready, s_ep0_ctrl_tready, s_core_ctrl_tready}), + .deadlock_detected() + ); + + // ---------------------------------------------------- + // RFNoC Core Kernel + // ---------------------------------------------------- + wire [(512*3)-1:0] rfnoc_core_config, rfnoc_core_status; + + rfnoc_core_kernel #( + .PROTOVER (PROTOVER), + .DEVICE_TYPE (16'h1300), + .DEVICE_FAMILY ("7SERIES"), + .SAFE_START_CLKS (0), + .NUM_BLOCKS (3), + .NUM_STREAM_ENDPOINTS(2), + .NUM_ENDPOINTS_CTRL (1), + .NUM_TRANSPORTS (3), + .NUM_EDGES (8), + .CHDR_XBAR_PRESENT (1), + .EDGE_TBL_FILE (EDGE_TBL_FILE) + ) core_kernel_i ( + .chdr_aclk (chdr_aclk), + .chdr_aclk_locked (1'b1), + .ctrl_aclk (ctrl_aclk), + .ctrl_aclk_locked (1'b1), + .core_arst (core_arst), + .core_chdr_clk (rfnoc_chdr_clk), + .core_chdr_rst (rfnoc_chdr_rst), + .core_ctrl_clk (rfnoc_ctrl_clk), + .core_ctrl_rst (rfnoc_ctrl_rst), + .s_axis_ctrl_tdata (s_core_ctrl_tdata ), + .s_axis_ctrl_tlast (s_core_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_core_ctrl_tvalid), + .s_axis_ctrl_tready (s_core_ctrl_tready), + .m_axis_ctrl_tdata (m_core_ctrl_tdata ), + .m_axis_ctrl_tlast (m_core_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_core_ctrl_tvalid), + .m_axis_ctrl_tready (m_core_ctrl_tready), + .device_id (device_id), + .rfnoc_core_config (rfnoc_core_config), + .rfnoc_core_status (rfnoc_core_status) + ); + + // ---------------------------------------------------- + // Blocks + // ---------------------------------------------------- + + // ---------------------------------------------------- + // duc0 + // ---------------------------------------------------- + wire duc0_ce_clk; + wire [CHDR_W-1:0] s_duc0_in_1_tdata , s_duc0_in_0_tdata ; + wire s_duc0_in_1_tlast , s_duc0_in_0_tlast ; + wire s_duc0_in_1_tvalid, s_duc0_in_0_tvalid; + wire s_duc0_in_1_tready, s_duc0_in_0_tready; + wire [CHDR_W-1:0] m_duc0_out_1_tdata , m_duc0_out_0_tdata ; + wire m_duc0_out_1_tlast , m_duc0_out_0_tlast ; + wire m_duc0_out_1_tvalid, m_duc0_out_0_tvalid; + wire m_duc0_out_1_tready, m_duc0_out_0_tready; + + + rfnoc_block_duc #( + .THIS_PORTID(2), + .CHDR_W(CHDR_W), + .NUM_PORTS(2), + .NUM_HB(3), + .CIC_MAX_INTERP(255), + .MTU(MTU) + ) b_duc0_0 ( + .rfnoc_chdr_clk (rfnoc_chdr_clk), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk), + .ce_clk(duc0_ce_clk), + .rfnoc_core_config (rfnoc_core_config[512*1-1:512*0]), + .rfnoc_core_status (rfnoc_core_status[512*1-1:512*0]), + + + .s_rfnoc_chdr_tdata ({s_duc0_in_1_tdata , s_duc0_in_0_tdata }), + .s_rfnoc_chdr_tlast ({s_duc0_in_1_tlast , s_duc0_in_0_tlast }), + .s_rfnoc_chdr_tvalid({s_duc0_in_1_tvalid, s_duc0_in_0_tvalid}), + .s_rfnoc_chdr_tready({s_duc0_in_1_tready, s_duc0_in_0_tready}), + .m_rfnoc_chdr_tdata ({m_duc0_out_1_tdata , m_duc0_out_0_tdata }), + .m_rfnoc_chdr_tlast ({m_duc0_out_1_tlast , m_duc0_out_0_tlast }), + .m_rfnoc_chdr_tvalid({m_duc0_out_1_tvalid, m_duc0_out_0_tvalid}), + .m_rfnoc_chdr_tready({m_duc0_out_1_tready, m_duc0_out_0_tready}), + .s_rfnoc_ctrl_tdata (s_duc0_ctrl_tdata ), + .s_rfnoc_ctrl_tlast (s_duc0_ctrl_tlast ), + .s_rfnoc_ctrl_tvalid(s_duc0_ctrl_tvalid), + .s_rfnoc_ctrl_tready(s_duc0_ctrl_tready), + .m_rfnoc_ctrl_tdata (m_duc0_ctrl_tdata ), + .m_rfnoc_ctrl_tlast (m_duc0_ctrl_tlast ), + .m_rfnoc_ctrl_tvalid(m_duc0_ctrl_tvalid), + .m_rfnoc_ctrl_tready(m_duc0_ctrl_tready) + ); + + + // ---------------------------------------------------- + // ddc0 + // ---------------------------------------------------- + wire ddc0_ce_clk; + wire [CHDR_W-1:0] s_ddc0_in_1_tdata , s_ddc0_in_0_tdata ; + wire s_ddc0_in_1_tlast , s_ddc0_in_0_tlast ; + wire s_ddc0_in_1_tvalid, s_ddc0_in_0_tvalid; + wire s_ddc0_in_1_tready, s_ddc0_in_0_tready; + wire [CHDR_W-1:0] m_ddc0_out_1_tdata , m_ddc0_out_0_tdata ; + wire m_ddc0_out_1_tlast , m_ddc0_out_0_tlast ; + wire m_ddc0_out_1_tvalid, m_ddc0_out_0_tvalid; + wire m_ddc0_out_1_tready, m_ddc0_out_0_tready; + + + rfnoc_block_ddc #( + .THIS_PORTID(3), + .CHDR_W(CHDR_W), + .NUM_PORTS(2), + .NUM_HB(3), + .CIC_MAX_DECIM(255), + .MTU(MTU) + ) b_ddc0_1 ( + .rfnoc_chdr_clk (rfnoc_chdr_clk), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk), + .ce_clk(ddc0_ce_clk), + .rfnoc_core_config (rfnoc_core_config[512*2-1:512*1]), + .rfnoc_core_status (rfnoc_core_status[512*2-1:512*1]), + + + .s_rfnoc_chdr_tdata ({s_ddc0_in_1_tdata , s_ddc0_in_0_tdata }), + .s_rfnoc_chdr_tlast ({s_ddc0_in_1_tlast , s_ddc0_in_0_tlast }), + .s_rfnoc_chdr_tvalid({s_ddc0_in_1_tvalid, s_ddc0_in_0_tvalid}), + .s_rfnoc_chdr_tready({s_ddc0_in_1_tready, s_ddc0_in_0_tready}), + .m_rfnoc_chdr_tdata ({m_ddc0_out_1_tdata , m_ddc0_out_0_tdata }), + .m_rfnoc_chdr_tlast ({m_ddc0_out_1_tlast , m_ddc0_out_0_tlast }), + .m_rfnoc_chdr_tvalid({m_ddc0_out_1_tvalid, m_ddc0_out_0_tvalid}), + .m_rfnoc_chdr_tready({m_ddc0_out_1_tready, m_ddc0_out_0_tready}), + .s_rfnoc_ctrl_tdata (s_ddc0_ctrl_tdata ), + .s_rfnoc_ctrl_tlast (s_ddc0_ctrl_tlast ), + .s_rfnoc_ctrl_tvalid(s_ddc0_ctrl_tvalid), + .s_rfnoc_ctrl_tready(s_ddc0_ctrl_tready), + .m_rfnoc_ctrl_tdata (m_ddc0_ctrl_tdata ), + .m_rfnoc_ctrl_tlast (m_ddc0_ctrl_tlast ), + .m_rfnoc_ctrl_tvalid(m_ddc0_ctrl_tvalid), + .m_rfnoc_ctrl_tready(m_ddc0_ctrl_tready) + ); + + + // ---------------------------------------------------- + // radio0 + // ---------------------------------------------------- + wire radio0_radio_clk; + wire [CHDR_W-1:0] s_radio0_in_1_tdata , s_radio0_in_0_tdata ; + wire s_radio0_in_1_tlast , s_radio0_in_0_tlast ; + wire s_radio0_in_1_tvalid, s_radio0_in_0_tvalid; + wire s_radio0_in_1_tready, s_radio0_in_0_tready; + wire [CHDR_W-1:0] m_radio0_out_1_tdata , m_radio0_out_0_tdata ; + wire m_radio0_out_1_tlast , m_radio0_out_0_tlast ; + wire m_radio0_out_1_tvalid, m_radio0_out_0_tvalid; + wire m_radio0_out_1_tready, m_radio0_out_0_tready; + + // ctrl_port + wire [ 1-1:0] radio0_m_ctrlport_req_wr; + wire [ 1-1:0] radio0_m_ctrlport_req_rd; + wire [ 20-1:0] radio0_m_ctrlport_req_addr; + wire [ 32-1:0] radio0_m_ctrlport_req_data; + wire [ 4-1:0] radio0_m_ctrlport_req_byte_en; + wire [ 1-1:0] radio0_m_ctrlport_req_has_time; + wire [ 64-1:0] radio0_m_ctrlport_req_time; + wire [ 1-1:0] radio0_m_ctrlport_resp_ack; + wire [ 2-1:0] radio0_m_ctrlport_resp_status; + wire [ 32-1:0] radio0_m_ctrlport_resp_data; + // time_keeper + wire [ 64-1:0] radio0_radio_time; + // x300_radio + wire [ 64-1:0] radio0_radio_rx_data; + wire [ 2-1:0] radio0_radio_rx_stb; + wire [ 2-1:0] radio0_radio_rx_running; + wire [ 64-1:0] radio0_radio_tx_data; + wire [ 2-1:0] radio0_radio_tx_stb; + wire [ 2-1:0] radio0_radio_tx_running; + + rfnoc_block_radio #( + .THIS_PORTID(4), + .CHDR_W(CHDR_W), + .NUM_PORTS(2), + .MTU(MTU) + ) b_radio0_2 ( + .rfnoc_chdr_clk (rfnoc_chdr_clk), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk), + .radio_clk(radio0_radio_clk), + .rfnoc_core_config (rfnoc_core_config[512*3-1:512*2]), + .rfnoc_core_status (rfnoc_core_status[512*3-1:512*2]), + + .m_ctrlport_req_wr(radio0_m_ctrlport_req_wr), + .m_ctrlport_req_rd(radio0_m_ctrlport_req_rd), + .m_ctrlport_req_addr(radio0_m_ctrlport_req_addr), + .m_ctrlport_req_data(radio0_m_ctrlport_req_data), + .m_ctrlport_req_byte_en(radio0_m_ctrlport_req_byte_en), + .m_ctrlport_req_has_time(radio0_m_ctrlport_req_has_time), + .m_ctrlport_req_time(radio0_m_ctrlport_req_time), + .m_ctrlport_resp_ack(radio0_m_ctrlport_resp_ack), + .m_ctrlport_resp_status(radio0_m_ctrlport_resp_status), + .m_ctrlport_resp_data(radio0_m_ctrlport_resp_data), + .radio_time(radio0_radio_time), + .radio_rx_data(radio0_radio_rx_data), + .radio_rx_stb(radio0_radio_rx_stb), + .radio_rx_running(radio0_radio_rx_running), + .radio_tx_data(radio0_radio_tx_data), + .radio_tx_stb(radio0_radio_tx_stb), + .radio_tx_running(radio0_radio_tx_running), + + .s_rfnoc_chdr_tdata ({s_radio0_in_1_tdata , s_radio0_in_0_tdata }), + .s_rfnoc_chdr_tlast ({s_radio0_in_1_tlast , s_radio0_in_0_tlast }), + .s_rfnoc_chdr_tvalid({s_radio0_in_1_tvalid, s_radio0_in_0_tvalid}), + .s_rfnoc_chdr_tready({s_radio0_in_1_tready, s_radio0_in_0_tready}), + .m_rfnoc_chdr_tdata ({m_radio0_out_1_tdata , m_radio0_out_0_tdata }), + .m_rfnoc_chdr_tlast ({m_radio0_out_1_tlast , m_radio0_out_0_tlast }), + .m_rfnoc_chdr_tvalid({m_radio0_out_1_tvalid, m_radio0_out_0_tvalid}), + .m_rfnoc_chdr_tready({m_radio0_out_1_tready, m_radio0_out_0_tready}), + .s_rfnoc_ctrl_tdata (s_radio0_ctrl_tdata ), + .s_rfnoc_ctrl_tlast (s_radio0_ctrl_tlast ), + .s_rfnoc_ctrl_tvalid(s_radio0_ctrl_tvalid), + .s_rfnoc_ctrl_tready(s_radio0_ctrl_tready), + .m_rfnoc_ctrl_tdata (m_radio0_ctrl_tdata ), + .m_rfnoc_ctrl_tlast (m_radio0_ctrl_tlast ), + .m_rfnoc_ctrl_tvalid(m_radio0_ctrl_tvalid), + .m_rfnoc_ctrl_tready(m_radio0_ctrl_tready) + ); + + + // ---------------------------------------------------- + // Static Router + // ---------------------------------------------------- + assign s_duc0_in_0_tdata = m_ep0_out0_tdata ; + assign s_duc0_in_0_tlast = m_ep0_out0_tlast ; + assign s_duc0_in_0_tvalid = m_ep0_out0_tvalid; + assign m_ep0_out0_tready = s_duc0_in_0_tready; + + assign s_radio0_in_0_tdata = m_duc0_out_0_tdata ; + assign s_radio0_in_0_tlast = m_duc0_out_0_tlast ; + assign s_radio0_in_0_tvalid = m_duc0_out_0_tvalid; + assign m_duc0_out_0_tready = s_radio0_in_0_tready; + + assign s_ddc0_in_0_tdata = m_radio0_out_0_tdata ; + assign s_ddc0_in_0_tlast = m_radio0_out_0_tlast ; + assign s_ddc0_in_0_tvalid = m_radio0_out_0_tvalid; + assign m_radio0_out_0_tready = s_ddc0_in_0_tready; + + assign s_ep0_in0_tdata = m_ddc0_out_0_tdata ; + assign s_ep0_in0_tlast = m_ddc0_out_0_tlast ; + assign s_ep0_in0_tvalid = m_ddc0_out_0_tvalid; + assign m_ddc0_out_0_tready = s_ep0_in0_tready; + + assign s_duc0_in_1_tdata = m_ep1_out0_tdata ; + assign s_duc0_in_1_tlast = m_ep1_out0_tlast ; + assign s_duc0_in_1_tvalid = m_ep1_out0_tvalid; + assign m_ep1_out0_tready = s_duc0_in_1_tready; + + assign s_radio0_in_1_tdata = m_duc0_out_1_tdata ; + assign s_radio0_in_1_tlast = m_duc0_out_1_tlast ; + assign s_radio0_in_1_tvalid = m_duc0_out_1_tvalid; + assign m_duc0_out_1_tready = s_radio0_in_1_tready; + + assign s_ddc0_in_1_tdata = m_radio0_out_1_tdata ; + assign s_ddc0_in_1_tlast = m_radio0_out_1_tlast ; + assign s_ddc0_in_1_tvalid = m_radio0_out_1_tvalid; + assign m_radio0_out_1_tready = s_ddc0_in_1_tready; + + assign s_ep1_in0_tdata = m_ddc0_out_1_tdata ; + assign s_ep1_in0_tlast = m_ddc0_out_1_tlast ; + assign s_ep1_in0_tvalid = m_ddc0_out_1_tvalid; + assign m_ddc0_out_1_tready = s_ep1_in0_tready; + + + // ---------------------------------------------------- + // Unused Ports + // ---------------------------------------------------- + + // ---------------------------------------------------- + // Clock Domains + // ---------------------------------------------------- + assign radio0_radio_clk = radio_clk; + assign ddc0_ce_clk = rfnoc_chdr_clk; + assign duc0_ce_clk = rfnoc_chdr_clk; + + + // ---------------------------------------------------- + // IO Port Connection + // ---------------------------------------------------- + // Master/Slave Connections: + assign m_ctrlport_radio0_req_wr = radio0_m_ctrlport_req_wr; + assign m_ctrlport_radio0_req_rd = radio0_m_ctrlport_req_rd; + assign m_ctrlport_radio0_req_addr = radio0_m_ctrlport_req_addr; + assign m_ctrlport_radio0_req_data = radio0_m_ctrlport_req_data; + assign m_ctrlport_radio0_req_byte_en = radio0_m_ctrlport_req_byte_en; + assign m_ctrlport_radio0_req_has_time = radio0_m_ctrlport_req_has_time; + assign m_ctrlport_radio0_req_time = radio0_m_ctrlport_req_time; + assign radio0_m_ctrlport_resp_ack = m_ctrlport_radio0_resp_ack; + assign radio0_m_ctrlport_resp_status = m_ctrlport_radio0_resp_status; + assign radio0_m_ctrlport_resp_data = m_ctrlport_radio0_resp_data; + + assign radio0_radio_rx_data = radio_rx_data_radio0; + assign radio0_radio_rx_stb = radio_rx_stb_radio0; + assign radio_rx_running_radio0 = radio0_radio_rx_running; + assign radio_tx_data_radio0 = radio0_radio_tx_data; + assign radio0_radio_tx_stb = radio_tx_stb_radio0; + assign radio_tx_running_radio0 = radio0_radio_tx_running; + + // Broadcaster/Listener Connections: + assign radio0_radio_time = radio_time; + +endmodule diff --git a/fpga/usrp3/top/n3xx/n300_rfnoc_image_core.yml b/fpga/usrp3/top/n3xx/n300_rfnoc_image_core.yml new file mode 100644 index 000000000..54df51725 --- /dev/null +++ b/fpga/usrp3/top/n3xx/n300_rfnoc_image_core.yml @@ -0,0 +1,78 @@ +# General parameters +# ----------------------------------------- +schema: rfnoc_imagebuilder_args # Identifier for the schema used to validate this file +copyright: 'Ettus Research, A National Instruments Brand' # Copyright information used in file headers +license: 'SPDX-License-Identifier: LGPL-3.0-or-later' # License information used in file headers +version: 1.0 # File version +rfnoc_version: 1.0 # RFNoC protocol version +chdr_width: 64 # Bit width of the CHDR bus for this image +device: 'n300' +default_target: 'N300_HG' + +# A list of all stream endpoints in design +# ---------------------------------------- +stream_endpoints: + ep0: # Stream endpoint name + ctrl: True # Endpoint passes control traffic + data: True # Endpoint passes data traffic + buff_size: 32768 # Ingress buffer size for data + ep1: # Stream endpoint name + ctrl: False # Endpoint passes control traffic + data: True # Endpoint passes data traffic + buff_size: 32768 # Ingress buffer size for data + +# A list of all NoC blocks in design +# ---------------------------------- +noc_blocks: + duc0: # NoC block name + block_desc: 'duc.yml' # Block device descriptor file + parameters: # Block HDL parameters + NUM_PORTS: 2 + ddc0: + block_desc: 'ddc.yml' + parameters: + NUM_PORTS: 2 + radio0: + block_desc: 'radio_2x64.yml' + #fifo0: + #block_desc: 'axi_ram_fifo_4x64.yml' + #parameters: + ## These parameters match the memory interface on the N3XX + #NUM_PORTS: 4 + #MEM_DATA_W: 64 + #MEM_ADDR_W: 31 + #FIFO_ADDR_BASE: "{30'h06000000, 30'h04000000, 30'h02000000, 30'h00000000}" + #FIFO_ADDR_MASK: "{30'h01FFFFFF, 30'h01FFFFFF, 30'h01FFFFFF, 30'h01FFFFFF}" + #MEM_CLK_RATE: "303819444" # 166.666666 MHz * 21.875 / 4 / 3 = 303.819444 MHz + +# A list of all static connections in design +# ------------------------------------------ +# Format: A list of connection maps (list of key-value pairs) with the following keys +# - srcblk = Source block to connect +# - srcport = Port on the source block to connect +# - dstblk = Destination block to connect +# - dstport = Port on the destination block to connect +connections: + - { srcblk: ep0, srcport: out0, dstblk: duc0, dstport: in_0 } + - { srcblk: duc0, srcport: out_0, dstblk: radio0, dstport: in_0 } + - { srcblk: radio0, srcport: out_0, dstblk: ddc0, dstport: in_0 } + - { srcblk: ddc0, srcport: out_0, dstblk: ep0, dstport: in0 } + - { srcblk: ep1, srcport: out0, dstblk: duc0, dstport: in_1 } + - { srcblk: duc0, srcport: out_1, dstblk: radio0, dstport: in_1 } + - { srcblk: radio0, srcport: out_1, dstblk: ddc0, dstport: in_1 } + - { srcblk: ddc0, srcport: out_1, dstblk: ep1, dstport: in0 } + - { srcblk: radio0, srcport: ctrl_port, dstblk: _device_, dstport: ctrlport_radio0 } + - { srcblk: _device_, srcport: x300_radio0, dstblk: radio0, dstport: x300_radio } + - { srcblk: _device_, srcport: time_keeper, dstblk: radio0, dstport: time_keeper } + +# A list of all clock domain connections in design +# ------------------------------------------------ +# Format: A list of connection maps (list of key-value pairs) with the following keys +# - srcblk = Source block to connect (Always "_device"_) +# - srcport = Clock domain on the source block to connect +# - dstblk = Destination block to connect +# - dstport = Clock domain on the destination block to connect +clk_domains: + - { srcblk: _device_, srcport: radio, dstblk: radio0, dstport: radio } + - { srcblk: _device_, srcport: rfnoc_chdr, dstblk: ddc0, dstport: ce } + - { srcblk: _device_, srcport: rfnoc_chdr, dstblk: duc0, dstport: ce } diff --git a/fpga/usrp3/top/n3xx/n300_static_router.hex b/fpga/usrp3/top/n3xx/n300_static_router.hex new file mode 100644 index 000000000..6e8701d3a --- /dev/null +++ b/fpga/usrp3/top/n3xx/n300_static_router.hex @@ -0,0 +1,9 @@ +00000008 +004000c0 +00c00140 +01400100 +01000040 +008000c1 +00c10141 +01410101 +01010080 diff --git a/fpga/usrp3/top/n3xx/n310_10ge.xdc b/fpga/usrp3/top/n3xx/n310_10ge.xdc new file mode 100644 index 000000000..a55fc1298 --- /dev/null +++ b/fpga/usrp3/top/n3xx/n310_10ge.xdc @@ -0,0 +1,22 @@ +# +# Copyright 2017 Ettus Research, A National Instruments Company +# SPDX-License-Identifier: LGPL-3.0 +# + + +# No need for any asynchronous clock groups between bus_clk and the recovered clocks, +# because bus_clk already has a blanket asynchronous constraint from the top level XDC. + +# Remove analysis between the xge_clk and the recovered clocks from the MGT PHYs, +# since they cannot be related to one another with any known phase or period. +set_clock_groups -asynchronous -group [get_clocks -filter {NAME =~ sfp_wrapper_*/lanes[*].lane_i/mgt_io_i/ten_gige_phy_i/ten_gig_eth_pcs_pma_i/*/gtxe2_i/RXOUTCLK}] -group [get_clocks xge_clk] +set_clock_groups -asynchronous -group [get_clocks -filter {NAME =~ sfp_wrapper_*/lanes[*].lane_i/mgt_io_i/ten_gige_phy_i/ten_gig_eth_pcs_pma_i/*/gtxe2_i/TXOUTCLK}] -group [get_clocks xge_clk] + +set_clock_groups -asynchronous -group [get_clocks -filter {NAME =~ qsfp_wrapper_i/lanes[*].lane_i/mgt_io_i/ten_gige_phy_i/ten_gig_eth_pcs_pma_i/*/gtxe2_i/RXOUTCLK}] -group [get_clocks xge_clk] +set_clock_groups -asynchronous -group [get_clocks -filter {NAME =~ qsfp_wrapper_i/lanes[*].lane_i/mgt_io_i/ten_gige_phy_i/ten_gig_eth_pcs_pma_i/*/gtxe2_i/TXOUTCLK}] -group [get_clocks xge_clk] + +set_false_path -to [get_pins -of_objects [get_cells -hier -filter {NAME =~ sfp_wrapper_*/lanes[*].lane_i/mgt_io_i/ten_gige_phy_i/*sync1_r_reg*}] -filter {NAME =~ *PRE}] +set_false_path -to [get_pins -of_objects [get_cells -hier -filter {NAME =~ sfp_wrapper_*/lanes[*].lane_i/mgt_io_i/ten_gige_phy_i/*sync1_r_reg*}] -filter {NAME =~ *CLR}] + +set_false_path -to [get_pins -of_objects [get_cells -hier -filter {NAME =~ qsfp_wrapper_i/lanes[*].lane_i/mgt_io_i/ten_gige_phy_i/*sync1_r_reg*}] -filter {NAME =~ *PRE}] +set_false_path -to [get_pins -of_objects [get_cells -hier -filter {NAME =~ qsfp_wrapper_i/lanes[*].lane_i/mgt_io_i/ten_gige_phy_i/*sync1_r_reg*}] -filter {NAME =~ *CLR}] diff --git a/fpga/usrp3/top/n3xx/n310_1ge.xdc b/fpga/usrp3/top/n3xx/n310_1ge.xdc new file mode 100644 index 000000000..1f38f56b6 --- /dev/null +++ b/fpga/usrp3/top/n3xx/n310_1ge.xdc @@ -0,0 +1,15 @@ +# +# Copyright 2017 Ettus Research, A National Instruments Company +# SPDX-License-Identifier: LGPL-3.0 +# + + +# No need for any asynchronous clock groups between clk100 and the recovered clocks, +# because clk100 already has a blanket asynchronous constraint from the top level XDC. +set_clock_groups -asynchronous -group [get_clocks clk100] -group [get_clocks net_clk] +set_clock_groups -asynchronous -group [get_clocks clk100] -group [get_clocks -of_objects [get_pins sfp_wrapper_*/lanes[*].lane_i/mgt_io_i/one_gige_phy_i/*/core_clocking_i/mmcm_*/CLKOUT0]] +set_clock_groups -asynchronous -group [get_clocks clk100] -group [get_clocks -of_objects [get_pins sfp_wrapper_*/lanes[*].lane_i/mgt_io_i/one_gige_phy_i/*/core_clocking_i/mmcm_*/CLKOUT1]] + +set_false_path -to [get_pins -hier -filter {NAME =~ sfp_wrapper_*/lanes[*].lane_i/mgt_io_i/one_gige_phy_i/*reset_sync*/PRE}] +set_false_path -to [get_pins -hier -filter {NAME =~ sfp_wrapper_*/lanes[*].lane_i/mgt_io_i/one_gige_phy_i/*/pma_reset_pipe_reg*/PRE}] +set_false_path -to [get_pins -hier -filter {NAME =~ sfp_wrapper_*/lanes[*].lane_i/mgt_io_i/one_gige_phy_i/*/pma_reset_pipe*[0]/D}] diff --git a/fpga/usrp3/top/n3xx/n310_aurora.xdc b/fpga/usrp3/top/n3xx/n310_aurora.xdc new file mode 100644 index 000000000..1a76687e6 --- /dev/null +++ b/fpga/usrp3/top/n3xx/n310_aurora.xdc @@ -0,0 +1,15 @@ +# +# Copyright 2017 Ettus Research, A National Instruments Company +# SPDX-License-Identifier: LGPL-3.0 +# + + +create_generated_clock -name aurora_init_clk [get_pins -hierarchical -filter {NAME =~ "*aurora_clk_gen_i/dclk_divide_by_2_buf/O"}] + +set_clock_groups -asynchronous -group [get_clocks bus_clk] -group [get_clocks aurora_init_clk] + +set_false_path -to [get_pins -hierarchical -filter {NAME =~ "sfp_wrapper_*/lanes[*].lane_i/mgt_io_i/aurora_phy*/aurora_64b66b_pcs_pma*/*/gt_reset_sync/stg1_*_cdc_to_reg/D"}] + +set_false_path -to [get_pins -hierarchical -filter {NAME =~ "*npio*/aurora_phy*/aurora_64b66b_pcs_pma*/*/gt_reset_sync/stg1_*_cdc_to_reg/D"}] + +set_false_path -to [get_pins -hierarchical -filter {NAME =~ "qsfp_wrapper_i/lanes[*].lane_i/mgt_io_i/aurora_phy*/aurora_64b66b_pcs_pma*/*/gt_reset_sync/stg1_*_cdc_to_reg/D"}] diff --git a/fpga/usrp3/top/n3xx/n310_bist_image_core.v b/fpga/usrp3/top/n3xx/n310_bist_image_core.v new file mode 100644 index 000000000..64989fb3f --- /dev/null +++ b/fpga/usrp3/top/n3xx/n310_bist_image_core.v @@ -0,0 +1,1169 @@ +// +// Copyright 2019 Ettus Research, A National Instruments Brand +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// + +// Module: rfnoc_image_core (for n310) +// This file was autogenerated by UHD's image builder tool (rfnoc_image_builder) +// Re-running that tool will overwrite this file! +// File generated on: 2019-11-08T15:58:14.371732 +// Source: ./n3xx/n310_bist_image_core.yml +// Source SHA256: 1a9ffb97d9678e700ad2aa172d206b6be9af30c7c0b014c21007d1de028a59d4 + +module rfnoc_image_core #( + parameter [15:0] PROTOVER = {8'd1, 8'd0} +)( + // Clocks + input wire chdr_aclk, + input wire ctrl_aclk, + input wire core_arst, + input wire radio_clk, + input wire dram_clk, + // Basic + input wire [15:0] device_id, +//// IO ports ////////////////////////////////// +// ctrlport_radio0 + output wire [ 1-1:0] m_ctrlport_radio0_req_wr, + output wire [ 1-1:0] m_ctrlport_radio0_req_rd, + output wire [ 20-1:0] m_ctrlport_radio0_req_addr, + output wire [ 32-1:0] m_ctrlport_radio0_req_data, + output wire [ 4-1:0] m_ctrlport_radio0_req_byte_en, + output wire [ 1-1:0] m_ctrlport_radio0_req_has_time, + output wire [ 64-1:0] m_ctrlport_radio0_req_time, + input wire [ 1-1:0] m_ctrlport_radio0_resp_ack, + input wire [ 2-1:0] m_ctrlport_radio0_resp_status, + input wire [ 32-1:0] m_ctrlport_radio0_resp_data, +// ctrlport_radio1 + output wire [ 1-1:0] m_ctrlport_radio1_req_wr, + output wire [ 1-1:0] m_ctrlport_radio1_req_rd, + output wire [ 20-1:0] m_ctrlport_radio1_req_addr, + output wire [ 32-1:0] m_ctrlport_radio1_req_data, + output wire [ 4-1:0] m_ctrlport_radio1_req_byte_en, + output wire [ 1-1:0] m_ctrlport_radio1_req_has_time, + output wire [ 64-1:0] m_ctrlport_radio1_req_time, + input wire [ 1-1:0] m_ctrlport_radio1_resp_ack, + input wire [ 2-1:0] m_ctrlport_radio1_resp_status, + input wire [ 32-1:0] m_ctrlport_radio1_resp_data, +// time_keeper + input wire [ 64-1:0] radio_time, +// x300_radio0 + input wire [ 64-1:0] radio_rx_data_radio0, + input wire [ 2-1:0] radio_rx_stb_radio0, + output wire [ 2-1:0] radio_rx_running_radio0, + output wire [ 64-1:0] radio_tx_data_radio0, + input wire [ 2-1:0] radio_tx_stb_radio0, + output wire [ 2-1:0] radio_tx_running_radio0, +// x300_radio1 + input wire [ 64-1:0] radio_rx_data_radio1, + input wire [ 2-1:0] radio_rx_stb_radio1, + output wire [ 2-1:0] radio_rx_running_radio1, + output wire [ 64-1:0] radio_tx_data_radio1, + input wire [ 2-1:0] radio_tx_stb_radio1, + output wire [ 2-1:0] radio_tx_running_radio1, +// dram + input wire [ 1-1:0] axi_rst, + output wire [ 4-1:0] m_axi_awid, + output wire [128-1:0] m_axi_awaddr, + output wire [ 32-1:0] m_axi_awlen, + output wire [ 12-1:0] m_axi_awsize, + output wire [ 8-1:0] m_axi_awburst, + output wire [ 4-1:0] m_axi_awlock, + output wire [ 16-1:0] m_axi_awcache, + output wire [ 12-1:0] m_axi_awprot, + output wire [ 16-1:0] m_axi_awqos, + output wire [ 16-1:0] m_axi_awregion, + output wire [ 4-1:0] m_axi_awuser, + output wire [ 4-1:0] m_axi_awvalid, + input wire [ 4-1:0] m_axi_awready, + output wire [256-1:0] m_axi_wdata, + output wire [ 32-1:0] m_axi_wstrb, + output wire [ 4-1:0] m_axi_wlast, + output wire [ 4-1:0] m_axi_wuser, + output wire [ 4-1:0] m_axi_wvalid, + input wire [ 4-1:0] m_axi_wready, + input wire [ 4-1:0] m_axi_bid, + input wire [ 8-1:0] m_axi_bresp, + input wire [ 4-1:0] m_axi_buser, + input wire [ 4-1:0] m_axi_bvalid, + output wire [ 4-1:0] m_axi_bready, + output wire [ 4-1:0] m_axi_arid, + output wire [128-1:0] m_axi_araddr, + output wire [ 32-1:0] m_axi_arlen, + output wire [ 12-1:0] m_axi_arsize, + output wire [ 8-1:0] m_axi_arburst, + output wire [ 4-1:0] m_axi_arlock, + output wire [ 16-1:0] m_axi_arcache, + output wire [ 12-1:0] m_axi_arprot, + output wire [ 16-1:0] m_axi_arqos, + output wire [ 16-1:0] m_axi_arregion, + output wire [ 4-1:0] m_axi_aruser, + output wire [ 4-1:0] m_axi_arvalid, + input wire [ 4-1:0] m_axi_arready, + input wire [ 4-1:0] m_axi_rid, + input wire [256-1:0] m_axi_rdata, + input wire [ 8-1:0] m_axi_rresp, + input wire [ 4-1:0] m_axi_rlast, + input wire [ 4-1:0] m_axi_ruser, + input wire [ 4-1:0] m_axi_rvalid, + output wire [ 4-1:0] m_axi_rready, + // Transport 0 (eth0 1G) + input wire [64-1:0] s_eth0_tdata, + input wire s_eth0_tlast, + input wire s_eth0_tvalid, + output wire s_eth0_tready, + output wire [64-1:0] m_eth0_tdata, + output wire m_eth0_tlast, + output wire m_eth0_tvalid, + input wire m_eth0_tready, + // Transport 1 (eth1 10G) + input wire [64-1:0] s_eth1_tdata, + input wire s_eth1_tlast, + input wire s_eth1_tvalid, + output wire s_eth1_tready, + output wire [64-1:0] m_eth1_tdata, + output wire m_eth1_tlast, + output wire m_eth1_tvalid, + input wire m_eth1_tready, + // Transport 2 (dma dma) + input wire [64-1:0] s_dma_tdata, + input wire s_dma_tlast, + input wire s_dma_tvalid, + output wire s_dma_tready, + output wire [64-1:0] m_dma_tdata, + output wire m_dma_tlast, + output wire m_dma_tvalid, + input wire m_dma_tready +); + + localparam CHDR_W = 64; + localparam MTU = 10; + localparam EDGE_TBL_FILE = `"`RFNOC_EDGE_TBL_FILE`"; + + wire rfnoc_chdr_clk, rfnoc_chdr_rst; + wire rfnoc_ctrl_clk, rfnoc_ctrl_rst; + + // ---------------------------------------------------- + // CHDR Crossbar + // ---------------------------------------------------- + wire [CHDR_W-1:0] xb_to_ep0_tdata ; + wire xb_to_ep0_tlast ; + wire xb_to_ep0_tvalid; + wire xb_to_ep0_tready; + wire [CHDR_W-1:0] ep0_to_xb_tdata ; + wire ep0_to_xb_tlast ; + wire ep0_to_xb_tvalid; + wire ep0_to_xb_tready; + wire [CHDR_W-1:0] xb_to_ep1_tdata ; + wire xb_to_ep1_tlast ; + wire xb_to_ep1_tvalid; + wire xb_to_ep1_tready; + wire [CHDR_W-1:0] ep1_to_xb_tdata ; + wire ep1_to_xb_tlast ; + wire ep1_to_xb_tvalid; + wire ep1_to_xb_tready; + wire [CHDR_W-1:0] xb_to_ep2_tdata ; + wire xb_to_ep2_tlast ; + wire xb_to_ep2_tvalid; + wire xb_to_ep2_tready; + wire [CHDR_W-1:0] ep2_to_xb_tdata ; + wire ep2_to_xb_tlast ; + wire ep2_to_xb_tvalid; + wire ep2_to_xb_tready; + wire [CHDR_W-1:0] xb_to_ep3_tdata ; + wire xb_to_ep3_tlast ; + wire xb_to_ep3_tvalid; + wire xb_to_ep3_tready; + wire [CHDR_W-1:0] ep3_to_xb_tdata ; + wire ep3_to_xb_tlast ; + wire ep3_to_xb_tvalid; + wire ep3_to_xb_tready; + wire [CHDR_W-1:0] xb_to_ep4_tdata ; + wire xb_to_ep4_tlast ; + wire xb_to_ep4_tvalid; + wire xb_to_ep4_tready; + wire [CHDR_W-1:0] ep4_to_xb_tdata ; + wire ep4_to_xb_tlast ; + wire ep4_to_xb_tvalid; + wire ep4_to_xb_tready; + wire [CHDR_W-1:0] xb_to_ep5_tdata ; + wire xb_to_ep5_tlast ; + wire xb_to_ep5_tvalid; + wire xb_to_ep5_tready; + wire [CHDR_W-1:0] ep5_to_xb_tdata ; + wire ep5_to_xb_tlast ; + wire ep5_to_xb_tvalid; + wire ep5_to_xb_tready; + + chdr_crossbar_nxn #( + .CHDR_W (CHDR_W), + .NPORTS (9), + .DEFAULT_PORT (0), + .MTU (MTU), + .ROUTE_TBL_SIZE (6), + .MUX_ALLOC ("ROUND-ROBIN"), + .OPTIMIZE ("AREA"), + .NPORTS_MGMT (3), + .EXT_RTCFG_PORT (0), + .PROTOVER (PROTOVER) + ) chdr_xb_i ( + .clk (rfnoc_chdr_clk), + .reset (rfnoc_chdr_rst), + .device_id (device_id), + .s_axis_tdata ({ep5_to_xb_tdata, ep4_to_xb_tdata, ep3_to_xb_tdata, ep2_to_xb_tdata, ep1_to_xb_tdata, ep0_to_xb_tdata, s_dma_tdata, s_eth1_tdata, s_eth0_tdata}), + .s_axis_tlast ({ep5_to_xb_tlast, ep4_to_xb_tlast, ep3_to_xb_tlast, ep2_to_xb_tlast, ep1_to_xb_tlast, ep0_to_xb_tlast, s_dma_tlast, s_eth1_tlast, s_eth0_tlast}), + .s_axis_tvalid ({ep5_to_xb_tvalid, ep4_to_xb_tvalid, ep3_to_xb_tvalid, ep2_to_xb_tvalid, ep1_to_xb_tvalid, ep0_to_xb_tvalid, s_dma_tvalid, s_eth1_tvalid, s_eth0_tvalid}), + .s_axis_tready ({ep5_to_xb_tready, ep4_to_xb_tready, ep3_to_xb_tready, ep2_to_xb_tready, ep1_to_xb_tready, ep0_to_xb_tready, s_dma_tready, s_eth1_tready, s_eth0_tready}), + .m_axis_tdata ({xb_to_ep5_tdata, xb_to_ep4_tdata, xb_to_ep3_tdata, xb_to_ep2_tdata, xb_to_ep1_tdata, xb_to_ep0_tdata, m_dma_tdata, m_eth1_tdata, m_eth0_tdata}), + .m_axis_tlast ({xb_to_ep5_tlast, xb_to_ep4_tlast, xb_to_ep3_tlast, xb_to_ep2_tlast, xb_to_ep1_tlast, xb_to_ep0_tlast, m_dma_tlast, m_eth1_tlast, m_eth0_tlast}), + .m_axis_tvalid ({xb_to_ep5_tvalid, xb_to_ep4_tvalid, xb_to_ep3_tvalid, xb_to_ep2_tvalid, xb_to_ep1_tvalid, xb_to_ep0_tvalid, m_dma_tvalid, m_eth1_tvalid, m_eth0_tvalid}), + .m_axis_tready ({xb_to_ep5_tready, xb_to_ep4_tready, xb_to_ep3_tready, xb_to_ep2_tready, xb_to_ep1_tready, xb_to_ep0_tready, m_dma_tready, m_eth1_tready, m_eth0_tready}), + .ext_rtcfg_stb (1'h0), + .ext_rtcfg_addr (16'h0), + .ext_rtcfg_data (32'h0), + .ext_rtcfg_ack () + ); + + // ---------------------------------------------------- + // Stream Endpoints + // ---------------------------------------------------- + + wire [CHDR_W-1:0] m_ep0_out0_tdata; + wire m_ep0_out0_tlast; + wire m_ep0_out0_tvalid; + wire m_ep0_out0_tready; + wire [CHDR_W-1:0] s_ep0_in0_tdata; + wire s_ep0_in0_tlast; + wire s_ep0_in0_tvalid; + wire s_ep0_in0_tready; + wire [31:0] m_ep0_ctrl_tdata , s_ep0_ctrl_tdata ; + wire m_ep0_ctrl_tlast , s_ep0_ctrl_tlast ; + wire m_ep0_ctrl_tvalid, s_ep0_ctrl_tvalid; + wire m_ep0_ctrl_tready, s_ep0_ctrl_tready; + + chdr_stream_endpoint #( + .PROTOVER (PROTOVER), + .CHDR_W (CHDR_W), + .AXIS_CTRL_EN (1), + .AXIS_DATA_EN (1), + .NUM_DATA_I (1), + .NUM_DATA_O (1), + .INST_NUM (0), + .CTRL_XBAR_PORT (1), + .INGRESS_BUFF_SIZE (14), + .MTU (MTU), + .REPORT_STRM_ERRS (1) + ) ep0_i ( + .rfnoc_chdr_clk (rfnoc_chdr_clk ), + .rfnoc_chdr_rst (rfnoc_chdr_rst ), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk ), + .rfnoc_ctrl_rst (rfnoc_ctrl_rst ), + .device_id (device_id ), + .s_axis_chdr_tdata (xb_to_ep0_tdata ), + .s_axis_chdr_tlast (xb_to_ep0_tlast ), + .s_axis_chdr_tvalid (xb_to_ep0_tvalid ), + .s_axis_chdr_tready (xb_to_ep0_tready ), + .m_axis_chdr_tdata (ep0_to_xb_tdata ), + .m_axis_chdr_tlast (ep0_to_xb_tlast ), + .m_axis_chdr_tvalid (ep0_to_xb_tvalid ), + .m_axis_chdr_tready (ep0_to_xb_tready ), + .s_axis_data_tdata ({s_ep0_in0_tdata}), + .s_axis_data_tlast ({s_ep0_in0_tlast}), + .s_axis_data_tvalid ({s_ep0_in0_tvalid}), + .s_axis_data_tready ({s_ep0_in0_tready}), + .m_axis_data_tdata ({m_ep0_out0_tdata}), + .m_axis_data_tlast ({m_ep0_out0_tlast}), + .m_axis_data_tvalid ({m_ep0_out0_tvalid}), + .m_axis_data_tready ({m_ep0_out0_tready}), + .s_axis_ctrl_tdata (s_ep0_ctrl_tdata ), + .s_axis_ctrl_tlast (s_ep0_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_ep0_ctrl_tvalid), + .s_axis_ctrl_tready (s_ep0_ctrl_tready), + .m_axis_ctrl_tdata (m_ep0_ctrl_tdata ), + .m_axis_ctrl_tlast (m_ep0_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_ep0_ctrl_tvalid), + .m_axis_ctrl_tready (m_ep0_ctrl_tready), + .strm_seq_err_stb ( ), + .strm_data_err_stb ( ), + .strm_route_err_stb ( ), + .signal_data_err (1'b0 ) + ); + + wire [CHDR_W-1:0] m_ep1_out0_tdata; + wire m_ep1_out0_tlast; + wire m_ep1_out0_tvalid; + wire m_ep1_out0_tready; + wire [CHDR_W-1:0] s_ep1_in0_tdata; + wire s_ep1_in0_tlast; + wire s_ep1_in0_tvalid; + wire s_ep1_in0_tready; + wire [31:0] m_ep1_ctrl_tdata , s_ep1_ctrl_tdata ; + wire m_ep1_ctrl_tlast , s_ep1_ctrl_tlast ; + wire m_ep1_ctrl_tvalid, s_ep1_ctrl_tvalid; + wire m_ep1_ctrl_tready, s_ep1_ctrl_tready; + + chdr_stream_endpoint #( + .PROTOVER (PROTOVER), + .CHDR_W (CHDR_W), + .AXIS_CTRL_EN (0), + .AXIS_DATA_EN (1), + .NUM_DATA_I (1), + .NUM_DATA_O (1), + .INST_NUM (1), + .CTRL_XBAR_PORT (2), + .INGRESS_BUFF_SIZE (14), + .MTU (MTU), + .REPORT_STRM_ERRS (1) + ) ep1_i ( + .rfnoc_chdr_clk (rfnoc_chdr_clk ), + .rfnoc_chdr_rst (rfnoc_chdr_rst ), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk ), + .rfnoc_ctrl_rst (rfnoc_ctrl_rst ), + .device_id (device_id ), + .s_axis_chdr_tdata (xb_to_ep1_tdata ), + .s_axis_chdr_tlast (xb_to_ep1_tlast ), + .s_axis_chdr_tvalid (xb_to_ep1_tvalid ), + .s_axis_chdr_tready (xb_to_ep1_tready ), + .m_axis_chdr_tdata (ep1_to_xb_tdata ), + .m_axis_chdr_tlast (ep1_to_xb_tlast ), + .m_axis_chdr_tvalid (ep1_to_xb_tvalid ), + .m_axis_chdr_tready (ep1_to_xb_tready ), + .s_axis_data_tdata ({s_ep1_in0_tdata}), + .s_axis_data_tlast ({s_ep1_in0_tlast}), + .s_axis_data_tvalid ({s_ep1_in0_tvalid}), + .s_axis_data_tready ({s_ep1_in0_tready}), + .m_axis_data_tdata ({m_ep1_out0_tdata}), + .m_axis_data_tlast ({m_ep1_out0_tlast}), + .m_axis_data_tvalid ({m_ep1_out0_tvalid}), + .m_axis_data_tready ({m_ep1_out0_tready}), + .s_axis_ctrl_tdata (s_ep1_ctrl_tdata ), + .s_axis_ctrl_tlast (s_ep1_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_ep1_ctrl_tvalid), + .s_axis_ctrl_tready (s_ep1_ctrl_tready), + .m_axis_ctrl_tdata (m_ep1_ctrl_tdata ), + .m_axis_ctrl_tlast (m_ep1_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_ep1_ctrl_tvalid), + .m_axis_ctrl_tready (m_ep1_ctrl_tready), + .strm_seq_err_stb ( ), + .strm_data_err_stb ( ), + .strm_route_err_stb ( ), + .signal_data_err (1'b0 ) + ); + + wire [CHDR_W-1:0] m_ep2_out0_tdata; + wire m_ep2_out0_tlast; + wire m_ep2_out0_tvalid; + wire m_ep2_out0_tready; + wire [CHDR_W-1:0] s_ep2_in0_tdata; + wire s_ep2_in0_tlast; + wire s_ep2_in0_tvalid; + wire s_ep2_in0_tready; + wire [31:0] m_ep2_ctrl_tdata , s_ep2_ctrl_tdata ; + wire m_ep2_ctrl_tlast , s_ep2_ctrl_tlast ; + wire m_ep2_ctrl_tvalid, s_ep2_ctrl_tvalid; + wire m_ep2_ctrl_tready, s_ep2_ctrl_tready; + + chdr_stream_endpoint #( + .PROTOVER (PROTOVER), + .CHDR_W (CHDR_W), + .AXIS_CTRL_EN (0), + .AXIS_DATA_EN (1), + .NUM_DATA_I (1), + .NUM_DATA_O (1), + .INST_NUM (2), + .CTRL_XBAR_PORT (3), + .INGRESS_BUFF_SIZE (14), + .MTU (MTU), + .REPORT_STRM_ERRS (1) + ) ep2_i ( + .rfnoc_chdr_clk (rfnoc_chdr_clk ), + .rfnoc_chdr_rst (rfnoc_chdr_rst ), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk ), + .rfnoc_ctrl_rst (rfnoc_ctrl_rst ), + .device_id (device_id ), + .s_axis_chdr_tdata (xb_to_ep2_tdata ), + .s_axis_chdr_tlast (xb_to_ep2_tlast ), + .s_axis_chdr_tvalid (xb_to_ep2_tvalid ), + .s_axis_chdr_tready (xb_to_ep2_tready ), + .m_axis_chdr_tdata (ep2_to_xb_tdata ), + .m_axis_chdr_tlast (ep2_to_xb_tlast ), + .m_axis_chdr_tvalid (ep2_to_xb_tvalid ), + .m_axis_chdr_tready (ep2_to_xb_tready ), + .s_axis_data_tdata ({s_ep2_in0_tdata}), + .s_axis_data_tlast ({s_ep2_in0_tlast}), + .s_axis_data_tvalid ({s_ep2_in0_tvalid}), + .s_axis_data_tready ({s_ep2_in0_tready}), + .m_axis_data_tdata ({m_ep2_out0_tdata}), + .m_axis_data_tlast ({m_ep2_out0_tlast}), + .m_axis_data_tvalid ({m_ep2_out0_tvalid}), + .m_axis_data_tready ({m_ep2_out0_tready}), + .s_axis_ctrl_tdata (s_ep2_ctrl_tdata ), + .s_axis_ctrl_tlast (s_ep2_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_ep2_ctrl_tvalid), + .s_axis_ctrl_tready (s_ep2_ctrl_tready), + .m_axis_ctrl_tdata (m_ep2_ctrl_tdata ), + .m_axis_ctrl_tlast (m_ep2_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_ep2_ctrl_tvalid), + .m_axis_ctrl_tready (m_ep2_ctrl_tready), + .strm_seq_err_stb ( ), + .strm_data_err_stb ( ), + .strm_route_err_stb ( ), + .signal_data_err (1'b0 ) + ); + + wire [CHDR_W-1:0] m_ep3_out0_tdata; + wire m_ep3_out0_tlast; + wire m_ep3_out0_tvalid; + wire m_ep3_out0_tready; + wire [CHDR_W-1:0] s_ep3_in0_tdata; + wire s_ep3_in0_tlast; + wire s_ep3_in0_tvalid; + wire s_ep3_in0_tready; + wire [31:0] m_ep3_ctrl_tdata , s_ep3_ctrl_tdata ; + wire m_ep3_ctrl_tlast , s_ep3_ctrl_tlast ; + wire m_ep3_ctrl_tvalid, s_ep3_ctrl_tvalid; + wire m_ep3_ctrl_tready, s_ep3_ctrl_tready; + + chdr_stream_endpoint #( + .PROTOVER (PROTOVER), + .CHDR_W (CHDR_W), + .AXIS_CTRL_EN (0), + .AXIS_DATA_EN (1), + .NUM_DATA_I (1), + .NUM_DATA_O (1), + .INST_NUM (3), + .CTRL_XBAR_PORT (4), + .INGRESS_BUFF_SIZE (14), + .MTU (MTU), + .REPORT_STRM_ERRS (1) + ) ep3_i ( + .rfnoc_chdr_clk (rfnoc_chdr_clk ), + .rfnoc_chdr_rst (rfnoc_chdr_rst ), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk ), + .rfnoc_ctrl_rst (rfnoc_ctrl_rst ), + .device_id (device_id ), + .s_axis_chdr_tdata (xb_to_ep3_tdata ), + .s_axis_chdr_tlast (xb_to_ep3_tlast ), + .s_axis_chdr_tvalid (xb_to_ep3_tvalid ), + .s_axis_chdr_tready (xb_to_ep3_tready ), + .m_axis_chdr_tdata (ep3_to_xb_tdata ), + .m_axis_chdr_tlast (ep3_to_xb_tlast ), + .m_axis_chdr_tvalid (ep3_to_xb_tvalid ), + .m_axis_chdr_tready (ep3_to_xb_tready ), + .s_axis_data_tdata ({s_ep3_in0_tdata}), + .s_axis_data_tlast ({s_ep3_in0_tlast}), + .s_axis_data_tvalid ({s_ep3_in0_tvalid}), + .s_axis_data_tready ({s_ep3_in0_tready}), + .m_axis_data_tdata ({m_ep3_out0_tdata}), + .m_axis_data_tlast ({m_ep3_out0_tlast}), + .m_axis_data_tvalid ({m_ep3_out0_tvalid}), + .m_axis_data_tready ({m_ep3_out0_tready}), + .s_axis_ctrl_tdata (s_ep3_ctrl_tdata ), + .s_axis_ctrl_tlast (s_ep3_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_ep3_ctrl_tvalid), + .s_axis_ctrl_tready (s_ep3_ctrl_tready), + .m_axis_ctrl_tdata (m_ep3_ctrl_tdata ), + .m_axis_ctrl_tlast (m_ep3_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_ep3_ctrl_tvalid), + .m_axis_ctrl_tready (m_ep3_ctrl_tready), + .strm_seq_err_stb ( ), + .strm_data_err_stb ( ), + .strm_route_err_stb ( ), + .signal_data_err (1'b0 ) + ); + + wire [CHDR_W-1:0] m_ep4_out0_tdata; + wire m_ep4_out0_tlast; + wire m_ep4_out0_tvalid; + wire m_ep4_out0_tready; + wire [CHDR_W-1:0] s_ep4_in0_tdata; + wire s_ep4_in0_tlast; + wire s_ep4_in0_tvalid; + wire s_ep4_in0_tready; + wire [31:0] m_ep4_ctrl_tdata , s_ep4_ctrl_tdata ; + wire m_ep4_ctrl_tlast , s_ep4_ctrl_tlast ; + wire m_ep4_ctrl_tvalid, s_ep4_ctrl_tvalid; + wire m_ep4_ctrl_tready, s_ep4_ctrl_tready; + + chdr_stream_endpoint #( + .PROTOVER (PROTOVER), + .CHDR_W (CHDR_W), + .AXIS_CTRL_EN (0), + .AXIS_DATA_EN (1), + .NUM_DATA_I (1), + .NUM_DATA_O (1), + .INST_NUM (4), + .CTRL_XBAR_PORT (5), + .INGRESS_BUFF_SIZE (14), + .MTU (MTU), + .REPORT_STRM_ERRS (1) + ) ep4_i ( + .rfnoc_chdr_clk (rfnoc_chdr_clk ), + .rfnoc_chdr_rst (rfnoc_chdr_rst ), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk ), + .rfnoc_ctrl_rst (rfnoc_ctrl_rst ), + .device_id (device_id ), + .s_axis_chdr_tdata (xb_to_ep4_tdata ), + .s_axis_chdr_tlast (xb_to_ep4_tlast ), + .s_axis_chdr_tvalid (xb_to_ep4_tvalid ), + .s_axis_chdr_tready (xb_to_ep4_tready ), + .m_axis_chdr_tdata (ep4_to_xb_tdata ), + .m_axis_chdr_tlast (ep4_to_xb_tlast ), + .m_axis_chdr_tvalid (ep4_to_xb_tvalid ), + .m_axis_chdr_tready (ep4_to_xb_tready ), + .s_axis_data_tdata ({s_ep4_in0_tdata}), + .s_axis_data_tlast ({s_ep4_in0_tlast}), + .s_axis_data_tvalid ({s_ep4_in0_tvalid}), + .s_axis_data_tready ({s_ep4_in0_tready}), + .m_axis_data_tdata ({m_ep4_out0_tdata}), + .m_axis_data_tlast ({m_ep4_out0_tlast}), + .m_axis_data_tvalid ({m_ep4_out0_tvalid}), + .m_axis_data_tready ({m_ep4_out0_tready}), + .s_axis_ctrl_tdata (s_ep4_ctrl_tdata ), + .s_axis_ctrl_tlast (s_ep4_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_ep4_ctrl_tvalid), + .s_axis_ctrl_tready (s_ep4_ctrl_tready), + .m_axis_ctrl_tdata (m_ep4_ctrl_tdata ), + .m_axis_ctrl_tlast (m_ep4_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_ep4_ctrl_tvalid), + .m_axis_ctrl_tready (m_ep4_ctrl_tready), + .strm_seq_err_stb ( ), + .strm_data_err_stb ( ), + .strm_route_err_stb ( ), + .signal_data_err (1'b0 ) + ); + + wire [CHDR_W-1:0] m_ep5_out0_tdata; + wire m_ep5_out0_tlast; + wire m_ep5_out0_tvalid; + wire m_ep5_out0_tready; + wire [CHDR_W-1:0] s_ep5_in0_tdata; + wire s_ep5_in0_tlast; + wire s_ep5_in0_tvalid; + wire s_ep5_in0_tready; + wire [31:0] m_ep5_ctrl_tdata , s_ep5_ctrl_tdata ; + wire m_ep5_ctrl_tlast , s_ep5_ctrl_tlast ; + wire m_ep5_ctrl_tvalid, s_ep5_ctrl_tvalid; + wire m_ep5_ctrl_tready, s_ep5_ctrl_tready; + + chdr_stream_endpoint #( + .PROTOVER (PROTOVER), + .CHDR_W (CHDR_W), + .AXIS_CTRL_EN (0), + .AXIS_DATA_EN (1), + .NUM_DATA_I (1), + .NUM_DATA_O (1), + .INST_NUM (5), + .CTRL_XBAR_PORT (6), + .INGRESS_BUFF_SIZE (14), + .MTU (MTU), + .REPORT_STRM_ERRS (1) + ) ep5_i ( + .rfnoc_chdr_clk (rfnoc_chdr_clk ), + .rfnoc_chdr_rst (rfnoc_chdr_rst ), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk ), + .rfnoc_ctrl_rst (rfnoc_ctrl_rst ), + .device_id (device_id ), + .s_axis_chdr_tdata (xb_to_ep5_tdata ), + .s_axis_chdr_tlast (xb_to_ep5_tlast ), + .s_axis_chdr_tvalid (xb_to_ep5_tvalid ), + .s_axis_chdr_tready (xb_to_ep5_tready ), + .m_axis_chdr_tdata (ep5_to_xb_tdata ), + .m_axis_chdr_tlast (ep5_to_xb_tlast ), + .m_axis_chdr_tvalid (ep5_to_xb_tvalid ), + .m_axis_chdr_tready (ep5_to_xb_tready ), + .s_axis_data_tdata ({s_ep5_in0_tdata}), + .s_axis_data_tlast ({s_ep5_in0_tlast}), + .s_axis_data_tvalid ({s_ep5_in0_tvalid}), + .s_axis_data_tready ({s_ep5_in0_tready}), + .m_axis_data_tdata ({m_ep5_out0_tdata}), + .m_axis_data_tlast ({m_ep5_out0_tlast}), + .m_axis_data_tvalid ({m_ep5_out0_tvalid}), + .m_axis_data_tready ({m_ep5_out0_tready}), + .s_axis_ctrl_tdata (s_ep5_ctrl_tdata ), + .s_axis_ctrl_tlast (s_ep5_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_ep5_ctrl_tvalid), + .s_axis_ctrl_tready (s_ep5_ctrl_tready), + .m_axis_ctrl_tdata (m_ep5_ctrl_tdata ), + .m_axis_ctrl_tlast (m_ep5_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_ep5_ctrl_tvalid), + .m_axis_ctrl_tready (m_ep5_ctrl_tready), + .strm_seq_err_stb ( ), + .strm_data_err_stb ( ), + .strm_route_err_stb ( ), + .signal_data_err (1'b0 ) + ); + + + + // ---------------------------------------------------- + // Control Crossbar + // ---------------------------------------------------- + + wire [31:0] m_core_ctrl_tdata , s_core_ctrl_tdata ; + wire m_core_ctrl_tlast , s_core_ctrl_tlast ; + wire m_core_ctrl_tvalid, s_core_ctrl_tvalid; + wire m_core_ctrl_tready, s_core_ctrl_tready; + wire [31:0] m_radio0_ctrl_tdata , s_radio0_ctrl_tdata ; + wire m_radio0_ctrl_tlast , s_radio0_ctrl_tlast ; + wire m_radio0_ctrl_tvalid, s_radio0_ctrl_tvalid; + wire m_radio0_ctrl_tready, s_radio0_ctrl_tready; + wire [31:0] m_radio1_ctrl_tdata , s_radio1_ctrl_tdata ; + wire m_radio1_ctrl_tlast , s_radio1_ctrl_tlast ; + wire m_radio1_ctrl_tvalid, s_radio1_ctrl_tvalid; + wire m_radio1_ctrl_tready, s_radio1_ctrl_tready; + wire [31:0] m_fifo0_ctrl_tdata , s_fifo0_ctrl_tdata ; + wire m_fifo0_ctrl_tlast , s_fifo0_ctrl_tlast ; + wire m_fifo0_ctrl_tvalid, s_fifo0_ctrl_tvalid; + wire m_fifo0_ctrl_tready, s_fifo0_ctrl_tready; + + axis_ctrl_crossbar_nxn #( + .WIDTH (32), + .NPORTS (5), + .TOPOLOGY ("TORUS"), + .INGRESS_BUFF_SIZE(5), + .ROUTER_BUFF_SIZE (5), + .ROUTING_ALLOC ("WORMHOLE"), + .SWITCH_ALLOC ("PRIO") + ) ctrl_xb_i ( + .clk (rfnoc_ctrl_clk), + .reset (rfnoc_ctrl_rst), + .s_axis_tdata ({m_fifo0_ctrl_tdata , m_radio1_ctrl_tdata , m_radio0_ctrl_tdata , m_ep0_ctrl_tdata , m_core_ctrl_tdata }), + .s_axis_tvalid ({m_fifo0_ctrl_tvalid, m_radio1_ctrl_tvalid, m_radio0_ctrl_tvalid, m_ep0_ctrl_tvalid, m_core_ctrl_tvalid}), + .s_axis_tlast ({m_fifo0_ctrl_tlast , m_radio1_ctrl_tlast , m_radio0_ctrl_tlast , m_ep0_ctrl_tlast , m_core_ctrl_tlast }), + .s_axis_tready ({m_fifo0_ctrl_tready, m_radio1_ctrl_tready, m_radio0_ctrl_tready, m_ep0_ctrl_tready, m_core_ctrl_tready}), + .m_axis_tdata ({s_fifo0_ctrl_tdata , s_radio1_ctrl_tdata , s_radio0_ctrl_tdata , s_ep0_ctrl_tdata , s_core_ctrl_tdata }), + .m_axis_tvalid ({s_fifo0_ctrl_tvalid, s_radio1_ctrl_tvalid, s_radio0_ctrl_tvalid, s_ep0_ctrl_tvalid, s_core_ctrl_tvalid}), + .m_axis_tlast ({s_fifo0_ctrl_tlast , s_radio1_ctrl_tlast , s_radio0_ctrl_tlast , s_ep0_ctrl_tlast , s_core_ctrl_tlast }), + .m_axis_tready ({s_fifo0_ctrl_tready, s_radio1_ctrl_tready, s_radio0_ctrl_tready, s_ep0_ctrl_tready, s_core_ctrl_tready}), + .deadlock_detected() + ); + + // ---------------------------------------------------- + // RFNoC Core Kernel + // ---------------------------------------------------- + wire [(512*3)-1:0] rfnoc_core_config, rfnoc_core_status; + + rfnoc_core_kernel #( + .PROTOVER (PROTOVER), + .DEVICE_TYPE (16'h1300), + .DEVICE_FAMILY ("7SERIES"), + .SAFE_START_CLKS (0), + .NUM_BLOCKS (3), + .NUM_STREAM_ENDPOINTS(6), + .NUM_ENDPOINTS_CTRL (1), + .NUM_TRANSPORTS (3), + .NUM_EDGES (12), + .CHDR_XBAR_PRESENT (1), + .EDGE_TBL_FILE (EDGE_TBL_FILE) + ) core_kernel_i ( + .chdr_aclk (chdr_aclk), + .chdr_aclk_locked (1'b1), + .ctrl_aclk (ctrl_aclk), + .ctrl_aclk_locked (1'b1), + .core_arst (core_arst), + .core_chdr_clk (rfnoc_chdr_clk), + .core_chdr_rst (rfnoc_chdr_rst), + .core_ctrl_clk (rfnoc_ctrl_clk), + .core_ctrl_rst (rfnoc_ctrl_rst), + .s_axis_ctrl_tdata (s_core_ctrl_tdata ), + .s_axis_ctrl_tlast (s_core_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_core_ctrl_tvalid), + .s_axis_ctrl_tready (s_core_ctrl_tready), + .m_axis_ctrl_tdata (m_core_ctrl_tdata ), + .m_axis_ctrl_tlast (m_core_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_core_ctrl_tvalid), + .m_axis_ctrl_tready (m_core_ctrl_tready), + .device_id (device_id), + .rfnoc_core_config (rfnoc_core_config), + .rfnoc_core_status (rfnoc_core_status) + ); + + // ---------------------------------------------------- + // Blocks + // ---------------------------------------------------- + + // ---------------------------------------------------- + // radio0 + // ---------------------------------------------------- + wire radio0_radio_clk; + wire [CHDR_W-1:0] s_radio0_in_1_tdata , s_radio0_in_0_tdata ; + wire s_radio0_in_1_tlast , s_radio0_in_0_tlast ; + wire s_radio0_in_1_tvalid, s_radio0_in_0_tvalid; + wire s_radio0_in_1_tready, s_radio0_in_0_tready; + wire [CHDR_W-1:0] m_radio0_out_1_tdata , m_radio0_out_0_tdata ; + wire m_radio0_out_1_tlast , m_radio0_out_0_tlast ; + wire m_radio0_out_1_tvalid, m_radio0_out_0_tvalid; + wire m_radio0_out_1_tready, m_radio0_out_0_tready; + + // ctrl_port + wire [ 1-1:0] radio0_m_ctrlport_req_wr; + wire [ 1-1:0] radio0_m_ctrlport_req_rd; + wire [ 20-1:0] radio0_m_ctrlport_req_addr; + wire [ 32-1:0] radio0_m_ctrlport_req_data; + wire [ 4-1:0] radio0_m_ctrlport_req_byte_en; + wire [ 1-1:0] radio0_m_ctrlport_req_has_time; + wire [ 64-1:0] radio0_m_ctrlport_req_time; + wire [ 1-1:0] radio0_m_ctrlport_resp_ack; + wire [ 2-1:0] radio0_m_ctrlport_resp_status; + wire [ 32-1:0] radio0_m_ctrlport_resp_data; + // time_keeper + wire [ 64-1:0] radio0_radio_time; + // x300_radio + wire [ 64-1:0] radio0_radio_rx_data; + wire [ 2-1:0] radio0_radio_rx_stb; + wire [ 2-1:0] radio0_radio_rx_running; + wire [ 64-1:0] radio0_radio_tx_data; + wire [ 2-1:0] radio0_radio_tx_stb; + wire [ 2-1:0] radio0_radio_tx_running; + + rfnoc_block_radio #( + .THIS_PORTID(2), + .CHDR_W(CHDR_W), + .NUM_PORTS(2), + .MTU(MTU) + ) b_radio0_0 ( + .rfnoc_chdr_clk (rfnoc_chdr_clk), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk), + .radio_clk(radio0_radio_clk), + .rfnoc_core_config (rfnoc_core_config[512*1-1:512*0]), + .rfnoc_core_status (rfnoc_core_status[512*1-1:512*0]), + + .m_ctrlport_req_wr(radio0_m_ctrlport_req_wr), + .m_ctrlport_req_rd(radio0_m_ctrlport_req_rd), + .m_ctrlport_req_addr(radio0_m_ctrlport_req_addr), + .m_ctrlport_req_data(radio0_m_ctrlport_req_data), + .m_ctrlport_req_byte_en(radio0_m_ctrlport_req_byte_en), + .m_ctrlport_req_has_time(radio0_m_ctrlport_req_has_time), + .m_ctrlport_req_time(radio0_m_ctrlport_req_time), + .m_ctrlport_resp_ack(radio0_m_ctrlport_resp_ack), + .m_ctrlport_resp_status(radio0_m_ctrlport_resp_status), + .m_ctrlport_resp_data(radio0_m_ctrlport_resp_data), + .radio_time(radio0_radio_time), + .radio_rx_data(radio0_radio_rx_data), + .radio_rx_stb(radio0_radio_rx_stb), + .radio_rx_running(radio0_radio_rx_running), + .radio_tx_data(radio0_radio_tx_data), + .radio_tx_stb(radio0_radio_tx_stb), + .radio_tx_running(radio0_radio_tx_running), + + .s_rfnoc_chdr_tdata ({s_radio0_in_1_tdata , s_radio0_in_0_tdata }), + .s_rfnoc_chdr_tlast ({s_radio0_in_1_tlast , s_radio0_in_0_tlast }), + .s_rfnoc_chdr_tvalid({s_radio0_in_1_tvalid, s_radio0_in_0_tvalid}), + .s_rfnoc_chdr_tready({s_radio0_in_1_tready, s_radio0_in_0_tready}), + .m_rfnoc_chdr_tdata ({m_radio0_out_1_tdata , m_radio0_out_0_tdata }), + .m_rfnoc_chdr_tlast ({m_radio0_out_1_tlast , m_radio0_out_0_tlast }), + .m_rfnoc_chdr_tvalid({m_radio0_out_1_tvalid, m_radio0_out_0_tvalid}), + .m_rfnoc_chdr_tready({m_radio0_out_1_tready, m_radio0_out_0_tready}), + .s_rfnoc_ctrl_tdata (s_radio0_ctrl_tdata ), + .s_rfnoc_ctrl_tlast (s_radio0_ctrl_tlast ), + .s_rfnoc_ctrl_tvalid(s_radio0_ctrl_tvalid), + .s_rfnoc_ctrl_tready(s_radio0_ctrl_tready), + .m_rfnoc_ctrl_tdata (m_radio0_ctrl_tdata ), + .m_rfnoc_ctrl_tlast (m_radio0_ctrl_tlast ), + .m_rfnoc_ctrl_tvalid(m_radio0_ctrl_tvalid), + .m_rfnoc_ctrl_tready(m_radio0_ctrl_tready) + ); + + + // ---------------------------------------------------- + // radio1 + // ---------------------------------------------------- + wire radio1_radio_clk; + wire [CHDR_W-1:0] s_radio1_in_1_tdata , s_radio1_in_0_tdata ; + wire s_radio1_in_1_tlast , s_radio1_in_0_tlast ; + wire s_radio1_in_1_tvalid, s_radio1_in_0_tvalid; + wire s_radio1_in_1_tready, s_radio1_in_0_tready; + wire [CHDR_W-1:0] m_radio1_out_1_tdata , m_radio1_out_0_tdata ; + wire m_radio1_out_1_tlast , m_radio1_out_0_tlast ; + wire m_radio1_out_1_tvalid, m_radio1_out_0_tvalid; + wire m_radio1_out_1_tready, m_radio1_out_0_tready; + + // ctrl_port + wire [ 1-1:0] radio1_m_ctrlport_req_wr; + wire [ 1-1:0] radio1_m_ctrlport_req_rd; + wire [ 20-1:0] radio1_m_ctrlport_req_addr; + wire [ 32-1:0] radio1_m_ctrlport_req_data; + wire [ 4-1:0] radio1_m_ctrlport_req_byte_en; + wire [ 1-1:0] radio1_m_ctrlport_req_has_time; + wire [ 64-1:0] radio1_m_ctrlport_req_time; + wire [ 1-1:0] radio1_m_ctrlport_resp_ack; + wire [ 2-1:0] radio1_m_ctrlport_resp_status; + wire [ 32-1:0] radio1_m_ctrlport_resp_data; + // time_keeper + wire [ 64-1:0] radio1_radio_time; + // x300_radio + wire [ 64-1:0] radio1_radio_rx_data; + wire [ 2-1:0] radio1_radio_rx_stb; + wire [ 2-1:0] radio1_radio_rx_running; + wire [ 64-1:0] radio1_radio_tx_data; + wire [ 2-1:0] radio1_radio_tx_stb; + wire [ 2-1:0] radio1_radio_tx_running; + + rfnoc_block_radio #( + .THIS_PORTID(3), + .CHDR_W(CHDR_W), + .NUM_PORTS(2), + .MTU(MTU) + ) b_radio1_1 ( + .rfnoc_chdr_clk (rfnoc_chdr_clk), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk), + .radio_clk(radio1_radio_clk), + .rfnoc_core_config (rfnoc_core_config[512*2-1:512*1]), + .rfnoc_core_status (rfnoc_core_status[512*2-1:512*1]), + + .m_ctrlport_req_wr(radio1_m_ctrlport_req_wr), + .m_ctrlport_req_rd(radio1_m_ctrlport_req_rd), + .m_ctrlport_req_addr(radio1_m_ctrlport_req_addr), + .m_ctrlport_req_data(radio1_m_ctrlport_req_data), + .m_ctrlport_req_byte_en(radio1_m_ctrlport_req_byte_en), + .m_ctrlport_req_has_time(radio1_m_ctrlport_req_has_time), + .m_ctrlport_req_time(radio1_m_ctrlport_req_time), + .m_ctrlport_resp_ack(radio1_m_ctrlport_resp_ack), + .m_ctrlport_resp_status(radio1_m_ctrlport_resp_status), + .m_ctrlport_resp_data(radio1_m_ctrlport_resp_data), + .radio_time(radio1_radio_time), + .radio_rx_data(radio1_radio_rx_data), + .radio_rx_stb(radio1_radio_rx_stb), + .radio_rx_running(radio1_radio_rx_running), + .radio_tx_data(radio1_radio_tx_data), + .radio_tx_stb(radio1_radio_tx_stb), + .radio_tx_running(radio1_radio_tx_running), + + .s_rfnoc_chdr_tdata ({s_radio1_in_1_tdata , s_radio1_in_0_tdata }), + .s_rfnoc_chdr_tlast ({s_radio1_in_1_tlast , s_radio1_in_0_tlast }), + .s_rfnoc_chdr_tvalid({s_radio1_in_1_tvalid, s_radio1_in_0_tvalid}), + .s_rfnoc_chdr_tready({s_radio1_in_1_tready, s_radio1_in_0_tready}), + .m_rfnoc_chdr_tdata ({m_radio1_out_1_tdata , m_radio1_out_0_tdata }), + .m_rfnoc_chdr_tlast ({m_radio1_out_1_tlast , m_radio1_out_0_tlast }), + .m_rfnoc_chdr_tvalid({m_radio1_out_1_tvalid, m_radio1_out_0_tvalid}), + .m_rfnoc_chdr_tready({m_radio1_out_1_tready, m_radio1_out_0_tready}), + .s_rfnoc_ctrl_tdata (s_radio1_ctrl_tdata ), + .s_rfnoc_ctrl_tlast (s_radio1_ctrl_tlast ), + .s_rfnoc_ctrl_tvalid(s_radio1_ctrl_tvalid), + .s_rfnoc_ctrl_tready(s_radio1_ctrl_tready), + .m_rfnoc_ctrl_tdata (m_radio1_ctrl_tdata ), + .m_rfnoc_ctrl_tlast (m_radio1_ctrl_tlast ), + .m_rfnoc_ctrl_tvalid(m_radio1_ctrl_tvalid), + .m_rfnoc_ctrl_tready(m_radio1_ctrl_tready) + ); + + + // ---------------------------------------------------- + // fifo0 + // ---------------------------------------------------- + wire fifo0_mem_clk; + wire [CHDR_W-1:0] s_fifo0_in_3_tdata , s_fifo0_in_2_tdata , s_fifo0_in_1_tdata , s_fifo0_in_0_tdata ; + wire s_fifo0_in_3_tlast , s_fifo0_in_2_tlast , s_fifo0_in_1_tlast , s_fifo0_in_0_tlast ; + wire s_fifo0_in_3_tvalid, s_fifo0_in_2_tvalid, s_fifo0_in_1_tvalid, s_fifo0_in_0_tvalid; + wire s_fifo0_in_3_tready, s_fifo0_in_2_tready, s_fifo0_in_1_tready, s_fifo0_in_0_tready; + wire [CHDR_W-1:0] m_fifo0_out_3_tdata , m_fifo0_out_2_tdata , m_fifo0_out_1_tdata , m_fifo0_out_0_tdata ; + wire m_fifo0_out_3_tlast , m_fifo0_out_2_tlast , m_fifo0_out_1_tlast , m_fifo0_out_0_tlast ; + wire m_fifo0_out_3_tvalid, m_fifo0_out_2_tvalid, m_fifo0_out_1_tvalid, m_fifo0_out_0_tvalid; + wire m_fifo0_out_3_tready, m_fifo0_out_2_tready, m_fifo0_out_1_tready, m_fifo0_out_0_tready; + + // axi_ram + wire [ 1-1:0] fifo0_axi_rst; + wire [ 4-1:0] fifo0_m_axi_awid; + wire [128-1:0] fifo0_m_axi_awaddr; + wire [ 32-1:0] fifo0_m_axi_awlen; + wire [ 12-1:0] fifo0_m_axi_awsize; + wire [ 8-1:0] fifo0_m_axi_awburst; + wire [ 4-1:0] fifo0_m_axi_awlock; + wire [ 16-1:0] fifo0_m_axi_awcache; + wire [ 12-1:0] fifo0_m_axi_awprot; + wire [ 16-1:0] fifo0_m_axi_awqos; + wire [ 16-1:0] fifo0_m_axi_awregion; + wire [ 4-1:0] fifo0_m_axi_awuser; + wire [ 4-1:0] fifo0_m_axi_awvalid; + wire [ 4-1:0] fifo0_m_axi_awready; + wire [256-1:0] fifo0_m_axi_wdata; + wire [ 32-1:0] fifo0_m_axi_wstrb; + wire [ 4-1:0] fifo0_m_axi_wlast; + wire [ 4-1:0] fifo0_m_axi_wuser; + wire [ 4-1:0] fifo0_m_axi_wvalid; + wire [ 4-1:0] fifo0_m_axi_wready; + wire [ 4-1:0] fifo0_m_axi_bid; + wire [ 8-1:0] fifo0_m_axi_bresp; + wire [ 4-1:0] fifo0_m_axi_buser; + wire [ 4-1:0] fifo0_m_axi_bvalid; + wire [ 4-1:0] fifo0_m_axi_bready; + wire [ 4-1:0] fifo0_m_axi_arid; + wire [128-1:0] fifo0_m_axi_araddr; + wire [ 32-1:0] fifo0_m_axi_arlen; + wire [ 12-1:0] fifo0_m_axi_arsize; + wire [ 8-1:0] fifo0_m_axi_arburst; + wire [ 4-1:0] fifo0_m_axi_arlock; + wire [ 16-1:0] fifo0_m_axi_arcache; + wire [ 12-1:0] fifo0_m_axi_arprot; + wire [ 16-1:0] fifo0_m_axi_arqos; + wire [ 16-1:0] fifo0_m_axi_arregion; + wire [ 4-1:0] fifo0_m_axi_aruser; + wire [ 4-1:0] fifo0_m_axi_arvalid; + wire [ 4-1:0] fifo0_m_axi_arready; + wire [ 4-1:0] fifo0_m_axi_rid; + wire [256-1:0] fifo0_m_axi_rdata; + wire [ 8-1:0] fifo0_m_axi_rresp; + wire [ 4-1:0] fifo0_m_axi_rlast; + wire [ 4-1:0] fifo0_m_axi_ruser; + wire [ 4-1:0] fifo0_m_axi_rvalid; + wire [ 4-1:0] fifo0_m_axi_rready; + + rfnoc_block_axi_ram_fifo #( + .THIS_PORTID(4), + .CHDR_W(CHDR_W), + .NUM_PORTS(4), + .MEM_DATA_W(64), + .MEM_ADDR_W(31), + .FIFO_ADDR_BASE({30'h06000000, 30'h04000000, 30'h02000000, 30'h00000000}), + .FIFO_ADDR_MASK({30'h01FFFFFF, 30'h01FFFFFF, 30'h01FFFFFF, 30'h01FFFFFF}), + .MEM_CLK_RATE(303819444), + .MTU(MTU) + ) b_fifo0_2 ( + .rfnoc_chdr_clk (rfnoc_chdr_clk), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk), + .mem_clk(fifo0_mem_clk), + .rfnoc_core_config (rfnoc_core_config[512*3-1:512*2]), + .rfnoc_core_status (rfnoc_core_status[512*3-1:512*2]), + + .axi_rst(fifo0_axi_rst), + .m_axi_awid(fifo0_m_axi_awid), + .m_axi_awaddr(fifo0_m_axi_awaddr), + .m_axi_awlen(fifo0_m_axi_awlen), + .m_axi_awsize(fifo0_m_axi_awsize), + .m_axi_awburst(fifo0_m_axi_awburst), + .m_axi_awlock(fifo0_m_axi_awlock), + .m_axi_awcache(fifo0_m_axi_awcache), + .m_axi_awprot(fifo0_m_axi_awprot), + .m_axi_awqos(fifo0_m_axi_awqos), + .m_axi_awregion(fifo0_m_axi_awregion), + .m_axi_awuser(fifo0_m_axi_awuser), + .m_axi_awvalid(fifo0_m_axi_awvalid), + .m_axi_awready(fifo0_m_axi_awready), + .m_axi_wdata(fifo0_m_axi_wdata), + .m_axi_wstrb(fifo0_m_axi_wstrb), + .m_axi_wlast(fifo0_m_axi_wlast), + .m_axi_wuser(fifo0_m_axi_wuser), + .m_axi_wvalid(fifo0_m_axi_wvalid), + .m_axi_wready(fifo0_m_axi_wready), + .m_axi_bid(fifo0_m_axi_bid), + .m_axi_bresp(fifo0_m_axi_bresp), + .m_axi_buser(fifo0_m_axi_buser), + .m_axi_bvalid(fifo0_m_axi_bvalid), + .m_axi_bready(fifo0_m_axi_bready), + .m_axi_arid(fifo0_m_axi_arid), + .m_axi_araddr(fifo0_m_axi_araddr), + .m_axi_arlen(fifo0_m_axi_arlen), + .m_axi_arsize(fifo0_m_axi_arsize), + .m_axi_arburst(fifo0_m_axi_arburst), + .m_axi_arlock(fifo0_m_axi_arlock), + .m_axi_arcache(fifo0_m_axi_arcache), + .m_axi_arprot(fifo0_m_axi_arprot), + .m_axi_arqos(fifo0_m_axi_arqos), + .m_axi_arregion(fifo0_m_axi_arregion), + .m_axi_aruser(fifo0_m_axi_aruser), + .m_axi_arvalid(fifo0_m_axi_arvalid), + .m_axi_arready(fifo0_m_axi_arready), + .m_axi_rid(fifo0_m_axi_rid), + .m_axi_rdata(fifo0_m_axi_rdata), + .m_axi_rresp(fifo0_m_axi_rresp), + .m_axi_rlast(fifo0_m_axi_rlast), + .m_axi_ruser(fifo0_m_axi_ruser), + .m_axi_rvalid(fifo0_m_axi_rvalid), + .m_axi_rready(fifo0_m_axi_rready), + + .s_rfnoc_chdr_tdata ({s_fifo0_in_3_tdata , s_fifo0_in_2_tdata , s_fifo0_in_1_tdata , s_fifo0_in_0_tdata }), + .s_rfnoc_chdr_tlast ({s_fifo0_in_3_tlast , s_fifo0_in_2_tlast , s_fifo0_in_1_tlast , s_fifo0_in_0_tlast }), + .s_rfnoc_chdr_tvalid({s_fifo0_in_3_tvalid, s_fifo0_in_2_tvalid, s_fifo0_in_1_tvalid, s_fifo0_in_0_tvalid}), + .s_rfnoc_chdr_tready({s_fifo0_in_3_tready, s_fifo0_in_2_tready, s_fifo0_in_1_tready, s_fifo0_in_0_tready}), + .m_rfnoc_chdr_tdata ({m_fifo0_out_3_tdata , m_fifo0_out_2_tdata , m_fifo0_out_1_tdata , m_fifo0_out_0_tdata }), + .m_rfnoc_chdr_tlast ({m_fifo0_out_3_tlast , m_fifo0_out_2_tlast , m_fifo0_out_1_tlast , m_fifo0_out_0_tlast }), + .m_rfnoc_chdr_tvalid({m_fifo0_out_3_tvalid, m_fifo0_out_2_tvalid, m_fifo0_out_1_tvalid, m_fifo0_out_0_tvalid}), + .m_rfnoc_chdr_tready({m_fifo0_out_3_tready, m_fifo0_out_2_tready, m_fifo0_out_1_tready, m_fifo0_out_0_tready}), + .s_rfnoc_ctrl_tdata (s_fifo0_ctrl_tdata ), + .s_rfnoc_ctrl_tlast (s_fifo0_ctrl_tlast ), + .s_rfnoc_ctrl_tvalid(s_fifo0_ctrl_tvalid), + .s_rfnoc_ctrl_tready(s_fifo0_ctrl_tready), + .m_rfnoc_ctrl_tdata (m_fifo0_ctrl_tdata ), + .m_rfnoc_ctrl_tlast (m_fifo0_ctrl_tlast ), + .m_rfnoc_ctrl_tvalid(m_fifo0_ctrl_tvalid), + .m_rfnoc_ctrl_tready(m_fifo0_ctrl_tready) + ); + + + // ---------------------------------------------------- + // Static Router + // ---------------------------------------------------- + assign s_radio0_in_0_tdata = m_ep0_out0_tdata ; + assign s_radio0_in_0_tlast = m_ep0_out0_tlast ; + assign s_radio0_in_0_tvalid = m_ep0_out0_tvalid; + assign m_ep0_out0_tready = s_radio0_in_0_tready; + + assign s_ep0_in0_tdata = m_radio0_out_0_tdata ; + assign s_ep0_in0_tlast = m_radio0_out_0_tlast ; + assign s_ep0_in0_tvalid = m_radio0_out_0_tvalid; + assign m_radio0_out_0_tready = s_ep0_in0_tready; + + assign s_radio0_in_1_tdata = m_ep1_out0_tdata ; + assign s_radio0_in_1_tlast = m_ep1_out0_tlast ; + assign s_radio0_in_1_tvalid = m_ep1_out0_tvalid; + assign m_ep1_out0_tready = s_radio0_in_1_tready; + + assign s_ep1_in0_tdata = m_radio0_out_1_tdata ; + assign s_ep1_in0_tlast = m_radio0_out_1_tlast ; + assign s_ep1_in0_tvalid = m_radio0_out_1_tvalid; + assign m_radio0_out_1_tready = s_ep1_in0_tready; + + assign s_radio1_in_0_tdata = m_ep2_out0_tdata ; + assign s_radio1_in_0_tlast = m_ep2_out0_tlast ; + assign s_radio1_in_0_tvalid = m_ep2_out0_tvalid; + assign m_ep2_out0_tready = s_radio1_in_0_tready; + + assign s_ep2_in0_tdata = m_radio1_out_0_tdata ; + assign s_ep2_in0_tlast = m_radio1_out_0_tlast ; + assign s_ep2_in0_tvalid = m_radio1_out_0_tvalid; + assign m_radio1_out_0_tready = s_ep2_in0_tready; + + assign s_radio1_in_1_tdata = m_ep3_out0_tdata ; + assign s_radio1_in_1_tlast = m_ep3_out0_tlast ; + assign s_radio1_in_1_tvalid = m_ep3_out0_tvalid; + assign m_ep3_out0_tready = s_radio1_in_1_tready; + + assign s_ep3_in0_tdata = m_radio1_out_1_tdata ; + assign s_ep3_in0_tlast = m_radio1_out_1_tlast ; + assign s_ep3_in0_tvalid = m_radio1_out_1_tvalid; + assign m_radio1_out_1_tready = s_ep3_in0_tready; + + assign s_fifo0_in_0_tdata = m_ep4_out0_tdata ; + assign s_fifo0_in_0_tlast = m_ep4_out0_tlast ; + assign s_fifo0_in_0_tvalid = m_ep4_out0_tvalid; + assign m_ep4_out0_tready = s_fifo0_in_0_tready; + + assign s_ep4_in0_tdata = m_fifo0_out_0_tdata ; + assign s_ep4_in0_tlast = m_fifo0_out_0_tlast ; + assign s_ep4_in0_tvalid = m_fifo0_out_0_tvalid; + assign m_fifo0_out_0_tready = s_ep4_in0_tready; + + assign s_fifo0_in_1_tdata = m_ep5_out0_tdata ; + assign s_fifo0_in_1_tlast = m_ep5_out0_tlast ; + assign s_fifo0_in_1_tvalid = m_ep5_out0_tvalid; + assign m_ep5_out0_tready = s_fifo0_in_1_tready; + + assign s_ep5_in0_tdata = m_fifo0_out_1_tdata ; + assign s_ep5_in0_tlast = m_fifo0_out_1_tlast ; + assign s_ep5_in0_tvalid = m_fifo0_out_1_tvalid; + assign m_fifo0_out_1_tready = s_ep5_in0_tready; + + + // ---------------------------------------------------- + // Unused Ports + // ---------------------------------------------------- + assign s_fifo0_in_2_tdata = {CHDR_W{1'b0}}; + assign s_fifo0_in_2_tlast = 1'b0; + assign s_fifo0_in_2_tvalid = 1'b0; + assign s_fifo0_in_3_tdata = {CHDR_W{1'b0}}; + assign s_fifo0_in_3_tlast = 1'b0; + assign s_fifo0_in_3_tvalid = 1'b0; + assign m_fifo0_out_2_tready = 1'b1; + assign m_fifo0_out_3_tready = 1'b1; + + // ---------------------------------------------------- + // Clock Domains + // ---------------------------------------------------- + assign radio0_radio_clk = radio_clk; + assign radio1_radio_clk = radio_clk; + assign fifo0_mem_clk = dram_clk; + + + // ---------------------------------------------------- + // IO Port Connection + // ---------------------------------------------------- + // Master/Slave Connections: + assign m_ctrlport_radio0_req_wr = radio0_m_ctrlport_req_wr; + assign m_ctrlport_radio0_req_rd = radio0_m_ctrlport_req_rd; + assign m_ctrlport_radio0_req_addr = radio0_m_ctrlport_req_addr; + assign m_ctrlport_radio0_req_data = radio0_m_ctrlport_req_data; + assign m_ctrlport_radio0_req_byte_en = radio0_m_ctrlport_req_byte_en; + assign m_ctrlport_radio0_req_has_time = radio0_m_ctrlport_req_has_time; + assign m_ctrlport_radio0_req_time = radio0_m_ctrlport_req_time; + assign radio0_m_ctrlport_resp_ack = m_ctrlport_radio0_resp_ack; + assign radio0_m_ctrlport_resp_status = m_ctrlport_radio0_resp_status; + assign radio0_m_ctrlport_resp_data = m_ctrlport_radio0_resp_data; + + assign m_ctrlport_radio1_req_wr = radio1_m_ctrlport_req_wr; + assign m_ctrlport_radio1_req_rd = radio1_m_ctrlport_req_rd; + assign m_ctrlport_radio1_req_addr = radio1_m_ctrlport_req_addr; + assign m_ctrlport_radio1_req_data = radio1_m_ctrlport_req_data; + assign m_ctrlport_radio1_req_byte_en = radio1_m_ctrlport_req_byte_en; + assign m_ctrlport_radio1_req_has_time = radio1_m_ctrlport_req_has_time; + assign m_ctrlport_radio1_req_time = radio1_m_ctrlport_req_time; + assign radio1_m_ctrlport_resp_ack = m_ctrlport_radio1_resp_ack; + assign radio1_m_ctrlport_resp_status = m_ctrlport_radio1_resp_status; + assign radio1_m_ctrlport_resp_data = m_ctrlport_radio1_resp_data; + + assign radio0_radio_rx_data = radio_rx_data_radio0; + assign radio0_radio_rx_stb = radio_rx_stb_radio0; + assign radio_rx_running_radio0 = radio0_radio_rx_running; + assign radio_tx_data_radio0 = radio0_radio_tx_data; + assign radio0_radio_tx_stb = radio_tx_stb_radio0; + assign radio_tx_running_radio0 = radio0_radio_tx_running; + + assign radio1_radio_rx_data = radio_rx_data_radio1; + assign radio1_radio_rx_stb = radio_rx_stb_radio1; + assign radio_rx_running_radio1 = radio1_radio_rx_running; + assign radio_tx_data_radio1 = radio1_radio_tx_data; + assign radio1_radio_tx_stb = radio_tx_stb_radio1; + assign radio_tx_running_radio1 = radio1_radio_tx_running; + + assign fifo0_axi_rst = axi_rst; + assign m_axi_awid = fifo0_m_axi_awid; + assign m_axi_awaddr = fifo0_m_axi_awaddr; + assign m_axi_awlen = fifo0_m_axi_awlen; + assign m_axi_awsize = fifo0_m_axi_awsize; + assign m_axi_awburst = fifo0_m_axi_awburst; + assign m_axi_awlock = fifo0_m_axi_awlock; + assign m_axi_awcache = fifo0_m_axi_awcache; + assign m_axi_awprot = fifo0_m_axi_awprot; + assign m_axi_awqos = fifo0_m_axi_awqos; + assign m_axi_awregion = fifo0_m_axi_awregion; + assign m_axi_awuser = fifo0_m_axi_awuser; + assign m_axi_awvalid = fifo0_m_axi_awvalid; + assign fifo0_m_axi_awready = m_axi_awready; + assign m_axi_wdata = fifo0_m_axi_wdata; + assign m_axi_wstrb = fifo0_m_axi_wstrb; + assign m_axi_wlast = fifo0_m_axi_wlast; + assign m_axi_wuser = fifo0_m_axi_wuser; + assign m_axi_wvalid = fifo0_m_axi_wvalid; + assign fifo0_m_axi_wready = m_axi_wready; + assign fifo0_m_axi_bid = m_axi_bid; + assign fifo0_m_axi_bresp = m_axi_bresp; + assign fifo0_m_axi_buser = m_axi_buser; + assign fifo0_m_axi_bvalid = m_axi_bvalid; + assign m_axi_bready = fifo0_m_axi_bready; + assign m_axi_arid = fifo0_m_axi_arid; + assign m_axi_araddr = fifo0_m_axi_araddr; + assign m_axi_arlen = fifo0_m_axi_arlen; + assign m_axi_arsize = fifo0_m_axi_arsize; + assign m_axi_arburst = fifo0_m_axi_arburst; + assign m_axi_arlock = fifo0_m_axi_arlock; + assign m_axi_arcache = fifo0_m_axi_arcache; + assign m_axi_arprot = fifo0_m_axi_arprot; + assign m_axi_arqos = fifo0_m_axi_arqos; + assign m_axi_arregion = fifo0_m_axi_arregion; + assign m_axi_aruser = fifo0_m_axi_aruser; + assign m_axi_arvalid = fifo0_m_axi_arvalid; + assign fifo0_m_axi_arready = m_axi_arready; + assign fifo0_m_axi_rid = m_axi_rid; + assign fifo0_m_axi_rdata = m_axi_rdata; + assign fifo0_m_axi_rresp = m_axi_rresp; + assign fifo0_m_axi_rlast = m_axi_rlast; + assign fifo0_m_axi_ruser = m_axi_ruser; + assign fifo0_m_axi_rvalid = m_axi_rvalid; + assign m_axi_rready = fifo0_m_axi_rready; + + // Broadcaster/Listener Connections: + assign radio0_radio_time = radio_time; + + assign radio1_radio_time = radio_time; + +endmodule diff --git a/fpga/usrp3/top/n3xx/n310_bist_image_core.yml b/fpga/usrp3/top/n3xx/n310_bist_image_core.yml new file mode 100644 index 000000000..cb4331b15 --- /dev/null +++ b/fpga/usrp3/top/n3xx/n310_bist_image_core.yml @@ -0,0 +1,107 @@ +# General parameters +# ----------------------------------------- +schema: rfnoc_imagebuilder_args # Identifier for the schema used to validate this file +copyright: 'Ettus Research, A National Instruments Brand' # Copyright information used in file headers +license: 'SPDX-License-Identifier: LGPL-3.0-or-later' # License information used in file headers +version: 1.0 # File version +rfnoc_version: 1.0 # RFNoC protocol version +chdr_width: 64 # Bitwidth of the CHDR bus for this block +device: 'n310' +default_target: 'N310_AA' + +# A list of all stream endpoints in design +# ---------------------------------------- +stream_endpoints: + ep0: # Stream endpoint name + ctrl: True # Endpoint passes control traffic + data: True # Endpoint passes data traffic + buff_size: 16384 # Ingress buffer size for data + ep1: # Stream endpoint name + ctrl: False # Endpoint passes control traffic + data: True # Endpoint passes data traffic + buff_size: 16384 # Ingress buffer size for data + ep2: # Stream endpoint name + ctrl: False # Endpoint passes control traffic + data: True # Endpoint passes data traffic + buff_size: 16384 # Ingress buffer size for data + ep3: # Stream endpoint name + ctrl: False # Endpoint passes control traffic + data: True # Endpoint passes data traffic + buff_size: 16384 # Ingress buffer size for data + ep4: # Stream endpoint name + ctrl: False # Endpoint passes control traffic + data: True # Endpoint passes data traffic + buff_size: 16384 # Ingress buffer size for data + ep5: # Stream endpoint name + ctrl: False # Endpoint passes control traffic + data: True # Endpoint passes data traffic + buff_size: 16384 # Ingress buffer size for data + +# A list of all NoC blocks in design +# ---------------------------------- +noc_blocks: + radio0: + block_desc: 'radio_2x64.yml' + radio1: + block_desc: 'radio_2x64.yml' + fifo0: + block_desc: 'axi_ram_fifo_4x64.yml' + parameters: + # These parameters match the memory interface on the N3XX + NUM_PORTS: 4 + MEM_DATA_W: 64 + MEM_ADDR_W: 31 + FIFO_ADDR_BASE: "{30'h06000000, 30'h04000000, 30'h02000000, 30'h00000000}" + FIFO_ADDR_MASK: "{30'h01FFFFFF, 30'h01FFFFFF, 30'h01FFFFFF, 30'h01FFFFFF}" + MEM_CLK_RATE: "303819444" # 166.666666 MHz * 21.875 / 4 / 3 = 303.819444 MHz + +# A list of all static connections in design +# ------------------------------------------ +# Format: A list of connection maps (list of key-value pairs) with the following keys +# - srcblk = Source block to connect +# - srcport = Port on the source block to connect +# - dstblk = Destination block to connect +# - dstport = Port on the destination block to connect +connections: + # ep0 to radio0(0) - RF0 TX + - { srcblk: ep0, srcport: out0, dstblk: radio0, dstport: in_0 } + # radio0(0) to ep0 - RF0 RX + - { srcblk: radio0, srcport: out_0, dstblk: ep0, dstport: in0 } + # ep1 to radio0(1) - RF0 TX + - { srcblk: ep1, srcport: out0, dstblk: radio0, dstport: in_1 } + # radio0(1) to ep1 - RF0 RX + - { srcblk: radio0, srcport: out_1, dstblk: ep1, dstport: in0 } + # ep2 to radio1(0) - RF1 TX + - { srcblk: ep2, srcport: out0, dstblk: radio1, dstport: in_0 } + # radio1(0) to ep2 - RF1 RX + - { srcblk: radio1, srcport: out_0, dstblk: ep2, dstport: in0 } + # ep3 to radio1(1) - RF1 TX + - { srcblk: ep3, srcport: out0, dstblk: radio1, dstport: in_1 } + # radio1(1) to ep3 - RF1 RX + - { srcblk: radio1, srcport: out_1, dstblk: ep3, dstport: in0 } + # ep4 to fifo0(0) + - { srcblk: ep4, srcport: out0, dstblk: fifo0, dstport: in_0 } + - { srcblk: fifo0, srcport: out_0, dstblk: ep4, dstport: in0 } + # ep5 to fifo0(1) + - { srcblk: ep5, srcport: out0, dstblk: fifo0, dstport: in_1 } + - { srcblk: fifo0, srcport: out_1, dstblk: ep5, dstport: in0 } + # BSP Connections + - { srcblk: radio0, srcport: ctrl_port, dstblk: _device_, dstport: ctrlport_radio0 } + - { srcblk: radio1, srcport: ctrl_port, dstblk: _device_, dstport: ctrlport_radio1 } + - { srcblk: _device_, srcport: x300_radio0, dstblk: radio0, dstport: x300_radio } + - { srcblk: _device_, srcport: x300_radio1, dstblk: radio1, dstport: x300_radio } + - { srcblk: _device_, srcport: time_keeper, dstblk: radio0, dstport: time_keeper } + - { srcblk: _device_, srcport: time_keeper, dstblk: radio1, dstport: time_keeper } + - { srcblk: fifo0, srcport: axi_ram, dstblk: _device_, dstport: dram } + +# A list of all clock domain connections in design +# ------------------------------------------ +# Format: A list of connection maps (list of key-value pairs) with the following keys +# - srcblk = Source block to connect (Always "_device"_) +# - srcport = Clock domain on the source block to connect +# - dstblk = Destination block to connect +# - dstport = Clock domain on the destination block to connect +clk_domains: + - { srcblk: _device_, srcport: radio, dstblk: radio0, dstport: radio } + - { srcblk: _device_, srcport: radio, dstblk: radio1, dstport: radio } + - { srcblk: _device_, srcport: dram, dstblk: fifo0, dstport: mem } diff --git a/fpga/usrp3/top/n3xx/n310_bist_static_router.hex b/fpga/usrp3/top/n3xx/n310_bist_static_router.hex new file mode 100644 index 000000000..1e8382a50 --- /dev/null +++ b/fpga/usrp3/top/n3xx/n310_bist_static_router.hex @@ -0,0 +1,13 @@ +0000000C +004001c0 +01c00040 +008001c1 +01c10080 +00c00200 +020000c0 +01000201 +02010100 +01400240 +02400140 +01800241 +02410180 diff --git a/fpga/usrp3/top/n3xx/n310_dram.xdc b/fpga/usrp3/top/n3xx/n310_dram.xdc new file mode 100644 index 000000000..4b8218b2b --- /dev/null +++ b/fpga/usrp3/top/n3xx/n310_dram.xdc @@ -0,0 +1,33 @@ +# +# Copyright 2017 Ettus Research, A National Instruments Company +# SPDX-License-Identifier: LGPL-3.0 +# + + +# Declare the 100 MHz DRAM subsystem clock. +create_clock -name ddr3_ext_refclk -period 10.0 [get_ports sys_clk_p] + +# Rename IODELAY reference clock outputs from the MMCM inside MIG. +# These are based off the 200 MHz bus_clk. +create_generated_clock -name ddr3_iodelay_refclk \ + [get_pins {u_ddr3_32bit/u_ddr3_32bit_mig/u_iodelay_ctrl/clk_ref_mmcm_gen.mmcm_i/CLKOUT1}] +create_generated_clock -name ddr3_iodelay_refclk_fb \ + [get_pins {u_ddr3_32bit/u_ddr3_32bit_mig/u_iodelay_ctrl/clk_ref_mmcm_gen.mmcm_i/CLKFBOUT}] + +# Rename the UI clock appropriately. This clocks the slave AXI4 ports of the MIG as well +# as the AXI logic/interconnects in the remainder of the FPGA design. Should be 200 MHz. +create_generated_clock -name ddr3_ui_clk \ + [get_pins {u_ddr3_32bit/u_ddr3_32bit_mig/u_ddr3_infrastructure/gen_mmcm.mmcm_i/CLKFBOUT}] + +# Another UI clock at 300 MHz... not quite at 2x due to timing. +create_generated_clock -name ddr3_ui_clk_2x \ + [get_pins {u_ddr3_32bit/u_ddr3_32bit_mig/u_ddr3_infrastructure/gen_mmcm.mmcm_i/CLKOUT0}] + +# Phase shifting clock for the MIG. Drives a few resets. +create_generated_clock -name ddr3_ps_clk \ + [get_pins {u_ddr3_32bit/u_ddr3_32bit_mig/u_ddr3_infrastructure/gen_mmcm.mmcm_i/CLKOUT5}] + + + +# No need for any asynchronous clock groups between bus_clk and the MIG UI clocks, +# because bus_clk already has a blanket asynchronous constraint from the top level XDC. \ No newline at end of file diff --git a/fpga/usrp3/top/n3xx/n310_rfnoc_image_core.v b/fpga/usrp3/top/n3xx/n310_rfnoc_image_core.v new file mode 100644 index 000000000..027f176ce --- /dev/null +++ b/fpga/usrp3/top/n3xx/n310_rfnoc_image_core.v @@ -0,0 +1,1062 @@ +// +// Copyright 2019 Ettus Research, A National Instruments Brand +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// + +// Module: rfnoc_image_core (for n310) +// This file was autogenerated by UHD's image builder tool (rfnoc_image_builder) +// Re-running that tool will overwrite this file! +// File generated on: 2019-11-08T15:58:13.073656 +// Source: ./n3xx/n310_rfnoc_image_core.yml +// Source SHA256: a4a2464a5fdebb8ac35e78c2ea53ad7be23f8106376098188c9a4caeba8bcf0e + +module rfnoc_image_core #( + parameter [15:0] PROTOVER = {8'd1, 8'd0} +)( + // Clocks + input wire chdr_aclk, + input wire ctrl_aclk, + input wire core_arst, + input wire radio_clk, + input wire dram_clk, + // Basic + input wire [15:0] device_id, +//// IO ports ////////////////////////////////// +// ctrlport_radio0 + output wire [ 1-1:0] m_ctrlport_radio0_req_wr, + output wire [ 1-1:0] m_ctrlport_radio0_req_rd, + output wire [ 20-1:0] m_ctrlport_radio0_req_addr, + output wire [ 32-1:0] m_ctrlport_radio0_req_data, + output wire [ 4-1:0] m_ctrlport_radio0_req_byte_en, + output wire [ 1-1:0] m_ctrlport_radio0_req_has_time, + output wire [ 64-1:0] m_ctrlport_radio0_req_time, + input wire [ 1-1:0] m_ctrlport_radio0_resp_ack, + input wire [ 2-1:0] m_ctrlport_radio0_resp_status, + input wire [ 32-1:0] m_ctrlport_radio0_resp_data, +// ctrlport_radio1 + output wire [ 1-1:0] m_ctrlport_radio1_req_wr, + output wire [ 1-1:0] m_ctrlport_radio1_req_rd, + output wire [ 20-1:0] m_ctrlport_radio1_req_addr, + output wire [ 32-1:0] m_ctrlport_radio1_req_data, + output wire [ 4-1:0] m_ctrlport_radio1_req_byte_en, + output wire [ 1-1:0] m_ctrlport_radio1_req_has_time, + output wire [ 64-1:0] m_ctrlport_radio1_req_time, + input wire [ 1-1:0] m_ctrlport_radio1_resp_ack, + input wire [ 2-1:0] m_ctrlport_radio1_resp_status, + input wire [ 32-1:0] m_ctrlport_radio1_resp_data, +// time_keeper + input wire [ 64-1:0] radio_time, +// x300_radio0 + input wire [ 64-1:0] radio_rx_data_radio0, + input wire [ 2-1:0] radio_rx_stb_radio0, + output wire [ 2-1:0] radio_rx_running_radio0, + output wire [ 64-1:0] radio_tx_data_radio0, + input wire [ 2-1:0] radio_tx_stb_radio0, + output wire [ 2-1:0] radio_tx_running_radio0, +// x300_radio1 + input wire [ 64-1:0] radio_rx_data_radio1, + input wire [ 2-1:0] radio_rx_stb_radio1, + output wire [ 2-1:0] radio_rx_running_radio1, + output wire [ 64-1:0] radio_tx_data_radio1, + input wire [ 2-1:0] radio_tx_stb_radio1, + output wire [ 2-1:0] radio_tx_running_radio1, +// dram + input wire [ 1-1:0] axi_rst, + output wire [ 4-1:0] m_axi_awid, + output wire [128-1:0] m_axi_awaddr, + output wire [ 32-1:0] m_axi_awlen, + output wire [ 12-1:0] m_axi_awsize, + output wire [ 8-1:0] m_axi_awburst, + output wire [ 4-1:0] m_axi_awlock, + output wire [ 16-1:0] m_axi_awcache, + output wire [ 12-1:0] m_axi_awprot, + output wire [ 16-1:0] m_axi_awqos, + output wire [ 16-1:0] m_axi_awregion, + output wire [ 4-1:0] m_axi_awuser, + output wire [ 4-1:0] m_axi_awvalid, + input wire [ 4-1:0] m_axi_awready, + output wire [256-1:0] m_axi_wdata, + output wire [ 32-1:0] m_axi_wstrb, + output wire [ 4-1:0] m_axi_wlast, + output wire [ 4-1:0] m_axi_wuser, + output wire [ 4-1:0] m_axi_wvalid, + input wire [ 4-1:0] m_axi_wready, + input wire [ 4-1:0] m_axi_bid, + input wire [ 8-1:0] m_axi_bresp, + input wire [ 4-1:0] m_axi_buser, + input wire [ 4-1:0] m_axi_bvalid, + output wire [ 4-1:0] m_axi_bready, + output wire [ 4-1:0] m_axi_arid, + output wire [128-1:0] m_axi_araddr, + output wire [ 32-1:0] m_axi_arlen, + output wire [ 12-1:0] m_axi_arsize, + output wire [ 8-1:0] m_axi_arburst, + output wire [ 4-1:0] m_axi_arlock, + output wire [ 16-1:0] m_axi_arcache, + output wire [ 12-1:0] m_axi_arprot, + output wire [ 16-1:0] m_axi_arqos, + output wire [ 16-1:0] m_axi_arregion, + output wire [ 4-1:0] m_axi_aruser, + output wire [ 4-1:0] m_axi_arvalid, + input wire [ 4-1:0] m_axi_arready, + input wire [ 4-1:0] m_axi_rid, + input wire [256-1:0] m_axi_rdata, + input wire [ 8-1:0] m_axi_rresp, + input wire [ 4-1:0] m_axi_rlast, + input wire [ 4-1:0] m_axi_ruser, + input wire [ 4-1:0] m_axi_rvalid, + output wire [ 4-1:0] m_axi_rready, + // Transport 0 (eth0 1G) + input wire [64-1:0] s_eth0_tdata, + input wire s_eth0_tlast, + input wire s_eth0_tvalid, + output wire s_eth0_tready, + output wire [64-1:0] m_eth0_tdata, + output wire m_eth0_tlast, + output wire m_eth0_tvalid, + input wire m_eth0_tready, + // Transport 1 (eth1 10G) + input wire [64-1:0] s_eth1_tdata, + input wire s_eth1_tlast, + input wire s_eth1_tvalid, + output wire s_eth1_tready, + output wire [64-1:0] m_eth1_tdata, + output wire m_eth1_tlast, + output wire m_eth1_tvalid, + input wire m_eth1_tready, + // Transport 2 (dma dma) + input wire [64-1:0] s_dma_tdata, + input wire s_dma_tlast, + input wire s_dma_tvalid, + output wire s_dma_tready, + output wire [64-1:0] m_dma_tdata, + output wire m_dma_tlast, + output wire m_dma_tvalid, + input wire m_dma_tready +); + + localparam CHDR_W = 64; + localparam MTU = 10; + localparam EDGE_TBL_FILE = `"`RFNOC_EDGE_TBL_FILE`"; + + wire rfnoc_chdr_clk, rfnoc_chdr_rst; + wire rfnoc_ctrl_clk, rfnoc_ctrl_rst; + + // ---------------------------------------------------- + // CHDR Crossbar + // ---------------------------------------------------- + wire [CHDR_W-1:0] xb_to_ep0_tdata ; + wire xb_to_ep0_tlast ; + wire xb_to_ep0_tvalid; + wire xb_to_ep0_tready; + wire [CHDR_W-1:0] ep0_to_xb_tdata ; + wire ep0_to_xb_tlast ; + wire ep0_to_xb_tvalid; + wire ep0_to_xb_tready; + wire [CHDR_W-1:0] xb_to_ep1_tdata ; + wire xb_to_ep1_tlast ; + wire xb_to_ep1_tvalid; + wire xb_to_ep1_tready; + wire [CHDR_W-1:0] ep1_to_xb_tdata ; + wire ep1_to_xb_tlast ; + wire ep1_to_xb_tvalid; + wire ep1_to_xb_tready; + wire [CHDR_W-1:0] xb_to_ep2_tdata ; + wire xb_to_ep2_tlast ; + wire xb_to_ep2_tvalid; + wire xb_to_ep2_tready; + wire [CHDR_W-1:0] ep2_to_xb_tdata ; + wire ep2_to_xb_tlast ; + wire ep2_to_xb_tvalid; + wire ep2_to_xb_tready; + wire [CHDR_W-1:0] xb_to_ep3_tdata ; + wire xb_to_ep3_tlast ; + wire xb_to_ep3_tvalid; + wire xb_to_ep3_tready; + wire [CHDR_W-1:0] ep3_to_xb_tdata ; + wire ep3_to_xb_tlast ; + wire ep3_to_xb_tvalid; + wire ep3_to_xb_tready; + + chdr_crossbar_nxn #( + .CHDR_W (CHDR_W), + .NPORTS (7), + .DEFAULT_PORT (0), + .MTU (MTU), + .ROUTE_TBL_SIZE (6), + .MUX_ALLOC ("ROUND-ROBIN"), + .OPTIMIZE ("AREA"), + .NPORTS_MGMT (3), + .EXT_RTCFG_PORT (0), + .PROTOVER (PROTOVER) + ) chdr_xb_i ( + .clk (rfnoc_chdr_clk), + .reset (rfnoc_chdr_rst), + .device_id (device_id), + .s_axis_tdata ({ep3_to_xb_tdata, ep2_to_xb_tdata, ep1_to_xb_tdata, ep0_to_xb_tdata, s_dma_tdata, s_eth1_tdata, s_eth0_tdata}), + .s_axis_tlast ({ep3_to_xb_tlast, ep2_to_xb_tlast, ep1_to_xb_tlast, ep0_to_xb_tlast, s_dma_tlast, s_eth1_tlast, s_eth0_tlast}), + .s_axis_tvalid ({ep3_to_xb_tvalid, ep2_to_xb_tvalid, ep1_to_xb_tvalid, ep0_to_xb_tvalid, s_dma_tvalid, s_eth1_tvalid, s_eth0_tvalid}), + .s_axis_tready ({ep3_to_xb_tready, ep2_to_xb_tready, ep1_to_xb_tready, ep0_to_xb_tready, s_dma_tready, s_eth1_tready, s_eth0_tready}), + .m_axis_tdata ({xb_to_ep3_tdata, xb_to_ep2_tdata, xb_to_ep1_tdata, xb_to_ep0_tdata, m_dma_tdata, m_eth1_tdata, m_eth0_tdata}), + .m_axis_tlast ({xb_to_ep3_tlast, xb_to_ep2_tlast, xb_to_ep1_tlast, xb_to_ep0_tlast, m_dma_tlast, m_eth1_tlast, m_eth0_tlast}), + .m_axis_tvalid ({xb_to_ep3_tvalid, xb_to_ep2_tvalid, xb_to_ep1_tvalid, xb_to_ep0_tvalid, m_dma_tvalid, m_eth1_tvalid, m_eth0_tvalid}), + .m_axis_tready ({xb_to_ep3_tready, xb_to_ep2_tready, xb_to_ep1_tready, xb_to_ep0_tready, m_dma_tready, m_eth1_tready, m_eth0_tready}), + .ext_rtcfg_stb (1'h0), + .ext_rtcfg_addr (16'h0), + .ext_rtcfg_data (32'h0), + .ext_rtcfg_ack () + ); + + // ---------------------------------------------------- + // Stream Endpoints + // ---------------------------------------------------- + + wire [CHDR_W-1:0] m_ep0_out0_tdata; + wire m_ep0_out0_tlast; + wire m_ep0_out0_tvalid; + wire m_ep0_out0_tready; + wire [CHDR_W-1:0] s_ep0_in0_tdata; + wire s_ep0_in0_tlast; + wire s_ep0_in0_tvalid; + wire s_ep0_in0_tready; + wire [31:0] m_ep0_ctrl_tdata , s_ep0_ctrl_tdata ; + wire m_ep0_ctrl_tlast , s_ep0_ctrl_tlast ; + wire m_ep0_ctrl_tvalid, s_ep0_ctrl_tvalid; + wire m_ep0_ctrl_tready, s_ep0_ctrl_tready; + + chdr_stream_endpoint #( + .PROTOVER (PROTOVER), + .CHDR_W (CHDR_W), + .AXIS_CTRL_EN (1), + .AXIS_DATA_EN (1), + .NUM_DATA_I (1), + .NUM_DATA_O (1), + .INST_NUM (0), + .CTRL_XBAR_PORT (1), + .INGRESS_BUFF_SIZE (15), + .MTU (MTU), + .REPORT_STRM_ERRS (1) + ) ep0_i ( + .rfnoc_chdr_clk (rfnoc_chdr_clk ), + .rfnoc_chdr_rst (rfnoc_chdr_rst ), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk ), + .rfnoc_ctrl_rst (rfnoc_ctrl_rst ), + .device_id (device_id ), + .s_axis_chdr_tdata (xb_to_ep0_tdata ), + .s_axis_chdr_tlast (xb_to_ep0_tlast ), + .s_axis_chdr_tvalid (xb_to_ep0_tvalid ), + .s_axis_chdr_tready (xb_to_ep0_tready ), + .m_axis_chdr_tdata (ep0_to_xb_tdata ), + .m_axis_chdr_tlast (ep0_to_xb_tlast ), + .m_axis_chdr_tvalid (ep0_to_xb_tvalid ), + .m_axis_chdr_tready (ep0_to_xb_tready ), + .s_axis_data_tdata ({s_ep0_in0_tdata}), + .s_axis_data_tlast ({s_ep0_in0_tlast}), + .s_axis_data_tvalid ({s_ep0_in0_tvalid}), + .s_axis_data_tready ({s_ep0_in0_tready}), + .m_axis_data_tdata ({m_ep0_out0_tdata}), + .m_axis_data_tlast ({m_ep0_out0_tlast}), + .m_axis_data_tvalid ({m_ep0_out0_tvalid}), + .m_axis_data_tready ({m_ep0_out0_tready}), + .s_axis_ctrl_tdata (s_ep0_ctrl_tdata ), + .s_axis_ctrl_tlast (s_ep0_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_ep0_ctrl_tvalid), + .s_axis_ctrl_tready (s_ep0_ctrl_tready), + .m_axis_ctrl_tdata (m_ep0_ctrl_tdata ), + .m_axis_ctrl_tlast (m_ep0_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_ep0_ctrl_tvalid), + .m_axis_ctrl_tready (m_ep0_ctrl_tready), + .strm_seq_err_stb ( ), + .strm_data_err_stb ( ), + .strm_route_err_stb ( ), + .signal_data_err (1'b0 ) + ); + + wire [CHDR_W-1:0] m_ep1_out0_tdata; + wire m_ep1_out0_tlast; + wire m_ep1_out0_tvalid; + wire m_ep1_out0_tready; + wire [CHDR_W-1:0] s_ep1_in0_tdata; + wire s_ep1_in0_tlast; + wire s_ep1_in0_tvalid; + wire s_ep1_in0_tready; + wire [31:0] m_ep1_ctrl_tdata , s_ep1_ctrl_tdata ; + wire m_ep1_ctrl_tlast , s_ep1_ctrl_tlast ; + wire m_ep1_ctrl_tvalid, s_ep1_ctrl_tvalid; + wire m_ep1_ctrl_tready, s_ep1_ctrl_tready; + + chdr_stream_endpoint #( + .PROTOVER (PROTOVER), + .CHDR_W (CHDR_W), + .AXIS_CTRL_EN (0), + .AXIS_DATA_EN (1), + .NUM_DATA_I (1), + .NUM_DATA_O (1), + .INST_NUM (1), + .CTRL_XBAR_PORT (2), + .INGRESS_BUFF_SIZE (15), + .MTU (MTU), + .REPORT_STRM_ERRS (1) + ) ep1_i ( + .rfnoc_chdr_clk (rfnoc_chdr_clk ), + .rfnoc_chdr_rst (rfnoc_chdr_rst ), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk ), + .rfnoc_ctrl_rst (rfnoc_ctrl_rst ), + .device_id (device_id ), + .s_axis_chdr_tdata (xb_to_ep1_tdata ), + .s_axis_chdr_tlast (xb_to_ep1_tlast ), + .s_axis_chdr_tvalid (xb_to_ep1_tvalid ), + .s_axis_chdr_tready (xb_to_ep1_tready ), + .m_axis_chdr_tdata (ep1_to_xb_tdata ), + .m_axis_chdr_tlast (ep1_to_xb_tlast ), + .m_axis_chdr_tvalid (ep1_to_xb_tvalid ), + .m_axis_chdr_tready (ep1_to_xb_tready ), + .s_axis_data_tdata ({s_ep1_in0_tdata}), + .s_axis_data_tlast ({s_ep1_in0_tlast}), + .s_axis_data_tvalid ({s_ep1_in0_tvalid}), + .s_axis_data_tready ({s_ep1_in0_tready}), + .m_axis_data_tdata ({m_ep1_out0_tdata}), + .m_axis_data_tlast ({m_ep1_out0_tlast}), + .m_axis_data_tvalid ({m_ep1_out0_tvalid}), + .m_axis_data_tready ({m_ep1_out0_tready}), + .s_axis_ctrl_tdata (s_ep1_ctrl_tdata ), + .s_axis_ctrl_tlast (s_ep1_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_ep1_ctrl_tvalid), + .s_axis_ctrl_tready (s_ep1_ctrl_tready), + .m_axis_ctrl_tdata (m_ep1_ctrl_tdata ), + .m_axis_ctrl_tlast (m_ep1_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_ep1_ctrl_tvalid), + .m_axis_ctrl_tready (m_ep1_ctrl_tready), + .strm_seq_err_stb ( ), + .strm_data_err_stb ( ), + .strm_route_err_stb ( ), + .signal_data_err (1'b0 ) + ); + + wire [CHDR_W-1:0] m_ep2_out0_tdata; + wire m_ep2_out0_tlast; + wire m_ep2_out0_tvalid; + wire m_ep2_out0_tready; + wire [CHDR_W-1:0] s_ep2_in0_tdata; + wire s_ep2_in0_tlast; + wire s_ep2_in0_tvalid; + wire s_ep2_in0_tready; + wire [31:0] m_ep2_ctrl_tdata , s_ep2_ctrl_tdata ; + wire m_ep2_ctrl_tlast , s_ep2_ctrl_tlast ; + wire m_ep2_ctrl_tvalid, s_ep2_ctrl_tvalid; + wire m_ep2_ctrl_tready, s_ep2_ctrl_tready; + + chdr_stream_endpoint #( + .PROTOVER (PROTOVER), + .CHDR_W (CHDR_W), + .AXIS_CTRL_EN (0), + .AXIS_DATA_EN (1), + .NUM_DATA_I (1), + .NUM_DATA_O (1), + .INST_NUM (2), + .CTRL_XBAR_PORT (3), + .INGRESS_BUFF_SIZE (15), + .MTU (MTU), + .REPORT_STRM_ERRS (1) + ) ep2_i ( + .rfnoc_chdr_clk (rfnoc_chdr_clk ), + .rfnoc_chdr_rst (rfnoc_chdr_rst ), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk ), + .rfnoc_ctrl_rst (rfnoc_ctrl_rst ), + .device_id (device_id ), + .s_axis_chdr_tdata (xb_to_ep2_tdata ), + .s_axis_chdr_tlast (xb_to_ep2_tlast ), + .s_axis_chdr_tvalid (xb_to_ep2_tvalid ), + .s_axis_chdr_tready (xb_to_ep2_tready ), + .m_axis_chdr_tdata (ep2_to_xb_tdata ), + .m_axis_chdr_tlast (ep2_to_xb_tlast ), + .m_axis_chdr_tvalid (ep2_to_xb_tvalid ), + .m_axis_chdr_tready (ep2_to_xb_tready ), + .s_axis_data_tdata ({s_ep2_in0_tdata}), + .s_axis_data_tlast ({s_ep2_in0_tlast}), + .s_axis_data_tvalid ({s_ep2_in0_tvalid}), + .s_axis_data_tready ({s_ep2_in0_tready}), + .m_axis_data_tdata ({m_ep2_out0_tdata}), + .m_axis_data_tlast ({m_ep2_out0_tlast}), + .m_axis_data_tvalid ({m_ep2_out0_tvalid}), + .m_axis_data_tready ({m_ep2_out0_tready}), + .s_axis_ctrl_tdata (s_ep2_ctrl_tdata ), + .s_axis_ctrl_tlast (s_ep2_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_ep2_ctrl_tvalid), + .s_axis_ctrl_tready (s_ep2_ctrl_tready), + .m_axis_ctrl_tdata (m_ep2_ctrl_tdata ), + .m_axis_ctrl_tlast (m_ep2_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_ep2_ctrl_tvalid), + .m_axis_ctrl_tready (m_ep2_ctrl_tready), + .strm_seq_err_stb ( ), + .strm_data_err_stb ( ), + .strm_route_err_stb ( ), + .signal_data_err (1'b0 ) + ); + + wire [CHDR_W-1:0] m_ep3_out0_tdata; + wire m_ep3_out0_tlast; + wire m_ep3_out0_tvalid; + wire m_ep3_out0_tready; + wire [CHDR_W-1:0] s_ep3_in0_tdata; + wire s_ep3_in0_tlast; + wire s_ep3_in0_tvalid; + wire s_ep3_in0_tready; + wire [31:0] m_ep3_ctrl_tdata , s_ep3_ctrl_tdata ; + wire m_ep3_ctrl_tlast , s_ep3_ctrl_tlast ; + wire m_ep3_ctrl_tvalid, s_ep3_ctrl_tvalid; + wire m_ep3_ctrl_tready, s_ep3_ctrl_tready; + + chdr_stream_endpoint #( + .PROTOVER (PROTOVER), + .CHDR_W (CHDR_W), + .AXIS_CTRL_EN (0), + .AXIS_DATA_EN (1), + .NUM_DATA_I (1), + .NUM_DATA_O (1), + .INST_NUM (3), + .CTRL_XBAR_PORT (4), + .INGRESS_BUFF_SIZE (15), + .MTU (MTU), + .REPORT_STRM_ERRS (1) + ) ep3_i ( + .rfnoc_chdr_clk (rfnoc_chdr_clk ), + .rfnoc_chdr_rst (rfnoc_chdr_rst ), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk ), + .rfnoc_ctrl_rst (rfnoc_ctrl_rst ), + .device_id (device_id ), + .s_axis_chdr_tdata (xb_to_ep3_tdata ), + .s_axis_chdr_tlast (xb_to_ep3_tlast ), + .s_axis_chdr_tvalid (xb_to_ep3_tvalid ), + .s_axis_chdr_tready (xb_to_ep3_tready ), + .m_axis_chdr_tdata (ep3_to_xb_tdata ), + .m_axis_chdr_tlast (ep3_to_xb_tlast ), + .m_axis_chdr_tvalid (ep3_to_xb_tvalid ), + .m_axis_chdr_tready (ep3_to_xb_tready ), + .s_axis_data_tdata ({s_ep3_in0_tdata}), + .s_axis_data_tlast ({s_ep3_in0_tlast}), + .s_axis_data_tvalid ({s_ep3_in0_tvalid}), + .s_axis_data_tready ({s_ep3_in0_tready}), + .m_axis_data_tdata ({m_ep3_out0_tdata}), + .m_axis_data_tlast ({m_ep3_out0_tlast}), + .m_axis_data_tvalid ({m_ep3_out0_tvalid}), + .m_axis_data_tready ({m_ep3_out0_tready}), + .s_axis_ctrl_tdata (s_ep3_ctrl_tdata ), + .s_axis_ctrl_tlast (s_ep3_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_ep3_ctrl_tvalid), + .s_axis_ctrl_tready (s_ep3_ctrl_tready), + .m_axis_ctrl_tdata (m_ep3_ctrl_tdata ), + .m_axis_ctrl_tlast (m_ep3_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_ep3_ctrl_tvalid), + .m_axis_ctrl_tready (m_ep3_ctrl_tready), + .strm_seq_err_stb ( ), + .strm_data_err_stb ( ), + .strm_route_err_stb ( ), + .signal_data_err (1'b0 ) + ); + + + + // ---------------------------------------------------- + // Control Crossbar + // ---------------------------------------------------- + + wire [31:0] m_core_ctrl_tdata , s_core_ctrl_tdata ; + wire m_core_ctrl_tlast , s_core_ctrl_tlast ; + wire m_core_ctrl_tvalid, s_core_ctrl_tvalid; + wire m_core_ctrl_tready, s_core_ctrl_tready; + wire [31:0] m_duc0_ctrl_tdata , s_duc0_ctrl_tdata ; + wire m_duc0_ctrl_tlast , s_duc0_ctrl_tlast ; + wire m_duc0_ctrl_tvalid, s_duc0_ctrl_tvalid; + wire m_duc0_ctrl_tready, s_duc0_ctrl_tready; + wire [31:0] m_ddc0_ctrl_tdata , s_ddc0_ctrl_tdata ; + wire m_ddc0_ctrl_tlast , s_ddc0_ctrl_tlast ; + wire m_ddc0_ctrl_tvalid, s_ddc0_ctrl_tvalid; + wire m_ddc0_ctrl_tready, s_ddc0_ctrl_tready; + wire [31:0] m_radio0_ctrl_tdata , s_radio0_ctrl_tdata ; + wire m_radio0_ctrl_tlast , s_radio0_ctrl_tlast ; + wire m_radio0_ctrl_tvalid, s_radio0_ctrl_tvalid; + wire m_radio0_ctrl_tready, s_radio0_ctrl_tready; + wire [31:0] m_duc1_ctrl_tdata , s_duc1_ctrl_tdata ; + wire m_duc1_ctrl_tlast , s_duc1_ctrl_tlast ; + wire m_duc1_ctrl_tvalid, s_duc1_ctrl_tvalid; + wire m_duc1_ctrl_tready, s_duc1_ctrl_tready; + wire [31:0] m_ddc1_ctrl_tdata , s_ddc1_ctrl_tdata ; + wire m_ddc1_ctrl_tlast , s_ddc1_ctrl_tlast ; + wire m_ddc1_ctrl_tvalid, s_ddc1_ctrl_tvalid; + wire m_ddc1_ctrl_tready, s_ddc1_ctrl_tready; + wire [31:0] m_radio1_ctrl_tdata , s_radio1_ctrl_tdata ; + wire m_radio1_ctrl_tlast , s_radio1_ctrl_tlast ; + wire m_radio1_ctrl_tvalid, s_radio1_ctrl_tvalid; + wire m_radio1_ctrl_tready, s_radio1_ctrl_tready; + + axis_ctrl_crossbar_nxn #( + .WIDTH (32), + .NPORTS (8), + .TOPOLOGY ("TORUS"), + .INGRESS_BUFF_SIZE(5), + .ROUTER_BUFF_SIZE (5), + .ROUTING_ALLOC ("WORMHOLE"), + .SWITCH_ALLOC ("PRIO") + ) ctrl_xb_i ( + .clk (rfnoc_ctrl_clk), + .reset (rfnoc_ctrl_rst), + .s_axis_tdata ({m_radio1_ctrl_tdata , m_ddc1_ctrl_tdata , m_duc1_ctrl_tdata , m_radio0_ctrl_tdata , m_ddc0_ctrl_tdata , m_duc0_ctrl_tdata , m_ep0_ctrl_tdata , m_core_ctrl_tdata }), + .s_axis_tvalid ({m_radio1_ctrl_tvalid, m_ddc1_ctrl_tvalid, m_duc1_ctrl_tvalid, m_radio0_ctrl_tvalid, m_ddc0_ctrl_tvalid, m_duc0_ctrl_tvalid, m_ep0_ctrl_tvalid, m_core_ctrl_tvalid}), + .s_axis_tlast ({m_radio1_ctrl_tlast , m_ddc1_ctrl_tlast , m_duc1_ctrl_tlast , m_radio0_ctrl_tlast , m_ddc0_ctrl_tlast , m_duc0_ctrl_tlast , m_ep0_ctrl_tlast , m_core_ctrl_tlast }), + .s_axis_tready ({m_radio1_ctrl_tready, m_ddc1_ctrl_tready, m_duc1_ctrl_tready, m_radio0_ctrl_tready, m_ddc0_ctrl_tready, m_duc0_ctrl_tready, m_ep0_ctrl_tready, m_core_ctrl_tready}), + .m_axis_tdata ({s_radio1_ctrl_tdata , s_ddc1_ctrl_tdata , s_duc1_ctrl_tdata , s_radio0_ctrl_tdata , s_ddc0_ctrl_tdata , s_duc0_ctrl_tdata , s_ep0_ctrl_tdata , s_core_ctrl_tdata }), + .m_axis_tvalid ({s_radio1_ctrl_tvalid, s_ddc1_ctrl_tvalid, s_duc1_ctrl_tvalid, s_radio0_ctrl_tvalid, s_ddc0_ctrl_tvalid, s_duc0_ctrl_tvalid, s_ep0_ctrl_tvalid, s_core_ctrl_tvalid}), + .m_axis_tlast ({s_radio1_ctrl_tlast , s_ddc1_ctrl_tlast , s_duc1_ctrl_tlast , s_radio0_ctrl_tlast , s_ddc0_ctrl_tlast , s_duc0_ctrl_tlast , s_ep0_ctrl_tlast , s_core_ctrl_tlast }), + .m_axis_tready ({s_radio1_ctrl_tready, s_ddc1_ctrl_tready, s_duc1_ctrl_tready, s_radio0_ctrl_tready, s_ddc0_ctrl_tready, s_duc0_ctrl_tready, s_ep0_ctrl_tready, s_core_ctrl_tready}), + .deadlock_detected() + ); + + // ---------------------------------------------------- + // RFNoC Core Kernel + // ---------------------------------------------------- + wire [(512*6)-1:0] rfnoc_core_config, rfnoc_core_status; + + rfnoc_core_kernel #( + .PROTOVER (PROTOVER), + .DEVICE_TYPE (16'h1300), + .DEVICE_FAMILY ("7SERIES"), + .SAFE_START_CLKS (0), + .NUM_BLOCKS (6), + .NUM_STREAM_ENDPOINTS(4), + .NUM_ENDPOINTS_CTRL (1), + .NUM_TRANSPORTS (3), + .NUM_EDGES (16), + .CHDR_XBAR_PRESENT (1), + .EDGE_TBL_FILE (EDGE_TBL_FILE) + ) core_kernel_i ( + .chdr_aclk (chdr_aclk), + .chdr_aclk_locked (1'b1), + .ctrl_aclk (ctrl_aclk), + .ctrl_aclk_locked (1'b1), + .core_arst (core_arst), + .core_chdr_clk (rfnoc_chdr_clk), + .core_chdr_rst (rfnoc_chdr_rst), + .core_ctrl_clk (rfnoc_ctrl_clk), + .core_ctrl_rst (rfnoc_ctrl_rst), + .s_axis_ctrl_tdata (s_core_ctrl_tdata ), + .s_axis_ctrl_tlast (s_core_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_core_ctrl_tvalid), + .s_axis_ctrl_tready (s_core_ctrl_tready), + .m_axis_ctrl_tdata (m_core_ctrl_tdata ), + .m_axis_ctrl_tlast (m_core_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_core_ctrl_tvalid), + .m_axis_ctrl_tready (m_core_ctrl_tready), + .device_id (device_id), + .rfnoc_core_config (rfnoc_core_config), + .rfnoc_core_status (rfnoc_core_status) + ); + + // ---------------------------------------------------- + // Blocks + // ---------------------------------------------------- + + // ---------------------------------------------------- + // duc0 + // ---------------------------------------------------- + wire duc0_ce_clk; + wire [CHDR_W-1:0] s_duc0_in_1_tdata , s_duc0_in_0_tdata ; + wire s_duc0_in_1_tlast , s_duc0_in_0_tlast ; + wire s_duc0_in_1_tvalid, s_duc0_in_0_tvalid; + wire s_duc0_in_1_tready, s_duc0_in_0_tready; + wire [CHDR_W-1:0] m_duc0_out_1_tdata , m_duc0_out_0_tdata ; + wire m_duc0_out_1_tlast , m_duc0_out_0_tlast ; + wire m_duc0_out_1_tvalid, m_duc0_out_0_tvalid; + wire m_duc0_out_1_tready, m_duc0_out_0_tready; + + + rfnoc_block_duc #( + .THIS_PORTID(2), + .CHDR_W(CHDR_W), + .NUM_PORTS(2), + .NUM_HB(3), + .CIC_MAX_INTERP(255), + .MTU(MTU) + ) b_duc0_0 ( + .rfnoc_chdr_clk (rfnoc_chdr_clk), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk), + .ce_clk(duc0_ce_clk), + .rfnoc_core_config (rfnoc_core_config[512*1-1:512*0]), + .rfnoc_core_status (rfnoc_core_status[512*1-1:512*0]), + + + .s_rfnoc_chdr_tdata ({s_duc0_in_1_tdata , s_duc0_in_0_tdata }), + .s_rfnoc_chdr_tlast ({s_duc0_in_1_tlast , s_duc0_in_0_tlast }), + .s_rfnoc_chdr_tvalid({s_duc0_in_1_tvalid, s_duc0_in_0_tvalid}), + .s_rfnoc_chdr_tready({s_duc0_in_1_tready, s_duc0_in_0_tready}), + .m_rfnoc_chdr_tdata ({m_duc0_out_1_tdata , m_duc0_out_0_tdata }), + .m_rfnoc_chdr_tlast ({m_duc0_out_1_tlast , m_duc0_out_0_tlast }), + .m_rfnoc_chdr_tvalid({m_duc0_out_1_tvalid, m_duc0_out_0_tvalid}), + .m_rfnoc_chdr_tready({m_duc0_out_1_tready, m_duc0_out_0_tready}), + .s_rfnoc_ctrl_tdata (s_duc0_ctrl_tdata ), + .s_rfnoc_ctrl_tlast (s_duc0_ctrl_tlast ), + .s_rfnoc_ctrl_tvalid(s_duc0_ctrl_tvalid), + .s_rfnoc_ctrl_tready(s_duc0_ctrl_tready), + .m_rfnoc_ctrl_tdata (m_duc0_ctrl_tdata ), + .m_rfnoc_ctrl_tlast (m_duc0_ctrl_tlast ), + .m_rfnoc_ctrl_tvalid(m_duc0_ctrl_tvalid), + .m_rfnoc_ctrl_tready(m_duc0_ctrl_tready) + ); + + + // ---------------------------------------------------- + // ddc0 + // ---------------------------------------------------- + wire ddc0_ce_clk; + wire [CHDR_W-1:0] s_ddc0_in_1_tdata , s_ddc0_in_0_tdata ; + wire s_ddc0_in_1_tlast , s_ddc0_in_0_tlast ; + wire s_ddc0_in_1_tvalid, s_ddc0_in_0_tvalid; + wire s_ddc0_in_1_tready, s_ddc0_in_0_tready; + wire [CHDR_W-1:0] m_ddc0_out_1_tdata , m_ddc0_out_0_tdata ; + wire m_ddc0_out_1_tlast , m_ddc0_out_0_tlast ; + wire m_ddc0_out_1_tvalid, m_ddc0_out_0_tvalid; + wire m_ddc0_out_1_tready, m_ddc0_out_0_tready; + + + rfnoc_block_ddc #( + .THIS_PORTID(3), + .CHDR_W(CHDR_W), + .NUM_PORTS(2), + .NUM_HB(3), + .CIC_MAX_DECIM(255), + .MTU(MTU) + ) b_ddc0_1 ( + .rfnoc_chdr_clk (rfnoc_chdr_clk), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk), + .ce_clk(ddc0_ce_clk), + .rfnoc_core_config (rfnoc_core_config[512*2-1:512*1]), + .rfnoc_core_status (rfnoc_core_status[512*2-1:512*1]), + + + .s_rfnoc_chdr_tdata ({s_ddc0_in_1_tdata , s_ddc0_in_0_tdata }), + .s_rfnoc_chdr_tlast ({s_ddc0_in_1_tlast , s_ddc0_in_0_tlast }), + .s_rfnoc_chdr_tvalid({s_ddc0_in_1_tvalid, s_ddc0_in_0_tvalid}), + .s_rfnoc_chdr_tready({s_ddc0_in_1_tready, s_ddc0_in_0_tready}), + .m_rfnoc_chdr_tdata ({m_ddc0_out_1_tdata , m_ddc0_out_0_tdata }), + .m_rfnoc_chdr_tlast ({m_ddc0_out_1_tlast , m_ddc0_out_0_tlast }), + .m_rfnoc_chdr_tvalid({m_ddc0_out_1_tvalid, m_ddc0_out_0_tvalid}), + .m_rfnoc_chdr_tready({m_ddc0_out_1_tready, m_ddc0_out_0_tready}), + .s_rfnoc_ctrl_tdata (s_ddc0_ctrl_tdata ), + .s_rfnoc_ctrl_tlast (s_ddc0_ctrl_tlast ), + .s_rfnoc_ctrl_tvalid(s_ddc0_ctrl_tvalid), + .s_rfnoc_ctrl_tready(s_ddc0_ctrl_tready), + .m_rfnoc_ctrl_tdata (m_ddc0_ctrl_tdata ), + .m_rfnoc_ctrl_tlast (m_ddc0_ctrl_tlast ), + .m_rfnoc_ctrl_tvalid(m_ddc0_ctrl_tvalid), + .m_rfnoc_ctrl_tready(m_ddc0_ctrl_tready) + ); + + + // ---------------------------------------------------- + // radio0 + // ---------------------------------------------------- + wire radio0_radio_clk; + wire [CHDR_W-1:0] s_radio0_in_1_tdata , s_radio0_in_0_tdata ; + wire s_radio0_in_1_tlast , s_radio0_in_0_tlast ; + wire s_radio0_in_1_tvalid, s_radio0_in_0_tvalid; + wire s_radio0_in_1_tready, s_radio0_in_0_tready; + wire [CHDR_W-1:0] m_radio0_out_1_tdata , m_radio0_out_0_tdata ; + wire m_radio0_out_1_tlast , m_radio0_out_0_tlast ; + wire m_radio0_out_1_tvalid, m_radio0_out_0_tvalid; + wire m_radio0_out_1_tready, m_radio0_out_0_tready; + + // ctrl_port + wire [ 1-1:0] radio0_m_ctrlport_req_wr; + wire [ 1-1:0] radio0_m_ctrlport_req_rd; + wire [ 20-1:0] radio0_m_ctrlport_req_addr; + wire [ 32-1:0] radio0_m_ctrlport_req_data; + wire [ 4-1:0] radio0_m_ctrlport_req_byte_en; + wire [ 1-1:0] radio0_m_ctrlport_req_has_time; + wire [ 64-1:0] radio0_m_ctrlport_req_time; + wire [ 1-1:0] radio0_m_ctrlport_resp_ack; + wire [ 2-1:0] radio0_m_ctrlport_resp_status; + wire [ 32-1:0] radio0_m_ctrlport_resp_data; + // time_keeper + wire [ 64-1:0] radio0_radio_time; + // x300_radio + wire [ 64-1:0] radio0_radio_rx_data; + wire [ 2-1:0] radio0_radio_rx_stb; + wire [ 2-1:0] radio0_radio_rx_running; + wire [ 64-1:0] radio0_radio_tx_data; + wire [ 2-1:0] radio0_radio_tx_stb; + wire [ 2-1:0] radio0_radio_tx_running; + + rfnoc_block_radio #( + .THIS_PORTID(4), + .CHDR_W(CHDR_W), + .NUM_PORTS(2), + .MTU(MTU) + ) b_radio0_2 ( + .rfnoc_chdr_clk (rfnoc_chdr_clk), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk), + .radio_clk(radio0_radio_clk), + .rfnoc_core_config (rfnoc_core_config[512*3-1:512*2]), + .rfnoc_core_status (rfnoc_core_status[512*3-1:512*2]), + + .m_ctrlport_req_wr(radio0_m_ctrlport_req_wr), + .m_ctrlport_req_rd(radio0_m_ctrlport_req_rd), + .m_ctrlport_req_addr(radio0_m_ctrlport_req_addr), + .m_ctrlport_req_data(radio0_m_ctrlport_req_data), + .m_ctrlport_req_byte_en(radio0_m_ctrlport_req_byte_en), + .m_ctrlport_req_has_time(radio0_m_ctrlport_req_has_time), + .m_ctrlport_req_time(radio0_m_ctrlport_req_time), + .m_ctrlport_resp_ack(radio0_m_ctrlport_resp_ack), + .m_ctrlport_resp_status(radio0_m_ctrlport_resp_status), + .m_ctrlport_resp_data(radio0_m_ctrlport_resp_data), + .radio_time(radio0_radio_time), + .radio_rx_data(radio0_radio_rx_data), + .radio_rx_stb(radio0_radio_rx_stb), + .radio_rx_running(radio0_radio_rx_running), + .radio_tx_data(radio0_radio_tx_data), + .radio_tx_stb(radio0_radio_tx_stb), + .radio_tx_running(radio0_radio_tx_running), + + .s_rfnoc_chdr_tdata ({s_radio0_in_1_tdata , s_radio0_in_0_tdata }), + .s_rfnoc_chdr_tlast ({s_radio0_in_1_tlast , s_radio0_in_0_tlast }), + .s_rfnoc_chdr_tvalid({s_radio0_in_1_tvalid, s_radio0_in_0_tvalid}), + .s_rfnoc_chdr_tready({s_radio0_in_1_tready, s_radio0_in_0_tready}), + .m_rfnoc_chdr_tdata ({m_radio0_out_1_tdata , m_radio0_out_0_tdata }), + .m_rfnoc_chdr_tlast ({m_radio0_out_1_tlast , m_radio0_out_0_tlast }), + .m_rfnoc_chdr_tvalid({m_radio0_out_1_tvalid, m_radio0_out_0_tvalid}), + .m_rfnoc_chdr_tready({m_radio0_out_1_tready, m_radio0_out_0_tready}), + .s_rfnoc_ctrl_tdata (s_radio0_ctrl_tdata ), + .s_rfnoc_ctrl_tlast (s_radio0_ctrl_tlast ), + .s_rfnoc_ctrl_tvalid(s_radio0_ctrl_tvalid), + .s_rfnoc_ctrl_tready(s_radio0_ctrl_tready), + .m_rfnoc_ctrl_tdata (m_radio0_ctrl_tdata ), + .m_rfnoc_ctrl_tlast (m_radio0_ctrl_tlast ), + .m_rfnoc_ctrl_tvalid(m_radio0_ctrl_tvalid), + .m_rfnoc_ctrl_tready(m_radio0_ctrl_tready) + ); + + + // ---------------------------------------------------- + // duc1 + // ---------------------------------------------------- + wire duc1_ce_clk; + wire [CHDR_W-1:0] s_duc1_in_1_tdata , s_duc1_in_0_tdata ; + wire s_duc1_in_1_tlast , s_duc1_in_0_tlast ; + wire s_duc1_in_1_tvalid, s_duc1_in_0_tvalid; + wire s_duc1_in_1_tready, s_duc1_in_0_tready; + wire [CHDR_W-1:0] m_duc1_out_1_tdata , m_duc1_out_0_tdata ; + wire m_duc1_out_1_tlast , m_duc1_out_0_tlast ; + wire m_duc1_out_1_tvalid, m_duc1_out_0_tvalid; + wire m_duc1_out_1_tready, m_duc1_out_0_tready; + + + rfnoc_block_duc #( + .THIS_PORTID(5), + .CHDR_W(CHDR_W), + .NUM_PORTS(2), + .NUM_HB(3), + .CIC_MAX_INTERP(255), + .MTU(MTU) + ) b_duc1_3 ( + .rfnoc_chdr_clk (rfnoc_chdr_clk), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk), + .ce_clk(duc1_ce_clk), + .rfnoc_core_config (rfnoc_core_config[512*4-1:512*3]), + .rfnoc_core_status (rfnoc_core_status[512*4-1:512*3]), + + + .s_rfnoc_chdr_tdata ({s_duc1_in_1_tdata , s_duc1_in_0_tdata }), + .s_rfnoc_chdr_tlast ({s_duc1_in_1_tlast , s_duc1_in_0_tlast }), + .s_rfnoc_chdr_tvalid({s_duc1_in_1_tvalid, s_duc1_in_0_tvalid}), + .s_rfnoc_chdr_tready({s_duc1_in_1_tready, s_duc1_in_0_tready}), + .m_rfnoc_chdr_tdata ({m_duc1_out_1_tdata , m_duc1_out_0_tdata }), + .m_rfnoc_chdr_tlast ({m_duc1_out_1_tlast , m_duc1_out_0_tlast }), + .m_rfnoc_chdr_tvalid({m_duc1_out_1_tvalid, m_duc1_out_0_tvalid}), + .m_rfnoc_chdr_tready({m_duc1_out_1_tready, m_duc1_out_0_tready}), + .s_rfnoc_ctrl_tdata (s_duc1_ctrl_tdata ), + .s_rfnoc_ctrl_tlast (s_duc1_ctrl_tlast ), + .s_rfnoc_ctrl_tvalid(s_duc1_ctrl_tvalid), + .s_rfnoc_ctrl_tready(s_duc1_ctrl_tready), + .m_rfnoc_ctrl_tdata (m_duc1_ctrl_tdata ), + .m_rfnoc_ctrl_tlast (m_duc1_ctrl_tlast ), + .m_rfnoc_ctrl_tvalid(m_duc1_ctrl_tvalid), + .m_rfnoc_ctrl_tready(m_duc1_ctrl_tready) + ); + + + // ---------------------------------------------------- + // ddc1 + // ---------------------------------------------------- + wire ddc1_ce_clk; + wire [CHDR_W-1:0] s_ddc1_in_1_tdata , s_ddc1_in_0_tdata ; + wire s_ddc1_in_1_tlast , s_ddc1_in_0_tlast ; + wire s_ddc1_in_1_tvalid, s_ddc1_in_0_tvalid; + wire s_ddc1_in_1_tready, s_ddc1_in_0_tready; + wire [CHDR_W-1:0] m_ddc1_out_1_tdata , m_ddc1_out_0_tdata ; + wire m_ddc1_out_1_tlast , m_ddc1_out_0_tlast ; + wire m_ddc1_out_1_tvalid, m_ddc1_out_0_tvalid; + wire m_ddc1_out_1_tready, m_ddc1_out_0_tready; + + + rfnoc_block_ddc #( + .THIS_PORTID(6), + .CHDR_W(CHDR_W), + .NUM_PORTS(2), + .NUM_HB(3), + .CIC_MAX_DECIM(255), + .MTU(MTU) + ) b_ddc1_4 ( + .rfnoc_chdr_clk (rfnoc_chdr_clk), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk), + .ce_clk(ddc1_ce_clk), + .rfnoc_core_config (rfnoc_core_config[512*5-1:512*4]), + .rfnoc_core_status (rfnoc_core_status[512*5-1:512*4]), + + + .s_rfnoc_chdr_tdata ({s_ddc1_in_1_tdata , s_ddc1_in_0_tdata }), + .s_rfnoc_chdr_tlast ({s_ddc1_in_1_tlast , s_ddc1_in_0_tlast }), + .s_rfnoc_chdr_tvalid({s_ddc1_in_1_tvalid, s_ddc1_in_0_tvalid}), + .s_rfnoc_chdr_tready({s_ddc1_in_1_tready, s_ddc1_in_0_tready}), + .m_rfnoc_chdr_tdata ({m_ddc1_out_1_tdata , m_ddc1_out_0_tdata }), + .m_rfnoc_chdr_tlast ({m_ddc1_out_1_tlast , m_ddc1_out_0_tlast }), + .m_rfnoc_chdr_tvalid({m_ddc1_out_1_tvalid, m_ddc1_out_0_tvalid}), + .m_rfnoc_chdr_tready({m_ddc1_out_1_tready, m_ddc1_out_0_tready}), + .s_rfnoc_ctrl_tdata (s_ddc1_ctrl_tdata ), + .s_rfnoc_ctrl_tlast (s_ddc1_ctrl_tlast ), + .s_rfnoc_ctrl_tvalid(s_ddc1_ctrl_tvalid), + .s_rfnoc_ctrl_tready(s_ddc1_ctrl_tready), + .m_rfnoc_ctrl_tdata (m_ddc1_ctrl_tdata ), + .m_rfnoc_ctrl_tlast (m_ddc1_ctrl_tlast ), + .m_rfnoc_ctrl_tvalid(m_ddc1_ctrl_tvalid), + .m_rfnoc_ctrl_tready(m_ddc1_ctrl_tready) + ); + + + // ---------------------------------------------------- + // radio1 + // ---------------------------------------------------- + wire radio1_radio_clk; + wire [CHDR_W-1:0] s_radio1_in_1_tdata , s_radio1_in_0_tdata ; + wire s_radio1_in_1_tlast , s_radio1_in_0_tlast ; + wire s_radio1_in_1_tvalid, s_radio1_in_0_tvalid; + wire s_radio1_in_1_tready, s_radio1_in_0_tready; + wire [CHDR_W-1:0] m_radio1_out_1_tdata , m_radio1_out_0_tdata ; + wire m_radio1_out_1_tlast , m_radio1_out_0_tlast ; + wire m_radio1_out_1_tvalid, m_radio1_out_0_tvalid; + wire m_radio1_out_1_tready, m_radio1_out_0_tready; + + // ctrl_port + wire [ 1-1:0] radio1_m_ctrlport_req_wr; + wire [ 1-1:0] radio1_m_ctrlport_req_rd; + wire [ 20-1:0] radio1_m_ctrlport_req_addr; + wire [ 32-1:0] radio1_m_ctrlport_req_data; + wire [ 4-1:0] radio1_m_ctrlport_req_byte_en; + wire [ 1-1:0] radio1_m_ctrlport_req_has_time; + wire [ 64-1:0] radio1_m_ctrlport_req_time; + wire [ 1-1:0] radio1_m_ctrlport_resp_ack; + wire [ 2-1:0] radio1_m_ctrlport_resp_status; + wire [ 32-1:0] radio1_m_ctrlport_resp_data; + // time_keeper + wire [ 64-1:0] radio1_radio_time; + // x300_radio + wire [ 64-1:0] radio1_radio_rx_data; + wire [ 2-1:0] radio1_radio_rx_stb; + wire [ 2-1:0] radio1_radio_rx_running; + wire [ 64-1:0] radio1_radio_tx_data; + wire [ 2-1:0] radio1_radio_tx_stb; + wire [ 2-1:0] radio1_radio_tx_running; + + rfnoc_block_radio #( + .THIS_PORTID(7), + .CHDR_W(CHDR_W), + .NUM_PORTS(2), + .MTU(MTU) + ) b_radio1_5 ( + .rfnoc_chdr_clk (rfnoc_chdr_clk), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk), + .radio_clk(radio1_radio_clk), + .rfnoc_core_config (rfnoc_core_config[512*6-1:512*5]), + .rfnoc_core_status (rfnoc_core_status[512*6-1:512*5]), + + .m_ctrlport_req_wr(radio1_m_ctrlport_req_wr), + .m_ctrlport_req_rd(radio1_m_ctrlport_req_rd), + .m_ctrlport_req_addr(radio1_m_ctrlport_req_addr), + .m_ctrlport_req_data(radio1_m_ctrlport_req_data), + .m_ctrlport_req_byte_en(radio1_m_ctrlport_req_byte_en), + .m_ctrlport_req_has_time(radio1_m_ctrlport_req_has_time), + .m_ctrlport_req_time(radio1_m_ctrlport_req_time), + .m_ctrlport_resp_ack(radio1_m_ctrlport_resp_ack), + .m_ctrlport_resp_status(radio1_m_ctrlport_resp_status), + .m_ctrlport_resp_data(radio1_m_ctrlport_resp_data), + .radio_time(radio1_radio_time), + .radio_rx_data(radio1_radio_rx_data), + .radio_rx_stb(radio1_radio_rx_stb), + .radio_rx_running(radio1_radio_rx_running), + .radio_tx_data(radio1_radio_tx_data), + .radio_tx_stb(radio1_radio_tx_stb), + .radio_tx_running(radio1_radio_tx_running), + + .s_rfnoc_chdr_tdata ({s_radio1_in_1_tdata , s_radio1_in_0_tdata }), + .s_rfnoc_chdr_tlast ({s_radio1_in_1_tlast , s_radio1_in_0_tlast }), + .s_rfnoc_chdr_tvalid({s_radio1_in_1_tvalid, s_radio1_in_0_tvalid}), + .s_rfnoc_chdr_tready({s_radio1_in_1_tready, s_radio1_in_0_tready}), + .m_rfnoc_chdr_tdata ({m_radio1_out_1_tdata , m_radio1_out_0_tdata }), + .m_rfnoc_chdr_tlast ({m_radio1_out_1_tlast , m_radio1_out_0_tlast }), + .m_rfnoc_chdr_tvalid({m_radio1_out_1_tvalid, m_radio1_out_0_tvalid}), + .m_rfnoc_chdr_tready({m_radio1_out_1_tready, m_radio1_out_0_tready}), + .s_rfnoc_ctrl_tdata (s_radio1_ctrl_tdata ), + .s_rfnoc_ctrl_tlast (s_radio1_ctrl_tlast ), + .s_rfnoc_ctrl_tvalid(s_radio1_ctrl_tvalid), + .s_rfnoc_ctrl_tready(s_radio1_ctrl_tready), + .m_rfnoc_ctrl_tdata (m_radio1_ctrl_tdata ), + .m_rfnoc_ctrl_tlast (m_radio1_ctrl_tlast ), + .m_rfnoc_ctrl_tvalid(m_radio1_ctrl_tvalid), + .m_rfnoc_ctrl_tready(m_radio1_ctrl_tready) + ); + + + // ---------------------------------------------------- + // Static Router + // ---------------------------------------------------- + assign s_duc0_in_0_tdata = m_ep0_out0_tdata ; + assign s_duc0_in_0_tlast = m_ep0_out0_tlast ; + assign s_duc0_in_0_tvalid = m_ep0_out0_tvalid; + assign m_ep0_out0_tready = s_duc0_in_0_tready; + + assign s_radio0_in_0_tdata = m_duc0_out_0_tdata ; + assign s_radio0_in_0_tlast = m_duc0_out_0_tlast ; + assign s_radio0_in_0_tvalid = m_duc0_out_0_tvalid; + assign m_duc0_out_0_tready = s_radio0_in_0_tready; + + assign s_ddc0_in_0_tdata = m_radio0_out_0_tdata ; + assign s_ddc0_in_0_tlast = m_radio0_out_0_tlast ; + assign s_ddc0_in_0_tvalid = m_radio0_out_0_tvalid; + assign m_radio0_out_0_tready = s_ddc0_in_0_tready; + + assign s_ep0_in0_tdata = m_ddc0_out_0_tdata ; + assign s_ep0_in0_tlast = m_ddc0_out_0_tlast ; + assign s_ep0_in0_tvalid = m_ddc0_out_0_tvalid; + assign m_ddc0_out_0_tready = s_ep0_in0_tready; + + assign s_duc0_in_1_tdata = m_ep1_out0_tdata ; + assign s_duc0_in_1_tlast = m_ep1_out0_tlast ; + assign s_duc0_in_1_tvalid = m_ep1_out0_tvalid; + assign m_ep1_out0_tready = s_duc0_in_1_tready; + + assign s_radio0_in_1_tdata = m_duc0_out_1_tdata ; + assign s_radio0_in_1_tlast = m_duc0_out_1_tlast ; + assign s_radio0_in_1_tvalid = m_duc0_out_1_tvalid; + assign m_duc0_out_1_tready = s_radio0_in_1_tready; + + assign s_ddc0_in_1_tdata = m_radio0_out_1_tdata ; + assign s_ddc0_in_1_tlast = m_radio0_out_1_tlast ; + assign s_ddc0_in_1_tvalid = m_radio0_out_1_tvalid; + assign m_radio0_out_1_tready = s_ddc0_in_1_tready; + + assign s_ep1_in0_tdata = m_ddc0_out_1_tdata ; + assign s_ep1_in0_tlast = m_ddc0_out_1_tlast ; + assign s_ep1_in0_tvalid = m_ddc0_out_1_tvalid; + assign m_ddc0_out_1_tready = s_ep1_in0_tready; + + assign s_duc1_in_0_tdata = m_ep2_out0_tdata ; + assign s_duc1_in_0_tlast = m_ep2_out0_tlast ; + assign s_duc1_in_0_tvalid = m_ep2_out0_tvalid; + assign m_ep2_out0_tready = s_duc1_in_0_tready; + + assign s_radio1_in_0_tdata = m_duc1_out_0_tdata ; + assign s_radio1_in_0_tlast = m_duc1_out_0_tlast ; + assign s_radio1_in_0_tvalid = m_duc1_out_0_tvalid; + assign m_duc1_out_0_tready = s_radio1_in_0_tready; + + assign s_ddc1_in_0_tdata = m_radio1_out_0_tdata ; + assign s_ddc1_in_0_tlast = m_radio1_out_0_tlast ; + assign s_ddc1_in_0_tvalid = m_radio1_out_0_tvalid; + assign m_radio1_out_0_tready = s_ddc1_in_0_tready; + + assign s_ep2_in0_tdata = m_ddc1_out_0_tdata ; + assign s_ep2_in0_tlast = m_ddc1_out_0_tlast ; + assign s_ep2_in0_tvalid = m_ddc1_out_0_tvalid; + assign m_ddc1_out_0_tready = s_ep2_in0_tready; + + assign s_duc1_in_1_tdata = m_ep3_out0_tdata ; + assign s_duc1_in_1_tlast = m_ep3_out0_tlast ; + assign s_duc1_in_1_tvalid = m_ep3_out0_tvalid; + assign m_ep3_out0_tready = s_duc1_in_1_tready; + + assign s_radio1_in_1_tdata = m_duc1_out_1_tdata ; + assign s_radio1_in_1_tlast = m_duc1_out_1_tlast ; + assign s_radio1_in_1_tvalid = m_duc1_out_1_tvalid; + assign m_duc1_out_1_tready = s_radio1_in_1_tready; + + assign s_ddc1_in_1_tdata = m_radio1_out_1_tdata ; + assign s_ddc1_in_1_tlast = m_radio1_out_1_tlast ; + assign s_ddc1_in_1_tvalid = m_radio1_out_1_tvalid; + assign m_radio1_out_1_tready = s_ddc1_in_1_tready; + + assign s_ep3_in0_tdata = m_ddc1_out_1_tdata ; + assign s_ep3_in0_tlast = m_ddc1_out_1_tlast ; + assign s_ep3_in0_tvalid = m_ddc1_out_1_tvalid; + assign m_ddc1_out_1_tready = s_ep3_in0_tready; + + + // ---------------------------------------------------- + // Unused Ports + // ---------------------------------------------------- + + // ---------------------------------------------------- + // Clock Domains + // ---------------------------------------------------- + assign radio0_radio_clk = radio_clk; + assign ddc0_ce_clk = rfnoc_chdr_clk; + assign duc0_ce_clk = rfnoc_chdr_clk; + assign radio1_radio_clk = radio_clk; + assign ddc1_ce_clk = rfnoc_chdr_clk; + assign duc1_ce_clk = rfnoc_chdr_clk; + + + // ---------------------------------------------------- + // IO Port Connection + // ---------------------------------------------------- + // Master/Slave Connections: + assign m_ctrlport_radio0_req_wr = radio0_m_ctrlport_req_wr; + assign m_ctrlport_radio0_req_rd = radio0_m_ctrlport_req_rd; + assign m_ctrlport_radio0_req_addr = radio0_m_ctrlport_req_addr; + assign m_ctrlport_radio0_req_data = radio0_m_ctrlport_req_data; + assign m_ctrlport_radio0_req_byte_en = radio0_m_ctrlport_req_byte_en; + assign m_ctrlport_radio0_req_has_time = radio0_m_ctrlport_req_has_time; + assign m_ctrlport_radio0_req_time = radio0_m_ctrlport_req_time; + assign radio0_m_ctrlport_resp_ack = m_ctrlport_radio0_resp_ack; + assign radio0_m_ctrlport_resp_status = m_ctrlport_radio0_resp_status; + assign radio0_m_ctrlport_resp_data = m_ctrlport_radio0_resp_data; + + assign m_ctrlport_radio1_req_wr = radio1_m_ctrlport_req_wr; + assign m_ctrlport_radio1_req_rd = radio1_m_ctrlport_req_rd; + assign m_ctrlport_radio1_req_addr = radio1_m_ctrlport_req_addr; + assign m_ctrlport_radio1_req_data = radio1_m_ctrlport_req_data; + assign m_ctrlport_radio1_req_byte_en = radio1_m_ctrlport_req_byte_en; + assign m_ctrlport_radio1_req_has_time = radio1_m_ctrlport_req_has_time; + assign m_ctrlport_radio1_req_time = radio1_m_ctrlport_req_time; + assign radio1_m_ctrlport_resp_ack = m_ctrlport_radio1_resp_ack; + assign radio1_m_ctrlport_resp_status = m_ctrlport_radio1_resp_status; + assign radio1_m_ctrlport_resp_data = m_ctrlport_radio1_resp_data; + + assign radio0_radio_rx_data = radio_rx_data_radio0; + assign radio0_radio_rx_stb = radio_rx_stb_radio0; + assign radio_rx_running_radio0 = radio0_radio_rx_running; + assign radio_tx_data_radio0 = radio0_radio_tx_data; + assign radio0_radio_tx_stb = radio_tx_stb_radio0; + assign radio_tx_running_radio0 = radio0_radio_tx_running; + + assign radio1_radio_rx_data = radio_rx_data_radio1; + assign radio1_radio_rx_stb = radio_rx_stb_radio1; + assign radio_rx_running_radio1 = radio1_radio_rx_running; + assign radio_tx_data_radio1 = radio1_radio_tx_data; + assign radio1_radio_tx_stb = radio_tx_stb_radio1; + assign radio_tx_running_radio1 = radio1_radio_tx_running; + + // Broadcaster/Listener Connections: + assign radio0_radio_time = radio_time; + + assign radio1_radio_time = radio_time; + +endmodule diff --git a/fpga/usrp3/top/n3xx/n310_rfnoc_image_core.yml b/fpga/usrp3/top/n3xx/n310_rfnoc_image_core.yml new file mode 100644 index 000000000..d930baf6f --- /dev/null +++ b/fpga/usrp3/top/n3xx/n310_rfnoc_image_core.yml @@ -0,0 +1,111 @@ +# General parameters +# ----------------------------------------- +schema: rfnoc_imagebuilder_args # Identifier for the schema used to validate this file +copyright: 'Ettus Research, A National Instruments Brand' # Copyright information used in file headers +license: 'SPDX-License-Identifier: LGPL-3.0-or-later' # License information used in file headers +version: 1.0 # File version +rfnoc_version: 1.0 # RFNoC protocol version +chdr_width: 64 # Bit width of the CHDR bus for this image +device: 'n310' +default_target: 'N310_HG' + +# A list of all stream endpoints in design +# ---------------------------------------- +stream_endpoints: + ep0: # Stream endpoint name + ctrl: True # Endpoint passes control traffic + data: True # Endpoint passes data traffic + buff_size: 32768 # Ingress buffer size for data + ep1: # Stream endpoint name + ctrl: False # Endpoint passes control traffic + data: True # Endpoint passes data traffic + buff_size: 32768 # Ingress buffer size for data + ep2: # Stream endpoint name + ctrl: False # Endpoint passes control traffic + data: True # Endpoint passes data traffic + buff_size: 32768 # Ingress buffer size for data + ep3: # Stream endpoint name + ctrl: False # Endpoint passes control traffic + data: True # Endpoint passes data traffic + buff_size: 32768 # Ingress buffer size for data + +# A list of all NoC blocks in design +# ---------------------------------- +noc_blocks: + duc0: # NoC block name + block_desc: 'duc.yml' # Block device descriptor file + parameters: + NUM_PORTS: 2 + ddc0: + block_desc: 'ddc.yml' + parameters: + NUM_PORTS: 2 + radio0: + block_desc: 'radio_2x64.yml' + duc1: + block_desc: 'duc.yml' + parameters: + NUM_PORTS: 2 + ddc1: + block_desc: 'ddc.yml' + parameters: + NUM_PORTS: 2 + radio1: + block_desc: 'radio_2x64.yml' + #fifo0: + #block_desc: 'axi_ram_fifo_4x64.yml' + #parameters: + ## These parameters match the memory interface on the N3XX + #NUM_PORTS: 4 + #MEM_DATA_W: 64 + #MEM_ADDR_W: 31 + #FIFO_ADDR_BASE: "{30'h06000000, 30'h04000000, 30'h02000000, 30'h00000000}" + #FIFO_ADDR_MASK: "{30'h01FFFFFF, 30'h01FFFFFF, 30'h01FFFFFF, 30'h01FFFFFF}" + #MEM_CLK_RATE: "303819444" # 166.666666 MHz * 21.875 / 4 / 3 = 303.819444 MHz + +# A list of all static connections in design +# ------------------------------------------ +# Format: A list of connection maps (list of key-value pairs) with the following keys +# - srcblk = Source block to connect +# - srcport = Port on the source block to connect +# - dstblk = Destination block to connect +# - dstport = Port on the destination block to connect +connections: + - { srcblk: ep0, srcport: out0, dstblk: duc0, dstport: in_0 } + - { srcblk: duc0, srcport: out_0, dstblk: radio0, dstport: in_0 } + - { srcblk: radio0, srcport: out_0, dstblk: ddc0, dstport: in_0 } + - { srcblk: ddc0, srcport: out_0, dstblk: ep0, dstport: in0 } + - { srcblk: ep1, srcport: out0, dstblk: duc0, dstport: in_1 } + - { srcblk: duc0, srcport: out_1, dstblk: radio0, dstport: in_1 } + - { srcblk: radio0, srcport: out_1, dstblk: ddc0, dstport: in_1 } + - { srcblk: ddc0, srcport: out_1, dstblk: ep1, dstport: in0 } + - { srcblk: ep2, srcport: out0, dstblk: duc1, dstport: in_0 } + - { srcblk: duc1, srcport: out_0, dstblk: radio1, dstport: in_0 } + - { srcblk: radio1, srcport: out_0, dstblk: ddc1, dstport: in_0 } + - { srcblk: ddc1, srcport: out_0, dstblk: ep2, dstport: in0 } + - { srcblk: ep3, srcport: out0, dstblk: duc1, dstport: in_1 } + - { srcblk: duc1, srcport: out_1, dstblk: radio1, dstport: in_1 } + - { srcblk: radio1, srcport: out_1, dstblk: ddc1, dstport: in_1 } + - { srcblk: ddc1, srcport: out_1, dstblk: ep3, dstport: in0 } + - { srcblk: radio0, srcport: ctrl_port, dstblk: _device_, dstport: ctrlport_radio0 } + - { srcblk: radio1, srcport: ctrl_port, dstblk: _device_, dstport: ctrlport_radio1 } + - { srcblk: _device_, srcport: x300_radio0, dstblk: radio0, dstport: x300_radio } + - { srcblk: _device_, srcport: x300_radio1, dstblk: radio1, dstport: x300_radio } + - { srcblk: _device_, srcport: time_keeper, dstblk: radio0, dstport: time_keeper } + - { srcblk: _device_, srcport: time_keeper, dstblk: radio1, dstport: time_keeper } + +# A list of all clock domain connections in design +# ------------------------------------------------ +# Format: A list of connection maps (list of key-value pairs) with the following keys +# - srcblk = Source block to connect (Always "_device"_) +# - srcport = Clock domain on the source block to connect +# - dstblk = Destination block to connect +# - dstport = Clock domain on the destination block to connect +clk_domains: + - { srcblk: _device_, srcport: radio, dstblk: radio0, dstport: radio } + - { srcblk: _device_, srcport: rfnoc_chdr, dstblk: ddc0, dstport: ce } + - { srcblk: _device_, srcport: rfnoc_chdr, dstblk: duc0, dstport: ce } + - { srcblk: _device_, srcport: radio, dstblk: radio1, dstport: radio } + - { srcblk: _device_, srcport: rfnoc_chdr, dstblk: ddc1, dstport: ce } + - { srcblk: _device_, srcport: rfnoc_chdr, dstblk: duc1, dstport: ce } +# - { srcblk: _device_, srcport: dram, dstblk: fifo0, dstport: mem } diff --git a/fpga/usrp3/top/n3xx/n310_static_router.hex b/fpga/usrp3/top/n3xx/n310_static_router.hex new file mode 100644 index 000000000..73449b968 --- /dev/null +++ b/fpga/usrp3/top/n3xx/n310_static_router.hex @@ -0,0 +1,17 @@ +00000010 +00400140 +014001c0 +01c00180 +01800040 +00800141 +014101c1 +01c10181 +01810080 +00c00200 +02000280 +02800240 +024000c0 +01000201 +02010281 +02810241 +02410100 diff --git a/fpga/usrp3/top/n3xx/n320_rfnoc_image_core.v b/fpga/usrp3/top/n3xx/n320_rfnoc_image_core.v new file mode 100644 index 000000000..875c8ade1 --- /dev/null +++ b/fpga/usrp3/top/n3xx/n320_rfnoc_image_core.v @@ -0,0 +1,884 @@ +// +// Copyright 2019 Ettus Research, A National Instruments Brand +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// + +// Module: rfnoc_image_core (for n320) +// This file was autogenerated by UHD's image builder tool (rfnoc_image_builder) +// Re-running that tool will overwrite this file! +// File generated on: 2019-11-08T15:58:13.514800 +// Source: ./n3xx/n320_rfnoc_image_core.yml +// Source SHA256: 2641d416e72d1a537f6a832837fc0c9b69675bfe6be7521370900c067742b0bb + +module rfnoc_image_core #( + parameter [15:0] PROTOVER = {8'd1, 8'd0} +)( + // Clocks + input wire chdr_aclk, + input wire ctrl_aclk, + input wire core_arst, + input wire radio_clk, + input wire dram_clk, + // Basic + input wire [15:0] device_id, +//// IO ports ////////////////////////////////// +// ctrlport_radio0 + output wire [ 1-1:0] m_ctrlport_radio0_req_wr, + output wire [ 1-1:0] m_ctrlport_radio0_req_rd, + output wire [ 20-1:0] m_ctrlport_radio0_req_addr, + output wire [ 32-1:0] m_ctrlport_radio0_req_data, + output wire [ 4-1:0] m_ctrlport_radio0_req_byte_en, + output wire [ 1-1:0] m_ctrlport_radio0_req_has_time, + output wire [ 64-1:0] m_ctrlport_radio0_req_time, + input wire [ 1-1:0] m_ctrlport_radio0_resp_ack, + input wire [ 2-1:0] m_ctrlport_radio0_resp_status, + input wire [ 32-1:0] m_ctrlport_radio0_resp_data, +// ctrlport_radio1 + output wire [ 1-1:0] m_ctrlport_radio1_req_wr, + output wire [ 1-1:0] m_ctrlport_radio1_req_rd, + output wire [ 20-1:0] m_ctrlport_radio1_req_addr, + output wire [ 32-1:0] m_ctrlport_radio1_req_data, + output wire [ 4-1:0] m_ctrlport_radio1_req_byte_en, + output wire [ 1-1:0] m_ctrlport_radio1_req_has_time, + output wire [ 64-1:0] m_ctrlport_radio1_req_time, + input wire [ 1-1:0] m_ctrlport_radio1_resp_ack, + input wire [ 2-1:0] m_ctrlport_radio1_resp_status, + input wire [ 32-1:0] m_ctrlport_radio1_resp_data, +// time_keeper + input wire [ 64-1:0] radio_time, +// radio_ch0 + input wire [ 32-1:0] radio_rx_data_radio0, + input wire [ 1-1:0] radio_rx_stb_radio0, + output wire [ 1-1:0] radio_rx_running_radio0, + output wire [ 32-1:0] radio_tx_data_radio0, + input wire [ 1-1:0] radio_tx_stb_radio0, + output wire [ 1-1:0] radio_tx_running_radio0, +// radio_ch1 + input wire [ 32-1:0] radio_rx_data_radio1, + input wire [ 1-1:0] radio_rx_stb_radio1, + output wire [ 1-1:0] radio_rx_running_radio1, + output wire [ 32-1:0] radio_tx_data_radio1, + input wire [ 1-1:0] radio_tx_stb_radio1, + output wire [ 1-1:0] radio_tx_running_radio1, +// dram + input wire [ 1-1:0] axi_rst, + output wire [ 4-1:0] m_axi_awid, + output wire [128-1:0] m_axi_awaddr, + output wire [ 32-1:0] m_axi_awlen, + output wire [ 12-1:0] m_axi_awsize, + output wire [ 8-1:0] m_axi_awburst, + output wire [ 4-1:0] m_axi_awlock, + output wire [ 16-1:0] m_axi_awcache, + output wire [ 12-1:0] m_axi_awprot, + output wire [ 16-1:0] m_axi_awqos, + output wire [ 16-1:0] m_axi_awregion, + output wire [ 4-1:0] m_axi_awuser, + output wire [ 4-1:0] m_axi_awvalid, + input wire [ 4-1:0] m_axi_awready, + output wire [256-1:0] m_axi_wdata, + output wire [ 32-1:0] m_axi_wstrb, + output wire [ 4-1:0] m_axi_wlast, + output wire [ 4-1:0] m_axi_wuser, + output wire [ 4-1:0] m_axi_wvalid, + input wire [ 4-1:0] m_axi_wready, + input wire [ 4-1:0] m_axi_bid, + input wire [ 8-1:0] m_axi_bresp, + input wire [ 4-1:0] m_axi_buser, + input wire [ 4-1:0] m_axi_bvalid, + output wire [ 4-1:0] m_axi_bready, + output wire [ 4-1:0] m_axi_arid, + output wire [128-1:0] m_axi_araddr, + output wire [ 32-1:0] m_axi_arlen, + output wire [ 12-1:0] m_axi_arsize, + output wire [ 8-1:0] m_axi_arburst, + output wire [ 4-1:0] m_axi_arlock, + output wire [ 16-1:0] m_axi_arcache, + output wire [ 12-1:0] m_axi_arprot, + output wire [ 16-1:0] m_axi_arqos, + output wire [ 16-1:0] m_axi_arregion, + output wire [ 4-1:0] m_axi_aruser, + output wire [ 4-1:0] m_axi_arvalid, + input wire [ 4-1:0] m_axi_arready, + input wire [ 4-1:0] m_axi_rid, + input wire [256-1:0] m_axi_rdata, + input wire [ 8-1:0] m_axi_rresp, + input wire [ 4-1:0] m_axi_rlast, + input wire [ 4-1:0] m_axi_ruser, + input wire [ 4-1:0] m_axi_rvalid, + output wire [ 4-1:0] m_axi_rready, + // Transport 0 (eth0 1G) + input wire [64-1:0] s_eth0_tdata, + input wire s_eth0_tlast, + input wire s_eth0_tvalid, + output wire s_eth0_tready, + output wire [64-1:0] m_eth0_tdata, + output wire m_eth0_tlast, + output wire m_eth0_tvalid, + input wire m_eth0_tready, + // Transport 1 (eth1 10G) + input wire [64-1:0] s_eth1_tdata, + input wire s_eth1_tlast, + input wire s_eth1_tvalid, + output wire s_eth1_tready, + output wire [64-1:0] m_eth1_tdata, + output wire m_eth1_tlast, + output wire m_eth1_tvalid, + input wire m_eth1_tready, + // Transport 2 (dma dma) + input wire [64-1:0] s_dma_tdata, + input wire s_dma_tlast, + input wire s_dma_tvalid, + output wire s_dma_tready, + output wire [64-1:0] m_dma_tdata, + output wire m_dma_tlast, + output wire m_dma_tvalid, + input wire m_dma_tready +); + + localparam CHDR_W = 64; + localparam MTU = 10; + localparam EDGE_TBL_FILE = `"`RFNOC_EDGE_TBL_FILE`"; + + wire rfnoc_chdr_clk, rfnoc_chdr_rst; + wire rfnoc_ctrl_clk, rfnoc_ctrl_rst; + + // ---------------------------------------------------- + // CHDR Crossbar + // ---------------------------------------------------- + wire [CHDR_W-1:0] xb_to_ep0_tdata ; + wire xb_to_ep0_tlast ; + wire xb_to_ep0_tvalid; + wire xb_to_ep0_tready; + wire [CHDR_W-1:0] ep0_to_xb_tdata ; + wire ep0_to_xb_tlast ; + wire ep0_to_xb_tvalid; + wire ep0_to_xb_tready; + wire [CHDR_W-1:0] xb_to_ep1_tdata ; + wire xb_to_ep1_tlast ; + wire xb_to_ep1_tvalid; + wire xb_to_ep1_tready; + wire [CHDR_W-1:0] ep1_to_xb_tdata ; + wire ep1_to_xb_tlast ; + wire ep1_to_xb_tvalid; + wire ep1_to_xb_tready; + + chdr_crossbar_nxn #( + .CHDR_W (CHDR_W), + .NPORTS (5), + .DEFAULT_PORT (0), + .MTU (MTU), + .ROUTE_TBL_SIZE (6), + .MUX_ALLOC ("ROUND-ROBIN"), + .OPTIMIZE ("AREA"), + .NPORTS_MGMT (3), + .EXT_RTCFG_PORT (0), + .PROTOVER (PROTOVER) + ) chdr_xb_i ( + .clk (rfnoc_chdr_clk), + .reset (rfnoc_chdr_rst), + .device_id (device_id), + .s_axis_tdata ({ep1_to_xb_tdata, ep0_to_xb_tdata, s_dma_tdata, s_eth1_tdata, s_eth0_tdata}), + .s_axis_tlast ({ep1_to_xb_tlast, ep0_to_xb_tlast, s_dma_tlast, s_eth1_tlast, s_eth0_tlast}), + .s_axis_tvalid ({ep1_to_xb_tvalid, ep0_to_xb_tvalid, s_dma_tvalid, s_eth1_tvalid, s_eth0_tvalid}), + .s_axis_tready ({ep1_to_xb_tready, ep0_to_xb_tready, s_dma_tready, s_eth1_tready, s_eth0_tready}), + .m_axis_tdata ({xb_to_ep1_tdata, xb_to_ep0_tdata, m_dma_tdata, m_eth1_tdata, m_eth0_tdata}), + .m_axis_tlast ({xb_to_ep1_tlast, xb_to_ep0_tlast, m_dma_tlast, m_eth1_tlast, m_eth0_tlast}), + .m_axis_tvalid ({xb_to_ep1_tvalid, xb_to_ep0_tvalid, m_dma_tvalid, m_eth1_tvalid, m_eth0_tvalid}), + .m_axis_tready ({xb_to_ep1_tready, xb_to_ep0_tready, m_dma_tready, m_eth1_tready, m_eth0_tready}), + .ext_rtcfg_stb (1'h0), + .ext_rtcfg_addr (16'h0), + .ext_rtcfg_data (32'h0), + .ext_rtcfg_ack () + ); + + // ---------------------------------------------------- + // Stream Endpoints + // ---------------------------------------------------- + + wire [CHDR_W-1:0] m_ep0_out0_tdata; + wire m_ep0_out0_tlast; + wire m_ep0_out0_tvalid; + wire m_ep0_out0_tready; + wire [CHDR_W-1:0] s_ep0_in0_tdata; + wire s_ep0_in0_tlast; + wire s_ep0_in0_tvalid; + wire s_ep0_in0_tready; + wire [31:0] m_ep0_ctrl_tdata , s_ep0_ctrl_tdata ; + wire m_ep0_ctrl_tlast , s_ep0_ctrl_tlast ; + wire m_ep0_ctrl_tvalid, s_ep0_ctrl_tvalid; + wire m_ep0_ctrl_tready, s_ep0_ctrl_tready; + + chdr_stream_endpoint #( + .PROTOVER (PROTOVER), + .CHDR_W (CHDR_W), + .AXIS_CTRL_EN (1), + .AXIS_DATA_EN (1), + .NUM_DATA_I (1), + .NUM_DATA_O (1), + .INST_NUM (0), + .CTRL_XBAR_PORT (1), + .INGRESS_BUFF_SIZE (15), + .MTU (MTU), + .REPORT_STRM_ERRS (1) + ) ep0_i ( + .rfnoc_chdr_clk (rfnoc_chdr_clk ), + .rfnoc_chdr_rst (rfnoc_chdr_rst ), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk ), + .rfnoc_ctrl_rst (rfnoc_ctrl_rst ), + .device_id (device_id ), + .s_axis_chdr_tdata (xb_to_ep0_tdata ), + .s_axis_chdr_tlast (xb_to_ep0_tlast ), + .s_axis_chdr_tvalid (xb_to_ep0_tvalid ), + .s_axis_chdr_tready (xb_to_ep0_tready ), + .m_axis_chdr_tdata (ep0_to_xb_tdata ), + .m_axis_chdr_tlast (ep0_to_xb_tlast ), + .m_axis_chdr_tvalid (ep0_to_xb_tvalid ), + .m_axis_chdr_tready (ep0_to_xb_tready ), + .s_axis_data_tdata ({s_ep0_in0_tdata}), + .s_axis_data_tlast ({s_ep0_in0_tlast}), + .s_axis_data_tvalid ({s_ep0_in0_tvalid}), + .s_axis_data_tready ({s_ep0_in0_tready}), + .m_axis_data_tdata ({m_ep0_out0_tdata}), + .m_axis_data_tlast ({m_ep0_out0_tlast}), + .m_axis_data_tvalid ({m_ep0_out0_tvalid}), + .m_axis_data_tready ({m_ep0_out0_tready}), + .s_axis_ctrl_tdata (s_ep0_ctrl_tdata ), + .s_axis_ctrl_tlast (s_ep0_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_ep0_ctrl_tvalid), + .s_axis_ctrl_tready (s_ep0_ctrl_tready), + .m_axis_ctrl_tdata (m_ep0_ctrl_tdata ), + .m_axis_ctrl_tlast (m_ep0_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_ep0_ctrl_tvalid), + .m_axis_ctrl_tready (m_ep0_ctrl_tready), + .strm_seq_err_stb ( ), + .strm_data_err_stb ( ), + .strm_route_err_stb ( ), + .signal_data_err (1'b0 ) + ); + + wire [CHDR_W-1:0] m_ep1_out0_tdata; + wire m_ep1_out0_tlast; + wire m_ep1_out0_tvalid; + wire m_ep1_out0_tready; + wire [CHDR_W-1:0] s_ep1_in0_tdata; + wire s_ep1_in0_tlast; + wire s_ep1_in0_tvalid; + wire s_ep1_in0_tready; + wire [31:0] m_ep1_ctrl_tdata , s_ep1_ctrl_tdata ; + wire m_ep1_ctrl_tlast , s_ep1_ctrl_tlast ; + wire m_ep1_ctrl_tvalid, s_ep1_ctrl_tvalid; + wire m_ep1_ctrl_tready, s_ep1_ctrl_tready; + + chdr_stream_endpoint #( + .PROTOVER (PROTOVER), + .CHDR_W (CHDR_W), + .AXIS_CTRL_EN (0), + .AXIS_DATA_EN (1), + .NUM_DATA_I (1), + .NUM_DATA_O (1), + .INST_NUM (1), + .CTRL_XBAR_PORT (2), + .INGRESS_BUFF_SIZE (15), + .MTU (MTU), + .REPORT_STRM_ERRS (1) + ) ep1_i ( + .rfnoc_chdr_clk (rfnoc_chdr_clk ), + .rfnoc_chdr_rst (rfnoc_chdr_rst ), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk ), + .rfnoc_ctrl_rst (rfnoc_ctrl_rst ), + .device_id (device_id ), + .s_axis_chdr_tdata (xb_to_ep1_tdata ), + .s_axis_chdr_tlast (xb_to_ep1_tlast ), + .s_axis_chdr_tvalid (xb_to_ep1_tvalid ), + .s_axis_chdr_tready (xb_to_ep1_tready ), + .m_axis_chdr_tdata (ep1_to_xb_tdata ), + .m_axis_chdr_tlast (ep1_to_xb_tlast ), + .m_axis_chdr_tvalid (ep1_to_xb_tvalid ), + .m_axis_chdr_tready (ep1_to_xb_tready ), + .s_axis_data_tdata ({s_ep1_in0_tdata}), + .s_axis_data_tlast ({s_ep1_in0_tlast}), + .s_axis_data_tvalid ({s_ep1_in0_tvalid}), + .s_axis_data_tready ({s_ep1_in0_tready}), + .m_axis_data_tdata ({m_ep1_out0_tdata}), + .m_axis_data_tlast ({m_ep1_out0_tlast}), + .m_axis_data_tvalid ({m_ep1_out0_tvalid}), + .m_axis_data_tready ({m_ep1_out0_tready}), + .s_axis_ctrl_tdata (s_ep1_ctrl_tdata ), + .s_axis_ctrl_tlast (s_ep1_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_ep1_ctrl_tvalid), + .s_axis_ctrl_tready (s_ep1_ctrl_tready), + .m_axis_ctrl_tdata (m_ep1_ctrl_tdata ), + .m_axis_ctrl_tlast (m_ep1_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_ep1_ctrl_tvalid), + .m_axis_ctrl_tready (m_ep1_ctrl_tready), + .strm_seq_err_stb ( ), + .strm_data_err_stb ( ), + .strm_route_err_stb ( ), + .signal_data_err (1'b0 ) + ); + + + + // ---------------------------------------------------- + // Control Crossbar + // ---------------------------------------------------- + + wire [31:0] m_core_ctrl_tdata , s_core_ctrl_tdata ; + wire m_core_ctrl_tlast , s_core_ctrl_tlast ; + wire m_core_ctrl_tvalid, s_core_ctrl_tvalid; + wire m_core_ctrl_tready, s_core_ctrl_tready; + wire [31:0] m_duc0_ctrl_tdata , s_duc0_ctrl_tdata ; + wire m_duc0_ctrl_tlast , s_duc0_ctrl_tlast ; + wire m_duc0_ctrl_tvalid, s_duc0_ctrl_tvalid; + wire m_duc0_ctrl_tready, s_duc0_ctrl_tready; + wire [31:0] m_ddc0_ctrl_tdata , s_ddc0_ctrl_tdata ; + wire m_ddc0_ctrl_tlast , s_ddc0_ctrl_tlast ; + wire m_ddc0_ctrl_tvalid, s_ddc0_ctrl_tvalid; + wire m_ddc0_ctrl_tready, s_ddc0_ctrl_tready; + wire [31:0] m_radio0_ctrl_tdata , s_radio0_ctrl_tdata ; + wire m_radio0_ctrl_tlast , s_radio0_ctrl_tlast ; + wire m_radio0_ctrl_tvalid, s_radio0_ctrl_tvalid; + wire m_radio0_ctrl_tready, s_radio0_ctrl_tready; + wire [31:0] m_duc1_ctrl_tdata , s_duc1_ctrl_tdata ; + wire m_duc1_ctrl_tlast , s_duc1_ctrl_tlast ; + wire m_duc1_ctrl_tvalid, s_duc1_ctrl_tvalid; + wire m_duc1_ctrl_tready, s_duc1_ctrl_tready; + wire [31:0] m_ddc1_ctrl_tdata , s_ddc1_ctrl_tdata ; + wire m_ddc1_ctrl_tlast , s_ddc1_ctrl_tlast ; + wire m_ddc1_ctrl_tvalid, s_ddc1_ctrl_tvalid; + wire m_ddc1_ctrl_tready, s_ddc1_ctrl_tready; + wire [31:0] m_radio1_ctrl_tdata , s_radio1_ctrl_tdata ; + wire m_radio1_ctrl_tlast , s_radio1_ctrl_tlast ; + wire m_radio1_ctrl_tvalid, s_radio1_ctrl_tvalid; + wire m_radio1_ctrl_tready, s_radio1_ctrl_tready; + + axis_ctrl_crossbar_nxn #( + .WIDTH (32), + .NPORTS (8), + .TOPOLOGY ("TORUS"), + .INGRESS_BUFF_SIZE(5), + .ROUTER_BUFF_SIZE (5), + .ROUTING_ALLOC ("WORMHOLE"), + .SWITCH_ALLOC ("PRIO") + ) ctrl_xb_i ( + .clk (rfnoc_ctrl_clk), + .reset (rfnoc_ctrl_rst), + .s_axis_tdata ({m_radio1_ctrl_tdata , m_ddc1_ctrl_tdata , m_duc1_ctrl_tdata , m_radio0_ctrl_tdata , m_ddc0_ctrl_tdata , m_duc0_ctrl_tdata , m_ep0_ctrl_tdata , m_core_ctrl_tdata }), + .s_axis_tvalid ({m_radio1_ctrl_tvalid, m_ddc1_ctrl_tvalid, m_duc1_ctrl_tvalid, m_radio0_ctrl_tvalid, m_ddc0_ctrl_tvalid, m_duc0_ctrl_tvalid, m_ep0_ctrl_tvalid, m_core_ctrl_tvalid}), + .s_axis_tlast ({m_radio1_ctrl_tlast , m_ddc1_ctrl_tlast , m_duc1_ctrl_tlast , m_radio0_ctrl_tlast , m_ddc0_ctrl_tlast , m_duc0_ctrl_tlast , m_ep0_ctrl_tlast , m_core_ctrl_tlast }), + .s_axis_tready ({m_radio1_ctrl_tready, m_ddc1_ctrl_tready, m_duc1_ctrl_tready, m_radio0_ctrl_tready, m_ddc0_ctrl_tready, m_duc0_ctrl_tready, m_ep0_ctrl_tready, m_core_ctrl_tready}), + .m_axis_tdata ({s_radio1_ctrl_tdata , s_ddc1_ctrl_tdata , s_duc1_ctrl_tdata , s_radio0_ctrl_tdata , s_ddc0_ctrl_tdata , s_duc0_ctrl_tdata , s_ep0_ctrl_tdata , s_core_ctrl_tdata }), + .m_axis_tvalid ({s_radio1_ctrl_tvalid, s_ddc1_ctrl_tvalid, s_duc1_ctrl_tvalid, s_radio0_ctrl_tvalid, s_ddc0_ctrl_tvalid, s_duc0_ctrl_tvalid, s_ep0_ctrl_tvalid, s_core_ctrl_tvalid}), + .m_axis_tlast ({s_radio1_ctrl_tlast , s_ddc1_ctrl_tlast , s_duc1_ctrl_tlast , s_radio0_ctrl_tlast , s_ddc0_ctrl_tlast , s_duc0_ctrl_tlast , s_ep0_ctrl_tlast , s_core_ctrl_tlast }), + .m_axis_tready ({s_radio1_ctrl_tready, s_ddc1_ctrl_tready, s_duc1_ctrl_tready, s_radio0_ctrl_tready, s_ddc0_ctrl_tready, s_duc0_ctrl_tready, s_ep0_ctrl_tready, s_core_ctrl_tready}), + .deadlock_detected() + ); + + // ---------------------------------------------------- + // RFNoC Core Kernel + // ---------------------------------------------------- + wire [(512*6)-1:0] rfnoc_core_config, rfnoc_core_status; + + rfnoc_core_kernel #( + .PROTOVER (PROTOVER), + .DEVICE_TYPE (16'h1320), + .DEVICE_FAMILY ("7SERIES"), + .SAFE_START_CLKS (0), + .NUM_BLOCKS (6), + .NUM_STREAM_ENDPOINTS(2), + .NUM_ENDPOINTS_CTRL (1), + .NUM_TRANSPORTS (3), + .NUM_EDGES (8), + .CHDR_XBAR_PRESENT (1), + .EDGE_TBL_FILE (EDGE_TBL_FILE) + ) core_kernel_i ( + .chdr_aclk (chdr_aclk), + .chdr_aclk_locked (1'b1), + .ctrl_aclk (ctrl_aclk), + .ctrl_aclk_locked (1'b1), + .core_arst (core_arst), + .core_chdr_clk (rfnoc_chdr_clk), + .core_chdr_rst (rfnoc_chdr_rst), + .core_ctrl_clk (rfnoc_ctrl_clk), + .core_ctrl_rst (rfnoc_ctrl_rst), + .s_axis_ctrl_tdata (s_core_ctrl_tdata ), + .s_axis_ctrl_tlast (s_core_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_core_ctrl_tvalid), + .s_axis_ctrl_tready (s_core_ctrl_tready), + .m_axis_ctrl_tdata (m_core_ctrl_tdata ), + .m_axis_ctrl_tlast (m_core_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_core_ctrl_tvalid), + .m_axis_ctrl_tready (m_core_ctrl_tready), + .device_id (device_id), + .rfnoc_core_config (rfnoc_core_config), + .rfnoc_core_status (rfnoc_core_status) + ); + + // ---------------------------------------------------- + // Blocks + // ---------------------------------------------------- + + // ---------------------------------------------------- + // duc0 + // ---------------------------------------------------- + wire duc0_ce_clk; + wire [CHDR_W-1:0] s_duc0_in_0_tdata ; + wire s_duc0_in_0_tlast ; + wire s_duc0_in_0_tvalid; + wire s_duc0_in_0_tready; + wire [CHDR_W-1:0] m_duc0_out_0_tdata ; + wire m_duc0_out_0_tlast ; + wire m_duc0_out_0_tvalid; + wire m_duc0_out_0_tready; + + + rfnoc_block_duc #( + .THIS_PORTID(2), + .CHDR_W(CHDR_W), + .NUM_PORTS(1), + .NUM_HB(3), + .CIC_MAX_INTERP(255), + .MTU(MTU) + ) b_duc0_0 ( + .rfnoc_chdr_clk (rfnoc_chdr_clk), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk), + .ce_clk(duc0_ce_clk), + .rfnoc_core_config (rfnoc_core_config[512*1-1:512*0]), + .rfnoc_core_status (rfnoc_core_status[512*1-1:512*0]), + + + .s_rfnoc_chdr_tdata ({s_duc0_in_0_tdata }), + .s_rfnoc_chdr_tlast ({s_duc0_in_0_tlast }), + .s_rfnoc_chdr_tvalid({s_duc0_in_0_tvalid}), + .s_rfnoc_chdr_tready({s_duc0_in_0_tready}), + .m_rfnoc_chdr_tdata ({m_duc0_out_0_tdata }), + .m_rfnoc_chdr_tlast ({m_duc0_out_0_tlast }), + .m_rfnoc_chdr_tvalid({m_duc0_out_0_tvalid}), + .m_rfnoc_chdr_tready({m_duc0_out_0_tready}), + .s_rfnoc_ctrl_tdata (s_duc0_ctrl_tdata ), + .s_rfnoc_ctrl_tlast (s_duc0_ctrl_tlast ), + .s_rfnoc_ctrl_tvalid(s_duc0_ctrl_tvalid), + .s_rfnoc_ctrl_tready(s_duc0_ctrl_tready), + .m_rfnoc_ctrl_tdata (m_duc0_ctrl_tdata ), + .m_rfnoc_ctrl_tlast (m_duc0_ctrl_tlast ), + .m_rfnoc_ctrl_tvalid(m_duc0_ctrl_tvalid), + .m_rfnoc_ctrl_tready(m_duc0_ctrl_tready) + ); + + + // ---------------------------------------------------- + // ddc0 + // ---------------------------------------------------- + wire ddc0_ce_clk; + wire [CHDR_W-1:0] s_ddc0_in_0_tdata ; + wire s_ddc0_in_0_tlast ; + wire s_ddc0_in_0_tvalid; + wire s_ddc0_in_0_tready; + wire [CHDR_W-1:0] m_ddc0_out_0_tdata ; + wire m_ddc0_out_0_tlast ; + wire m_ddc0_out_0_tvalid; + wire m_ddc0_out_0_tready; + + + rfnoc_block_ddc #( + .THIS_PORTID(3), + .CHDR_W(CHDR_W), + .NUM_PORTS(1), + .NUM_HB(3), + .CIC_MAX_DECIM(255), + .MTU(MTU) + ) b_ddc0_1 ( + .rfnoc_chdr_clk (rfnoc_chdr_clk), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk), + .ce_clk(ddc0_ce_clk), + .rfnoc_core_config (rfnoc_core_config[512*2-1:512*1]), + .rfnoc_core_status (rfnoc_core_status[512*2-1:512*1]), + + + .s_rfnoc_chdr_tdata ({s_ddc0_in_0_tdata }), + .s_rfnoc_chdr_tlast ({s_ddc0_in_0_tlast }), + .s_rfnoc_chdr_tvalid({s_ddc0_in_0_tvalid}), + .s_rfnoc_chdr_tready({s_ddc0_in_0_tready}), + .m_rfnoc_chdr_tdata ({m_ddc0_out_0_tdata }), + .m_rfnoc_chdr_tlast ({m_ddc0_out_0_tlast }), + .m_rfnoc_chdr_tvalid({m_ddc0_out_0_tvalid}), + .m_rfnoc_chdr_tready({m_ddc0_out_0_tready}), + .s_rfnoc_ctrl_tdata (s_ddc0_ctrl_tdata ), + .s_rfnoc_ctrl_tlast (s_ddc0_ctrl_tlast ), + .s_rfnoc_ctrl_tvalid(s_ddc0_ctrl_tvalid), + .s_rfnoc_ctrl_tready(s_ddc0_ctrl_tready), + .m_rfnoc_ctrl_tdata (m_ddc0_ctrl_tdata ), + .m_rfnoc_ctrl_tlast (m_ddc0_ctrl_tlast ), + .m_rfnoc_ctrl_tvalid(m_ddc0_ctrl_tvalid), + .m_rfnoc_ctrl_tready(m_ddc0_ctrl_tready) + ); + + + // ---------------------------------------------------- + // radio0 + // ---------------------------------------------------- + wire radio0_radio_clk; + wire [CHDR_W-1:0] s_radio0_in_0_tdata ; + wire s_radio0_in_0_tlast ; + wire s_radio0_in_0_tvalid; + wire s_radio0_in_0_tready; + wire [CHDR_W-1:0] m_radio0_out_0_tdata ; + wire m_radio0_out_0_tlast ; + wire m_radio0_out_0_tvalid; + wire m_radio0_out_0_tready; + + // ctrl_port + wire [ 1-1:0] radio0_m_ctrlport_req_wr; + wire [ 1-1:0] radio0_m_ctrlport_req_rd; + wire [ 20-1:0] radio0_m_ctrlport_req_addr; + wire [ 32-1:0] radio0_m_ctrlport_req_data; + wire [ 4-1:0] radio0_m_ctrlport_req_byte_en; + wire [ 1-1:0] radio0_m_ctrlport_req_has_time; + wire [ 64-1:0] radio0_m_ctrlport_req_time; + wire [ 1-1:0] radio0_m_ctrlport_resp_ack; + wire [ 2-1:0] radio0_m_ctrlport_resp_status; + wire [ 32-1:0] radio0_m_ctrlport_resp_data; + // time_keeper + wire [ 64-1:0] radio0_radio_time; + // radio_iface + wire [ 32-1:0] radio0_radio_rx_data; + wire [ 1-1:0] radio0_radio_rx_stb; + wire [ 1-1:0] radio0_radio_rx_running; + wire [ 32-1:0] radio0_radio_tx_data; + wire [ 1-1:0] radio0_radio_tx_stb; + wire [ 1-1:0] radio0_radio_tx_running; + + rfnoc_block_radio #( + .THIS_PORTID(4), + .CHDR_W(CHDR_W), + .NUM_PORTS(1), + .MTU(MTU) + ) b_radio0_2 ( + .rfnoc_chdr_clk (rfnoc_chdr_clk), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk), + .radio_clk(radio0_radio_clk), + .rfnoc_core_config (rfnoc_core_config[512*3-1:512*2]), + .rfnoc_core_status (rfnoc_core_status[512*3-1:512*2]), + + .m_ctrlport_req_wr(radio0_m_ctrlport_req_wr), + .m_ctrlport_req_rd(radio0_m_ctrlport_req_rd), + .m_ctrlport_req_addr(radio0_m_ctrlport_req_addr), + .m_ctrlport_req_data(radio0_m_ctrlport_req_data), + .m_ctrlport_req_byte_en(radio0_m_ctrlport_req_byte_en), + .m_ctrlport_req_has_time(radio0_m_ctrlport_req_has_time), + .m_ctrlport_req_time(radio0_m_ctrlport_req_time), + .m_ctrlport_resp_ack(radio0_m_ctrlport_resp_ack), + .m_ctrlport_resp_status(radio0_m_ctrlport_resp_status), + .m_ctrlport_resp_data(radio0_m_ctrlport_resp_data), + .radio_time(radio0_radio_time), + .radio_rx_data(radio0_radio_rx_data), + .radio_rx_stb(radio0_radio_rx_stb), + .radio_rx_running(radio0_radio_rx_running), + .radio_tx_data(radio0_radio_tx_data), + .radio_tx_stb(radio0_radio_tx_stb), + .radio_tx_running(radio0_radio_tx_running), + + .s_rfnoc_chdr_tdata ({s_radio0_in_0_tdata }), + .s_rfnoc_chdr_tlast ({s_radio0_in_0_tlast }), + .s_rfnoc_chdr_tvalid({s_radio0_in_0_tvalid}), + .s_rfnoc_chdr_tready({s_radio0_in_0_tready}), + .m_rfnoc_chdr_tdata ({m_radio0_out_0_tdata }), + .m_rfnoc_chdr_tlast ({m_radio0_out_0_tlast }), + .m_rfnoc_chdr_tvalid({m_radio0_out_0_tvalid}), + .m_rfnoc_chdr_tready({m_radio0_out_0_tready}), + .s_rfnoc_ctrl_tdata (s_radio0_ctrl_tdata ), + .s_rfnoc_ctrl_tlast (s_radio0_ctrl_tlast ), + .s_rfnoc_ctrl_tvalid(s_radio0_ctrl_tvalid), + .s_rfnoc_ctrl_tready(s_radio0_ctrl_tready), + .m_rfnoc_ctrl_tdata (m_radio0_ctrl_tdata ), + .m_rfnoc_ctrl_tlast (m_radio0_ctrl_tlast ), + .m_rfnoc_ctrl_tvalid(m_radio0_ctrl_tvalid), + .m_rfnoc_ctrl_tready(m_radio0_ctrl_tready) + ); + + + // ---------------------------------------------------- + // duc1 + // ---------------------------------------------------- + wire duc1_ce_clk; + wire [CHDR_W-1:0] s_duc1_in_0_tdata ; + wire s_duc1_in_0_tlast ; + wire s_duc1_in_0_tvalid; + wire s_duc1_in_0_tready; + wire [CHDR_W-1:0] m_duc1_out_0_tdata ; + wire m_duc1_out_0_tlast ; + wire m_duc1_out_0_tvalid; + wire m_duc1_out_0_tready; + + + rfnoc_block_duc #( + .THIS_PORTID(5), + .CHDR_W(CHDR_W), + .NUM_PORTS(1), + .NUM_HB(3), + .CIC_MAX_INTERP(255), + .MTU(MTU) + ) b_duc1_3 ( + .rfnoc_chdr_clk (rfnoc_chdr_clk), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk), + .ce_clk(duc1_ce_clk), + .rfnoc_core_config (rfnoc_core_config[512*4-1:512*3]), + .rfnoc_core_status (rfnoc_core_status[512*4-1:512*3]), + + + .s_rfnoc_chdr_tdata ({s_duc1_in_0_tdata }), + .s_rfnoc_chdr_tlast ({s_duc1_in_0_tlast }), + .s_rfnoc_chdr_tvalid({s_duc1_in_0_tvalid}), + .s_rfnoc_chdr_tready({s_duc1_in_0_tready}), + .m_rfnoc_chdr_tdata ({m_duc1_out_0_tdata }), + .m_rfnoc_chdr_tlast ({m_duc1_out_0_tlast }), + .m_rfnoc_chdr_tvalid({m_duc1_out_0_tvalid}), + .m_rfnoc_chdr_tready({m_duc1_out_0_tready}), + .s_rfnoc_ctrl_tdata (s_duc1_ctrl_tdata ), + .s_rfnoc_ctrl_tlast (s_duc1_ctrl_tlast ), + .s_rfnoc_ctrl_tvalid(s_duc1_ctrl_tvalid), + .s_rfnoc_ctrl_tready(s_duc1_ctrl_tready), + .m_rfnoc_ctrl_tdata (m_duc1_ctrl_tdata ), + .m_rfnoc_ctrl_tlast (m_duc1_ctrl_tlast ), + .m_rfnoc_ctrl_tvalid(m_duc1_ctrl_tvalid), + .m_rfnoc_ctrl_tready(m_duc1_ctrl_tready) + ); + + + // ---------------------------------------------------- + // ddc1 + // ---------------------------------------------------- + wire ddc1_ce_clk; + wire [CHDR_W-1:0] s_ddc1_in_0_tdata ; + wire s_ddc1_in_0_tlast ; + wire s_ddc1_in_0_tvalid; + wire s_ddc1_in_0_tready; + wire [CHDR_W-1:0] m_ddc1_out_0_tdata ; + wire m_ddc1_out_0_tlast ; + wire m_ddc1_out_0_tvalid; + wire m_ddc1_out_0_tready; + + + rfnoc_block_ddc #( + .THIS_PORTID(6), + .CHDR_W(CHDR_W), + .NUM_PORTS(1), + .NUM_HB(3), + .CIC_MAX_DECIM(255), + .MTU(MTU) + ) b_ddc1_4 ( + .rfnoc_chdr_clk (rfnoc_chdr_clk), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk), + .ce_clk(ddc1_ce_clk), + .rfnoc_core_config (rfnoc_core_config[512*5-1:512*4]), + .rfnoc_core_status (rfnoc_core_status[512*5-1:512*4]), + + + .s_rfnoc_chdr_tdata ({s_ddc1_in_0_tdata }), + .s_rfnoc_chdr_tlast ({s_ddc1_in_0_tlast }), + .s_rfnoc_chdr_tvalid({s_ddc1_in_0_tvalid}), + .s_rfnoc_chdr_tready({s_ddc1_in_0_tready}), + .m_rfnoc_chdr_tdata ({m_ddc1_out_0_tdata }), + .m_rfnoc_chdr_tlast ({m_ddc1_out_0_tlast }), + .m_rfnoc_chdr_tvalid({m_ddc1_out_0_tvalid}), + .m_rfnoc_chdr_tready({m_ddc1_out_0_tready}), + .s_rfnoc_ctrl_tdata (s_ddc1_ctrl_tdata ), + .s_rfnoc_ctrl_tlast (s_ddc1_ctrl_tlast ), + .s_rfnoc_ctrl_tvalid(s_ddc1_ctrl_tvalid), + .s_rfnoc_ctrl_tready(s_ddc1_ctrl_tready), + .m_rfnoc_ctrl_tdata (m_ddc1_ctrl_tdata ), + .m_rfnoc_ctrl_tlast (m_ddc1_ctrl_tlast ), + .m_rfnoc_ctrl_tvalid(m_ddc1_ctrl_tvalid), + .m_rfnoc_ctrl_tready(m_ddc1_ctrl_tready) + ); + + + // ---------------------------------------------------- + // radio1 + // ---------------------------------------------------- + wire radio1_radio_clk; + wire [CHDR_W-1:0] s_radio1_in_0_tdata ; + wire s_radio1_in_0_tlast ; + wire s_radio1_in_0_tvalid; + wire s_radio1_in_0_tready; + wire [CHDR_W-1:0] m_radio1_out_0_tdata ; + wire m_radio1_out_0_tlast ; + wire m_radio1_out_0_tvalid; + wire m_radio1_out_0_tready; + + // ctrl_port + wire [ 1-1:0] radio1_m_ctrlport_req_wr; + wire [ 1-1:0] radio1_m_ctrlport_req_rd; + wire [ 20-1:0] radio1_m_ctrlport_req_addr; + wire [ 32-1:0] radio1_m_ctrlport_req_data; + wire [ 4-1:0] radio1_m_ctrlport_req_byte_en; + wire [ 1-1:0] radio1_m_ctrlport_req_has_time; + wire [ 64-1:0] radio1_m_ctrlport_req_time; + wire [ 1-1:0] radio1_m_ctrlport_resp_ack; + wire [ 2-1:0] radio1_m_ctrlport_resp_status; + wire [ 32-1:0] radio1_m_ctrlport_resp_data; + // time_keeper + wire [ 64-1:0] radio1_radio_time; + // radio_iface + wire [ 32-1:0] radio1_radio_rx_data; + wire [ 1-1:0] radio1_radio_rx_stb; + wire [ 1-1:0] radio1_radio_rx_running; + wire [ 32-1:0] radio1_radio_tx_data; + wire [ 1-1:0] radio1_radio_tx_stb; + wire [ 1-1:0] radio1_radio_tx_running; + + rfnoc_block_radio #( + .THIS_PORTID(7), + .CHDR_W(CHDR_W), + .NUM_PORTS(1), + .MTU(MTU) + ) b_radio1_5 ( + .rfnoc_chdr_clk (rfnoc_chdr_clk), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk), + .radio_clk(radio1_radio_clk), + .rfnoc_core_config (rfnoc_core_config[512*6-1:512*5]), + .rfnoc_core_status (rfnoc_core_status[512*6-1:512*5]), + + .m_ctrlport_req_wr(radio1_m_ctrlport_req_wr), + .m_ctrlport_req_rd(radio1_m_ctrlport_req_rd), + .m_ctrlport_req_addr(radio1_m_ctrlport_req_addr), + .m_ctrlport_req_data(radio1_m_ctrlport_req_data), + .m_ctrlport_req_byte_en(radio1_m_ctrlport_req_byte_en), + .m_ctrlport_req_has_time(radio1_m_ctrlport_req_has_time), + .m_ctrlport_req_time(radio1_m_ctrlport_req_time), + .m_ctrlport_resp_ack(radio1_m_ctrlport_resp_ack), + .m_ctrlport_resp_status(radio1_m_ctrlport_resp_status), + .m_ctrlport_resp_data(radio1_m_ctrlport_resp_data), + .radio_time(radio1_radio_time), + .radio_rx_data(radio1_radio_rx_data), + .radio_rx_stb(radio1_radio_rx_stb), + .radio_rx_running(radio1_radio_rx_running), + .radio_tx_data(radio1_radio_tx_data), + .radio_tx_stb(radio1_radio_tx_stb), + .radio_tx_running(radio1_radio_tx_running), + + .s_rfnoc_chdr_tdata ({s_radio1_in_0_tdata }), + .s_rfnoc_chdr_tlast ({s_radio1_in_0_tlast }), + .s_rfnoc_chdr_tvalid({s_radio1_in_0_tvalid}), + .s_rfnoc_chdr_tready({s_radio1_in_0_tready}), + .m_rfnoc_chdr_tdata ({m_radio1_out_0_tdata }), + .m_rfnoc_chdr_tlast ({m_radio1_out_0_tlast }), + .m_rfnoc_chdr_tvalid({m_radio1_out_0_tvalid}), + .m_rfnoc_chdr_tready({m_radio1_out_0_tready}), + .s_rfnoc_ctrl_tdata (s_radio1_ctrl_tdata ), + .s_rfnoc_ctrl_tlast (s_radio1_ctrl_tlast ), + .s_rfnoc_ctrl_tvalid(s_radio1_ctrl_tvalid), + .s_rfnoc_ctrl_tready(s_radio1_ctrl_tready), + .m_rfnoc_ctrl_tdata (m_radio1_ctrl_tdata ), + .m_rfnoc_ctrl_tlast (m_radio1_ctrl_tlast ), + .m_rfnoc_ctrl_tvalid(m_radio1_ctrl_tvalid), + .m_rfnoc_ctrl_tready(m_radio1_ctrl_tready) + ); + + + // ---------------------------------------------------- + // Static Router + // ---------------------------------------------------- + assign s_duc0_in_0_tdata = m_ep0_out0_tdata ; + assign s_duc0_in_0_tlast = m_ep0_out0_tlast ; + assign s_duc0_in_0_tvalid = m_ep0_out0_tvalid; + assign m_ep0_out0_tready = s_duc0_in_0_tready; + + assign s_radio0_in_0_tdata = m_duc0_out_0_tdata ; + assign s_radio0_in_0_tlast = m_duc0_out_0_tlast ; + assign s_radio0_in_0_tvalid = m_duc0_out_0_tvalid; + assign m_duc0_out_0_tready = s_radio0_in_0_tready; + + assign s_ddc0_in_0_tdata = m_radio0_out_0_tdata ; + assign s_ddc0_in_0_tlast = m_radio0_out_0_tlast ; + assign s_ddc0_in_0_tvalid = m_radio0_out_0_tvalid; + assign m_radio0_out_0_tready = s_ddc0_in_0_tready; + + assign s_ep0_in0_tdata = m_ddc0_out_0_tdata ; + assign s_ep0_in0_tlast = m_ddc0_out_0_tlast ; + assign s_ep0_in0_tvalid = m_ddc0_out_0_tvalid; + assign m_ddc0_out_0_tready = s_ep0_in0_tready; + + assign s_duc1_in_0_tdata = m_ep1_out0_tdata ; + assign s_duc1_in_0_tlast = m_ep1_out0_tlast ; + assign s_duc1_in_0_tvalid = m_ep1_out0_tvalid; + assign m_ep1_out0_tready = s_duc1_in_0_tready; + + assign s_radio1_in_0_tdata = m_duc1_out_0_tdata ; + assign s_radio1_in_0_tlast = m_duc1_out_0_tlast ; + assign s_radio1_in_0_tvalid = m_duc1_out_0_tvalid; + assign m_duc1_out_0_tready = s_radio1_in_0_tready; + + assign s_ddc1_in_0_tdata = m_radio1_out_0_tdata ; + assign s_ddc1_in_0_tlast = m_radio1_out_0_tlast ; + assign s_ddc1_in_0_tvalid = m_radio1_out_0_tvalid; + assign m_radio1_out_0_tready = s_ddc1_in_0_tready; + + assign s_ep1_in0_tdata = m_ddc1_out_0_tdata ; + assign s_ep1_in0_tlast = m_ddc1_out_0_tlast ; + assign s_ep1_in0_tvalid = m_ddc1_out_0_tvalid; + assign m_ddc1_out_0_tready = s_ep1_in0_tready; + + + // ---------------------------------------------------- + // Unused Ports + // ---------------------------------------------------- + + // ---------------------------------------------------- + // Clock Domains + // ---------------------------------------------------- + assign radio0_radio_clk = radio_clk; + assign ddc0_ce_clk = radio_clk; + assign duc0_ce_clk = radio_clk; + assign radio1_radio_clk = radio_clk; + assign ddc1_ce_clk = radio_clk; + assign duc1_ce_clk = radio_clk; + + + // ---------------------------------------------------- + // IO Port Connection + // ---------------------------------------------------- + // Master/Slave Connections: + assign m_ctrlport_radio0_req_wr = radio0_m_ctrlport_req_wr; + assign m_ctrlport_radio0_req_rd = radio0_m_ctrlport_req_rd; + assign m_ctrlport_radio0_req_addr = radio0_m_ctrlport_req_addr; + assign m_ctrlport_radio0_req_data = radio0_m_ctrlport_req_data; + assign m_ctrlport_radio0_req_byte_en = radio0_m_ctrlport_req_byte_en; + assign m_ctrlport_radio0_req_has_time = radio0_m_ctrlport_req_has_time; + assign m_ctrlport_radio0_req_time = radio0_m_ctrlport_req_time; + assign radio0_m_ctrlport_resp_ack = m_ctrlport_radio0_resp_ack; + assign radio0_m_ctrlport_resp_status = m_ctrlport_radio0_resp_status; + assign radio0_m_ctrlport_resp_data = m_ctrlport_radio0_resp_data; + + assign m_ctrlport_radio1_req_wr = radio1_m_ctrlport_req_wr; + assign m_ctrlport_radio1_req_rd = radio1_m_ctrlport_req_rd; + assign m_ctrlport_radio1_req_addr = radio1_m_ctrlport_req_addr; + assign m_ctrlport_radio1_req_data = radio1_m_ctrlport_req_data; + assign m_ctrlport_radio1_req_byte_en = radio1_m_ctrlport_req_byte_en; + assign m_ctrlport_radio1_req_has_time = radio1_m_ctrlport_req_has_time; + assign m_ctrlport_radio1_req_time = radio1_m_ctrlport_req_time; + assign radio1_m_ctrlport_resp_ack = m_ctrlport_radio1_resp_ack; + assign radio1_m_ctrlport_resp_status = m_ctrlport_radio1_resp_status; + assign radio1_m_ctrlport_resp_data = m_ctrlport_radio1_resp_data; + + assign radio0_radio_rx_data = radio_rx_data_radio0; + assign radio0_radio_rx_stb = radio_rx_stb_radio0; + assign radio_rx_running_radio0 = radio0_radio_rx_running; + assign radio_tx_data_radio0 = radio0_radio_tx_data; + assign radio0_radio_tx_stb = radio_tx_stb_radio0; + assign radio_tx_running_radio0 = radio0_radio_tx_running; + + assign radio1_radio_rx_data = radio_rx_data_radio1; + assign radio1_radio_rx_stb = radio_rx_stb_radio1; + assign radio_rx_running_radio1 = radio1_radio_rx_running; + assign radio_tx_data_radio1 = radio1_radio_tx_data; + assign radio1_radio_tx_stb = radio_tx_stb_radio1; + assign radio_tx_running_radio1 = radio1_radio_tx_running; + + // Broadcaster/Listener Connections: + assign radio0_radio_time = radio_time; + + assign radio1_radio_time = radio_time; + +endmodule diff --git a/fpga/usrp3/top/n3xx/n320_rfnoc_image_core.yml b/fpga/usrp3/top/n3xx/n320_rfnoc_image_core.yml new file mode 100644 index 000000000..e107eebc7 --- /dev/null +++ b/fpga/usrp3/top/n3xx/n320_rfnoc_image_core.yml @@ -0,0 +1,95 @@ +# General parameters +# ----------------------------------------- +schema: rfnoc_imagebuilder_args # Identifier for the schema used to validate this file +copyright: 'Ettus Research, A National Instruments Brand' # Copyright information used in file headers +license: 'SPDX-License-Identifier: LGPL-3.0-or-later' # License information used in file headers +version: 1.0 # File version +rfnoc_version: 1.0 # RFNoC protocol version +chdr_width: 64 # Bit width of the CHDR bus for this image +device: 'n320' +default_target: 'N320_HG' + +# A list of all stream endpoints in design +# ---------------------------------------- +stream_endpoints: + ep0: # Stream endpoint name + ctrl: True # Endpoint passes control traffic + data: True # Endpoint passes data traffic + buff_size: 32768 # Ingress buffer size for data + ep1: # Stream endpoint name + ctrl: False # Endpoint passes control traffic + data: True # Endpoint passes data traffic + buff_size: 32768 # Ingress buffer size for data + +# A list of all NoC blocks in design +# ---------------------------------- +noc_blocks: + duc0: # NoC block name + block_desc: 'duc.yml' # Block device descriptor file + parameters: + NUM_PORTS: 1 + ddc0: + block_desc: 'ddc.yml' + parameters: + NUM_PORTS: 1 + radio0: + block_desc: 'radio_1x64.yml' + duc1: + block_desc: 'duc.yml' + parameters: + NUM_PORTS: 1 + ddc1: + block_desc: 'ddc.yml' + parameters: + NUM_PORTS: 1 + radio1: + block_desc: 'radio_1x64.yml' + #fifo0: + #block_desc: 'axi_ram_fifo_4x64.yml' + #parameters: + ## These parameters match the memory interface on the N3XX + #NUM_PORTS: 4 + #MEM_DATA_W: 64 + #MEM_ADDR_W: 31 + #FIFO_ADDR_BASE: "{30'h06000000, 30'h04000000, 30'h02000000, 30'h00000000}" + #FIFO_ADDR_MASK: "{30'h01FFFFFF, 30'h01FFFFFF, 30'h01FFFFFF, 30'h01FFFFFF}" + #MEM_CLK_RATE: "303819444" # 166.666666 MHz * 21.875 / 4 / 3 = 303.819444 MHz + +# A list of all static connections in design +# ------------------------------------------ +# Format: A list of connection maps (list of key-value pairs) with the following keys +# - srcblk = Source block to connect +# - srcport = Port on the source block to connect +# - dstblk = Destination block to connect +# - dstport = Port on the destination block to connect +connections: + - { srcblk: ep0, srcport: out0, dstblk: duc0, dstport: in_0 } + - { srcblk: duc0, srcport: out_0, dstblk: radio0, dstport: in_0 } + - { srcblk: radio0, srcport: out_0, dstblk: ddc0, dstport: in_0 } + - { srcblk: ddc0, srcport: out_0, dstblk: ep0, dstport: in0 } + - { srcblk: ep1, srcport: out0, dstblk: duc1, dstport: in_0 } + - { srcblk: duc1, srcport: out_0, dstblk: radio1, dstport: in_0 } + - { srcblk: radio1, srcport: out_0, dstblk: ddc1, dstport: in_0 } + - { srcblk: ddc1, srcport: out_0, dstblk: ep1, dstport: in0 } + - { srcblk: radio0, srcport: ctrl_port, dstblk: _device_, dstport: ctrlport_radio0 } + - { srcblk: radio1, srcport: ctrl_port, dstblk: _device_, dstport: ctrlport_radio1 } + - { srcblk: _device_, srcport: radio_ch0, dstblk: radio0, dstport: radio_iface } + - { srcblk: _device_, srcport: radio_ch1, dstblk: radio1, dstport: radio_iface } + - { srcblk: _device_, srcport: time_keeper, dstblk: radio0, dstport: time_keeper } + - { srcblk: _device_, srcport: time_keeper, dstblk: radio1, dstport: time_keeper } + +# A list of all clock domain connections in design +# ------------------------------------------------ +# Format: A list of connection maps (list of key-value pairs) with the following keys +# - srcblk = Source block to connect (Always "_device"_) +# - srcport = Clock domain on the source block to connect +# - dstblk = Destination block to connect +# - dstport = Clock domain on the destination block to connect +clk_domains: + - { srcblk: _device_, srcport: radio, dstblk: radio0, dstport: radio } + - { srcblk: _device_, srcport: radio, dstblk: ddc0, dstport: ce } + - { srcblk: _device_, srcport: radio, dstblk: duc0, dstport: ce } + - { srcblk: _device_, srcport: radio, dstblk: radio1, dstport: radio } + - { srcblk: _device_, srcport: radio, dstblk: ddc1, dstport: ce } + - { srcblk: _device_, srcport: radio, dstblk: duc1, dstport: ce } +# - { srcblk: _device_, srcport: dram, dstblk: fifo0, dstport: mem } diff --git a/fpga/usrp3/top/n3xx/n320_static_router.hex b/fpga/usrp3/top/n3xx/n320_static_router.hex new file mode 100644 index 000000000..eeaf5024d --- /dev/null +++ b/fpga/usrp3/top/n3xx/n320_static_router.hex @@ -0,0 +1,9 @@ +00000008 +004000c0 +00c00140 +01400100 +01000040 +00800180 +01800200 +020001c0 +01c00080 diff --git a/fpga/usrp3/top/n3xx/n3xx_clocking.v b/fpga/usrp3/top/n3xx/n3xx_clocking.v new file mode 100644 index 000000000..fc7ecbe49 --- /dev/null +++ b/fpga/usrp3/top/n3xx/n3xx_clocking.v @@ -0,0 +1,238 @@ +///////////////////////////////////////////////////////////////////// +// +// Copyright 2017 Ettus Research, A National Instruments Company +// SPDX-License-Identifier: LGPL-3.0-or-later +// +// Module: n3xx_clocking.v +// +// Purpose: +// +// First, instantiate clock input buffers on all clocks to provide termination +// for the PCB traces. This file also includes the MMCM for generating meas_clk at +// specific rates and a global buffer for the reference clock to be able to use it +// directly within and outside of this module. +// +// Second, PPS inputs from the back panel (called external) and the GPSDO are captured by +// the Reference Clock. Selection is performed amongst these and the internally-generated +// options. +// +// NOTE: BUFGs are NOT instantiated on the following clocks, denoted by the _buf suffix: +// wr_refclk_buf, netclk_buf, gige_refclk_buf, xgige_refclk_buf +// +////////////////////////////////////////////////////////////////////// + +module n3xx_clocking ( + // Input buffers for clocks + input enable_ref_clk_async, // enables the ref_clk BUFG (driven async to ref_clk) + input FPGA_REFCLK_P, FPGA_REFCLK_N, + output ref_clk, + + input WB_20MHz_P, WB_20MHz_N, + output wr_refclk_buf, + + input NETCLK_REF_P, NETCLK_REF_N, + output netclk_buf, + + input NETCLK_P, NETCLK_N, + output gige_refclk_buf, + + input MGT156MHZ_CLK1_P, MGT156MHZ_CLK1_N, + output xgige_refclk_buf, + + // Measurement Clock Generation + input misc_clks_ref, + output meas_clk, + output ddr3_dma_clk, + input misc_clks_reset, + output misc_clks_locked, + + // PPS Capture & Selection + input ext_pps_from_pin, + input gps_pps_from_pin, + input [3:0] pps_select, + output reg pps_refclk + ); + + // Clock Buffering and Generation : /////////////////////////////////////////////////// + // + // Manually instantiate input buffers on all clocks, and a global buffer on the + // Reference Clock for use in the rest of the design. All other clocks must have + // global buffers other places, since the declarations here are for SI purposes. + // + /////////////////////////////////////////////////////////////////////////////////////// + + wire ref_clk_buf; + + // FPGA Reference Clock Buffering + // + // Only require an IBUF and BUFG here, since an MMCM is (thankfully) not needed + // to meet timing with the PPS signal. + IBUFGDS ref_clk_ibuf ( + .O(ref_clk_buf), + .I(FPGA_REFCLK_P), + .IB(FPGA_REFCLK_N) + ); + + // BUFG ref_clk_bufg ( + // .I(ref_clk_buf), + // .O(ref_clk) + // ); + WrapBufg #( + .kEnableIsAsync(1'b1) + ) ref_clk_bufg ( + .ClkIn(ref_clk_buf), + .aCe(enable_ref_clk_async), + .ClkOut(ref_clk) + ); + + // Buffers for SI Purposes + // + // Instantiate buffers on each of these differential clock inputs with DONT_TOUCH + // attributes in order to preserve the internal termination regardless of whether + // these clocks are used in the design. The lack of termination would place the + // voltage swings for these pins outside the acceptable range for the FPGA inputs. + (* dont_touch = "true" *) IBUFGDS wr_refclk_ibuf ( + .I (WB_20MHz_P), + .IB(WB_20MHz_N), + .O (wr_refclk_buf) + ); + + (* dont_touch = "true" *) IBUFGDS netclk_ref_ibuf ( + .I (NETCLK_REF_P), + .IB(NETCLK_REF_N), + .O (netclk_buf) + ); + + // Same deal for the MGT reference clock buffers. + (* dont_touch = "true" *) IBUFDS_GTE2 gige_refclk_ibuf ( + .ODIV2(), + .CEB (1'b0), + .I (NETCLK_P), + .IB(NETCLK_N), + .O (gige_refclk_buf) + ); + + (* dont_touch = "true" *) IBUFDS_GTE2 ten_gige_refclk_ibuf ( + .ODIV2(), + .CEB (1'b0), + .I (MGT156MHZ_CLK1_P), + .IB(MGT156MHZ_CLK1_N), + .O (xgige_refclk_buf) + ); + + // Measurement Clock MMCM Instantiation + // + // This must be an MMCM to hit the weird rates we need for meas_clk. It takes the + // 166.6667 MHz clock from the PS and provides the correct meas_clk rate for the TDC. + // BUFG is embedded in the MMCM files. + //---------------------------------------------------------------------------- + // Output Output Phase Duty Cycle Pk-to-Pk Phase + // Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) + //---------------------------------------------------------------------------- + // CLK_OUT1___170.543______0.000______50.0______105.052_____94.905 (meas_clk) + // CLK_OUT2___305.556______0.000______50.0_______93.867_____94.905 (ddr3_dma_clk) + // + //---------------------------------------------------------------------------- + // Input Clock Freq (MHz) Input Jitter (UI) + //---------------------------------------------------------------------------- + // __primary________166.666667____________0.010 + + misc_clock_gen misc_clock_gen_i ( + .clk_in (misc_clks_ref), + .meas_clk (meas_clk), + .ddr3_dma_clk (ddr3_dma_clk), + .reset (misc_clks_reset), + .locked (misc_clks_locked) + ); + + + // PPS Capture and Generation : /////////////////////////////////////////////////////// + // + // The following shows the support matrix for PPS with respect to the + // reference clock source and rate. + // _______________________________ + // ____________| PPS | + // | Clocks | External | FPGA | GPSDO | WR | + // |--------------------------------------------| + // |External 10 | x | x | | | + // |Internal 25 | | x | | x | + // |GPSDO 20 | | | x | | + // |--------------------------------------------| + // + /////////////////////////////////////////////////////////////////////////////////////// + + wire pps_ext_refclk; + wire pps_gps_refclk; + wire [3:0] pps_select_refclk; + + // Generate two internal PPS signals, each with a 25% duty cycle, based on + // 10 MHz and 25 MHz Reference Clock rates. Only one will be used at a time. + wire int_pps_10mhz_refclk; + pps_generator #( + .CLK_FREQ(32'd10_000_000), .DUTY_CYCLE(25) + ) pps_gen_10 ( + .clk(ref_clk), .reset(1'b0), .pps(int_pps_10mhz_refclk) + ); + wire int_pps_25mhz_refclk; + pps_generator #( + .CLK_FREQ(32'd25_000_000), .DUTY_CYCLE(25) + ) pps_gen_25 ( + .clk(ref_clk), .reset(1'b0), .pps(int_pps_25mhz_refclk) + ); + + // Capture the external PPSs with a FF before sending them to the mux. To be safe, + // we double-synchronize the external signals. If we meet timing (which we should) + // then this is a two-cycle delay. If we don't meet timing, then it's 1-2 cycles + // and our system timing is thrown off--but at least our downstream logic doesn't + // go metastable! + synchronizer #( + .FALSE_PATH_TO_IN(0) + ) ext_pps_dsync ( + .clk(ref_clk), .rst(1'b0), .in(ext_pps_from_pin), .out(pps_ext_refclk) + ); + // Same deal with the GPSDO PPS input. Double-sync, then use it. + synchronizer #( + .FALSE_PATH_TO_IN(0) + ) gps_pps_dsync ( + .clk(ref_clk), .rst(1'b0), .in(gps_pps_from_pin), .out(pps_gps_refclk) + ); + + // Synchronize the select bits over to the reference clock as well. Note that this is + // a vector, so we could have some non-one-hot values creep through when changing. + // See the note below as to why this is safe. + synchronizer #( + .FALSE_PATH_TO_IN(1), + .WIDTH(4) + ) pps_select_dsync ( + .clk(ref_clk), .rst(1'b0), .in(pps_select), .out(pps_select_refclk) + ); + + // Bit locations for the pps_select vector. + localparam BIT_PPS_SEL_INT_10 = 0; + localparam BIT_PPS_SEL_INT_25 = 1; + localparam BIT_PPS_SEL_EXT = 2; + localparam BIT_PPS_SEL_GPSDO = 3; + + // PPS MUX - selects internal or external PPS. + always @(posedge ref_clk) begin + + // Encoding is one-hot on these bits. It is possible when the vector is being double- + // synchronized to the reference clock domain that there could be multiple bits + // asserted simultaneously. This is not problematic because the order of operations + // in the following selection mux should take over and only one PPS should win. + // This could result in glitches, but that is expected during ANY PPS switchover + // since the switch is performed asynchronously to the PPS signal. + if (pps_select_refclk[BIT_PPS_SEL_INT_10]) + pps_refclk <= int_pps_10mhz_refclk; + else if (pps_select_refclk[BIT_PPS_SEL_INT_25]) + pps_refclk <= int_pps_25mhz_refclk; + else if (pps_select_refclk[BIT_PPS_SEL_EXT]) + pps_refclk <= pps_ext_refclk; + else if (pps_select_refclk[BIT_PPS_SEL_GPSDO]) + pps_refclk <= pps_gps_refclk; + else + pps_refclk <= pps_ext_refclk; // Compatibility with old SW stacks, pps_select_refclk = 0 = external + + end + +endmodule diff --git a/fpga/usrp3/top/n3xx/n3xx_core.v b/fpga/usrp3/top/n3xx/n3xx_core.v new file mode 100644 index 000000000..676bc782d --- /dev/null +++ b/fpga/usrp3/top/n3xx/n3xx_core.v @@ -0,0 +1,1287 @@ +///////////////////////////////////////////////////////////////////// +// +// Copyright 2017-2019 Ettus Research, A National Instruments Brand +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// +// Module: n3xx_core +// Description: +// - Motherboard Registers +// - Crossbar +// - Noc Block Radios +// - Noc Block Dram fifo +// - Radio Front End control +// +///////////////////////////////////////////////////////////////////// + +module n3xx_core #( + parameter REG_DWIDTH = 32, // Width of the AXI4-Lite data bus (must be 32 or 64) + parameter REG_AWIDTH = 32, // Width of the address bus + parameter BUS_CLK_RATE = 200000000, // BUS_CLK rate + parameter CHANNEL_WIDTH = 32, + parameter NUM_CHANNELS_PER_RADIO = 1, + parameter NUM_CHANNELS = 4, + parameter NUM_DBOARDS = 2, + parameter NUM_SPI_PER_DBOARD = 8, + parameter USE_CORRECTION = 0, + parameter USE_REPLAY = 0, // 1 for Replay block instead of DMA FIFO + parameter FP_GPIO_WIDTH = 12, // Front panel GPIO width + parameter RFNOC_PROTOVER = {8'd1, 8'd0}, + parameter CHDR_WIDTH = 16'd64 +)( + // Clocks and resets + input radio_clk, + input radio_rst, + input bus_clk, + input bus_rst, + input ddr3_dma_clk, + input clk40, + + // Clocking and PPS Controls/Indicators + input pps, + output reg[3:0] pps_select = 4'h1, + output reg pps_out_enb, + output reg[1:0] pps_select_sfp = 2'b0, + output reg ref_clk_reset, + output reg meas_clk_reset, + input ref_clk_locked, + input meas_clk_locked, + output reg enable_ref_clk_async, + + // Motherboard Registers: AXI lite interface + input s_axi_aclk, + input s_axi_aresetn, + input [REG_AWIDTH-1:0] s_axi_awaddr, + input s_axi_awvalid, + output s_axi_awready, + + input [REG_DWIDTH-1:0] s_axi_wdata, + input [REG_DWIDTH/8-1:0] s_axi_wstrb, + input s_axi_wvalid, + output s_axi_wready, + + output [1:0] s_axi_bresp, + output s_axi_bvalid, + input s_axi_bready, + + input [REG_AWIDTH-1:0] s_axi_araddr, + input s_axi_arvalid, + output s_axi_arready, + + output [REG_DWIDTH-1:0] s_axi_rdata, + output [1:0] s_axi_rresp, + output s_axi_rvalid, + input s_axi_rready, + + // PS GPIO source + input [FP_GPIO_WIDTH-1:0] ps_gpio_out, + input [FP_GPIO_WIDTH-1:0] ps_gpio_tri, + output [FP_GPIO_WIDTH-1:0] ps_gpio_in, + + // Front Panel GPIO + inout [FP_GPIO_WIDTH-1:0] fp_gpio_inout, + + // Radio GPIO control for DSA + output [16*NUM_CHANNELS-1:0] db_gpio_out_flat, + output [16*NUM_CHANNELS-1:0] db_gpio_ddr_flat, + input [16*NUM_CHANNELS-1:0] db_gpio_in_flat, + input [16*NUM_CHANNELS-1:0] db_gpio_fab_flat, + + // Radio ATR + output [NUM_CHANNELS-1:0] rx_atr, + output [NUM_CHANNELS-1:0] tx_atr, + + // Radio Data + input [NUM_CHANNELS-1:0] rx_stb, + input [NUM_CHANNELS-1:0] tx_stb, + input [CHANNEL_WIDTH*NUM_CHANNELS-1:0] rx, + output [CHANNEL_WIDTH*NUM_CHANNELS-1:0] tx, + + // CPLD + output [NUM_SPI_PER_DBOARD*NUM_DBOARDS-1:0] sen_flat, + output [NUM_DBOARDS-1:0] sclk_flat, + output [NUM_DBOARDS-1:0] mosi_flat, + input [NUM_DBOARDS-1:0] miso_flat, + + // DMA xport adapter to PS + input wire [63:0] s_dma_tdata, + input wire [3:0] s_dma_tuser, + input wire s_dma_tlast, + output wire s_dma_tready, + input wire s_dma_tvalid, + + output wire [63:0] m_dma_tdata, + output wire [3:0] m_dma_tdest, + output wire m_dma_tlast, + input wire m_dma_tready, + output wire m_dma_tvalid, + + // AXI4 (256b@200MHz) interface to DDR3 controller + input ddr3_axi_clk, + input ddr3_axi_rst, + input ddr3_running, + // Write Address Ports + output [3:0] ddr3_axi_awid, + output [31:0] ddr3_axi_awaddr, + output [7:0] ddr3_axi_awlen, + output [2:0] ddr3_axi_awsize, + output [1:0] ddr3_axi_awburst, + output [0:0] ddr3_axi_awlock, + output [3:0] ddr3_axi_awcache, + output [2:0] ddr3_axi_awprot, + output [3:0] ddr3_axi_awqos, + output ddr3_axi_awvalid, + input ddr3_axi_awready, + // Write Data Ports + output [255:0] ddr3_axi_wdata, + output [31:0] ddr3_axi_wstrb, + output ddr3_axi_wlast, + output ddr3_axi_wvalid, + input ddr3_axi_wready, + // Write Response Ports + output ddr3_axi_bready, + input [3:0] ddr3_axi_bid, + input [1:0] ddr3_axi_bresp, + input ddr3_axi_bvalid, + // Read Address Ports + output [3:0] ddr3_axi_arid, + output [31:0] ddr3_axi_araddr, + output [7:0] ddr3_axi_arlen, + output [2:0] ddr3_axi_arsize, + output [1:0] ddr3_axi_arburst, + output [0:0] ddr3_axi_arlock, + output [3:0] ddr3_axi_arcache, + output [2:0] ddr3_axi_arprot, + output [3:0] ddr3_axi_arqos, + output ddr3_axi_arvalid, + input ddr3_axi_arready, + // Read Data Ports + output ddr3_axi_rready, + input [3:0] ddr3_axi_rid, + input [255:0] ddr3_axi_rdata, + input [1:0] ddr3_axi_rresp, + input ddr3_axi_rlast, + input ddr3_axi_rvalid, + + // v2e (vita to ethernet) and e2v (eth to vita) + output [63:0] v2e0_tdata, + output v2e0_tvalid, + output v2e0_tlast, + input v2e0_tready, + + output [63:0] v2e1_tdata, + output v2e1_tlast, + output v2e1_tvalid, + input v2e1_tready, + + input [63:0] e2v0_tdata, + input e2v0_tlast, + input e2v0_tvalid, + output e2v0_tready, + + input [63:0] e2v1_tdata, + input e2v1_tlast, + input e2v1_tvalid, + output e2v1_tready, + + // RegPort interface to NPIO + output reg_wr_req_npio, + output [REG_AWIDTH-1:0] reg_wr_addr_npio, + output [REG_DWIDTH-1:0] reg_wr_data_npio, + output reg_rd_req_npio, + output [REG_AWIDTH-1:0] reg_rd_addr_npio, + input reg_rd_resp_npio, + input [REG_DWIDTH-1:0] reg_rd_data_npio, + + // Misc + input [31:0] build_datestamp, + input [31:0] xadc_readback, + input [63:0] sfp_ports_info, + output reg [15:0] device_id +); + + ///////////////////////////////////////////////////////////////////////////////// + // + // FPGA Compatibility Number + // Rules for modifying compat number: + // - Major is updated when the FPGA is changed and requires a software + // change as a result. + // - Minor is updated when a new feature is added to the FPGA that does not + // break software compatibility. + // + ///////////////////////////////////////////////////////////////////////////////// + + localparam [15:0] COMPAT_MAJOR = 16'd7; + localparam [15:0] COMPAT_MINOR = 16'd0; + ///////////////////////////////////////////////////////////////////////////////// + + ///////////////////////////////////////////////////////////////////////////////// + // Motherboard Registers + ///////////////////////////////////////////////////////////////////////////////// + + // Register base + localparam REG_BASE_MISC = 14'h0; + localparam REG_BASE_TIMEKEEPER = 14'h1000; + + // Misc Motherboard Registers + localparam REG_COMPAT_NUM = REG_BASE_MISC + 14'h00; + localparam REG_DATESTAMP = REG_BASE_MISC + 14'h04; + localparam REG_GIT_HASH = REG_BASE_MISC + 14'h08; + localparam REG_SCRATCH = REG_BASE_MISC + 14'h0C; + localparam REG_DEVICE_ID = REG_BASE_MISC + 14'h10; + localparam REG_RFNOC_INFO = REG_BASE_MISC + 14'h14; + localparam REG_CLOCK_CTRL = REG_BASE_MISC + 14'h18; + localparam REG_XADC_READBACK = REG_BASE_MISC + 14'h1C; + localparam REG_BUS_CLK_RATE = REG_BASE_MISC + 14'h20; + localparam REG_BUS_CLK_COUNT = REG_BASE_MISC + 14'h24; + localparam REG_SFP_PORT0_INFO = REG_BASE_MISC + 14'h28; + localparam REG_SFP_PORT1_INFO = REG_BASE_MISC + 14'h2C; + localparam REG_FP_GPIO_MASTER = REG_BASE_MISC + 14'h30; + localparam REG_FP_GPIO_RADIO_SRC = REG_BASE_MISC + 14'h34; + localparam REG_NUM_TIMEKEEPERS = REG_BASE_MISC + 14'h48; + + localparam NUM_TIMEKEEPERS = 16'd1; + + wire m_ctrlport_req_wr_radio0; + wire m_ctrlport_req_rd_radio0; + wire [19:0] m_ctrlport_req_addr_radio0; + wire [31:0] m_ctrlport_req_data_radio0; + wire [3:0] m_ctrlport_req_byte_en_radio0; + wire m_ctrlport_req_has_time_radio0; + wire [63:0] m_ctrlport_req_time_radio0; + wire m_ctrlport_resp_ack_radio0; + wire [1:0] m_ctrlport_resp_status_radio0; + wire [31:0] m_ctrlport_resp_data_radio0; + `ifndef N300 + wire m_ctrlport_req_wr_radio1; + wire m_ctrlport_req_rd_radio1; + wire [19:0] m_ctrlport_req_addr_radio1; + wire [31:0] m_ctrlport_req_data_radio1; + wire [3:0] m_ctrlport_req_byte_en_radio1; + wire m_ctrlport_req_has_time_radio1; + wire [63:0] m_ctrlport_req_time_radio1; + wire m_ctrlport_resp_ack_radio1; + wire [1:0] m_ctrlport_resp_status_radio1; + wire [31:0] m_ctrlport_resp_data_radio1; + `endif + + reg [31:0] scratch_reg = 32'b0; + reg [31:0] bus_counter = 32'h0; + reg [31:0] fp_gpio_master_reg = 32'h0; + reg [31:0] fp_gpio_src_reg = 32'h0; + + always @(posedge bus_clk) begin + if (bus_rst) + bus_counter <= 32'd0; + else + bus_counter <= bus_counter + 32'd1; + end + + // Regport + wire reg_wr_req; + wire [REG_AWIDTH-1:0] reg_wr_addr; + wire [REG_DWIDTH-1:0] reg_wr_data; + wire reg_rd_req; + wire [REG_AWIDTH-1:0] reg_rd_addr; + wire reg_rd_resp; + wire [REG_DWIDTH-1:0] reg_rd_data; + + reg reg_rd_resp_glob; + reg [REG_DWIDTH-1:0] reg_rd_data_glob; + wire reg_rd_resp_tk; + wire [REG_DWIDTH-1:0] reg_rd_data_tk; + + regport_resp_mux #( + .WIDTH(REG_DWIDTH), + .NUM_SLAVES(3) + ) inst_mboard_regport_resp_mux ( + .clk(bus_clk), + .reset(bus_rst), + .sla_rd_resp({reg_rd_resp_npio, reg_rd_resp_glob, reg_rd_resp_tk}), + .sla_rd_data({reg_rd_data_npio, reg_rd_data_glob, reg_rd_data_tk}), + .mst_rd_resp(reg_rd_resp), + .mst_rd_data(reg_rd_data) + ); + + // Regport Master to convert AXI4-Lite to regport + axil_regport_master #( + .DWIDTH (REG_DWIDTH), // Width of the AXI4-Lite data bus (must be 32 or 64) + .AWIDTH (REG_AWIDTH), // Width of the address bus + .WRBASE (0), // Write address base + .RDBASE (0), // Read address base + .TIMEOUT (10) // log2(timeout). Read will timeout after (2^TIMEOUT - 1) cycles + ) mboard_regport_master_i ( + // Clock and reset + .s_axi_aclk (s_axi_aclk), + .s_axi_aresetn (s_axi_aresetn), + // AXI4-Lite: Write address port (domain: s_axi_aclk) + .s_axi_awaddr (s_axi_awaddr), + .s_axi_awvalid (s_axi_awvalid), + .s_axi_awready (s_axi_awready), + // AXI4-Lite: Write data port (domain: s_axi_aclk) + .s_axi_wdata (s_axi_wdata), + .s_axi_wstrb (s_axi_wstrb), + .s_axi_wvalid (s_axi_wvalid), + .s_axi_wready (s_axi_wready), + // AXI4-Lite: Write response port (domain: s_axi_aclk) + .s_axi_bresp (s_axi_bresp), + .s_axi_bvalid (s_axi_bvalid), + .s_axi_bready (s_axi_bready), + // AXI4-Lite: Read address port (domain: s_axi_aclk) + .s_axi_araddr (s_axi_araddr), + .s_axi_arvalid (s_axi_arvalid), + .s_axi_arready (s_axi_arready), + // AXI4-Lite: Read data port (domain: s_axi_aclk) + .s_axi_rdata (s_axi_rdata), + .s_axi_rresp (s_axi_rresp), + .s_axi_rvalid (s_axi_rvalid), + .s_axi_rready (s_axi_rready), + // Register port: Write port (domain: reg_clk) + .reg_clk (bus_clk), + .reg_wr_req (reg_wr_req), + .reg_wr_addr (reg_wr_addr), + .reg_wr_data (reg_wr_data), + .reg_wr_keep (/*unused*/), + // Register port: Read port (domain: reg_clk) + .reg_rd_req (reg_rd_req), + .reg_rd_addr (reg_rd_addr), + .reg_rd_resp (reg_rd_resp), + .reg_rd_data (reg_rd_data) + ); + + assign reg_wr_req_npio = reg_wr_req; + assign reg_wr_addr_npio = reg_wr_addr; + assign reg_wr_data_npio = reg_wr_data; + assign reg_rd_req_npio = reg_rd_req; + assign reg_rd_addr_npio = reg_rd_addr; + + reg b_ref_clk_locked_ms; + reg b_ref_clk_locked; + reg b_meas_clk_locked_ms; + reg b_meas_clk_locked; + + // Write Registers + always @ (posedge bus_clk) begin + if (bus_rst) begin + scratch_reg <= 32'h0; + fp_gpio_master_reg <= 32'h0; + fp_gpio_src_reg <= 32'h0; + pps_select <= 4'h1; + pps_select_sfp <= 2'h0; + pps_out_enb <= 1'b0; + ref_clk_reset <= 1'b0; + meas_clk_reset <= 1'b0; + enable_ref_clk_async <= 1'b1; + device_id <= 16'h0; + end else if (reg_wr_req) begin + case (reg_wr_addr) + REG_DEVICE_ID: begin + device_id <= reg_wr_data[15:0]; + end + REG_FP_GPIO_MASTER: begin + fp_gpio_master_reg <= reg_wr_data; + end + REG_FP_GPIO_RADIO_SRC: begin + fp_gpio_src_reg <= reg_wr_data; + end + REG_SCRATCH: begin + scratch_reg <= reg_wr_data; + end + REG_CLOCK_CTRL: begin + pps_select <= reg_wr_data[3:0]; + pps_out_enb <= reg_wr_data[4]; + pps_select_sfp <= reg_wr_data[6:5]; + ref_clk_reset <= reg_wr_data[8]; + meas_clk_reset <= reg_wr_data[12]; + // This bit is defined as "to disable, write '1' to bit 16" for backwards + // compatibility. + enable_ref_clk_async <= ~reg_wr_data[16]; + end + endcase + end + end + + // Read Registers + always @ (posedge bus_clk) begin + if (bus_rst) begin + reg_rd_resp_glob <= 1'b0; + b_ref_clk_locked_ms <= 1'b0; + b_ref_clk_locked <= 1'b0; + b_meas_clk_locked_ms <= 1'b0; + b_meas_clk_locked <= 1'b0; + end + else begin + + // double-sync the locked bits into the bus_clk domain before using them + b_ref_clk_locked_ms <= ref_clk_locked; + b_ref_clk_locked <= b_ref_clk_locked_ms; + b_meas_clk_locked_ms <= meas_clk_locked; + b_meas_clk_locked <= b_meas_clk_locked_ms; + + if (reg_rd_req) begin + reg_rd_resp_glob <= 1'b1; + + case (reg_rd_addr) + REG_DEVICE_ID: + reg_rd_data_glob <= device_id; + + REG_RFNOC_INFO: + reg_rd_data_glob <= {CHDR_WIDTH[15:0], RFNOC_PROTOVER[15:0]}; + + REG_COMPAT_NUM: + reg_rd_data_glob <= {COMPAT_MAJOR, COMPAT_MINOR}; + + REG_DATESTAMP: + reg_rd_data_glob <= build_datestamp; + + REG_GIT_HASH: + `ifndef GIT_HASH + `define GIT_HASH 32'h0BADC0DE + `endif + reg_rd_data_glob <= `GIT_HASH; + + REG_FP_GPIO_MASTER: + reg_rd_data_glob <= fp_gpio_master_reg; + + REG_FP_GPIO_RADIO_SRC: + reg_rd_data_glob <= fp_gpio_src_reg; + + REG_SCRATCH: + reg_rd_data_glob <= scratch_reg; + + REG_CLOCK_CTRL: begin + reg_rd_data_glob <= 32'b0; + reg_rd_data_glob[3:0] <= pps_select; + reg_rd_data_glob[4] <= pps_out_enb; + reg_rd_data_glob[6:5] <= pps_select_sfp; + reg_rd_data_glob[8] <= ref_clk_reset; + reg_rd_data_glob[9] <= b_ref_clk_locked; + reg_rd_data_glob[12] <= meas_clk_reset; + reg_rd_data_glob[13] <= b_meas_clk_locked; + reg_rd_data_glob[16] <= ~enable_ref_clk_async; + end + + REG_XADC_READBACK: + reg_rd_data_glob <= xadc_readback; + + REG_BUS_CLK_RATE: + reg_rd_data_glob <= BUS_CLK_RATE; + + REG_BUS_CLK_COUNT: + reg_rd_data_glob <= bus_counter; + + REG_SFP_PORT0_INFO: + reg_rd_data_glob <= sfp_ports_info[31:0]; + + REG_SFP_PORT1_INFO: + reg_rd_data_glob <= sfp_ports_info[63:32]; + + REG_NUM_TIMEKEEPERS: + reg_rd_data_glob <= NUM_TIMEKEEPERS; + + default: + reg_rd_resp_glob <= 1'b0; + endcase + end + else if (reg_rd_resp_glob) begin + reg_rd_resp_glob <= 1'b0; + end + end + end + + ///////////////////////////////////////////////////////////////////////////// + // + // DMA Transport Adapter + // + ///////////////////////////////////////////////////////////////////////////// + wire [63:0] dmao_tdata; + wire dmao_tlast; + wire dmao_tvalid; + wire dmao_tready; + + wire [63:0] dmai_tdata; + wire dmai_tlast; + wire dmai_tvalid; + wire dmai_tready; + + liberio_chdr64_adapter #( + .DMA_ID_WIDTH (4) + ) dma_xport_adapter ( + .clk (bus_clk), + .rst (bus_rst), + .device_id (device_id), + // From DMA engine to core + .s_dma_tdata (s_dma_tdata), + .s_dma_tuser (s_dma_tuser), + .s_dma_tlast (s_dma_tlast), + .s_dma_tvalid (s_dma_tvalid), + .s_dma_tready (s_dma_tready), + // From core to DMA engine + .m_dma_tdata (m_dma_tdata), + .m_dma_tuser (m_dma_tdest), + .m_dma_tlast (m_dma_tlast), + .m_dma_tvalid (m_dma_tvalid), + .m_dma_tready (m_dma_tready), + // CHDR buses + .s_chdr_tdata (dmao_tdata), + .s_chdr_tlast (dmao_tlast), + .s_chdr_tvalid (dmao_tvalid), + .s_chdr_tready (dmao_tready), + .m_chdr_tdata (dmai_tdata), + .m_chdr_tlast (dmai_tlast), + .m_chdr_tvalid (dmai_tvalid), + .m_chdr_tready (dmai_tready) + ); + + ///////////////////////////////////////////////////////////////////// + // + // AXI Interconnect + // + ///////////////////////////////////////////////////////////////////// + + localparam NUM_DRAM_FIFOS = 4; + localparam DRAM_FIFO_INPUT_BUFF_SIZE = 8'd13; + + wire ddr3_dma_rst; + synchronizer #( + .INITIAL_VAL(1'b1) + ) ddr3_dma_rst_sync_i ( + .clk(ddr3_dma_clk), .rst(1'b0), .in(ddr3_axi_rst), .out(ddr3_dma_rst) + ); + + // AXI4 MM buses + wire [0:0] dram_axi_awid [0:NUM_DRAM_FIFOS-1]; + wire [31:0] dram_axi_awaddr [0:NUM_DRAM_FIFOS-1]; + wire [7:0] dram_axi_awlen [0:NUM_DRAM_FIFOS-1]; + wire [2:0] dram_axi_awsize [0:NUM_DRAM_FIFOS-1]; + wire [1:0] dram_axi_awburst [0:NUM_DRAM_FIFOS-1]; + wire [0:0] dram_axi_awlock [0:NUM_DRAM_FIFOS-1]; + wire [3:0] dram_axi_awcache [0:NUM_DRAM_FIFOS-1]; + wire [2:0] dram_axi_awprot [0:NUM_DRAM_FIFOS-1]; + wire [3:0] dram_axi_awqos [0:NUM_DRAM_FIFOS-1]; + wire [3:0] dram_axi_awregion [0:NUM_DRAM_FIFOS-1]; + wire [0:0] dram_axi_awuser [0:NUM_DRAM_FIFOS-1]; + wire dram_axi_awvalid [0:NUM_DRAM_FIFOS-1]; + wire dram_axi_awready [0:NUM_DRAM_FIFOS-1]; + wire [63:0] dram_axi_wdata [0:NUM_DRAM_FIFOS-1]; + wire [7:0] dram_axi_wstrb [0:NUM_DRAM_FIFOS-1]; + wire dram_axi_wlast [0:NUM_DRAM_FIFOS-1]; + wire [0:0] dram_axi_wuser [0:NUM_DRAM_FIFOS-1]; + wire dram_axi_wvalid [0:NUM_DRAM_FIFOS-1]; + wire dram_axi_wready [0:NUM_DRAM_FIFOS-1]; + wire [0:0] dram_axi_bid [0:NUM_DRAM_FIFOS-1]; + wire [1:0] dram_axi_bresp [0:NUM_DRAM_FIFOS-1]; + wire [0:0] dram_axi_buser [0:NUM_DRAM_FIFOS-1]; + wire dram_axi_bvalid [0:NUM_DRAM_FIFOS-1]; + wire dram_axi_bready [0:NUM_DRAM_FIFOS-1]; + wire [0:0] dram_axi_arid [0:NUM_DRAM_FIFOS-1]; + wire [31:0] dram_axi_araddr [0:NUM_DRAM_FIFOS-1]; + wire [7:0] dram_axi_arlen [0:NUM_DRAM_FIFOS-1]; + wire [2:0] dram_axi_arsize [0:NUM_DRAM_FIFOS-1]; + wire [1:0] dram_axi_arburst [0:NUM_DRAM_FIFOS-1]; + wire [0:0] dram_axi_arlock [0:NUM_DRAM_FIFOS-1]; + wire [3:0] dram_axi_arcache [0:NUM_DRAM_FIFOS-1]; + wire [2:0] dram_axi_arprot [0:NUM_DRAM_FIFOS-1]; + wire [3:0] dram_axi_arqos [0:NUM_DRAM_FIFOS-1]; + wire [3:0] dram_axi_arregion [0:NUM_DRAM_FIFOS-1]; + wire [0:0] dram_axi_aruser [0:NUM_DRAM_FIFOS-1]; + wire dram_axi_arvalid [0:NUM_DRAM_FIFOS-1]; + wire dram_axi_arready [0:NUM_DRAM_FIFOS-1]; + wire [0:0] dram_axi_rid [0:NUM_DRAM_FIFOS-1]; + wire [63:0] dram_axi_rdata [0:NUM_DRAM_FIFOS-1]; + wire [1:0] dram_axi_rresp [0:NUM_DRAM_FIFOS-1]; + wire dram_axi_rlast [0:NUM_DRAM_FIFOS-1]; + wire [0:0] dram_axi_ruser [0:NUM_DRAM_FIFOS-1]; + wire dram_axi_rvalid [0:NUM_DRAM_FIFOS-1]; + wire dram_axi_rready [0:NUM_DRAM_FIFOS-1]; + + axi_intercon_4x64_256_bd_wrapper axi_intercon_2x64_256_bd_i ( + .S00_AXI_ACLK (ddr3_dma_clk ), + .S00_AXI_ARESETN (~ddr3_dma_rst ), + .S00_AXI_AWID (dram_axi_awid [0]), + .S00_AXI_AWADDR (dram_axi_awaddr [0]), + .S00_AXI_AWLEN (dram_axi_awlen [0]), + .S00_AXI_AWSIZE (dram_axi_awsize [0]), + .S00_AXI_AWBURST (dram_axi_awburst [0]), + .S00_AXI_AWLOCK (dram_axi_awlock [0]), + .S00_AXI_AWCACHE (dram_axi_awcache [0]), + .S00_AXI_AWPROT (dram_axi_awprot [0]), + .S00_AXI_AWQOS (dram_axi_awqos [0]), + .S00_AXI_AWREGION (dram_axi_awregion[0]), + .S00_AXI_AWVALID (dram_axi_awvalid [0]), + .S00_AXI_AWREADY (dram_axi_awready [0]), + .S00_AXI_WDATA (dram_axi_wdata [0]), + .S00_AXI_WSTRB (dram_axi_wstrb [0]), + .S00_AXI_WLAST (dram_axi_wlast [0]), + .S00_AXI_WVALID (dram_axi_wvalid [0]), + .S00_AXI_WREADY (dram_axi_wready [0]), + .S00_AXI_BID (dram_axi_bid [0]), + .S00_AXI_BRESP (dram_axi_bresp [0]), + .S00_AXI_BVALID (dram_axi_bvalid [0]), + .S00_AXI_BREADY (dram_axi_bready [0]), + .S00_AXI_ARID (dram_axi_arid [0]), + .S00_AXI_ARADDR (dram_axi_araddr [0]), + .S00_AXI_ARLEN (dram_axi_arlen [0]), + .S00_AXI_ARSIZE (dram_axi_arsize [0]), + .S00_AXI_ARBURST (dram_axi_arburst [0]), + .S00_AXI_ARLOCK (dram_axi_arlock [0]), + .S00_AXI_ARCACHE (dram_axi_arcache [0]), + .S00_AXI_ARPROT (dram_axi_arprot [0]), + .S00_AXI_ARQOS (dram_axi_arqos [0]), + .S00_AXI_ARREGION (dram_axi_arregion[0]), + .S00_AXI_ARVALID (dram_axi_arvalid [0]), + .S00_AXI_ARREADY (dram_axi_arready [0]), + .S00_AXI_RID (dram_axi_rid [0]), + .S00_AXI_RDATA (dram_axi_rdata [0]), + .S00_AXI_RRESP (dram_axi_rresp [0]), + .S00_AXI_RLAST (dram_axi_rlast [0]), + .S00_AXI_RVALID (dram_axi_rvalid [0]), + .S00_AXI_RREADY (dram_axi_rready [0]), + // + .S01_AXI_ACLK (ddr3_dma_clk ), + .S01_AXI_ARESETN (~ddr3_dma_rst ), + .S01_AXI_AWID (dram_axi_awid [1]), + .S01_AXI_AWADDR (dram_axi_awaddr [1]), + .S01_AXI_AWLEN (dram_axi_awlen [1]), + .S01_AXI_AWSIZE (dram_axi_awsize [1]), + .S01_AXI_AWBURST (dram_axi_awburst [1]), + .S01_AXI_AWLOCK (dram_axi_awlock [1]), + .S01_AXI_AWCACHE (dram_axi_awcache [1]), + .S01_AXI_AWPROT (dram_axi_awprot [1]), + .S01_AXI_AWQOS (dram_axi_awqos [1]), + .S01_AXI_AWREGION (dram_axi_awregion[1]), + .S01_AXI_AWVALID (dram_axi_awvalid [1]), + .S01_AXI_AWREADY (dram_axi_awready [1]), + .S01_AXI_WDATA (dram_axi_wdata [1]), + .S01_AXI_WSTRB (dram_axi_wstrb [1]), + .S01_AXI_WLAST (dram_axi_wlast [1]), + .S01_AXI_WVALID (dram_axi_wvalid [1]), + .S01_AXI_WREADY (dram_axi_wready [1]), + .S01_AXI_BID (dram_axi_bid [1]), + .S01_AXI_BRESP (dram_axi_bresp [1]), + .S01_AXI_BVALID (dram_axi_bvalid [1]), + .S01_AXI_BREADY (dram_axi_bready [1]), + .S01_AXI_ARID (dram_axi_arid [1]), + .S01_AXI_ARADDR (dram_axi_araddr [1]), + .S01_AXI_ARLEN (dram_axi_arlen [1]), + .S01_AXI_ARSIZE (dram_axi_arsize [1]), + .S01_AXI_ARBURST (dram_axi_arburst [1]), + .S01_AXI_ARLOCK (dram_axi_arlock [1]), + .S01_AXI_ARCACHE (dram_axi_arcache [1]), + .S01_AXI_ARPROT (dram_axi_arprot [1]), + .S01_AXI_ARQOS (dram_axi_arqos [1]), + .S01_AXI_ARREGION (dram_axi_arregion[1]), + .S01_AXI_ARVALID (dram_axi_arvalid [1]), + .S01_AXI_ARREADY (dram_axi_arready [1]), + .S01_AXI_RID (dram_axi_rid [1]), + .S01_AXI_RDATA (dram_axi_rdata [1]), + .S01_AXI_RRESP (dram_axi_rresp [1]), + .S01_AXI_RLAST (dram_axi_rlast [1]), + .S01_AXI_RVALID (dram_axi_rvalid [1]), + .S01_AXI_RREADY (dram_axi_rready [1]), + // + .S02_AXI_ACLK (ddr3_dma_clk ), + .S02_AXI_ARESETN (~ddr3_dma_rst ), + .S02_AXI_AWID (dram_axi_awid [2]), + .S02_AXI_AWADDR (dram_axi_awaddr [2]), + .S02_AXI_AWLEN (dram_axi_awlen [2]), + .S02_AXI_AWSIZE (dram_axi_awsize [2]), + .S02_AXI_AWBURST (dram_axi_awburst [2]), + .S02_AXI_AWLOCK (dram_axi_awlock [2]), + .S02_AXI_AWCACHE (dram_axi_awcache [2]), + .S02_AXI_AWPROT (dram_axi_awprot [2]), + .S02_AXI_AWQOS (dram_axi_awqos [2]), + .S02_AXI_AWREGION (dram_axi_awregion[2]), + .S02_AXI_AWVALID (dram_axi_awvalid [2]), + .S02_AXI_AWREADY (dram_axi_awready [2]), + .S02_AXI_WDATA (dram_axi_wdata [2]), + .S02_AXI_WSTRB (dram_axi_wstrb [2]), + .S02_AXI_WLAST (dram_axi_wlast [2]), + .S02_AXI_WVALID (dram_axi_wvalid [2]), + .S02_AXI_WREADY (dram_axi_wready [2]), + .S02_AXI_BID (dram_axi_bid [2]), + .S02_AXI_BRESP (dram_axi_bresp [2]), + .S02_AXI_BVALID (dram_axi_bvalid [2]), + .S02_AXI_BREADY (dram_axi_bready [2]), + .S02_AXI_ARID (dram_axi_arid [2]), + .S02_AXI_ARADDR (dram_axi_araddr [2]), + .S02_AXI_ARLEN (dram_axi_arlen [2]), + .S02_AXI_ARSIZE (dram_axi_arsize [2]), + .S02_AXI_ARBURST (dram_axi_arburst [2]), + .S02_AXI_ARLOCK (dram_axi_arlock [2]), + .S02_AXI_ARCACHE (dram_axi_arcache [2]), + .S02_AXI_ARPROT (dram_axi_arprot [2]), + .S02_AXI_ARQOS (dram_axi_arqos [2]), + .S02_AXI_ARREGION (dram_axi_arregion[2]), + .S02_AXI_ARVALID (dram_axi_arvalid [2]), + .S02_AXI_ARREADY (dram_axi_arready [2]), + .S02_AXI_RID (dram_axi_rid [2]), + .S02_AXI_RDATA (dram_axi_rdata [2]), + .S02_AXI_RRESP (dram_axi_rresp [2]), + .S02_AXI_RLAST (dram_axi_rlast [2]), + .S02_AXI_RVALID (dram_axi_rvalid [2]), + .S02_AXI_RREADY (dram_axi_rready [2]), + // + .S03_AXI_ACLK (ddr3_dma_clk ), + .S03_AXI_ARESETN (~ddr3_dma_rst ), + .S03_AXI_AWID (dram_axi_awid [3]), + .S03_AXI_AWADDR (dram_axi_awaddr [3]), + .S03_AXI_AWLEN (dram_axi_awlen [3]), + .S03_AXI_AWSIZE (dram_axi_awsize [3]), + .S03_AXI_AWBURST (dram_axi_awburst [3]), + .S03_AXI_AWLOCK (dram_axi_awlock [3]), + .S03_AXI_AWCACHE (dram_axi_awcache [3]), + .S03_AXI_AWPROT (dram_axi_awprot [3]), + .S03_AXI_AWQOS (dram_axi_awqos [3]), + .S03_AXI_AWREGION (dram_axi_awregion[3]), + .S03_AXI_AWVALID (dram_axi_awvalid [3]), + .S03_AXI_AWREADY (dram_axi_awready [3]), + .S03_AXI_WDATA (dram_axi_wdata [3]), + .S03_AXI_WSTRB (dram_axi_wstrb [3]), + .S03_AXI_WLAST (dram_axi_wlast [3]), + .S03_AXI_WVALID (dram_axi_wvalid [3]), + .S03_AXI_WREADY (dram_axi_wready [3]), + .S03_AXI_BID (dram_axi_bid [3]), + .S03_AXI_BRESP (dram_axi_bresp [3]), + .S03_AXI_BVALID (dram_axi_bvalid [3]), + .S03_AXI_BREADY (dram_axi_bready [3]), + .S03_AXI_ARID (dram_axi_arid [3]), + .S03_AXI_ARADDR (dram_axi_araddr [3]), + .S03_AXI_ARLEN (dram_axi_arlen [3]), + .S03_AXI_ARSIZE (dram_axi_arsize [3]), + .S03_AXI_ARBURST (dram_axi_arburst [3]), + .S03_AXI_ARLOCK (dram_axi_arlock [3]), + .S03_AXI_ARCACHE (dram_axi_arcache [3]), + .S03_AXI_ARPROT (dram_axi_arprot [3]), + .S03_AXI_ARQOS (dram_axi_arqos [3]), + .S03_AXI_ARREGION (dram_axi_arregion[3]), + .S03_AXI_ARVALID (dram_axi_arvalid [3]), + .S03_AXI_ARREADY (dram_axi_arready [3]), + .S03_AXI_RID (dram_axi_rid [3]), + .S03_AXI_RDATA (dram_axi_rdata [3]), + .S03_AXI_RRESP (dram_axi_rresp [3]), + .S03_AXI_RLAST (dram_axi_rlast [3]), + .S03_AXI_RVALID (dram_axi_rvalid [3]), + .S03_AXI_RREADY (dram_axi_rready [3]), + // + .M00_AXI_ACLK (ddr3_axi_clk ), + .M00_AXI_ARESETN (~ddr3_axi_rst ), + .M00_AXI_AWID (ddr3_axi_awid ), + .M00_AXI_AWADDR (ddr3_axi_awaddr ), + .M00_AXI_AWLEN (ddr3_axi_awlen ), + .M00_AXI_AWSIZE (ddr3_axi_awsize ), + .M00_AXI_AWBURST (ddr3_axi_awburst ), + .M00_AXI_AWLOCK (ddr3_axi_awlock ), + .M00_AXI_AWCACHE (ddr3_axi_awcache ), + .M00_AXI_AWPROT (ddr3_axi_awprot ), + .M00_AXI_AWQOS (ddr3_axi_awqos ), + .M00_AXI_AWREGION ( ), + .M00_AXI_AWVALID (ddr3_axi_awvalid ), + .M00_AXI_AWREADY (ddr3_axi_awready ), + .M00_AXI_WDATA (ddr3_axi_wdata ), + .M00_AXI_WSTRB (ddr3_axi_wstrb ), + .M00_AXI_WLAST (ddr3_axi_wlast ), + .M00_AXI_WVALID (ddr3_axi_wvalid ), + .M00_AXI_WREADY (ddr3_axi_wready ), + .M00_AXI_BID (ddr3_axi_bid ), + .M00_AXI_BRESP (ddr3_axi_bresp ), + .M00_AXI_BVALID (ddr3_axi_bvalid ), + .M00_AXI_BREADY (ddr3_axi_bready ), + .M00_AXI_ARID (ddr3_axi_arid ), + .M00_AXI_ARADDR (ddr3_axi_araddr ), + .M00_AXI_ARLEN (ddr3_axi_arlen ), + .M00_AXI_ARSIZE (ddr3_axi_arsize ), + .M00_AXI_ARBURST (ddr3_axi_arburst ), + .M00_AXI_ARLOCK (ddr3_axi_arlock ), + .M00_AXI_ARCACHE (ddr3_axi_arcache ), + .M00_AXI_ARPROT (ddr3_axi_arprot ), + .M00_AXI_ARQOS (ddr3_axi_arqos ), + .M00_AXI_ARREGION ( ), + .M00_AXI_ARVALID (ddr3_axi_arvalid ), + .M00_AXI_ARREADY (ddr3_axi_arready ), + .M00_AXI_RID (ddr3_axi_rid ), + .M00_AXI_RDATA (ddr3_axi_rdata ), + .M00_AXI_RRESP (ddr3_axi_rresp ), + .M00_AXI_RLAST (ddr3_axi_rlast ), + .M00_AXI_RVALID (ddr3_axi_rvalid ), + .M00_AXI_RREADY (ddr3_axi_rready ) + ); + + + ///////////////////////////////////////////////////////////////////////////// + // + // Radios + // + ///////////////////////////////////////////////////////////////////////////// + + + wire [NUM_SPI_PER_DBOARD-1:0] sen[0:NUM_CHANNELS-1]; + wire sclk[0:NUM_CHANNELS-1], mosi[0:NUM_CHANNELS-1], miso[0:NUM_CHANNELS-1]; + // Data + wire [CHANNEL_WIDTH-1:0] rx_int[0:NUM_CHANNELS-1], tx_int[0:NUM_CHANNELS-1]; + wire [CHANNEL_WIDTH-1:0] rx_data[0:NUM_CHANNELS-1], tx_data[0:NUM_CHANNELS-1]; + wire db_fe_set_stb[0:NUM_CHANNELS-1]; + wire [7:0] db_fe_set_addr[0:NUM_CHANNELS-1]; + wire [31:0] db_fe_set_data[0:NUM_CHANNELS-1]; + wire db_fe_rb_stb[0:NUM_CHANNELS-1]; + wire [7:0] db_fe_rb_addr[0:NUM_CHANNELS-1]; + wire [63:0] db_fe_rb_data[0:NUM_CHANNELS-1]; + wire rx_running[0:NUM_CHANNELS-1], tx_running[0:NUM_CHANNELS-1]; + + genvar i; + generate + for (i = 0; i < NUM_CHANNELS; i = i + 1) begin: gen_gpio_control + assign rx_atr[i] = rx_running[i]; + assign tx_atr[i] = tx_running[i]; + end + endgenerate + + + ///////////////////////////////////////////////////////////////////////////////// + // + // TX/RX FrontEnd + // + ///////////////////////////////////////////////////////////////////////////////// + + wire [15:0] db_gpio_in[0:NUM_CHANNELS-1]; + wire [15:0] db_gpio_out[0:NUM_CHANNELS-1]; + wire [15:0] db_gpio_ddr[0:NUM_CHANNELS-1]; + wire [15:0] db_gpio_fab[0:NUM_CHANNELS-1]; + + wire [31:0] radio_gpio_out[0:NUM_CHANNELS-1]; + wire [31:0] radio_gpio_ddr[0:NUM_CHANNELS-1]; + wire [31:0] radio_gpio_in[0:NUM_CHANNELS-1]; + wire [FP_GPIO_WIDTH-1:0] radio_gpio_src_out; + reg [FP_GPIO_WIDTH-1:0] radio_gpio_src_out_reg; + wire [FP_GPIO_WIDTH-1:0] radio_gpio_src_ddr; + reg [FP_GPIO_WIDTH-1:0] radio_gpio_src_ddr_reg; + reg [FP_GPIO_WIDTH-1:0] radio_gpio_src_in; + wire [FP_GPIO_WIDTH-1:0] radio_gpio_sync; + wire [FP_GPIO_WIDTH-1:0] fp_gpio_in_int; + wire [FP_GPIO_WIDTH-1:0] fp_gpio_out_int; + wire [FP_GPIO_WIDTH-1:0] fp_gpio_ddr_int; + + generate + for (i = 0; i < NUM_CHANNELS; i = i + 1) begin + // Radio Data + assign rx_int[i] = rx[CHANNEL_WIDTH*i +: CHANNEL_WIDTH]; + assign tx[CHANNEL_WIDTH*i +: CHANNEL_WIDTH] = tx_int[i]; + // GPIO + assign db_gpio_out_flat[16*i+15:16*i] = db_gpio_out[i]; + assign db_gpio_ddr_flat[16*i+15:16*i] = db_gpio_ddr[i]; + assign db_gpio_in[i] = db_gpio_in_flat[16*i+15:16*i]; + assign db_gpio_fab[i] = db_gpio_fab_flat[16*i+15:16*i]; + end + endgenerate + + generate if (NUM_CHANNELS_PER_RADIO == 1) + begin + for (i = 0; i < NUM_DBOARDS; i = i + 1) begin + // SPI + assign miso[i] = miso_flat[i]; + assign sclk_flat[i] = sclk[i]; + assign sen_flat[NUM_SPI_PER_DBOARD*i +: NUM_SPI_PER_DBOARD] = sen[i]; + assign mosi_flat[i] = mosi[i]; + end + end else if (NUM_CHANNELS_PER_RADIO == 2) + begin + for (i = 0; i < NUM_DBOARDS; i = i + 1) begin + // SPI + assign miso[2*i] = miso_flat[i]; + assign sclk_flat[i] = sclk[2*i]; + assign sen_flat[NUM_SPI_PER_DBOARD*i +: NUM_SPI_PER_DBOARD] = sen[2*i]; + assign mosi_flat[i] = mosi[2*i]; + end + end + endgenerate + + generate + for (i = 0; i < NUM_CHANNELS; i = i + 1) begin + n3xx_db_fe_core #( + .USE_CORRECTION(USE_CORRECTION), + .NUM_SPI_SEN(NUM_SPI_PER_DBOARD), + .WIDTH(CHANNEL_WIDTH) + ) db_fe_core_i ( + .clk(radio_clk), + .reset(radio_rst), + .set_stb(db_fe_set_stb[i]), + .set_addr(db_fe_set_addr[i]), + .set_data(db_fe_set_data[i]), + .rb_stb(db_fe_rb_stb[i]), + .rb_addr(db_fe_rb_addr[i]), + .rb_data(db_fe_rb_data[i]), + .tx_stb(tx_stb[i]), + .tx_data_in(tx_data[i]), + .tx_data_out(tx_int[i]), + .tx_running(tx_running[i]), + .rx_stb(rx_stb[i]), + .rx_data_in(rx_int[i]), + .rx_data_out(rx_data[i]), + .rx_running(rx_running[i]), + .misc_ins(32'h0), + .misc_outs(), + .fp_gpio_in(radio_gpio_in[i]), + .fp_gpio_out(radio_gpio_out[i]), + .fp_gpio_ddr(radio_gpio_ddr[i]), + .fp_gpio_fab(32'h0), + .db_gpio_in(db_gpio_in[i]), + .db_gpio_out(db_gpio_out[i]), + .db_gpio_ddr(db_gpio_ddr[i]), + .db_gpio_fab(db_gpio_fab[i]), + .leds(), + .spi_clk(radio_clk), + .spi_rst(radio_rst), + .sen(sen[i]), + .sclk(sclk[i]), + .mosi(mosi[i]), + .miso(miso[i]) + ); + end + endgenerate + + // Front panel GPIOs logic + // Double-sync for the GPIO inputs to the PS and to the Radio blocks. + synchronizer #( + .INITIAL_VAL(1'b0), .WIDTH(FP_GPIO_WIDTH) + ) ps_gpio_in_sync_i ( + .clk(bus_clk), .rst(1'b0), .in(fp_gpio_in_int), .out(ps_gpio_in) + ); + synchronizer #( + .INITIAL_VAL(1'b0), .WIDTH(FP_GPIO_WIDTH) + ) radio_gpio_in_sync_i ( + .clk(radio_clk), .rst(1'b0), .in(fp_gpio_in_int), .out(radio_gpio_sync) + ); + + generate + for (i=0; i PCS) + .xgmii_txd(xgmii_txd), // Transmit data from client MAC. + .xgmii_txc(xgmii_txc), // Transmit control signal from client MAC. + .xgmii_rxd(xgmii_rxd), // Received Data to client MAC. + .xgmii_rxc(xgmii_rxc), // Received control signal to client MAC. + // Tranceiver Interface + .txp(txp), // Differential +ve of serial transmission from PMA to PMD. + .txn(txn), // Differential -ve of serial transmission from PMA to PMD. + .rxp(rxp), // Differential +ve for serial reception from PMD to PMA. + .rxn(rxn), // Differential -ve for serial reception from PMD to PMA. + // Management: MDIO Interface + .mdc(mdc), // Management Data Clock + .mdio_in(mdio_m2s), // Management Data In + .mdio_out(mdio_s2m), // Management Data Out + .mdio_tri(), // Management Data Tristate + .prtad(MDIO_PHYADDR), // MDIO address + // General IO's + .core_status(phy_status[7:0]), // Core status + .resetdone(xge_phy_resetdone), + .signal_detect(~sfpp_rxlos), // Input from PMD to indicate presence of optical input. (Undocumented, but it seems Xilinx expect this to be inverted.) + .tx_fault(sfpp_tx_fault), + .tx_disable(sfpp_tx_disable), + .qpllreset(qpllreset), + .qplllock(qplllock), + .qplloutclk(qplloutclk), + .qplloutrefclk(qplloutrefclk), + .tx_out_clk(gt_tx_out_clk_unbuf) + ); + end else begin + ten_gige_phy ten_gige_phy_i ( + // Clocks and Reset + .areset(areset | phy_ctrl_reg[0]), // Asynchronous reset for entire core. + .refclk(gt_refclk), // Transciever reference clock: 156.25MHz + .clk156(gb_refclk), // Globally buffered core clock: 156.25MHz + .dclk(misc_clk), // Management/DRP clock: 78.125MHz + .sim_speedup_control(1'b0), + // GMII Interface (client MAC <=> PCS) + .xgmii_txd(xgmii_txd), // Transmit data from client MAC. + .xgmii_txc(xgmii_txc), // Transmit control signal from client MAC. + .xgmii_rxd(xgmii_rxd), // Received Data to client MAC. + .xgmii_rxc(xgmii_rxc), // Received control signal to client MAC. + // Tranceiver Interface + .txp(txp), // Differential +ve of serial transmission from PMA to PMD. + .txn(txn), // Differential -ve of serial transmission from PMA to PMD. + .rxp(rxp), // Differential +ve for serial reception from PMD to PMA. + .rxn(rxn), // Differential -ve for serial reception from PMD to PMA. + // Management: MDIO Interface + .mdc(mdc), // Management Data Clock + .mdio_in(mdio_m2s), // Management Data In + .mdio_out(mdio_s2m), // Management Data Out + .mdio_tri(), // Management Data Tristate + .prtad(MDIO_PHYADDR), // MDIO address + // General IO's + .core_status(phy_status[7:0]), // Core status + .resetdone(xge_phy_resetdone), + .signal_detect(~sfpp_rxlos), // Input from PMD to indicate presence of optical input. (Undocumented, but it seems Xilinx expect this to be inverted.) + .tx_fault(sfpp_tx_fault), + .tx_disable(sfpp_tx_disable) + ); + assign qpllreset = 1'b0; + assign gt_pll_lock = 1'b0; + assign gt_tx_out_clk_unbuf = 1'b0; + end + + xge_mac_wrapper #( + .PORTNUM(PORTNUM), + .WISHBONE(0) + ) xge_mac_wrapper_i ( + // XGMII + .xgmii_clk(gb_refclk), + .xgmii_txd(xgmii_txd), + .xgmii_txc(xgmii_txc), + .xgmii_rxd(xgmii_rxd), + .xgmii_rxc(xgmii_rxc), + // Client FIFO Interfaces + .sys_clk(bus_clk), + .sys_rst(bus_rst), + .rx_tdata(m_axis_tdata), + .rx_tuser(m_axis_tuser), + .rx_tlast(m_axis_tlast), + .rx_tvalid(m_axis_tvalid), + .rx_tready(m_axis_tready), + .tx_tdata(c2mac_tdata), + .tx_tuser(c2mac_tuser), // Bit[3] (error) is ignored for now. + .tx_tlast(c2mac_tlast), + .tx_tvalid(c2mac_tvalid), + .tx_tready(c2mac_tready), + // Other + .phy_ready(xge_phy_resetdone), + .ctrl_tx_enable(mac_ctrl_reg[0]), + .status_crc_error(mac_status[0]), + .status_fragment_error(mac_status[1]), + .status_txdfifo_ovflow(mac_status[2]), + .status_txdfifo_udflow(mac_status[3]), + .status_rxdfifo_ovflow(mac_status[4]), + .status_rxdfifo_udflow(mac_status[5]), + .status_pause_frame_rx(mac_status[6]), + .status_local_fault(mac_status[7]), + .status_remote_fault(mac_status[8]), + .wb_ack_o(), + .wb_dat_o(), + .wb_adr_i(1'b0), + .wb_clk_i(1'b0), + .wb_cyc_i(1'b0), + .wb_dat_i(1'b0), + .wb_rst_i(1'b0), + .wb_stb_i(1'b0), + .wb_we_i (1'b0), + .wb_int_o() + ); + + assign phy_status[31:8] = 24'h0; + assign mac_status[31:9] = 23'h0; + assign link_up = phy_status_bclk[0]; + + end else if (PROTOCOL == "1GbE") begin + + //----------------------------------------------------------------- + // 1 Gigabit Ethernet + //----------------------------------------------------------------- + wire [7:0] gmii_txd, gmii_rxd; + wire gmii_tx_en, gmii_tx_er, gmii_rx_dv, gmii_rx_er; + wire gmii_clk; + wire gt0_qplloutclk, gt0_qplloutrefclk; //unused in 7-series Zynq + + assign gt0_qplloutclk = 1'b0; + assign gt0_qplloutrefclk = 1'b0; + assign sfpp_tx_disable = 1'b0; // Always on. + + if (GT_COMMON == 1) begin + one_gige_phy one_gige_phy_i ( + .reset(areset | phy_ctrl_reg[0]), // Asynchronous reset for entire core. + .independent_clock(bus_clk), + .pma_reset_out(/*unused*/), + .gt0_qplloutclk_in(gt0_qplloutclk), + .gt0_qplloutrefclk_in(gt0_qplloutrefclk), + // Tranceiver Interface + .gtrefclk(gt_refclk), // Reference clock for MGT: 125MHz, very high quality. + .gtrefclk_bufg(gb_refclk), // Reference clock routed through a BUFG + .txp(txp), // Differential +ve of serial transmission from PMA to PMD. + .txn(txn), // Differential -ve of serial transmission from PMA to PMD. + .rxp(rxp), // Differential +ve for serial reception from PMD to PMA. + .rxn(rxn), // Differential -ve for serial reception from PMD to PMA. + // GMII Interface (client MAC <=> PCS) + .gmii_clk(gmii_clk), // Clock to client MAC. + .gmii_txd(gmii_txd), // Transmit data from client MAC. + .gmii_tx_en(gmii_tx_en), // Transmit control signal from client MAC. + .gmii_tx_er(gmii_tx_er), // Transmit control signal from client MAC. + .gmii_rxd(gmii_rxd), // Received Data to client MAC. + .gmii_rx_dv(gmii_rx_dv), // Received control signal to client MAC. + .gmii_rx_er(gmii_rx_er), // Received control signal to client MAC. + // Management: MDIO Interface + .mdc(mdc), // Management Data Clock + .mdio_i(mdio_m2s), // Management Data In + .mdio_o(mdio_s2m), // Management Data Out + .mdio_t(), // Management Data Tristate + .phyaddr(MDIO_PHYADDR), // MDIO address + .configuration_vector(5'd0), // Alternative to MDIO interface. + .configuration_valid(1'b1), // Validation signal for Config vector (MUST be 1 for proper functionality...undocumented) + // General IO's + .status_vector(phy_status[15:0]), // Core status. + .signal_detect(1'b1 /*Optical module not supported*/) // Input from PMD to indicate presence of optical input. + ); + end else begin + one_gige_phy one_gige_phy_i ( + .reset(areset | phy_ctrl_reg[0]), // Asynchronous reset for entire core. + .independent_clock(bus_clk), + // Tranceiver Interface + .gtrefclk(gt_refclk), // Reference clock for MGT: 125MHz, very high quality. + .gtrefclk_bufg(gb_refclk), // Reference clock routed through a BUFG + .txp(txp), // Differential +ve of serial transmission from PMA to PMD. + .txn(txn), // Differential -ve of serial transmission from PMA to PMD. + .rxp(rxp), // Differential +ve for serial reception from PMD to PMA. + .rxn(rxn), // Differential -ve for serial reception from PMD to PMA. + // GMII Interface (client MAC <=> PCS) + .gmii_clk(gmii_clk), // Clock to client MAC. + .gmii_txd(gmii_txd), // Transmit data from client MAC. + .gmii_tx_en(gmii_tx_en), // Transmit control signal from client MAC. + .gmii_tx_er(gmii_tx_er), // Transmit control signal from client MAC. + .gmii_rxd(gmii_rxd), // Received Data to client MAC. + .gmii_rx_dv(gmii_rx_dv), // Received control signal to client MAC. + .gmii_rx_er(gmii_rx_er), // Received control signal to client MAC. + // Management: MDIO Interface + .mdc(mdc), // Management Data Clock + .mdio_i(mdio_m2s), // Management Data In + .mdio_o(mdio_s2m), // Management Data Out + .mdio_t(), // Management Data Tristate + .phyaddr(MDIO_PHYADDR), // MDIO address + .configuration_vector(5'd0), // Alternative to MDIO interface. + .configuration_valid(1'b1), // Validation signal for Config vector (MUST be 1 for proper functionality...undocumented) + // General IO's + .status_vector(phy_status[15:0]), // Core status. + .signal_detect(1'b1 /*Optical module not supported*/) // Input from PMD to indicate presence of optical input. + ); + assign qpllreset = 1'b0; + assign gt_pll_lock = 1'b0; + assign gt_tx_out_clk_unbuf = 1'b0; + end + + simple_gemac_wrapper #(.RX_FLOW_CTRL(0), .PORTNUM(PORTNUM)) simple_gemac_wrapper_i + ( + .clk125(gmii_clk), + .reset(areset), + + .GMII_GTX_CLK(), + .GMII_TX_EN(gmii_tx_en), + .GMII_TX_ER(gmii_tx_er), + .GMII_TXD(gmii_txd), + .GMII_RX_CLK(gmii_clk), + .GMII_RX_DV(gmii_rx_dv), + .GMII_RX_ER(gmii_rx_er), + .GMII_RXD(gmii_rxd), + + .sys_clk(bus_clk), + .rx_tdata(m_axis_tdata), + .rx_tuser(m_axis_tuser), + .rx_tlast(m_axis_tlast), + .rx_tvalid(m_axis_tvalid), + .rx_tready(m_axis_tready), + .tx_tdata(c2mac_tdata), + .tx_tuser(c2mac_tuser), + .tx_tlast(c2mac_tlast), + .tx_tvalid(c2mac_tvalid), + .tx_tready(c2mac_tready), + + .wb_clk_i(1'b0), + .wb_rst_i(1'b0), + .wb_adr_i(8'h0), + .wb_dat_i(32'h0), + .wb_we_i(1'b0), + .wb_stb_i(1'b0), + .wb_cyc_i(1'b0), + .wb_dat_o(), + .wb_ack_o(), + .wb_int_o(), + .mdc(), + .mdio_out(1'b0), + .mdio_tri(), + .mdio_in(), + .debug_tx(), + .debug_rx() + ); + + assign phy_status[31:16] = 16'h0; + assign mac_status[31:0] = 32'h0; + assign link_up = phy_status_bclk[0]; + + assign gt_tx_out_clk_unbuf = 1'b0; + + end else if (PROTOCOL == "Aurora") begin + + //----------------------------------------------------------------- + // Aurora + //----------------------------------------------------------------- + wire user_rst; + wire [63:0] m2p_tdata, p2m_tdata; + wire m2p_tvalid, m2p_tready, p2m_tvalid; + wire channel_up, hard_err, soft_err, mac_crit_err; + + wire bist_checker_en = mac_ctrl_reg[0]; + wire bist_gen_en = mac_ctrl_reg[1]; + wire bist_loopback_en = mac_ctrl_reg[2]; + wire [5:0] bist_gen_rate = mac_ctrl_reg[8:3]; + wire phy_areset = mac_ctrl_reg[9]; + wire mac_clear = mac_ctrl_reg[10]; + wire bist_checker_locked; + + assign sfpp_tx_disable = 1'b0; // Always on. + + if (GT_COMMON == 1) begin + aurora_phy_x1 aurora_phy_i ( + // Resets + .areset(areset | phy_areset), + // Clocks + .refclk(gt_refclk), + .init_clk(misc_clk), + .user_clk(user_clk), + .user_rst(user_rst), + .sync_clk(sync_clk), + .qpllclk(qplloutclk), + .qpllrefclk(qplloutrefclk), + // GTX Serial I/O + .tx_p(txp), + .tx_n(txn), + .rx_p(rxp), + .rx_n(rxn), + // AXI4-Stream TX Interface + .s_axis_tdata(m2p_tdata), + .s_axis_tvalid(m2p_tvalid), + .s_axis_tready(m2p_tready), + // AXI4-Stream RX Interface + .m_axis_tdata(p2m_tdata), + .m_axis_tvalid(p2m_tvalid), + // AXI4-Lite Config Interface (unused) + .s_axi_awaddr(32'h0), + .s_axi_araddr(32'h0), + .s_axi_awvalid(1'b0), + .s_axi_awready(), + .s_axi_wdata(32'h0), + .s_axi_wvalid(1'b0), + .s_axi_wstrb(1'b0), + .s_axi_wready(), + .s_axi_bvalid(), + .s_axi_bresp(), + .s_axi_bready(1'b1), + .s_axi_arready(), + .s_axi_arvalid(1'b0), + .s_axi_rdata(), + .s_axi_rvalid(), + .s_axi_rresp(), + .s_axi_rready(1'b1), + // Status and Error Reporting Interface + .channel_up(channel_up), + .hard_err(hard_err), + .soft_err(soft_err), + .qplllock(qplllock), + .qpllreset(qpllreset), + .qpllrefclklost(qpllrefclklost), + .tx_out_clk(gt_tx_out_clk_unbuf), + .gt_pll_lock(gt_pll_lock), + .mmcm_locked(mmcm_locked) + ); + end else begin + aurora_phy_x1 aurora_phy_i ( + // Resets + .areset(areset | phy_areset), + // Clocks + .refclk(gt_refclk), + .init_clk(misc_clk), + .user_clk(user_clk), + .user_rst(user_rst), + // GTX Serial I/O + .tx_p(txp), + .tx_n(txn), + .rx_p(rxp), + .rx_n(rxn), + // AXI4-Stream TX Interface + .s_axis_tdata(m2p_tdata), + .s_axis_tvalid(m2p_tvalid), + .s_axis_tready(m2p_tready), + // AXI4-Stream RX Interface + .m_axis_tdata(p2m_tdata), + .m_axis_tvalid(p2m_tvalid), + // AXI4-Lite Config Interface (unused) + .s_axi_awaddr(32'h0), + .s_axi_araddr(32'h0), + .s_axi_awvalid(1'b0), + .s_axi_awready(), + .s_axi_wdata(32'h0), + .s_axi_wvalid(1'b0), + .s_axi_wstrb(1'b0), + .s_axi_wready(), + .s_axi_bvalid(), + .s_axi_bresp(), + .s_axi_bready(1'b1), + .s_axi_arready(), + .s_axi_arvalid(1'b0), + .s_axi_rdata(), + .s_axi_rvalid(), + .s_axi_rresp(), + .s_axi_rready(1'b1), + // Status and Error Reporting Interface + .channel_up(channel_up), + .hard_err(hard_err), + .soft_err(soft_err) + ); + assign qpllreset = 1'b0; + assign gt_pll_lock = 1'b0; + assign gt_tx_out_clk_unbuf = 1'b0; + end + + aurora_axis_mac #( + .PHY_ENDIANNESS ("LITTLE"), + .PACKET_MODE (1), + .MAX_PACKET_SIZE(1024), + .BIST_ENABLED (1) + ) aurora_mac_i ( + // Clocks and resets + .phy_clk(user_clk), .phy_rst(user_rst), + .sys_clk(bus_clk), .sys_rst(bus_rst), + .clear(mac_clear), + // PHY Interface (Synchronous to phy_clk) + .phy_s_axis_tdata(p2m_tdata), + .phy_s_axis_tvalid(p2m_tvalid), + .phy_m_axis_tdata(m2p_tdata), + .phy_m_axis_tvalid(m2p_tvalid), + .phy_m_axis_tready(m2p_tready), + // User Interface (Synchronous to sys_clk) + .s_axis_tdata(c2mac_tdata), + .s_axis_tlast(c2mac_tlast), + .s_axis_tvalid(c2mac_tvalid), + .s_axis_tready(c2mac_tready), + .m_axis_tdata(m_axis_tdata), + .m_axis_tlast(m_axis_tlast), + .m_axis_tvalid(m_axis_tvalid), + .m_axis_tready(m_axis_tready), + // PHY Status Inputs (Synchronous to phy_clk) + .channel_up(channel_up), + .hard_err(hard_err), + .soft_err(soft_err), + // Status and Error Outputs (Synchronous to sys_clk) + .overruns(overruns), + .soft_errors(), + .checksum_errors(checksum_errors), + .critical_err(mac_crit_err), + // BIST Interface (Synchronous to sys_clk) + .bist_gen_en(bist_gen_en), + .bist_gen_rate(bist_gen_rate), + .bist_checker_en(bist_checker_en), + .bist_loopback_en(bist_loopback_en), + .bist_checker_locked(bist_checker_locked), + .bist_checker_samps(bist_checker_samps), + .bist_checker_errors(bist_checker_errors) + ); + + assign m_axis_tuser = 4'd0; + + wire channel_up_bclk, hard_err_bclk, soft_err_bclk, mac_crit_err_bclk, gt_pll_lock_bclk; + synchronizer #(.INITIAL_VAL(1'b0)) channel_up_sync ( + .clk(bus_clk), .rst(1'b0 /* no reset */), .in(channel_up), .out(channel_up_bclk)); + synchronizer #(.INITIAL_VAL(1'b0)) hard_err_sync ( + .clk(bus_clk), .rst(1'b0 /* no reset */), .in(hard_err), .out(hard_err_bclk)); + synchronizer #(.INITIAL_VAL(1'b0)) soft_err_sync ( + .clk(bus_clk), .rst(1'b0 /* no reset */), .in(soft_err), .out(soft_err_bclk)); + synchronizer #(.INITIAL_VAL(1'b0)) mac_crit_err_sync ( + .clk(bus_clk), .rst(1'b0 /* no reset */), .in(mac_crit_err), .out(mac_crit_err_bclk)); + + if (GT_COMMON == 1) begin + synchronizer #(.INITIAL_VAL(1'b0)) gt_pll_lock_sync ( + .clk(bus_clk), .rst(1'b0 /* no reset */), .in(gt_pll_lock), .out(gt_pll_lock_bclk)); + end else begin + assign gt_pll_lock_bclk = 1'b0; + end + + reg [19:0] bist_lock_latency; + always @(posedge bus_clk) begin + if (!bist_checker_en && !bist_checker_locked) + bist_lock_latency <= 20'd0; + else if (bist_checker_en && !bist_checker_locked) + bist_lock_latency <= bist_lock_latency + 20'd1; + end + + reg mac_crit_err_latch; + always @(posedge bus_clk) begin + if (bus_rst | mac_clear) begin + mac_crit_err_latch <= 1'b0; + end else begin + if (mac_crit_err_bclk) + mac_crit_err_latch <= 1'b1; + end + end + + assign phy_status = {30'd0, hard_err, channel_up}; + assign mac_status = { + 6'h0, //[31:26] + mac_crit_err_latch, //[25] + 1'b1, //[24] + gt_pll_lock_bclk, //[23] + qpllrefclklost, //[22] + qplllock, //[21] + qpllreset, //[20] + bist_lock_latency[19:4], //[19:4] + bist_checker_locked, //[3] + soft_err_bclk, //[2] + hard_err_bclk, //[1] + channel_up_bclk //[0] + }; + + assign link_up = channel_up_bclk; + + end else begin + + //----------------------------------------------------------------- + // Disabled + //----------------------------------------------------------------- + + assign phy_status = 'h0; + assign mac_status = 'h0; + assign link_up = 1'b0; + + assign sfpp_tx_disable = 1'b0; // Always on. + + assign c2mac_tready = 1'b1; + assign m_axis_tdata = 64'h0; + assign m_axis_tuser = 4'h0; + assign m_axis_tlast = 1'b0; + assign m_axis_tvalid = 1'b0; + + assign qpllreset = 1'b0; + assign gt_pll_lock = 1'b0; + assign gt_tx_out_clk_unbuf = 1'b0; + + end + endgenerate + + wire identify_enable = mac_led_ctl[0]; + wire identify_value = mac_led_ctl[1]; + + //----------------------------------------------------------------- + // Activity detector + //----------------------------------------------------------------- + + wire activity_int; + + pulse_stretch act_pulse_str_i ( + .clk(bus_clk), + .rst(bus_rst | ~link_up), + .pulse((s_axis_tvalid & s_axis_tready) | (m_axis_tvalid & m_axis_tready)), + .pulse_stretched(activity_int) + ); + + always @ (posedge bus_clk) activity <= identify_enable ? identify_value : activity_int; + +endmodule diff --git a/fpga/usrp3/top/n3xx/n3xx_mgt_wrapper.v b/fpga/usrp3/top/n3xx/n3xx_mgt_wrapper.v new file mode 100644 index 000000000..fa1d5fe5d --- /dev/null +++ b/fpga/usrp3/top/n3xx/n3xx_mgt_wrapper.v @@ -0,0 +1,440 @@ +/////////////////////////////////////////////////////////////////// +// +// Copyright 2018 Ettus Research, A National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// +// Module: n3xx_mgt_wrapper +// Description: +// Provides wrapper for just the pieces specific to an MGT lane +// (for easy use with generate) +// +////////////////////////////////////////////////////////////////////// + +`default_nettype none +module n3xx_mgt_wrapper #( + parameter PROTOCOL = "10GbE", // Must be {10GbE, Aurora, Disabled} + parameter REG_DWIDTH = 32, + parameter REG_AWIDTH = 14, + parameter GT_COMMON = 1, + parameter [7:0] PORTNUM = 8'd0, + parameter MDIO_EN = 0, + parameter [4:0] MDIO_PHYADDR = 5'd0, + parameter REG_BASE = 16'h0000 +)( + // Resets + input wire areset, + input wire bus_rst, + + // Clocks + input wire gt_refclk, + input wire gb_refclk, + input wire misc_clk, + input wire bus_clk, + input wire user_clk, + input wire sync_clk, + + // RegPort interface + input wire reg_wr_req, + input wire [REG_AWIDTH-1:0] reg_wr_addr, + input wire [REG_DWIDTH-1:0] reg_wr_data, + input wire reg_rd_req, + input wire [REG_AWIDTH-1:0] reg_rd_addr, + output wire reg_rd_resp, + output wire [REG_DWIDTH-1:0] reg_rd_data, + + // High-speed IO + output wire txp, + output wire txn, + input wire rxp, + input wire rxn, + + // SFP low-speed IO + input wire mod_present_n, + input wire mod_rxlos, + input wire mod_tx_fault, + output wire mod_tx_disable, + + // GT Common + input wire qpllrefclklost, + input wire qplllock, + input wire qplloutclk, + input wire qplloutrefclk, + output wire qpllreset, + + // Aurora MMCM + input wire mmcm_locked, + output wire gt_pll_lock, + output wire gt_tx_out_clk_unbuf, + + // Vita router interface + output wire [63:0] e2v_tdata, + output wire e2v_tlast, + output wire e2v_tvalid, + input wire e2v_tready, + + input wire [63:0] v2e_tdata, + input wire v2e_tlast, + input wire v2e_tvalid, + output wire v2e_tready, + + // CPU + output wire [63:0] e2c_tdata, + output wire [7:0] e2c_tkeep, + output wire e2c_tlast, + output wire e2c_tvalid, + input wire e2c_tready, + + input wire [63:0] c2e_tdata, + input wire [7:0] c2e_tkeep, + input wire c2e_tlast, + input wire c2e_tvalid, + output wire c2e_tready, + + // MISC + output wire [31:0] port_info, + input wire [15:0] device_id, + + // Timebase Outputs + output wire mod_pps, + output wire mod_refclk, + + // Sideband White Rabbit Control + input wire wr_reset_n, + input wire wr_refclk, + + output wire wr_dac_sclk, + output wire wr_dac_din, + output wire wr_dac_clr_n, + output wire wr_dac_cs_n, + output wire wr_dac_ldac_n, + + output wire wr_eeprom_scl_o, + input wire wr_eeprom_scl_i, + output wire wr_eeprom_sda_o, + input wire wr_eeprom_sda_i, + + input wire wr_uart_rx, + output wire wr_uart_tx, + + // WR AXI Control + output wire wr_axi_aclk, + input wire wr_axi_aresetn, + input wire [31:0] wr_axi_awaddr, + input wire wr_axi_awvalid, + output wire wr_axi_awready, + input wire [REG_DWIDTH-1:0] wr_axi_wdata, + input wire [REG_DWIDTH/8-1:0] wr_axi_wstrb, + input wire wr_axi_wvalid, + output wire wr_axi_wready, + output wire [1:0] wr_axi_bresp, + output wire wr_axi_bvalid, + input wire wr_axi_bready, + input wire [31:0] wr_axi_araddr, + input wire wr_axi_arvalid, + output wire wr_axi_arready, + output wire [REG_DWIDTH-1:0] wr_axi_rdata, + output wire [1:0] wr_axi_rresp, + output wire wr_axi_rvalid, + input wire wr_axi_rready, + output wire wr_axi_rlast, + + output wire link_up, + output wire activity + +); + + localparam [REG_AWIDTH-1:0] REG_BASE_MGT_IO = {REG_AWIDTH{1'b0}} + REG_BASE; + localparam [REG_AWIDTH-1:0] REG_BASE_ETH_SWITCH = {REG_AWIDTH{1'b0}} + 16'h1000 + REG_BASE; + + // AXI4-Lite to RegPort (PS to PL Register Access) + wire reg_rd_resp_io, reg_rd_resp_eth_if; + wire [REG_DWIDTH-1:0] reg_rd_data_io, reg_rd_data_eth_if; + + // Regport Mux for response + regport_resp_mux #( + .WIDTH (REG_DWIDTH), + .NUM_SLAVES (2) + ) reg_resp_mux_i ( + .clk(bus_clk), .reset(bus_rst), + .sla_rd_resp({reg_rd_resp_eth_if, reg_rd_resp_io}), + .sla_rd_data({reg_rd_data_eth_if, reg_rd_data_io}), + .mst_rd_resp(reg_rd_resp), .mst_rd_data(reg_rd_data) + ); + + wire [63:0] mgto_tdata, mgti_tdata; + wire [3:0] mgto_tuser, mgti_tuser; + wire mgto_tlast, mgti_tlast, mgto_tvalid, mgti_tvalid, mgto_tready, mgti_tready; + + generate + if (PROTOCOL != "WhiteRabbit") begin + n3xx_mgt_io_core #( + .PROTOCOL (PROTOCOL), + .REG_BASE (REG_BASE_MGT_IO), + .REG_DWIDTH (REG_DWIDTH), // Width of the AXI4-Lite data bus (must be 32 or 64) + .REG_AWIDTH (REG_AWIDTH), // Width of the address bus + .GT_COMMON (GT_COMMON), + .MDIO_EN (MDIO_EN), + .MDIO_PHYADDR (MDIO_PHYADDR), + .PORTNUM (PORTNUM) + ) mgt_io_i ( + //must reset all channels on quad when other gtx core is reset + .areset (areset), + .gt_refclk (gt_refclk), + .gb_refclk (gb_refclk), + .misc_clk (misc_clk), + .user_clk (user_clk), + .sync_clk (sync_clk), + .gt_tx_out_clk_unbuf(gt_tx_out_clk_unbuf), + + .bus_rst (bus_rst), + .bus_clk (bus_clk), + .qpllreset (qpllreset), + .qplllock (qplllock), + .qplloutclk (qplloutclk), + .qplloutrefclk (qplloutrefclk), + .qpllrefclklost (qpllrefclklost), + .mmcm_locked (mmcm_locked), + .gt_pll_lock (gt_pll_lock), + + .txp (txp), + .txn (txn), + .rxp (rxp), + .rxn (rxn), + + .sfpp_rxlos (mod_rxlos), + .sfpp_tx_fault (mod_tx_fault), + .sfpp_tx_disable(mod_tx_disable), + + //RegPort + .reg_wr_req (reg_wr_req), + .reg_wr_addr (reg_wr_addr), + .reg_wr_data (reg_wr_data), + .reg_rd_req (reg_rd_req), + .reg_rd_addr (reg_rd_addr), + .reg_rd_resp (reg_rd_resp_io), + .reg_rd_data (reg_rd_data_io), + + // Vita to Ethernet + .s_axis_tdata (mgti_tdata), + .s_axis_tuser (mgti_tuser), + .s_axis_tlast (mgti_tlast), + .s_axis_tvalid (mgti_tvalid), + .s_axis_tready (mgti_tready), + + // Ethernet to Vita + .m_axis_tdata (mgto_tdata), + .m_axis_tuser (mgto_tuser), + .m_axis_tlast (mgto_tlast), + .m_axis_tvalid (mgto_tvalid), + .m_axis_tready (mgto_tready), + + .port_info (port_info), + .link_up (link_up), + .activity (activity) + ); + + end else begin + //--------------------------------------------------------------------------------- + // White Rabbit + //--------------------------------------------------------------------------------- + + wire wr_sfp_scl, wr_sfp_sda_o, wr_sfp_sda_i; + + n3xx_wr_top #( + .g_simulation(1'b0), // in std_logic + .g_dpram_size(131072/4), + .g_dpram_initf("../../../../bin/wrpc/wrc_phy16.bram") + ) wr_inst ( + .areset_n_i (wr_reset_n), // in std_logic; -- active low reset, optional + .wr_refclk_buf_i (wr_refclk), // in std_logic; -- 20MHz VCXO after IBUFGDS + .gige_refclk_buf_i (gt_refclk), // in std_logic; -- 125 MHz MGT Ref after IBUFDS_GTE2 + .dac_sclk_o (wr_dac_sclk), // out std_logic; -- N3xx cWB-DAC-SCLK + .dac_din_o (wr_dac_din), // out std_logic; -- N3xx cWB-DAC-DIN + .dac_clr_n_o (wr_dac_clr_n), // out std_logic; -- N3xx cWB-DAC-nCLR + .dac_cs_n_o (wr_dac_cs_n), // out std_logic; -- N3xx cWB-DAC-nSYNC + .dac_ldac_n_o (wr_dac_ldac_n), // out std_logic; -- N3xx cWB-DAC-nLDAC + .LED_ACT (activity), // out std_logic; -- connect to SFP+ ACT + .LED_LINK (link_up), // out std_logic; -- connect to SFP+ LINK + .sfp_txp_o (txp), // out std_logic; + .sfp_txn_o (txn), // out std_logic; + .sfp_rxp_i (rxp), // in std_logic; + .sfp_rxn_i (rxn), // in std_logic; + .sfp_mod_def0_b (mod_present_n), // in std_logic; - sfp detect + .eeprom_scl_o (wr_eeprom_scl_o), + .eeprom_scl_i (wr_eeprom_scl_i), + .eeprom_sda_o (wr_eeprom_sda_o), + .eeprom_sda_i (wr_eeprom_sda_i), + .sfp_scl_o (wr_sfp_scl), + .sfp_scl_i (wr_sfp_scl), + .sfp_sda_o (wr_sfp_sda_o), + .sfp_sda_i (wr_sfp_sda_i), + .sfp_tx_fault_i (mod_tx_fault), // in std_logic; + .sfp_tx_disable_o (mod_tx_disable), // out std_logic; + .sfp_los_i (mod_rxlos), // in std_logic; + .wr_uart_rxd (wr_uart_rx), // in std_logic; + .wr_uart_txd (wr_uart_tx), // out std_logic; + + .s00_axi_aclk_o (wr_axi_aclk), + .s00_axi_aresetn (wr_axi_aresetn), + .s00_axi_awaddr (wr_axi_awaddr), + .s00_axi_awprot (3'b0), + .s00_axi_awvalid (wr_axi_awvalid), + .s00_axi_awready (wr_axi_awready), + .s00_axi_wdata (wr_axi_wdata), + .s00_axi_wstrb (wr_axi_wstrb), + .s00_axi_wvalid (wr_axi_wvalid), + .s00_axi_wready (wr_axi_wready), + .s00_axi_bresp (wr_axi_bresp), + .s00_axi_bvalid (wr_axi_bvalid), + .s00_axi_bready (wr_axi_bready), + .s00_axi_araddr (wr_axi_araddr), + .s00_axi_arprot (3'b0), + .s00_axi_arvalid (wr_axi_arvalid), + .s00_axi_arready (wr_axi_arready), + .s00_axi_rdata (wr_axi_rdata), + .s00_axi_rresp (wr_axi_rresp), + .s00_axi_rvalid (wr_axi_rvalid), + .s00_axi_rready (wr_axi_rready), + .s00_axi_rlast (wr_axi_rlast), + .axi_int_o (), + + .pps_o (mod_pps), // out std_logic; + .clk_pps_o (mod_refclk), // out std_logic; + .link_ok_o (), // out std_logic; + .clk_sys_locked_o (), // out std_logic; + .clk_dmtd_locked_o (), // out std_logic); + .wr_debug0_o (), + .wr_debug1_o () + ); + + // TEMPORARY mimic the AXGE SFP EEROM + sfp_eeprom sfp_eeprom_i ( + .clk_i(bus_clk), + .sfp_scl(wr_sfp_scl), + .sfp_sda_i(wr_sfp_sda_o), + .sfp_sda_o(wr_sfp_sda_i)); + + // Assign the port_info vector similarly to mgt_io_core + localparam [7:0] COMPAT_NUM = 8'd2; + localparam [7:0] MGT_PROTOCOL = 8'd4; + assign port_info = {COMPAT_NUM, 6'h0, activity, link_up, MGT_PROTOCOL, PORTNUM}; + + // Tie off unused outputs. + assign gt_pll_lock = 1'b0; + assign gt_tx_out_clk_unbuf = 1'b0; + end + endgenerate + + generate + // Tie off the Ethernet switch for these protocols that do not use it. + if(PROTOCOL == "Aurora" || PROTOCOL == "Disabled" || PROTOCOL == "WhiteRabbit") begin + + //set unused wires to default value + assign e2c_tdata = 64'h0; + assign e2c_tkeep = 8'h0; + assign e2c_tlast = 1'b0; + assign e2c_tvalid = 1'b0; + assign c2e_tready = 1'b1; + + assign reg_rd_resp_eth_if = 1'b0; + assign reg_rd_data_eth_if = 'h0; + + assign e2v_tdata = mgto_tdata; + assign e2v_tlast = mgto_tlast; + assign e2v_tvalid = mgto_tvalid; + assign mgto_tready = e2v_tready; + + assign mgti_tdata = v2e_tdata; + assign mgti_tlast = v2e_tlast; + assign mgti_tvalid = v2e_tvalid; + assign v2e_tready = mgti_tready; + + end else begin + + wire [3:0] e2c_tuser; + wire [3:0] c2e_tuser; + + // In AXI Stream, tkeep is the byte qualifier that indicates + // whether the content of the associated byte + // of TDATA is processed as part of the data stream. + // tuser as used in eth_switch is the numbier of valid bytes + + // Converting tuser to tkeep for ingress packets + assign e2c_tkeep = ~e2c_tlast ? 8'b1111_1111 + : (e2c_tuser == 4'd0) ? 8'b1111_1111 + : (e2c_tuser == 4'd1) ? 8'b0000_0001 + : (e2c_tuser == 4'd2) ? 8'b0000_0011 + : (e2c_tuser == 4'd3) ? 8'b0000_0111 + : (e2c_tuser == 4'd4) ? 8'b0000_1111 + : (e2c_tuser == 4'd5) ? 8'b0001_1111 + : (e2c_tuser == 4'd6) ? 8'b0011_1111 + : 8'b0111_1111; + + // Converting tkeep to tuser for egress packets + assign c2e_tuser = ~c2e_tlast ? 4'd0 + : (c2e_tkeep == 8'b1111_1111) ? 4'd0 + : (c2e_tkeep == 8'b0111_1111) ? 4'd7 + : (c2e_tkeep == 8'b0011_1111) ? 4'd6 + : (c2e_tkeep == 8'b0001_1111) ? 4'd5 + : (c2e_tkeep == 8'b0000_1111) ? 4'd4 + : (c2e_tkeep == 8'b0000_0111) ? 4'd3 + : (c2e_tkeep == 8'b0000_0011) ? 4'd2 + : (c2e_tkeep == 8'b0000_0001) ? 4'd1 + : 4'd0; + + eth_interface #( + .PROTOVER ({8'd1,8'd0}), //FIXME. This should come from outside + .MTU (10), + .NODE_INST (0), + .REG_AWIDTH (REG_AWIDTH), + .BASE (REG_BASE_ETH_SWITCH) + ) eth_interface ( + .clk (bus_clk), + .reset (bus_rst), + .device_id (device_id), + .reg_wr_req (reg_wr_req), + .reg_wr_addr (reg_wr_addr), + .reg_wr_data (reg_wr_data), + .reg_rd_req (reg_rd_req), + .reg_rd_addr (reg_rd_addr), + .reg_rd_resp (reg_rd_resp_eth_if), + .reg_rd_data (reg_rd_data_eth_if), + .my_mac (), + .my_ip (), + .my_udp_port (), + .eth_tx_tdata (mgti_tdata), + .eth_tx_tuser (mgti_tuser), + .eth_tx_tlast (mgti_tlast), + .eth_tx_tvalid (mgti_tvalid), + .eth_tx_tready (mgti_tready), + .eth_rx_tdata (mgto_tdata), + .eth_rx_tuser (mgto_tuser), + .eth_rx_tlast (mgto_tlast), + .eth_rx_tvalid (mgto_tvalid), + .eth_rx_tready (mgto_tready), + .e2v_tdata (e2v_tdata), + .e2v_tlast (e2v_tlast), + .e2v_tvalid (e2v_tvalid), + .e2v_tready (e2v_tready), + .v2e_tdata (v2e_tdata), + .v2e_tlast (v2e_tlast), + .v2e_tvalid (v2e_tvalid), + .v2e_tready (v2e_tready), + .e2c_tdata (e2c_tdata), + .e2c_tuser (e2c_tuser), + .e2c_tlast (e2c_tlast), + .e2c_tvalid (e2c_tvalid), + .e2c_tready (e2c_tready), + .c2e_tdata (c2e_tdata), + .c2e_tuser (c2e_tuser), + .c2e_tlast (c2e_tlast), + .c2e_tvalid (c2e_tvalid), + .c2e_tready (c2e_tready) + ); + + end + endgenerate + +endmodule // n3xx_mgt_wrapper +`default_nettype wire diff --git a/fpga/usrp3/top/n3xx/n3xx_serial_dac.vhd b/fpga/usrp3/top/n3xx/n3xx_serial_dac.vhd new file mode 100644 index 000000000..148a66185 --- /dev/null +++ b/fpga/usrp3/top/n3xx/n3xx_serial_dac.vhd @@ -0,0 +1,218 @@ +------------------------------------------------------------------------------- +-- Title : N3xx Serial DAC interface +-- Project : White Rabbit Switch +------------------------------------------------------------------------------- +-- File : n3xx_serial_dac.vhd +-- Author : paas, slayer, dbaker +-- Company : CERN BE-Co-HT +-- Created : 2010-02-25 +-- Last update: 2011-05-10 +-- Platform : fpga-generic +-- Standard : VHDL'87 +------------------------------------------------------------------------------- +-- Description: The dac unit provides an interface to a 16 bit serial Digital to +-- Analogue converter (AD5663, SPI?/QSPI?/MICROWIRE? compatible) +-- +------------------------------------------------------------------------------- +-- Copyright (c) 2010 CERN +-- +-- This source file is free software; you can redistribute it +-- and/or modify it under the terms of the GNU Lesser General +-- Public License as published by the Free Software Foundation; +-- either version 2.1 of the License, or (at your option) any +-- later version. +-- +-- This source is distributed in the hope that it will be +-- useful, but WITHOUT ANY WARRANTY; without even the implied +-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR +-- PURPOSE. See the GNU Lesser General Public License for more +-- details. +-- +-- You should have received a copy of the GNU Lesser General +-- Public License along with this source; if not, download it +-- from http://www.gnu.org/licenses/lgpl-2.1.html +------------------------------------------------------------------------------- +-- Revisions :1 +-- Date Version Author Description +-- 2009-01-24 1.0 paas Created +-- 2010-02-25 1.1 slayer Modified for rev 1.1 switch +-- 2018-02-01 2.0 dbaker Modified for n3xx +------------------------------------------------------------------------------- + + +library IEEE; + +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; + + +entity n3xx_serial_dac is + + generic ( + g_num_data_bits : integer := 16; + g_num_extra_bits : integer := 8; + g_num_cs_select : integer := 2 + ); + + port ( +-- clock & reset + clk_i : in std_logic; + rst_n_i : in std_logic; + +-- channel 1 value and value load strobe + value_i : in std_logic_vector(g_num_data_bits-1 downto 0); + cs_sel_i : in std_logic_vector(g_num_cs_select-1 downto 0); + load_i : in std_logic; + +-- SCLK divider: 000 = clk_i/8 ... 111 = clk_i/1024 + sclk_divsel_i : in std_logic_vector(2 downto 0); + +-- DAC I/F + dac_cs_n_o : out std_logic_vector(g_num_cs_select-1 downto 0); + dac_sclk_o : out std_logic; + dac_sdata_o : out std_logic; + + xdone_o : out std_logic + ); +end n3xx_serial_dac; + + +architecture syn of n3xx_serial_dac is + + signal divider : unsigned(11 downto 0); + signal dataSh : std_logic_vector(g_num_data_bits + g_num_extra_bits-1 downto 0); + signal bitCounter : std_logic_vector(g_num_data_bits + g_num_extra_bits+1 downto 0); + signal endSendingData : std_logic; + signal sendingData : std_logic; + signal iDacClk : std_logic; + signal iValidValue : std_logic; + + signal divider_muxed : std_logic; + + signal cs_sel_reg : std_logic_vector(g_num_cs_select-1 downto 0); + + constant k_cmd_hi : integer := 21; + constant k_cmd_lo : integer := 19; + constant k_addr_hi : integer := 18; + constant k_addr_lo : integer := 16; +begin + + select_divider : process (divider, sclk_divsel_i) + begin -- process + case sclk_divsel_i is + when "000" => divider_muxed <= divider(1); -- sclk = clk_i/8 + when "001" => divider_muxed <= divider(2); -- sclk = clk_i/16 + when "010" => divider_muxed <= divider(3); -- sclk = clk_i/32 + when "011" => divider_muxed <= divider(4); -- sclk = clk_i/64 + when "100" => divider_muxed <= divider(5); -- sclk = clk_i/128 + when "101" => divider_muxed <= divider(6); -- sclk = clk_i/256 + when "110" => divider_muxed <= divider(7); -- sclk = clk_i/512 + when "111" => divider_muxed <= divider(8); -- sclk = clk_i/1024 + when others => null; + end case; + end process; + + + iValidValue <= load_i; + + process(clk_i, rst_n_i) + begin + if rising_edge(clk_i) then + if rst_n_i = '0' then + sendingData <= '0'; + else + if iValidValue = '1' and sendingData = '0' then + sendingData <= '1'; + elsif endSendingData = '1' then + sendingData <= '0'; + end if; + end if; + end if; + end process; + + process(clk_i) + begin + if rising_edge(clk_i) then + if iValidValue = '1' then + divider <= (others => '0'); + elsif sendingData = '1' then + if(divider_muxed = '1') then + divider <= (others => '0'); + else + divider <= divider + 1; + end if; + elsif endSendingData = '1' then + divider <= (others => '0'); + end if; + end if; + end process; + + + process(clk_i, rst_n_i) + begin + if rising_edge(clk_i) then + if rst_n_i = '0' then + iDacClk <= '1'; -- 0 + else + if iValidValue = '1' then + iDacClk <= '1'; -- 0 + elsif divider_muxed = '1' then + iDacClk <= not(iDacClk); + elsif endSendingData = '1' then + iDacClk <= '1'; -- 0 + end if; + end if; + end if; + end process; + + process(clk_i, rst_n_i) + begin + if rising_edge(clk_i) then + if rst_n_i = '0' then + dataSh <= (others => '0'); + else + if iValidValue = '1' and sendingData = '0' then + cs_sel_reg <= cs_sel_i; + dataSh <= (others => '0'); + if cs_sel_i(0) = '1' then + dataSh(k_addr_hi downto k_addr_lo) <= "000"; + elsif cs_sel_i(1) = '1' then + dataSh(k_addr_hi downto k_addr_lo) <= "001"; + end if; + dataSh(k_cmd_hi downto k_cmd_lo) <= "011"; + dataSh(g_num_data_bits-1 downto 0) <= value_i; + elsif sendingData = '1' and divider_muxed = '1' and iDacClk = '0' then + dataSh(0) <= dataSh(dataSh'left); + dataSh(dataSh'left downto 1) <= dataSh(dataSh'left - 1 downto 0); + end if; + end if; + end if; + end process; + + process(clk_i) + begin + if rising_edge(clk_i) then + if iValidValue = '1' and sendingData = '0' then + bitCounter(0) <= '1'; + bitCounter(bitCounter'left downto 1) <= (others => '0'); + elsif sendingData = '1' and to_integer(divider) = 0 and iDacClk = '1' then + bitCounter(0) <= '0'; + bitCounter(bitCounter'left downto 1) <= bitCounter(bitCounter'left - 1 downto 0); + end if; + end if; + end process; + + endSendingData <= bitCounter(bitCounter'left); + + xdone_o <= not SendingData; + + dac_sdata_o <= dataSh(dataSh'left); + + gen_cs_out : for i in 0 to g_num_cs_select-1 generate + dac_cs_n_o(i) <= not(sendingData); + end generate gen_cs_out; + + dac_sclk_o <= iDacClk; + + +end syn; diff --git a/fpga/usrp3/top/n3xx/n3xx_serial_dac_arb.vhd b/fpga/usrp3/top/n3xx/n3xx_serial_dac_arb.vhd new file mode 100644 index 000000000..9049bd845 --- /dev/null +++ b/fpga/usrp3/top/n3xx/n3xx_serial_dac_arb.vhd @@ -0,0 +1,187 @@ +------------------------------------------------------------------------------- +-- Title : N3xx serial DAC interface with arbiter +-- Project : White Rabbit +------------------------------------------------------------------------------- +-- File : spec_serial_dac.vhd +-- Author : Tomasz Wlostowski, modifications by dbaker +-- Company : CERN BE-Co-HT +-- Platform : fpga-generic +-- Standard : VHDL'87 +------------------------------------------------------------------------------- +-- +-- Copyright (c) 2011 CERN +-- +-- This source file is free software; you can redistribute it +-- and/or modify it under the terms of the GNU Lesser General +-- Public License as published by the Free Software Foundation; +-- either version 2.1 of the License, or (at your option) any +-- later version. +-- +-- This source is distributed in the hope that it will be +-- useful, but WITHOUT ANY WARRANTY; without even the implied +-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR +-- PURPOSE. See the GNU Lesser General Public License for more +-- details. +-- +-- You should have received a copy of the GNU Lesser General +-- Public License along with this source; if not, download it +-- from http://www.gnu.org/licenses/lgpl-2.1.html +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + + +entity n3xx_serial_dac_arb is + generic( + g_invert_sclk : boolean; + g_num_extra_bits : integer + ); + port( + clk_i : in std_logic; + rst_n_i : in std_logic; + + val1_i : in std_logic_vector(15 downto 0); + load1_i : in std_logic; + val2_i : in std_logic_vector(15 downto 0); + load2_i : in std_logic; + + dac_cs_n_o : out std_logic_vector(1 downto 0); + dac_clr_n_o : out std_logic; + dac_sclk_o : out std_logic; + dac_din_o : out std_logic); + +end n3xx_serial_dac_arb; + +architecture behavioral of n3xx_serial_dac_arb is + + component n3xx_serial_dac + generic ( + g_num_data_bits : integer; + g_num_extra_bits : integer; + g_num_cs_select : integer); + port ( + clk_i : in std_logic; + rst_n_i : in std_logic; + value_i : in std_logic_vector(g_num_data_bits-1 downto 0); + cs_sel_i : in std_logic_vector(g_num_cs_select-1 downto 0); + load_i : in std_logic; + sclk_divsel_i : in std_logic_vector(2 downto 0); + dac_cs_n_o : out std_logic_vector(g_num_cs_select-1 downto 0); + dac_sclk_o : out std_logic; + dac_sdata_o : out std_logic; + xdone_o : out std_logic); + end component; + + signal d1, d2 : std_logic_vector(15 downto 0) := (others=>'0'); + signal d1_ready, d2_ready : std_logic := '0'; + + + signal dac_data : std_logic_vector(15 downto 0) := (others=>'0'); + signal dac_load : std_logic := '0'; + signal dac_cs_sel : std_logic_vector(1 downto 0) := (others=>'0'); + signal dac_done : std_logic := '0'; + signal dac_sclk_int : std_logic := '0'; + + type t_state is (WAIT_DONE, LOAD_DAC, WAIT_DATA); + + signal state : t_state; + + signal trig0 : std_logic_vector(31 downto 0); + signal trig1 : std_logic_vector(31 downto 0); + signal trig2 : std_logic_vector(31 downto 0); + signal trig3 : std_logic_vector(31 downto 0); + signal CONTROL0 : std_logic_vector(35 downto 0); + +begin -- behavioral + + dac_clr_n_o <= '1'; + + U_DAC : n3xx_serial_dac + generic map ( + g_num_data_bits => 16, + g_num_extra_bits => g_num_extra_bits, + g_num_cs_select => 2) + port map ( + clk_i => clk_i, + rst_n_i => rst_n_i, + value_i => dac_data, + cs_sel_i => dac_cs_sel, + load_i => dac_load, + sclk_divsel_i => "001", + dac_cs_n_o => dac_cs_n_o, + dac_sclk_o => dac_sclk_int, + dac_sdata_o => dac_din_o, + xdone_o => dac_done); + + + p_drive_sclk: process(dac_sclk_int) + begin + if(g_invert_sclk) then + dac_sclk_o <= not dac_sclk_int; + else + dac_sclk_o <= dac_sclk_int; + end if; + end process; + + process(clk_i) + begin + if rising_edge(clk_i) then + if rst_n_i = '0' then + d1 <= (others => '0'); + d1_ready <= '0'; + d2 <= (others => '0'); + d2_ready <= '0'; + dac_load <= '0'; + dac_cs_sel <= (others => '0'); + state <= WAIT_DATA; + else + + if(load1_i = '1' or load2_i = '1') then + + if(load1_i = '1') then + d1_ready <= '1'; + d1 <= val1_i; + end if; + + if(load2_i = '1') then + d2_ready <= '1'; + d2 <= val2_i; + end if; + else + case state is + when WAIT_DATA => + if(d1_ready = '1') then + dac_cs_sel <= "01"; + dac_data <= d1; + dac_load <= '1'; + d1_ready <= '0'; + state <= LOAD_DAC; + elsif(d2_ready = '1') then + dac_cs_sel <= "10"; + dac_data <= d2; + dac_load <= '1'; + d2_ready <= '0'; + state <= LOAD_DAC; + end if; + + when LOAD_DAC=> + dac_load <= '0'; + state <= WAIT_DONE; + + when WAIT_DONE => + if(dac_done = '1') then + state <= WAIT_DATA; + end if; + when others => null; + end case; + end if; + end if; + end if; + end process; + + + + +end behavioral; diff --git a/fpga/usrp3/top/n3xx/n3xx_wr.xdc b/fpga/usrp3/top/n3xx/n3xx_wr.xdc new file mode 100644 index 000000000..2b69c9ead --- /dev/null +++ b/fpga/usrp3/top/n3xx/n3xx_wr.xdc @@ -0,0 +1,78 @@ +# +# Copyright 2018 Ettus Research, A National Instruments Company +# SPDX-License-Identifier: LGPL-3.0 +# + + +# 20 MHz White Rabbit reference +create_clock -name wr_refclk -period 50.000 [get_ports WB_20MHZ_P] + +# 62.5M TXOUTCLK from the MGT that does most of the heavy lifting +create_clock -name wr_txoutclk -period 16.000 \ + [get_pins -hierarchical -filter \ + {NAME=~sfp_wrapper_0/*/cmp_xwrc_platform/gen_phy_kintex7.cmp_gtx/U_GTX_INST/gtxe2_i/TXOUTCLK}] + +# 62.5M RXOUTCLK from the MGT that doesn't do as much as the TXOUTCLK +create_clock -name wr_rxoutclk -period 16.000 \ + [get_pins -hierarchical -filter \ + {NAME=~sfp_wrapper_0/*/cmp_xwrc_platform/gen_phy_kintex7.cmp_gtx/U_GTX_INST/gtxe2_i/RXOUTCLK}] + +# Rename the WR 62.5M system clock +create_generated_clock -name wr_sysclk \ + [get_pins -hierarchical -filter {NAME=~sfp_wrapper_0/*/cmp_xwrc_platform/gen_default_plls.gen_kintex7_default_plls.cmp_sys_clk_pll/CLKOUT0}] + +# Rename the WR ~62.5M DMTD clock +create_generated_clock -name wr_dmtdclk \ + [get_pins -hierarchical -filter {NAME=~sfp_wrapper_0/*/cmp_xwrc_platform/gen_default_plls.gen_kintex7_default_plls.cmp_dmtd_clk_pll/CLKOUT0}] + + + +# Clock Interaction Matrix : ------------------------------------------------------------ +# Everything is basically async to everything else, except for the net_clk group. +# Blanks mean there are no paths there (in the WR v4.2 build). +# +# The wr_sysclk (62.5 MHz) is derived from the 125 MHz MGT Reference clock (NETCLK). +# On the other hand, the wr_dmtdclk, also a 62.5 MHz clock, is derived from the 20 MHz +# WR VCXO. This two clock groups could be considered asynchronous due to its different +# source and different MMCM primitive (which unrelates them even more). +# +# | wr_RXOUTCLK | wr_TXOUTCLK | wr_dmtdclk | wr_sysclk | net_clk +# wr_RXOUTCLK | timed | async | async | async | +# wr_TXOUTCLK | async | timed | async | async | +# wr_dmtdclk | | | timed | async | +# wr_sysclk | async | async | async | timed | timed +# net_clk | async | | | timed | timed + +set_clock_groups -asynchronous -group [get_clocks wr_rxoutclk] \ + -group [get_clocks wr_txoutclk] \ + -group [get_clocks wr_dmtdclk] \ + -group [get_clocks net_clk] + +set_clock_groups -asynchronous -group [get_clocks wr_sysclk] \ + -group [get_clocks wr_txoutclk] \ + -group [get_clocks wr_rxoutclk] \ + -group [get_clocks wr_dmtdclk] + +# Safe paths : -------------------------------------------------------------------------- +# There is a mix of safe and unknown clock interactions between wr_sysclk and wr_dmtdclk. +# The safe crossings refer to those using a synchronizer structure; and to avoid +# failing timing on those paths, we ignore them (i.e. false paths), and allow the tools +# to time the rest. +# +# The WR IP uses easily identifiable single-bit synchronizers to transfer strobes from +# the wr_dmtdclk to the wr_sysclk domain. +# +# Commenting out command since the asynchronous groups were updated and paths ignored. +# set_false_path -from [get_clocks wr_dmtdclk] \ +# -to [get_pins -hierarchical -filter {NAME =~ */sync_posedge.sync0_reg/D}] +# +# +# The tags generated by the DDMTD (wr_dmtdclk domain) are deglitched before being output +# to the upstream logic in the wr_sysclk domain. Thus, a safe multi-bit crossing is +# expected between these two domains, and we tell the tools to ignore those paths. +# See usrp3/lib/white_rabbit/wr_cores_v4_2/modules/timing/dmtd_with_deglitcher.vhd for +# implementation details. +# +# Commenting out command since the asynchronous groups were updated and paths ignored. +# set_false_path -from [get_clocks wr_dmtdclk] \ +# -to [get_pins -hierarchical -filter {NAME =~ */U_Wrapped_Softpll/tags_masked_reg*/D}] diff --git a/fpga/usrp3/top/n3xx/n3xx_wr_top.vhd b/fpga/usrp3/top/n3xx/n3xx_wr_top.vhd new file mode 100644 index 000000000..277b6684f --- /dev/null +++ b/fpga/usrp3/top/n3xx/n3xx_wr_top.vhd @@ -0,0 +1,496 @@ +------------------------------------------------------------------------------- +-- +-- File: n3xx_wr_top.vhd +-- Author: +-- Original Project: N310 +-- Date: 22 February 2018 +-- +------------------------------------------------------------------------------- +-- Copyright 2018 Ettus Research, A National Instruments Company +-- SPDX-License-Identifier: LGPL-3.0 +------------------------------------------------------------------------------- +-- +-- Purpose: +-- +-- Wrapper file for the White Rabbit cores. +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.gencores_pkg.all; +use work.wishbone_pkg.all; +use work.wr_fabric_pkg.all; +use work.wrcore_pkg.all; +use work.etherbone_pkg.all; +use work.endpoint_pkg.all; +use work.streamers_pkg.all; +use work.wr_xilinx_pkg.all; +use work.wr_board_pkg.all; +use work.axi4_pkg.all; + +library unisim; +use unisim.VCOMPONENTS.all; + + +entity n3xx_wr_top is + generic( + g_simulation : integer := 0; + g_dpram_size : integer := 131072/4; + g_dpram_initf : string := "../../../../bin/wrpc/wrc_phy16.bram"); + port ( + --------------------------------------------------------------------------- + -- Resets + --------------------------------------------------------------------------- + -- Reset input (active low, can be async) + areset_n_i : in std_logic; + + --------------------------------------------------------------------------- + -- Oscillators and control DACs + --------------------------------------------------------------------------- + wr_refclk_buf_i : in std_logic; -- 20MHz VCXO after IBUFGDS + + gige_refclk_buf_i : in std_logic; -- 125 MHz MGT Ref after IBUFDS_GTE2 + + dac_sclk_o : out std_logic; -- N310 cWB-DAC-SCLK + dac_din_o : out std_logic; -- N310 cWB-DAC-DIN + dac_clr_n_o : out std_logic; -- N310 cWB-DAC-nCLR + dac_cs_n_o : out std_logic; -- N310 cWB-DAC-nSYNC + dac_ldac_n_o : out std_logic; -- N310 cWB-DAC-nLDAC + + eeprom_scl_o : out std_logic; + eeprom_scl_i : in std_logic; + eeprom_sda_o : out std_logic; + eeprom_sda_i : in std_logic; + + --------------------------------------------------------------------------- + -- SFP pins + --------------------------------------------------------------------------- + -- LEDs + LED_ACT : out std_logic; -- connect to SFP+ ACT + LED_LINK : out std_logic; -- connect to SFP+ LINK + + sfp_txp_o : out std_logic; + sfp_txn_o : out std_logic; + + sfp_rxp_i : in std_logic; + sfp_rxn_i : in std_logic; + + sfp_mod_def0_b : in std_logic; -- sfp detect + --sfp_mod_def1_b : inout std_logic; -- scl + --sfp_mod_def2_b : inout std_logic; -- sda + sfp_scl_o : out std_logic; + sfp_scl_i : in std_logic; + sfp_sda_o : out std_logic; + sfp_sda_i : in std_logic; + sfp_tx_fault_i : in std_logic; + sfp_tx_disable_o : out std_logic; + sfp_los_i : in std_logic; + + --------------------------------------------------------------------------- + -- Grandmaster mode inputs, optional + --------------------------------------------------------------------------- + + -- 10MHz clock generated by N310 when using WR in grandmaster mode + --clk_ext_gm_i : in std_logic := '0'; + -- PPS generated by N310 when using WR in grandmaster mode + --pps_ext_gm_i : in std_logic := '0'; + + --------------------------------------------------------------------------- + --UART + --------------------------------------------------------------------------- + wr_uart_rxd : in std_logic; + wr_uart_txd : out std_logic; + + + ------------------------------------------ + -- Axi Slave Bus Interface S00_AXI + ------------------------------------------ + -- aclk provided by this IP, wire to master! + s00_axi_aclk_o : out std_logic; + s00_axi_aresetn : in std_logic; + s00_axi_awaddr : in std_logic_vector(31 downto 0); + s00_axi_awprot : in std_logic_vector(2 downto 0); + s00_axi_awvalid : in std_logic; + s00_axi_awready : out std_logic; + s00_axi_wdata : in std_logic_vector(31 downto 0); + s00_axi_wstrb : in std_logic_vector(3 downto 0); + s00_axi_wvalid : in std_logic; + s00_axi_wready : out std_logic; + s00_axi_bresp : out std_logic_vector(1 downto 0); + s00_axi_bvalid : out std_logic; + s00_axi_bready : in std_logic; + s00_axi_araddr : in std_logic_vector(31 downto 0); + s00_axi_arprot : in std_logic_vector(2 downto 0); + s00_axi_arvalid : in std_logic; + s00_axi_arready : out std_logic; + s00_axi_rdata : out std_logic_vector(31 downto 0); + s00_axi_rresp : out std_logic_vector(1 downto 0); + s00_axi_rvalid : out std_logic; + s00_axi_rready : in std_logic; + s00_axi_rlast : out std_logic; + axi_int_o : out std_logic; -- axi interrupt signal + + + --------------------------------------------------------------------------- + -- PPS and main clock + --------------------------------------------------------------------------- + -- PPS output from WR Core, in 125M domain + pps_o : out std_logic; + clk_pps_o : out std_logic; + link_ok_o : out std_logic; + + --------------------------------------------------------------------------- + -- Debug + --------------------------------------------------------------------------- + clk_sys_locked_o : out std_logic; + clk_dmtd_locked_o : out std_logic; + wr_debug0_o : out std_logic; + wr_debug1_o : out std_logic); +end entity n3xx_wr_top; + +architecture structure of n3xx_wr_top is + + component n3xx_serial_dac_arb + generic( + g_invert_sclk : boolean; + g_num_extra_bits : integer); + port ( + clk_i : in std_logic; + rst_n_i : in std_logic; + val1_i : in std_logic_vector(15 downto 0); + load1_i : in std_logic; + val2_i : in std_logic_vector(15 downto 0); + load2_i : in std_logic; + dac_cs_n_o : out std_logic_vector(1 downto 0); + dac_clr_n_o : out std_logic; + dac_sclk_o : out std_logic; + dac_din_o : out std_logic); + end component; + + ------------------------------------------------------------------------------ + -- Signals declaration + ------------------------------------------------------------------------------ + + -- PLLs, clocks + signal clk_pll_62m5 : std_logic; + signal clk_pll_125m : std_logic; + signal clk_ref_62m5 : std_logic; + signal clk_pll_dmtd : std_logic; + signal pll_locked : std_logic; + signal clk_10m_ext : std_logic; + + -- Reset logic + signal rst_pll_62m5_n : std_logic; + signal rstlogic_arst_n : std_logic; + signal rstlogic_clk_in : std_logic_vector(1 downto 0); + signal rstlogic_rst_out : std_logic_vector(1 downto 0); + + -- PLL DAC ARB + signal dac_hpll_load_p1 : std_logic; + signal dac_hpll_data : std_logic_vector(15 downto 0); + signal dac_dpll_load_p1 : std_logic; + signal dac_dpll_data : std_logic_vector(15 downto 0); + signal dac_cs_vec_n : std_logic_vector(1 downto 0); + + -- OneWire + signal onewire_in : std_logic_vector(1 downto 0); + signal onewire_en : std_logic_vector(1 downto 0); + + -- PHY + signal phy16_to_wrc : t_phy_16bits_to_wrc; + signal phy16_from_wrc : t_phy_16bits_from_wrc; + + -- External reference + signal ext_ref_mul : std_logic; + signal ext_ref_mul_locked : std_logic; + signal ext_ref_mul_stopped : std_logic; + signal ext_ref_rst : std_logic; + + -- SFP I2C + --signal sfp_scl_o : std_logic; + --signal sfp_scl_i : std_logic; + --signal sfp_sda_o : std_logic; + --signal sfp_sda_i : std_logic; + + -- WRC WB Slave interface + signal wb_slave_out : t_wishbone_slave_out; + signal wb_slave_in : t_wishbone_slave_in; + signal zero : std_logic; + + + signal ref_clk_fb_o, pps, ref_clk_fb_i, clk_ref_125m, clk_ref_125m_bufg : std_logic; + + +begin + + wr_debug0_o <= dac_dpll_load_p1; + wr_debug1_o <= dac_hpll_load_p1; + + ----------------------------------------------------------------------------- + -- Platform-dependent part (PHY, PLLs, buffers, etc) + ----------------------------------------------------------------------------- + + cmp_xwrc_platform : xwrc_platform_xilinx + generic map ( + g_fpga_family => "kintex7", + g_with_external_clock_input => false, + g_use_default_plls => TRUE, + g_simulation => 0, + g_use_ibufgds => false ) + port map ( + areset_n_i => areset_n_i, + clk_10m_ext_i => '0', + clk_20m_vcxo_i => wr_refclk_buf_i, + clk_125m_pllref_i => '0', -- only used for "spartan6" g_fpga_family + clk_125m_gtp_p_i => gige_refclk_buf_i, -- buffered on top level with IBUFDS_GTE2 + clk_125m_gtp_n_i => '0', + sfp_txn_o => sfp_txn_o, + sfp_txp_o => sfp_txp_o, + sfp_rxn_i => sfp_rxn_i, + sfp_rxp_i => sfp_rxp_i, + sfp_tx_fault_i => sfp_tx_fault_i, + sfp_los_i => sfp_los_i, + sfp_tx_disable_o => sfp_tx_disable_o, + clk_62m5_sys_o => clk_pll_62m5, -- gige_refclk_buf_i > BUFG > MMCM > BUFG 62.5M + clk_125m_ref_o => clk_ref_62m5, -- gige_refclk_buf_i > GTX > TXOUTCLK > BUFG 62.5M + clk_62m5_dmtd_o => clk_pll_dmtd, -- wr_refclk_buf_i > BUFG > MMCM > BUFG ~62.5M + pll_locked_o => pll_locked, + clk_10m_ext_o => clk_10m_ext, + phy16_o => phy16_to_wrc, + phy16_i => phy16_from_wrc, + ext_ref_mul_o => ext_ref_mul, + ext_ref_mul_locked_o => ext_ref_mul_locked, + ext_ref_mul_stopped_o => ext_ref_mul_stopped, + ext_ref_rst_i => ext_ref_rst); + + ----------------------------------------------------------------------------- + -- Reset logic + ----------------------------------------------------------------------------- + + -- logic AND of all async reset sources (active low) + rstlogic_arst_n <= pll_locked and areset_n_i; + + -- concatenation of all clocks required to have synced resets + rstlogic_clk_in(0) <= clk_pll_62m5; + rstlogic_clk_in(1) <= clk_ref_62m5; + + cmp_rstlogic_reset : gc_reset + generic map ( + g_clocks => 2, -- 62.5MHz, 125MHz + g_logdelay => 4, -- 16 clock cycles + g_syncdepth => 3) -- length of sync chains + port map ( + free_clk_i => gige_refclk_buf_i, + locked_i => rstlogic_arst_n, + clks_i => rstlogic_clk_in, + rstn_o => rstlogic_rst_out); + + -- distribution of resets (already synchronized to their clock domains) + rst_pll_62m5_n <= rstlogic_rst_out(0); + + -- rst_sys_62m5_n_o <= rst_pll_62m5_n; -- reset in 62.5 MHz domain, if needed + -- rst_ref_125m_n_o <= rstlogic_rst_out(1); -- reset in 125 MHz domain, if needed + + + ----------------------------------------------------------------------------- + -- 2x SPI DAC + ----------------------------------------------------------------------------- + + cmp_dac_arb : n3xx_serial_dac_arb + generic map ( + g_invert_sclk => FALSE, + g_num_extra_bits => 8) + port map ( + clk_i => clk_pll_62m5, + rst_n_i => rst_pll_62m5_n, + val1_i => dac_dpll_data, + load1_i => dac_dpll_load_p1, + val2_i => dac_hpll_data, + load2_i => dac_hpll_load_p1, + dac_cs_n_o => dac_cs_vec_n, + dac_clr_n_o => dac_clr_n_o, -- unused, always '1' + dac_sclk_o => dac_sclk_o, + dac_din_o => dac_din_o); + + -- only need one CS for the AD5663 DAC, channel is selected with data bits + dac_cs_n_o <= dac_cs_vec_n(0); + + -- nLDAC is unused. A command embedded in the DAC SPI transaction is used instead + -- to transfer the write from the input register to the DAC output. + dac_ldac_n_o <= '1'; + + + + ----------------------------------------------------------------------------- + -- The WR PTP core with optional fabric interface attached + ----------------------------------------------------------------------------- + + cmp_board_common : xwrc_board_common + generic map ( + g_simulation => 0, + g_with_external_clock_input => false, -- eventually true ! DJB ! + g_board_name => "NA ", + g_phys_uart => TRUE, + g_virtual_uart => false, + g_aux_clks => 0, + g_ep_rxbuf_size => 1024, + g_tx_runt_padding => TRUE, + g_dpram_initf => g_dpram_initf, + g_dpram_size => 131072/4, + g_interface_mode => PIPELINED, + g_address_granularity => BYTE, + g_aux_sdb => c_wrc_periph3_sdb, + g_softpll_enable_debugger => TRUE, -- was FALSE + g_vuart_fifo_size => 1024, + g_pcs_16bit => TRUE, + g_diag_id => 0, -- guessing about this + g_diag_ver => 185, -- setting the version reg to 0xB9 + g_diag_ro_size => 0, -- not sure if this is needed to enable diag regs + g_diag_rw_size => 16, -- not sure if this is needed to enable diag regs + g_streamers_op_mode => TX_AND_RX, + g_tx_streamer_params => c_tx_streamer_params_defaut, + g_rx_streamer_params => c_rx_streamer_params_defaut, + g_fabric_iface => plain + ) + port map ( + clk_sys_i => clk_pll_62m5, + clk_dmtd_i => clk_pll_dmtd, + clk_ref_i => clk_ref_62m5, + clk_aux_i => (others => '0'), + clk_10m_ext_i => '0', -- ! DJB ! eventually external 10 MHz clock + clk_ext_mul_i => ext_ref_mul, + clk_ext_mul_locked_i => ext_ref_mul_locked, + clk_ext_stopped_i => ext_ref_mul_stopped, + clk_ext_rst_o => ext_ref_rst, + pps_ext_i => '0', -- ! DJB ! eventually external PPS + rst_n_i => rst_pll_62m5_n, + dac_hpll_load_p1_o => dac_hpll_load_p1, + dac_hpll_data_o => dac_hpll_data, + dac_dpll_load_p1_o => dac_dpll_load_p1, + dac_dpll_data_o => dac_dpll_data, + phy16_o => phy16_from_wrc, + phy16_i => phy16_to_wrc, + scl_o => eeprom_scl_o, -- not using the I2C bus because we don't have a + scl_i => eeprom_scl_i, -- dedicated EEPROm for WR Cal. Instead plan + sda_o => eeprom_sda_o, -- to read cal values over the UART and store + sda_i => eeprom_sda_i, -- on the main uSD card. + sfp_scl_o => sfp_scl_o, + sfp_scl_i => sfp_scl_i, + sfp_sda_o => sfp_sda_o, + sfp_sda_i => sfp_sda_i, + sfp_det_i => sfp_mod_def0_b, + spi_sclk_o => open, + spi_ncs_o => open, + spi_mosi_o => open, + spi_miso_i => '0', + uart_rxd_i => wr_uart_rxd, + uart_txd_o => wr_uart_txd, + owr_pwren_o => open, + owr_en_o => open, + owr_i => "11", + wb_slave_i => wb_slave_in, + wb_slave_o => wb_slave_out, + aux_master_o => open, + aux_master_i => cc_dummy_master_in, + wrf_src_o => open, + wrf_src_i => c_dummy_src_in, + wrf_snk_o => open, + wrf_snk_i => c_dummy_snk_in, + wrs_tx_data_i => (others=>'0'), + wrs_tx_valid_i => '0', + wrs_tx_dreq_o => open, + wrs_tx_last_i => '1', + wrs_tx_flush_i => '0', + wrs_tx_cfg_i => c_tx_streamer_cfg_default, + wrs_rx_first_o => open, + wrs_rx_last_o => open, + wrs_rx_data_o => open, + wrs_rx_valid_o => open, + wrs_rx_dreq_i => '0', + wrs_rx_cfg_i => c_rx_streamer_cfg_default, + wb_eth_master_o => open, + wb_eth_master_i => cc_dummy_master_in, + aux_diag_i => (others=>(others=>'0')), + aux_diag_o => open, + tm_dac_value_o => open, + tm_dac_wr_o => open, + tm_clk_aux_lock_en_i => (others=>'0'), + tm_clk_aux_locked_o => open, + timestamps_o => open, + timestamps_ack_i => '1', + abscal_txts_o => open, + abscal_rxts_o => open, + fc_tx_pause_req_i => '0', + fc_tx_pause_delay_i => (others=>'0'), + fc_tx_pause_ready_o => open, + tm_link_up_o => open, + tm_time_valid_o => open, + tm_tai_o => open, + tm_cycles_o => open, + led_act_o => LED_ACT, + led_link_o => LED_LINK, + btn1_i => '1', + btn2_i => '1', + pps_p_o => pps, + pps_led_o => open, + link_ok_o => link_ok_o); + + -- I2C interface for SFP + --sfp_mod_def1_b <= '0' when sfp_scl_o = '0' else 'Z'; + --sfp_mod_def2_b <= '0' when sfp_sda_o = '0' else 'Z'; + --sfp_scl_i <= sfp_mod_def1_b; + --sfp_sda_i <= sfp_mod_def2_b; + + s00_axi_aclk_o <= clk_pll_62m5; + + zero <= '0'; + cmp_axi4lite_wbm: wb_axi4lite_bridge + port map ( + clk_sys_i => clk_pll_62m5, + rst_n_i => s00_axi_aresetn, + + AWADDR => s00_axi_awaddr, + AWVALID => s00_axi_awvalid, + AWREADY => s00_axi_awready, + WDATA => s00_axi_wdata, + WSTRB => s00_axi_wstrb, + WVALID => s00_axi_wvalid, + WREADY => s00_axi_wready, + WLAST => zero, + BRESP => s00_axi_bresp, + BVALID => s00_axi_bvalid, + BREADY => s00_axi_bready, + ARADDR => s00_axi_araddr, + ARVALID => s00_axi_arvalid, + ARREADY => s00_axi_arready, + RDATA => s00_axi_rdata, + RRESP => s00_axi_rresp, + RVALID => s00_axi_rvalid, + RREADY => s00_axi_rready, + RLAST => s00_axi_rlast, + + wb_adr => wb_slave_in.adr, + wb_dat_m2s => wb_slave_in.dat, + wb_sel => wb_slave_in.sel, + wb_cyc => wb_slave_in.cyc, + wb_stb => wb_slave_in.stb, + wb_we => wb_slave_in.we, + + wb_dat_s2m => wb_slave_out.dat, + wb_err => wb_slave_out.err, + wb_rty => wb_slave_out.rty, + wb_ack => wb_slave_out.ack, + wb_stall => wb_slave_out.stall + ); + + + -- locals to outputs + clk_pps_o <= clk_ref_62m5; -- ref_clk + pps_o <= pps; -- pps + +end architecture structure ; -- of n3xx_wr_top + diff --git a/fpga/usrp3/top/n3xx/setupenv.sh b/fpga/usrp3/top/n3xx/setupenv.sh new file mode 100644 index 000000000..0be100b62 --- /dev/null +++ b/fpga/usrp3/top/n3xx/setupenv.sh @@ -0,0 +1,13 @@ +#!/bin/bash + +VIVADO_VER=2019.1 +DISPLAY_NAME="USRP-N3x0" +REPO_BASE_PATH=$(cd "$(dirname "${BASH_SOURCE[0]}")/../.." && pwd) + +declare -A PRODUCT_ID_MAP +PRODUCT_ID_MAP["N300"]="zynq/xc7z035/ffg900/-2" +PRODUCT_ID_MAP["N310"]="zynq/xc7z100/ffg900/-2" +PRODUCT_ID_MAP["N320"]="zynq/xc7z100/ffg900/-2" +PRODUCT_ID_MAP["EISCAT"]="zynq/xc7z100/ffg900/-2" + +source $REPO_BASE_PATH/tools/scripts/setupenv_base.sh diff --git a/fpga/usrp3/top/n3xx/sim/arm_to_sfp_loopback/Makefile b/fpga/usrp3/top/n3xx/sim/arm_to_sfp_loopback/Makefile new file mode 100644 index 000000000..1e783b2de --- /dev/null +++ b/fpga/usrp3/top/n3xx/sim/arm_to_sfp_loopback/Makefile @@ -0,0 +1,84 @@ +# +# Copyright 2015 Ettus Research LLC +# + +#------------------------------------------------- +# Top-of-Makefile +#------------------------------------------------- +# Define BASE_DIR to point to the "top" dir +BASE_DIR = $(abspath ../../..) +# Include viv_sim_preample after defining BASE_DIR +include $(BASE_DIR)/../tools/make/viv_sim_preamble.mak + +#------------------------------------------------- +# Design Specific +#------------------------------------------------- +# Define part using PART_ID (//) +ARCH = zynq +PART_ID = xc7z100/ffg900/-2 + +# Include makefiles and sources for the DUT and its dependencies +include $(BASE_DIR)/../lib/fifo/Makefile.srcs +include $(BASE_DIR)/../lib/axi/Makefile.srcs +include $(BASE_DIR)/../lib/control/Makefile.srcs +include $(BASE_DIR)/../lib/xge_interface/Makefile.srcs +include $(BASE_DIR)/../lib/xge/Makefile.srcs +include $(BASE_DIR)/../lib/packet_proc/Makefile.srcs + +DESIGN_SRCS = $(abspath \ +$(FIFO_SRCS) \ +$(AXI_SRCS) \ +$(CONTROL_LIB_SRCS) \ +) + +#------------------------------------------------- +# IP Specific +#------------------------------------------------- +# If simulation contains IP, define the IP_DIR and point +# it to the base level IP directory +IP_DIR = ../../ip + +# Include makefiles and sources for all IP components +# *after* defining the IP_DIR +include $(IP_DIR)/ten_gig_eth_pcs_pma/Makefile.inc +include $(IP_DIR)/axi64_8k_2clk_fifo/Makefile.inc +include $(IP_DIR)/axi64_4k_2clk_fifo/Makefile.inc + +DESIGN_SRCS += $(abspath \ +$(XGE_SRCS) \ +$(XGE_INTERFACE_SRCS) \ +$(IP_TEN_GIG_ETH_PCS_PMA_SRCS) \ +$(IP_TEN_GIGE_PHY_XCI_SRCS) \ +$(TEN_GIGE_PHY_SRCS) \ +$(IP_AXI64_8K_2CLK_FIFO_SRCS) \ +$(IP_AXI64_4K_2CLK_FIFO_SRCS) \ +$(PACKET_PROC_SRCS) \ +) +#$(IP_FIFO_SHORT_2CLK_SRCS) \ + + +#------------------------------------------------- +# Testbench Specific +#------------------------------------------------- +#include $(BASE_DIR)/../sim/general/Makefile.srcs +#include $(BASE_DIR)/../sim/control/Makefile.srcs +#include $(BASE_DIR)/../sim/axi/Makefile.srcs + +# Define only one toplevel module +SIM_TOP = arm_to_sfp_tb +# Simulation runtime in microseconds +SIM_RUNTIME_US = 30000 + +SIM_SRCS = \ +$(abspath arm_to_sfp_tb.sv) \ +$(SIM_GENERAL_SRCS) \ +$(SIM_CONTROL_SRCS) \ +$(SIM_AXI_SRCS) + +#------------------------------------------------- +# Bottom-of-Makefile +#------------------------------------------------- +# Include all simulator specific makefiles here +# Each should define a unique target to simulate +# e.g. xsim, vsim, etc and a common "clean" target +include $(BASE_DIR)/../tools/make/viv_simulator.mak diff --git a/fpga/usrp3/top/n3xx/sim/arm_to_sfp_loopback/arm_to_sfp_tb.sv b/fpga/usrp3/top/n3xx/sim/arm_to_sfp_loopback/arm_to_sfp_tb.sv new file mode 100644 index 000000000..46005a13a --- /dev/null +++ b/fpga/usrp3/top/n3xx/sim/arm_to_sfp_loopback/arm_to_sfp_tb.sv @@ -0,0 +1,528 @@ +// +// Copyright 2017 Ettus Research +// + + +`timescale 1ns/1ps +`define NS_PER_TICK 1 +`define NUM_TEST_CASES 13 + +`include "sim_clks_rsts.vh" +`include "sim_exec_report.vh" +`include "sim_cvita_lib.svh" +`include "sim_axis_lib.svh" +`include "sim_axi4_lib.svh" +`include "sim_set_rb_lib.svh" + +module arm_to_sfp_tb(); + `TEST_BENCH_INIT("arm_to_sfp_tb",`NUM_TEST_CASES,`NS_PER_TICK) + + // Define all clocks and resets + `DEFINE_CLK(XG_CLK_P, 1000/156.25, 50) //156.25MHz GT transceiver clock + `DEFINE_RESET(GSR, 0, 100) //100ns for GSR to deassert + + wire XG_CLK_N = ~XG_CLK_P; + wire SFP_LN0_P, SFP_LN0_N, SFP_LN1_P, SFP_LN1_N; + + //localparam PACKET_MODE = 0; + localparam PORTNUM = 8'd0; + + // ARM to SFP Loopback Topology: + // + // TB Simulus ====> |------------| |----------------| + // | XgigE MAC | <===> | XgigE PCS/PMA | <====>|| + // TB Checker <==== |------------| |----------------| || Loopback through + // || + // ====> |------------| |----------------| || perfect serial channel + // Loopback | | XgigE MAC | <===> | XgigE PCS/PMA | <====>|| + // <==== |------------| |----------------| + + // Initialize DUT + wire xgige_refclk, xgige_clk156, xgige_dclk; + wire m_user_clk, s_user_clk; + wire m_channel_up, s_channel_up; + + wire [63:0] m_xgmii_txd; + wire [7:0] m_xgmii_txc; + wire [63:0] m_xgmii_rxd; + wire [7:0] m_xgmii_rxc; + wire [63:0] s_xgmii_txd; + wire [7:0] s_xgmii_txc; + wire [63:0] s_xgmii_rxd; + wire [7:0] s_xgmii_rxc; + wire [7:0] m_xgmii_status; + wire [7:0] s_xgmii_status; + wire m_xge_phy_resetdone; + wire s_xge_phy_resetdone; + wire m_mdc, m_mdio_in, m_mdio_out; + wire s_mdc, s_mdio_in, s_mdio_out; + wire sfpp_rxlos,sfpp_tx_fault,sfpp_tx_disable; + + + wire [15:0] m_phy_status; + wire [15:0] s_phy_status; + wire [63:0] loop_tdata; + wire [3:0] loop_tuser; + wire loop_tlast, loop_tvalid, loop_tready; + + wire [7:0] wb_adr_i; + wire wb_cyc_i; + wire [31:0] wb_dat_i; + wire wb_stb_i; + wire wb_we_i; + wire wb_ack_o; + wire [31:0] wb_dat_o; + wire wb_int_o; + wire [63:0] c2e_tdata_int; + wire [3:0] c2e_tuser_int; + wire c2e_tlast_int; + wire c2e_tvalid_int; + wire c2e_tready_int; + wire [63:0] c2e_tdata; + wire [3:0] c2e_tuser; + wire c2e_tlast; + wire c2e_tvalid; + wire c2e_tready; + wire m_axis_tvalid; + wire m_axis_tlast; + wire [63:0] m_axis_tdata; + wire m_axis_tready; + wire [3:0] m_axis_tuser; + + reg independent_clock; + assign m_channel_up = m_phy_status[0]; + assign s_channel_up = s_phy_status[0]; + //assign m_user_clk = xgige_refclk; + //assign s_user_clk = xgige_refclk; + assign m_user_clk = independent_clock; + assign s_user_clk = independent_clock; + + ten_gige_phy_clk_gen xgige_clk_gen_i ( + .areset(GSR), + .refclk_p(XG_CLK_P), + .refclk_n(XG_CLK_N), + .refclk(xgige_refclk), + .clk156(xgige_clk156), + .dclk(xgige_dclk) + ); + + axis_master #(.DWIDTH(34)) m_axis (.clk(m_user_clk)); + axis_slave #(.DWIDTH(68)) s_axis (.clk(s_user_clk)); + + initial + begin + independent_clock <= 1'b0; + forever + begin + independent_clock <= 1'b0; + #2.5; + independent_clock <= 1'b1; + #2.5; + end + end + + assign sfpp_rxlos = 1'b0; + assign sfpp_tx_fault = 1'b0; + + // Instantiate the 10GBASER/KR GT Common block + ten_gig_eth_pcs_pma_gt_common # ( + .WRAPPER_SIM_GTRESET_SPEEDUP("TRUE") ) //Does not affect hardware + ten_gig_eth_pcs_pma_gt_common_block + ( + .refclk(xgige_refclk), + .qpllreset(qpllreset), + .qplllock(qplllock), + .qplloutclk(qplloutclk), + .qplloutrefclk(qplloutrefclk), + .qpllrefclksel(3'b001 /*3'b101*GTSOUTHREFCLK0*/) + ); + + ten_gige_phy ten_gige_phy_master_i + ( + // Clocks and Reset + .areset(GSR), // Asynchronous reset for entire core. + .refclk(xgige_refclk), // Transciever reference clock: 156.25MHz + .clk156(xgige_clk156), // Globally buffered core clock: 156.25MHz + .dclk(xgige_dclk), // Management/DRP clock: 78.125MHz + .sim_speedup_control(~GSR), + // GMII Interface (client MAC <=> PCS) + .xgmii_txd(m_xgmii_txd), // Transmit data from client MAC. + .xgmii_txc(m_xgmii_txc), // Transmit control signal from client MAC. + .xgmii_rxd(m_xgmii_rxd), // Received Data to client MAC. + .xgmii_rxc(m_xgmii_rxc), // Received control signal to client MAC. + // Tranceiver Interface + .txp(SFP_LN0_P), // Differential +ve of serial transmission from PMA to PMD. + .txn(SFP_LN0_N), // Differential -ve of serial transmission from PMA to PMD. + .rxp(SFP_LN1_P), // Differential +ve for serial reception from PMD to PMA. + .rxn(SFP_LN1_N), // Differential -ve for serial reception from PMD to PMA. + // Management: MDIO Interface + .mdc(m_mdc), // Management Data Clock + .mdio_in(m_mdio_in), // Management Data In + .mdio_out(m_mdio_out), // Management Data Out + .mdio_tri(), // Management Data Tristate + .prtad(5'd4), // MDIO address is 4 + // General IO's + .core_status(m_xgmii_status), // Core status + .resetdone(m_xge_phy_resetdone), + .signal_detect(~sfpp_rxlos), //FIXME // Input from PMD to indicate presence of optical input. (Undocumented, but it seems Xilinx expect this to be inverted.) + .tx_fault(sfpp_tx_fault), //FIXME + .tx_disable(/*sfpp_tx_disable*/), //FIXME + .qpllreset(qpllreset1), + .qplllock(qplllock), + .qplloutclk(qplloutclk), + .qplloutrefclk(qplloutrefclk) + + ); + + n310_xge_mac_wrapper #(.PORTNUM(PORTNUM)) xge_mac_wrapper_master_i + ( + // XGMII + .xgmii_clk(xgige_clk156), + .xgmii_txd(m_xgmii_txd), + .xgmii_txc(m_xgmii_txc), + .xgmii_rxd(m_xgmii_rxd), + .xgmii_rxc(m_xgmii_rxc), + // Client FIFO Interfaces + .sys_clk(m_user_clk), + .sys_rst(GSR), + .rx_tdata(s_axis.axis.tdata[63:0]), + .rx_tuser(s_axis.axis.tdata[67:64]), + .rx_tlast(s_axis.axis.tlast), + .rx_tvalid(s_axis.axis.tvalid), + .rx_tready(/*s_axis.axis.tready*/1'b1), + .tx_tdata(c2e_tdata), + .tx_tuser(c2e_tuser), // Bit[3] (error) is ignored for now. + .tx_tlast(c2e_tlast), + .tx_tvalid(c2e_tvalid), + .tx_tready(c2e_tready), + // Other + .phy_ready(m_xge_phy_resetdone), + .ctrl_tx_enable (/*mac_ctrl_reg[0]*/1'b1), //FIXME: Remove hardcoded value + .status_crc_error (), + .status_fragment_error (), + .status_txdfifo_ovflow (), + .status_txdfifo_udflow (), + .status_rxdfifo_ovflow (), + .status_rxdfifo_udflow (), + .status_pause_frame_rx (), + .status_local_fault (), + .status_remote_fault () + ); + + assign m_phy_status = {8'h00, m_xgmii_status}; + + axi_fifo32_to_fifo64 inst_axi_fifo32_to_fifo64 + ( + .clk(m_user_clk), + .reset(GSR), + .i_tdata({m_axis.axis.tdata[31:0]}), // endian swap + .i_tuser(m_axis.axis.tdata[33:32]), + .i_tlast(m_axis.axis.tlast), + .i_tvalid(m_axis.axis.tvalid), + .i_tready(m_axis.axis.tready), + .o_tdata(m_axis_tdata), + .o_tuser(m_axis_tuser), + .o_tlast(m_axis_tlast), + .o_tvalid(m_axis_tvalid), + .o_tready(m_axis_tready) + ); + + arm_framer inst_arm_framer ( + .clk (m_user_clk), + .reset (GSR), + .clear (clear), + .s_axis_tdata (m_axis_tdata), + .s_axis_tuser (m_axis_tuser), + .s_axis_tlast (m_axis_tlast), + .s_axis_tvalid (m_axis_tvalid), + .s_axis_tready (m_axis_tready), + .m_axis_tdata (c2e_tdata_int), + .m_axis_tuser (c2e_tuser_int), + .m_axis_tlast (c2e_tlast_int), + .m_axis_tvalid (c2e_tvalid_int), + .m_axis_tready (c2e_tready_int) + ); + + axi_mux4 #(.PRIO(0), .WIDTH(68)) eth_mux + (.clk(m_user_clk), .reset(GSR), .clear(clear), + .i0_tdata({c2e_tuser_int,c2e_tdata_int}), .i0_tlast(c2e_tlast_int), .i0_tvalid(c2e_tvalid_int), .i0_tready(c2e_tready_int), + .i1_tdata(), .i1_tlast(), .i1_tvalid(), .i1_tready(), + .i2_tdata(), .i2_tlast(), .i2_tvalid(), .i2_tready(), + .i3_tdata(), .i3_tlast(), .i3_tvalid(1'b0), .i3_tready(), + .o_tdata({c2e_tuser,c2e_tdata}), .o_tlast(c2e_tlast), .o_tvalid(c2e_tvalid), .o_tready(c2e_tready)); + + ten_gige_phy ten_gige_phy_slave_i + ( + // Clocks and Reset + .areset(GSR), // Asynchronous reset for entire core. + .refclk(xgige_refclk), // Transciever reference clock: 156.25MHz + .clk156(xgige_clk156), // Globally buffered core clock: 156.25MHz + .dclk(xgige_dclk), // Management/DRP clock: 78.125MHz + .sim_speedup_control(~GSR), + // GMII Interface (client MAC <=> PCS) + .xgmii_txd(s_xgmii_txd), // Transmit data from client MAC. + .xgmii_txc(s_xgmii_txc), // Transmit control signal from client MAC. + .xgmii_rxd(s_xgmii_rxd), // Received Data to client MAC. + .xgmii_rxc(s_xgmii_rxc), // Received control signal to client MAC. + // Tranceiver Interface + .txp(SFP_LN1_P), // Differential +ve of serial transmission from PMA to PMD. + .txn(SFP_LN1_N), // Differential -ve of serial transmission from PMA to PMD. + .rxp(SFP_LN0_P), // Differential +ve for serial reception from PMD to PMA. + .rxn(SFP_LN0_N), // Differential -ve for serial reception from PMD to PMA. + // Management: MDIO Interface + .mdc(s_mdc), // Management Data Clock + .mdio_in(s_mdio_in), // Management Data In + .mdio_out(s_mdio_out), // Management Data Out + .mdio_tri(), // Management Data Tristate + .prtad(5'd4), // MDIO address is 4 + // General IO's + .core_status(s_xgmii_status), // Core status + .resetdone(s_xge_phy_resetdone), + .signal_detect(~sfpp_rxlos), //FIXME // Input from PMD to indicate presence of optical input. (Undocumented, but it seems Xilinx expect this to be inverted.) + .tx_fault(sfpp_tx_fault), //FIXME + .tx_disable(/*sfpp_tx_disable*/), //FIXME + .qpllreset(qpllreset2), + .qplllock(qplllock), + .qplloutclk(qplloutclk), + .qplloutrefclk(qplloutrefclk) + ); + + n310_xge_mac_wrapper #(.PORTNUM(PORTNUM)) xge_mac_wrapper_slave_i + ( + // XGMII + .xgmii_clk(xgige_clk156), + .xgmii_txd(s_xgmii_txd), + .xgmii_txc(s_xgmii_txc), + .xgmii_rxd(s_xgmii_rxd), + .xgmii_rxc(s_xgmii_rxc), + // Client FIFO Interfaces + .sys_clk(s_user_clk), + .sys_rst(GSR), + .rx_tdata(loop_tdata), + .rx_tuser(loop_tuser), + .rx_tlast(loop_tlast), + .rx_tvalid(loop_tvalid), + .rx_tready(loop_tready), + .tx_tdata(loop_tdata), + .tx_tuser(loop_tuser), // Bit[3] (error) is ignored for now. + .tx_tlast(loop_tlast), + .tx_tvalid(loop_tvalid), + .tx_tready(loop_tready), + // Other + .phy_ready(s_xge_phy_resetdone), + .ctrl_tx_enable (/*mac_ctrl_reg[0]*/1'b1), //FIXME: Remove hardcoded value + .status_crc_error (), + .status_fragment_error (), + .status_txdfifo_ovflow (), + .status_txdfifo_udflow (), + .status_rxdfifo_ovflow (), + .status_rxdfifo_udflow (), + .status_pause_frame_rx (), + .status_local_fault (), + .status_remote_fault () + ); + + assign s_phy_status = {8'h00, s_xgmii_status}; + + //Testbench variables + cvita_hdr_t header, header_out; + cvita_stats_t stats; + logic [63:0] crc_cache; + + //------------------------------------------ + //Main thread for testbench execution + //------------------------------------------ + initial begin : tb_main + `TEST_CASE_START("Wait for reset"); + m_axis.reset; + while (GSR) @(posedge XG_CLK_P); + `TEST_CASE_DONE((~GSR)); + + m_axis.push_bubble(); + + `TEST_CASE_START("Wait for master channel to come up"); + while (m_channel_up !== 1'b1) @(posedge m_user_clk); + `TEST_CASE_DONE(1'b1); + + `TEST_CASE_START("Wait for slave channel to come up"); + while (s_channel_up !== 1'b1) @(posedge s_user_clk); + `TEST_CASE_DONE(1'b1); + + repeat(2000) @(posedge m_user_clk); + + //`TEST_CASE_START("Test Ethernet packet"); + // s_axis.axis.tready = 0; + // m_axis.push_word({4'b0, 64'h0000_0000_0000_ffff}, 1'b0); + // s_axis.axis.tready = 1; + // m_axis.push_word({4'b0, 64'hffff_ffff_ce20_ad1b}, 1'b0); + // m_axis.push_word({4'b0, 64'hc57a_0806_0001_0800}, 1'b0); + // m_axis.push_word({4'b0, 64'h0604_0001_ce20_ad1b}, 1'b0); + // m_axis.push_word({4'b0, 64'hc57a_c0a8_0a64_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_c0a8_0a0a}, 1'b1); + //`TEST_CASE_DONE(1'b1); + //`TEST_CASE_START("Test Ethernet packet"); + // s_axis.axis.tready = 0; + // m_axis.push_word({4'b0, 64'hffff_ffff_ffff_ce20}, 1'b0); + // s_axis.axis.tready = 1; + // m_axis.push_word({4'b0, 64'had1b_c57a_0806_0001}, 1'b0); + // m_axis.push_word({4'b0, 64'h0800_0604_0001_ce20}, 1'b0); + // m_axis.push_word({4'b0, 64'had1b_c57a_c0a8_0a64}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_0000_c0a8}, 1'b0); + // m_axis.push_word({4'd2, 64'h0a0a_1111_1111_1111}, 1'b1); + //`TEST_CASE_DONE(1'b1); + // repeat(2000) @(posedge m_user_clk); + `TEST_CASE_START("Test Ethernet packet"); + s_axis.axis.tready = 0; + m_axis.push_word({2'b0, 32'hffff_ffff}, 1'b0); + s_axis.axis.tready = 1; + m_axis.push_word({2'b0, 32'hffff_9aa9}, 1'b0); + m_axis.push_word({2'b0, 32'h6400_e341}, 1'b0); + m_axis.push_word({2'b0, 32'h0800_4500}, 1'b0); + m_axis.push_word({2'b0, 32'h0148_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_4011}, 1'b0); + m_axis.push_word({2'b0, 32'h79a6_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_ffff}, 1'b0); + m_axis.push_word({2'b0, 32'hffff_0044}, 1'b0); + m_axis.push_word({2'b0, 32'h0043_0134}, 1'b0); + m_axis.push_word({2'b0, 32'h90be_0101}, 1'b0); + m_axis.push_word({2'b0, 32'h0600_d2ab}, 1'b0); + m_axis.push_word({2'b0, 32'h9f01_0007}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_9aa9}, 1'b0); + m_axis.push_word({2'b0, 32'h6400_e341}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_6382}, 1'b0); + m_axis.push_word({2'b0, 32'h5363_3501}, 1'b0); + m_axis.push_word({2'b0, 32'h013d_0701}, 1'b0); + m_axis.push_word({2'b0, 32'h9aa9_6400}, 1'b0); + m_axis.push_word({2'b0, 32'he341_3902}, 1'b0); + m_axis.push_word({2'b0, 32'h0240_3707}, 1'b0); + m_axis.push_word({2'b0, 32'h0103_060c}, 1'b0); + m_axis.push_word({2'b0, 32'h0f1c_2a3c}, 1'b0); + m_axis.push_word({2'b0, 32'h0c75_6468}, 1'b0); + m_axis.push_word({2'b0, 32'h6370_2031}, 1'b0); + m_axis.push_word({2'b0, 32'h2e32_342e}, 1'b0); + m_axis.push_word({2'b0, 32'h31ff_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'b0, 32'h0000_0000}, 1'b0); + m_axis.push_word({2'd2, 32'h0000_0000}, 1'b1); + + `TEST_CASE_DONE(1'b1); + + repeat(2000) @(posedge m_user_clk); + + `TEST_CASE_START("Test Ethernet packet"); + s_axis.axis.tready = 0; + m_axis.push_word({2'b0, 32'h01005e7f }, 1'b0); + s_axis.axis.tready = 1; + m_axis.push_word({2'b0, 32'hfffa90e2 }, 1'b0); + m_axis.push_word({2'b0, 32'hba3aa7e8 }, 1'b0); + m_axis.push_word({2'b0, 32'h08004500 }, 1'b0); + m_axis.push_word({2'b0, 32'h00c7515e }, 1'b0); + m_axis.push_word({2'b0, 32'h40000111 }, 1'b0); + m_axis.push_word({2'b0, 32'h6d1bc0a8 }, 1'b0); + m_axis.push_word({2'b0, 32'h0a0aefff }, 1'b0); + m_axis.push_word({2'b0, 32'hfffad40f }, 1'b0); + m_axis.push_word({2'b0, 32'h076c00b3 }, 1'b0); + m_axis.push_word({2'b0, 32'hbb714d2d }, 1'b0); + m_axis.push_word({2'b0, 32'h53454152 }, 1'b0); + m_axis.push_word({2'b0, 32'h4348202a }, 1'b0); + m_axis.push_word({2'b0, 32'h20485454 }, 1'b0); + m_axis.push_word({2'b0, 32'h502f312e }, 1'b0); + m_axis.push_word({2'b0, 32'h310d0a48 }, 1'b0); + m_axis.push_word({2'b0, 32'h4f53543a }, 1'b0); + m_axis.push_word({2'b0, 32'h20323339 }, 1'b0); + m_axis.push_word({2'b0, 32'h2e323535 }, 1'b0); + m_axis.push_word({2'b0, 32'h2e323535 }, 1'b0); + m_axis.push_word({2'b0, 32'h2e323530 }, 1'b0); + m_axis.push_word({2'b0, 32'h3a313930 }, 1'b0); + m_axis.push_word({2'b0, 32'h300d0a4d }, 1'b0); + m_axis.push_word({2'b0, 32'h414e3a20 }, 1'b0); + m_axis.push_word({2'b0, 32'h22737364 }, 1'b0); + m_axis.push_word({2'b0, 32'h703a6469 }, 1'b0); + m_axis.push_word({2'b0, 32'h73636f76 }, 1'b0); + m_axis.push_word({2'b0, 32'h6572220d }, 1'b0); + m_axis.push_word({2'b0, 32'h0a4d583a }, 1'b0); + m_axis.push_word({2'b0, 32'h20310d0a }, 1'b0); + m_axis.push_word({2'b0, 32'h53543a20 }, 1'b0); + m_axis.push_word({2'b0, 32'h75726e3a }, 1'b0); + m_axis.push_word({2'b0, 32'h6469616c }, 1'b0); + m_axis.push_word({2'b0, 32'h2d6d756c }, 1'b0); + m_axis.push_word({2'b0, 32'h74697363 }, 1'b0); + m_axis.push_word({2'b0, 32'h7265656e }, 1'b0); + m_axis.push_word({2'b0, 32'h2d6f7267 }, 1'b0); + m_axis.push_word({2'b0, 32'h3a736572 }, 1'b0); + m_axis.push_word({2'b0, 32'h76696365 }, 1'b0); + m_axis.push_word({2'b0, 32'h3a646961 }, 1'b0); + m_axis.push_word({2'b0, 32'h6c3a310d }, 1'b0); + m_axis.push_word({2'b0, 32'h0a555345 }, 1'b0); + m_axis.push_word({2'b0, 32'h522d4147 }, 1'b0); + m_axis.push_word({2'b0, 32'h454e543a }, 1'b0); + m_axis.push_word({2'b0, 32'h20476f6f }, 1'b0); + m_axis.push_word({2'b0, 32'h676c6520 }, 1'b0); + m_axis.push_word({2'b0, 32'h4368726f }, 1'b0); + m_axis.push_word({2'b0, 32'h6d652f35 }, 1'b0); + m_axis.push_word({2'b0, 32'h342e302e }, 1'b0); + m_axis.push_word({2'b0, 32'h32383430 }, 1'b0); + m_axis.push_word({2'b0, 32'h2e353920 }, 1'b0); + m_axis.push_word({2'b0, 32'h4c696e75 }, 1'b0); + m_axis.push_word({2'b1, 32'h78111111 }, 1'b1); + + `TEST_CASE_DONE(1'b1); + end + +endmodule diff --git a/fpga/usrp3/top/n3xx/sim/aurora_loopback/Makefile b/fpga/usrp3/top/n3xx/sim/aurora_loopback/Makefile new file mode 100644 index 000000000..c4cdf38dd --- /dev/null +++ b/fpga/usrp3/top/n3xx/sim/aurora_loopback/Makefile @@ -0,0 +1,80 @@ +# +# Copyright 2015 Ettus Research LLC +# + +#------------------------------------------------- +# Top-of-Makefile +#------------------------------------------------- +# Define BASE_DIR to point to the "top" dir +BASE_DIR = $(abspath ../../..) +# Include viv_sim_preample after defining BASE_DIR +include $(BASE_DIR)/../tools/make/viv_sim_preamble.mak + +#------------------------------------------------- +# Design Specific +#------------------------------------------------- +# Define part using PART_ID (//) +ARCH = zynq +PART_ID = xc7z100/ffg900/-2 + +# Include makefiles and sources for the DUT and its dependencies +include $(BASE_DIR)/../lib/fifo/Makefile.srcs +include $(BASE_DIR)/../lib/axi/Makefile.srcs +include $(BASE_DIR)/../lib/control/Makefile.srcs +include $(BASE_DIR)/../lib/rfnoc/Makefile.srcs + +DESIGN_SRCS = $(abspath \ +$(FIFO_SRCS) \ +$(AXI_SRCS) \ +$(CONTROL_LIB_SRCS) \ +$(RFNOC_SRCS) \ +../../n3xx_npio_qsfp_wrapper.v \ +../../n3xx_mgt_io_core.v \ +) + +#------------------------------------------------- +# IP Specific +#------------------------------------------------- +# If simulation contains IP, define the IP_DIR and point +# it to the base level IP directory +IP_DIR = ../../ip + +# Include makefiles and sources for all IP components +# *after* defining the IP_DIR +include $(IP_DIR)/aurora_64b66b_pcs_pma/Makefile.inc +include $(IP_DIR)/fifo_short_2clk/Makefile.inc +include $(IP_DIR)/axi64_4k_2clk_fifo/Makefile.inc + +DESIGN_SRCS += $(abspath \ +$(IP_AURORA_64B66B_PCS_PMA_SRCS) \ +$(IP_AXI64_4K_2CLK_FIFO_SRCS) \ +$(IP_FIFO_SHORT_2CLK_SRCS) \ +$(AURORA_PHY_SRCS) \ +$(AURORA_PHY_1_SRCS) \ +) + +#------------------------------------------------- +# Testbench Specific +#------------------------------------------------- +include $(BASE_DIR)/../sim/general/Makefile.srcs +include $(BASE_DIR)/../sim/control/Makefile.srcs +include $(BASE_DIR)/../sim/axi/Makefile.srcs + +# Define only one toplevel module +SIM_TOP = aurora_loopback_tb +# Simulation runtime in microseconds +SIM_RUNTIME_US = 70 + +SIM_SRCS = \ +$(abspath aurora_loopback_tb.sv) \ +$(SIM_GENERAL_SRCS) \ +$(SIM_CONTROL_SRCS) \ +$(SIM_AXI_SRCS) + +#------------------------------------------------- +# Bottom-of-Makefile +#------------------------------------------------- +# Include all simulator specific makefiles here +# Each should define a unique target to simulate +# e.g. xsim, vsim, etc and a common "clean" target +include $(BASE_DIR)/../tools/make/viv_simulator.mak diff --git a/fpga/usrp3/top/n3xx/sim/aurora_loopback/aurora_loopback_tb.sv b/fpga/usrp3/top/n3xx/sim/aurora_loopback/aurora_loopback_tb.sv new file mode 100644 index 000000000..ed328f153 --- /dev/null +++ b/fpga/usrp3/top/n3xx/sim/aurora_loopback/aurora_loopback_tb.sv @@ -0,0 +1,395 @@ +///////////////////////////////////////////////////////////////// +// +// Copyright 2018 Ettus Research, A National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// +///////////////////////////////////////////////////////////////// + + + +`timescale 1ns/1ps +`define NS_PER_TICK 1 +`define NUM_TEST_CASES 13 + +`include "sim_clks_rsts.vh" +`include "sim_exec_report.vh" +`include "sim_cvita_lib.svh" +`include "sim_axi4_lib.svh" +`include "sim_set_rb_lib.svh" + +module aurora_loopback_tb(); + `TEST_BENCH_INIT("aurora_loopback_tb",`NUM_TEST_CASES,`NS_PER_TICK) + + // Define all clocks and resets + `DEFINE_CLK(XG_CLK_P, 1000/156.25, 50) //156.25MHz GT transceiver clock + `DEFINE_CLK(bus_clk, 1000/200, 50) //define 200 MHz bus clk + `DEFINE_RESET(GSR, 0, 100) //100ns for GSR to deassert + + wire XG_CLK_N = ~XG_CLK_P; + wire SFP_LN0_P, SFP_LN0_N, SFP_LN1_P, SFP_LN1_N; + + localparam PACKET_MODE = 1; + + // Aurora Loopback Topology: + // + // TB Simulus ====> |------------| |----------------| + // | Aurora MAC | <===> | Aurora PCS/PMA | <====>|| + // TB Checker <==== |------------| |----------------| || Loopback through + // || + // + // |-------------------------------------| + // | n3xx_npio_qsfp_wrapper | + // ====> |------------| |----------------| || perfect serial channel + // Loopback | | Aurora MAC | <===> | Aurora PCS/PMA | <====>|| + // <==== |------------| |----------------| + // |-------------------------------------| + + // Initialize DUT + wire aurora_refclk, aurora_init_clk; + wire aurora_clk156; + wire m_user_rst, s_user_rst; + wire m_channel_up, s_channel_up; + wire m_hard_err, s_hard_err; + wire m_soft_err, s_soft_err; + wire s_link_up; + +(* dont_touch = "true" *) IBUFDS_GTE2 aurora_refclk_ibuf ( + .ODIV2(), + .CEB (1'b0), + .I (XG_CLK_P), + .IB(XG_CLK_N), + .O (aurora_refclk) + ); + + aurora_phy_clk_gen aurora_clk_gen_i ( + .refclk_ibuf(aurora_refclk), + .clk156(aurora_clk156), + .init_clk(aurora_init_clk) + ); + wire qpllreset, qpllreset_slave; + wire qplllock, qplllock_slave; + wire qplloutclk, qplloutclk_slave; + wire qplloutrefclk, qplloutrefclk_slave; + wire qpllrefclklost, qpllrefclklost_slave; + wire [7:0] qpll_drpaddr_in_i = 8'h0; + wire [15:0] qpll_drpdi_in_i = 16'h0; + wire qpll_drpen_in_i = 1'b0; + wire qpll_drpwe_in_i = 1'b0; + wire [15:0] qpll_drpdo_out_i, qpll_drpdo_out_s_i; + wire qpll_drprdy_out_i, qpll_drprdy_out_s_i; + + aurora_64b66b_pcs_pma_gt_common_wrapper gt_common_support ( + .gt_qpllclk_quad1_out (qplloutclk), //to sfp + .gt_qpllrefclk_quad1_out (qplloutrefclk), // to sfp + .GT0_GTREFCLK0_COMMON_IN (aurora_refclk), +//----------------------- Common Block - QPLL Ports ------------------------ + .GT0_QPLLLOCK_OUT (qplllock), //from 1st sfp + .GT0_QPLLRESET_IN (qpllreset), //from 1st sfp + .GT0_QPLLLOCKDETCLK_IN (aurora_init_clk), + .GT0_QPLLREFCLKLOST_OUT (qpllrefclklost), //from 1st sfp +//---------------------- Common DRP Ports ---------------------- //not really used??? + .qpll_drpaddr_in (qpll_drpaddr_in_i), + .qpll_drpdi_in (qpll_drpdi_in_i), + .qpll_drpclk_in (aurora_init_clk), + .qpll_drpdo_out (qpll_drpdo_out_i), + .qpll_drprdy_out (qpll_drprdy_out_i), + .qpll_drpen_in (qpll_drpen_in_i), + .qpll_drpwe_in (qpll_drpwe_in_i) + ); + + wire au_master_tx_out_clk, au_slave_tx_out_clk; + wire au_master_gt_pll_lock, au_slave_gt_pll_lock; + wire au_user_clk, au_sync_clk, au_mmcm_locked; + wire au_s_user_clk, au_s_sync_clk, au_s_mmcm_locked; + wire au_master_phy_reset; + + aurora_phy_mmcm aurora_phy_mmcm_0 ( + .aurora_tx_clk_unbuf(au_master_tx_out_clk), + .mmcm_reset(!au_master_gt_pll_lock), + .user_clk(au_user_clk), + .sync_clk(au_sync_clk), + .mmcm_locked(au_mmcm_locked) + ); + + wire [63:0] m_i_tdata, m_o_tdata; + wire m_i_tvalid, m_i_tready, m_o_tvalid; + wire [63:0] s_i_tdata, s_o_tdata; + wire s_i_tvalid, s_i_tready, s_o_tvalid; + wire [63:0] loop_tdata; + wire loop_tlast, loop_tvalid, loop_tready; + wire [31:0] m_overruns; + reg [31:0] s_overruns; + wire [31:0] m_soft_errors, s_soft_errors; + reg m_bist_gen, m_bist_check, s_bist_loopback; + reg [5:0] m_bist_rate; + wire m_bist_locked; + wire [47:0] m_bist_samps, m_bist_errors; + + cvita_master m_tx_chdr (.clk(au_user_clk)); + cvita_slave m_rx_chdr (.clk(au_user_clk)); + + aurora_phy_x1 #(.SIMULATION(1)) aurora_phy_master_i ( + // Resets + .areset(GSR), + // Clocks + .refclk(aurora_refclk), + .qpllclk(qplloutclk), + .qpllrefclk(qplloutrefclk), + .user_clk(au_user_clk), + .sync_clk(au_sync_clk), + .init_clk(aurora_init_clk), + .user_rst(m_user_rst), + // GTX Serial I/O + .tx_p(SFP_LN0_P), .tx_n(SFP_LN0_N), + .rx_p(SFP_LN1_P), .rx_n(SFP_LN1_N), + // AXI4-Stream TX Interface + .s_axis_tdata(m_i_tdata), .s_axis_tvalid(m_i_tvalid), .s_axis_tready(m_i_tready), + // AXI4-Stream RX Interface + .m_axis_tdata(m_o_tdata), .m_axis_tvalid(m_o_tvalid), + // AXI4-Lite Config Interface + .s_axi_awaddr(32'h0), .s_axi_araddr(32'h0), .s_axi_awvalid(1'b0), .s_axi_awready(), + .s_axi_wdata(32'h0), .s_axi_wvalid(1'b0), .s_axi_wstrb(1'b0), .s_axi_wready(), + .s_axi_bvalid(), .s_axi_bresp(), .s_axi_bready(1'b1), + .s_axi_arready(), .s_axi_arvalid(1'b0), + .s_axi_rdata(), .s_axi_rvalid(), .s_axi_rresp(), .s_axi_rready(1'b1), + // Status and Error Reporting Interface + .channel_up(m_channel_up), .hard_err(m_hard_err), .soft_err(m_soft_err), + .qplllock(qplllock), + .qpllreset(qpllreset), + .qpllrefclklost(qpllrefclklost), + .tx_out_clk(au_master_tx_out_clk), + .gt_pll_lock(au_master_gt_pll_lock), + .mmcm_locked(au_mmcm_locked) + ); + + aurora_axis_mac #(.PACKET_MODE(PACKET_MODE), .BIST_ENABLED(1)) aurora_mac_master_i ( + // Clocks and resets + .phy_clk(au_user_clk), .phy_rst(m_user_rst), + .sys_clk(au_user_clk), .sys_rst(m_user_rst), + .clear(1'b0), + // PHY Interface + .phy_s_axis_tdata(m_o_tdata), .phy_s_axis_tvalid(m_o_tvalid), + .phy_m_axis_tdata(m_i_tdata), .phy_m_axis_tvalid(m_i_tvalid), .phy_m_axis_tready(m_i_tready), + // User Interface + .s_axis_tdata(m_tx_chdr.axis.tdata), .s_axis_tlast(m_tx_chdr.axis.tlast), + .s_axis_tvalid(m_tx_chdr.axis.tvalid), .s_axis_tready(m_tx_chdr.axis.tready), + .m_axis_tdata(m_rx_chdr.axis.tdata), .m_axis_tlast(m_rx_chdr.axis.tlast), + .m_axis_tvalid(m_rx_chdr.axis.tvalid), .m_axis_tready(m_rx_chdr.axis.tready), + // Misc PHY + .channel_up(m_channel_up), .hard_err(m_hard_err), .soft_err(m_soft_err), + .overruns(m_overruns), .soft_errors(m_soft_errors), + //BIST + .bist_gen_en(m_bist_gen), .bist_checker_en(m_bist_check), .bist_loopback_en(1'b0), .bist_gen_rate(m_bist_rate), + .bist_checker_locked(m_bist_locked), .bist_checker_samps(m_bist_samps), .bist_checker_errors(m_bist_errors) + ); + +reg reg_wr_req_s; +reg [13:0] reg_wr_addr_s; +reg [31:0] reg_wr_data_s; +reg reg_rd_req_s; +reg [13:0] reg_rd_addr_s; +wire reg_rd_resp_s; +wire [31:0] reg_rd_data_s; + +n3xx_npio_qsfp_wrapper #( + .LANES(1), // Number of lanes of Aurora to instantiate (Supported = {1,2,3,4}) + .REG_BASE(32'h0), // Base register address + .PORTNUM_BASE(4), // Base port number for discovery + .REG_DWIDTH(32), // Width of regport address bus + .REG_AWIDTH(14) // Width of regport data bus +) qsfp_wrapper_inst ( + // Clocks and Resets + .areset(GSR), + .bus_clk(bus_clk), + .misc_clk(aurora_init_clk), + .bus_rst(GSR), + .gt_refclk(aurora_refclk), + .gt_clk156(aurora_clk156), + // Serial lanes + .txp(SFP_LN1_P), + .txn(SFP_LN1_N), + .rxp(SFP_LN0_P), + .rxn(SFP_LN0_N), + // AXIS input interface + .s_axis_tdata(loop_tdata), + .s_axis_tlast(loop_tlast), + .s_axis_tvalid(~s_bist_loopback & loop_tvalid), + .s_axis_tready(loop_tready), + // AXIS output interface + .m_axis_tdata(loop_tdata), + .m_axis_tlast(loop_tlast), + .m_axis_tvalid(loop_tvalid), + .m_axis_tready(~s_bist_loopback & loop_tready), + // Register ports + .reg_wr_req(reg_wr_req_s), //input reg_wr_req, + .reg_wr_addr(reg_wr_addr_s), //input [REG_AWIDTH-1:0] reg_wr_addr, + .reg_wr_data(reg_wr_data_s), //input [REG_DWIDTH-1:0] reg_wr_data, + .reg_rd_req(reg_rd_req_s), //input reg_rd_req, + .reg_rd_addr(reg_rd_addr_s), //input [REG_AWIDTH-1:0] reg_rd_addr, + .reg_rd_resp(reg_rd_resp_s), //output reg_rd_resp, + .reg_rd_data(reg_rd_data_s), //output [REG_DWIDTH-1:0] reg_rd_data, + + .link_up(s_link_up), + .activity() +); + + //Testbench variables + cvita_hdr_t header, header_out; + cvita_stats_t stats; + logic [63:0] crc_cache; + + //------------------------------------------ + //Main thread for testbench execution + //------------------------------------------ + initial begin : tb_main + `TEST_CASE_START("Wait for reset"); + while (GSR) @(posedge aurora_refclk); + `TEST_CASE_DONE((~GSR)); + + m_bist_gen <= 1'b0; + m_bist_rate <= 6'd0; + m_bist_check <= 1'b0; + s_bist_loopback <= 1'b0; + + m_tx_chdr.push_bubble(); + + `TEST_CASE_START("Wait for master channel to come up"); + while (m_channel_up !== 1'b1) @(posedge au_user_clk); + `TEST_CASE_DONE(1'b1); + + `TEST_CASE_START("Wait for slave channel to come up. Uses QSFP Wrapper"); + while (s_link_up !== 1'b1) @(posedge bus_clk); + `TEST_CASE_DONE(1'b1); + + `TEST_CASE_START("Run PRBS BIST"); + s_bist_loopback <= PACKET_MODE; + $display("Need to interact with regport to set s_bist_loopback."); + reg_wr_req_s <= 1; + reg_wr_addr_s <= 4; + reg_wr_data_s <= 4; + @(posedge bus_clk); + repeat (3) @(posedge au_user_clk); + reg_wr_req_s <= 0; + m_bist_rate <= 6'd60; + m_bist_gen <= 1'b1; + m_bist_check <= 1'b1; + @(posedge au_user_clk); + while (m_bist_locked !== 1'b1) @(posedge au_user_clk); + repeat (512) @(posedge au_user_clk); + `ASSERT_ERROR(m_bist_samps>256, "BIST: Num samples incorrect"); + `ASSERT_ERROR(m_bist_errors===36'd0, "BIST: Errors!"); + @(posedge au_user_clk); + m_bist_gen <= 1'b0; + repeat (256) @(posedge au_user_clk); + m_bist_check <= 1'b0; + `TEST_CASE_DONE(1'b1); + + header = '{ + pkt_type:DATA, has_time:0, eob:0, seqnum:12'h666, + length:0, src_sid:$random, dst_sid:$random, timestamp:64'h0}; + + `TEST_CASE_START("Fill up empty FIFO then drain (short packet)"); + m_rx_chdr.axis.tready = 0; + m_tx_chdr.push_ramp_pkt(16, 64'd0, 64'h100, header); + m_rx_chdr.axis.tready = 1; + m_rx_chdr.wait_for_pkt_get_info(header_out, stats); + `ASSERT_ERROR(stats.count==16, "Bad packet: Length mismatch"); + `ASSERT_ERROR(header.src_sid==header_out.src_sid, "Bad packet: Wrong Src SID"); + `ASSERT_ERROR(header.dst_sid==header_out.dst_sid, "Bad packet: Wrong Dst SID"); + `TEST_CASE_DONE(1); + + `TEST_CASE_START("Fill up empty FIFO then drain (long packet)"); + m_rx_chdr.axis.tready = 0; + m_tx_chdr.push_ramp_pkt(256, 64'd0, 64'h100, header); + m_rx_chdr.axis.tready = 1; + m_rx_chdr.wait_for_pkt_get_info(header_out, stats); + `ASSERT_ERROR(stats.count==256, "Bad packet: Length mismatch"); + `ASSERT_ERROR(header.src_sid==header_out.src_sid, "Bad packet: Wrong Src SID"); + `ASSERT_ERROR(header.dst_sid==header_out.dst_sid, "Bad packet: Wrong Dst SID"); + `TEST_CASE_DONE(1); + + header = '{ + pkt_type:DATA, has_time:1, eob:0, seqnum:12'h666, + length:0, src_sid:$random, dst_sid:$random, timestamp:64'h0}; + + `TEST_CASE_START("Concurrent read and write (single packet)"); + repeat (10) @(posedge au_user_clk); //Wait for clear to go low + m_rx_chdr.axis.tready = 1; + fork + begin + m_tx_chdr.push_ramp_pkt(200, 64'd0, 64'h100, header); + end + begin + m_rx_chdr.wait_for_pkt_get_info(header_out, stats); + end + join + crc_cache = stats.crc; //Cache CRC for future test cases + `ASSERT_ERROR(stats.count==201, "Bad packet: Length mismatch"); + `TEST_CASE_DONE(1); + + `TEST_CASE_START("Concurrent read and write (multiple packets)"); + m_rx_chdr.axis.tready = 1; + fork + begin + repeat (20) begin + m_tx_chdr.push_ramp_pkt(20, 64'd0, 64'h100, header); + m_tx_chdr.push_bubble(); + end + end + begin + repeat (20) begin + m_rx_chdr.wait_for_pkt_get_info(header_out, stats); + `ASSERT_ERROR(stats.count==21, "Bad packet: Length mismatch"); + `ASSERT_ERROR(crc_cache==stats.crc, "Bad packet: Wrong CRC"); + end + end + join + `TEST_CASE_DONE(1); + + `TEST_CASE_START("Validate no drops (master)"); + `TEST_CASE_DONE((m_overruns === 32'd0)); + + `TEST_CASE_START("Validate no drops (slave)"); + reg_rd_req_s <= 1; + reg_rd_addr_s <= 'h20; + @(posedge reg_rd_resp_s) + reg_rd_req_s <= 0; + s_overruns <= reg_rd_data_s; + `TEST_CASE_DONE((s_overruns === 32'd0)); + + s_bist_loopback <= 1'b1; + + `TEST_CASE_START("Run PRBS BIST (Loopback Mode)"); + @(posedge au_user_clk); + m_bist_gen <= 1'b1; + m_bist_rate <= 6'd60; + m_bist_check <= 1'b1; + @(posedge au_user_clk); + while (m_bist_locked !== 1'b1) @(posedge au_user_clk); + repeat (512) @(posedge au_user_clk); + `ASSERT_ERROR(m_bist_samps>256, "BIST: Num samples incorrect"); + `ASSERT_ERROR(m_bist_errors===36'd0, "BIST: Errors!"); + @(posedge au_user_clk); + m_bist_gen <= 1'b0; + repeat (256) @(posedge au_user_clk); + m_bist_check <= 1'b0; + `TEST_CASE_DONE(1'b1); + + s_bist_loopback <= 1'b0; + + `TEST_CASE_START("Validate no drops (master)"); + `TEST_CASE_DONE((m_overruns === 32'd0)); + + `TEST_CASE_START("Validate no drops (slave)"); + reg_rd_req_s <= 1; + reg_rd_addr_s <= 'h20; + @(posedge reg_rd_resp_s) + reg_rd_req_s <= 0; + s_overruns <= reg_rd_data_s; + `TEST_CASE_DONE((s_overruns === 32'd0)); + + `TEST_BENCH_DONE; + end + +endmodule diff --git a/fpga/usrp3/top/n3xx/sim/dram_fifo/Makefile b/fpga/usrp3/top/n3xx/sim/dram_fifo/Makefile new file mode 100644 index 000000000..738cb12dc --- /dev/null +++ b/fpga/usrp3/top/n3xx/sim/dram_fifo/Makefile @@ -0,0 +1,70 @@ +# +# Copyright 2015 Ettus Research LLC +# + +#------------------------------------------------- +# Top-of-Makefile +#------------------------------------------------- +# Define BASE_DIR to point to the "top" dir +BASE_DIR = $(abspath ../../..) +# Include viv_sim_preample after defining BASE_DIR +include $(BASE_DIR)/../tools/make/viv_sim_preamble.mak + +#------------------------------------------------- +# Design Specific +#------------------------------------------------- +# Define part using PART_ID (//) +ARCH = zynq +PART_ID = xc7z100/ffg900/-2 + +# Include makefiles and sources for the DUT and its dependencies +include $(BASE_DIR)/../lib/fifo/Makefile.srcs +include $(BASE_DIR)/../lib/axi/Makefile.srcs +include $(BASE_DIR)/../lib/control/Makefile.srcs + +DESIGN_SRCS = $(abspath \ +$(FIFO_SRCS) \ +$(AXI_SRCS) \ +$(CONTROL_LIB_SRCS) \ +) + +#------------------------------------------------- +# IP Specific +#------------------------------------------------- +# If simulation contains IP, define the IP_DIR and point +# it to the base level IP directory +IP_DIR = ../../ip + +# Include makefiles and sources for all IP components +# *after* defining the IP_DIR +include $(IP_DIR)/ddr3_32bit/Makefile.inc +include $(IP_DIR)/axi_intercon_4x64_256_bd/Makefile.inc +include $(IP_DIR)/fifo_short_2clk/Makefile.inc +include $(IP_DIR)/fifo_4k_2clk/Makefile.inc + +DESIGN_SRCS += $(abspath \ +$(IP_DDR3_32BIT_SRCS) \ +$(IP_AXI_INTERCON_4X64_256_SRCS) \ +$(IP_AXI_INTERCON_4X64_256_BD_SRCS) \ +$(IP_FIFO_4K_2CLK_SRCS) \ +$(IP_FIFO_SHORT_2CLK_SRCS) \ +) + +#------------------------------------------------- +# Testbench Specific +#------------------------------------------------- +# Define only one toplevel module +SIM_TOP = dram_fifo_tb + +SIM_SRCS = \ +$(abspath dram_fifo_tb.sv) \ +$(abspath axis_dram_fifo_single.sv) \ +$(IP_DDR3_32BIT_SIM_OUTS) + +#------------------------------------------------- +# Bottom-of-Makefile +#------------------------------------------------- +# Include all simulator specific makefiles here +# Each should define a unique target to simulate +# e.g. xsim, vsim, etc and a common "clean" target +include $(BASE_DIR)/../tools/make/viv_simulator.mak diff --git a/fpga/usrp3/top/n3xx/sim/dram_fifo/axis_dram_fifo_single.sv b/fpga/usrp3/top/n3xx/sim/dram_fifo/axis_dram_fifo_single.sv new file mode 100644 index 000000000..63bea218e --- /dev/null +++ b/fpga/usrp3/top/n3xx/sim/dram_fifo/axis_dram_fifo_single.sv @@ -0,0 +1,493 @@ +// +// Copyright 2015 Ettus Research LLC +// + +`timescale 1ns/1ps + +module axis_dram_fifo_single +#( + parameter DIFF_CLK = 0, + parameter SR_BASE = 0 +) ( + input bus_clk, + input bus_rst, + input sys_clk_p, + input sys_clk_n, + input sys_rst_n, + input dma_engine_clk, + + input [63:0] i_tdata, + input i_tlast, + input i_tvalid, + output i_tready, + + output [63:0] o_tdata, + output o_tlast, + output o_tvalid, + input o_tready, + + input set_stb, + input [7:0] set_addr, + input [31:0] set_data, + output [31:0] rb_data, + + input [63:0] forced_bit_err, + + output init_calib_complete +); + + wire ddr3_axi_clk; // 1/4 DDR external clock rate (250MHz) + wire ddr3_axi_rst; // Synchronized to ddr_sys_clk + reg ddr3_axi_rst_reg_n; // Synchronized to ddr_sys_clk + + // Misc declarations + axi4_rd_t #(.DWIDTH(64), .AWIDTH(32), .IDWIDTH(1)) dma_axi_rd(.clk(dma_engine_clk)); + axi4_wr_t #(.DWIDTH(64), .AWIDTH(32), .IDWIDTH(1)) dma_axi_wr(.clk(dma_engine_clk)); + axi4_rd_t #(.DWIDTH(256), .AWIDTH(32), .IDWIDTH(4)) mig_axi_rd(.clk(ddr3_axi_clk)); + axi4_wr_t #(.DWIDTH(256), .AWIDTH(32), .IDWIDTH(4)) mig_axi_wr(.clk(ddr3_axi_clk)); + + wire [31:0] ddr3_dq; // Data pins. Input for Reads; Output for Writes. + wire [3:0] ddr3_dqs_n; // Data Strobes. Input for Reads; Output for Writes. + wire [3:0] ddr3_dqs_p; + wire [15:0] ddr3_addr; // Address + wire [2:0] ddr3_ba; // Bank Address + wire ddr3_ras_n; // Row Address Strobe. + wire ddr3_cas_n; // Column address select + wire ddr3_we_n; // Write Enable + wire ddr3_reset_n; // SDRAM reset pin. + wire [0:0] ddr3_ck_p; // Differential clock + wire [0:0] ddr3_ck_n; + wire [0:0] ddr3_cke; // Clock Enable + wire [0:0] ddr3_cs_n; // Chip Select + wire [3:0] ddr3_dm; // Data Mask [3] = UDM.U26; [2] = LDM.U26; ... + wire [0:0] ddr3_odt; // On-Die termination enable. + + always @(posedge ddr3_axi_clk) + ddr3_axi_rst_reg_n <= ~ddr3_axi_rst; + + axi_dma_fifo #( + .DEFAULT_BASE(30'h02000000), + .DEFAULT_MASK(30'hFF000000), + .DEFAULT_TIMEOUT(280), + .SR_BASE(SR_BASE), + .EXT_BIST(1), + .SIMULATION(1) + ) axi_dma_fifo_i0 ( + // + // Clocks and reset + .bus_clk (bus_clk), + .bus_reset (bus_rst), + .dram_clk (dma_engine_clk), + .dram_reset (ddr3_axi_rst), + // + // AXI Write address channel + .m_axi_awid (dma_axi_wr.addr.id), + .m_axi_awaddr (dma_axi_wr.addr.addr), + .m_axi_awlen (dma_axi_wr.addr.len), + .m_axi_awsize (dma_axi_wr.addr.size), + .m_axi_awburst (dma_axi_wr.addr.burst), + .m_axi_awlock (dma_axi_wr.addr.lock), + .m_axi_awcache (dma_axi_wr.addr.cache), + .m_axi_awprot (dma_axi_wr.addr.prot), + .m_axi_awqos (dma_axi_wr.addr.qos), + .m_axi_awregion (dma_axi_wr.addr.region), + .m_axi_awuser (dma_axi_wr.addr.user), + .m_axi_awvalid (dma_axi_wr.addr.valid), + .m_axi_awready (dma_axi_wr.addr.ready), + // + // AXI Write data channel. + .m_axi_wdata (dma_axi_wr.data.data), + .m_axi_wstrb (dma_axi_wr.data.strb), + .m_axi_wlast (dma_axi_wr.data.last), + .m_axi_wuser (dma_axi_wr.data.user), + .m_axi_wvalid (dma_axi_wr.data.valid), + .m_axi_wready (dma_axi_wr.data.ready), + // + // AXI Write response channel signals + .m_axi_bid (dma_axi_wr.resp.id), + .m_axi_bresp (dma_axi_wr.resp.resp), + .m_axi_buser (dma_axi_wr.resp.user), + .m_axi_bvalid (dma_axi_wr.resp.valid), + .m_axi_bready (dma_axi_wr.resp.ready), + // + // AXI Read address channel + .m_axi_arid (dma_axi_rd.addr.id), + .m_axi_araddr (dma_axi_rd.addr.addr), + .m_axi_arlen (dma_axi_rd.addr.len), + .m_axi_arsize (dma_axi_rd.addr.size), + .m_axi_arburst (dma_axi_rd.addr.burst), + .m_axi_arlock (dma_axi_rd.addr.lock), + .m_axi_arcache (dma_axi_rd.addr.cache), + .m_axi_arprot (dma_axi_rd.addr.prot), + .m_axi_arqos (dma_axi_rd.addr.qos), + .m_axi_arregion (dma_axi_rd.addr.region), + .m_axi_aruser (dma_axi_rd.addr.user), + .m_axi_arvalid (dma_axi_rd.addr.valid), + .m_axi_arready (dma_axi_rd.addr.ready), + // + // AXI Read data channel + .m_axi_rid (dma_axi_rd.data.id), + .m_axi_rdata (dma_axi_rd.data.data), + .m_axi_rresp (dma_axi_rd.data.resp), + .m_axi_rlast (dma_axi_rd.data.last), + .m_axi_ruser (dma_axi_rd.data.user), + .m_axi_rvalid (dma_axi_rd.data.valid), + .m_axi_rready (dma_axi_rd.data.ready), + // + // CHDR friendly AXI stream input + .i_tdata (i_tdata), + .i_tlast (i_tlast), + .i_tvalid (i_tvalid), + .i_tready (i_tready), + // + // CHDR friendly AXI Stream output + .o_tdata (o_tdata), + .o_tlast (o_tlast), + .o_tvalid (o_tvalid), + .o_tready (o_tready), + // + // Settings + .set_stb (set_stb), + .set_addr (set_addr), + .set_data (set_data), + .rb_data (rb_data), + + .debug() + ); + + //--------------------------------------------------- + // We use an interconnect to connect to FIFOs. + //--------------------------------------------------- + // Attach to third slave just to validate proper ID handling in interconnect + + axi_intercon_4x64_256_bd_wrapper axi_intercon_i ( + // + .S00_AXI_ACLK (dma_engine_clk), // input S01_AXI_ACLK + .S00_AXI_ARESETN (~ddr3_axi_rst), // input S01_AXI_ARESETN + .S00_AXI_AWID (0), // input [0 : 0] S01_AXI_AWID + .S00_AXI_AWADDR (0), // input [31 : 0] S01_AXI_AWADDR + .S00_AXI_AWLEN (0), // input [7 : 0] S01_AXI_AWLEN + .S00_AXI_AWSIZE (0), // input [2 : 0] S01_AXI_AWSIZE + .S00_AXI_AWBURST (0), // input [1 : 0] S01_AXI_AWBURST + .S00_AXI_AWLOCK (0), // input S01_AXI_AWLOCK + .S00_AXI_AWCACHE (0), // input [3 : 0] S01_AXI_AWCACHE + .S00_AXI_AWPROT (0), // input [2 : 0] S01_AXI_AWPROT + .S00_AXI_AWQOS (0), // input [3 : 0] S01_AXI_AWQOS + .S00_AXI_AWVALID (0), // input S01_AXI_AWVALID + .S00_AXI_AWREADY (), // output S01_AXI_AWREADY + .S00_AXI_WDATA (0), // input [63 : 0] S01_AXI_WDATA + .S00_AXI_WSTRB (0), // input [7 : 0] S01_AXI_WSTRB + .S00_AXI_WLAST (0), // input S01_AXI_WLAST + .S00_AXI_WVALID (0), // input S01_AXI_WVALID + .S00_AXI_WREADY (), // output S01_AXI_WREADY + .S00_AXI_BID (), // output [0 : 0] S01_AXI_BID + .S00_AXI_BRESP (), // output [1 : 0] S01_AXI_BRESP + .S00_AXI_BVALID (), // output S01_AXI_BVALID + .S00_AXI_BREADY (1), // input S01_AXI_BREADY + .S00_AXI_ARID (0), // input [0 : 0] S01_AXI_ARID + .S00_AXI_ARADDR (0), // input [31 : 0] S01_AXI_ARADDR + .S00_AXI_ARLEN (0), // input [7 : 0] S01_AXI_ARLEN + .S00_AXI_ARSIZE (0), // input [2 : 0] S01_AXI_ARSIZE + .S00_AXI_ARBURST (0), // input [1 : 0] S01_AXI_ARBURST + .S00_AXI_ARLOCK (0), // input S01_AXI_ARLOCK + .S00_AXI_ARCACHE (0), // input [3 : 0] S01_AXI_ARCACHE + .S00_AXI_ARPROT (0), // input [2 : 0] S01_AXI_ARPROT + .S00_AXI_ARQOS (0), // input [3 : 0] S01_AXI_ARQOS + .S00_AXI_ARVALID (0), // input S01_AXI_ARVALID + .S00_AXI_ARREADY (), // output S01_AXI_ARREADY + .S00_AXI_RID (), // output [0 : 0] S01_AXI_RID + .S00_AXI_RDATA (), // output [63 : 0] S01_AXI_RDATA + .S00_AXI_RRESP (), // output [1 : 0] S01_AXI_RRESP + .S00_AXI_RLAST (), // output S01_AXI_RLAST + .S00_AXI_RVALID (), // output S01_AXI_RVALID + .S00_AXI_RREADY (1), // input S01_AXI_RREADY + // + .S01_AXI_ACLK (dma_engine_clk), // input S01_AXI_ACLK + .S01_AXI_ARESETN (~ddr3_axi_rst), // input S01_AXI_ARESETN + .S01_AXI_AWID (0), // input [0 : 0] S01_AXI_AWID + .S01_AXI_AWADDR (0), // input [31 : 0] S01_AXI_AWADDR + .S01_AXI_AWLEN (0), // input [7 : 0] S01_AXI_AWLEN + .S01_AXI_AWSIZE (0), // input [2 : 0] S01_AXI_AWSIZE + .S01_AXI_AWBURST (0), // input [1 : 0] S01_AXI_AWBURST + .S01_AXI_AWLOCK (0), // input S01_AXI_AWLOCK + .S01_AXI_AWCACHE (0), // input [3 : 0] S01_AXI_AWCACHE + .S01_AXI_AWPROT (0), // input [2 : 0] S01_AXI_AWPROT + .S01_AXI_AWQOS (0), // input [3 : 0] S01_AXI_AWQOS + .S01_AXI_AWVALID (0), // input S01_AXI_AWVALID + .S01_AXI_AWREADY (), // output S01_AXI_AWREADY + .S01_AXI_WDATA (0), // input [63 : 0] S01_AXI_WDATA + .S01_AXI_WSTRB (0), // input [7 : 0] S01_AXI_WSTRB + .S01_AXI_WLAST (0), // input S01_AXI_WLAST + .S01_AXI_WVALID (0), // input S01_AXI_WVALID + .S01_AXI_WREADY (), // output S01_AXI_WREADY + .S01_AXI_BID (), // output [0 : 0] S01_AXI_BID + .S01_AXI_BRESP (), // output [1 : 0] S01_AXI_BRESP + .S01_AXI_BVALID (), // output S01_AXI_BVALID + .S01_AXI_BREADY (1), // input S01_AXI_BREADY + .S01_AXI_ARID (0), // input [0 : 0] S01_AXI_ARID + .S01_AXI_ARADDR (0), // input [31 : 0] S01_AXI_ARADDR + .S01_AXI_ARLEN (0), // input [7 : 0] S01_AXI_ARLEN + .S01_AXI_ARSIZE (0), // input [2 : 0] S01_AXI_ARSIZE + .S01_AXI_ARBURST (0), // input [1 : 0] S01_AXI_ARBURST + .S01_AXI_ARLOCK (0), // input S01_AXI_ARLOCK + .S01_AXI_ARCACHE (0), // input [3 : 0] S01_AXI_ARCACHE + .S01_AXI_ARPROT (0), // input [2 : 0] S01_AXI_ARPROT + .S01_AXI_ARQOS (0), // input [3 : 0] S01_AXI_ARQOS + .S01_AXI_ARVALID (0), // input S01_AXI_ARVALID + .S01_AXI_ARREADY (), // output S01_AXI_ARREADY + .S01_AXI_RID (), // output [0 : 0] S01_AXI_RID + .S01_AXI_RDATA (), // output [63 : 0] S01_AXI_RDATA + .S01_AXI_RRESP (), // output [1 : 0] S01_AXI_RRESP + .S01_AXI_RLAST (), // output S01_AXI_RLAST + .S01_AXI_RVALID (), // output S01_AXI_RVALID + .S01_AXI_RREADY (1), // input S01_AXI_RREADY + // + .S02_AXI_ACLK (dma_engine_clk), // input S01_AXI_ACLK + .S02_AXI_ARESETN (~ddr3_axi_rst), // input S01_AXI_ARESETN + .S02_AXI_AWID (0), // input [0 : 0] S01_AXI_AWID + .S02_AXI_AWADDR (0), // input [31 : 0] S01_AXI_AWADDR + .S02_AXI_AWLEN (0), // input [7 : 0] S01_AXI_AWLEN + .S02_AXI_AWSIZE (0), // input [2 : 0] S01_AXI_AWSIZE + .S02_AXI_AWBURST (0), // input [1 : 0] S01_AXI_AWBURST + .S02_AXI_AWLOCK (0), // input S01_AXI_AWLOCK + .S02_AXI_AWCACHE (0), // input [3 : 0] S01_AXI_AWCACHE + .S02_AXI_AWPROT (0), // input [2 : 0] S01_AXI_AWPROT + .S02_AXI_AWQOS (0), // input [3 : 0] S01_AXI_AWQOS + .S02_AXI_AWVALID (0), // input S01_AXI_AWVALID + .S02_AXI_AWREADY (), // output S01_AXI_AWREADY + .S02_AXI_WDATA (0), // input [63 : 0] S01_AXI_WDATA + .S02_AXI_WSTRB (0), // input [7 : 0] S01_AXI_WSTRB + .S02_AXI_WLAST (0), // input S01_AXI_WLAST + .S02_AXI_WVALID (0), // input S01_AXI_WVALID + .S02_AXI_WREADY (), // output S01_AXI_WREADY + .S02_AXI_BID (), // output [0 : 0] S01_AXI_BID + .S02_AXI_BRESP (), // output [1 : 0] S01_AXI_BRESP + .S02_AXI_BVALID (), // output S01_AXI_BVALID + .S02_AXI_BREADY (1), // input S01_AXI_BREADY + .S02_AXI_ARID (0), // input [0 : 0] S01_AXI_ARID + .S02_AXI_ARADDR (0), // input [31 : 0] S01_AXI_ARADDR + .S02_AXI_ARLEN (0), // input [7 : 0] S01_AXI_ARLEN + .S02_AXI_ARSIZE (0), // input [2 : 0] S01_AXI_ARSIZE + .S02_AXI_ARBURST (0), // input [1 : 0] S01_AXI_ARBURST + .S02_AXI_ARLOCK (0), // input S01_AXI_ARLOCK + .S02_AXI_ARCACHE (0), // input [3 : 0] S01_AXI_ARCACHE + .S02_AXI_ARPROT (0), // input [2 : 0] S01_AXI_ARPROT + .S02_AXI_ARQOS (0), // input [3 : 0] S01_AXI_ARQOS + .S02_AXI_ARVALID (0), // input S01_AXI_ARVALID + .S02_AXI_ARREADY (), // output S01_AXI_ARREADY + .S02_AXI_RID (), // output [0 : 0] S01_AXI_RID + .S02_AXI_RDATA (), // output [63 : 0] S01_AXI_RDATA + .S02_AXI_RRESP (), // output [1 : 0] S01_AXI_RRESP + .S02_AXI_RLAST (), // output S01_AXI_RLAST + .S02_AXI_RVALID (), // output S01_AXI_RVALID + .S02_AXI_RREADY (1), // input S01_AXI_RREADY + // + .S03_AXI_ACLK (dma_engine_clk), // input S00_AXI_ACLK + .S03_AXI_ARESETN (~ddr3_axi_rst), // input S00_AXI_ARESETN + .S03_AXI_AWID (dma_axi_wr.addr.id), // input [0 : 0] S00_AXI_AWID + .S03_AXI_AWADDR (dma_axi_wr.addr.addr), // input [31 : 0] S00_AXI_AWADDR + .S03_AXI_AWLEN (dma_axi_wr.addr.len), // input [7 : 0] S00_AXI_AWLEN + .S03_AXI_AWSIZE (dma_axi_wr.addr.size), // input [2 : 0] S00_AXI_AWSIZE + .S03_AXI_AWBURST (dma_axi_wr.addr.burst), // input [1 : 0] S00_AXI_AWBURST + .S03_AXI_AWLOCK (dma_axi_wr.addr.lock), // input S00_AXI_AWLOCK + .S03_AXI_AWCACHE (dma_axi_wr.addr.cache), // input [3 : 0] S00_AXI_AWCACHE + .S03_AXI_AWPROT (dma_axi_wr.addr.prot), // input [2 : 0] S00_AXI_AWPROT + .S03_AXI_AWQOS (dma_axi_wr.addr.qos), // input [3 : 0] S00_AXI_AWQOS + .S03_AXI_AWVALID (dma_axi_wr.addr.valid), // input S00_AXI_AWVALID + .S03_AXI_AWREADY (dma_axi_wr.addr.ready), // output S00_AXI_AWREADY + .S03_AXI_WDATA (dma_axi_wr.data.data ^ forced_bit_err), // input [63 : 0] S00_AXI_WDATA + .S03_AXI_WSTRB (dma_axi_wr.data.strb), // input [7 : 0] S00_AXI_WSTRB + .S03_AXI_WLAST (dma_axi_wr.data.last), // input S00_AXI_WLAST + .S03_AXI_WVALID (dma_axi_wr.data.valid), // input S00_AXI_WVALID + .S03_AXI_WREADY (dma_axi_wr.data.ready), // output S00_AXI_WREADY + .S03_AXI_BID (dma_axi_wr.resp.id), // output [0 : 0] S00_AXI_BID + .S03_AXI_BRESP (dma_axi_wr.resp.resp), // output [1 : 0] S00_AXI_BRESP + .S03_AXI_BVALID (dma_axi_wr.resp.valid), // output S00_AXI_BVALID + .S03_AXI_BREADY (dma_axi_wr.resp.ready), // input S00_AXI_BREADY + .S03_AXI_ARID (dma_axi_rd.addr.id), // input [0 : 0] S00_AXI_ARID + .S03_AXI_ARADDR (dma_axi_rd.addr.addr), // input [31 : 0] S00_AXI_ARADDR + .S03_AXI_ARLEN (dma_axi_rd.addr.len), // input [7 : 0] S00_AXI_ARLEN + .S03_AXI_ARSIZE (dma_axi_rd.addr.size), // input [2 : 0] S00_AXI_ARSIZE + .S03_AXI_ARBURST (dma_axi_rd.addr.burst), // input [1 : 0] S00_AXI_ARBURST + .S03_AXI_ARLOCK (dma_axi_rd.addr.lock), // input S00_AXI_ARLOCK + .S03_AXI_ARCACHE (dma_axi_rd.addr.cache), // input [3 : 0] S00_AXI_ARCACHE + .S03_AXI_ARPROT (dma_axi_rd.addr.prot), // input [2 : 0] S00_AXI_ARPROT + .S03_AXI_ARQOS (dma_axi_rd.addr.qos), // input [3 : 0] S00_AXI_ARQOS + .S03_AXI_ARVALID (dma_axi_rd.addr.valid), // input S00_AXI_ARVALID + .S03_AXI_ARREADY (dma_axi_rd.addr.ready), // output S00_AXI_ARREADY + .S03_AXI_RID (dma_axi_rd.data.id), // output [0 : 0] S00_AXI_RID + .S03_AXI_RDATA (dma_axi_rd.data.data), // output [63 : 0] S00_AXI_RDATA + .S03_AXI_RRESP (dma_axi_rd.data.resp), // output [1 : 0] S00_AXI_RRESP + .S03_AXI_RLAST (dma_axi_rd.data.last), // output S00_AXI_RLAST + .S03_AXI_RVALID (dma_axi_rd.data.valid), // output S00_AXI_RVALID + .S03_AXI_RREADY (dma_axi_rd.data.ready), // input S00_AXI_RREADY + // + .M00_AXI_ACLK (ddr3_axi_clk), // input M00_AXI_ACLK + .M00_AXI_ARESETN (~ddr3_axi_rst), // input M00_AXI_ARESETN + .M00_AXI_AWID (mig_axi_wr.addr.id), // output [3 : 0] M00_AXI_AWID + .M00_AXI_AWADDR (mig_axi_wr.addr.addr), // output [31 : 0] M00_AXI_AWADDR + .M00_AXI_AWLEN (mig_axi_wr.addr.len), // output [7 : 0] M00_AXI_AWLEN + .M00_AXI_AWSIZE (mig_axi_wr.addr.size), // output [2 : 0] M00_AXI_AWSIZE + .M00_AXI_AWBURST (mig_axi_wr.addr.burst), // output [1 : 0] M00_AXI_AWBURST + .M00_AXI_AWLOCK (mig_axi_wr.addr.lock), // output M00_AXI_AWLOCK + .M00_AXI_AWCACHE (mig_axi_wr.addr.cache), // output [3 : 0] M00_AXI_AWCACHE + .M00_AXI_AWPROT (mig_axi_wr.addr.prot), // output [2 : 0] M00_AXI_AWPROT + .M00_AXI_AWQOS (mig_axi_wr.addr.qos), // output [3 : 0] M00_AXI_AWQOS + .M00_AXI_AWVALID (mig_axi_wr.addr.valid), // output M00_AXI_AWVALID + .M00_AXI_AWREADY (mig_axi_wr.addr.ready), // input M00_AXI_AWREADY + .M00_AXI_WDATA (mig_axi_wr.data.data), // output [127 : 0] M00_AXI_WDATA + .M00_AXI_WSTRB (mig_axi_wr.data.strb), // output [15 : 0] M00_AXI_WSTRB + .M00_AXI_WLAST (mig_axi_wr.data.last), // output M00_AXI_WLAST + .M00_AXI_WVALID (mig_axi_wr.data.valid), // output M00_AXI_WVALID + .M00_AXI_WREADY (mig_axi_wr.data.ready), // input M00_AXI_WREADY + .M00_AXI_BID (mig_axi_wr.resp.id), // input [3 : 0] M00_AXI_BID + .M00_AXI_BRESP (mig_axi_wr.resp.resp), // input [1 : 0] M00_AXI_BRESP + .M00_AXI_BVALID (mig_axi_wr.resp.valid), // input M00_AXI_BVALID + .M00_AXI_BREADY (mig_axi_wr.resp.ready), // output M00_AXI_BREADY + .M00_AXI_ARID (mig_axi_rd.addr.id), // output [3 : 0] M00_AXI_ARID + .M00_AXI_ARADDR (mig_axi_rd.addr.addr), // output [31 : 0] M00_AXI_ARADDR + .M00_AXI_ARLEN (mig_axi_rd.addr.len), // output [7 : 0] M00_AXI_ARLEN + .M00_AXI_ARSIZE (mig_axi_rd.addr.size), // output [2 : 0] M00_AXI_ARSIZE + .M00_AXI_ARBURST (mig_axi_rd.addr.burst), // output [1 : 0] M00_AXI_ARBURST + .M00_AXI_ARLOCK (mig_axi_rd.addr.lock), // output M00_AXI_ARLOCK + .M00_AXI_ARCACHE (mig_axi_rd.addr.cache), // output [3 : 0] M00_AXI_ARCACHE + .M00_AXI_ARPROT (mig_axi_rd.addr.prot), // output [2 : 0] M00_AXI_ARPROT + .M00_AXI_ARQOS (mig_axi_rd.addr.qos), // output [3 : 0] M00_AXI_ARQOS + .M00_AXI_ARVALID (mig_axi_rd.addr.valid), // output M00_AXI_ARVALID + .M00_AXI_ARREADY (mig_axi_rd.addr.ready), // input M00_AXI_ARREADY + .M00_AXI_RID (mig_axi_rd.data.id), // input [3 : 0] M00_AXI_RID + .M00_AXI_RDATA (mig_axi_rd.data.data), // input [127 : 0] M00_AXI_RDATA + .M00_AXI_RRESP (mig_axi_rd.data.resp), // input [1 : 0] M00_AXI_RRESP + .M00_AXI_RLAST (mig_axi_rd.data.last), // input M00_AXI_RLAST + .M00_AXI_RVALID (mig_axi_rd.data.valid), // input M00_AXI_RVALID + .M00_AXI_RREADY (mig_axi_rd.data.ready) // output M00_AXI_RREADY + ); + + //--------------------------------------------------- + // MIG + //--------------------------------------------------- + ddr3_32bit ddr_mig_i ( + // Memory interface ports + .ddr3_addr (ddr3_addr), + .ddr3_ba (ddr3_ba), + .ddr3_cas_n (ddr3_cas_n), + .ddr3_ck_n (ddr3_ck_n), + .ddr3_ck_p (ddr3_ck_p), + .ddr3_cke (ddr3_cke), + .ddr3_ras_n (ddr3_ras_n), + .ddr3_reset_n (ddr3_reset_n), + .ddr3_we_n (ddr3_we_n), + .ddr3_dq (ddr3_dq), + .ddr3_dqs_n (ddr3_dqs_n), + .ddr3_dqs_p (ddr3_dqs_p), + .init_calib_complete (init_calib_complete), + + .ddr3_cs_n (ddr3_cs_n), + .ddr3_dm (ddr3_dm), + .ddr3_odt (ddr3_odt), + // Application interface ports + .ui_clk (ddr3_axi_clk), // 150MHz clock out + .ui_clk_sync_rst (ddr3_axi_rst), // Active high Reset signal synchronised to 150MHz + .aresetn (ddr3_axi_rst_reg_n), + .app_sr_req (1'b0), + .app_sr_active (), + .app_ref_req (1'b0), + .app_ref_ack (), + .app_zq_req (1'b0), + .app_zq_ack (), + + // Slave Interface Write Address Ports + .s_axi_awid (mig_axi_wr.addr.id), + .s_axi_awaddr (mig_axi_wr.addr.addr), + .s_axi_awlen (mig_axi_wr.addr.len), + .s_axi_awsize (mig_axi_wr.addr.size), + .s_axi_awburst (mig_axi_wr.addr.burst), + .s_axi_awlock (mig_axi_wr.addr.lock), + .s_axi_awcache (mig_axi_wr.addr.cache), + .s_axi_awprot (mig_axi_wr.addr.prot), + .s_axi_awqos (mig_axi_wr.addr.qos), + .s_axi_awvalid (mig_axi_wr.addr.valid), + .s_axi_awready (mig_axi_wr.addr.ready), + // Slave Interface Write Data Ports + .s_axi_wdata (mig_axi_wr.data.data), + .s_axi_wstrb (mig_axi_wr.data.strb), + .s_axi_wlast (mig_axi_wr.data.last), + .s_axi_wvalid (mig_axi_wr.data.valid), + .s_axi_wready (mig_axi_wr.data.ready), + // Slave Interface Write Response Ports + .s_axi_bid (mig_axi_wr.resp.id), + .s_axi_bresp (mig_axi_wr.resp.resp), + .s_axi_bvalid (mig_axi_wr.resp.valid), + .s_axi_bready (mig_axi_wr.resp.ready), + // Slave Interface Read Address Ports + .s_axi_arid (mig_axi_rd.addr.id), + .s_axi_araddr (mig_axi_rd.addr.addr), + .s_axi_arlen (mig_axi_rd.addr.len), + .s_axi_arsize (mig_axi_rd.addr.size), + .s_axi_arburst (mig_axi_rd.addr.burst), + .s_axi_arlock (mig_axi_rd.addr.lock), + .s_axi_arcache (mig_axi_rd.addr.cache), + .s_axi_arprot (mig_axi_rd.addr.prot), + .s_axi_arqos (mig_axi_rd.addr.qos), + .s_axi_arvalid (mig_axi_rd.addr.valid), + .s_axi_arready (mig_axi_rd.addr.ready), + // Slave Interface Read Data Ports + .s_axi_rid (mig_axi_rd.data.id), + .s_axi_rdata (mig_axi_rd.data.data), + .s_axi_rresp (mig_axi_rd.data.resp), + .s_axi_rlast (mig_axi_rd.data.last), + .s_axi_rvalid (mig_axi_rd.data.valid), + .s_axi_rready (mig_axi_rd.data.ready), + // System Clock Ports + .sys_clk_p (sys_clk_p), // From external 100MHz source. + .sys_clk_n (sys_clk_n), // From external 100MHz source. + .clk_ref_i (bus_clk), + .sys_rst (sys_rst_n) // IJB. Poorly named active low. Should change RST_ACT_LOW. + ); + + //--------------------------------------------------- + // DDR3 SDRAM Models + //--------------------------------------------------- + ddr3_model #( + .DEBUG(0) //Disable verbose prints + ) sdram_i0 ( + .rst_n (ddr3_reset_n), + .ck (ddr3_ck_p), + .ck_n (ddr3_ck_n), + .cke (ddr3_cke), + .cs_n (ddr3_cs_n), + .ras_n (ddr3_ras_n), + .cas_n (ddr3_cas_n), + .we_n (ddr3_we_n), + .dm_tdqs (ddr3_dm[1:0]), + .ba (ddr3_ba), + .addr (ddr3_addr), + .dq (ddr3_dq[15:0]), + .dqs (ddr3_dqs_p[1:0]), + .dqs_n (ddr3_dqs_n[1:0]), + .tdqs_n (), // Unused on x16 + .odt (ddr3_odt) + ); + + ddr3_model #( + .DEBUG(0) //Disable verbose prints + ) sdram_i1 ( + .rst_n (ddr3_reset_n), + .ck (ddr3_ck_p), + .ck_n (ddr3_ck_n), + .cke (ddr3_cke), + .cs_n (ddr3_cs_n), + .ras_n (ddr3_ras_n), + .cas_n (ddr3_cas_n), + .we_n (ddr3_we_n), + .dm_tdqs (ddr3_dm[3:2]), + .ba (ddr3_ba), + .addr (ddr3_addr), + .dq (ddr3_dq[31:16]), + .dqs (ddr3_dqs_p[3:2]), + .dqs_n (ddr3_dqs_n[3:2]), + .tdqs_n (), // Unused on x16 + .odt (ddr3_odt) + ); + +endmodule diff --git a/fpga/usrp3/top/n3xx/sim/dram_fifo/dram_fifo_tb.sv b/fpga/usrp3/top/n3xx/sim/dram_fifo/dram_fifo_tb.sv new file mode 100644 index 000000000..2979aefb7 --- /dev/null +++ b/fpga/usrp3/top/n3xx/sim/dram_fifo/dram_fifo_tb.sv @@ -0,0 +1,163 @@ +// +// Copyright 2016 Ettus Research +// + + +`timescale 1ns/1ps +`define SIM_TIMEOUT_US 120 +`define NS_PER_TICK 1 +`define NUM_TEST_CASES 7 + +`include "sim_clks_rsts.vh" +`include "sim_exec_report.vh" +`include "sim_cvita_lib.svh" +`include "sim_axi4_lib.svh" +`include "sim_set_rb_lib.svh" + +module dram_fifo_tb(); + `TEST_BENCH_INIT("dram_fifo_tb",`NUM_TEST_CASES,`NS_PER_TICK) + + // Define all clocks and resets + `DEFINE_DIFF_CLK(sys_clk_p, sys_clk_n, 10, 50) //100MHz differential sys_clk to generate DDR3 clocking + `DEFINE_CLK(bus_clk, 1000/200, 50) //200MHz bus_clk + `DEFINE_CLK(dma_engine_clk, 1000.0/305.0, 50) //305MHz dma_engine_clk + `DEFINE_RESET(bus_rst, 0, 100) //100ns for GSR to deassert + `DEFINE_RESET_N(sys_rst_n, 0, 100) //100ns for GSR to deassert + + settings_bus_master #(.SR_AWIDTH(8),.SR_DWIDTH(32)) tst_set (.clk(bus_clk)); + cvita_master chdr_i (.clk(bus_clk)); + cvita_slave chdr_o (.clk(bus_clk)); + + // Initialize DUT + wire calib_complete; + + axis_dram_fifo_single dut_single ( + .bus_clk(bus_clk), + .bus_rst(bus_rst), + .sys_clk_p(sys_clk_p),//use differential clock on N310 + .sys_clk_n(sys_clk_n), + .sys_rst_n(sys_rst_n), + .dma_engine_clk(dma_engine_clk), + + .i_tdata(chdr_i.axis.tdata), + .i_tlast(chdr_i.axis.tlast), + .i_tvalid(chdr_i.axis.tvalid), + .i_tready(chdr_i.axis.tready), + + .o_tdata(chdr_o.axis.tdata), + .o_tlast(chdr_o.axis.tlast), + .o_tvalid(chdr_o.axis.tvalid), + .o_tready(chdr_o.axis.tready), + + .set_stb(tst_set.settings_bus.set_stb), + .set_addr(tst_set.settings_bus.set_addr), + .set_data(tst_set.settings_bus.set_data), + .rb_data(), + + .forced_bit_err(64'h0), + .init_calib_complete(calib_complete) + ); + + //Testbench variables + cvita_hdr_t header; + cvita_pkt_t pkt_out; + integer i; + + //------------------------------------------ + //Main thread for testbench execution + //------------------------------------------ + initial begin : tb_main + string s; + + `TEST_CASE_START("Wait for reset"); + while (bus_rst) @(posedge bus_clk); + while (~sys_rst_n) @(posedge sys_clk_p); + `TEST_CASE_DONE((~bus_rst & sys_rst_n)); + + `TEST_CASE_START("Wait for initial calibration to complete"); + while (calib_complete !== 1'b1) @(posedge bus_clk); + `TEST_CASE_DONE(calib_complete); + + `TEST_CASE_START("Clear FIFO"); + tst_set.write(1, {16'h0, 12'd280, 2'b00, 1'b0, 1'b1}); + repeat (200) @(posedge bus_clk); + tst_set.write(1, {16'h0, 12'd280, 2'b00, 1'b0, 1'b0}); + repeat (200) @(posedge bus_clk); + `TEST_CASE_DONE(1); + + header = '{ + pkt_type:DATA, has_time:0, eob:0, seqnum:12'h666, + length:0, src_sid:$random, dst_sid:$random, timestamp:64'h0}; + + `TEST_CASE_START("Fill up empty FIFO then drain (short packet)"); + chdr_i.push_ramp_pkt(16, 64'd0, 64'h100, header); + chdr_o.pull_pkt(pkt_out); + $sformat(s, "Bad packet: Length mismatch. Expected: %0d, Actual: %0d",16,pkt_out.payload.size()); + `ASSERT_ERROR(pkt_out.payload.size()==16, s); + $sformat(s, "Bad packet: Wrong SID. Expected: %08x, Actual: %08x", + {header.src_sid,header.dst_sid},{pkt_out.hdr.src_sid,pkt_out.hdr.dst_sid}); + `ASSERT_ERROR({header.src_sid,header.dst_sid}=={pkt_out.hdr.src_sid,pkt_out.hdr.dst_sid}, s); + `TEST_CASE_DONE(1); + + `TEST_CASE_START("Fill up empty FIFO then drain (long packet)"); + chdr_i.push_ramp_pkt(1024, 64'd0, 64'h100, header); + chdr_o.pull_pkt(pkt_out); + $sformat(s, "Bad packet: Length mismatch. Expected: %0d, Actual: %0d",1024,pkt_out.payload.size()); + `ASSERT_ERROR(pkt_out.payload.size()==1024, s); + $sformat(s, "Bad packet: Wrong SID. Expected: %08x, Actual: %08x", + {header.src_sid,header.dst_sid},{pkt_out.hdr.src_sid,pkt_out.hdr.dst_sid}); + `ASSERT_ERROR({header.src_sid,header.dst_sid}=={pkt_out.hdr.src_sid,pkt_out.hdr.dst_sid}, s); + `TEST_CASE_DONE(1); + + header = '{ + pkt_type:DATA, has_time:0, eob:0, seqnum:12'h666, + length:0, src_sid:$random, dst_sid:$random, timestamp:64'h0}; + + `TEST_CASE_START("Concurrent read and write (single packet)"); + fork + begin + chdr_i.push_ramp_pkt(20, 64'd0, 64'h100, header); + end + begin + chdr_o.pull_pkt(pkt_out); + end + join + $sformat(s, "Bad packet: Length mismatch. Expected: %0d, Actual: %0d",20,pkt_out.payload.size()); + `ASSERT_ERROR(pkt_out.payload.size()==20, s); + i = 0; + repeat (20) begin + $sformat(s, "Bad packet: Wrong payload. Index: %d, Expected: %08x, Actual: %08x", + i,(i * 64'h100),pkt_out.payload[i]); + `ASSERT_ERROR(pkt_out.payload[i]==(i * 64'h100), s); + end + `TEST_CASE_DONE(1); + + `TEST_CASE_START("Concurrent read and write (multiple packets)"); + fork + begin + repeat (10) begin + chdr_i.push_ramp_pkt(20, 64'd0, 64'h100, header); + repeat (30) @(posedge bus_clk); + end + end + begin + repeat (10) begin + chdr_o.pull_pkt(pkt_out); + $sformat(s, "Bad packet: Length mismatch. Expected: %0d, Actual: %0d",20,pkt_out.payload.size()); + `ASSERT_ERROR(pkt_out.payload.size()==20, s); + i = 0; + repeat (20) begin + $sformat(s, "Bad packet: Wrong payload. Index: %d, Expected: %08x, Actual: %08x", + i,(i * 64'h100),pkt_out.payload[i]); + `ASSERT_ERROR(pkt_out.payload[i]==(i * 64'h100), s); + end + end + end + join + `TEST_CASE_DONE(1); + + `TEST_BENCH_DONE; + + end + +endmodule diff --git a/fpga/usrp3/top/n3xx/sim/dram_fifo_bist/Makefile b/fpga/usrp3/top/n3xx/sim/dram_fifo_bist/Makefile new file mode 100644 index 000000000..49e673dc4 --- /dev/null +++ b/fpga/usrp3/top/n3xx/sim/dram_fifo_bist/Makefile @@ -0,0 +1,70 @@ +# +# Copyright 2015 Ettus Research LLC +# + +#------------------------------------------------- +# Top-of-Makefile +#------------------------------------------------- +# Define BASE_DIR to point to the "top" dir +BASE_DIR = $(abspath ../../..) +# Include viv_sim_preample after defining BASE_DIR +include $(BASE_DIR)/../tools/make/viv_sim_preamble.mak + +#------------------------------------------------- +# Design Specific +#------------------------------------------------- +# Define part using PART_ID (//) +ARCH = zynq +PART_ID = xc7z100/ffg900/-2 + +# Include makefiles and sources for the DUT and its dependencies +include $(BASE_DIR)/../lib/fifo/Makefile.srcs +include $(BASE_DIR)/../lib/axi/Makefile.srcs +include $(BASE_DIR)/../lib/control/Makefile.srcs + +DESIGN_SRCS = $(abspath \ +$(FIFO_SRCS) \ +$(AXI_SRCS) \ +$(CONTROL_LIB_SRCS) \ +) + +#------------------------------------------------- +# IP Specific +#------------------------------------------------- +# If simulation contains IP, define the IP_DIR and point +# it to the base level IP directory +IP_DIR = ../../ip + +# Include makefiles and sources for all IP components +# *after* defining the IP_DIR +include $(IP_DIR)/ddr3_32bit/Makefile.inc +include $(IP_DIR)/axi_intercon_4x64_256_bd/Makefile.inc +include $(IP_DIR)/fifo_short_2clk/Makefile.inc +include $(IP_DIR)/fifo_4k_2clk/Makefile.inc + +DESIGN_SRCS += $(abspath \ +$(IP_DDR3_32BIT_SRCS) \ +$(IP_AXI_INTERCON_4X64_256_SRCS) \ +$(IP_AXI_INTERCON_4X64_256_BD_SRCS) \ +$(IP_FIFO_4K_2CLK_SRCS) \ +$(IP_FIFO_SHORT_2CLK_SRCS) \ +) + +#------------------------------------------------- +# Testbench Specific +#------------------------------------------------- +# Define only one toplevel module +SIM_TOP = dram_fifo_bist_tb + +SIM_SRCS = \ +$(abspath dram_fifo_bist_tb.sv) \ +$(abspath ../dram_fifo/axis_dram_fifo_single.sv) \ +$(IP_DDR3_32BIT_SIM_OUTS) + +#------------------------------------------------- +# Bottom-of-Makefile +#------------------------------------------------- +# Include all simulator specific makefiles here +# Each should define a unique target to simulate +# e.g. xsim, vsim, etc and a common "clean" target +include $(BASE_DIR)/../tools/make/viv_simulator.mak diff --git a/fpga/usrp3/top/n3xx/sim/dram_fifo_bist/dram_fifo_bist_tb.sv b/fpga/usrp3/top/n3xx/sim/dram_fifo_bist/dram_fifo_bist_tb.sv new file mode 100644 index 000000000..96a60de6e --- /dev/null +++ b/fpga/usrp3/top/n3xx/sim/dram_fifo_bist/dram_fifo_bist_tb.sv @@ -0,0 +1,348 @@ +// +// Copyright 2015 Ettus Research LLC +// + + +`timescale 1ns/1ps +`define SIM_RUNTIME_US 3000 +`define NS_PER_TICK 1 +`define NUM_TEST_CASES 8 + +`include "sim_clks_rsts.vh" +`include "sim_exec_report.vh" +`include "sim_cvita_lib.svh" +`include "sim_axi4_lib.svh" +`include "sim_set_rb_lib.svh" + +module dram_fifo_bist_tb(); + `TEST_BENCH_INIT("dram_fifo_bist_tb",`NUM_TEST_CASES,`NS_PER_TICK) + + // Define all clocks and resets + `DEFINE_DIFF_CLK(sys_clk_p, sys_clk_n, 10, 50) //100MHz differential sys_clk to generate DDR3 clocking + `DEFINE_CLK(bus_clk, 1000/200, 50) //200MHz bus_clk + `DEFINE_CLK(dma_engine_clk, 1000/300, 50) //300MHz dma_engine_clk + `DEFINE_RESET(bus_rst, 0, 100) //100ns for GSR to deassert + `DEFINE_RESET_N(sys_rst_n, 0, 100) //100ns for GSR to deassert + + localparam EXTENDED_TEST = 0; + + // Initialize DUT + wire calib_complete; + wire running, done; + wire [1:0] error; + wire [31:0] rb_data; + reg [63:0] forced_bit_err; + + settings_bus_master #(.SR_AWIDTH(8),.SR_DWIDTH(32)) tst_set (.clk(bus_clk)); + cvita_master cvita_fifo_in (.clk(bus_clk)); + cvita_slave cvita_fifo_out (.clk(bus_clk)); + + // AXI DRAM FIFO Topology (Inline production BIST for DRAM FIFO): + // + // User Data ====> |---------| |---------------| |-----------| ====> User Data Out + // | AXI MUX | ====> | AXI DRAM FIFO | ====> | AXI DEMUX | + // BIST Data ====> |---------| |---------------| |-----------| ====> BIST Data Out + // || + // |--------------| + // | MIG (D/S)RAM | + // |--------------| + + localparam SR_FIFO_BASE = 0; + localparam SR_BIST_BASE = SR_FIFO_BASE + 4; + + axis_dram_fifo_single dut_single ( + .bus_clk(bus_clk), + .bus_rst(bus_rst), + .sys_clk_p(sys_clk_p),//use differential clock on N310 + .sys_clk_n(sys_clk_n), + .sys_rst_n(sys_rst_n), + .dma_engine_clk(dma_engine_clk), + + .i_tdata(cvita_fifo_in.axis.tdata), + .i_tlast(cvita_fifo_in.axis.tlast), + .i_tvalid(cvita_fifo_in.axis.tvalid), + .i_tready(cvita_fifo_in.axis.tready), + + .o_tdata(cvita_fifo_out.axis.tdata), + .o_tlast(cvita_fifo_out.axis.tlast), + .o_tvalid(cvita_fifo_out.axis.tvalid), + .o_tready(cvita_fifo_out.axis.tready), + + .set_stb(tst_set.settings_bus.set_stb), + .set_addr(tst_set.settings_bus.set_addr), + .set_data(tst_set.settings_bus.set_data), + .rb_data(rb_data), + + .forced_bit_err(forced_bit_err), + .init_calib_complete(calib_complete) + ); + + assign {error, done, running} = rb_data[3:0]; + + //Testbench variables + cvita_hdr_t header; + cvita_pkt_t pkt_out; + integer i; + integer single_run_time; + integer xfer_cnt, cyc_cnt; + + //------------------------------------------ + //Main thread for testbench execution + //------------------------------------------ + initial begin : tb_main + string s; + + `TEST_CASE_START("Wait for reset"); + while (bus_rst) @(posedge bus_clk); + while (~sys_rst_n) @(posedge sys_clk_p); + `TEST_CASE_DONE(~bus_rst & sys_rst_n); + + forced_bit_err <= 64'h0; + repeat (200) @(posedge sys_clk_p); + + `TEST_CASE_START("Wait for initial calibration to complete"); + while (calib_complete !== 1'b1) @(posedge bus_clk); + `TEST_CASE_DONE(calib_complete); + + `TEST_CASE_START("Clear FIFO"); + tst_set.write(SR_FIFO_BASE + 1, {16'h0, 12'd280, 2'b00, 1'b0, 1'b1}); + repeat (200) @(posedge bus_clk); + tst_set.write(SR_FIFO_BASE + 1, {16'h0, 12'd280, 2'b00, 1'b0, 1'b0}); + repeat (200) @(posedge bus_clk); + `TEST_CASE_DONE(1); + + //Select BIST status as the readback output + tst_set.write(SR_FIFO_BASE + 0, 3'd1); + + header = '{ + pkt_type:DATA, has_time:0, eob:0, seqnum:12'h666, + length:0, src_sid:$random, dst_sid:$random, timestamp:64'h0}; + + `TEST_CASE_START("Fill up empty FIFO then drain (long packet)"); + cvita_fifo_in.push_ramp_pkt(100, 64'd0, 64'h100, header); + cvita_fifo_out.pull_pkt(pkt_out); + $sformat(s, "Bad packet: Length mismatch. Expected: %0d, Actual: %0d",100,pkt_out.payload.size()); + `ASSERT_ERROR(pkt_out.payload.size()==100, s); + $sformat(s, "Bad packet: Wrong SID. Expected: %08x, Actual: %08x", + {header.src_sid,header.dst_sid},{pkt_out.hdr.src_sid,pkt_out.hdr.dst_sid}); + `ASSERT_ERROR({header.src_sid,header.dst_sid}=={pkt_out.hdr.src_sid,pkt_out.hdr.dst_sid}, s); + i = 0; + repeat (100) begin + $sformat(s, "Bad packet: Wrong payload. Index: %d, Expected: %08x, Actual: %08x", + i,(i * 64'h100),pkt_out.payload[i]); + `ASSERT_ERROR(pkt_out.payload[i]==(i * 64'h100), s); + end + `TEST_CASE_DONE(1); + + `TEST_CASE_START("Setup BIST: 10 x 40byte packets"); + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + tst_set.write(SR_BIST_BASE + 3, 32'h01234567); + tst_set.write(SR_BIST_BASE + 2, {8'd0, 16'd0}); + tst_set.write(SR_BIST_BASE + 1, {1'b0, 13'd40, 18'd10}); + `TEST_CASE_DONE(~done & ~running); + + `TEST_CASE_START("Run BIST"); + tst_set.write(SR_BIST_BASE + 0, {2'd3, 2'd0, 1'b0, 1'b1}); + while (~done) @(posedge bus_clk); + `ASSERT_ERROR(error==2'b00, "BIST failed!"); + @(posedge bus_clk); + `TEST_CASE_DONE(done & ~running); + + `TEST_CASE_START("Run BIST ... again (should fail)"); + forced_bit_err <= 64'h8000000000000000; + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b1}); + while (~done) @(posedge bus_clk); + `ASSERT_ERROR(error==2'b01, "BIST passed when it should have failed!"); + forced_bit_err <= 64'h0; + @(posedge bus_clk); + `TEST_CASE_DONE(done & ~running); + + `TEST_CASE_START("Run BIST ... and again (should pass)"); + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + tst_set.write(SR_BIST_BASE + 0, {2'd2, 2'd0, 1'b0, 1'b1}); + while (~done) @(posedge bus_clk); + `ASSERT_ERROR(error==2'b00, "BIST failed!"); + @(posedge bus_clk); + `TEST_CASE_DONE(done & ~running); + + if (EXTENDED_TEST) begin // Extended test mode + `TEST_CASE_START("Setup BIST: 8000 x 40byte ramping packets"); + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + tst_set.write(SR_BIST_BASE + 3, 32'h01234567); + tst_set.write(SR_BIST_BASE + 2, {8'd0, 16'd0}); + tst_set.write(SR_BIST_BASE + 1, {1'b1, 13'd40, 18'd8000}); + `TEST_CASE_DONE(~done & ~running); + + `TEST_CASE_START("Run BIST"); + tst_set.write(SR_BIST_BASE + 0, {2'd3, 2'd0, 1'b0, 1'b1}); + while (~done) @(posedge bus_clk); + `ASSERT_ERROR(error==2'b00, "BIST failed!"); + @(posedge bus_clk); + `TEST_CASE_DONE(done & ~running); + + `TEST_CASE_START("Setup BIST: 256 x 1000byte packets"); + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + tst_set.write(SR_BIST_BASE + 3, 32'h0ABCDEF0); + tst_set.write(SR_BIST_BASE + 2, {8'd4, 16'd256}); + tst_set.write(SR_BIST_BASE + 1, {1'b0, 13'd1000, 18'd256}); + `TEST_CASE_DONE(~done & ~running); + + `TEST_CASE_START("Run BIST"); + tst_set.write(SR_BIST_BASE + 0, {2'd1, 2'd0, 1'b0, 1'b1}); + while (~done) @(negedge bus_clk); + `ASSERT_ERROR(error==2'b00, "BIST failed!"); + @(posedge bus_clk); + `TEST_CASE_DONE(done & ~running); + + `TEST_CASE_START("User Data: Concurrent read and write"); + cvita_fifo_out.axis.tready = 1; + fork + begin + cvita_fifo_in.push_ramp_pkt(20, 64'd0, 64'h100, header); + end + begin + cvita_fifo_out.pull_pkt(pkt_out); + end + join + $sformat(s, "Bad packet: Length mismatch. Expected: %0d, Actual: %0d",20,pkt_out.payload.size()); + `ASSERT_ERROR(pkt_out.payload.size()==20, s); + `TEST_CASE_DONE(1); + + `TEST_CASE_START("Setup BIST: 256 x 600byte ramping packets"); + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + tst_set.write(SR_BIST_BASE + 3, 32'h01234567); + tst_set.write(SR_BIST_BASE + 2, {8'd0, 16'd0}); + tst_set.write(SR_BIST_BASE + 1, {1'b1, 13'd600, 18'd256}); + `TEST_CASE_DONE(~done & ~running); + + `TEST_CASE_START("Run BIST"); + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b1}); + while (~done) @(posedge bus_clk); + `ASSERT_ERROR(error==2'b00, "BIST failed!"); + @(posedge bus_clk); + `TEST_CASE_DONE(done & ~running); + + `TEST_CASE_START("Setup BIST: 30 x 8000byte packets"); + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + tst_set.write(SR_BIST_BASE + 3, 32'h0ABCDEF0); + tst_set.write(SR_BIST_BASE + 2, {8'd0, 16'd0}); + tst_set.write(SR_BIST_BASE + 1, {1'b0, 13'd8000, 18'd30}); + `TEST_CASE_DONE(~done & ~running); + + `TEST_CASE_START("Run BIST"); + tst_set.write(SR_BIST_BASE + 0, {2'd1, 2'd0, 1'b0, 1'b1}); + while (~done) @(negedge bus_clk); + `ASSERT_ERROR(error==2'b00, "BIST failed!"); + @(posedge bus_clk); + `TEST_CASE_DONE(done & ~running); + + `TEST_CASE_START("Setup BIST: 100 x 8000byte ramping packets"); + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + tst_set.write(SR_BIST_BASE + 3, 32'h0ABCDEF0); + tst_set.write(SR_BIST_BASE + 2, {8'd0, 16'd0}); + tst_set.write(SR_BIST_BASE + 1, {1'b1, 13'd8000, 18'd100}); + `TEST_CASE_DONE(~done & ~running); + + `TEST_CASE_START("Run BIST"); + tst_set.write(SR_BIST_BASE + 0, {2'd1, 2'd0, 1'b0, 1'b1}); + while (~done) @(negedge bus_clk); + `ASSERT_ERROR(error==2'b00, "BIST failed!"); + @(posedge bus_clk); + `TEST_CASE_DONE(done & ~running); + + `TEST_CASE_START("Validate Throughput"); + tst_set.write(SR_FIFO_BASE + 0, 3'd2); + xfer_cnt = rb_data; + tst_set.write(SR_FIFO_BASE + 0, 3'd3); + cyc_cnt = rb_data; + `ASSERT_ERROR(xfer_cnt>0, "Transfer count was not >0"); + `ASSERT_ERROR(cyc_cnt>0, "Cycle count was not >0"); + $display("Measured Throughput = %0d%% of bus_clk throughput", ((xfer_cnt*100)/cyc_cnt)); + `ASSERT_ERROR(((xfer_cnt*100)/cyc_cnt)>80, "Throughput was less than 80%%"); + tst_set.write(SR_FIFO_BASE + 0, 3'd1); //Restore + `TEST_CASE_DONE(done & ~running); + + `TEST_CASE_START("Setup BIST: 10 x 256byte packets"); + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + tst_set.write(SR_BIST_BASE + 3, 32'hFFFFFFFF); + tst_set.write(SR_BIST_BASE + 2, {8'd0, 16'd0}); + tst_set.write(SR_BIST_BASE + 1, {1'b0, 13'd256, 18'd30}); + `TEST_CASE_DONE(~done & ~running); + + fork + begin + integer curr_time = $time; + `TEST_CASE_START("Run BIST Continuous (Early interrupt)"); + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + tst_set.write(SR_BIST_BASE + 0, {2'd2, 2'd0, 1'b1, 1'b1}); + while (~done) @(negedge bus_clk); + `ASSERT_ERROR(error==2'b00, "BIST failed!"); + @(posedge bus_clk); + single_run_time = $time - curr_time; + `TEST_CASE_DONE(done & ~running); + end + begin + //Wait then clear + #2000; + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + end + join + + fork + begin + `TEST_CASE_START("Run BIST Continuous (Force error)"); + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + tst_set.write(SR_BIST_BASE + 0, {2'd2, 2'd0, 1'b1, 1'b1}); + while (~done) @(negedge bus_clk); + `ASSERT_ERROR(error==2'b01, "BIST passed when it should have failed!"); + @(posedge bus_clk); + `TEST_CASE_DONE(done & ~running); + end + begin + //Wait then force error + #10000; + forced_bit_err <= 64'h1; + end + join + //Recover from failure + forced_bit_err <= 64'h0; + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + repeat (2000) @(posedge bus_clk); + + fork + begin + integer curr_time = $time; + `TEST_CASE_START("Run BIST Continuous"); + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + tst_set.write(SR_BIST_BASE + 0, {2'd2, 2'd0, 1'b1, 1'b1}); + while (~done) @(negedge bus_clk); + `ASSERT_ERROR(error==2'b00, "BIST failed!"); + @(posedge bus_clk); + `ASSERT_ERROR((($time - curr_time) > 2 * single_run_time), "Continuous test most likely stopped early!"); + `TEST_CASE_DONE(done & ~running); + end + begin + //Wait then clear + #100000; + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + end + join + + `TEST_CASE_START("Validate Throughput"); + tst_set.write(SR_FIFO_BASE + 0, 3'd2); + xfer_cnt = rb_data; + tst_set.write(SR_FIFO_BASE + 0, 3'd3); + cyc_cnt = rb_data; + `ASSERT_ERROR(xfer_cnt>0, "Transfer count was not >0"); + `ASSERT_ERROR(cyc_cnt>0, "Cycle count was not >0"); + $display("Measured Throughput = %0d%% of bus_clk throughput", ((xfer_cnt*100)/cyc_cnt)); + `ASSERT_ERROR(((xfer_cnt*100)/cyc_cnt)>80, "Throughput was less than 80%%"); + tst_set.write(SR_FIFO_BASE + 0, 3'd1); //Restore + `TEST_CASE_DONE(done & ~running); + end + + `TEST_BENCH_DONE; + + end +endmodule diff --git a/fpga/usrp3/top/n3xx/sim/one_gig_eth_loopback/Makefile b/fpga/usrp3/top/n3xx/sim/one_gig_eth_loopback/Makefile new file mode 100644 index 000000000..77c7c58c5 --- /dev/null +++ b/fpga/usrp3/top/n3xx/sim/one_gig_eth_loopback/Makefile @@ -0,0 +1,78 @@ +# +# Copyright 2015 Ettus Research LLC +# + +#------------------------------------------------- +# Top-of-Makefile +#------------------------------------------------- +# Define BASE_DIR to point to the "top" dir +BASE_DIR = $(abspath ../../..) +# Include viv_sim_preample after defining BASE_DIR +include $(BASE_DIR)/../tools/make/viv_sim_preamble.mak + +#------------------------------------------------- +# Design Specific +#------------------------------------------------- +# Define part using PART_ID (//) +ARCH = zynq +PART_ID = xc7z100/ffg900/-2 + +# Include makefiles and sources for the DUT and its dependencies +include $(BASE_DIR)/../lib/simple_gemac/Makefile.srcs +include $(BASE_DIR)/../lib/fifo/Makefile.srcs +include $(BASE_DIR)/../lib/axi/Makefile.srcs +include $(BASE_DIR)/../lib/control/Makefile.srcs + +DESIGN_SRCS = $(abspath \ +$(FIFO_SRCS) \ +$(AXI_SRCS) \ +$(CONTROL_LIB_SRCS) \ +$(SIMPLE_GEMAC_SRCS) \ +) + +#------------------------------------------------- +# IP Specific +#------------------------------------------------- +# If simulation contains IP, define the IP_DIR and point +# it to the base level IP directory +IP_DIR = ../../ip + +# Include makefiles and sources for all IP components +# *after* defining the IP_DIR +include $(IP_DIR)/one_gig_eth_pcs_pma/Makefile.inc +#include $(IP_DIR)/fifo_short_2clk/Makefile.inc +include $(IP_DIR)/axi64_8k_2clk_fifo/Makefile.inc + +DESIGN_SRCS += $(abspath \ +$(IP_ONE_GIG_ETH_PCS_PMA_SRCS) \ +$(IP_ONE_GIGE_PHY_XCI_SRCS) \ +$(ONE_GIGE_PHY_SRCS) \ +$(IP_AXI64_8K_2CLK_FIFO_SRCS) \ +$(IP_FIFO_SHORT_2CLK_SRCS) \ +) + +#------------------------------------------------- +# Testbench Specific +#------------------------------------------------- +include $(BASE_DIR)/../sim/general/Makefile.srcs +include $(BASE_DIR)/../sim/control/Makefile.srcs +include $(BASE_DIR)/../sim/axi/Makefile.srcs + +# Define only one toplevel module +SIM_TOP = one_gig_eth_loopback_tb +# Simulation runtime in microseconds +SIM_RUNTIME_US = 30000 + +SIM_SRCS = \ +$(abspath one_gig_eth_loopback_tb.sv) \ +$(SIM_GENERAL_SRCS) \ +$(SIM_CONTROL_SRCS) \ +$(SIM_AXI_SRCS) + +#------------------------------------------------- +# Bottom-of-Makefile +#------------------------------------------------- +# Include all simulator specific makefiles here +# Each should define a unique target to simulate +# e.g. xsim, vsim, etc and a common "clean" target +include $(BASE_DIR)/../tools/make/viv_simulator.mak diff --git a/fpga/usrp3/top/n3xx/sim/one_gig_eth_loopback/demo_one_gig_pcs_pma_mdio.v b/fpga/usrp3/top/n3xx/sim/one_gig_eth_loopback/demo_one_gig_pcs_pma_mdio.v new file mode 100644 index 000000000..cd24e14a8 --- /dev/null +++ b/fpga/usrp3/top/n3xx/sim/one_gig_eth_loopback/demo_one_gig_pcs_pma_mdio.v @@ -0,0 +1,489 @@ +//------------------------------------------------------------------------------ +// File : demo_tb.v +// Author : Xilinx Inc. +//------------------------------------------------------------------------------ +// (c) Copyright 2009 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +// +//------------------------------------------------------------------------------ +// Description: This testbench will exercise the ports of the Ethernet +// 1000BASE-X PCS/PMA core's example design to perform the following +// operations: +// +//---------------- +// Configuration +//---------------- +// The core will be reset, then Auto-Negotiation (if present) will +// be disabled and and the core will be taken out of the Isolate +// state. +// +//---------------- +// Transmitter +//---------------- +// Four frames are generated by the Tx Stimulus and pushed into the +// GMII transmitter. +// +// The PHY side transmitter interface data is captured, 8B10B decoded +// and the Tx Monitor checks that the captured data matches that +// injected. +// +//---------------- +// Receiver +//---------------- +// Four frames are generated by the Rx Stimulus, 8B10B encoded and +// pushed into the PHY side receiver interface. +// +// The GMII side receiver interface data is captured and the +// Rx Monitor checks that the captured data matches that injected. +// +// +//---------------------------------------------------------------------- +// Demonstration Test Fixture | +// | +// | +// -------------------------- | +// | Example Design | | +// | (DUT) | | +// | | | +// | | | +// | | | +// Tx | | 8B10B decode, Tx | +// Generate -------> --------> Monitor | +// Frames | | Frames | +// |GMII PHY | | +// | I/F I/F | | +// | | | +// | | | +// | | | +// Rx | | 8B10B encode, Rx | +// Monitor <-------- <-------- Generate | +// Frames | | Frames | +// | | | +// ------------^------------- | +// | | +// | | +// Stimulate | +// MDIO I/F | +// (if present) | +// | +//---------------------------------------------------------------------- + +`timescale 1 ps/1 ps + + +// To use this testbench copy it over the demo_tb.v in the example testbench +// provided by xilinx + +// This module is a modified version of the demonstration testbench provided +// by Xilinx. It has the mdio_master along with the one_gig dut. +// It uses the configuration vector to control the phy +// Can be used to debug mdio_master +module demo_tb; + + + //---------------------------------------------------------------------------- + // Stimulus - Management Frame data + //---------------------------------------------------------------------------- + // Create management frame + reg [0:63] mdio_data; + + initial + begin + + mdio_data[0:31] = 32'hffffffff; // preamble field + mdio_data[32:33] = 2'h1; // start opcode + mdio_data[34:35] = 2'h1; // write opcode + mdio_data[36:40] = 5'd1; // phyad (write to this device) + mdio_data[41:45] = 5'h0; // regad (write to Configuration Register) + mdio_data[46:47] = 2'h2; // Turn-around cycles + + // DATA FIELD + + mdio_data[48] = 1'b0; // Do not assert Reset + mdio_data[49] = 1'b0; // No loopback + mdio_data[50] = 1'b0; // Speed selection + mdio_data[51] = 1'b0; // Disable Auto-Negotiation + mdio_data[52] = 1'b0; // Disable Power Down + mdio_data[53] = 1'b0; // Disable Isolate GMII + mdio_data[54] = 1'b0; // Disable Auto-Negotiation Restart + mdio_data[55] = 1'b1; // Full Duplex Mode + mdio_data[56] = 1'b0; // Disable Collision Test + mdio_data[57] = 1'b0; // Speed selection + mdio_data[58:63] = 6'h0; // Reserved + + end + + + + //---------------------------------------------------------------------------- + // testbench signals + //---------------------------------------------------------------------------- + + // testbench control semaphores + reg configuration_finished; + wire tx_monitor_finished; + wire rx_monitor_finished; + wire simulation_finished; + + + //---------------------------------------------------------------------------- + // DUT signals + //---------------------------------------------------------------------------- + + // An independent clock source used as the reference clock for an + // IDELAYCTRL (if present) and for the main GT transceiver reset logic. + // This example design assumes that this is of frequency 200MHz. + reg independent_clock; + + // System Reset + reg reset; + + // Transceiver Interface + //---------------------- + reg gtrefclk_p; + reg gtrefclk_n; + wire rxuserclk2; + wire txp; + wire txn; + wire rxp; + wire rxn; + + // GMII Interface + //--------------- + wire gmii_tx_clk; + wire gmii_rx_clk; + wire [7:0] gmii_txd; + wire gmii_tx_en; + wire gmii_tx_er; + wire [7:0] gmii_rxd; + wire gmii_rx_dv; + wire gmii_rx_er; + + // Management: MDIO Interface + //--------------------------- + wire mdc; + wire mdio_i; + wire mdio_o; + wire mdio_t; + reg [4:0] configuration_vector; + reg configuration_valid; + wire signal_detect; + wire [15:0] status_vector; + + parameter [13:0] REG_BASE = 14'h0; + parameter REG_DWIDTH = 32; + parameter REG_AWIDTH = 14; + + reg reg_wr_req; + reg [REG_AWIDTH-1:0] reg_wr_addr; + reg [31:0] reg_wr_data; + reg reg_rd_req; + reg [REG_AWIDTH-1:0] reg_rd_addr; + wire reg_rd_resp; + wire [31:0] reg_rd_data; + + //---------------------------------------------------------------------------- + // Create clock sources + //---------------------------------------------------------------------------- + + // An independent clock source used as the reference clock for an + // IDELAYCTRL (if present) and for the main GT transceiver reset logic. + // This testbench uses the frequency of 200MHz. + initial + begin + independent_clock <= 1'b0; + forever + begin + independent_clock <= 1'b0; + #2500; + independent_clock <= 1'b1; + #2500; + end + end + + + + // Create the transceiver Reference clock (125 MHz) + initial + begin + gtrefclk_p <= 1'b0; + gtrefclk_n <= 1'b1; + forever + begin + gtrefclk_p <= 1'b0; + gtrefclk_n <= 1'b1; + #4000; + gtrefclk_p <= 1'b1; + gtrefclk_n <= 1'b0; + #4000; + end + end + + // MDIO Master + mdio_master #( + .REG_BASE (REG_BASE + 32'h10), + .REG_AWIDTH (REG_AWIDTH), + .MDC_DIVIDER (8'd200) + ) mdio_master_i ( + .clk (independent_clock), + .rst (reset), + .mdc (mdc), + .mdio_in (mdio_o), + .mdio_out (mdio_i), + .mdio_tri (mdio_t), + .reg_wr_req (reg_wr_req), + .reg_wr_addr(reg_wr_addr), + .reg_wr_data(reg_wr_data), + .reg_rd_req (reg_rd_req), + .reg_rd_addr(reg_rd_addr), + .reg_rd_data(reg_rd_data), + .reg_rd_resp(reg_rd_resp) + ); + + //---------------------------------------------------------------------------- + // Wire up Device Under Test + //---------------------------------------------------------------------------- + one_gig_eth_pcs_pma_example_design dut + ( + .independent_clock (independent_clock), + .gtrefclk_p (gtrefclk_p), + .gtrefclk_n (gtrefclk_n), + .rxuserclk2 (rxuserclk2), + .txp (txp), + .txn (txn), + .rxp (rxp), + .rxn (rxn), + .gmii_tx_clk (gmii_tx_clk), + .gmii_rx_clk (gmii_rx_clk), + .gmii_txd (gmii_txd), + .gmii_tx_en (gmii_tx_en), + .gmii_tx_er (gmii_tx_er), + .gmii_rxd (gmii_rxd), + .gmii_rx_dv (gmii_rx_dv), + .gmii_rx_er (gmii_rx_er), + .mdc (mdc), + .mdio_i (mdio_i), + .mdio_o (mdio_o), + .mdio_t (mdio_t), + .configuration_vector (configuration_vector), + .configuration_valid (configuration_valid), + .status_vector (status_vector), + .reset (reset), + .signal_detect (signal_detect) + ); + + + + //---------------------------------------------------------------------------- + // Instantiate a Stimulus module for the core + //---------------------------------------------------------------------------- + stimulus_tb stimulus + ( + .txp (txp), + .txn (txn), + .rxp (rxp), + .rxn (rxn), + + .gmii_tx_clk (gmii_tx_clk), + .gmii_rx_clk (gmii_rx_clk), + .gmii_txd (gmii_txd), + .gmii_tx_en (gmii_tx_en), + .gmii_tx_er (gmii_tx_er), + .gmii_rxd (gmii_rxd), + .gmii_rx_dv (gmii_rx_dv), + .gmii_rx_er (gmii_rx_er), + + .configuration_finished (configuration_finished), + .tx_monitor_finished (tx_monitor_finished), + .rx_monitor_finished (rx_monitor_finished) + ); + + + + //---------------------------------------------------------------------------- + // Simulate that PMD sublayer has detected and optical input. + //---------------------------------------------------------------------------- + assign signal_detect = 1'b1; + + + + + //---------------------------------------------------------------------------- + // Set the PHYAD for the core + //---------------------------------------------------------------------------- + + + //---------------------------------------------------------------------------- + // Configuration process. This process will reset the core, then write + // to configuration register 0 to turn off autonegotiation and take + // the core out of the isolate state. + //---------------------------------------------------------------------------- + + // drives MDC at 2.5 MHz + //initial + //begin + // mdc <= 1'b0; + // forever + // begin + // mdc <= 1'b0; + // #200000; + // mdc <= 1'b1; + // #200000; + // end + //end + + + // Main configuration process + initial + begin : p_configuration + integer MDIO_BIT; // Bit counter within MDIO frame + + $display("** Note: Timing checks are not valid"); + + configuration_finished <= 0; + configuration_vector <= 5'b00000; + // Not doing any MDIO write, so configure the Register 0 using + // Configuration Vector + configuration_valid <= 1'b1; + //mdio_i <= 1'b1; + + // reset the core + $display("Resetting core..."); + reset <= 1'b1; + #1000000 + @(posedge gtrefclk_p) + reset <= 1'b0; + // wait for core to obtain synchronisation + + #2000000000 + #1000000000 + + #250000000 + + // Write to PCS Management configuration register 0. + $display("Writing to Control Register in PCS sublayer...."); + + // Write REG_MDIO_OP to Read MDIO Phy Addr 1, Reg Addr 1 + @(posedge independent_clock) + reg_wr_addr <= 14'h18; + reg_wr_data <= 16'h822; + reg_wr_req <= 1'b1; + @(posedge independent_clock) + reg_wr_req <= 1'b0; + #4000000 + + // Write REG_MDIO_CTRL_STATUS to turn on mdio_running + @(posedge independent_clock) + reg_wr_addr <= 14'h1c; + reg_wr_data <= 32'h1; + reg_wr_req <= 1'b1; + @(posedge independent_clock) + reg_wr_req <= 1'b0; + #4000000 + + // Write REG_MDIO_OP to Read MDIO Phy Addr 1, Reg Addr 0 + @(posedge independent_clock) + reg_wr_addr <= 14'h18; + reg_wr_data <= 32'h820; + reg_wr_req <= 1'b1; + @(posedge independent_clock) + reg_wr_req <= 1'b0; + #4000000 + //@(negedge mdc) // centre MDIO around MDC rising edge + + //MDIO_BIT = 0; + + //// transmit serial management frame + //while(MDIO_BIT !== 64) + //begin + // @(negedge mdc); + // mdio_i <= mdio_data[MDIO_BIT]; + // MDIO_BIT = MDIO_BIT + 1; + //end + + //@(negedge mdc) + //mdio_i <= 1'b1; // simulate tri-state with pullup + #10000000 + // wait for core to obtain synchronisation + wait (status_vector[1] == 1); + #8000000 + + configuration_finished <= 1; + end // p_configuration + + + + //---------------------------------------------------------------------------- + // End the simulation. + //---------------------------------------------------------------------------- + + assign simulation_finished = tx_monitor_finished & rx_monitor_finished; + + + initial + begin : p_end_simulation + fork: sim_in_progress + @(posedge simulation_finished) disable sim_in_progress; + #2000000000 + #2000000000 + #500000000 + disable sim_in_progress; + + join + if (simulation_finished) begin + #1000000 + $display("Test completed successfully"); + $display("Simulation Complete."); + end + else + $display("** Error: Testbench timed out"); + $stop; + end // p_end_simulation + + +endmodule + diff --git a/fpga/usrp3/top/n3xx/sim/one_gig_eth_loopback/one_gig_eth_loopback_tb.sv b/fpga/usrp3/top/n3xx/sim/one_gig_eth_loopback/one_gig_eth_loopback_tb.sv new file mode 100644 index 000000000..759ea2872 --- /dev/null +++ b/fpga/usrp3/top/n3xx/sim/one_gig_eth_loopback/one_gig_eth_loopback_tb.sv @@ -0,0 +1,381 @@ +// +// Copyright 2016 Ettus Research LLC +// + + +`timescale 1ns/1ps +`define NS_PER_TICK 1 +`define NUM_TEST_CASES 13 + +`include "sim_clks_rsts.vh" +`include "sim_exec_report.vh" +`include "sim_cvita_lib.svh" +`include "sim_axi4_lib.svh" +`include "sim_set_rb_lib.svh" + +module one_gig_eth_loopback_tb(); + `TEST_BENCH_INIT("one_gig_eth_loopback_tb",`NUM_TEST_CASES,`NS_PER_TICK) + + // Define all clocks and resets + `DEFINE_CLK(ETH_CLK_P, 1000/125, 50) //125MHz GT transceiver clock + `DEFINE_RESET(GSR, 0, 100) //100ns for GSR to deassert + + wire ETH_CLK_N = ~ETH_CLK_P; + wire SFP_LN0_P, SFP_LN0_N, SFP_LN1_P, SFP_LN1_N; + + //localparam PACKET_MODE = 0; + localparam PORTNUM = 8'd0; + + // One_gigE Loopback Topology: + // + // TB Simulus ====> |------------| |----------------| + // | gigE MAC | <===> | gigE PCS/PMA | <====>|| + // TB Checker <==== |------------| |----------------| || Loopback through + // || + // ====> |------------| |----------------| || perfect serial channel + // Loopback | | gigE MAC | <===> | gigE PCS/PMA | <====>|| + // <==== |------------| |----------------| + + // Initialize DUT + wire gige_refclk, gige_refclk_bufg; + wire m_user_clk, s_user_clk; + wire m_channel_up, s_channel_up; + wire [7:0] m_gmii_txd, m_gmii_rxd; + wire m_gmii_tx_en, m_gmii_tx_er, m_gmii_rx_dv, m_gmii_rx_er; + wire m_gmii_clk; + wire [7:0] s_gmii_txd, s_gmii_rxd; + wire s_gmii_tx_en, s_gmii_tx_er, s_gmii_rx_dv, s_gmii_rx_er; + wire s_gmii_clk; + wire [15:0] m_phy_status; + wire [15:0] s_phy_status; + wire [63:0] loop_tdata; + wire loop_tlast, loop_tvalid, loop_tready; + + + reg independent_clock; + assign m_channel_up = m_phy_status[0]; + assign s_channel_up = s_phy_status[0]; + //assign m_user_clk = gmii_clk; + //assign s_user_clk = gmii_clk; + assign m_user_clk = independent_clock; + assign s_user_clk = independent_clock; + wire gt0_qplloutclk, gt0_qplloutrefclk, pma_reset; + + one_gige_phy_clk_gen gige_clk_gen_i ( + .areset(GSR), + .refclk_p(ETH_CLK_P), + .refclk_n(ETH_CLK_N), + .refclk(gige_refclk), + .refclk_bufg(gige_refclk_bufg) + ); + + cvita_master m_tx_chdr (.clk(m_user_clk)); + cvita_slave s_rx_chdr (.clk(s_user_clk)); + initial + begin + independent_clock <= 1'b0; + forever + begin + independent_clock <= 1'b0; + #0.5; + independent_clock <= 1'b1; + #0.5; + end + end + + //----------------------------------------------------------------- + // MDIO Master + //----------------------------------------------------------------- + wire mdc, mdio_m2s, mdio_s2m; + + mdio_master #( + .MDC_DIVIDER (8'd200) + ) mdio_master_i ( + .clk (m_user_clk), + .rst (GSR), + .mdc (mdc), + .mdio_in (mdio_s2m), + .mdio_out (mdio_m2s), + .mdio_tri (), + .reg_wr_req (/*reg_wr_req*/), + .reg_wr_addr(/*reg_wr_addr*/), + .reg_wr_data(/*reg_wr_data*/), + .reg_rd_req (/*reg_rd_req*/), + .reg_rd_addr(/*reg_rd_addr*/), + .reg_rd_data(/*reg_rd_data*/), + .reg_rd_resp(/*reg_rd_resp*/) + ); + + //GT COMMON + one_gig_eth_pcs_pma_gt_common core_gt_common_i + ( + .GTREFCLK0_IN (gige_refclk) , + .QPLLLOCK_OUT (), + .QPLLLOCKDETCLK_IN (independent_clock), + .QPLLOUTCLK_OUT (gt0_qplloutclk), + .QPLLOUTREFCLK_OUT (gt0_qplloutrefclk), + .QPLLREFCLKLOST_OUT (), + .QPLLRESET_IN (pma_reset) + ); + + one_gige_phy one_gige_phy_master_i + ( + .reset(GSR), // Asynchronous reset for entire core. + .independent_clock(independent_clock), + .pma_reset_out(pma_reset), + .gt0_qplloutclk_in(gt0_qplloutclk), + .gt0_qplloutrefclk_in(gt0_qplloutrefclk), + // Tranceiver Interface + .gtrefclk(gige_refclk), // Reference clock for MGT: 125MHz, very high quality. + .gtrefclk_bufg(gige_refclk_bufg), // Reference clock routed through a BUFG + .txp(SFP_LN1_P), // Differential +ve of serial transmission from PMA to PMD. + .txn(SFP_LN1_N), // Differential -ve of serial transmission from PMA to PMD. + .rxp(SFP_LN0_P), // Differential +ve for serial reception from PMD to PMA. + .rxn(SFP_LN0_N), // Differential -ve for serial reception from PMD to PMA. + // GMII Interface (client MAC <=> PCS) + .gmii_clk(m_gmii_clk), // Clock to client MAC. + .gmii_txd(m_gmii_txd), // Transmit data from client MAC. + .gmii_tx_en(m_gmii_tx_en), // Transmit control signal from client MAC. + .gmii_tx_er(m_gmii_tx_er), // Transmit control signal from client MAC. + .gmii_rxd(m_gmii_rxd), // Received Data to client MAC. + .gmii_rx_dv(m_gmii_rx_dv), // Received control signal to client MAC. + .gmii_rx_er(m_gmii_rx_er), // Received control signal to client MAC. + // Management: MDIO Interface + .mdc(mdc), // Management Data Clock + .mdio_i(mdio_m2s), // Management Data In + .mdio_o(mdio_s2m), // Management Data Out + .mdio_t(), // Management Data Tristate + .configuration_vector(5'd0), // Alternative to MDIO interface. + .configuration_valid(1'b1), // Validation signal for Config vector (MUST be 1 for proper functionality...undocumented) + // General IO's + .status_vector(m_phy_status), // Core status. + .signal_detect(1'b1 /*Optical module not supported*/) // Input from PMD to indicate presence of optical input. + ); + + simple_gemac_wrapper #(.RX_FLOW_CTRL(0), .PORTNUM(PORTNUM)) simple_gemac_wrapper_master_i + ( + .clk125(m_gmii_clk), + .reset(GSR), + + .GMII_GTX_CLK(), + .GMII_TX_EN(m_gmii_tx_en), + .GMII_TX_ER(m_gmii_tx_er), + .GMII_TXD(m_gmii_txd), + .GMII_RX_CLK(m_gmii_clk), + .GMII_RX_DV(m_gmii_rx_dv), + .GMII_RX_ER(m_gmii_rx_er), + .GMII_RXD(m_gmii_rxd), + + .sys_clk(m_user_clk), + .rx_tdata(s_rx_chdr.axis.tdata), + .rx_tuser(/*s_rx_chdr.axis.tuser*/), + .rx_tlast(s_rx_chdr.axis.tlast), + .rx_tvalid(s_rx_chdr.axis.tvalid), + .rx_tready(s_rx_chdr.axis.tready), + .tx_tdata(m_tx_chdr.axis.tdata), + .tx_tuser(/*m_tx_chdr.axis_tuser*/), + .tx_tlast(m_tx_chdr.axis.tlast), + .tx_tvalid(m_tx_chdr.axis.tvalid), + .tx_tready(m_tx_chdr.axis.tready), + // Debug + .debug_tx(), .debug_rx() + ); + + one_gige_phy one_gige_phy_slave_i + ( + .reset(GSR), // Asynchronous reset for entire core. + .independent_clock(independent_clock), + .pma_reset_out(), + .gt0_qplloutclk_in(gt0_qplloutclk), + .gt0_qplloutrefclk_in(gt0_qplloutrefclk), + // Tranceiver Interface + .gtrefclk(gige_refclk), // Reference clock for MGT: 125MHz, very high quality. + .gtrefclk_bufg(gige_refclk_bufg), // Reference clock routed through a BUFG + .txp(SFP_LN0_P), // Differential +ve of serial transmission from PMA to PMD. + .txn(SFP_LN0_N), // Differential -ve of serial transmission from PMA to PMD. + .rxp(SFP_LN1_P), // Differential +ve for serial reception from PMD to PMA. + .rxn(SFP_LN1_N), // Differential -ve for serial reception from PMD to PMA. + // GMII Interface (client MAC <=> PCS) + .gmii_clk(s_gmii_clk), // Clock to client MAC. + .gmii_txd(s_gmii_txd), // Transmit data from client MAC. + .gmii_tx_en(s_gmii_tx_en), // Transmit control signal from client MAC. + .gmii_tx_er(s_gmii_tx_er), // Transmit control signal from client MAC. + .gmii_rxd(s_gmii_rxd), // Received Data to client MAC. + .gmii_rx_dv(s_gmii_rx_dv), // Received control signal to client MAC. + .gmii_rx_er(s_gmii_rx_er), // Received control signal to client MAC. + // Management: MDIO Interface + .mdc(mdc), // Management Data Clock + .mdio_i(mdio_m2s), // Management Data In + .mdio_o(mdio_s2m), // Management Data Out + .mdio_t(), // Management Data Tristate + .configuration_vector(5'd0), // Alternative to MDIO interface. + .configuration_valid(1'b1), // Validation signal for Config vector (MUST be 1 for proper functionality...undocumented) + // General IO's + .status_vector(s_phy_status), // Core status. + .signal_detect(1'b1 /*Optical module not supported*/) // Input from PMD to indicate presence of optical input. + ); + + simple_gemac_wrapper #(.RX_FLOW_CTRL(0), .PORTNUM(PORTNUM)) simple_gemac_wrapper_slave_i + ( + .clk125(s_gmii_clk), + .reset(GSR), + + .GMII_GTX_CLK(), + .GMII_TX_EN(s_gmii_tx_en), + .GMII_TX_ER(s_gmii_tx_er), + .GMII_TXD(s_gmii_txd), + .GMII_RX_CLK(s_gmii_clk), + .GMII_RX_DV(s_gmii_rx_dv), + .GMII_RX_ER(s_gmii_rx_er), + .GMII_RXD(s_gmii_rxd), + + .sys_clk(s_user_clk), + .rx_tdata(loop_tdata), + .rx_tuser(), + .rx_tlast(loop_tlast), + .rx_tvalid(loop_tvalid), + .rx_tready(loop_tready), + .tx_tdata(loop_tdata), + .tx_tuser(), + .tx_tlast(loop_tlast), + .tx_tvalid(loop_tvalid), + .tx_tready(loop_tready), + // Debug + .debug_tx(), .debug_rx() + ); + + //Testbench variables + cvita_hdr_t header, header_out; + cvita_stats_t stats; + logic [63:0] crc_cache; + + //------------------------------------------ + //Main thread for testbench execution + //------------------------------------------ + initial begin : tb_main + `TEST_CASE_START("Wait for reset"); + while (GSR) @(posedge ETH_CLK_P); + `TEST_CASE_DONE((~GSR)); + + m_tx_chdr.push_bubble(); + + `TEST_CASE_START("Wait for master channel to come up"); + while (m_channel_up !== 1'b1) @(posedge m_user_clk); + `TEST_CASE_DONE(1'b1); + + `TEST_CASE_START("Wait for slave channel to come up"); + while (s_channel_up !== 1'b1) @(posedge s_user_clk); + `TEST_CASE_DONE(1'b1); + + // `TEST_CASE_START("Run PRBS15 BIST"); + // s_bist_loopback <= PACKET_MODE; + // @(posedge m_user_clk); + // m_bist_gen <= 1'b1; + // m_bist_check <= 1'b1; + // @(posedge m_user_clk); + // while (m_bist_locked !== 1'b1) @(posedge m_user_clk); + // repeat (512) @(posedge m_user_clk); + // `ASSERT_ERROR(m_bist_samps>256, "BIST: Num samples incorrect"); + // `ASSERT_ERROR(m_bist_errors===36'd0, "BIST: Errors!"); + // @(posedge m_user_clk); + // m_bist_gen <= 1'b0; + // repeat (256) @(posedge m_user_clk); + // m_bist_check <= 1'b0; + // `TEST_CASE_DONE(1'b1); + + repeat(1000) @(posedge m_user_clk); + + header = '{ + pkt_type:DATA, has_time:0, eob:0, seqnum:12'h666, + length:0, src_sid:$random, dst_sid:$random, timestamp:64'h0}; + + `TEST_CASE_START("Fill up empty FIFO then drain (short packet)"); + s_rx_chdr.axis.tready = 0; + m_tx_chdr.push_ramp_pkt(16, 64'd0, 64'h100, header); + s_rx_chdr.axis.tready = 1; + s_rx_chdr.wait_for_pkt_get_info(header_out, stats); + `ASSERT_ERROR(stats.count==16, "Bad packet: Length mismatch"); + `ASSERT_ERROR(header.dst_sid==header_out.dst_sid, "Bad packet: Wrong SID"); + `TEST_CASE_DONE(1); + + `TEST_CASE_START("Fill up empty FIFO then drain (long packet)"); + s_rx_chdr.axis.tready = 0; + m_tx_chdr.push_ramp_pkt(256, 64'd0, 64'h100, header); + s_rx_chdr.axis.tready = 1; + s_rx_chdr.wait_for_pkt_get_info(header_out, stats); + `ASSERT_ERROR(stats.count==256, "Bad packet: Length mismatch"); + `ASSERT_ERROR(header.dst_sid==header_out.dst_sid, "Bad packet: Wrong SID"); + `TEST_CASE_DONE(1); + + header = '{ + pkt_type:DATA, has_time:1, eob:0, seqnum:12'h666, + length:0, src_sid:$random, dst_sid:$random, timestamp:64'h0}; + + `TEST_CASE_START("Concurrent read and write (single packet)"); + s_rx_chdr.axis.tready = 1; + fork + begin + m_tx_chdr.push_ramp_pkt(1000, 64'd0, 64'h100, header); + end + begin + s_rx_chdr.wait_for_pkt_get_info(header_out, stats); + end + join + crc_cache = stats.crc; //Cache CRC for future test cases + `ASSERT_ERROR(stats.count==1000, "Bad packet: Length mismatch"); + `TEST_CASE_DONE(1); + + `TEST_CASE_START("Concurrent read and write (multiple packets)"); + s_rx_chdr.axis.tready = 1; + fork + begin + repeat (20) begin + m_tx_chdr.push_ramp_pkt(20, 64'd0, 64'h100, header); + m_tx_chdr.push_bubble(); + end + end + begin + repeat (20) begin + s_rx_chdr.wait_for_pkt_get_info(header_out, stats); + `ASSERT_ERROR(stats.count==20, "Bad packet: Length mismatch"); + `ASSERT_ERROR(crc_cache==stats.crc, "Bad packet: Wrong CRC"); + end + end + join + `TEST_CASE_DONE(1); + + //`TEST_CASE_START("Validate no drops (master)"); + //`TEST_CASE_DONE((m_overruns === 32'd0)); + + //`TEST_CASE_START("Validate no drops (slave)"); + //`TEST_CASE_DONE((s_overruns === 32'd0)); + + //s_bist_loopback <= 1'b1; + + //`TEST_CASE_START("Run PRBS15 BIST (Loopback Mode)"); + //@(posedge m_user_clk); + //m_bist_gen <= 1'b1; + //m_bist_rate <= 5'd4; + //m_bist_check <= 1'b1; + //@(posedge m_user_clk); + //while (m_bist_locked !== 1'b1) @(posedge m_user_clk); + //repeat (512) @(posedge m_user_clk); + //`ASSERT_ERROR(m_bist_samps>256, "BIST: Num samples incorrect"); + //`ASSERT_ERROR(m_bist_errors===36'd0, "BIST: Errors!"); + //@(posedge m_user_clk); + //m_bist_gen <= 1'b0; + //repeat (256) @(posedge m_user_clk); + //m_bist_check <= 1'b0; + //`TEST_CASE_DONE(1'b1); + + //s_bist_loopback <= 1'b0; + + //`TEST_CASE_START("Validate no drops (master)"); + //`TEST_CASE_DONE((m_overruns === 32'd0)); + + //`TEST_CASE_START("Validate no drops (slave)"); + //`TEST_CASE_DONE((s_overruns === 32'd0)); + + end + +endmodule diff --git a/fpga/usrp3/top/n3xx/sim/ten_gig_eth_loopback/Makefile b/fpga/usrp3/top/n3xx/sim/ten_gig_eth_loopback/Makefile new file mode 100644 index 000000000..c1271a40b --- /dev/null +++ b/fpga/usrp3/top/n3xx/sim/ten_gig_eth_loopback/Makefile @@ -0,0 +1,84 @@ +# +# Copyright 2015 Ettus Research LLC +# + +#------------------------------------------------- +# Top-of-Makefile +#------------------------------------------------- +# Define BASE_DIR to point to the "top" dir +BASE_DIR = $(abspath ../../..) +# Include viv_sim_preample after defining BASE_DIR +include $(BASE_DIR)/../tools/make/viv_sim_preamble.mak + +#------------------------------------------------- +# Design Specific +#------------------------------------------------- +# Define part using PART_ID (//) +ARCH = zynq +PART_ID = xc7z100/ffg900/-2 + +# Include makefiles and sources for the DUT and its dependencies +include $(BASE_DIR)/../lib/fifo/Makefile.srcs +include $(BASE_DIR)/../lib/axi/Makefile.srcs +include $(BASE_DIR)/../lib/control/Makefile.srcs +include $(BASE_DIR)/../lib/xge_interface/Makefile.srcs +include $(BASE_DIR)/../lib/xge/Makefile.srcs +include $(BASE_DIR)/../lib/packet_proc/Makefile.srcs + +DESIGN_SRCS = $(abspath \ +$(FIFO_SRCS) \ +$(AXI_SRCS) \ +$(CONTROL_LIB_SRCS) \ +) + +#------------------------------------------------- +# IP Specific +#------------------------------------------------- +# If simulation contains IP, define the IP_DIR and point +# it to the base level IP directory +IP_DIR = ../../ip + +# Include makefiles and sources for all IP components +# *after* defining the IP_DIR +include $(IP_DIR)/ten_gig_eth_pcs_pma/Makefile.inc +include $(IP_DIR)/axi64_8k_2clk_fifo/Makefile.inc +include $(IP_DIR)/axi64_4k_2clk_fifo/Makefile.inc + +DESIGN_SRCS += $(abspath \ +$(XGE_SRCS) \ +$(XGE_INTERFACE_SRCS) \ +$(IP_TEN_GIG_ETH_PCS_PMA_SRCS) \ +$(IP_TEN_GIGE_PHY_XCI_SRCS) \ +$(TEN_GIGE_PHY_SRCS) \ +$(IP_AXI64_8K_2CLK_FIFO_SRCS) \ +$(IP_AXI64_4K_2CLK_FIFO_SRCS) \ +$(PACKET_PROC_SRCS) \ +) +#$(IP_FIFO_SHORT_2CLK_SRCS) \ + + +#------------------------------------------------- +# Testbench Specific +#------------------------------------------------- +#include $(BASE_DIR)/../sim/general/Makefile.srcs +#include $(BASE_DIR)/../sim/control/Makefile.srcs +#include $(BASE_DIR)/../sim/axi/Makefile.srcs + +# Define only one toplevel module +SIM_TOP = ten_gig_eth_loopback_tb +# Simulation runtime in microseconds +SIM_RUNTIME_US = 30000 + +SIM_SRCS = \ +$(abspath ten_gig_eth_loopback_tb.sv) \ +$(SIM_GENERAL_SRCS) \ +$(SIM_CONTROL_SRCS) \ +$(SIM_AXI_SRCS) + +#------------------------------------------------- +# Bottom-of-Makefile +#------------------------------------------------- +# Include all simulator specific makefiles here +# Each should define a unique target to simulate +# e.g. xsim, vsim, etc and a common "clean" target +include $(BASE_DIR)/../tools/make/viv_simulator.mak diff --git a/fpga/usrp3/top/n3xx/sim/ten_gig_eth_loopback/ten_gig_eth_loopback_tb.sv b/fpga/usrp3/top/n3xx/sim/ten_gig_eth_loopback/ten_gig_eth_loopback_tb.sv new file mode 100644 index 000000000..b0233a339 --- /dev/null +++ b/fpga/usrp3/top/n3xx/sim/ten_gig_eth_loopback/ten_gig_eth_loopback_tb.sv @@ -0,0 +1,527 @@ +// +// Copyright 2016 Ettus Research LLC +// + + +`timescale 1ns/1ps +`define NS_PER_TICK 1 +`define NUM_TEST_CASES 13 + +`include "sim_clks_rsts.vh" +`include "sim_exec_report.vh" +`include "sim_cvita_lib.svh" +`include "sim_axis_lib.svh" +`include "sim_axi4_lib.svh" +`include "sim_set_rb_lib.svh" + +module ten_gig_eth_loopback_tb(); + `TEST_BENCH_INIT("ten_gig_eth_loopback_tb",`NUM_TEST_CASES,`NS_PER_TICK) + + // Define all clocks and resets + `DEFINE_CLK(XG_CLK_P, 1000/156.25, 50) //156.25MHz GT transceiver clock + `DEFINE_RESET(GSR, 0, 100) //100ns for GSR to deassert + + wire XG_CLK_N = ~XG_CLK_P; + wire SFP_LN0_P, SFP_LN0_N, SFP_LN1_P, SFP_LN1_N; + + //localparam PACKET_MODE = 0; + localparam PORTNUM = 8'd0; + + // Ten_gigE Loopback Topology: + // + // TB Simulus ====> |------------| |----------------| + // | XgigE MAC | <===> | XgigE PCS/PMA | <====>|| + // TB Checker <==== |------------| |----------------| || Loopback through + // || + // ====> |------------| |----------------| || perfect serial channel + // Loopback | | XgigE MAC | <===> | XgigE PCS/PMA | <====>|| + // <==== |------------| |----------------| + + // Initialize DUT + wire xgige_refclk, xgige_clk156, xgige_dclk; + wire m_user_clk, s_user_clk; + wire m_channel_up, s_channel_up; + + wire [63:0] m_xgmii_txd; + wire [7:0] m_xgmii_txc; + wire [63:0] m_xgmii_rxd; + wire [7:0] m_xgmii_rxc; + wire [63:0] s_xgmii_txd; + wire [7:0] s_xgmii_txc; + wire [63:0] s_xgmii_rxd; + wire [7:0] s_xgmii_rxc; + wire [7:0] m_xgmii_status; + wire [7:0] s_xgmii_status; + wire m_xge_phy_resetdone; + wire s_xge_phy_resetdone; + wire m_mdc, m_mdio_in, m_mdio_out; + wire s_mdc, s_mdio_in, s_mdio_out; + wire sfpp_rxlos,sfpp_tx_fault,sfpp_tx_disable; + + + wire [15:0] m_phy_status; + wire [15:0] s_phy_status; + wire [63:0] loop_tdata; + wire [3:0] loop_tuser; + wire loop_tlast, loop_tvalid, loop_tready; + + wire [7:0] wb_adr_i; + wire wb_cyc_i; + wire [31:0] wb_dat_i; + wire wb_stb_i; + wire wb_we_i; + wire wb_ack_o; + wire [31:0] wb_dat_o; + wire wb_int_o; + //`ifdef USE_ARM_FRAMER + //wire [63:0] c2e_tdata_int; + //wire [3:0] c2e_tuser_int; + //wire c2e_tlast_int; + //wire c2e_tvalid_int; + //wire c2e_tready_int; + //wire [63:0] c2e_tdata; + //wire [3:0] c2e_tuser; + //wire c2e_tlast; + //wire c2e_tvalid; + //wire c2e_tready; + //`endif + + reg independent_clock; + assign m_channel_up = m_phy_status[0]; + assign s_channel_up = s_phy_status[0]; + //assign m_user_clk = xgige_refclk; + //assign s_user_clk = xgige_refclk; + assign m_user_clk = independent_clock; + assign s_user_clk = independent_clock; + + ten_gige_phy_clk_gen xgige_clk_gen_i ( + .areset(GSR), + .refclk_p(XG_CLK_P), + .refclk_n(XG_CLK_N), + .refclk(xgige_refclk), + .clk156(xgige_clk156), + .dclk(xgige_dclk) + ); + + cvita_master m_tx_chdr (.clk(m_user_clk)); + cvita_slave s_rx_chdr (.clk(s_user_clk)); + // Use this to send axi-stream with arm_framer + //`ifdef USE_ARM_FRAMER + //axis_master #(.DWIDTH(68)) m_axis (.clk(m_user_clk)); + //axis_slave #(.DWIDTH(68)) s_axis (.clk(s_user_clk)); + //`endif + initial + begin + independent_clock <= 1'b0; + forever + begin + independent_clock <= 1'b0; + #2.5; + independent_clock <= 1'b1; + #2.5; + end + end + + assign sfpp_rxlos = 1'b0; + assign sfpp_tx_fault = 1'b0; + + // Instantiate the 10GBASER/KR GT Common block + ten_gig_eth_pcs_pma_gt_common # ( + .WRAPPER_SIM_GTRESET_SPEEDUP("TRUE") ) //Does not affect hardware + ten_gig_eth_pcs_pma_gt_common_block + ( + .refclk(xgige_refclk), + .qpllreset(qpllreset), + .qplllock(qplllock), + .qplloutclk(qplloutclk), + .qplloutrefclk(qplloutrefclk), + .qpllrefclksel(3'b001 /*3'b101*GTSOUTHREFCLK0*/) + ); + + ten_gige_phy ten_gige_phy_master_i + ( + // Clocks and Reset + .areset(GSR), // Asynchronous reset for entire core. + .refclk(xgige_refclk), // Transciever reference clock: 156.25MHz + .clk156(xgige_clk156), // Globally buffered core clock: 156.25MHz + .dclk(xgige_dclk), // Management/DRP clock: 78.125MHz + .sim_speedup_control(~GSR), + // GMII Interface (client MAC <=> PCS) + .xgmii_txd(m_xgmii_txd), // Transmit data from client MAC. + .xgmii_txc(m_xgmii_txc), // Transmit control signal from client MAC. + .xgmii_rxd(m_xgmii_rxd), // Received Data to client MAC. + .xgmii_rxc(m_xgmii_rxc), // Received control signal to client MAC. + // Tranceiver Interface + .txp(SFP_LN0_P), // Differential +ve of serial transmission from PMA to PMD. + .txn(SFP_LN0_N), // Differential -ve of serial transmission from PMA to PMD. + .rxp(SFP_LN1_P), // Differential +ve for serial reception from PMD to PMA. + .rxn(SFP_LN1_N), // Differential -ve for serial reception from PMD to PMA. + // Management: MDIO Interface + .mdc(m_mdc), // Management Data Clock + .mdio_in(m_mdio_in), // Management Data In + .mdio_out(m_mdio_out), // Management Data Out + .mdio_tri(), // Management Data Tristate + .prtad(5'd4), // MDIO address is 4 + // General IO's + .core_status(m_xgmii_status), // Core status + .resetdone(m_xge_phy_resetdone), + .signal_detect(~sfpp_rxlos), //FIXME // Input from PMD to indicate presence of optical input. (Undocumented, but it seems Xilinx expect this to be inverted.) + .tx_fault(sfpp_tx_fault), //FIXME + .tx_disable(/*sfpp_tx_disable*/), //FIXME + .qpllreset(qpllreset1), + .qplllock(qplllock), + .qplloutclk(qplloutclk), + .qplloutrefclk(qplloutrefclk) + + ); + + n310_xge_mac_wrapper #(.PORTNUM(PORTNUM)) xge_mac_wrapper_master_i + ( + // XGMII + .xgmii_clk(xgige_clk156), + .xgmii_txd(m_xgmii_txd), + .xgmii_txc(m_xgmii_txc), + .xgmii_rxd(m_xgmii_rxd), + .xgmii_rxc(m_xgmii_rxc), + // Client FIFO Interfaces + .sys_clk(m_user_clk), + .sys_rst(GSR), + //`ifdef USE_ARM_FRAMER + //.rx_tdata(s_axis.axis.tdata[63:0]), + //.rx_tuser(s_axis.axis.tdata[67:64]), + //.rx_tlast(s_axis.axis.tlast), + //.rx_tvalid(s_axis.axis.tvalid), + //.rx_tready(/*s_axis.axis.tready*/1'b1), + //.tx_tdata(c2e_tdata), + //.tx_tuser(c2e_tuser), + //.tx_tlast(c2e_tlast), + //.tx_tvalid(c2e_tvalid), + //.tx_tready(c2e_tready), + //`endif + .rx_tdata(s_rx_chdr.axis.tdata), + .rx_tuser(), + .rx_tlast(s_rx_chdr.axis.tlast), + .rx_tvalid(s_rx_chdr.axis.tvalid), + .rx_tready(s_rx_chdr.axis.tready), + .tx_tdata(m_tx_chdr.axis.tdata), + .tx_tuser(4'd4), // Bit[3] (error) is ignored for now. + .tx_tlast(m_tx_chdr.axis.tlast), + .tx_tvalid(m_tx_chdr.axis.tvalid), + .tx_tready(m_tx_chdr.axis.tready), + // Other + .phy_ready(m_xge_phy_resetdone), + .ctrl_tx_enable (/*mac_ctrl_reg[0]*/1'b1), //FIXME: Remove hardcoded value + .status_crc_error (), + .status_fragment_error (), + .status_txdfifo_ovflow (), + .status_txdfifo_udflow (), + .status_rxdfifo_ovflow (), + .status_rxdfifo_udflow (), + .status_pause_frame_rx (), + .status_local_fault (), + .status_remote_fault () + ); + + assign m_phy_status = {8'h00, m_xgmii_status}; + + //`ifdef USE_ARM_FRAMER + // arm_framer inst_arm_framer ( + // .clk (m_user_clk), + // .reset (GSR), + // .clear (clear), + // .s_axis_tdata (m_axis.axis.tdata[63:0]), + // .s_axis_tuser (m_axis.axis.tdata[67:64]), + // .s_axis_tlast (m_axis.axis.tlast), + // .s_axis_tvalid (m_axis.axis.tvalid), + // .s_axis_tready (m_axis.axis.tready), + // .m_axis_tdata (c2e_tdata_int), + // .m_axis_tuser (c2e_tuser_int), + // .m_axis_tlast (c2e_tlast_int), + // .m_axis_tvalid (c2e_tvalid_int), + // .m_axis_tready (c2e_tready_int) + // ); + + // axi_mux4 #(.PRIO(0), .WIDTH(68)) eth_mux + // (.clk(m_user_clk), .reset(GSR), .clear(clear), + // .i0_tdata({c2e_tuser_int,c2e_tdata_int}), .i0_tlast(c2e_tlast_int), .i0_tvalid(c2e_tvalid_int), .i0_tready(c2e_tready_int), + // .i1_tdata(), .i1_tlast(), .i1_tvalid(), .i1_tready(), + // .i2_tdata(), .i2_tlast(), .i2_tvalid(), .i2_tready(), + // .i3_tdata(), .i3_tlast(), .i3_tvalid(1'b0), .i3_tready(), + // .o_tdata({c2e_tuser,c2e_tdata}), .o_tlast(c2e_tlast), .o_tvalid(c2e_tvalid), .o_tready(c2e_tready)); + //`endif + + ten_gige_phy ten_gige_phy_slave_i + ( + // Clocks and Reset + .areset(GSR), // Asynchronous reset for entire core. + .refclk(xgige_refclk), // Transciever reference clock: 156.25MHz + .clk156(xgige_clk156), // Globally buffered core clock: 156.25MHz + .dclk(xgige_dclk), // Management/DRP clock: 78.125MHz + .sim_speedup_control(~GSR), + // GMII Interface (client MAC <=> PCS) + .xgmii_txd(s_xgmii_txd), // Transmit data from client MAC. + .xgmii_txc(s_xgmii_txc), // Transmit control signal from client MAC. + .xgmii_rxd(s_xgmii_rxd), // Received Data to client MAC. + .xgmii_rxc(s_xgmii_rxc), // Received control signal to client MAC. + // Tranceiver Interface + .txp(SFP_LN1_P), // Differential +ve of serial transmission from PMA to PMD. + .txn(SFP_LN1_N), // Differential -ve of serial transmission from PMA to PMD. + .rxp(SFP_LN0_P), // Differential +ve for serial reception from PMD to PMA. + .rxn(SFP_LN0_N), // Differential -ve for serial reception from PMD to PMA. + // Management: MDIO Interface + .mdc(s_mdc), // Management Data Clock + .mdio_in(s_mdio_in), // Management Data In + .mdio_out(s_mdio_out), // Management Data Out + .mdio_tri(), // Management Data Tristate + .prtad(5'd4), // MDIO address is 4 + // General IO's + .core_status(s_xgmii_status), // Core status + .resetdone(s_xge_phy_resetdone), + .signal_detect(~sfpp_rxlos), //FIXME // Input from PMD to indicate presence of optical input. (Undocumented, but it seems Xilinx expect this to be inverted.) + .tx_fault(sfpp_tx_fault), //FIXME + .tx_disable(/*sfpp_tx_disable*/), //FIXME + .qpllreset(qpllreset2), + .qplllock(qplllock), + .qplloutclk(qplloutclk), + .qplloutrefclk(qplloutrefclk) + ); + + n310_xge_mac_wrapper #(.PORTNUM(PORTNUM)) xge_mac_wrapper_slave_i + ( + // XGMII + .xgmii_clk(xgige_clk156), + .xgmii_txd(s_xgmii_txd), + .xgmii_txc(s_xgmii_txc), + .xgmii_rxd(s_xgmii_rxd), + .xgmii_rxc(s_xgmii_rxc), + // Client FIFO Interfaces + .sys_clk(s_user_clk), + .sys_rst(GSR), + .rx_tdata(loop_tdata), + .rx_tuser(loop_tuser), + .rx_tlast(loop_tlast), + .rx_tvalid(loop_tvalid), + .rx_tready(loop_tready), + .tx_tdata(loop_tdata), + .tx_tuser(loop_tuser), // Bit[3] (error) is ignored for now. + .tx_tlast(loop_tlast), + .tx_tvalid(loop_tvalid), + .tx_tready(loop_tready), + // Other + .phy_ready(s_xge_phy_resetdone), + .ctrl_tx_enable (/*mac_ctrl_reg[0]*/1'b1), //FIXME: Remove hardcoded value + .status_crc_error (), + .status_fragment_error (), + .status_txdfifo_ovflow (), + .status_txdfifo_udflow (), + .status_rxdfifo_ovflow (), + .status_rxdfifo_udflow (), + .status_pause_frame_rx (), + .status_local_fault (), + .status_remote_fault () + ); + + assign s_phy_status = {8'h00, s_xgmii_status}; + + //Testbench variables + cvita_hdr_t header, header_out; + cvita_stats_t stats; + logic [63:0] crc_cache; + + //------------------------------------------ + //Main thread for testbench execution + //------------------------------------------ + initial begin : tb_main + `TEST_CASE_START("Wait for reset"); + // `ifdef USE_ARM_FRAMER + // m_axis.reset; + // `endif + while (GSR) @(posedge XG_CLK_P); + `TEST_CASE_DONE((~GSR)); + + m_tx_chdr.push_bubble(); + // `ifdef USE_ARM_FRAMER + //m_axis.push_bubble(); + // `endif + + `TEST_CASE_START("Wait for master channel to come up"); + while (m_channel_up !== 1'b1) @(posedge m_user_clk); + `TEST_CASE_DONE(1'b1); + + `TEST_CASE_START("Wait for slave channel to come up"); + while (s_channel_up !== 1'b1) @(posedge s_user_clk); + `TEST_CASE_DONE(1'b1); + + // `TEST_CASE_START("Run PRBS15 BIST"); + // s_bist_loopback <= PACKET_MODE; + // @(posedge m_user_clk); + // m_bist_gen <= 1'b1; + // m_bist_check <= 1'b1; + // @(posedge m_user_clk); + // while (m_bist_locked !== 1'b1) @(posedge m_user_clk); + // repeat (512) @(posedge m_user_clk); + // `ASSERT_ERROR(m_bist_samps>256, "BIST: Num samples incorrect"); + // `ASSERT_ERROR(m_bist_errors===36'd0, "BIST: Errors!"); + // @(posedge m_user_clk); + // m_bist_gen <= 1'b0; + // repeat (256) @(posedge m_user_clk); + // m_bist_check <= 1'b0; + // `TEST_CASE_DONE(1'b1); + + repeat(2000) @(posedge m_user_clk); + + //`TEST_CASE_START("Test Ethernet packet"); + // s_axis.axis.tready = 0; + // m_axis.push_word({4'b0, 64'h0000_0000_0000_ffff}, 1'b0); + // s_axis.axis.tready = 1; + // m_axis.push_word({4'b0, 64'hffff_ffff_ce20_ad1b}, 1'b0); + // m_axis.push_word({4'b0, 64'hc57a_0806_0001_0800}, 1'b0); + // m_axis.push_word({4'b0, 64'h0604_0001_ce20_ad1b}, 1'b0); + // m_axis.push_word({4'b0, 64'hc57a_c0a8_0a64_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_c0a8_0a0a}, 1'b1); + //`TEST_CASE_DONE(1'b1); + + // repeat(2000) @(posedge m_user_clk); + //`TEST_CASE_START("Test Ethernet packet"); + // s_axis.axis.tready = 0; + // m_axis.push_word({4'b0, 64'hffff_ffff_ffff_9aa9}, 1'b0); + // s_axis.axis.tready = 1; + // m_axis.push_word({4'b0, 64'h6400_e341_0800_4500}, 1'b0); + // m_axis.push_word({4'b0, 64'h0148_0000_0000_4011}, 1'b0); + // m_axis.push_word({4'b0, 64'h79a6_0000_0000_ffff}, 1'b0); + // m_axis.push_word({4'b0, 64'hffff_0044_0043_0134}, 1'b0); + // m_axis.push_word({4'b0, 64'h90be_0101_0600_d2ab}, 1'b0); + // m_axis.push_word({4'b0, 64'h9f01_0007_0000_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_0000_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_0000_9aa9}, 1'b0); + // m_axis.push_word({4'b0, 64'h6400_e341_0000_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_0000_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_0000_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_0000_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_0000_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_0000_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_0000_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_0000_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_0000_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_0000_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_0000_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_0000_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_0000_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_0000_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_0000_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_0000_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_0000_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_0000_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_0000_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_0000_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_0000_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_0000_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_0000_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_0000_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_0000_0000}, 1'b0); + // m_axis.push_word({4'b0, 64'h0000_0000_0000_6382}, 1'b0); + // m_axis.push_word({4'b0, 64'h5363_3501_013d_0701}, 1'b0); + // m_axis.push_word({4'b0, 64'h9aa9_6400_e341_3902}, 1'b0); + // m_axis.push_word({4'b0, 64'h0240_3707_0103_060c}, 1'b0); + // m_axis.push_word({4'b0, 64'h0f1c_2a3c_0c75_6468}, 1'b0); + // m_axis.push_word({4'b0, 64'h6370_2031_2e32_342e}, 1'b0); + // m_axis.push_word({4'b0, 64'h31ff_0000_0000_0000}, 1'b0); + // m_axis.push_word({5'b0, 64'h0000_0000_0000_0000}, 1'b0); + // m_axis.push_word({4'd6, 64'h0000_0000_1234_0000}, 1'b1); + + //`TEST_CASE_DONE(1'b1); + + header = '{ + pkt_type:DATA, has_time:0, eob:0, seqnum:12'h666, + length:0, src_sid:$random, dst_sid:$random, timestamp:64'h0}; + + `TEST_CASE_START("Fill up empty FIFO then drain (short packet)"); + s_rx_chdr.axis.tready = 0; + m_tx_chdr.push_ramp_pkt(16, 64'd0, 64'h100, header); + s_rx_chdr.axis.tready = 1; + s_rx_chdr.wait_for_pkt_get_info(header_out, stats); + `ASSERT_ERROR(stats.count==16, "Bad packet: Length mismatch"); + `ASSERT_ERROR(header.dst_sid==header_out.dst_sid, "Bad packet: Wrong SID"); + `TEST_CASE_DONE(1); + + `TEST_CASE_START("Fill up empty FIFO then drain (long packet)"); + s_rx_chdr.axis.tready = 0; + m_tx_chdr.push_ramp_pkt(256, 64'd0, 64'h100, header); + s_rx_chdr.axis.tready = 1; + s_rx_chdr.wait_for_pkt_get_info(header_out, stats); + `ASSERT_ERROR(stats.count==256, "Bad packet: Length mismatch"); + `ASSERT_ERROR(header.dst_sid==header_out.dst_sid, "Bad packet: Wrong SID"); + `TEST_CASE_DONE(1); + + header = '{ + pkt_type:DATA, has_time:1, eob:0, seqnum:12'h666, + length:0, src_sid:$random, dst_sid:$random, timestamp:64'h0}; + + `TEST_CASE_START("Concurrent read and write (single packet)"); + s_rx_chdr.axis.tready = 1; + fork + begin + m_tx_chdr.push_ramp_pkt(1000, 64'd0, 64'h100, header); + end + begin + s_rx_chdr.wait_for_pkt_get_info(header_out, stats); + end + join + crc_cache = stats.crc; //Cache CRC for future test cases + `ASSERT_ERROR(stats.count==1000, "Bad packet: Length mismatch"); + `TEST_CASE_DONE(1); + + `TEST_CASE_START("Concurrent read and write (multiple packets)"); + s_rx_chdr.axis.tready = 1; + fork + begin + repeat (20) begin + m_tx_chdr.push_ramp_pkt(20, 64'd0, 64'h100, header); + m_tx_chdr.push_bubble(); + end + end + begin + repeat (20) begin + s_rx_chdr.wait_for_pkt_get_info(header_out, stats); + `ASSERT_ERROR(stats.count==20, "Bad packet: Length mismatch"); + `ASSERT_ERROR(crc_cache==stats.crc, "Bad packet: Wrong CRC"); + end + end + join + `TEST_CASE_DONE(1); + + //`TEST_CASE_START("Validate no drops (master)"); + //`TEST_CASE_DONE((m_overruns === 32'd0)); + + //`TEST_CASE_START("Validate no drops (slave)"); + //`TEST_CASE_DONE((s_overruns === 32'd0)); + + //s_bist_loopback <= 1'b1; + + //`TEST_CASE_START("Run PRBS15 BIST (Loopback Mode)"); + //@(posedge m_user_clk); + //m_bist_gen <= 1'b1; + //m_bist_rate <= 5'd4; + //m_bist_check <= 1'b1; + //@(posedge m_user_clk); + //while (m_bist_locked !== 1'b1) @(posedge m_user_clk); + //repeat (512) @(posedge m_user_clk); + //`ASSERT_ERROR(m_bist_samps>256, "BIST: Num samples incorrect"); + //`ASSERT_ERROR(m_bist_errors===36'd0, "BIST: Errors!"); + //@(posedge m_user_clk); + //m_bist_gen <= 1'b0; + //repeat (256) @(posedge m_user_clk); + //m_bist_check <= 1'b0; + //`TEST_CASE_DONE(1'b1); + + //s_bist_loopback <= 1'b0; + + //`TEST_CASE_START("Validate no drops (master)"); + //`TEST_CASE_DONE((m_overruns === 32'd0)); + + //`TEST_CASE_START("Validate no drops (slave)"); + //`TEST_CASE_DONE((s_overruns === 32'd0)); + + end + +endmodule diff --git a/fpga/usrp3/top/python/batch-build b/fpga/usrp3/top/python/batch-build new file mode 100755 index 000000000..fcf9ac7f5 --- /dev/null +++ b/fpga/usrp3/top/python/batch-build @@ -0,0 +1,45 @@ +#!/bin/bash + +iterations=1 +directory="." +targets="" +name="" +outdir=${PWD} + +for arg in "$@"; do + if [[ $arg == "--help" ]]; then + echo "Usage: batch-build [options] targets" + echo "Options:" + echo " --runs=N [1] Build the specified targets N times" + echo " --dir= [.] Makefile directory" + echo " --name= [] Name of this batch job. Used as a prefix for build output" + echo " --help Print the message and exit" + echo "" + exit 0 + elif [[ $arg =~ "--runs="([0-9]+) ]]; then + iterations=${BASH_REMATCH[1]} + elif [[ $arg =~ "--dir="(.+) ]]; then + directory=${BASH_REMATCH[1]} + elif [[ $arg =~ "--name="(.+) ]]; then + name=${BASH_REMATCH[1]}"_" + else + targets=$targets$arg" " + fi +done + +cd $directory >/dev/null 2>&1 +if [ $? -ne 0 ]; then + echo "ERROR: Could not cd to $directory" + exit +fi + +for i in $(seq 1 $iterations); do + make $targets + if [ $? -ne 0 ]; then + echo "ERROR: Build Failed!!! Stopping batch build." + exit + fi + cp -rf build ${outdir}/${name}batch-build_$(date +'%Y-%m-%d_%H-%M-%S') + make clean +done + diff --git a/fpga/usrp3/top/python/check_inout.py b/fpga/usrp3/top/python/check_inout.py new file mode 100755 index 000000000..0e53b5c6d --- /dev/null +++ b/fpga/usrp3/top/python/check_inout.py @@ -0,0 +1,62 @@ +#!/usr/bin/env python +# +# Copyright 2010 Ettus Research LLC +# +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program. If not, see . +# +# Description: +# generates a list of inputs and outputs from the top-level Verilog file and cross-references them to the .ucf. +# outputs errors for pins that aren't found in the UCF, checks for capitalization errors and other common mistakes + +import sys +import re + +if __name__=='__main__': + if len(sys.argv) == 2: + print("Usage: %s ") + sys.exit(-1) + + verilog_filename = sys.argv[1] + ucf_filename = sys.argv[2] + + verilog_file = open(verilog_filename, 'r') + ucf_file = open(ucf_filename, 'r') + + verilog_iolist = list() + ucf_iolist = list() + + #read in all input, inout, and output declarations and compile a list + for line in verilog_file: + for match in re.findall(r"(?:input|inout|output) (?:reg )*(?:\[.*\] )*(\w+)", line.split("//")[0]): + verilog_iolist.append(match) + + for line in ucf_file: + m = re.search(r"""NET "(\w+).*" """, line.split("#")[0]) + if m is not None: + ucf_iolist.append(m.group(1)) + + #now find corresponding matches and error when you don't find one + #we search for .v defs without matching .ucf defs since the reverse isn't necessarily a problem + err = False + + for item in verilog_iolist: + if item not in ucf_iolist: + print("Error: %s appears in the top-level Verilog file, but is not in the UCF definition file!" % item) + err = True + + if err: + sys.exit(-1) + + print("No errors found.") + sys.exit(0) diff --git a/fpga/usrp3/top/python/check_timing.py b/fpga/usrp3/top/python/check_timing.py new file mode 100644 index 000000000..4fa981ba6 --- /dev/null +++ b/fpga/usrp3/top/python/check_timing.py @@ -0,0 +1,37 @@ +#!/usr/bin/env python +# +# Copyright 2011-2012 Ettus Research LLC +# +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program. If not, see . + +import sys +import re + +def print_timing_constraint_summary(twr_file): + output = "" + keep = False + done = False + try: open(twr_file) + except IOError: + print("cannot open or find %s; no timing summary to print!"%twr_file) + exit(-1) + for line in open(twr_file).readlines(): + if 'Derived Constraint Report' in line: keep = True + if 'constraint' in line and 'met' in line: done = True + if not keep and done: keep = True + if keep: output += line + if done: break + print(("\n\n"+output)) + +if __name__=='__main__': list(map(print_timing_constraint_summary, sys.argv[1:])) diff --git a/fpga/usrp3/top/tcl/ise_helper.tcl b/fpga/usrp3/top/tcl/ise_helper.tcl new file mode 100644 index 000000000..608cac10d --- /dev/null +++ b/fpga/usrp3/top/tcl/ise_helper.tcl @@ -0,0 +1,82 @@ +# +# Copyright 2008 Ettus Research LLC +# Copyright 2015 Ettus Research, a National Instruments Company +# +# SPDX-License-Identifier: LGPL-3.0-or-later +# + +proc set_props {process options} { + if ![string compare $options ""] { + return + } + set state 1 + foreach opt $options { + if $state { + set key $opt + set state 0 + } else { + puts ">>> Setting: $process\[$key\] = $opt" + if ![string compare $process "Project"] { + project set $key $opt + } else { + project set $key $opt -process $process + } + set state 1 + } + } +} + +if [file isfile $env(ISE_FILE)] { + puts ">>> Opening project: $env(ISE_FILE)" + project open $env(ISE_FILE) +} else { + puts ">>> Creating project: $env(ISE_FILE)" + project new $env(ISE_FILE) + + ################################################## + # Set the project properties + ################################################## + set_props "Project" $env(PROJECT_PROPERTIES) + + ################################################## + # Add the sources + ################################################## + foreach source $env(SOURCES) { + puts ">>> Adding source to project: $source" + xfile add $source + } + + ################################################## + # Add the custom sources + ################################################## + foreach source $env(CUSTOM_SRCS) { + puts ">>> Adding custom source to project: $source" + xfile add $source -include_global + } + + ################################################## + # Set the top level module + ################################################## + project set top $env(TOP_MODULE) + + ################################################## + # Set the process properties + ################################################## + set_props "Synthesize - XST" $env(SYNTHESIZE_PROPERTIES) + set_props "Translate" $env(TRANSLATE_PROPERTIES) + set_props "Map" $env(MAP_PROPERTIES) + set_props "Place & Route" $env(PLACE_ROUTE_PROPERTIES) + set_props "Generate Post-Place & Route Static Timing" $env(STATIC_TIMING_PROPERTIES) + set_props "Generate Programming File" $env(GEN_PROG_FILE_PROPERTIES) + set_props "Generate Post-Place & Route Simulation Model" $env(SIM_MODEL_PROPERTIES) +} + +if [string compare [lindex $argv 0] ""] { + puts ">>> Running Process: [lindex $argv 0]" + process run [lindex $argv 0] +} + +project close +exit + + diff --git a/fpga/usrp3/top/x300/.gitignore b/fpga/usrp3/top/x300/.gitignore new file mode 100644 index 000000000..1b0a8a728 --- /dev/null +++ b/fpga/usrp3/top/x300/.gitignore @@ -0,0 +1,8 @@ +build +build-* +isim* +fuse* +tmp* +*.log +*.jou +*impact* diff --git a/fpga/usrp3/top/x300/Makefile b/fpga/usrp3/top/x300/Makefile new file mode 100644 index 000000000..2f5e1b924 --- /dev/null +++ b/fpga/usrp3/top/x300/Makefile @@ -0,0 +1,145 @@ +# +# Copyright 2012-2016 Ettus Research LLC +# + +# NOTE: All comments prefixed with a "##" will be displayed as a part of the "make help" target +##------------------- +##USRP X3X0 FPGA Help +##------------------- +##Usage: +## make +## +##Output: +## build/usrp__fpga_.bit: Configuration bitstream with header +## build/usrp__fpga_.bin: Configuration bitstream without header +## build/usrp__fpga_.lvbitx: Configuration bitstream for PCIe (NI-RIO) +## build/usrp__fpga_.rpt: Build report (includes utilization and timing summary) + +# Debug Options +# Uncomment the following line to add a debug UART on GPIO 10 & 11 +#OPTIONS += DEBUG_UART=1 + +CREATE_LVBITX=python ../../lib/io_port2/create-lvbitx.py + +GIGE_DEFS=BUILD_1G=1 SFP0_1GBE=1 SFP1_1GBE=1 $(OPTIONS) +HG_DEFS=BUILD_1G=1 BUILD_10G=1 SFP0_1GBE=1 SFP1_10GBE=1 $(OPTIONS) +XG_DEFS=BUILD_10G=1 SFP0_10GBE=1 SFP1_10GBE=1 $(OPTIONS) +HA_DEFS=BUILD_1G=1 BUILD_AURORA=1 SFP0_1GBE=1 SFP1_AURORA=1 $(OPTIONS) +XA_DEFS=BUILD_10G=1 BUILD_AURORA=1 SFP0_10GBE=1 SFP1_AURORA=1 $(OPTIONS) + +# Set build option (check RTL, run synthesis, or do a full build) +ifndef TARGET + ifdef CHECK + TARGET = rtl + else ifdef SYNTH + TARGET = synth + else + TARGET = bin + endif +endif +TOP ?= x300 + +DEFAULT_IMAGE_CORE_FILE_X300=x300_rfnoc_image_core.v +DEFAULT_IMAGE_CORE_FILE_X310=x310_rfnoc_image_core.v +DEFAULT_EDGE_FILE_X300=$(abspath x300_static_router.hex) +DEFAULT_EDGE_FILE_X310=$(abspath x310_static_router.hex) + +# vivado_build($1=Device, $2=Definitions) +vivado_build = make -f Makefile.x300.inc $(TARGET) NAME=$@ ARCH=$(XIL_ARCH_$1) PART_ID=$(XIL_PART_ID_$1) $2 TOP_MODULE=$(TOP) EXTRA_DEFS="$2" DEFAULT_RFNOC_IMAGE_CORE_FILE=$(DEFAULT_IMAGE_CORE_FILE_$1) DEFAULT_EDGE_FILE=$(DEFAULT_EDGE_FILE_$1) + +# post_build($1=Device, $2=Option) +ifeq ($(TARGET),bin) + post_build = @\ + mkdir -p build; \ + echo "Exporting bitstream files..."; \ + cp build-$(1)_$(2)/x300.bin build/usrp_`echo $(1) | tr A-Z a-z`_fpga_$(2).bin; \ + cp build-$(1)_$(2)/x300.bit build/usrp_`echo $(1) | tr A-Z a-z`_fpga_$(2).bit; \ + echo "Generating LVBITX..."; \ + $(CREATE_LVBITX) --input-bin=build-$(1)_$(2)/x300.bin --output-lvbitx=build/usrp_`echo $(1) | tr A-Z a-z`_fpga_$(2).lvbitx --device="USRP $(1)" x3x0_base.lvbitx; \ + cp -f x3x0_base.lvbitx build/`echo $(1) | tr A-Z a-z`.lvbitx_base; \ + echo "Exporting build report..."; \ + cp build-$(1)_$(2)/build.rpt build/usrp_`echo $(1) | tr A-Z a-z`_fpga_$(2).rpt; \ + echo "Build DONE ... $(1)_$(2)"; +else + post_build = @echo "Skipping bitfile export." +endif + +## +##Supported Targets +##----------------- +##all: X300_HG X310_HG X300_XG X310_XG (Default target) +all: X300_HG X310_HG X300_XG X310_XG + +##X310_1G: 1GigE on both SFP+ ports. +X310_1G: + $(call vivado_build,X310,$(GIGE_DEFS) X310=1) + $(call post_build,X310,1G) + +##X300_1G: 1GigE on both SFP+ ports. +X300_1G: + $(call vivado_build,X300,$(GIGE_DEFS) X300=1) + $(call post_build,X300,1G) + +##X310_HG: 1GigE on SFP+ Port0, 10Gig on SFP+ Port1. +X310_HG: + $(call vivado_build,X310,$(HG_DEFS) X310=1) + $(call post_build,X310,HG) + +##X300_HG: 1GigE on SFP+ Port0, 10Gig on SFP+ Port1. +X300_HG: + $(call vivado_build,X300,$(HG_DEFS) X300=1) + $(call post_build,X300,HG) + +##X310_XG: 10GigE on both SFP+ ports. +X310_XG: + $(call vivado_build,X310,$(XG_DEFS) X310=1) + $(call post_build,X310,XG) + +##X300_XG: 10GigE on both SFP+ ports. +X300_XG: + $(call vivado_build,X300,$(XG_DEFS) X300=1) + $(call post_build,X300,XG) + +##X310_HA: 1Gig on SFP+ Port0, Aurora on SFP+ Port1. +X310_HA: + $(call vivado_build,X310,$(HA_DEFS) X310=1) + $(call post_build,X310,HA) + +##X300_HA: 1Gig on SFP+ Port0, Aurora on SFP+ Port1. +X300_HA: + $(call vivado_build,X300,$(HA_DEFS) X300=1) + $(call post_build,X300,HA) + +##X310_XA: 10Gig on SFP+ Port0, Aurora on SFP+ Port1. +X310_XA: + $(call vivado_build,X310,$(XA_DEFS) X310=1) + $(call post_build,X310,XA) + +##X300_XA: 10Gig on SFP+ Port0, Aurora on SFP+ Port1. +X300_XA: + $(call vivado_build,X300,$(XA_DEFS) X300=1) + $(call post_build,X300,XA) + +clean: ##Clean up all target build outputs. + @echo "Cleaning targets..." + @rm -rf build-X3*_* + @rm -rf build + +cleanall: ##Clean up all target and ip build outputs. + @echo "Cleaning targets and IP..." + @rm -rf build-ip + @rm -rf build-X3*_* + @rm -rf build + +help: ##Show this help message. + @grep -h "##" Makefile | grep -v "\"##\"" | sed -e 's/\\$$//' | sed -e 's/##//' + +## +##Supported Options +##----------------- +##GUI=1 Launch the build in the Vivado GUI. +##CHECK=1 Launch the syntax checker instead of building a bitfile. +##SYNTH=1 Launch the build but stop after synthesis. +##TOP= Specify a top module for syntax checking. (Optional. Default is the bitfile top) + +.PHONY: all clean cleanall help diff --git a/fpga/usrp3/top/x300/Makefile.x300.inc b/fpga/usrp3/top/x300/Makefile.x300.inc new file mode 100644 index 000000000..c297e9560 --- /dev/null +++ b/fpga/usrp3/top/x300/Makefile.x300.inc @@ -0,0 +1,133 @@ +# +# Copyright 2008-2012 Ettus Research LLC +# + +################################################## +# Project Setup +################################################## +# TOP_MODULE = +# NAME = +# PART_ID = +# ARCH = + +################################################## +# Include other makefiles +################################################## + +BASE_DIR = $(abspath ..) +IP_DIR = $(abspath ./ip) +include $(BASE_DIR)/../tools/make/viv_design_builder.mak + +include $(IP_DIR)/Makefile.inc +include coregen_dsp/Makefile.srcs +include $(LIB_DIR)/ip/Makefile.inc +include $(LIB_DIR)/hls/Makefile.inc +include $(LIB_DIR)/control/Makefile.srcs +include $(LIB_DIR)/fifo/Makefile.srcs +include $(LIB_DIR)/simple_gemac/Makefile.srcs +include $(LIB_DIR)/timing/Makefile.srcs +include $(LIB_DIR)/zpu/Makefile.srcs +include $(LIB_DIR)/wishbone/Makefile.srcs +include $(LIB_DIR)/packet_proc/Makefile.srcs +include $(LIB_DIR)/xge/Makefile.srcs +include $(LIB_DIR)/xge_interface/Makefile.srcs +include $(LIB_DIR)/dsp/Makefile.srcs +include $(LIB_DIR)/io_port2/Makefile.srcs +include $(LIB_DIR)/axi/Makefile.srcs +include $(LIB_DIR)/io_cap_gen/Makefile.srcs +include $(LIB_DIR)/rfnoc/Makefile.srcs +# For sake of convenience, we include the Makefile.srcs for DRAM FIFO, DDC, and +# DUC, and of course the radio. Any other block needs to use the +# RFNOC_OOT_MAKEFILE_SRCS variable (see below). +include $(LIB_DIR)/rfnoc/blocks/rfnoc_block_axi_ram_fifo/Makefile.srcs +include $(LIB_DIR)/rfnoc/blocks/rfnoc_block_radio/Makefile.srcs +include $(LIB_DIR)/rfnoc/blocks/rfnoc_block_ddc/Makefile.srcs +include $(LIB_DIR)/rfnoc/blocks/rfnoc_block_duc/Makefile.srcs +# If out-of-tree modules want to be compiled into this image, then they need to +# pass in the RFNOC_OOT_MAKEFILE_SRCS as a list of Makefile.srcs files. +# Those files need to amend the RFNOC_OOT_SRCS variable with a list of actual +# source files. +-include $(RFNOC_OOT_MAKEFILE_SRCS) + +IMAGE_CORE ?= $(DEFAULT_RFNOC_IMAGE_CORE_FILE) +EDGE_FILE ?= $(DEFAULT_EDGE_FILE) + +################################################## +# Sources +################################################## +TOP_SRCS = \ +x300.v \ +x300_pcie_int.v \ +x300_core.v \ +x300_sfpp_io_core.v \ +x300_zpu_config.vhd \ +x300_eth_interface.v \ +nirio_chdr64_adapter.v \ +soft_ctrl.v \ +capture_ddrlvds.v \ +gen_ddrlvds.v \ +bus_int.v \ +x300.xdc \ +timing.xdc \ +$(IMAGE_CORE) + +ifdef BUILD_10G +SFP_SERDES_SRCS += $(XGE_SRCS) $(XGE_INTERFACE_SRCS) $(abspath x300_10ge.xdc) $(TEN_GIGE_PHY_SRCS) $(IP_TEN_GIGE_PHY_XCI_SRCS) +endif + +ifdef BUILD_1G +SFP_SERDES_SRCS += $(abspath x300_1ge.xdc) $(ONE_GIGE_PHY_SRCS) $(IP_ONE_GIGE_PHY_XCI_SRCS) +endif + +ifdef BUILD_AURORA +SFP_SERDES_SRCS += $(abspath x300_aurora.xdc) $(AURORA_PHY_SRCS) $(IP_AURORA_XCI_SRCS) +endif + +ifdef SFP0_10GBE +SFP_SERDES_SRCS += $(abspath x300_10ge_port0.xdc) +endif + +ifdef SFP1_10GBE +SFP_SERDES_SRCS += $(abspath x300_10ge_port1.xdc) +endif + +ifndef NO_DRAM_FIFOS +DRAM_SRCS = $(IP_DRAM_XCI_SRCS) $(abspath x300_dram.xdc) +else +DRAM_SRCS = +endif + +DESIGN_SRCS = $(abspath $(TOP_SRCS)) $(FIFO_SRCS) \ +$(CONTROL_LIB_SRCS) $(SDR_LIB_SRCS) $(SERDES_SRCS) \ +$(SIMPLE_GEMAC_SRCS) $(TIMING_SRCS) $(COREGEN_DSP_SRCS) \ +$(UDP_SRCS) $(EXTRAM_SRCS) \ +$(ZPU_CORE_SRCS) $(WISHBONE_SRCS) \ +$(SFP_SERDES_SRCS) $(IOPORT2_SRCS) \ +$(PACKET_PROC_SRCS) $(DSP_SRCS) $(DRAM_SRCS) \ +$(AXI_SRCS) $(CAP_GEN_GENERIC_SRCS) $(IP_XCI_SRCS) $(BD_SRCS) \ +$(RFNOC_SRCS) $(LIB_IP_XCI_SRCS) \ +$(RFNOC_FRAMEWORK_SRCS) \ +$(RFNOC_BLOCK_DUC_SRCS) $(RFNOC_BLOCK_DDC_SRCS) $(RFNOC_BLOCK_RADIO_SRCS) \ +$(RFNOC_BLOCK_AXI_RAM_FIFO_SRCS) \ +$(RFNOC_OOT_SRCS) + +EDGE_TBL_DEF="RFNOC_EDGE_TBL_FILE=$(EDGE_FILE)" + +################################################## +# Dependency Targets +################################################## +.SECONDEXPANSION: + +VERILOG_DEFS=$(EXTRA_DEFS) $(CUSTOM_DEFS) $(GIT_HASH_VERILOG_DEF) $(EDGE_TBL_DEF) + +# DESIGN_SRCS and VERILOG_DEFS must be defined +bin: .prereqs $$(DESIGN_SRCS) ip + $(call BUILD_VIVADO_DESIGN,$(abspath ./build_x300.tcl),$(TOP_MODULE),$(ARCH),$(PART_ID)) + +synth: .prereqs $$(DESIGN_SRCS) ip + $(call BUILD_VIVADO_DESIGN,$(TOOLS_DIR)/scripts/viv_synth.tcl,$(TOP_MODULE),$(ARCH),$(PART_ID)) + +rtl: .prereqs $$(DESIGN_SRCS) ip + $(call CHECK_VIVADO_DESIGN,$(TOOLS_DIR)/scripts/viv_check_syntax.tcl,$(TOP_MODULE),$(ARCH),$(PART_ID)) + +.PHONY: bin rtl diff --git a/fpga/usrp3/top/x300/build_x300.tcl b/fpga/usrp3/top/x300/build_x300.tcl new file mode 100644 index 000000000..87517e3b8 --- /dev/null +++ b/fpga/usrp3/top/x300/build_x300.tcl @@ -0,0 +1,45 @@ +# +# Copyright 2014-2015 Ettus Research +# + +source $::env(VIV_TOOLS_DIR)/scripts/viv_utils.tcl +source $::env(VIV_TOOLS_DIR)/scripts/viv_strategies.tcl + +# STEP#1: Create project, add sources, refresh IP +vivado_utils::initialize_project + +# STEP#2: Run synthesis +vivado_utils::synthesize_design +vivado_utils::generate_post_synth_reports + +# STEP#3: Run implementation strategy +set x3xx_strategy [dict create] +dict set x3xx_strategy "opt_design.is_enabled" 1 +dict set x3xx_strategy "opt_design.directive" "NoBramPowerOpt" +dict set x3xx_strategy "post_opt_power_opt_design.is_enabled" 0 +dict set x3xx_strategy "place_design.directive" "ExtraNetDelay_high" +dict set x3xx_strategy "post_place_power_opt_design.is_enabled" 0 +dict set x3xx_strategy "post_place_phys_opt_design.is_enabled" 1 +dict set x3xx_strategy "post_place_phys_opt_design.directive" "AggressiveExplore" +dict set x3xx_strategy "route_design.directive" "Explore" +dict set x3xx_strategy "route_design.more_options" "-tns_cleanup" +dict set x3xx_strategy "post_route_phys_opt_design.is_enabled" 1 +dict set x3xx_strategy "post_route_phys_opt_design.directive" "Explore" +vivado_strategies::implement_design $x3xx_strategy + +# STEP#4: Generate reports +vivado_utils::generate_post_route_reports + +# STEP#5: Generate a bitstream, netlist and debug probes + +# STC3 Requirement: Disable waiting for DCI Match +set_property BITSTREAM.STARTUP.MATCH_CYCLE NoWait [get_designs *] +# STC3 Requirement: No bitstream compression +set_property BITSTREAM.GENERAL.COMPRESS False [get_designs *] +# Use 6MHz clock to configure bitstream +set_property BITSTREAM.CONFIG.CONFIGRATE 6 [get_designs *] + +vivado_utils::write_implementation_outputs + +# Cleanup +vivado_utils::close_batch_project diff --git a/fpga/usrp3/top/x300/bus_int.v b/fpga/usrp3/top/x300/bus_int.v new file mode 100644 index 000000000..faf4f8d82 --- /dev/null +++ b/fpga/usrp3/top/x300/bus_int.v @@ -0,0 +1,901 @@ +// +// Copyright 2013 Ettus Research LLC +// Copyright 2017 Ettus Research, a National Instruments Company +// Copyright 2019 Ettus Research, a National Instruments Brand +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// + +module bus_int #( + parameter NUM_RADIOS = 2, + parameter NUM_CHANNELS_PER_RADIO = 2, + parameter NUM_CHANNELS = 4 +)( + input clk, input clk_div2, input reset, input reset_div2, + output sen, output sclk, output mosi, input miso, + inout scl0, inout sda0, + inout scl1, inout sda1, + inout scl2, inout sda2, + output gps_txd, input gps_rxd, + output debug_txd, input debug_rxd, + output [1:0] leds, + output [3:0] sw_rst, + // Timekeeper + input pps, + // Block connections + input ce_clk, + input ce_rst, + // Radio Connections + input radio_clk, + input radio_rst, + input [ NUM_CHANNELS-1:0] radio_rx_stb, + input [32*NUM_CHANNELS-1:0] radio_rx_data, + output [ NUM_CHANNELS-1:0] radio_rx_running, + input [ NUM_CHANNELS-1:0] radio_tx_stb, + output [32*NUM_CHANNELS-1:0] radio_tx_data, + output [ NUM_CHANNELS-1:0] radio_tx_running, + // Daughter Board Settings Buses + output [ NUM_RADIOS-1:0] db_fe_set_stb, + output [ 8*NUM_RADIOS-1:0] db_fe_set_addr, + output [32*NUM_RADIOS-1:0] db_fe_set_data, + input [ NUM_RADIOS-1:0] db_fe_rb_stb, + output [ 8*NUM_RADIOS-1:0] db_fe_rb_addr, + input [64*NUM_RADIOS-1:0] db_fe_rb_data, + // SFP+ 0 + input SFPP0_ModAbs, input SFPP0_TxFault, input SFPP0_RxLOS, inout SFPP0_RS0, inout SFPP0_RS1, + // SFP+ 1 + input SFPP1_ModAbs, input SFPP1_TxFault, input SFPP1_RxLOS, inout SFPP1_RS0, inout SFPP1_RS1, + // Clock control and status + input [7:0] clock_status, output [7:0] clock_control, output [31:0] ref_freq, output ref_freq_changed, + // SFP+ 0 data stream + output [63:0] sfp0_tx_tdata, output [3:0] sfp0_tx_tuser, output sfp0_tx_tlast, output sfp0_tx_tvalid, input sfp0_tx_tready, + input [63:0] sfp0_rx_tdata, input [3:0] sfp0_rx_tuser, input sfp0_rx_tlast, input sfp0_rx_tvalid, output sfp0_rx_tready, + // SFP+ 1 data stream + output [63:0] sfp1_tx_tdata, output [3:0] sfp1_tx_tuser, output sfp1_tx_tlast, output sfp1_tx_tvalid, input sfp1_tx_tready, + input [63:0] sfp1_rx_tdata, input [3:0] sfp1_rx_tuser, input sfp1_rx_tlast, input sfp1_rx_tvalid, output sfp1_rx_tready, + // PCIe + output [63:0] pcio_tdata, output [2:0] pcio_tuser, output pcio_tlast, output pcio_tvalid, input pcio_tready, + input [63:0] pcii_tdata, input [2:0] pcii_tuser, input pcii_tlast, input pcii_tvalid, output pcii_tready, + //iop2 message fifos + output [63:0] o_iop2_msg_tdata, output o_iop2_msg_tvalid, output o_iop2_msg_tlast, input o_iop2_msg_tready, + input [63:0] i_iop2_msg_tdata, input i_iop2_msg_tvalid, input i_iop2_msg_tlast, output i_iop2_msg_tready, + //------------------------------------------------------------------ + // Wishbone Slave Interface(s) + //------------------------------------------------------------------ + input [31:0] sfp0_wb_dat_i, + output [31:0] sfp0_wb_dat_o, + output [15:0] sfp0_wb_adr, + output [3:0] sfp0_wb_sel, + input sfp0_wb_ack, + output sfp0_wb_stb, + output sfp0_wb_cyc, + output sfp0_wb_we, + input sfp0_wb_int, // IJB. Nothing to connect this too!! No IRQ controller on x300. + + input [31:0] sfp1_wb_dat_i, + output [31:0] sfp1_wb_dat_o, + output [15:0] sfp1_wb_adr, + output [3:0] sfp1_wb_sel, + input sfp1_wb_ack, + output sfp1_wb_stb, + output sfp1_wb_cyc, + output sfp1_wb_we, + input sfp1_wb_int, // IJB. Nothing to connect this too!! No IRQ controller on x300. + + input [15:0] sfp0_phy_status, + input [15:0] sfp1_phy_status, + input [31:0] xadc_readback, + + // + // AXI4 (128b@250MHz) interface to DDR3 controller + // + input ddr3_axi_clk_x2, + input ddr3_axi_rst, + // Write Address Ports + output [1*2-1:0] ddr3_axi_awid, + output [32*2-1:0] ddr3_axi_awaddr, + output [8*2-1:0] ddr3_axi_awlen, + output [3*2-1:0] ddr3_axi_awsize, + output [2*2-1:0] ddr3_axi_awburst, + output [1*2-1:0] ddr3_axi_awlock, + output [4*2-1:0] ddr3_axi_awcache, + output [3*2-1:0] ddr3_axi_awprot, + output [4*2-1:0] ddr3_axi_awqos, + output [1*2-1:0] ddr3_axi_awvalid, + input [1*2-1:0] ddr3_axi_awready, + // Write Data Ports + output [64*2-1:0] ddr3_axi_wdata, + output [8*2-1:0] ddr3_axi_wstrb, + output [1*2-1:0] ddr3_axi_wlast, + output [1*2-1:0] ddr3_axi_wvalid, + input [1*2-1:0] ddr3_axi_wready, + // Write Response Ports + output [1*2-1:0] ddr3_axi_bready, + input [1*2-1:0] ddr3_axi_bid, + input [2*2-1:0] ddr3_axi_bresp, + input [1*2-1:0] ddr3_axi_bvalid, + // Read Address Ports + output [1*2-1:0] ddr3_axi_arid, + output [32*2-1:0] ddr3_axi_araddr, + output [8*2-1:0] ddr3_axi_arlen, + output [3*2-1:0] ddr3_axi_arsize, + output [2*2-1:0] ddr3_axi_arburst, + output [1*2-1:0] ddr3_axi_arlock, + output [4*2-1:0] ddr3_axi_arcache, + output [3*2-1:0] ddr3_axi_arprot, + output [4*2-1:0] ddr3_axi_arqos, + output [1*2-1:0] ddr3_axi_arvalid, + input [1*2-1:0] ddr3_axi_arready, + // Read Data Ports + input [1*2-1:0] ddr3_axi_rid, + input [64*2-1:0] ddr3_axi_rdata, + input [2*2-1:0] ddr3_axi_rresp, + input [1*2-1:0] ddr3_axi_rlast, + input [1*2-1:0] ddr3_axi_rvalid, + output [1*2-1:0] ddr3_axi_rready, + + + // Debug + output [31:0] debug0, + output [31:0] debug1, + output [127:0] debug2); + + localparam SR_AWIDTH = 8; + localparam RB_AWIDTH = 8; + + localparam SR_LEDS = 8'd00; + localparam SR_SW_RST = 8'd01; + localparam SR_CLOCK_CTRL = 8'd02; + localparam SR_DEVICE_ID = 8'd03; + localparam SR_REF_FREQ = 8'd04; + localparam SR_SFPP_CTRL0 = 8'd08; + localparam SR_SFPP_CTRL1 = 8'd09; + localparam SR_SPI = 8'd32; + localparam SR_ETHINT0 = 8'd40; + localparam SR_ETHINT1 = 8'd56; + //localparam SR_NEXT_ADDR = 8'd72; + localparam SR_BASE_TIME = 8'd100; + + localparam RB_COUNTER = 8'd00; + localparam RB_SPI_RDY = 8'd01; + localparam RB_SPI_DATA = 8'd02; + localparam RB_CLK_STATUS = 8'd03; + localparam RB_ETH_TYPE0 = 8'd04; + localparam RB_ETH_TYPE1 = 8'd05; + localparam RB_COMPAT_NUM = 8'd06; + localparam RB_RFNOC_INFO = 8'd07; + localparam RB_SFPP_STATUS0 = 8'd08; + localparam RB_SFPP_STATUS1 = 8'd09; + localparam RB_GIT_HASH = 8'd10; + localparam RB_XADC_VALS = 8'd11; + localparam RB_NUM_TIMEKEEPERS = 8'd12; + + localparam COMPAT_MAJOR = 16'h0026; + localparam COMPAT_MINOR = 16'h0000; + localparam NUM_TIMEKEEPERS = 1; + + localparam [15:0] RFNOC_PROTOVER = {8'd1, 8'd0}; + + wire [31:0] set_data; + wire [7:0] set_addr; + reg [31:0] rb_data; + wire [RB_AWIDTH-1:0] rb_addr; + wire rb_rd_stb; + wire set_stb; + wire spi_ready; + wire [31:0] rb_spi_data; + wire [15:0] device_id; + + wire m_ctrlport_req_wr_radio0; + wire m_ctrlport_req_rd_radio0; + wire [19:0] m_ctrlport_req_addr_radio0; + wire [31:0] m_ctrlport_req_data_radio0; + wire [3:0] m_ctrlport_req_byte_en_radio0; + wire m_ctrlport_req_has_time_radio0; + wire [63:0] m_ctrlport_req_time_radio0; + wire m_ctrlport_resp_ack_radio0; + wire [1:0] m_ctrlport_resp_status_radio0; + wire [31:0] m_ctrlport_resp_data_radio0; + wire m_ctrlport_req_wr_radio1; + wire m_ctrlport_req_rd_radio1; + wire [19:0] m_ctrlport_req_addr_radio1; + wire [31:0] m_ctrlport_req_data_radio1; + wire [3:0] m_ctrlport_req_byte_en_radio1; + wire m_ctrlport_req_has_time_radio1; + wire [63:0] m_ctrlport_req_time_radio1; + wire m_ctrlport_resp_ack_radio1; + wire [1:0] m_ctrlport_resp_status_radio1; + wire [31:0] m_ctrlport_resp_data_radio1; + + // ZPU in and ZPU out axi streams + wire [63:0] zpui_tdata, zpuo_tdata; + wire [3:0] zpui_tuser, zpuo_tuser; + wire zpui_tlast, zpuo_tlast, zpui_tvalid, zpuo_tvalid, zpui_tready, zpuo_tready; + wire [63:0] zpui0_tdata, zpuo0_tdata; + wire [3:0] zpui0_tuser, zpuo0_tuser; + wire zpui0_tlast, zpuo0_tlast, zpui0_tvalid, zpuo0_tvalid, zpui0_tready, zpuo0_tready; + wire [63:0] zpui1_tdata, zpuo1_tdata; + wire [3:0] zpui1_tuser, zpuo1_tuser; + wire zpui1_tlast, zpuo1_tlast, zpui1_tvalid, zpuo1_tvalid, zpui1_tready, zpuo1_tready; + + // v2e (vita to ethernet) and e2v (eth to vita) + wire [63:0] v2e0_tdata, v2e1_tdata, e2v0_tdata, e2v1_tdata; + wire v2e0_tlast, v2e1_tlast, v2e0_tvalid, v2e1_tvalid, v2e0_tready, v2e1_tready; + wire e2v0_tlast, e2v1_tlast, e2v0_tvalid, e2v1_tvalid, e2v0_tready, e2v1_tready; + + //settings bus for crossbar router + wire [31:0] set_data_xb; + wire [8:0] set_addr_xb; + wire set_stb_xb; + + // SFP+ logic + wire SFPP0_ModAbs_sync, SFPP0_TxFault_sync, SFPP0_RxLOS_sync; + reg SFPP0_ModAbs_reg, SFPP0_TxFault_reg, SFPP0_RxLOS_reg; + reg SFPP0_ModAbs_chgd, SFPP0_TxFault_chgd, SFPP0_RxLOS_chgd; + + wire SFPP1_ModAbs_sync, SFPP1_TxFault_sync, SFPP1_RxLOS_sync; + reg SFPP1_ModAbs_reg, SFPP1_TxFault_reg, SFPP1_RxLOS_reg; + reg SFPP1_ModAbs_chgd, SFPP1_TxFault_chgd, SFPP1_RxLOS_chgd; + + wire [15:0] sfp0_phy_status_sync, sfp1_phy_status_sync; + + //////////////////////////////////////////////////////////////////// + // Soft CPU - drives network setup, soft reset, ICMP, ... + //////////////////////////////////////////////////////////////////// + soft_ctrl #(.SB_ADDRW(SR_AWIDTH), .RB_ADDRW(RB_AWIDTH)) sc + ( + .clk(clk), .rst(reset), + .clk_div2(clk_div2), .rst_div2(reset_div2), + //------------------------------------------------------------------ + // I2C interfaces + //------------------------------------------------------------------ + .scl0(scl0), .sda0(sda0), + .scl1(scl1), .sda1(sda1), + .scl2(scl2), .sda2(sda2), + //------------------------------------------------------------------ + // UARTs for CPU comms + //------------------------------------------------------------------ + .gps_rxd(gps_rxd), .gps_txd(gps_txd), + .debug_rxd(debug_rxd), .debug_txd(debug_txd), + //------------------------------------------------------------------ + // settings bus interface + //------------------------------------------------------------------ + .set_stb(set_stb), + .set_addr(set_addr), + .set_data(set_data), + //------------------------------------------------------------------ + // settings bus interface for crossbar router + //------------------------------------------------------------------ + .set_stb_xb(set_stb_xb), + .set_addr_xb(set_addr_xb), + .set_data_xb(set_data_xb), + //------------------------------------------------------------------ + // readback bus interface + //------------------------------------------------------------------ + .rb_addr(rb_addr), + .rb_data(rb_data), + .rb_rd_stb(rb_rd_stb), + //------------------------------------------------------------------ + // packet interface in + //------------------------------------------------------------------ + .rx_tdata(zpui_tdata), + .rx_tuser(zpui_tuser), + .rx_tlast(zpui_tlast), + .rx_tvalid(zpui_tvalid), + .rx_tready(zpui_tready), + //------------------------------------------------------------------ + // packet interface out + //------------------------------------------------------------------ + .tx_tdata(zpuo_tdata), + .tx_tuser(zpuo_tuser), + .tx_tlast(zpuo_tlast), + .tx_tvalid(zpuo_tvalid), + .tx_tready(zpuo_tready), + //------------------------------------------------------------------ + // Wishbone Slave Interface(s) + //------------------------------------------------------------------ + .s4_dat_i(sfp0_wb_dat_i), + .s4_dat_o(sfp0_wb_dat_o), + .s4_adr(sfp0_wb_adr), + .s4_sel(sfp0_wb_sel), + .s4_ack(sfp0_wb_ack), + .s4_stb(sfp0_wb_stb), + .s4_cyc(sfp0_wb_cyc), + .s4_we(sfp0_wb_we), + .s4_int(sfp0_wb_int), + //------------------------------------------------------------------ + // IoPort2 Msg Interface + //------------------------------------------------------------------ + .o_iop2_msg_tdata(o_iop2_msg_tdata), + .o_iop2_msg_tvalid(o_iop2_msg_tvalid), + .o_iop2_msg_tlast(o_iop2_msg_tlast), + .o_iop2_msg_tready(o_iop2_msg_tready), + .i_iop2_msg_tdata(i_iop2_msg_tdata), + .i_iop2_msg_tvalid(i_iop2_msg_tvalid), + .i_iop2_msg_tlast(i_iop2_msg_tlast), + .i_iop2_msg_tready(i_iop2_msg_tready), + + .s5_dat_i(sfp1_wb_dat_i), + .s5_dat_o(sfp1_wb_dat_o), + .s5_adr(sfp1_wb_adr), + .s5_sel(sfp1_wb_sel), + .s5_ack(sfp1_wb_ack), + .s5_stb(sfp1_wb_stb), + .s5_cyc(sfp1_wb_cyc), + .s5_we(sfp1_wb_we), + .s5_int(sfp1_wb_int), + + //------------------------------------------------------------------ + // Debug + //------------------------------------------------------------------ + .debug0(debug2), + .debug1() + ); + + setting_reg #(.my_addr(SR_LEDS), .awidth(SR_AWIDTH), .width(2)) set_leds + (.clk(clk), .rst(reset), + .strobe(set_stb), .addr(set_addr), .in(set_data), + .out(leds)); + + // + // SW_RST - Bit allocation: + // [0] - PHY reset + // [1] - Radio clk domain reset + // [2] - Radio Clk PLL reset. + // [3] - ADC IdelayCtrl reset + // + setting_reg #(.my_addr(SR_SW_RST), .awidth(SR_AWIDTH), .width(4)) set_sw_rst + (.clk(clk), .rst(reset), + .strobe(set_stb), .addr(set_addr), .in(set_data), + .out(sw_rst)); + + setting_reg #(.my_addr(SR_CLOCK_CTRL), .awidth(SR_AWIDTH), .width(8), + .at_reset(7'b1000000) //bit 6 high means GPSDO on by default + ) set_clk_ctrl + (.clk(clk), .rst(reset), + .strobe(set_stb), .addr(set_addr), .in(set_data), + .out(clock_control)); + + setting_reg #(.my_addr(SR_DEVICE_ID), .awidth(SR_AWIDTH), .width(16), + .at_reset(32'd0) + ) set_dev_id + (.clk(clk), .rst(reset), + .strobe(set_stb), .addr(set_addr), .in(set_data), + .out(device_id), .changed()); + + setting_reg #(.my_addr(SR_REF_FREQ), .awidth(SR_AWIDTH), .width(32), + .at_reset(32'd10_000_000) //default to 10 MHz reference clock + ) set_ref_freq + (.clk(clk), .rst(reset), + .strobe(set_stb), .addr(set_addr), .in(set_data), + .out(ref_freq), .changed(ref_freq_changed)); + + simple_spi_core #(.BASE(SR_SPI), .WIDTH(1), .CLK_IDLE(0), .SEN_IDLE(1'b1)) misc_spi + (.clock(clk), .reset(reset), + .set_stb(set_stb), .set_addr(set_addr), .set_data(set_data), + .readback(rb_spi_data), .ready(spi_ready), + .sen(sen), .sclk(sclk), .mosi(mosi), .miso(miso), + .debug()); + + reg [31:0] counter; + wire [31:0] rb_data_crossbar = 32'h0; + wire [63:0] radio_time_tb; + wire [63:0] radio_time_last_pps_tb; + wire [63:0] period_ns_q32_tb; + wire [63:0] radio_time; + wire [63:0] radio_time_last_pps; + reg [31:0] radio_time_hi; + reg [31:0] radio_time_last_pps_hi; + reg radio_time_hi_ld; + reg radio_time_last_pps_hi_ld; + + + always @(posedge clk) counter <= counter + 1; + + always @* begin + radio_time_hi_ld = 1'b0; + radio_time_last_pps_hi_ld = 1'b0; + casex (rb_addr) + RB_RFNOC_INFO: rb_data = {device_id, RFNOC_PROTOVER[15:0]}; + RB_COMPAT_NUM: rb_data = {COMPAT_MAJOR[15:0], COMPAT_MINOR[15:0]}; + RB_COUNTER: rb_data = counter; + RB_SPI_RDY: rb_data = {31'b0, spi_ready}; + RB_SPI_DATA: rb_data = rb_spi_data; + RB_CLK_STATUS: rb_data = {24'b0, clock_status}; + // SFPP Interface pins. + RB_SFPP_STATUS0: rb_data = { + sfp0_phy_status_sync, 10'b0, + SFPP0_ModAbs_chgd, SFPP0_TxFault_chgd, SFPP0_RxLOS_chgd, + SFPP0_ModAbs_sync, SFPP0_TxFault_sync, SFPP0_RxLOS_sync}; + RB_SFPP_STATUS1: rb_data = { + sfp1_phy_status_sync, 10'b0, + SFPP1_ModAbs_chgd, SFPP1_TxFault_chgd, SFPP1_RxLOS_chgd, + SFPP1_ModAbs_sync, SFPP1_TxFault_sync, SFPP1_RxLOS_sync}; + RB_NUM_TIMEKEEPERS: rb_data = NUM_TIMEKEEPERS; + // Allow readback of configured ethernet interfaces. +`ifdef SFP0_AURORA + RB_ETH_TYPE0: rb_data = {32'h2}; +`else + `ifdef SFP0_10GBE + RB_ETH_TYPE0: rb_data = {32'h1}; + `else + RB_ETH_TYPE0: rb_data = {32'h0}; + `endif +`endif +`ifdef SFP1_AURORA + RB_ETH_TYPE1: rb_data = {32'h2}; +`else + `ifdef SFP1_10GBE + RB_ETH_TYPE1: rb_data = {32'h1}; + `else + RB_ETH_TYPE1: rb_data = {32'h0}; + `endif +`endif + RB_GIT_HASH: rb_data = `GIT_HASH; + RB_XADC_VALS: rb_data = xadc_readback; + SR_BASE_TIME: begin + rb_data = radio_time[31:0]; + radio_time_hi_ld = 1'b1; + end + SR_BASE_TIME + 'h04: rb_data = radio_time_hi; + SR_BASE_TIME + 'h14: begin + rb_data = radio_time_last_pps[31:0]; + radio_time_last_pps_hi_ld = 1'b1; + end + SR_BASE_TIME + 'h18: rb_data = radio_time_last_pps_hi; + SR_BASE_TIME + 'h1C: rb_data = period_ns_q32_tb[31:0]; + SR_BASE_TIME + 'h20: rb_data = period_ns_q32_tb[63:32]; + + default: begin + rb_data = 32'h0; + end + endcase // case (rb_addr) + end + + always @(posedge clk_div2) begin + if (radio_time_hi_ld) + radio_time_hi <= radio_time[63:32]; + if (radio_time_last_pps_hi_ld) + radio_time_last_pps_hi <= radio_time_last_pps[63:32]; + end + + // Timekeeper + + axi_fifo_2clk #( + .WIDTH (64), + .SIZE (3) + ) radio_time_clk_cross_fifo ( + .reset (radio_rst), + .i_aclk (radio_clk), + .i_tdata (radio_time_tb), + .i_tvalid (1'b1), + .i_tready (), + .o_aclk (clk_div2), + .o_tdata (radio_time), + .o_tready (1'b1), + .o_tvalid () + ); + + axi_fifo_2clk #( + .WIDTH (64), + .SIZE (3) + ) radio_time_last_pps_clk_cross_fifo ( + .reset (radio_rst), + .i_aclk (radio_clk), + .i_tdata (radio_time_last_pps_tb), + .i_tvalid (1'b1), + .i_tready (), + .o_aclk (clk_div2), + .o_tdata (radio_time_last_pps), + .o_tready (1'b1), + .o_tvalid () + ); + + timekeeper #( + .BASE_ADDR (SR_BASE_TIME), + .TIME_INCREMENT (1'b1) + ) timekeeper_i ( + .tb_clk (radio_clk), + .tb_rst (radio_rst), + .s_ctrlport_clk (clk_div2), + .s_ctrlport_req_wr (set_stb), + .s_ctrlport_req_rd (), + .s_ctrlport_req_addr (set_addr), + .s_ctrlport_req_data (set_data), + .s_ctrlport_resp_ack (), + .s_ctrlport_resp_data (), + .sample_rx_stb (radio_rx_stb[0]), + .pps (pps), + .tb_timestamp (radio_time_tb), + .tb_timestamp_last_pps (radio_time_last_pps_tb), + .tb_period_ns_q32 (period_ns_q32_tb) + ); + + // Latch state changes to SFP0+ pins. + synchronizer #(.INITIAL_VAL(1'b0)) sfpp0_modabs_sync ( + .clk(clk), .rst(1'b0 /* no reset */), .in(SFPP0_ModAbs), .out(SFPP0_ModAbs_sync)); + synchronizer #(.INITIAL_VAL(1'b0)) sfpp0_txfault_sync ( + .clk(clk), .rst(1'b0 /* no reset */), .in(SFPP0_TxFault), .out(SFPP0_TxFault_sync)); + synchronizer #(.INITIAL_VAL(1'b0)) sfpp0_rxlos_sync ( + .clk(clk), .rst(1'b0 /* no reset */), .in(SFPP0_RxLOS), .out(SFPP0_RxLOS_sync)); + + always @(posedge clk) begin + SFPP0_ModAbs_reg <= SFPP0_ModAbs_sync; + SFPP0_TxFault_reg <= SFPP0_TxFault_sync; + SFPP0_RxLOS_reg <= SFPP0_RxLOS_sync; + end + + always @(posedge clk) begin + if (reset || (rb_rd_stb && (rb_addr == RB_SFPP_STATUS0))) begin + SFPP0_ModAbs_chgd <= 1'b0; + SFPP0_TxFault_chgd <= 1'b0; + SFPP0_RxLOS_chgd <= 1'b0; + end else begin + if (SFPP0_ModAbs_sync != SFPP0_ModAbs_reg) + SFPP0_ModAbs_chgd <= 1'b1; + if (SFPP0_TxFault_sync != SFPP0_TxFault_reg) + SFPP0_TxFault_chgd <= 1'b1; + if (SFPP0_RxLOS_sync != SFPP0_RxLOS_reg) + SFPP0_RxLOS_chgd <= 1'b1; + end + end + + // Latch state changes to SFP1+ pins. + synchronizer #(.INITIAL_VAL(1'b0)) sfpp1_modabs_sync ( + .clk(clk), .rst(1'b0 /* no reset */), .in(SFPP1_ModAbs), .out(SFPP1_ModAbs_sync)); + synchronizer #(.INITIAL_VAL(1'b0)) sfpp1_txfault_sync ( + .clk(clk), .rst(1'b0 /* no reset */), .in(SFPP1_TxFault), .out(SFPP1_TxFault_sync)); + synchronizer #(.INITIAL_VAL(1'b0)) sfpp1_rxlos_sync ( + .clk(clk), .rst(1'b0 /* no reset */), .in(SFPP1_RxLOS), .out(SFPP1_RxLOS_sync)); + + always @(posedge clk) begin + SFPP1_ModAbs_reg <= SFPP1_ModAbs_sync; + SFPP1_TxFault_reg <= SFPP1_TxFault_sync; + SFPP1_RxLOS_reg <= SFPP1_RxLOS_sync; + end + + always @(posedge clk) begin + if (reset || (rb_rd_stb && (rb_addr == RB_SFPP_STATUS1))) begin + SFPP1_ModAbs_chgd <= 1'b0; + SFPP1_TxFault_chgd <= 1'b0; + SFPP1_RxLOS_chgd <= 1'b0; + end else begin + if (SFPP1_ModAbs_sync != SFPP1_ModAbs_reg) + SFPP1_ModAbs_chgd <= 1'b1; + if (SFPP1_TxFault_sync != SFPP1_TxFault_reg) + SFPP1_TxFault_chgd <= 1'b1; + if (SFPP1_RxLOS_sync != SFPP1_RxLOS_reg) + SFPP1_RxLOS_chgd <= 1'b1; + end + end + + //Synchronize ethernet PHY status bits to bus_clk + //All bits in the bus can be treated as asynchronous + genvar i; + generate + for (i=0; i<16; i=i+1) begin: eth_status_synchronizer_gen + synchronizer #(.INITIAL_VAL(1'b0)) sfp0_status ( + .clk(clk), .rst(1'b0 /* no reset */), .in(sfp0_phy_status[i]), .out(sfp0_phy_status_sync[i])); + synchronizer #(.INITIAL_VAL(1'b0)) sfp1_status ( + .clk(clk), .rst(1'b0 /* no reset */), .in(sfp1_phy_status[i]), .out(sfp1_phy_status_sync[i])); + end + endgenerate + + + wire [1:0] sfpp0_ctrl; + + // SFPP_RS0/1 pins are open drain. + setting_reg #(.my_addr(SR_SFPP_CTRL0), .awidth(SR_AWIDTH), .width(2), .at_reset(2'b00)) set_sfpp0_ctrl + (.clk(clk), .rst(reset), + .strobe(set_stb), .addr(set_addr), .in(set_data), + .out(sfpp0_ctrl)); + + assign SFPP0_RS0 = sfpp0_ctrl[0] ? 1'b0 : 1'bz; + assign SFPP0_RS1 = sfpp0_ctrl[1] ? 1'b0 : 1'bz; + + wire [1:0] sfpp1_ctrl; + + // SFPP1_RS0/1 pins are open drain. + setting_reg #(.my_addr(SR_SFPP_CTRL1), .awidth(SR_AWIDTH), .width(2), .at_reset(2'b00)) set_sfpp1_ctrl + (.clk(clk), .rst(reset), + .strobe(set_stb), .addr(set_addr), .in(set_data), + .out(sfpp1_ctrl)); + + assign SFPP1_RS0 = sfpp1_ctrl[0] ? 1'b0 : 1'bz; + assign SFPP1_RS1 = sfpp1_ctrl[1] ? 1'b0 : 1'bz; + + // //////////////////////////////////////////////////////////////// + // ETH interfaces + +`ifdef SFP0_AURORA + // The packet format over Aurora is CHDR so we don't need any special framing/deframing + assign {e2v0_tdata, e2v0_tlast, e2v0_tvalid, sfp0_rx_tready} = {sfp0_rx_tdata, sfp0_rx_tlast, sfp0_rx_tvalid, e2v0_tready}; + assign {sfp0_tx_tdata, sfp0_tx_tlast, sfp0_tx_tvalid, v2e0_tready} = {v2e0_tdata, v2e0_tlast, v2e0_tvalid, sfp0_tx_tready}; + assign {zpui0_tdata, zpui0_tlast, zpui0_tvalid, zpuo0_tready} = {64'h0, 1'b0, 1'b0, 1'b1}; +`else + x300_eth_interface #( + .PROTOVER(RFNOC_PROTOVER), .MTU(10), .NODE_INST(0), .BASE(SR_ETHINT0) + ) eth_interface0 ( + .clk(clk), .reset(reset), + .device_id(device_id), + .set_stb(set_stb), .set_addr(set_addr), .set_data(set_data), + .eth_tx_tdata(sfp0_tx_tdata), .eth_tx_tuser(sfp0_tx_tuser), .eth_tx_tlast(sfp0_tx_tlast), + .eth_tx_tvalid(sfp0_tx_tvalid), .eth_tx_tready(sfp0_tx_tready), + .eth_rx_tdata(sfp0_rx_tdata), .eth_rx_tuser(sfp0_rx_tuser), .eth_rx_tlast(sfp0_rx_tlast), + .eth_rx_tvalid(sfp0_rx_tvalid), .eth_rx_tready(sfp0_rx_tready), + .e2v_tdata(e2v0_tdata), .e2v_tlast(e2v0_tlast), .e2v_tvalid(e2v0_tvalid), .e2v_tready(e2v0_tready), + .v2e_tdata(v2e0_tdata), .v2e_tlast(v2e0_tlast), .v2e_tvalid(v2e0_tvalid), .v2e_tready(v2e0_tready), + .e2z_tdata(zpui0_tdata), .e2z_tuser(zpui0_tuser), .e2z_tlast(zpui0_tlast), .e2z_tvalid(zpui0_tvalid), .e2z_tready(zpui0_tready), + .z2e_tdata(zpuo0_tdata), .z2e_tuser(zpuo0_tuser), .z2e_tlast(zpuo0_tlast), .z2e_tvalid(zpuo0_tvalid), .z2e_tready(zpuo0_tready) + ); +`endif + +`ifdef SFP1_AURORA + // The packet format over Aurora is CHDR so we don't need any special framing/deframing + assign {e2v1_tdata, e2v1_tlast, e2v1_tvalid, sfp1_rx_tready} = {sfp1_rx_tdata, sfp1_rx_tlast, sfp1_rx_tvalid, e2v1_tready}; + assign {sfp1_tx_tdata, sfp1_tx_tlast, sfp1_tx_tvalid, v2e1_tready} = {v2e1_tdata, v2e1_tlast, v2e1_tvalid, sfp1_tx_tready}; + assign {zpui1_tdata, zpui1_tlast, zpui1_tvalid, zpuo1_tready} = {64'h0, 1'b0, 1'b0, 1'b1}; +`else + x300_eth_interface #( + .PROTOVER(RFNOC_PROTOVER), .MTU(10), .NODE_INST(1), .BASE(SR_ETHINT1) + ) eth_interface1 ( + .clk(clk), .reset(reset), + .device_id(device_id), + .set_stb(set_stb), .set_addr(set_addr), .set_data(set_data), + .eth_tx_tdata(sfp1_tx_tdata), .eth_tx_tuser(sfp1_tx_tuser), .eth_tx_tlast(sfp1_tx_tlast), + .eth_tx_tvalid(sfp1_tx_tvalid), .eth_tx_tready(sfp1_tx_tready), + .eth_rx_tdata(sfp1_rx_tdata), .eth_rx_tuser(sfp1_rx_tuser), .eth_rx_tlast(sfp1_rx_tlast), + .eth_rx_tvalid(sfp1_rx_tvalid), .eth_rx_tready(sfp1_rx_tready), + .e2v_tdata(e2v1_tdata), .e2v_tlast(e2v1_tlast), .e2v_tvalid(e2v1_tvalid), .e2v_tready(e2v1_tready), + .v2e_tdata(v2e1_tdata), .v2e_tlast(v2e1_tlast), .v2e_tvalid(v2e1_tvalid), .v2e_tready(v2e1_tready), + .e2z_tdata(zpui1_tdata), .e2z_tuser(zpui1_tuser), .e2z_tlast(zpui1_tlast), .e2z_tvalid(zpui1_tvalid), .e2z_tready(zpui1_tready), + .z2e_tdata(zpuo1_tdata), .z2e_tuser(zpuo1_tuser), .z2e_tlast(zpuo1_tlast), .z2e_tvalid(zpuo1_tvalid), .z2e_tready(zpuo1_tready) + ); +`endif + + axi_mux4 #(.PRIO(0), .WIDTH(68)) zpui_mux + (.clk(clk), .reset(reset), .clear(1'b0), + .i0_tdata({zpui0_tuser,zpui0_tdata}), .i0_tlast(zpui0_tlast), .i0_tvalid(zpui0_tvalid), .i0_tready(zpui0_tready), + .i1_tdata({zpui1_tuser,zpui1_tdata}), .i1_tlast(zpui1_tlast), .i1_tvalid(zpui1_tvalid), .i1_tready(zpui1_tready), + .i2_tdata(68'h0), .i2_tlast(1'b0), .i2_tvalid(1'b0), .i2_tready(), + .i3_tdata(68'h0), .i3_tlast(1'b0), .i3_tvalid(1'b0), .i3_tready(), + .o_tdata({zpui_tuser,zpui_tdata}), .o_tlast(zpui_tlast), .o_tvalid(zpui_tvalid), .o_tready(zpui_tready)); + + // Demux ZPU to Eth output by the port number in top 8 bits of data on first line + wire [67:0] zpuo_eth_header; + wire [1:0] zpuo_eth_dest = (zpuo_eth_header[63:56] == 8'd0) ? 2'b00 : 2'b01; + + axi_demux4 #(.ACTIVE_CHAN(4'b0011), .WIDTH(68)) zpuo_demux + (.clk(clk), .reset(reset), .clear(1'b0), + .header(zpuo_eth_header), .dest(zpuo_eth_dest), + .i_tdata({zpuo_tuser,zpuo_tdata}), .i_tlast(zpuo_tlast), .i_tvalid(zpuo_tvalid), .i_tready(zpuo_tready), + .o0_tdata({zpuo0_tuser,zpuo0_tdata}), .o0_tlast(zpuo0_tlast), .o0_tvalid(zpuo0_tvalid), .o0_tready(zpuo0_tready), + .o1_tdata({zpuo1_tuser,zpuo1_tdata}), .o1_tlast(zpuo1_tlast), .o1_tvalid(zpuo1_tvalid), .o1_tready(zpuo1_tready), + .o2_tdata(), .o2_tlast(), .o2_tvalid(), .o2_tready(1'b1), + .o3_tdata(), .o3_tlast(), .o3_tvalid(), .o3_tready(1'b1)); + // + // End ETH interfaces + //////////////////////////////////////////////////////////////////////////// + + /////////////////////////////////////////////////////////////////////////// + // PCIe Interface + // + localparam DMA_RX_DEST_WIDTH = 3; + // PCIe to CHDR (p2c) and CHDR to PCIe (c2p) + wire [63:0] p2c_tdata; + wire p2c_tlast, p2c_tvalid, p2c_tready; + wire [63:0] c2p_tdata; + wire c2p_tlast, c2p_tvalid, c2p_tready; + // Transport adapter with the management interface + nirio_chdr64_adapter #( + .PROTOVER(RFNOC_PROTOVER), + .DMA_ID_WIDTH(DMA_RX_DEST_WIDTH) + ) nirio_xport_adapter + ( + .clk(clk), .rst(reset), + .device_id(device_id), + // From x300_pcie_int + .s_dma_tdata(pcii_tdata), + .s_dma_tuser(pcii_tuser), + .s_dma_tlast(pcii_tlast), + .s_dma_tvalid(pcii_tvalid), + .s_dma_tready(pcii_tready), + // To x300_pcie_int + .m_dma_tdata(pcio_tdata), + .m_dma_tuser(pcio_tuser), + .m_dma_tlast(pcio_tlast), + .m_dma_tvalid(pcio_tvalid), + .m_dma_tready(pcio_tready), + // From xport adapter into the image core + .m_chdr_tdata(p2c_tdata), + .m_chdr_tlast(p2c_tlast), + .m_chdr_tvalid(p2c_tvalid), + .m_chdr_tready(p2c_tready), + // From image core into the xport adapter + .s_chdr_tdata(c2p_tdata), + .s_chdr_tlast(c2p_tlast), + .s_chdr_tvalid(c2p_tvalid), + .s_chdr_tready(c2p_tready) + ); + // + // End PCIe Interface + /////////////////////////////////////////////////////////////////////////// + + rfnoc_image_core #( + .PROTOVER(RFNOC_PROTOVER) + ) rfnoc_sandbox_i ( + .chdr_aclk (clk ), + .ctrl_aclk (clk_div2 ), + .core_arst (reset ), + .device_id (device_id ), + .radio_clk (radio_clk ), + .ce_clk (ce_clk ), + .dram_clk (ddr3_axi_clk_x2), + .m_ctrlport_radio1_req_wr (m_ctrlport_req_wr_radio1 ), + .m_ctrlport_radio1_req_rd (m_ctrlport_req_rd_radio1 ), + .m_ctrlport_radio1_req_addr (m_ctrlport_req_addr_radio1 ), + .m_ctrlport_radio1_req_data (m_ctrlport_req_data_radio1 ), + .m_ctrlport_radio1_req_byte_en (m_ctrlport_req_byte_en_radio1 ), + .m_ctrlport_radio1_req_has_time (m_ctrlport_req_has_time_radio1), + .m_ctrlport_radio1_req_time (m_ctrlport_req_time_radio1 ), + .m_ctrlport_radio1_resp_ack (m_ctrlport_resp_ack_radio1 ), + .m_ctrlport_radio1_resp_status (m_ctrlport_resp_status_radio1 ), + .m_ctrlport_radio1_resp_data (m_ctrlport_resp_data_radio1 ), + .m_ctrlport_radio0_req_wr (m_ctrlport_req_wr_radio0 ), + .m_ctrlport_radio0_req_rd (m_ctrlport_req_rd_radio0 ), + .m_ctrlport_radio0_req_addr (m_ctrlport_req_addr_radio0 ), + .m_ctrlport_radio0_req_data (m_ctrlport_req_data_radio0 ), + .m_ctrlport_radio0_req_byte_en (m_ctrlport_req_byte_en_radio0 ), + .m_ctrlport_radio0_req_has_time (m_ctrlport_req_has_time_radio0), + .m_ctrlport_radio0_req_time (m_ctrlport_req_time_radio0 ), + .m_ctrlport_radio0_resp_ack (m_ctrlport_resp_ack_radio0 ), + .m_ctrlport_radio0_resp_status (m_ctrlport_resp_status_radio0 ), + .m_ctrlport_radio0_resp_data (m_ctrlport_resp_data_radio0 ), + .radio_time (radio_time_tb ), + .radio_rx_stb_radio0 (radio_rx_stb[1:0] ), + .radio_rx_data_radio0 (radio_rx_data[63:0] ), + .radio_rx_running_radio0 (radio_rx_running[1:0] ), + .radio_tx_stb_radio0 (radio_tx_stb[1:0] ), + .radio_tx_data_radio0 (radio_tx_data[63:0] ), + .radio_tx_running_radio0 (radio_tx_running[1:0] ), + .radio_rx_stb_radio1 (radio_rx_stb[3:2] ), + .radio_rx_data_radio1 (radio_rx_data[127:64] ), + .radio_rx_running_radio1 (radio_rx_running[3:2] ), + .radio_tx_stb_radio1 (radio_tx_stb[3:2] ), + .radio_tx_data_radio1 (radio_tx_data[127:64] ), + .radio_tx_running_radio1 (radio_tx_running[3:2] ), + // DRAM interface + .axi_rst (ddr3_axi_rst), + // Slave Interface Write Address Ports + .m_axi_awid (ddr3_axi_awid ), + .m_axi_awaddr (ddr3_axi_awaddr ), + .m_axi_awlen (ddr3_axi_awlen ), + .m_axi_awsize (ddr3_axi_awsize ), + .m_axi_awburst (ddr3_axi_awburst), + .m_axi_awlock (ddr3_axi_awlock ), + .m_axi_awcache (ddr3_axi_awcache), + .m_axi_awprot (ddr3_axi_awprot ), + .m_axi_awqos (ddr3_axi_awqos ), + .m_axi_awregion (), + .m_axi_awuser (), + .m_axi_awvalid (ddr3_axi_awvalid), + .m_axi_awready (ddr3_axi_awready), + // Slave Interface Write Data Ports + .m_axi_wdata (ddr3_axi_wdata ), + .m_axi_wstrb (ddr3_axi_wstrb ), + .m_axi_wlast (ddr3_axi_wlast ), + .m_axi_wuser (), + .m_axi_wvalid (ddr3_axi_wvalid), + .m_axi_wready (ddr3_axi_wready), + // Slave Interface Write Response Ports + .m_axi_bid (ddr3_axi_bid ), + .m_axi_bresp (ddr3_axi_bresp ), + .m_axi_buser (), + .m_axi_bvalid (ddr3_axi_bvalid), + .m_axi_bready (ddr3_axi_bready), + // Slave Interface Read Address Ports + .m_axi_arid (ddr3_axi_arid ), + .m_axi_araddr (ddr3_axi_araddr ), + .m_axi_arlen (ddr3_axi_arlen ), + .m_axi_arsize (ddr3_axi_arsize ), + .m_axi_arburst (ddr3_axi_arburst), + .m_axi_arlock (ddr3_axi_arlock ), + .m_axi_arcache (ddr3_axi_arcache), + .m_axi_arprot (ddr3_axi_arprot ), + .m_axi_arqos (ddr3_axi_arqos ), + .m_axi_arregion (), + .m_axi_aruser (), + .m_axi_arvalid (ddr3_axi_arvalid), + .m_axi_arready (ddr3_axi_arready), + // Slave Interface Read Data Ports + .m_axi_rid (ddr3_axi_rid ), + .m_axi_rdata (ddr3_axi_rdata ), + .m_axi_rresp (ddr3_axi_rresp ), + .m_axi_rlast (ddr3_axi_rlast ), + .m_axi_ruser (), + .m_axi_rvalid (ddr3_axi_rvalid), + .m_axi_rready (ddr3_axi_rready), + .s_eth0_tdata (e2v0_tdata ), + .s_eth0_tlast (e2v0_tlast ), + .s_eth0_tvalid (e2v0_tvalid), + .s_eth0_tready (e2v0_tready), + .m_eth0_tdata (v2e0_tdata ), + .m_eth0_tlast (v2e0_tlast ), + .m_eth0_tvalid (v2e0_tvalid), + .m_eth0_tready (v2e0_tready), + .s_eth1_tdata (e2v1_tdata ), + .s_eth1_tlast (e2v1_tlast ), + .s_eth1_tvalid (e2v1_tvalid), + .s_eth1_tready (e2v1_tready), + .m_eth1_tdata (v2e1_tdata ), + .m_eth1_tlast (v2e1_tlast ), + .m_eth1_tvalid (v2e1_tvalid), + .m_eth1_tready (v2e1_tready), + + .s_pcie_tdata (p2c_tdata ), + .s_pcie_tlast (p2c_tlast ), + .s_pcie_tvalid (p2c_tvalid), + .s_pcie_tready (p2c_tready), + .m_pcie_tdata (c2p_tdata ), + .m_pcie_tlast (c2p_tlast ), + .m_pcie_tvalid (c2p_tvalid), + .m_pcie_tready (c2p_tready) + ); + + //--------------------------------------------------------------------------- + // Convert Control Port to Settings Bus + //--------------------------------------------------------------------------- + + localparam NUM_CTRLPORTS_PER_DBOARD = 1; + + ctrlport_to_settings_bus # ( + .NUM_PORTS (NUM_CTRLPORTS_PER_DBOARD), + .USE_TIME (1) + ) ctrlport0_to_settings_bus_i ( + .ctrlport_clk (radio_clk), + .ctrlport_rst (radio_rst), + .s_ctrlport_req_wr (m_ctrlport_req_wr_radio0), + .s_ctrlport_req_rd (m_ctrlport_req_rd_radio0), + .s_ctrlport_req_addr (m_ctrlport_req_addr_radio0), + .s_ctrlport_req_data (m_ctrlport_req_data_radio0), + .s_ctrlport_req_has_time (m_ctrlport_req_has_time_radio0), + .s_ctrlport_req_time (m_ctrlport_req_time_radio0), + .s_ctrlport_resp_ack (m_ctrlport_resp_ack_radio0), + .s_ctrlport_resp_data (m_ctrlport_resp_data_radio0), + .set_data (db_fe_set_data[31:0]), + .set_addr (db_fe_set_addr[7:0]), + .set_stb (db_fe_set_stb[0]), + .set_time (), + .set_has_time (), + .rb_stb (db_fe_rb_stb[0]), + .rb_addr (db_fe_rb_addr[7:0]), + .rb_data (db_fe_rb_data[63:0]), + .timestamp (radio_time_tb) + ); + + ctrlport_to_settings_bus # ( + .NUM_PORTS (NUM_CTRLPORTS_PER_DBOARD), + .USE_TIME (1) + ) ctrlport1_to_settings_bus_i ( + .ctrlport_clk (radio_clk), + .ctrlport_rst (radio_rst), + .s_ctrlport_req_wr (m_ctrlport_req_wr_radio1), + .s_ctrlport_req_rd (m_ctrlport_req_rd_radio1), + .s_ctrlport_req_addr (m_ctrlport_req_addr_radio1), + .s_ctrlport_req_data (m_ctrlport_req_data_radio1), + .s_ctrlport_req_has_time (m_ctrlport_req_has_time_radio1), + .s_ctrlport_req_time (m_ctrlport_req_time_radio1), + .s_ctrlport_resp_ack (m_ctrlport_resp_ack_radio1), + .s_ctrlport_resp_data (m_ctrlport_resp_data_radio1), + .set_data (db_fe_set_data[63:32]), + .set_addr (db_fe_set_addr[15:8]), + .set_stb (db_fe_set_stb[1]), + .set_time (), + .set_has_time (), + .rb_stb (db_fe_rb_stb[1]), + .rb_addr (db_fe_rb_addr[15:8]), + .rb_data (db_fe_rb_data[127:64]), + .timestamp (radio_time_tb) + ); + +endmodule // bus_int diff --git a/fpga/usrp3/top/x300/capture_ddrlvds.v b/fpga/usrp3/top/x300/capture_ddrlvds.v new file mode 100644 index 000000000..f5bd5a4a0 --- /dev/null +++ b/fpga/usrp3/top/x300/capture_ddrlvds.v @@ -0,0 +1,200 @@ +// +// Copyright 2011-2014 Ettus Research LLC +// Copyright 2017 Ettus Research, a National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// + +// The two clocks are aligned externally in order to eliminate the need for a FIFO. +// A FIFO cannot be used to transition between clock domains because it can cause +// alignment issues between the output of multiple modules. + +module capture_ddrlvds #( + parameter WIDTH = 14, //Width of the SS data bus + parameter PATT_CHECKER = "FALSE", //{TRUE, FALSE}: Is the integrated ramp pattern checker + parameter DATA_IDELAY_MODE = "BYPASSED", //{BYPASSED, FIXED, DYNAMIC} + parameter DATA_IDELAY_VAL = 16, //IDELAY value for FIXED mode. In DYNAMIC mode, this value is used by the timing analyzer + parameter DATA_IDELAY_FREF = 200.0 //Reference clock frequency for the IDELAYCTRL +) ( + // ADC IO Pins + input adc_clk_p, + input adc_clk_n, + input [WIDTH-1:0] adc_data_p, + input [WIDTH-1:0] adc_data_n, + + //System synchronous clock + input radio_clk, + + //IDELAY settings + input data_delay_stb, + input [4:0] data_delay_val, + + //Capture clock and output data + output adc_cap_clk, + output [(2*WIDTH)-1:0] data_out, + + //Pattern checker options (sync to radio_clk) + input checker_en, + output [3:0] checker_locked, + output [3:0] checker_failed +); + + //------------------------------------------------------------------- + // Clock Path + + wire adc_buf_clk; + + // Route source synchronous clock to differential input clock buffer + // then to a global clock buffer. We route to a global buffer because + // the data bus being capture spans multiple banks. + IBUFGDS ss_clk_ibufgds_i ( + .I(adc_clk_p), .IB(adc_clk_n), + .O(adc_buf_clk) + ); + + BUFG ss_clk_bufg_i ( + .I(adc_buf_clk), + .O(adc_cap_clk) + ); + + //------------------------------------------------------------------- + // Data Path + + wire [WIDTH-1:0] adc_data_buf, adc_data_del; + wire [(2*WIDTH)-1:0] adc_data_aclk; + reg [(2*WIDTH)-1:0] adc_data_rclk, adc_data_rclk_sync; + + genvar i; + generate for(i = 0; i < WIDTH; i = i + 1) begin : gen_lvds_pins + + // Use a differential IO buffer to get the data into the IOB + IBUFDS ibufds_i ( + .I(adc_data_p[i]), .IB(adc_data_n[i]), + .O(adc_data_buf[i]) + ); + + // Use an optional IDELAY to tune the capture interface from + // software. This is a clock to data delay calibration so all + // data bits are delayed by the same amount. + if (DATA_IDELAY_MODE != "BYPASSED") begin + // Pipeline IDELAY control signals to ease routing + reg data_delay_stb_reg; + reg [4:0] data_delay_val_reg; + always @(posedge radio_clk) + {data_delay_stb_reg, data_delay_val_reg} <= {data_delay_stb, data_delay_val}; + + IDELAYE2 #( + .DELAY_SRC("IDATAIN"), // Delay input (IDATAIN, DATAIN) + .IDELAY_TYPE(DATA_IDELAY_MODE=="FIXED"?"FIXED":"VAR_LOAD"), // FIXED, VARIABLE, VAR_LOAD, VAR_LOAD_PIPE + .SIGNAL_PATTERN("DATA"), // DATA, CLOCK input signal + .HIGH_PERFORMANCE_MODE("TRUE"), // Reduced jitter ("TRUE"), Reduced power ("FALSE") + .PIPE_SEL("FALSE"), // Select pipelined mode, FALSE, TRUE + .CINVCTRL_SEL("FALSE"), // Enable dynamic clock inversion (FALSE, TRUE) + .IDELAY_VALUE(DATA_IDELAY_VAL), // Input delay tap setting (0-31) + .REFCLK_FREQUENCY(DATA_IDELAY_FREF) // IDELAYCTRL clock input frequency in MHz (190.0-210.0). + ) idelay_i ( + .DATAIN(1'b0), // Internal delay data input + .IDATAIN(adc_data_buf[i]), // Data input from the I/O + .DATAOUT(adc_data_del[i]), // Delayed data output + .C(radio_clk), // Clock input + .LD(data_delay_stb_reg), // Load IDELAY_VALUE input + .CE(1'b0), // Active high enable increment/decrement input + .INC(1'b0), // Increment / Decrement tap delay input + .CINVCTRL(1'b0), // Dynamic clock inversion input + .CNTVALUEIN(data_delay_val_reg), // Counter value input + .CNTVALUEOUT(), // Counter value output + .LDPIPEEN(1'b0), // Enable PIPELINE register to load data input + .REGRST(1'b0) // Reset for the pipeline register.Only used in VAR_LOAD_PIPE mode. + ); + end else begin + assign adc_data_del[i] = adc_data_buf[i]; + end + + // Use the global ADC clock to capture delayed data into an IDDR. + // Each IQ sample is transferred in QDR mode i.e. odd and even on + // a rising and falling edge of the clock + IDDR #( + .DDR_CLK_EDGE("SAME_EDGE_PIPELINED") + ) iddr_i ( + .C(adc_cap_clk), .CE(1'b1), + .D(adc_data_del[i]), .R(1'b0), .S(1'b0), + .Q1(adc_data_aclk[2*i]), .Q2(adc_data_aclk[(2*i)+1]) + ); + end endgenerate + + // Transfer data from the source-synchronous ADC clock domian to the + // system synchronous radio clock domain. We assume that adc_cap_clk + // and radio_clk are generated from the same source and have the same + // frequency however, they have an unknown but constant phase offset. + // In order to cross domains, we use a simple synchronizer to avoid any + // sample-sample delay uncertainty introduced by FIFOs. + // NOTE: The path between adc_data_aclk and adc_data_rclk must be + // constrained to prevent build to build variations. Also, the + // phase of the two clocks must be aligned ensure that the data + // capture is safe + always @(posedge radio_clk) + {adc_data_rclk_sync, adc_data_rclk} <= {adc_data_rclk, adc_data_aclk}; + + // The synchronized output is the output of this module + assign data_out = adc_data_rclk_sync; + + //------------------------------------------------------------------- + // Checkers + + generate if (PATT_CHECKER == "TRUE") begin + wire checker_en_aclk; + wire [1:0] checker_locked_aclk, checker_failed_aclk; + + synchronizer #(.INITIAL_VAL(1'b0)) checker_en_aclk_sync_i ( + .clk(adc_cap_clk), .rst(1'b0), .in(checker_en), .out(checker_en_aclk)); + synchronizer #(.INITIAL_VAL(1'b0)) checker_locked_aclk_0_sync_i ( + .clk(radio_clk), .rst(1'b0), .in(checker_locked_aclk[0]), .out(checker_locked[0])); + synchronizer #(.INITIAL_VAL(1'b0)) checker_locked_aclk_1_sync_i ( + .clk(radio_clk), .rst(1'b0), .in(checker_locked_aclk[1]), .out(checker_locked[1])); + synchronizer #(.INITIAL_VAL(1'b0)) checker_failed_aclk_0_sync_i ( + .clk(radio_clk), .rst(1'b0), .in(checker_failed_aclk[0]), .out(checker_failed[0])); + synchronizer #(.INITIAL_VAL(1'b0)) checker_failed_aclk_1_sync_i ( + .clk(radio_clk), .rst(1'b0), .in(checker_failed_aclk[1]), .out(checker_failed[1])); + + cap_pattern_verifier #( // Q Channel : Synchronous to SSCLK + .WIDTH(WIDTH), .PATTERN("RAMP"), .HOLD_CYCLES(1), + .RAMP_START(0), .RAMP_STOP({WIDTH{1'b1}}), .RAMP_INCR(1) + ) aclk_q_checker_i ( + .clk(adc_cap_clk), .rst(~checker_en_aclk), + .valid(1'b1), .data(~adc_data_aclk[WIDTH-1:0]), + .count(), .errors(), + .locked(checker_locked_aclk[0]), .failed(checker_failed_aclk[0]) + ); + + cap_pattern_verifier #( // I Channel : Synchronous to SSCLK + .WIDTH(WIDTH), .PATTERN("RAMP"), .HOLD_CYCLES(1), + .RAMP_START(0), .RAMP_STOP({WIDTH{1'b1}}), .RAMP_INCR(1) + ) aclk_i_checker_i ( + .clk(adc_cap_clk), .rst(~checker_en_aclk), + .valid(1'b1), .data(~adc_data_aclk[(2*WIDTH)-1:WIDTH]), + .count(), .errors(), + .locked(checker_locked_aclk[1]), .failed(checker_failed_aclk[1]) + ); + + cap_pattern_verifier #( // Q Channel : Synchronous to Radio CLK + .WIDTH(WIDTH), .PATTERN("RAMP"), .HOLD_CYCLES(1), + .RAMP_START(0), .RAMP_STOP({WIDTH{1'b1}}), .RAMP_INCR(1) + ) rclk_q_checker_i ( + .clk(radio_clk), .rst(~checker_en), + .valid(1'b1), .data(~adc_data_rclk_sync[WIDTH-1:0]), + .count(), .errors(), + .locked(checker_locked[2]), .failed(checker_failed[2]) + ); + + cap_pattern_verifier #( // I Channel : Synchronous to Radio CLK + .WIDTH(WIDTH), .PATTERN("RAMP"), .HOLD_CYCLES(1), + .RAMP_START(0), .RAMP_STOP({WIDTH{1'b1}}), .RAMP_INCR(1) + ) rclk_i_checker_i ( + .clk(radio_clk), .rst(~checker_en), + .valid(1'b1), .data(~adc_data_rclk_sync[(2*WIDTH)-1:WIDTH]), + .count(), .errors(), + .locked(checker_locked[3]), .failed(checker_failed[3]) + ); + end endgenerate + +endmodule // capture_ddrlvds diff --git a/fpga/usrp3/top/x300/coregen_dsp/.gitignore b/fpga/usrp3/top/x300/coregen_dsp/.gitignore new file mode 100644 index 000000000..dbc4e5588 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/.gitignore @@ -0,0 +1,4 @@ +_xmsgs +*.log +*.ncf + diff --git a/fpga/usrp3/top/x300/coregen_dsp/.lso b/fpga/usrp3/top/x300/coregen_dsp/.lso new file mode 100644 index 000000000..9d9a4a3aa --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/.lso @@ -0,0 +1 @@ +fir_compiler_v5_0 diff --git a/fpga/usrp3/top/x300/coregen_dsp/Makefile.srcs b/fpga/usrp3/top/x300/coregen_dsp/Makefile.srcs new file mode 100644 index 000000000..cc199b39c --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/Makefile.srcs @@ -0,0 +1,17 @@ +# +# Copyright 2012 Ettus Research LLC +# + +################################################## +# Coregen Sources +################################################## + +COREGEN_DSP_SRCS = $(abspath $(addprefix $(BASE_DIR)/../top/x300/coregen_dsp/, \ +hbdec1.v \ +hbdec1.ngc \ +hbdec2.v \ +hbdec2.ngc \ +hbdec3.v \ +hbdec3.ngc \ +)) + diff --git a/fpga/usrp3/top/x300/coregen_dsp/coregen.cgp b/fpga/usrp3/top/x300/coregen_dsp/coregen.cgp new file mode 100644 index 000000000..593e2f179 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/coregen.cgp @@ -0,0 +1,9 @@ +SET busformat = BusFormatAngleBracketNotRipped +SET designentry = Verilog +SET device = xc7k410t +SET devicefamily = kintex7 +SET flowvendor = Other +SET package = ffg900 +SET speedgrade = -2 +SET verilogsim = true +SET vhdlsim = false diff --git a/fpga/usrp3/top/x300/coregen_dsp/filt2.coe b/fpga/usrp3/top/x300/coregen_dsp/filt2.coe new file mode 100644 index 000000000..e18b5daa7 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/filt2.coe @@ -0,0 +1,36 @@ + Radix=10; +CoefData = 282, + -0, + -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581, + -0, + 282; diff --git a/fpga/usrp3/top/x300/coregen_dsp/hb31.coe b/fpga/usrp3/top/x300/coregen_dsp/hb31.coe new file mode 100644 index 000000000..37f5a180e --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hb31.coe @@ -0,0 +1,32 @@ +Radix=10; +CoefData = -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581; diff --git a/fpga/usrp3/top/x300/coregen_dsp/hb35.coe b/fpga/usrp3/top/x300/coregen_dsp/hb35.coe new file mode 100644 index 000000000..18078085d --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hb35.coe @@ -0,0 +1,36 @@ +Radix=10; +CoefData = 282, + -0, + -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581, + -0, + 282; diff --git a/fpga/usrp3/top/x300/coregen_dsp/hb39.coe b/fpga/usrp3/top/x300/coregen_dsp/hb39.coe new file mode 100644 index 000000000..1d99fa946 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hb39.coe @@ -0,0 +1,40 @@ +Radix=10; +CoefData = -102, + 0, + 282, + -0, + -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581, + -0, + 282, + 0, + -102; diff --git a/fpga/usrp3/top/x300/coregen_dsp/hb43.coe b/fpga/usrp3/top/x300/coregen_dsp/hb43.coe new file mode 100644 index 000000000..c56c4c928 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hb43.coe @@ -0,0 +1,44 @@ +Radix=10; +CoefData = 100, +0, +-102, + 0, + 282, + -0, + -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581, + -0, + 282, + 0, + -102, +0, +100; diff --git a/fpga/usrp3/top/x300/coregen_dsp/hb47.coe b/fpga/usrp3/top/x300/coregen_dsp/hb47.coe new file mode 100644 index 000000000..85b9a0f3d --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hb47.coe @@ -0,0 +1,51 @@ +; Generated with round((2^18-2)*halfgen_test(.21,12,1)) +; 83% effective BW, 64dB to 85dB rolloff +Radix=10; +CoefData = +-62, + 0, + 194, + 0, +-440, + 0, + 855, + 0, +-1505, + 0, + 2478, + 0, +-3900, + 0, + 5990, + 0, +-9187, + 0, + 14632, + 0, +-26536, + 0, + 83009, + 131071, + 83009, + 0, +-26536, + 0, + 14632, + 0, +-9187, + 0, + 5990, + 0, +-3900, + 0, + 2478, + 0, +-1505, + 0, + 855, + 0, +-440, + 0, + 194, + 0, +-62; diff --git a/fpga/usrp3/top/x300/coregen_dsp/hb51.coe b/fpga/usrp3/top/x300/coregen_dsp/hb51.coe new file mode 100644 index 000000000..ebfddb7fb --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hb51.coe @@ -0,0 +1,52 @@ +Radix=10; +CoefData = 300, +0, +-200, +0, +100, +0, +-102, + 0, + 282, + -0, + -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581, + -0, + 282, + 0, + -102, +0, +100, +0, +-200, +0, +300; diff --git a/fpga/usrp3/top/x300/coregen_dsp/hb55.coe b/fpga/usrp3/top/x300/coregen_dsp/hb55.coe new file mode 100644 index 000000000..07f861818 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hb55.coe @@ -0,0 +1,56 @@ +Radix=10; +CoefData = -400, +0, +300, +0, +-200, +0, +100, +0, +-102, + 0, + 282, + -0, + -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581, + -0, + 282, + 0, + -102, +0, +100, +0, +-200, +0, +300, +0, +-400; diff --git a/fpga/usrp3/top/x300/coregen_dsp/hb59.coe b/fpga/usrp3/top/x300/coregen_dsp/hb59.coe new file mode 100644 index 000000000..79f1e362b --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hb59.coe @@ -0,0 +1,60 @@ +Radix=10; +CoefData = 500, +0, +-400, +0, +300, +0, +-200, +0, +100, +0, +-102, + 0, + 282, + -0, + -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581, + -0, + 282, + 0, + -102, +0, +100, +0, +-200, +0, +300, +0, +-400, +0 +500; diff --git a/fpga/usrp3/top/x300/coregen_dsp/hb63.coe b/fpga/usrp3/top/x300/coregen_dsp/hb63.coe new file mode 100644 index 000000000..3b312036d --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hb63.coe @@ -0,0 +1,67 @@ +; Generated with round((2^18-2)*halfgen_test(.22,16,1)) +; 88% effective BW, 64dB to 87dB rolloff +Radix=10; +CoefData = +-35, + 0, + 95, + 0, +-195, + 0, + 352, + 0, +-582, + 0, + 907, + 0, +-1354, + 0, + 1953, + 0, +-2751, + 0, + 3813, + 0, +-5249, + 0, + 7264, + 0, +-10296, + 0, + 15494, + 0, +-27083, + 0, + 83196, + 131071, + 83196, + 0, +-27083, + 0, + 15494, + 0, +-10296, + 0, + 7264, + 0, +-5249, + 0, + 3813, + 0, +-2751, + 0, + 1953, + 0, +-1354, + 0, + 907, + 0, +-582, + 0, + 352, + 0, + -195, + 0 + 95, + 0 +-35; diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec1.asy b/fpga/usrp3/top/x300/coregen_dsp/hbdec1.asy new file mode 100644 index 000000000..7644e7bbe --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec1.asy @@ -0,0 +1,61 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 hbdec1 +RECTANGLE Normal 32 32 544 2048 +LINE Normal 0 144 32 144 +PIN 0 144 LEFT 36 +PINATTR PinName nd +PINATTR Polarity IN +LINE Wide 0 240 32 240 +PIN 0 240 LEFT 36 +PINATTR PinName din_1[23:0] +PINATTR Polarity IN +LINE Wide 0 272 32 272 +PIN 0 272 LEFT 36 +PINATTR PinName din_2[23:0] +PINATTR Polarity IN +LINE Normal 0 848 32 848 +PIN 0 848 LEFT 36 +PINATTR PinName coef_ld +PINATTR Polarity IN +LINE Normal 0 880 32 880 +PIN 0 880 LEFT 36 +PINATTR PinName coef_we +PINATTR Polarity IN +LINE Wide 0 912 32 912 +PIN 0 912 LEFT 36 +PINATTR PinName coef_din[17:0] +PINATTR Polarity IN +LINE Normal 0 1008 32 1008 +PIN 0 1008 LEFT 36 +PINATTR PinName clk +PINATTR Polarity IN +LINE Normal 0 1040 32 1040 +PIN 0 1040 LEFT 36 +PINATTR PinName ce +PINATTR Polarity IN +LINE Normal 0 1072 32 1072 +PIN 0 1072 LEFT 36 +PINATTR PinName sclr +PINATTR Polarity IN +LINE Wide 576 240 544 240 +PIN 576 240 RIGHT 36 +PINATTR PinName dout_1[46:0] +PINATTR Polarity OUT +LINE Wide 576 272 544 272 +PIN 576 272 RIGHT 36 +PINATTR PinName dout_2[46:0] +PINATTR Polarity OUT +LINE Normal 576 1840 544 1840 +PIN 576 1840 RIGHT 36 +PINATTR PinName rfd +PINATTR Polarity OUT +LINE Normal 576 1872 544 1872 +PIN 576 1872 RIGHT 36 +PINATTR PinName rdy +PINATTR Polarity OUT +LINE Normal 576 1904 544 1904 +PIN 576 1904 RIGHT 36 +PINATTR PinName data_valid +PINATTR Polarity OUT + diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec1.mif b/fpga/usrp3/top/x300/coregen_dsp/hbdec1.mif new file mode 100644 index 000000000..78d2dd901 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec1.mif @@ -0,0 +1,47 @@ +111111111111000010 +000000000000000000 +000000000011000010 +000000000000000000 +111111111001001000 +000000000000000000 +000000001101010111 +000000000000000000 +111111101000011111 +000000000000000000 +000000100110101110 +000000000000000000 +111111000011000100 +000000000000000000 +000001011101100110 +000000000000000000 +111101110000011101 +000000000000000000 +000011100100101000 +000000000000000000 +111001100001011000 +000000000000000000 +010100010001000001 +011111111111111111 +010100010001000001 +000000000000000000 +111001100001011000 +000000000000000000 +000011100100101000 +000000000000000000 +111101110000011101 +000000000000000000 +000001011101100110 +000000000000000000 +111111000011000100 +000000000000000000 +000000100110101110 +000000000000000000 +111111101000011111 +000000000000000000 +000000001101010111 +000000000000000000 +111111111001001000 +000000000000000000 +000000000011000010 +000000000000000000 +111111111111000010 diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc b/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc new file mode 100644 index 000000000..5c09a6253 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$22g6~753-Xnzd}foo8#5+421).97 ?>;168456702:;<=>?01a8456789:;<=>?8:2345678820<=>?0121<>6789:;<>6401236567:2:?>6>61:30?4(7:2;;86??0133?4>=AGZ^X7L31683:45<910BB][[:CAJJ970294:86?7:HLSQQ=AGZ^X7m`eg]gmk:6?3:5=85>8;MVPUSS2KIDYY2>7;2=53=603E^X][[:EC\KPR;9>0;2<84198LQQVR\3NISB[[<0594;76380BB][[:@FGV96=87;97<4FNQWW>DBCZH6;6=0>2:39MKVR\3KOH_L30;2=5f=62@D[YY4lodd\bljsm{Uxia2?:1<23>7=AGZ^X7hflugq[vck490;2<;41;MVPUSS2jbjbRga<183:40<93E^X][[:bjbjZir|5:1<3?423914==58HK9>6:07>LHW]]0OMYOPIO>17?699;1987GAPTV9`lh;::0;2<;4258JJUSS2mceS<>32283:43<:=0BB][[:ekm[47;::0;2<;4258JJUSS2mceS<<32283:43<:=0BB][[:ekm[45;::0;2<;4258JJUSS2mceS<:32283:43<:=0BB][[:ekm[43;::0;2<;4258JJUSS2mceS<832283:42<:=0BB][[:ekm[4:5;3:5=95=4;KMTPR=l`dT>1<<:1<20>432@D[YY4kio]0875=87;?7?:5IORVP?bnfV>7>>4?>0686117?699=1987GAPTV9`lhX>5886=0>4:07>LHW]]0oecQ8<3194;733;>1EC^ZT;fjjZ>;::0;2<:4258JJUSS2mceS42=3;2=5==5<3E^X][[:ECWEZIR\5886=0>6:07>JSSX\^1hb{{_h>17?69901987AZTQWW>air|VcT==2=3;2=5<=5<3E^X][[:emvpZoX9869?7>118910?IR\Y__6iazt^k\57:5;3:5=45=4;MVPUSS2me~xRgP12>17?69901987AZTQWW>air|VcT=92=3;2=5<=5<3E^X][[:emvpZoX9<69?7>118910?IR\Y__6iazt^k\53:5;3:5=55=4;MVPUSS2me~xRgP1=00>586028?6B[[PTV9`jssW`U90?=50?3;?72=G\^[YY4kotv\mZ5;::0;2<64258LQQVR\3ndyyQf_5>17?69911987AZTQWW>air|VcT91<<:1<2<>432F__\XZ5dnww[lY14;91<3?7;369KPRW]]0ocxzPi^5?66<76820>94@UURVP?bh}}UbS52=3;2=5==5<3E^X][[:emvpZoX15886=0>0:06>LHW]]0O0?:50?31?72>586:281EC^ZT;CG@WD;93:5==5<0;KMTPR=I5826=0>3:13>LHW]]0JOD@32883:43<;90DYY^ZT;C@KPR;:00;2<<43;KMTPR=IMNYM1<50?31?61>586?291EC^ZT;ABVW_NFZOG0?4?>0680272?699>1?;7AZTQWW>air|V;;09850?34?11=G\^[YY4kotv\54:3>3:5=:5;7;MVPUSS2me~xR?=<5494;703==1CXZ_UU8gkprX9:6?:7>116973?IR\Y__6iazt^37810=87;<7995OTVSQQ586>2><6B[[PTV9`jssW;6?:7>117973?IR\Y__6iazt^1?03<768<08:4@UURVP?bh}}U?09850?35?11=G\^[YY4kotv\1921294::6:8:NWWTPR=lfS;2;6;2=53=3?3E^X][[:emvpZ1;=6=0>6:64>JSSX\^1hb{{_p>72?69901?;7AZTQWW>air|V{T==2;6;2=5<=3?3E^X][[:emvpZwX986?:7>118973?IR\Y__6iazt^s\57:3>3:5=45;7;MVPUSS2me~xRP12>72?69901?;7AZTQWW>air|V{T=92;6;2=5<=3?3E^X][[:emvpZwX9<6?:7>118973?IR\Y__6iazt^s\53:3>3:5=55;7;MVPUSS2me~xRP1=65>58602><6B[[PTV9`jssWxU909850?3;?11=G\^[YY4kotv\uZ5;72?69911?;7AZTQWW>air|V{T91:9:1<2<>202F__\XZ5dnww[tY14=<1<3?7;559KPRW]]0ocxzPq^5?03<768208:4@UURVP?bh}}UzS52;6;2=5==3?3E^X][[:emvpZwX15>=6=0>0:6;>LHW]]0H09950?37?1>=AGZ^X7JN_HL?02<76890854FNQWW>UENF5><6=0>6:6;>JSSX\^1HLQ@UU>73?699?1?47AZTQWW>ADXG\^78:4?>0380=0;2<:44;KMTPR=IE_FCIK33;2=53=32F__\XZ5C@PQ]JSS4:0;255;F56701233<;?<95:55227>3=AGZ^X7GAMNFF81<7681=868NA@:82DGFIHKJ>68L1:51?246;2=1EC^ZT;MSIJBB4?0;2?58Fd94o642|oll5=>"209;0>>?81805L<4A108E44<4A568E969=2K7==0:;@>25;3G;9=4>7L2>5?78E9716<1J0<915:C?5=823H6:53:4A=3=1>G;:94>7L2=1?78E9456<1J0?=15:C?61823H6993;4A=05:0=F4;=596O329<4?D:513:596O328<7?D:56=1J0>0;;@>7:1=F4<4?7L29>59B82833H63295N<8<;?DEF[JXNK:5NCHL?4;>18:C@MK:69720MNGA<00=<>GDAG6:?364ABKM842902KHEC2>5?:8EFOI48<546OLIO>23;>99BGLH;::437LMFN=07:==FK@D7>807;@AJJ941611JOD@326<;?DENF5832l5NCHL?6<<7611JOD@328<4?DENF585;6OLIO>0:2=FK@D78394ABKM80803HIBB1817:C@MK:06>1JOD@38?58EFOI40437LM@UU>3:<=FKF__0<>19:C@KPR;98427LM@UU>26;?89BGJSS48>556OLOTV?508>3HIDYY2>6?;8EFIR\5;<245NCNWW84>912KHCXZ318<;?DEH]]6:245NCNWW876912KHCXZ320<:?DEH]]69>374ABMVP944601JOB[[<36==>GDG\^7>806;@ALQQ:5>730MNAZT=04:<=FKF__0?61b:C@KPR;:00;245NCNWW87?902KHCXZ32?:8EFIR\59546OLOTV?0;>720MNAZT=5=<>GDG\^74364ABMVP9?912KOH_2?:1<4?DBCZ5:5m6OKDSC?4?6902KOH_O30?c8EABUI5;1<364AEFQE979i2KOH_O32;2=<>GCL[K7>3o4AEFQF96=8720MIJ]B=2=e>GCL[H7=7>18:CG@WD;97k0MIJ]B=094;>0:d=FD\GDHH\JG59BV@A682K^XB\JSDV\TDRCM]=0MRGAPTV7?G:76<1I0<>15:@?54823K6:>3;4B=30:0=E48>596L314<6?G:6>7=0N1?8:1<6?G:6?7>0N1?14:@?6;2D;07>0N1718:@@EVEUMN=0NNGA<1<;?GENF5;;255MCHL?548?3KIBB1?=>99AGLH;9:437OMFN=37:==EK@D7=807;CAJJ9716h1IOD@31683:==EK@D7=:08;CAJJ979?2HHEC2=>69AGLH;;7=0NNGA<5<4?GENF5?5;6LLIO>5:2=EK@D7;394BBKM8=803KIBB1718:@@KPR;8730NNAZT=33:<=EKF__027;?89AGJSS48?556LLOTV?538e3KIDYY2>7;2==>DDG\^7=:07;CALQQ:6611IOB[[<3<;?GEH]]68255MCNWW818?3KIDYY2:>99AGJSS4?437OM@UU>4:==EKF__0507;CALQQ:>6=1I^HI7;CWP[LHAG>1ISD@_UU38G1=D494>7N2>0?78G9766<1H0<<15:A?56823J6:83;4C=36:0=D48<596M316<6?F:607?0O1?6>59@84823J69<3;4C=02:0=D4;8596M322<6?F:5<7?0O1<:>49@8709=2I7>:0:;B>1<;3>49@8649=2I7?>0:;B>00;3285L<24=1>E;;>4>7N2<8?78G95>6=1H0>0:;B>74;3:285L<50=1>E;<:4>7N2;4?78G9226<1H09817:A?02<76<1H09914:A?0;2EFZ[SHM^MFNb9@EWT^KHYHCXZ8;BCQV\OIi2IJ^_WFNSGD`>EFZ[SBB^KC<10>58e3JKY^TAZT=1=6>EB<2INM<:4CDC10>EBIM30OHOCULMGA1=DMK;?7NKM229@AF?25;3B;9=4>7I2>5?78@9716<1O0<915:F?5=823M6:53:4D=3=1>B;:94>7I2=1?78@9456<1O0?=17:F?61<76<1O0?:14:F?6;2B;07>0H1712:FBe>BF\HUBB1>1b:FBPDYNF5;;2o5KAUC\MK:697h0HLZN_HL?578e3MK_MRGA<01=f>BF\HUBB1?;>c9GEQGXAG6:93l4D@VB[LH;9?4i7IO[A^KM8419j2NJXLQFN=3;:g=CI]KTEC2>9?c8@DRFW@D7=3l4D@VB[LH;:94i7IO[A^KM8779j2NJXLQFN=01:a=CI]KTEC2=3;2=f>BF\HUBB1<<>`9GEQGXAG692l5KAUC\MK:46h1OMYOPIO>7:d=CI]KTEC2:>`9GEQGXAG6=2l5KAUC\MK:06h1OMYOPIO>;:d=CI]KTEC26>c9GEQGXG\^7<3m4D@VB[JSS48:5o6JNT@]LQQ:697i0HLZN_NWW8449k2NJXLQ@UU>27;eBF\HUDYY2>5?a8@DRFWF__0<81c:FBPDYH]]6:;3m4D@VB[JSS4825o6JNT@]LQQ:617h0HLZN_NWW848d3MK_MRAZT=03:f=CI]KTCXZ320<`?AGSIVE^X1<=>d9GEQGXG\^7>>4?>b9GEQGXG\^7>>0m;ECWEZIR\585n6JNT@]LQQ:46k1OMYOPOTV?0;d89GEZOI48:556JN_HL?548>3MKTEC2>2?;8@DYNF5;8245KA^KM842912NJSD@314<:?AGXAG6::3l4D@]JJ97029427IOPIO>23;?89GEZOI483546JN_HL?5;?89GEZOI4;;556JN_HL?678>3MKTEC2=3?;8@DYNF58?245KA^KM873912NJSD@327<:?AGXAG69;374D@]JJ94?601OMRGA<3;=<>BFW@D7>374D@]JJ957601OMRGA<23==>BFW@D7??06;EC\MK:4;730HLQFN=17:<=CIVCE0>;19:FB[LH;;?427IOPIO>03;?89GEZOI4:3546JN_HL?7;?89GEZOI4=;556JN_HL?078>3MKTEC2;3?;8@DYNF5>?245KA^KM813912NJSD@34719:FB[LH;<>437IOPIO>7:==CIVCE0807;EC\MK:1611OMRGA<6<;?AGXAG63255KA^KM8<8>3MKTCXZ30?c8@DYH]]6:<3o4D@]LQQ:697k0HLQ@UU>26;g3?c8@DYH]]6:83o4D@]LQQ:6=7k0HLQ@UU>22;e7;2=e>BFWF__0<91a:FB[JSS4825m6JN_NWW84?912NJSB[[<0=0n;EC\KPR;:84j7IOPOTV?678f3MKTCXZ32290n;EC\KPR;:<4j7IOPOTV?638f3MKTCXZ32650n;EC\KPR;:0427IOPOTV?6;g07;g7k0HLQ@UU>03;g>`9GEZIR\5>92l5KA^MVP9246h1OMRAZT=67:d=CIVE^X1::>`9GEZIR\5>=2n5KA^MVP920294j7IOPOTV?028>3MKTCXZ34?;8@DYH]]6>245KA^MVP90912NJSB[[<6<:?AGXG\^74374D@]LQQ:>601ONRAZT=2=e>BEWF__0<>1a:FA[JSS48;5m6JM_NWW8449i2NISB[[<01=e>BEWF__0<:1a:FA[JSS48?5m6JM_NWW8409k2NISB[[<0594;g7?c8@GYH]]6:43o4DC]LQQ:61730HOQ@UU>2:d=CJVE^X1`9GFZIR\58:2l5KB^MVP9456h1ONRAZT=00:d=CJVE^X1<;>`9GFZIR\58>2l5KB^MVP9416h1ONRAZT=04:d=CJVE^X1<7>`9GFZIR\582245KB^MVP949i2NISB[[<22=e>BEWF__0>?1a:FA[JSS4:85m6JM_NWW8659i2NISB[[<26=e>BEWF__0>;1a:FA[JSS4:<5m6JM_NWW8619i2NISB[[<2:=e>BEWF__0>719:FA[JSS4:4j7ILPOTV?058f3MHTCXZ3400;2l5KB^MVP920601ONRAZT=6==>BEWF__0806;E@\KPR;>730HOQ@UU>4:<=CJVE^X1619:FA[JSS40497IK=;ED1?AO13MCYINZ<;ERL1>BWZH:>7I^]A078@UTF:<1O\_O<5:FSVD233MXNK:5KSQ6;A47@CI190JIK<;GFQ0>@CZL>0JI]J4:DELONc:DEBC@ANOLMJH?4G29DJA7OIEFNN094?>89JJHICM5>556GAMNFFV@A43@D]>6BF2:NL2>JHIMOO;6B@GHABH1=K]];?7A[[259OQQ533E__895CUU77?HGTC=1F^HI7;LWOPLVKQh1FYAZ\IFLJJg=J]E^XEJ@@UU78IP^DQ>1FYUMV_E58IP^DQVFh7@oeosTfvvohfj1Feca}Vdppmjh43G;;?6@>129M57533G;<5>5A1968J4>7<2D:4<:4N0:10>H60:>0B<6;4:L2<028668J4>?<2D:44=4N0;7?K7>8=1E=4?;;O3:61=I909?7C?6459M5<333G;2:95A1857?K7>0=1E=47=;O00?K47<2D9<=:4N3220>H58;>0B?><4:L141286@=0768J760<2D9<5:4N32:7>H59=1E><>;;O0251=I:88?7C<>359M64233G8:995A2047?K46?=1E><6;;O02=6=I:;>0B?=4N221?K253G??7C;:959M13633G?==95A5707?K31;=1E9;:;;O7511=I=?33G?=595A5627?K309=1E9:<;;O7471=I=>>?7C;8559M12033G?<;95A56:7?K301=1E95>;;O7;51=I=18?7C;7359M1=233G?3995A5947?K3??=1E956;;O7;=1=I=0:?7C;6159M1<433G?2?95A5867?K3>==1E948;;O7:31=I=02?7C;6959M25633G<;=95A6107?K07;=1E:=:;;O4311=I>>=?7C88859M22?33G<3<95A6937?K0?:=1E:5=;;O4;01=I>1??7C87659M2=133G<3495A69;7?K0>8=1E:4?;;O4:61=I>09?7C86459M2<333G<2:95A6857?K0>0=1E:47=;O57?K178=1E;=?;;O5361=I?99?7C9?459M35333G=;:95A7157?K170=1E;=7;;O5241=I?8;?7C9>259M34533G=:895A7077?K16>=1E;<9;;O52<1=I?83?7C9=059M37733G=9>95A7311?K>53G3m7CLPBTQSMKYWZFZX;6@JTVMQO2=IGDOYKH74NN]SEQBB\11ECR\JSDV2?J43FZFCIK32?;8KUKHLL68245@PLMGA92912E[ABJJ<419:MSIJBB4?427B^BOEGQAB703FZ_E@GUE^RQMHOSA_OXSCIL8:MUAW@KG^k0Cohzsbcwkw724;3V;9:4>7]2>4?78T9726<1[0<815:R?52823Y6:43;4P=3::1=W484>7]2=0?78T9466<1[0?<15:R?66823Y6983;4P=06:0=W4;<596^326<6?U:507?0\1<6>59S87823Y68<3;4P=12:0=W4:8596^332<6?U:4<7?0\1=:>49S8609=2Z7?:0:;Q>0<;3>49S8149=2Z78>0:;Q>70;3>285_<54=3>V;<>0;285_<55=0>V;<7>0\1;14:R?2;299SGLH;9<437]MFN=35:==WK@D7=:07;QAJJ97?611[OD@318<4?UENF5;546^LIO>14;>VDAG698364PBKM873902ZHEC2=6?:8TFOI4;=546^LIO>1<;>99SGLH;;=437]MFN=16:==WK@D7?;07;QAJJ950611[OD@339<;?UENF5922:5_CHL?7;>18:R@MK:39720\NGA<50=<>VDAG6??364PBKM812902ZHEC2;5?:8TFOI4=<5m6^LIO>73?6902ZHEC2;7?58TFOI4=4<7]MFN=7=3>VDAG6=2:5_CHL?3;1VDG\^7=;06;QALQQ:6?730\NAZT=3;:<=WKF__0<718:R@KPR;9730\NAZT=03:<=WKF__0??19:R@KPR;:;427]M@UU>17;?89SGJSS4;?556^LOTV?638>3YIDYY2=7?;8TFIR\583245_CNWW87?902ZHCXZ32?;8TFIR\59;245_CNWW867912ZHCXZ333<:?UEH]]68?374PBMVP953601[OB[[<27==>VDG\^7?;06;QALQQ:4?730\NAZT=1;:<=WKF__0>718:R@KPR;;730\NAZT=63:<=WKF__09?19:R@KPR;<;427]M@UU>77;?89SGJSS4=?556^LOTV?038e3YIDYY2;7;2==>VDG\^78:07;QALQQ:3611[OB[[<4<;?UEH]]6=255_CNWW828?3YIDYY27>99SGJSS404j7]GA_CWPMA^e3YCESO[\N@OF=>VLWAF^XCC;;QPFC4=V;2[:9<5]8:PBI64_9M>0^^ZNd:PPPDJKKHXYUD@n;SQWEISJGMO?7_][B59QWQE03[Y_OY\C4:PPPA?<[LFTAL]Db:QFHZVF\]OYB7UA]CX48\VRKAKh0TRM@RD]JJCI13QniSDji;Yfk[Utne_oydaa119[`hYJiceyZh||inl24>^ceVGbbb|Yesqjkk5_^]V4*aXehi%alm fhp\w`jbk}%licQgamdaekbXllibalzfoo,emw)`mgUxxb~Pe`pjh|)Je|rT~hiPiorvp47182UTSUBAM^]\6ZYX]9%lS`ol.lc`+couWzoginz gdl\ldjajhdoSiklilcwmjh)n`x$ecQndep,c`hX{}x~oy afg\vvrwg}=j7RQPXMLN[ZY4WVU^<"iPm`a-ide(n`xThbjcu-dakYoielimcjPddajidrngg$me!heo]qaiifl'xn`bok_blwvi)umeejhRm`mqnfp`YDGLLT@IQ@R^31[j72=2UTSUBAM^]\0ZYX]9%lS`ol.lc`+couWzoginz gdl\ldjajhdoSiklilcwmjh)n`x$e}lPotv\tdabW{y|bz88:]\[]JIEVUT9RQPU1-d[hgd&dkh#kg}_rgoafr(oldTdlbib`lg[acdadkeb`!fhp,c`hXjekegR|jg.efjZdkigaT~hi|[1_-figicVxnkRv rde\mkvr|8<;7RQPXMLN[ZY1WVU^<"iPm`a-ide(n`xThbjcu-dakYoielimcjPddajidrngg$me!]ergw[JTXL{c}iOADBnlgnCiikfn=8o4_^][HKKXWV=TSR[?/f]nef(jij%meQ|emg`p*abfVbj`klnne]gafoji}cdb#hfr.msgjbbWjd~aQklx>2:Zcvf8=37RQPXMLN[ZY?WVU^<"iPm`a-ide(n`xThbjcu-dakYoielimcjPddajidrngg$me!heo]qaiifl'xn`bok_blwvi)DGLLT@IQlodd\haYcdpUJBIQ>32]l53c?013a?gjl89:;<=>=b:`oo56789:;?o5mlj2345678=h0nae?0123453e3kf`<=>?0125f>dkc9:;<=>?7c9ahn6789:;<5l4bmi34567893i7obd0123456fj2hgg=>?0123fg=edb:;<=>?0b`8fim789:;<=jm;cnh456789:nn6lck1234567nk1i`f>?012355d?003a?gjl89:;<=?=b:`oo56789::?o5mlj2345679=h0nae?0123443e3kf`<=>?0135f>dkc9:;<=>>7c9ahn6789:;=5l4bmi34567883i7obd0123457fj2hgg=>?0122fg=edb:;<=>?1b`8fim789:;<?012365d?033a?gjl89:;<=<=b:`oo56789:9?o5mlj234567:=h0nae?0123473e3kf`<=>?0105f>dkc9:;<=>=7c9ahn6789:;>5l4bmi345678;3i7obd0123454fj2hgg=>?0121fg=edb:;<=>?2b`8fim789:;?012375d?023a?gjl89:;<===b:`oo56789:8?o5mlj234567;=h0nae?0123463e3kf`<=>?0115f>dkc9:;<=><7c9ahn6789:;?5l4bmi345678:3i7obd0123455fj2hgg=>?0120fg=edb:;<=>?3b`8fim789:;<>jm;cnh4567899nn6lck1234564nk1i`f>?012305d?053a?gjl89:;<=:=b:`oo56789:??o5mlj234567<=h0nae?0123413e3kf`<=>?0165f>dkc9:;<=>;7c9ahn6789:;85l4bmi345678=3i7obd0123452fj2hgg=>?0127fg=edb:;<=>?4b`8fim789:;<9jm;cnh456789>nn6lck1234563nk1i`f>?012315d?043a?gjl89:;<=;=b:`oo56789:>?o5mlj234567==h0nae?0123403e3kf`<=>?0175f>dkc9:;<=>:7c9ahn6789:;95l4bmi345678<3i7obd0123453fj2hgg=>?0126fg=edb:;<=>?5b`8fim789:;<8jm;cnh456789?nn6lck1234562nk1i`f>?012325d?073a?gjl89:;<=8=b:`oo56789:=?o5mlj234567>=h0nae?0123433e3kf`<=>?0145f>dkc9:;<=>97c9ahn6789:;:5l4bmi345678?3i7obd0123450fj2hgg=>?0125fg=edb:;<=>?6b`8fim789:;<;jm;cnh456789?012335d?063a?gjl89:;<=9=b:`oo56789:?0155f>dkc9:;<=>87c9ahn6789:;;5l4bmi345678>3i7obd0123451fj2hgg=>?0124fg=edb:;<=>?7b`8fim789:;<:jm;cnh456789=nn6lck1234560nk1i`f>?0123<5d?093a?gjl89:;<=6=b:`oo56789:3?o5mlj2345670=h0nae?01234=3e3kf`<=>?01:5f>dkc9:;<=>77c9ahn6789:;45l4bmi34567813i7obd012345>fj2hgg=>?012;fg=edb:;<=>?8b`8fim789:;<5jm;cnh4567892nn6lck123456?nk1i`f>?0123=5d?083a?gjl89:;<=7=b:`oo56789:2?o5mlj2345671=h0nae?01234<3e3kf`<=>?01;5f>dkc9:;<=>67c9ahn6789:;55l4bmi34567803i7obd012345?fj2hgg=>?012:fg=edb:;<=>?9b`8fim789:;<4jm;cnh4567893nn6lck123456>nk1i`f>?0123e5d?0`3a?gjl89:;<=o=b:`oo56789:j?o5mlj234567i=h0nae?01234d3e3kf`<=>?01c5f>dkc9:;<=>n7c9ahn6789:;m5l4bmi345678h3i7obd012345gfj2hgg=>?012bfg=edb:;<=>?ab`8fim789:;?0123f5d?0c3a?gjl89:;<=l=b:`oo56789:i?o5mlj234567j=h0nae?01234g3e3kf`<=>?01`5f>dkc9:;<=>m7c9ahn6789:;n5l4bmi345678k3i7obd012345dfj2hgg=>?012afg=edb:;<=>?bb`8fim789:;?0123g5d?0b3a?gjl89:;<=m=b:`oo56789:h?o5mlj234567k=h0nae?01234f3e3kf`<=>?01a5f>dkc9:;<=>l7c9ahn6789:;o5l4bmi345678j3i7obd012345efj2hgg=>?012`fg=edb:;<=>?cb`8fim789:;?0123`5d?0e3a?gjl89:;<=j=b:`oo56789:o?o5mlj234567l=h0nae?01234a3e3kf`<=>?01f5f>dkc9:;<=>k7c9ahn6789:;h5l4bmi345678m3i7obd012345bfj2hgg=>?012gfg=edb:;<=>?db`8fim789:;?0123a5d?0d3a?gjl89:;<=k=b:`oo56789:n?o5mlj234567m=h0nae?01234`3e3kf`<=>?01g5f>dkc9:;<=>j7c9ahn6789:;i5l4bmi345678l3i7obd012345cfj2hgg=>?012ffg=edb:;<=>?eb`8fim789:;?0123b5d?0g3a?gjl89:;<=h=b:`oo56789:m?o5mlj234567n=h0nae?01234c3e3kf`<=>?01d5f>dkc9:;<=>i7c9ahn6789:;j5l4bmi345678o3i7obd012345`fj2hgg=>?012efg=edb:;<=>?fb`8fim789:;?012245d?113a?gjl89:;<<>=b:`oo56789;;?o5mlj2345668=h0nae?0123553e3kf`<=>?0025f>dkc9:;<=??7c9ahn6789::<5l4bmi34567993i7obd0123446fj2hgg=>?0133fg=edb:;<=>>0b`8fim789:;==jm;cnh456788:nn6lck1234577nk1i`f>?012255d?103a?gjl89:;<?0035f>dkc9:;<=?>7c9ahn6789::=5l4bmi34567983i7obd0123447fj2hgg=>?0132fg=edb:;<=>>1b`8fim789:;=?012265d?133a?gjl89:;<<<=b:`oo56789;9?o5mlj234566:=h0nae?0123573e3kf`<=>?0005f>dkc9:;<=?=7c9ahn6789::>5l4bmi345679;3i7obd0123444fj2hgg=>?0131fg=edb:;<=>>2b`8fim789:;=?jm;cnh4567888nn6lck1234575nk1i`f>?012275d?123a?gjl89:;<<==b:`oo56789;8?o5mlj234566;=h0nae?0123563e3kf`<=>?0015f>dkc9:;<=?<7c9ahn6789::?5l4bmi345679:3i7obd0123445fj2hgg=>?0130fg=edb:;<=>>3b`8fim789:;=>jm;cnh4567889nn6lck1234574nk1i`f>?012205d?153a?gjl89:;<<:=b:`oo56789;??o5mlj234566<=h0nae?0123513e3kf`<=>?0065f>dkc9:;<=?;7c9ahn6789::85l4bmi345679=3i7obd0123442fj2hgg=>?0137fg=edb:;<=>>4b`8fim789:;=9jm;cnh456788>nn6lck1234573nk1i`f>?012215d?143a?gjl89:;<<;=b:`oo56789;>?o5mlj234566==h0nae?0123503e3kf`<=>?0075f>dkc9:;<=?:7c9ahn6789::95l4bmi345679<3i7obd0123443fj2hgg=>?0136fg=edb:;<=>>5b`8fim789:;=8jm;cnh456788?nn6lck1234572nk1i`f>?012225d?173a?gjl89:;<<8=b:`oo56789;=?o5mlj234566>=h0nae?0123533e3kf`<=>?0045f>dkc9:;<=?97c9ahn6789:::5l4bmi345679?3i7obd0123440fj2hgg=>?0135fg=edb:;<=>>6b`8fim789:;=;jm;cnh456788?012235d?163a?gjl89:;<<9=b:`oo56789;?0055f>dkc9:;<=?87c9ahn6789::;5l4bmi345679>3i7obd0123441fj2hgg=>?0134fg=edb:;<=>>7b`8fim789:;=:jm;cnh456788=nn6lck1234570nk1i`f>?0122<5d?193a?gjl89:;<<6=b:`oo56789;3?o5mlj2345660=h0nae?01235=3e3kf`<=>?00:5f>dkc9:;<=?77c9ahn6789::45l4bmi34567913i7obd012344>fj2hgg=>?013;fg=edb:;<=>>8b`8fim789:;=5jm;cnh4567882nn6lck123457?nk1i`f>?0122=5d?183a?gjl89:;<<7=b:`oo56789;2?o5mlj2345661=h0nae?01235<3e3kf`<=>?00;5f>dkc9:;<=?67c9ahn6789::55l4bmi34567903i7obd012344?fj2hgg=>?013:fg=edb:;<=>>9b`8fim789:;=4jm;cnh4567883nn6lck123457>nk1i`f>?0122e5d?1`3a?gjl89:;<?00c5f>dkc9:;<=?n7c9ahn6789::m5l4bmi345679h3i7obd012344gfj2hgg=>?013bfg=edb:;<=>>ab`8fim789:;=ljm;cnh456788knn6lck123457fnk1i`f>?0122f5d?1c3a?gjl89:;<?00`5f>dkc9:;<=?m7c9ahn6789::n5l4bmi345679k3i7obd012344dfj2hgg=>?013afg=edb:;<=>>bb`8fim789:;=ojm;cnh456788hnn6lck123457enk1i`f>?0122g5d?1b3a?gjl89:;<?00a5f>dkc9:;<=?l7c9ahn6789::o5l4bmi345679j3i7obd012344efj2hgg=>?013`fg=edb:;<=>>cb`8fim789:;=njm;cnh456788inn6lck123457dnk1i`f>?0122`5d?1e3a?gjl89:;<?00f5f>dkc9:;<=?k7c9ahn6789::h5l4bmi345679m3i7obd012344bfj2hgg=>?013gfg=edb:;<=>>db`8fim789:;=ijm;cnh456788nnn6lck123457cnk1i`f>?0122a5d?1d3a?gjl89:;<?00g5f>dkc9:;<=?j7c9ahn6789::i5l4bmi345679l3i7obd012344cfj2hgg=>?013ffg=edb:;<=>>eb`8fim789:;=hjm;cnh456788onn6lck123457bnk1i`f>?0122b5d?1g3a?gjl89:;<?00d5f>dkc9:;<=?i7c9ahn6789::j5l4bmi345679o3i7obd012344`fj2hgg=>?013efg=edb:;<=>>fb`8fim789:;=kjm;cnh456788lnn6lck123457ank1i`f>?012145d?213a?gjl89:;=b:`oo567898;?o5mlj2345658=h0nae?0123653e3kf`<=>?0325f>dkc9:;<=?0103fg=edb:;<=>=0b`8fim789:;>=jm;cnh45678;:nn6lck1234547nk1i`f>?012155d?203a?gjl89:;?0335f>dkc9:;<=<>7c9ahn6789:9=5l4bmi34567:83i7obd0123477fj2hgg=>?0102fg=edb:;<=>=1b`8fim789:;>?012165d?233a?gjl89:;?0305f>dkc9:;<=<=7c9ahn6789:9>5l4bmi34567:;3i7obd0123474fj2hgg=>?0101fg=edb:;<=>=2b`8fim789:;>?jm;cnh45678;8nn6lck1234545nk1i`f>?012175d?223a?gjl89:;?0315f>dkc9:;<=<<7c9ahn6789:9?5l4bmi34567::3i7obd0123475fj2hgg=>?0100fg=edb:;<=>=3b`8fim789:;>>jm;cnh45678;9nn6lck1234544nk1i`f>?012105d?253a?gjl89:;?0365f>dkc9:;<=<;7c9ahn6789:985l4bmi34567:=3i7obd0123472fj2hgg=>?0107fg=edb:;<=>=4b`8fim789:;>9jm;cnh45678;>nn6lck1234543nk1i`f>?012115d?243a?gjl89:;?o5mlj234565==h0nae?0123603e3kf`<=>?0375f>dkc9:;<=<:7c9ahn6789:995l4bmi34567:<3i7obd0123473fj2hgg=>?0106fg=edb:;<=>=5b`8fim789:;>8jm;cnh45678;?nn6lck1234542nk1i`f>?012125d?273a?gjl89:;=h0nae?0123633e3kf`<=>?0345f>dkc9:;<=<97c9ahn6789:9:5l4bmi34567:?3i7obd0123470fj2hgg=>?0105fg=edb:;<=>=6b`8fim789:;>;jm;cnh45678;?012135d?263a?gjl89:;?0355f>dkc9:;<=<87c9ahn6789:9;5l4bmi34567:>3i7obd0123471fj2hgg=>?0104fg=edb:;<=>=7b`8fim789:;>:jm;cnh45678;=nn6lck1234540nk1i`f>?0121<5d?293a?gjl89:;?03:5f>dkc9:;<=<77c9ahn6789:945l4bmi34567:13i7obd012347>fj2hgg=>?010;fg=edb:;<=>=8b`8fim789:;>5jm;cnh45678;2nn6lck123454?nk1i`f>?0121=5d?283a?gjl89:;?03;5f>dkc9:;<=<67c9ahn6789:955l4bmi34567:03i7obd012347?fj2hgg=>?010:fg=edb:;<=>=9b`8fim789:;>4jm;cnh45678;3nn6lck123454>nk1i`f>?0121e5d?2`3a?gjl89:;?03c5f>dkc9:;<=?010bfg=edb:;<=>=ab`8fim789:;>ljm;cnh45678;knn6lck123454fnk1i`f>?0121f5d?2c3a?gjl89:;?03`5f>dkc9:;<=?010afg=edb:;<=>=bb`8fim789:;>ojm;cnh45678;hnn6lck123454enk1i`f>?0121g5d?2b3a?gjl89:;?03a5f>dkc9:;<=?010`fg=edb:;<=>=cb`8fim789:;>njm;cnh45678;inn6lck123454dnk1i`f>?0121`5d?2e3a?gjl89:;?03f5f>dkc9:;<=?010gfg=edb:;<=>=db`8fim789:;>ijm;cnh45678;nnn6lck123454cnk1i`f>?0121a5d?2d3a?gjl89:;?03g5f>dkc9:;<=?010ffg=edb:;<=>=eb`8fim789:;>hjm;cnh45678;onn6lck123454bnk1i`f>?0121b5d?2g3a?gjl89:;?03d5f>dkc9:;<=?010efg=edb:;<=>=fb`8fim789:;>kjm;cnh45678;lnn6lck123454ank1i`f>?012045d?313a?gjl89:;<>>=b:`oo567899;?o5mlj2345648=h0nae?0123753e3kf`<=>?0225f>dkc9:;<==?7c9ahn6789:8<5l4bmi34567;93i7obd0123466fj2hgg=>?0113fg=edb:;<=><0b`8fim789:;?=jm;cnh45678::nn6lck1234557nk1i`f>?012055d?303a?gjl89:;<>?=b:`oo567899:?o5mlj2345649=h0nae?0123743e3kf`<=>?0235f>dkc9:;<==>7c9ahn6789:8=5l4bmi34567;83i7obd0123467fj2hgg=>?0112fg=edb:;<=><1b`8fim789:;??012065d?333a?gjl89:;<><=b:`oo5678999?o5mlj234564:=h0nae?0123773e3kf`<=>?0205f>dkc9:;<===7c9ahn6789:8>5l4bmi34567;;3i7obd0123464fj2hgg=>?0111fg=edb:;<=><2b`8fim789:;??jm;cnh45678:8nn6lck1234555nk1i`f>?012075d?323a?gjl89:;<>==b:`oo5678998?o5mlj234564;=h0nae?0123763e3kf`<=>?0215f>dkc9:;<==<7c9ahn6789:8?5l4bmi34567;:3i7obd0123465fj2hgg=>?0110fg=edb:;<=><3b`8fim789:;?>jm;cnh45678:9nn6lck1234554nk1i`f>?012005d?353a?gjl89:;<>:=b:`oo567899??o5mlj234564<=h0nae?0123713e3kf`<=>?0265f>dkc9:;<==;7c9ahn6789:885l4bmi34567;=3i7obd0123462fj2hgg=>?0117fg=edb:;<=><4b`8fim789:;?9jm;cnh45678:>nn6lck1234553nk1i`f>?012015d?343a?gjl89:;<>;=b:`oo567899>?o5mlj234564==h0nae?0123703e3kf`<=>?0275f>dkc9:;<==:7c9ahn6789:895l4bmi34567;<3i7obd0123463fj2hgg=>?0116fg=edb:;<=><5b`8fim789:;?8jm;cnh45678:?nn6lck1234552nk1i`f>?012025d?373a?gjl89:;<>8=b:`oo567899=?o5mlj234564>=h0nae?0123733e3kf`<=>?0245f>dkc9:;<==97c9ahn6789:8:5l4bmi34567;?3i7obd0123460fj2hgg=>?0115fg=edb:;<=><6b`8fim789:;?;jm;cnh45678:?012035d?363a?gjl89:;<>9=b:`oo567899?0255f>dkc9:;<==87c9ahn6789:8;5l4bmi34567;>3i7obd0123461fj2hgg=>?0114fg=edb:;<=><7b`8fim789:;?:jm;cnh45678:=nn6lck1234550nk1i`f>?0120<5d?393a?gjl89:;<>6=b:`oo5678993?o5mlj2345640=h0nae?01237=3e3kf`<=>?02:5f>dkc9:;<==77c9ahn6789:845l4bmi34567;13i7obd012346>fj2hgg=>?011;fg=edb:;<=><8b`8fim789:;?5jm;cnh45678:2nn6lck123455?nk1i`f>?0120=5d?383a?gjl89:;<>7=b:`oo5678992?o5mlj2345641=h0nae?01237<3e3kf`<=>?02;5f>dkc9:;<==67c9ahn6789:855l4bmi34567;03i7obd012346?fj2hgg=>?011:fg=edb:;<=><9b`8fim789:;?4jm;cnh45678:3nn6lck123455>nk1i`f>?0120e5d?3`3a?gjl89:;<>o=b:`oo567899j?o5mlj234564i=h0nae?01237d3e3kf`<=>?02c5f>dkc9:;<==n7c9ahn6789:8m5l4bmi34567;h3i7obd012346gfj2hgg=>?011bfg=edb:;<=>?0120f5d?3c3a?gjl89:;<>l=b:`oo567899i?o5mlj234564j=h0nae?01237g3e3kf`<=>?02`5f>dkc9:;<==m7c9ahn6789:8n5l4bmi34567;k3i7obd012346dfj2hgg=>?011afg=edb:;<=>?0120g5d?3b3a?gjl89:;<>m=b:`oo567899h?o5mlj234564k=h0nae?01237f3e3kf`<=>?02a5f>dkc9:;<==l7c9ahn6789:8o5l4bmi34567;j3i7obd012346efj2hgg=>?011`fg=edb:;<=>?0120`5d?3e3a?gjl89:;<>j=b:`oo567899o?o5mlj234564l=h0nae?01237a3e3kf`<=>?02f5f>dkc9:;<==k7c9ahn6789:8h5l4bmi34567;m3i7obd012346bfj2hgg=>?011gfg=edb:;<=>?0120a5d?3d3a?gjl89:;<>k=b:`oo567899n?o5mlj234564m=h0nae?01237`3e3kf`<=>?02g5f>dkc9:;<==j7c9ahn6789:8i5l4bmi34567;l3i7obd012346cfj2hgg=>?011ffg=edb:;<=>?0120b5d?3g3a?gjl89:;<>h=b:`oo567899m?o5mlj234564n=h0nae?01237c3e3kf`<=>?02d5f>dkc9:;<==i7c9ahn6789:8j5l4bmi34567;o3i7obd012346`fj2hgg=>?011efg=edb:;<=>?012745d?413a?gjl89:;<9>=b:`oo56789>;?o5mlj2345638=h0nae?0123053e3kf`<=>?0525f>dkc9:;<=:?7c9ahn6789:?<5l4bmi34567<93i7obd0123416fj2hgg=>?0163fg=edb:;<=>;0b`8fim789:;8=jm;cnh45678=:nn6lck1234527nk1i`f>?012755d?403a?gjl89:;<9?=b:`oo56789>:?o5mlj2345639=h0nae?0123043e3kf`<=>?0535f>dkc9:;<=:>7c9ahn6789:?=5l4bmi34567<83i7obd0123417fj2hgg=>?0162fg=edb:;<=>;1b`8fim789:;8?012765d?433a?gjl89:;<9<=b:`oo56789>9?o5mlj234563:=h0nae?0123073e3kf`<=>?0505f>dkc9:;<=:=7c9ahn6789:?>5l4bmi34567<;3i7obd0123414fj2hgg=>?0161fg=edb:;<=>;2b`8fim789:;8?jm;cnh45678=8nn6lck1234525nk1i`f>?012775d?423a?gjl89:;<9==b:`oo56789>8?o5mlj234563;=h0nae?0123063e3kf`<=>?0515f>dkc9:;<=:<7c9ahn6789:??5l4bmi34567<:3i7obd0123415fj2hgg=>?0160fg=edb:;<=>;3b`8fim789:;8>jm;cnh45678=9nn6lck1234524nk1i`f>?012705d?453a?gjl89:;<9:=b:`oo56789>??o5mlj234563<=h0nae?0123013e3kf`<=>?0565f>dkc9:;<=:;7c9ahn6789:?85l4bmi34567<=3i7obd0123412fj2hgg=>?0167fg=edb:;<=>;4b`8fim789:;89jm;cnh45678=>nn6lck1234523nk1i`f>?012715d?443a?gjl89:;<9;=b:`oo56789>>?o5mlj234563==h0nae?0123003e3kf`<=>?0575f>dkc9:;<=::7c9ahn6789:?95l4bmi34567<<3i7obd0123413fj2hgg=>?0166fg=edb:;<=>;5b`8fim789:;88jm;cnh45678=?nn6lck1234522nk1i`f>?012725d?473a?gjl89:;<98=b:`oo56789>=?o5mlj234563>=h0nae?0123033e3kf`<=>?0545f>dkc9:;<=:97c9ahn6789:?:5l4bmi34567?0165fg=edb:;<=>;6b`8fim789:;8;jm;cnh45678=?012735d?463a?gjl89:;<99=b:`oo56789>?0555f>dkc9:;<=:87c9ahn6789:?;5l4bmi34567<>3i7obd0123411fj2hgg=>?0164fg=edb:;<=>;7b`8fim789:;8:jm;cnh45678==nn6lck1234520nk1i`f>?0127<5d?493a?gjl89:;<96=b:`oo56789>3?o5mlj2345630=h0nae?01230=3e3kf`<=>?05:5f>dkc9:;<=:77c9ahn6789:?45l4bmi34567<13i7obd012341>fj2hgg=>?016;fg=edb:;<=>;8b`8fim789:;85jm;cnh45678=2nn6lck123452?nk1i`f>?0127=5d?483a?gjl89:;<97=b:`oo56789>2?o5mlj2345631=h0nae?01230<3e3kf`<=>?05;5f>dkc9:;<=:67c9ahn6789:?55l4bmi34567<03i7obd012341?fj2hgg=>?016:fg=edb:;<=>;9b`8fim789:;84jm;cnh45678=3nn6lck123452>nk1i`f>?0127e5d?4`3a?gjl89:;<9o=b:`oo56789>j?o5mlj234563i=h0nae?01230d3e3kf`<=>?05c5f>dkc9:;<=:n7c9ahn6789:?m5l4bmi34567?016bfg=edb:;<=>;ab`8fim789:;8ljm;cnh45678=knn6lck123452fnk1i`f>?0127f5d?4c3a?gjl89:;<9l=b:`oo56789>i?o5mlj234563j=h0nae?01230g3e3kf`<=>?05`5f>dkc9:;<=:m7c9ahn6789:?n5l4bmi34567?016afg=edb:;<=>;bb`8fim789:;8ojm;cnh45678=hnn6lck123452enk1i`f>?0127g5d?4b3a?gjl89:;<9m=b:`oo56789>h?o5mlj234563k=h0nae?01230f3e3kf`<=>?05a5f>dkc9:;<=:l7c9ahn6789:?o5l4bmi34567?016`fg=edb:;<=>;cb`8fim789:;8njm;cnh45678=inn6lck123452dnk1i`f>?0127`5d?4e3a?gjl89:;<9j=b:`oo56789>o?o5mlj234563l=h0nae?01230a3e3kf`<=>?05f5f>dkc9:;<=:k7c9ahn6789:?h5l4bmi34567?016gfg=edb:;<=>;db`8fim789:;8ijm;cnh45678=nnn6lck123452cnk1i`f>?0127a5d?4d3a?gjl89:;<9k=b:`oo56789>n?o5mlj234563m=h0nae?01230`3e3kf`<=>?05g5f>dkc9:;<=:j7c9ahn6789:?i5l4bmi34567?016ffg=edb:;<=>;eb`8fim789:;8hjm;cnh45678=onn6lck123452bnk1i`f>?0127b5d?4g3a?gjl89:;<9h=b:`oo56789>m?o5mlj234563n=h0nae?01230c3e3kf`<=>?05d5f>dkc9:;<=:i7c9ahn6789:?j5l4bmi34567?016efg=edb:;<=>;fb`8fim789:;8kjm;cnh45678=lnn6lck123452ank1i`f>?012645d?513a?gjl89:;<8>=b:`oo56789?;?o5mlj2345628=h0nae?0123153e3kf`<=>?0425f>dkc9:;<=;?7c9ahn6789:><5l4bmi34567=93i7obd0123406fj2hgg=>?0173fg=edb:;<=>:0b`8fim789:;9=jm;cnh45678<:nn6lck1234537nk1i`f>?012655d?503a?gjl89:;<8?=b:`oo56789?:?o5mlj2345629=h0nae?0123143e3kf`<=>?0435f>dkc9:;<=;>7c9ahn6789:>=5l4bmi34567=83i7obd0123407fj2hgg=>?0172fg=edb:;<=>:1b`8fim789:;9?012665d?533a?gjl89:;<8<=b:`oo56789?9?o5mlj234562:=h0nae?0123173e3kf`<=>?0405f>dkc9:;<=;=7c9ahn6789:>>5l4bmi34567=;3i7obd0123404fj2hgg=>?0171fg=edb:;<=>:2b`8fim789:;9?jm;cnh45678<8nn6lck1234535nk1i`f>?012675d?523a?gjl89:;<8==b:`oo56789?8?o5mlj234562;=h0nae?0123163e3kf`<=>?0415f>dkc9:;<=;<7c9ahn6789:>?5l4bmi34567=:3i7obd0123405fj2hgg=>?0170fg=edb:;<=>:3b`8fim789:;9>jm;cnh45678<9nn6lck1234534nk1i`f>?012605d?553a?gjl89:;<8:=b:`oo56789???o5mlj234562<=h0nae?0123113e3kf`<=>?0465f>dkc9:;<=;;7c9ahn6789:>85l4bmi34567==3i7obd0123402fj2hgg=>?0177fg=edb:;<=>:4b`8fim789:;99jm;cnh45678<>nn6lck1234533nk1i`f>?012615d?543a?gjl89:;<8;=b:`oo56789?>?o5mlj234562==h0nae?0123103e3kf`<=>?0475f>dkc9:;<=;:7c9ahn6789:>95l4bmi34567=<3i7obd0123403fj2hgg=>?0176fg=edb:;<=>:5b`8fim789:;98jm;cnh45678?012625d?573a?gjl89:;<88=b:`oo56789?=?o5mlj234562>=h0nae?0123133e3kf`<=>?0445f>dkc9:;<=;97c9ahn6789:>:5l4bmi34567=?3i7obd0123400fj2hgg=>?0175fg=edb:;<=>:6b`8fim789:;9;jm;cnh45678<?012635d?563a?gjl89:;<89=b:`oo56789??0455f>dkc9:;<=;87c9ahn6789:>;5l4bmi34567=>3i7obd0123401fj2hgg=>?0174fg=edb:;<=>:7b`8fim789:;9:jm;cnh45678<=nn6lck1234530nk1i`f>?0126<5d?593a?gjl89:;<86=b:`oo56789?3?o5mlj2345620=h0nae?01231=3e3kf`<=>?04:5f>dkc9:;<=;77c9ahn6789:>45l4bmi34567=13i7obd012340>fj2hgg=>?017;fg=edb:;<=>:8b`8fim789:;95jm;cnh45678<2nn6lck123453?nk1i`f>?0126=5d?583a?gjl89:;<87=b:`oo56789?2?o5mlj2345621=h0nae?01231<3e3kf`<=>?04;5f>dkc9:;<=;67c9ahn6789:>55l4bmi34567=03i7obd012340?fj2hgg=>?017:fg=edb:;<=>:9b`8fim789:;94jm;cnh45678<3nn6lck123453>nk1i`f>?0126e5d?5`3a?gjl89:;<8o=b:`oo56789?j?o5mlj234562i=h0nae?01231d3e3kf`<=>?04c5f>dkc9:;<=;n7c9ahn6789:>m5l4bmi34567=h3i7obd012340gfj2hgg=>?017bfg=edb:;<=>:ab`8fim789:;9ljm;cnh45678?0126f5d?5c3a?gjl89:;<8l=b:`oo56789?i?o5mlj234562j=h0nae?01231g3e3kf`<=>?04`5f>dkc9:;<=;m7c9ahn6789:>n5l4bmi34567=k3i7obd012340dfj2hgg=>?017afg=edb:;<=>:bb`8fim789:;9ojm;cnh45678?0126g5d?5b3a?gjl89:;<8m=b:`oo56789?h?o5mlj234562k=h0nae?01231f3e3kf`<=>?04a5f>dkc9:;<=;l7c9ahn6789:>o5l4bmi34567=j3i7obd012340efj2hgg=>?017`fg=edb:;<=>:cb`8fim789:;9njm;cnh45678?0126`5d?5e3a?gjl89:;<8j=b:`oo56789?o?o5mlj234562l=h0nae?01231a3e3kf`<=>?04f5f>dkc9:;<=;k7c9ahn6789:>h5l4bmi34567=m3i7obd012340bfj2hgg=>?017gfg=edb:;<=>:db`8fim789:;9ijm;cnh45678?0126a5d?5d3a?gjl89:;<8k=b:`oo56789?n?o5mlj234562m=h0nae?01231`3e3kf`<=>?04g5f>dkc9:;<=;j7c9ahn6789:>i5l4bmi34567=l3i7obd012340cfj2hgg=>?017ffg=edb:;<=>:eb`8fim789:;9hjm;cnh45678?0126b5d?5g3a?gjl89:;<8h=b:`oo56789?m?o5mlj234562n=h0nae?01231c3e3kf`<=>?04d5f>dkc9:;<=;i7c9ahn6789:>j5l4bmi34567=o3i7obd012340`fj2hgg=>?017efg=edb:;<=>:fb`8fim789:;9kjm;cnh45678?012545d?613a?gjl89:;<;>=b:`oo56789<;?o5mlj2345618=h0nae?0123253e3kf`<=>?0725f>dkc9:;<=8?7c9ahn6789:=<5l4bmi34567>93i7obd0123436fj2hgg=>?0143fg=edb:;<=>90b`8fim789:;:=jm;cnh45678?:nn6lck1234507nk1i`f>?012555d?603a?gjl89:;<;?=b:`oo56789<:?o5mlj2345619=h0nae?0123243e3kf`<=>?0735f>dkc9:;<=8>7c9ahn6789:==5l4bmi34567>83i7obd0123437fj2hgg=>?0142fg=edb:;<=>91b`8fim789:;:?012565d?633a?gjl89:;<;<=b:`oo56789<9?o5mlj234561:=h0nae?0123273e3kf`<=>?0705f>dkc9:;<=8=7c9ahn6789:=>5l4bmi34567>;3i7obd0123434fj2hgg=>?0141fg=edb:;<=>92b`8fim789:;:?jm;cnh45678?8nn6lck1234505nk1i`f>?012575d?623a?gjl89:;<;==b:`oo56789<8?o5mlj234561;=h0nae?0123263e3kf`<=>?0715f>dkc9:;<=8<7c9ahn6789:=?5l4bmi34567>:3i7obd0123435fj2hgg=>?0140fg=edb:;<=>93b`8fim789:;:>jm;cnh45678?9nn6lck1234504nk1i`f>?012505d?653a?gjl89:;<;:=b:`oo56789?0765f>dkc9:;<=8;7c9ahn6789:=85l4bmi34567>=3i7obd0123432fj2hgg=>?0147fg=edb:;<=>94b`8fim789:;:9jm;cnh45678?>nn6lck1234503nk1i`f>?012515d?643a?gjl89:;<;;=b:`oo56789<>?o5mlj234561==h0nae?0123203e3kf`<=>?0775f>dkc9:;<=8:7c9ahn6789:=95l4bmi34567><3i7obd0123433fj2hgg=>?0146fg=edb:;<=>95b`8fim789:;:8jm;cnh45678??nn6lck1234502nk1i`f>?012525d?673a?gjl89:;<;8=b:`oo56789<=?o5mlj234561>=h0nae?0123233e3kf`<=>?0745f>dkc9:;<=897c9ahn6789:=:5l4bmi34567>?3i7obd0123430fj2hgg=>?0145fg=edb:;<=>96b`8fim789:;:;jm;cnh45678??012535d?663a?gjl89:;<;9=b:`oo56789<?0755f>dkc9:;<=887c9ahn6789:=;5l4bmi34567>>3i7obd0123431fj2hgg=>?0144fg=edb:;<=>97b`8fim789:;::jm;cnh45678?=nn6lck1234500nk1i`f>?0125<5d?693a?gjl89:;<;6=b:`oo56789<3?o5mlj2345610=h0nae?01232=3e3kf`<=>?07:5f>dkc9:;<=877c9ahn6789:=45l4bmi34567>13i7obd012343>fj2hgg=>?014;fg=edb:;<=>98b`8fim789:;:5jm;cnh45678?2nn6lck123450?nk1i`f>?0125=5d?683a?gjl89:;<;7=b:`oo56789<2?o5mlj2345611=h0nae?01232<3e3kf`<=>?07;5f>dkc9:;<=867c9ahn6789:=55l4bmi34567>03i7obd012343?fj2hgg=>?014:fg=edb:;<=>99b`8fim789:;:4jm;cnh45678?3nn6lck123450>nk1i`f>?0125e5d?6`3a?gjl89:;<;o=b:`oo56789?07c5f>dkc9:;<=8n7c9ahn6789:=m5l4bmi34567>h3i7obd012343gfj2hgg=>?014bfg=edb:;<=>9ab`8fim789:;:ljm;cnh45678?knn6lck123450fnk1i`f>?0125f5d?6c3a?gjl89:;<;l=b:`oo56789?07`5f>dkc9:;<=8m7c9ahn6789:=n5l4bmi34567>k3i7obd012343dfj2hgg=>?014afg=edb:;<=>9bb`8fim789:;:ojm;cnh45678?hnn6lck123450enk1i`f>?0125g5d?6b3a?gjl89:;<;m=b:`oo56789?07a5f>dkc9:;<=8l7c9ahn6789:=o5l4bmi34567>j3i7obd012343efj2hgg=>?014`fg=edb:;<=>9cb`8fim789:;:njm;cnh45678?inn6lck123450dnk1i`f>?0125`5d?6e3a?gjl89:;<;j=b:`oo56789?07f5f>dkc9:;<=8k7c9ahn6789:=h5l4bmi34567>m3i7obd012343bfj2hgg=>?014gfg=edb:;<=>9db`8fim789:;:ijm;cnh45678?nnn6lck123450cnk1i`f>?0125a5d?6d3a?gjl89:;<;k=b:`oo56789?07g5f>dkc9:;<=8j7c9ahn6789:=i5l4bmi34567>l3i7obd012343cfj2hgg=>?014ffg=edb:;<=>9eb`8fim789:;:hjm;cnh45678?onn6lck123450bnk1i`f>?0125b5d?6g3a?gjl89:;<;h=b:`oo56789?07d5f>dkc9:;<=8i7c9ahn6789:=j5l4bmi34567>o3i7obd012343`fj2hgg=>?014efg=edb:;<=>9fb`8fim789:;:kjm;cnh45678?lnn6lck123450ank1i`f>?012445d?713a?gjl89:;<:>=b:`oo56789=;?o5mlj2345608=h0nae?0123353e3kf`<=>?0625f>dkc9:;<=9?7c9ahn6789:<<5l4bmi34567?93i7obd0123426fj2hgg=>?0153fg=edb:;<=>80b`8fim789:;;=jm;cnh45678>:nn6lck1234517nk1i`f>?012455d?703a?gjl89:;<:?=b:`oo56789=:?o5mlj2345609=h0nae?0123343e3kf`<=>?0635f>dkc9:;<=9>7c9ahn6789:<=5l4bmi34567?83i7obd0123427fj2hgg=>?0152fg=edb:;<=>81b`8fim789:;;;nn6lck1234516nk1i`f>?012465d?733a?gjl89:;<:<=b:`oo56789=9?o5mlj234560:=h0nae?0123373e3kf`<=>?0605f>dkc9:;<=9=7c9ahn6789:<>5l4bmi34567?;3i7obd0123424fj2hgg=>?0151fg=edb:;<=>82b`8fim789:;;?jm;cnh45678>8nn6lck1234515nk1i`f>?012475d?723a?gjl89:;<:==b:`oo56789=8?o5mlj234560;=h0nae?0123363e3kf`<=>?0615f>dkc9:;<=9<7c9ahn6789:?0150fg=edb:;<=>83b`8fim789:;;>jm;cnh45678>9nn6lck1234514nk1i`f>?012405d?753a?gjl89:;<::=b:`oo56789=??o5mlj234560<=h0nae?0123313e3kf`<=>?0665f>dkc9:;<=9;7c9ahn6789:<85l4bmi34567?=3i7obd0123422fj2hgg=>?0157fg=edb:;<=>84b`8fim789:;;9jm;cnh45678>>nn6lck1234513nk1i`f>?012415d?743a?gjl89:;<:;=b:`oo56789=>?o5mlj234560==h0nae?0123303e3kf`<=>?0675f>dkc9:;<=9:7c9ahn6789:<95l4bmi34567?<3i7obd0123423fj2hgg=>?0156fg=edb:;<=>85b`8fim789:;;8jm;cnh45678>?nn6lck1234512nk1i`f>?012425d?773a?gjl89:;<:8=b:`oo56789==?o5mlj234560>=h0nae?0123333e3kf`<=>?0645f>dkc9:;<=997c9ahn6789:<:5l4bmi34567??3i7obd0123420fj2hgg=>?0155fg=edb:;<=>86b`8fim789:;;;jm;cnh45678>?012435d?763a?gjl89:;<:9=b:`oo56789=?0655f>dkc9:;<=987c9ahn6789:<;5l4bmi34567?>3i7obd0123421fj2hgg=>?0154fg=edb:;<=>87b`8fim789:;;:jm;cnh45678>=nn6lck1234510nk1i`f>?0124<5d?793a?gjl89:;<:6=b:`oo56789=3?o5mlj2345600=h0nae?01233=3e3kf`<=>?06:5f>dkc9:;<=977c9ahn6789:<45l4bmi34567?13i7obd012342>fj2hgg=>?015;fg=edb:;<=>88b`8fim789:;;5jm;cnh45678>2nn6lck123451?nk1i`f>?0124=5d?783a?gjl89:;<:7=b:`oo56789=2?o5mlj2345601=h0nae?01233<3e3kf`<=>?06;5f>dkc9:;<=967c9ahn6789:<55l4bmi34567?03i7obd012342?fj2hgg=>?015:fg=edb:;<=>89b`8fim789:;;4jm;cnh45678>3nn6lck123451>nk1i`f>?0124e5d?7`3a?gjl89:;<:o=b:`oo56789=j?o5mlj234560i=h0nae?01233d3e3kf`<=>?06c5f>dkc9:;<=9n7c9ahn6789:?015bfg=edb:;<=>8ab`8fim789:;;ljm;cnh45678>knn6lck123451fnk1i`f>?0124f5d?7c3a?gjl89:;<:l=b:`oo56789=i?o5mlj234560j=h0nae?01233g3e3kf`<=>?06`5f>dkc9:;<=9m7c9ahn6789:?015afg=edb:;<=>8bb`8fim789:;;ojm;cnh45678>hnn6lck123451enk1i`f>?0124g5d?7b3a?gjl89:;<:m=b:`oo56789=h?o5mlj234560k=h0nae?01233f3e3kf`<=>?06a5f>dkc9:;<=9l7c9ahn6789:?015`fg=edb:;<=>8cb`8fim789:;;njm;cnh45678>inn6lck123451dnk1i`f>?0124`5d?7e3a?gjl89:;<:j=b:`oo56789=o?o5mlj234560l=h0nae?01233a3e3kf`<=>?06f5f>dkc9:;<=9k7c9ahn6789:?015gfg=edb:;<=>8db`8fim789:;;ijm;cnh45678>nnn6lck123451cnk1i`f>?0124a5d?7d3a?gjl89:;<:k=b:`oo56789=n?o5mlj234560m=h0nae?01233`3e3kf`<=>?06g5f>dkc9:;<=9j7c9ahn6789:?015ffg=edb:;<=>8eb`8fim789:;;hjm;cnh45678>onn6lck123451bnk1i`f>?0124b5d?7g3a?gjl89:;<:h=b:`oo56789=m?o5mlj234560n=h0nae?01233c3e3kf`<=>?06d5f>dkc9:;<=9i7c9ahn6789:?015efg=edb:;<=>8fb`8fim789:;;kjm;cnh45678>lnn6lck123451ank1i`f>?012;45d?813a?gjl89:;<5>=b:`oo567892;?o5mlj23456?8=h0nae?0123<53e3kf`<=>?0925f>dkc9:;<=6?7c9ahn6789:3<5l4bmi34567093i7obd01234=6fj2hgg=>?01:3fg=edb:;<=>70b`8fim789:;4=jm;cnh456781:nn6lck12345>7nk1i`f>?012;55d?803a?gjl89:;<5?=b:`oo567892:?o5mlj23456?9=h0nae?0123<43e3kf`<=>?0935f>dkc9:;<=6>7c9ahn6789:3=5l4bmi34567083i7obd01234=7fj2hgg=>?01:2fg=edb:;<=>71b`8fim789:;46nk1i`f>?012;65d?833a?gjl89:;<5<=b:`oo5678929?o5mlj23456?:=h0nae?0123<73e3kf`<=>?0905f>dkc9:;<=6=7c9ahn6789:3>5l4bmi345670;3i7obd01234=4fj2hgg=>?01:1fg=edb:;<=>72b`8fim789:;4?jm;cnh4567818nn6lck12345>5nk1i`f>?012;75d?823a?gjl89:;<5==b:`oo5678928?o5mlj23456?;=h0nae?0123<63e3kf`<=>?0915f>dkc9:;<=6<7c9ahn6789:3?5l4bmi345670:3i7obd01234=5fj2hgg=>?01:0fg=edb:;<=>73b`8fim789:;4>jm;cnh4567819nn6lck12345>4nk1i`f>?012;05d?853a?gjl89:;<5:=b:`oo567892??o5mlj23456?<=h0nae?0123<13e3kf`<=>?0965f>dkc9:;<=6;7c9ahn6789:385l4bmi345670=3i7obd01234=2fj2hgg=>?01:7fg=edb:;<=>74b`8fim789:;49jm;cnh456781>nn6lck12345>3nk1i`f>?012;15d?843a?gjl89:;<5;=b:`oo567892>?o5mlj23456?==h0nae?0123<03e3kf`<=>?0975f>dkc9:;<=6:7c9ahn6789:395l4bmi345670<3i7obd01234=3fj2hgg=>?01:6fg=edb:;<=>75b`8fim789:;48jm;cnh456781?nn6lck12345>2nk1i`f>?012;25d?873a?gjl89:;<58=b:`oo567892=?o5mlj23456?>=h0nae?0123<33e3kf`<=>?0945f>dkc9:;<=697c9ahn6789:3:5l4bmi345670?3i7obd01234=0fj2hgg=>?01:5fg=edb:;<=>76b`8fim789:;4;jm;cnh4567811nk1i`f>?012;35d?863a?gjl89:;<59=b:`oo567892?0955f>dkc9:;<=687c9ahn6789:3;5l4bmi345670>3i7obd01234=1fj2hgg=>?01:4fg=edb:;<=>77b`8fim789:;4:jm;cnh456781=nn6lck12345>0nk1i`f>?012;<5d?893a?gjl89:;<56=b:`oo5678923?o5mlj23456?0=h0nae?0123<=3e3kf`<=>?09:5f>dkc9:;<=677c9ahn6789:345l4bmi34567013i7obd01234=>fj2hgg=>?01:;fg=edb:;<=>78b`8fim789:;45jm;cnh4567812nn6lck12345>?nk1i`f>?012;=5d?883a?gjl89:;<57=b:`oo5678922?o5mlj23456?1=h0nae?0123<<3e3kf`<=>?09;5f>dkc9:;<=667c9ahn6789:355l4bmi34567003i7obd01234=?fj2hgg=>?01::fg=edb:;<=>79b`8fim789:;44jm;cnh4567813nn6lck12345>>nk1i`f>?012;e5d?8`3a?gjl89:;<5o=b:`oo567892j?o5mlj23456?i=h0nae?0123?09c5f>dkc9:;<=6n7c9ahn6789:3m5l4bmi345670h3i7obd01234=gfj2hgg=>?01:bfg=edb:;<=>7ab`8fim789:;4ljm;cnh456781knn6lck12345>fnk1i`f>?012;f5d?8c3a?gjl89:;<5l=b:`oo567892i?o5mlj23456?j=h0nae?0123?09`5f>dkc9:;<=6m7c9ahn6789:3n5l4bmi345670k3i7obd01234=dfj2hgg=>?01:afg=edb:;<=>7bb`8fim789:;4ojm;cnh456781hnn6lck12345>enk1i`f>?012;g5d?8b3a?gjl89:;<5m=b:`oo567892h?o5mlj23456?k=h0nae?0123?09a5f>dkc9:;<=6l7c9ahn6789:3o5l4bmi345670j3i7obd01234=efj2hgg=>?01:`fg=edb:;<=>7cb`8fim789:;4njm;cnh456781inn6lck12345>dnk1i`f>?012;`5d?8e3a?gjl89:;<5j=b:`oo567892o?o5mlj23456?l=h0nae?0123?09f5f>dkc9:;<=6k7c9ahn6789:3h5l4bmi345670m3i7obd01234=bfj2hgg=>?01:gfg=edb:;<=>7db`8fim789:;4ijm;cnh456781nnn6lck12345>cnk1i`f>?012;a5d?8d3a?gjl89:;<5k=b:`oo567892n?o5mlj23456?m=h0nae?0123<`3e3kf`<=>?09g5f>dkc9:;<=6j7c9ahn6789:3i5l4bmi345670l3i7obd01234=cfj2hgg=>?01:ffg=edb:;<=>7eb`8fim789:;4hjm;cnh456781onn6lck12345>bnk1i`f>?012;b5d?8g3a?gjl89:;<5h=b:`oo567892m?o5mlj23456?n=h0nae?0123?09d5f>dkc9:;<=6i7c9ahn6789:3j5l4bmi345670o3i7obd01234=`fj2hgg=>?01:efg=edb:;<=>7fb`8fim789:;4kjm;cnh456781lnn6lck12345>ank1i`f>?012:45d?913a?gjl89:;<4>=b:`oo567893;?o5mlj23456>8=h0nae?0123=53e3kf`<=>?0825f>dkc9:;<=7?7c9ahn6789:2<5l4bmi34567193i7obd01234<6fj2hgg=>?01;3fg=edb:;<=>60b`8fim789:;5=jm;cnh456780:nn6lck12345?7nk1i`f>?012:55d?903a?gjl89:;<4?=b:`oo567893:?o5mlj23456>9=h0nae?0123=43e3kf`<=>?0835f>dkc9:;<=7>7c9ahn6789:2=5l4bmi34567183i7obd01234<7fj2hgg=>?01;2fg=edb:;<=>61b`8fim789:;5?012:65d?933a?gjl89:;<4<=b:`oo5678939?o5mlj23456>:=h0nae?0123=73e3kf`<=>?0805f>dkc9:;<=7=7c9ahn6789:2>5l4bmi345671;3i7obd01234<4fj2hgg=>?01;1fg=edb:;<=>62b`8fim789:;5?jm;cnh4567808nn6lck12345?5nk1i`f>?012:75d?923a?gjl89:;<4==b:`oo5678938?o5mlj23456>;=h0nae?0123=63e3kf`<=>?0815f>dkc9:;<=7<7c9ahn6789:2?5l4bmi345671:3i7obd01234<5fj2hgg=>?01;0fg=edb:;<=>63b`8fim789:;5>jm;cnh4567809nn6lck12345?4nk1i`f>?012:05d?953a?gjl89:;<4:=b:`oo567893??o5mlj23456><=h0nae?0123=13e3kf`<=>?0865f>dkc9:;<=7;7c9ahn6789:285l4bmi345671=3i7obd01234<2fj2hgg=>?01;7fg=edb:;<=>64b`8fim789:;59jm;cnh456780>nn6lck12345?3nk1i`f>?012:15d?943a?gjl89:;<4;=b:`oo567893>?o5mlj23456>==h0nae?0123=03e3kf`<=>?0875f>dkc9:;<=7:7c9ahn6789:295l4bmi345671<3i7obd01234<3fj2hgg=>?01;6fg=edb:;<=>65b`8fim789:;58jm;cnh456780?nn6lck12345?2nk1i`f>?012:25d?973a?gjl89:;<48=b:`oo567893=?o5mlj23456>>=h0nae?0123=33e3kf`<=>?0845f>dkc9:;<=797c9ahn6789:2:5l4bmi345671?3i7obd01234<0fj2hgg=>?01;5fg=edb:;<=>66b`8fim789:;5;jm;cnh456780?012:35d?963a?gjl89:;<49=b:`oo567893?=h0nae?0123=23e3kf`<=>?0855f>dkc9:;<=787c9ahn6789:2;5l4bmi345671>3i7obd01234<1fj2hgg=>?01;4fg=edb:;<=>67b`8fim789:;5:jm;cnh456780=nn6lck12345?0nk1i`f>?012:<5d?993a?gjl89:;<46=b:`oo5678933?o5mlj23456>0=h0nae?0123==3e3kf`<=>?08:5f>dkc9:;<=777c9ahn6789:245l4bmi34567113i7obd01234<>fj2hgg=>?01;;fg=edb:;<=>68b`8fim789:;55jm;cnh4567802nn6lck12345??nk1i`f>?012:=5d?983a?gjl89:;<47=b:`oo5678932?o5mlj23456>1=h0nae?0123=<3e3kf`<=>?08;5f>dkc9:;<=767c9ahn6789:255l4bmi34567103i7obd01234?01;:fg=edb:;<=>69b`8fim789:;54jm;cnh4567803nn6lck12345?>nk1i`f>?012:e5d?9`3a?gjl89:;<4o=b:`oo567893j?o5mlj23456>i=h0nae?0123=d3e3kf`<=>?08c5f>dkc9:;<=7n7c9ahn6789:2m5l4bmi345671h3i7obd01234?01;bfg=edb:;<=>6ab`8fim789:;5ljm;cnh456780knn6lck12345?fnk1i`f>?012:f5d?9c3a?gjl89:;<4l=b:`oo567893i?o5mlj23456>j=h0nae?0123=g3e3kf`<=>?08`5f>dkc9:;<=7m7c9ahn6789:2n5l4bmi345671k3i7obd01234?01;afg=edb:;<=>6bb`8fim789:;5ojm;cnh456780hnn6lck12345?enk1i`f>?012:g5d?9b3a?gjl89:;<4m=b:`oo567893h?o5mlj23456>k=h0nae?0123=f3e3kf`<=>?08a5f>dkc9:;<=7l7c9ahn6789:2o5l4bmi345671j3i7obd01234?01;`fg=edb:;<=>6cb`8fim789:;5njm;cnh456780inn6lck12345?dnk1i`f>?012:`5d?9e3a?gjl89:;<4j=b:`oo567893o?o5mlj23456>l=h0nae?0123=a3e3kf`<=>?08f5f>dkc9:;<=7k7c9ahn6789:2h5l4bmi345671m3i7obd01234?01;gfg=edb:;<=>6db`8fim789:;5ijm;cnh456780nnn6lck12345?cnk1i`f>?012:a5d?9d3a?gjl89:;<4k=b:`oo567893n?o5mlj23456>m=h0nae?0123=`3e3kf`<=>?08g5f>dkc9:;<=7j7c9ahn6789:2i5l4bmi345671l3i7obd01234?01;ffg=edb:;<=>6eb`8fim789:;5hjm;cnh456780onn6lck12345?bnk1i`f>?012:b5d?9g3a?gjl89:;<4h=b:`oo567893m?o5mlj23456>n=h0nae?0123=c3e3kf`<=>?08d5f>dkc9:;<=7i7c9ahn6789:2j5l4bmi345671o3i7obd01234<`fj2hgg=>?01;efg=edb:;<=>6fb`8fim789:;5kjm;cnh456780lnn6lck12345?ank1i`f>?012b45d?a13a?gjl89:;=b:`oo56789k;?o5mlj23456f8=h0nae?0123e53e3kf`<=>?0`25f>dkc9:;<=o?7c9ahn6789:j<5l4bmi34567i93i7obd01234d6fj2hgg=>?01c3fg=edb:;<=>n0b`8fim789:;m=jm;cnh45678h:nn6lck12345g7nk1i`f>?012b55d?a03a?gjl89:;?0`35f>dkc9:;<=o>7c9ahn6789:j=5l4bmi34567i83i7obd01234d7fj2hgg=>?01c2fg=edb:;<=>n1b`8fim789:;m?012b65d?a33a?gjl89:;?0`05f>dkc9:;<=o=7c9ahn6789:j>5l4bmi34567i;3i7obd01234d4fj2hgg=>?01c1fg=edb:;<=>n2b`8fim789:;m?jm;cnh45678h8nn6lck12345g5nk1i`f>?012b75d?a23a?gjl89:;?0`15f>dkc9:;<=o<7c9ahn6789:j?5l4bmi34567i:3i7obd01234d5fj2hgg=>?01c0fg=edb:;<=>n3b`8fim789:;m>jm;cnh45678h9nn6lck12345g4nk1i`f>?012b05d?a53a?gjl89:;?0`65f>dkc9:;<=o;7c9ahn6789:j85l4bmi34567i=3i7obd01234d2fj2hgg=>?01c7fg=edb:;<=>n4b`8fim789:;m9jm;cnh45678h>nn6lck12345g3nk1i`f>?012b15d?a43a?gjl89:;?o5mlj23456f==h0nae?0123e03e3kf`<=>?0`75f>dkc9:;<=o:7c9ahn6789:j95l4bmi34567i<3i7obd01234d3fj2hgg=>?01c6fg=edb:;<=>n5b`8fim789:;m8jm;cnh45678h?nn6lck12345g2nk1i`f>?012b25d?a73a?gjl89:;=h0nae?0123e33e3kf`<=>?0`45f>dkc9:;<=o97c9ahn6789:j:5l4bmi34567i?3i7obd01234d0fj2hgg=>?01c5fg=edb:;<=>n6b`8fim789:;m;jm;cnh45678h?012b35d?a63a?gjl89:;?0`55f>dkc9:;<=o87c9ahn6789:j;5l4bmi34567i>3i7obd01234d1fj2hgg=>?01c4fg=edb:;<=>n7b`8fim789:;m:jm;cnh45678h=nn6lck12345g0nk1i`f>?012b<5d?a93a?gjl89:;?0`:5f>dkc9:;<=o77c9ahn6789:j45l4bmi34567i13i7obd01234d>fj2hgg=>?01c;fg=edb:;<=>n8b`8fim789:;m5jm;cnh45678h2nn6lck12345g?nk1i`f>?012b=5d?a83a?gjl89:;?0`;5f>dkc9:;<=o67c9ahn6789:j55l4bmi34567i03i7obd01234d?fj2hgg=>?01c:fg=edb:;<=>n9b`8fim789:;m4jm;cnh45678h3nn6lck12345g>nk1i`f>?012be5d?a`3a?gjl89:;?0`c5f>dkc9:;<=on7c9ahn6789:jm5l4bmi34567ih3i7obd01234dgfj2hgg=>?01cbfg=edb:;<=>nab`8fim789:;mljm;cnh45678hknn6lck12345gfnk1i`f>?012bf5d?ac3a?gjl89:;?0``5f>dkc9:;<=om7c9ahn6789:jn5l4bmi34567ik3i7obd01234ddfj2hgg=>?01cafg=edb:;<=>nbb`8fim789:;mojm;cnh45678hhnn6lck12345genk1i`f>?012bg5d?ab3a?gjl89:;?0`a5f>dkc9:;<=ol7c9ahn6789:jo5l4bmi34567ij3i7obd01234defj2hgg=>?01c`fg=edb:;<=>ncb`8fim789:;mnjm;cnh45678hinn6lck12345gdnk1i`f>?012b`5d?ae3a?gjl89:;?0`f5f>dkc9:;<=ok7c9ahn6789:jh5l4bmi34567im3i7obd01234dbfj2hgg=>?01cgfg=edb:;<=>ndb`8fim789:;mijm;cnh45678hnnn6lck12345gcnk1i`f>?012ba5d?ad3a?gjl89:;?0`g5f>dkc9:;<=oj7c9ahn6789:ji5l4bmi34567il3i7obd01234dcfj2hgg=>?01cffg=edb:;<=>neb`8fim789:;mhjm;cnh45678honn6lck12345gbnk1i`f>?012bb5d?ag3a?gjl89:;?0`d5f>dkc9:;<=oi7c9ahn6789:jj5l4bmi34567io3i7obd01234d`fj2hgg=>?01cefg=edb:;<=>nfb`8fim789:;mkjm;cnh45678hlnn6lck12345gank1i`f>?012a45d?b13a?gjl89:;=b:`oo56789h;?o5mlj23456e8=h0nae?0123f53e3kf`<=>?0c25f>dkc9:;<=l?7c9ahn6789:i<5l4bmi34567j93i7obd01234g6fj2hgg=>?01`3fg=edb:;<=>m0b`8fim789:;n=jm;cnh45678k:nn6lck12345d7nk1i`f>?012a55d?b03a?gjl89:;?0c35f>dkc9:;<=l>7c9ahn6789:i=5l4bmi34567j83i7obd01234g7fj2hgg=>?01`2fg=edb:;<=>m1b`8fim789:;n?012a65d?b33a?gjl89:;?0c05f>dkc9:;<=l=7c9ahn6789:i>5l4bmi34567j;3i7obd01234g4fj2hgg=>?01`1fg=edb:;<=>m2b`8fim789:;n?jm;cnh45678k8nn6lck12345d5nk1i`f>?012a75d?b23a?gjl89:;?0c15f>dkc9:;<=l<7c9ahn6789:i?5l4bmi34567j:3i7obd01234g5fj2hgg=>?01`0fg=edb:;<=>m3b`8fim789:;n>jm;cnh45678k9nn6lck12345d4nk1i`f>?012a05d?b53a?gjl89:;?0c65f>dkc9:;<=l;7c9ahn6789:i85l4bmi34567j=3i7obd01234g2fj2hgg=>?01`7fg=edb:;<=>m4b`8fim789:;n9jm;cnh45678k>nn6lck12345d3nk1i`f>?012a15d?b43a?gjl89:;?o5mlj23456e==h0nae?0123f03e3kf`<=>?0c75f>dkc9:;<=l:7c9ahn6789:i95l4bmi34567j<3i7obd01234g3fj2hgg=>?01`6fg=edb:;<=>m5b`8fim789:;n8jm;cnh45678k?nn6lck12345d2nk1i`f>?012a25d?b73a?gjl89:;=h0nae?0123f33e3kf`<=>?0c45f>dkc9:;<=l97c9ahn6789:i:5l4bmi34567j?3i7obd01234g0fj2hgg=>?01`5fg=edb:;<=>m6b`8fim789:;n;jm;cnh45678k?012a35d?b63a?gjl89:;?0c55f>dkc9:;<=l87c9ahn6789:i;5l4bmi34567j>3i7obd01234g1fj2hgg=>?01`4fg=edb:;<=>m7b`8fim789:;n:jm;cnh45678k=nn6lck12345d0nk1i`f>?012a<5d?b93a?gjl89:;?0c:5f>dkc9:;<=l77c9ahn6789:i45l4bmi34567j13i7obd01234g>fj2hgg=>?01`;fg=edb:;<=>m8b`8fim789:;n5jm;cnh45678k2nn6lck12345d?nk1i`f>?012a=5d?b83a?gjl89:;?0c;5f>dkc9:;<=l67c9ahn6789:i55l4bmi34567j03i7obd01234g?fj2hgg=>?01`:fg=edb:;<=>m9b`8fim789:;n4jm;cnh45678k3nn6lck12345d>nk1i`f>?012ae5d?b`3a?gjl89:;?0cc5f>dkc9:;<=ln7c9ahn6789:im5l4bmi34567jh3i7obd01234ggfj2hgg=>?01`bfg=edb:;<=>mab`8fim789:;nljm;cnh45678kknn6lck12345dfnk1i`f>?012af5d?bc3a?gjl89:;?0c`5f>dkc9:;<=lm7c9ahn6789:in5l4bmi34567jk3i7obd01234gdfj2hgg=>?01`afg=edb:;<=>mbb`8fim789:;nojm;cnh45678khnn6lck12345denk1i`f>?012ag5d?bb3a?gjl89:;?0ca5f>dkc9:;<=ll7c9ahn6789:io5l4bmi34567jj3i7obd01234gefj2hgg=>?01``fg=edb:;<=>mcb`8fim789:;nnjm;cnh45678kinn6lck12345ddnk1i`f>?012a`5d?be3a?gjl89:;?0cf5f>dkc9:;<=lk7c9ahn6789:ih5l4bmi34567jm3i7obd01234gbfj2hgg=>?01`gfg=edb:;<=>mdb`8fim789:;nijm;cnh45678knnn6lck12345dcnk1i`f>?012aa5d?bd3a?gjl89:;?0cg5f>dkc9:;<=lj7c9ahn6789:ii5l4bmi34567jl3i7obd01234gcfj2hgg=>?01`ffg=edb:;<=>meb`8fim789:;nhjm;cnh45678konn6lck12345dbnk1i`f>?012ab5d?bg3a?gjl89:;?0cd5f>dkc9:;<=li7c9ahn6789:ij5l4bmi34567jo3i7obd01234g`fj2hgg=>?01`efg=edb:;<=>mfb`8fim789:;nkjm;cnh45678klnn6lck12345dank1i`f>?012`45d?c13a?gjl89:;=b:`oo56789i;?o5mlj23456d8=h0nae?0123g53e3kf`<=>?0b25f>dkc9:;<=m?7c9ahn6789:h<5l4bmi34567k93i7obd01234f6fj2hgg=>?01a3fg=edb:;<=>l0b`8fim789:;o=jm;cnh45678j:nn6lck12345e7nk1i`f>?012`55d?c03a?gjl89:;3>5843jf`n6m`eg]gmk.7!k1hchhPdhl+5,eehmoUoec&>1(a8gjcaWmce$<<&c:alacYcag":?$m4cnge[aoi 8>"o6m`eg]gmk.6= i0obki_ekm,40.k2idikQkio*23,dehmoUoec&7)c9`k``Xl`d#5$l4cnge[aoi494h7najf^fjj9776j1hchhPdhl?548d3jenjRjfn=31:f=dgllThd`312<`?fibnVnbb1?;>b9`k``Xl`d7=80l;bmfbZbnf5;=2h5lodd\`lh;9>0;2n5lodd\`lh;9>4i7najf^fjj979j2idikQkio>1:g=dgllThd`33?`8gjcaWmce090m;bmfbZbnf5?5n6m`eg]gmk:16k1hchhPdhl?3;d1oec&>7(58`lh/91#<7iga(0;*2>bnf!8";6jfn)03-2=cag"9=$94dhl+67/03mce$?=&6:fjj-5.>2nbb%:&6:fjj-3.>2nbb%8&6:fjj-1.>2nbb%6&6:fjj-?.12nbb1<<:1<;?aoiW8";%55kio]2,4/>3mceS<&>0(;8`lhX9!;:%45kio]2,44.12nbbR?'12+:?aoiW8":8$74dhl\5-72!01oecQ>(04*=>bnfV;#=:'6;ekm[4.60 30hd`P1)3:-==cagU:$?'6;ekm[4.58 30hd`P1)02-<=cagU:$?<&9:fjjZ7/::#37iga_0*0-==cagU:$9'7;ekm[4.2!11oecQ>(7+;?aoiW8"<%55kio]2,=/?3mceS<&6)89gmkY68!:"56jfn^33,4/f3mceS<>'11+b?aoiW8:#=<'n;ekm[46/9;#j7iga_02+56/f3mceS<>'15+b?aoiW8:#=8'n;ekm[46/9?#j7iga_02+52/f3mceS<>'19+b?aoiW8:#=4'6;ekm[46/: k0hd`P11*14,g$o4dhl\55.5; 30hd`P11*0-<=cagU:<%:&9:fjjZ77 <#27iga_02+2,?32283:<=cagU:=%>&9:fjjZ76 8#j7iga_03+55/f3mceS#j7iga_03+5=/f3mceS$o4dhl\54.58 k0hd`P10*15,g1)6*=>bnfV;:$8'6;ekm[47/> 30hd`P10*4-<=cagU:=%6&9:fjjZ76 0#h7iga_03?66<7601oecQ>2)2*=>bnfV;9$<'n;ekm[44/99#j7iga_00+54/f3mceS<<'13+b?aoiW88#=>'n;ekm[44/9=#j7iga_00+50/f3mceS<<'17+b?aoiW88#=:'n;ekm[44/91#j7iga_00+53mceS<<'2(c8`lhX9;"9<$o4dhl\57.59 k0hd`P13*16,g2):*=>bnfV;9$4'l;ekm[44;::0;245kio]27-6.12nbbR?<(0+b?aoiW89#=='n;ekm[45/98#j7iga_01+57/f3mceS<='12+b?aoiW89#=9'n;ekm[45/9<#j7iga_01+53/f3mceS<='16+b?aoiW89#=5'n;ekm[45/90#27iga_01+6,g&=0(c8`lhX9:"9=$o4dhl\56.5: k0hd`P12*17,?&<)89gmkY6;!>"56jfn^30,0/>3mceS<='6(;8`lhX9:"<%45kio]27->.12nbbR?<(8+`?aoiW897>>4?>89gmkY6#=<'n;ekm[42/9;#j7iga_06+56/f3mceS<:'15+b?aoiW8>#=8'n;ekm[42/9?#j7iga_06+52/f3mceS<:'19+b?aoiW8>#=4'6;ekm[42/: k0hd`P15*14,g$o4dhl\51.5; 30hd`P15*0-<=cagU:8%:&9:fjjZ73 <#27iga_06+2,?&9:fjjZ72 8#j7iga_07+55/f3mceS<;'10+b?aoiW8?#=?'n;ekm[43/9:#j7iga_07+51/f3mceS<;'14+b?aoiW8?#=;'n;ekm[43/9>#j7iga_07+5=/f3mceS<;'18+:?aoiW8?#>$o4dhl\50.58 k0hd`P14*15,g5)6*=>bnfV;>$8'6;ekm[43/> 30hd`P14*4-<=cagU:9%6&9:fjjZ72 0#h7iga_07?66<7601oecQ>6)2*=>bnfV;=$<'n;ekm[40/99#j7iga_04+54/f3mceS<8'13+b?aoiW8<#=>'n;ekm[40/9=#j7iga_04+50/f3mceS<8'17+b?aoiW8<#=:'n;ekm[40/91#j7iga_04+53mceS<8'2(c8`lhX9?"9<$o4dhl\53.59 k0hd`P17*16,g6):*=>bnfV;=$4'l;ekm[40;::0;255kio]2858>3mceS<2>0?;8`lhX95;:245kio]2844912nbbR?312<:?aoiW86:8374dhl\5972601oecQ><04==>bnfV;7=:06;ekm[4:60730hd`P1=3::==cagU:0<06;ekm[4:58730hd`P1=02:<=cagU:0?<1b:fjjZ7;::0;245kio]2875902nbbR?32?:8`lhX959546jfn^3?0;>720hd`P1=5=<>bnfV;74364dhl\59?902nbbR<'0(:8`lhX:!;"56jfn^0+55/>3mceS?&>1(;8`lhX:!;9%45kio]1,45.12nbbR<'15+:?aoiW;":9$74dhl\6-71!01oecQ=(05*=>bnfV8#=5'6;ekm[7.61 20hd`P2)0*=>bnfV8#>='6;ekm[7.59 30hd`P2)01-<=cagU9$?=&8:fjjZ4/; 20hd`P2)6*<>bnfV8#9$64dhl\6-0.02nbbR<'7(:8`lhX:!2"46jfn^0+=,>1>19:fjjZ4;99427iga_3>25;?1?=>89gmkY5489556jfn^0?518>3mceS?2>5?;8`lhX:5;=245kio]1841912nbbR<319<:?aoiW;6:5364dhl\697912nbbR<321<:?aoiW;69=374dhl\69456k1oecQ=<3194;?1<<>99gmkY54;437iga_3>0:==cagU90907;ekm[7:2611oecQ=<7<;?aoiW;6<255kio]18=8?3mceS?26>99gmkY4 9#37iga_2*2-<=cagU8$<>&9:fjjZ5/98#27iga_2*26,?"56jfn^1+50/>3mceS>&>6(;8`lhX;!;<%45kio]0,4>.12nbbR='18+;?aoiW:"9%45kio]0,76.12nbbR='20+:?aoiW:"9>$74dhl\7-44!11oecQ<(2+;?aoiW:"?%55kio]0,0/?3mceS>&9)99gmkY4 >#37iga_2*;-==cagU8$4'm;ekm[6:5;3:546jfn^6+4,>3mceS9&>5(;8`lhXbnfV>#?$64dhl\0-2.02nbbR:'5(:8`lhX58?3mceS8&?)99gmkY2 8#27iga_4*24,?)89gmkY2 88"56jfn^7+56/>3mceS8&>4(;8`lhX=!;>%45kio]6,40.12nbbR;'16+:?aoiW<":4$74dhl\1-7>!11oecQ:(3+:?aoiW<"9<$74dhl\1-46!01oecQ:(30*=>bnfV?#>>'7;ekm[0.4!11oecQ:(5+;?aoiW<">%55kio]6,3/?3mceS8&8)99gmkY2 1#37iga_4*:-g=cagU>0?=50?:8`lhX>!:"46jfn^4+5,?3mceS;&>3(;8`lhX>!;?%45kio]5,43.12nbbR8'17+:?aoiW?":;$74dhl\2-7?!01oecQ9(0;*<>bnfV<#>$74dhl\2-47!01oecQ9(33*=>bnfV<#>?'6;ekm[3.5; 20hd`P6)1*<>bnfV<#8$64dhl\2-3.02nbbR8'6(:8`lhX>!="46jfn^4+<,>)89gmkY0 8:"56jfn^5+54/>3mceS:&>2(;8`lhX?!;8%45kio]4,42.12nbbR9'14+:?aoiW>"::$74dhl\3-70!01oecQ8(0:*=>bnfV=#=4'7;ekm[2.5!01oecQ8(32*=>bnfV=#><'6;ekm[2.5: 30hd`P7)00-==cagU<$>'7;ekm[2.3!11oecQ8(4+;?aoiW>"=%55kio]4,2/?3mceS:&7)99gmkY0 0#i7iga_6>17?6902nbbR6'0(:8`lhX0!;"56jfn^:+55/>3mceS5&>1(;8`lhX0!;9%45kio];,45.12nbbR6'15+:?aoiW1":9$74dhl\<-71!01oecQ7(05*=>bnfV2#=5'6;ekm[=.61 20hd`P8)0*=>bnfV2#>='6;ekm[=.59 30hd`P8)01-<=cagU3$?=&8:fjjZ>/; 20hd`P8)6*<>bnfV2#9$64dhl\<-0.02nbbR6'7(:8`lhX0!2"46jfn^:+=,d3mceS4&>0(;8`lhX1!;:%45kio]:,44.12nbbR7'12+:?aoiW0":8$74dhl\=-72!01oecQ6(04*=>bnfV3#=:'6;ekm[<.60 30hd`P9)3:-==cagU2$?'6;ekm[<.58 30hd`P9)02-<=cagU2$?<&9:fjjZ?/::#37iga_8*0-==cagU2$9'7;ekm[<.2!11oecQ6(7+;?aoiW0"<%55kio]:,=/?3mceS4&6)c9gmkY>4;91<394dnww,5/03me~x%?&8:flqq.68 20hb{{(03*<>bh}}":>$64dnww,45.02ndyy&>4(:8`jss 8?"46j`uu*22,>bh}}"9%55kotv+65/?3me~x%<>)99gkpr/:;#37iazt)00-==cg|~#>9'7;emvp-42!11ocxz'27+;?air|!8<%55kotv+6=/?3me~x%<6)69gkpr/; 20hb{{(22*<>bh}}"8=$64dnww,64.02ndyy&<3(:8`jss :>"46j`uu*01,>8&8:flqq.4? 20hb{{(2:*<>bh}}"85$94dnww,1/?3me~x%:?)99gkpr/<8#37iazt)61-==cg|~#8>'7;emvp-23!11ocxz'44+;?air|!>=%:5kotv+1,1(03*e>bh}}U:$<<&a:flqqY6 89"m6j`uu]2,42.i2ndyyQ>(07*e>bh}}U:$<8&a:flqqY6 8="m6j`uu]2,4>.i2ndyyQ>(0;*=>bh}}U:$?'n;emvpZ7/:9#j7iazt^3+64/f3me~xR?'23+b?air|V;#>>'n;emvpZ7/:=#j7iazt^3+60/f3me~xR?'27+b?air|V;#>:'n;emvpZ7/:1#j7iazt^3+63me~xR?'3(c8`jssW8"8<$o4dnww[4.49 k0hb{{_0*06,g:%l5kotv\5-25!h1ocxzP1)60-d=cg|~T=%:;)`9gkprX9!>>%l5kotv\5-21!01ocxzP1)7*=>bh}}U:$;'6;emvpZ7/? 30hb{{_0*;-<=cg|~T=%7&a:flqqY68!:"m6j`uu]24-7.j2ndyyQ>0)33-g=cg|~T==&>1(`8`jssW8:#=?'m;emvpZ77 89"n6j`uu]24-73!k1ocxzP11*21,d'17+a?air|V;;$<9&b:flqqY68!;3%o5kotv\55.61 k0hb{{_02+6,d'21+a?air|V;;$??&b:flqqY68!89%o5kotv\55.5; h0hb{{_02+61/e3me~xR??(37*f>bh}}U:<%<9)c9gkprX99"9;$l4dnww[46/:1#i7iazt^33,7?.i2ndyyQ>0)1*f>bh}}U:<%=?)c9gkprX99"8=$l4dnww[46/;;#i7iazt^33,65.j2ndyyQ>0)17-g=cg|~T==&<5(`8`jssW8:#?;'m;emvpZ77 :="n6j`uu]24-5?!k1ocxzP11*0=,g'4(`8`jssW8:#8='m;emvpZ77 =;"n6j`uu]24-25!k1ocxzP11*77,d'45+a?air|V;;$9;&b:flqqY68!>=%l5kotv\55.2!h1ocxzP11*5-d=cg|~T==&8)`9gkprX99"3%l5kotv\55.>!m1ocxzP11>72?69i2ndyyQ>1)2*e>bh}}U:=%?&b:flqqY69!;;%o5kotv\54.69 h0hb{{_03+57/e3me~xR?>(01*f>bh}}U:=%?;)c9gkprX98":9$l4dnww[47/9?#i7iazt^32,41.j2ndyyQ>1)3;-g=cg|~T=<&>9(c8`jssW8;#>$l4dnww[47/:9#i7iazt^32,77.j2ndyyQ>1)01-g=cg|~T=<&=3(`8`jssW8;#>9'm;emvpZ76 ;?"n6j`uu]25-41!k1ocxzP10*13,d=&b:flqqY69!9?%o5kotv\54.4= h0hb{{_03+73/e3me~xR?>(25*f>bh}}U:=%=7)c9gkprX98"85$o4dnww[47/< h0hb{{_03+05/e3me~xR?>(53*f>bh}}U:=%:=)c9gkprX98"??$l4dnww[47/<=#i7iazt^32,13.j2ndyyQ>1)65-d=cg|~T=<&:)`9gkprX98"=%l5kotv\54.0!h1ocxzP10*;-d=cg|~T=<&6)e9gkprX986?:7>1a:flqqY6:!:"m6j`uu]26-7.j2ndyyQ>2)33-g=cg|~T=?&>1(`8`jssW88#=?'m;emvpZ75 89"n6j`uu]26-73!k1ocxzP13*21,dbh}}U:>%<9)c9gkprX9;"9;$l4dnww[44/:1#i7iazt^31,7?.i2ndyyQ>2)1*f>bh}}U:>%=?)c9gkprX9;"8=$l4dnww[44/;;#i7iazt^31,65.j2ndyyQ>2)17-g=cg|~T=?&<5(`8`jssW88#?;'m;emvpZ75 :="n6j`uu]26-5?!k1ocxzP13*0=,g=%l5kotv\57.2!h1ocxzP13*5-d=cg|~T=?&8)`9gkprX9;"3%l5kotv\57.>!m1ocxzP13>72?69i2ndyyQ>3)2*e>bh}}U:?%?&b:flqqY6;!;;%o5kotv\56.69 h0hb{{_01+57/e3me~xR?<(01*f>bh}}U:?%?;)c9gkprX9:":9$l4dnww[45/9?#i7iazt^30,41.j2ndyyQ>3)3;-g=cg|~T=>&>9(c8`jssW89#>$l4dnww[45/:9#i7iazt^30,77.j2ndyyQ>3)01-g=cg|~T=>&=3(`8`jssW89#>9'm;emvpZ74 ;?"n6j`uu]27-41!k1ocxzP12*13,d=&b:flqqY6;!9?%o5kotv\56.4= h0hb{{_01+73/e3me~xR?<(25*f>bh}}U:?%=7)c9gkprX9:"85$o4dnww[45/< h0hb{{_01+05/e3me~xR?<(53*f>bh}}U:?%:=)c9gkprX9:"??$l4dnww[45/<=#i7iazt^30,13.j2ndyyQ>3)65-d=cg|~T=>&:)`9gkprX9:"=%l5kotv\56.0!h1ocxzP12*;-d=cg|~T=>&6)e9gkprX9:6?:7>1a:flqqY64)33-g=cg|~T=9&>1(`8`jssW8>#=?'m;emvpZ73 89"n6j`uu]20-73!k1ocxzP15*21,dbh}}U:8%<9)c9gkprX9="9;$l4dnww[42/:1#i7iazt^37,7?.i2ndyyQ>4)1*f>bh}}U:8%=?)c9gkprX9="8=$l4dnww[42/;;#i7iazt^37,65.j2ndyyQ>4)17-g=cg|~T=9&<5(`8`jssW8>#?;'m;emvpZ73 :="n6j`uu]20-5?!k1ocxzP15*0=,g#8='m;emvpZ73 =;"n6j`uu]20-25!k1ocxzP15*77,d=%l5kotv\51.2!h1ocxzP15*5-d=cg|~T=9&8)`9gkprX9="3%l5kotv\51.>!m1ocxzP15>72?69i2ndyyQ>5)2*e>bh}}U:9%?&b:flqqY6=!;;%o5kotv\50.69 h0hb{{_07+57/e3me~xR?:(01*f>bh}}U:9%?;)c9gkprX9<":9$l4dnww[43/9?#i7iazt^36,41.j2ndyyQ>5)3;-g=cg|~T=8&>9(c8`jssW8?#>$l4dnww[43/:9#i7iazt^36,77.j2ndyyQ>5)01-g=cg|~T=8&=3(`8`jssW8?#>9'm;emvpZ72 ;?"n6j`uu]21-41!k1ocxzP14*13,d$?7&a:flqqY6=!9"n6j`uu]21-57!k1ocxzP14*05,d$>=&b:flqqY6=!9?%o5kotv\50.4= h0hb{{_07+73/e3me~xR?:(25*f>bh}}U:9%=7)c9gkprX9<"85$o4dnww[43/< h0hb{{_07+05/e3me~xR?:(53*f>bh}}U:9%:=)c9gkprX9<"??$l4dnww[43/<=#i7iazt^36,13.j2ndyyQ>5)65-d=cg|~T=8&:)`9gkprX9<"=%l5kotv\50.0!h1ocxzP14*;-d=cg|~T=8&6)e9gkprX9<6?:7>1a:flqqY6>!:"m6j`uu]22-7.j2ndyyQ>6)33-g=cg|~T=;&>1(`8`jssW8<#=?'m;emvpZ71 89"n6j`uu]22-73!k1ocxzP17*21,d!;3%o5kotv\53.61 k0hb{{_04+6,d!89%o5kotv\53.5; h0hb{{_04+61/e3me~xR?9(37*f>bh}}U::%<9)c9gkprX9?"9;$l4dnww[40/:1#i7iazt^35,7?.i2ndyyQ>6)1*f>bh}}U::%=?)c9gkprX9?"8=$l4dnww[40/;;#i7iazt^35,65.j2ndyyQ>6)17-g=cg|~T=;&<5(`8`jssW8<#?;'m;emvpZ71 :="n6j`uu]22-5?!k1ocxzP17*0=,g!>=%l5kotv\53.2!h1ocxzP17*5-d=cg|~T=;&8)`9gkprX9?"3%l5kotv\53.>!m1ocxzP17>72?6912ndyyQ><117;g7k0hb{{_0>13;g>`9gkprX95992l5kotv\59546h1ocxzP1=17:d=cg|~T=1=:>`9gkprX959=2l5kotv\59506h1ocxzP1=1;:d=cg|~T=1=6>89gkprX9595m6j`uu]28169i2ndyyQ><53=e>bh}}U:09<1a:flqqY64=95m6j`uu]28129i2ndyyQ><57=g>bh}}U:09850?c8`jssW86?:374dnww[4:3601ocxzP1=7==>bh}}U:0;06;emvpZ7;?730hb{{_0>;:<=cg|~T=1719:flqqY5 9#27iazt^0+5,g0(c8`jssW;":=$o4dnww[7.6: k0hb{{_3*27,g4(c8`jssW;":9$o4dnww[7.6> k0hb{{_3*23,g8(c8`jssW;":5$74dnww[7.5!h1ocxzP2)03-d=cg|~T>%<>)`9gkprX:!89%l5kotv\6-44!h1ocxzP2)07-d=cg|~T>%<:)`9gkprX:!8=%l5kotv\6-40!h1ocxzP2)0;-d=cg|~T>%<6)89gkprX:!9"m6j`uu]1,66.i2ndyyQ=(23*e>bh}}U9$><&a:flqqY5 :9"m6j`uu]1,62.i2ndyyQ=(27*e>bh}}U9$>8&a:flqqY5 :="m6j`uu]1,6>.i2ndyyQ=(2;*=>bh}}U9$9'n;emvpZ4/<9#j7iazt^0+04/f3me~xR<'43+b?air|V8#8>'n;emvpZ4/<=#j7iazt^0+00/f3me~xR<'47+:?air|V8#9$74dnww[7.1!01ocxzP2)5*=>bh}}U9$5'6;emvpZ4/1 30hb{{_3>3:d=cg|~T>1??>`9gkprX:5;:2l5kotv\69756h1ocxzP2=30:d=cg|~T>1?;>`9gkprX:5;>2l5kotv\69716h1ocxzP2=34:d=cg|~T>1?7>`9gkprX:5;2245kotv\6979i2ndyyQ=<32=e>bh}}U90??1a:flqqY54;85m6j`uu]18759i2ndyyQ=<36=e>bh}}U90?;1a:flqqY54;<5m6j`uu]18719i2ndyyQ=<3:=e>bh}}U90?719:flqqY54;4j7iazt^0?758f3me~xR<3304j7iazt^0?7=8f3me~xR<338<:?air|V87?3o4dnww[7:387k0hb{{_3>75;g71;ebh}}U909819:flqqY54=427iazt^0?1;?89gkprX:5=556j`uu]18=8>3me~xR<39?;8`jssW:";%45kotv\7-7.i2ndyyQ<(02*e>bh}}U8$bh}}U8$<;&a:flqqY4 8<"m6j`uu]0,41.i2ndyyQ<(0:*e>bh}}U8$<7&9:flqqY4 ;#j7iazt^1+65/f3me~xR='20+b?air|V9#>?'n;emvpZ5/::#j7iazt^1+61/f3me~xR='24+b?air|V9#>;'n;emvpZ5/:>#j7iazt^1+6=/f3me~xR='28+:?air|V9#?$o4dnww[6.48 k0hb{{_2*05,g&<2(c8`jssW:"8?$o4dnww[6.4< k0hb{{_2*01,g&<6(c8`jssW:"8;$o4dnww[6.40 k0hb{{_2*0=,?&;)`9gkprX;!>;%l5kotv\7-26!h1ocxzP3)61-d=cg|~T?%:<)`9gkprX;!>?%l5kotv\7-22!h1ocxzP3)65-<=cg|~T?%;&9:flqqY4 ?#27iazt^1+3,?&7)89gkprX;!3"o6j`uu]0810=8730hb{{_5*3-<=cg|~T8%?&a:flqqY3 8:"m6j`uu]7,47.i2ndyyQ;(00*e>bh}}U?$<=&a:flqqY3 8>"m6j`uu]7,43.i2ndyyQ;(04*e>bh}}U?$<9&a:flqqY3 82"m6j`uu]7,4?.12ndyyQ;(3+b?air|V>#>='n;emvpZ2/:8#j7iazt^6+67/f3me~xR:'22+b?air|V>#>9'n;emvpZ2/:<#j7iazt^6+63/f3me~xR:'26+b?air|V>#>5'n;emvpZ2/:0#27iazt^6+7,g)`9gkprX9%l5kotv\0-24!h1ocxzP4)67-d=cg|~T8%::)`9gkprX=%45kotv\0-3.12ndyyQ;(7+:?air|V>#;$74dnww[1.?!01ocxzP4);*g>bh}}U?09850?;8`jssW<";%45kotv\1-7.i2ndyyQ:(02*e>bh}}U>$bh}}U>$<;&a:flqqY2 8<"m6j`uu]6,41.i2ndyyQ:(0:*e>bh}}U>$<7&9:flqqY2 ;#j7iazt^7+65/f3me~xR;'20+b?air|V?#>?'n;emvpZ3/::#j7iazt^7+61/f3me~xR;'24+b?air|V?#>;'n;emvpZ3/:>#j7iazt^7+6=/f3me~xR;'28+:?air|V?#?$o4dnww[0.48 k0hb{{_4*05,g;%l5kotv\1-26!h1ocxzP5)61-d=cg|~T9%:<)`9gkprX=!>?%l5kotv\1-22!h1ocxzP5)65-<=cg|~T9%;&9:flqqY2 ?#27iazt^7+3,?bh}}U=$<=&a:flqqY1 8>"m6j`uu]5,43.i2ndyyQ9(04*e>bh}}U=$<9&a:flqqY1 82"m6j`uu]5,4?.12ndyyQ9(3+b?air|V<#>='n;emvpZ0/:8#j7iazt^4+67/f3me~xR8'22+b?air|V<#>9'n;emvpZ0/:<#j7iazt^4+63/f3me~xR8'26+b?air|V<#>5'n;emvpZ0/:0#27iazt^4+7,g k0hb{{_7*03,g)`9gkprX>!>9%l5kotv\2-24!h1ocxzP6)67-d=cg|~T:%::)`9gkprX>!>=%45kotv\2-3.12ndyyQ9(7+:?air|V<#;$74dnww[3.?!01ocxzP6);*g>bh}}U=09850?;8`jssW>";%45kotv\3-7.i2ndyyQ8(02*e>bh}}U<$bh}}U<$<;&a:flqqY0 8<"m6j`uu]4,41.i2ndyyQ8(0:*e>bh}}U<$<7&9:flqqY0 ;#j7iazt^5+65/f3me~xR9'20+b?air|V=#>?'n;emvpZ1/::#j7iazt^5+61/f3me~xR9'24+b?air|V=#>;'n;emvpZ1/:>#j7iazt^5+6=/f3me~xR9'28+:?air|V=#?$o4dnww[2.48 k0hb{{_6*05,g"8?$o4dnww[2.4< k0hb{{_6*01,g"8;$o4dnww[2.40 k0hb{{_6*0=,?;%l5kotv\3-26!h1ocxzP7)61-d=cg|~T;%:<)`9gkprX?!>?%l5kotv\3-22!h1ocxzP7)65-<=cg|~T;%;&9:flqqY0 ?#27iazt^5+3,?bh}}U3$<=&a:flqqY? 8>"m6j`uu];,43.i2ndyyQ7(04*e>bh}}U3$<9&a:flqqY? 82"m6j`uu];,4?.12ndyyQ7(3+b?air|V2#>='n;emvpZ>/:8#j7iazt^:+67/f3me~xR6'22+b?air|V2#>9'n;emvpZ>/:<#j7iazt^:+63/f3me~xR6'26+b?air|V2#>5'n;emvpZ>/:0#27iazt^:+7,g k0hb{{_9*03,g)`9gkprX0!>9%l5kotv\<-24!h1ocxzP8)67-d=cg|~T4%::)`9gkprX0!>=%45kotv\<-3.12ndyyQ7(7+:?air|V2#;$74dnww[=.?!01ocxzP8);*g>bh}}U309850?;8`jssW0";%45kotv\=-7.i2ndyyQ6(02*e>bh}}U2$ 88"m6j`uu]:,45.i2ndyyQ6(06*e>bh}}U2$<;&a:flqqY> 8<"m6j`uu]:,41.i2ndyyQ6(0:*e>bh}}U2$<7&9:flqqY> ;#j7iazt^;+65/f3me~xR7'20+b?air|V3#>?'n;emvpZ?/::#j7iazt^;+61/f3me~xR7'24+b?air|V3#>;'n;emvpZ?/:>#j7iazt^;+6=/f3me~xR7'28+:?air|V3#?$o4dnww[<.48 k0hb{{_8*05,g;%l5kotv\=-26!h1ocxzP9)61-d=cg|~T5%:<)`9gkprX1!>?%l5kotv\=-22!h1ocxzP9)65-<=cg|~T5%;&9:flqqY> ?#27iazt^;+3,?bh}}Ub$<=&a:flqqYn 8>"m6j`uu]j,43.i2ndyyQf(04*e>bh}}Ub$<9&a:flqqYn 82"m6j`uu]j,4?.12ndyyQf(3+b?air|Vc#>='n;emvpZo/:8#j7iazt^k+67/f3me~xRg'22+:?air|Vc#?$74dnww[l.3!01ocxzPi)7*=>bh}}Ub$;'6;emvpZo/? 30hb{{_h*;-<=cg|~Te%7&c:flqqYn4;91<3l4dnww[lY6 9#i7iazt^k\5-7.k2ndyyQf_0*24,e(03*g>bh}}UbS<&>2(a8`jssW`U:$<=&c:flqqYnW8":8$m4dnww[lY6 8?"o6j`uu]j[4.6> i0hb{{_h]2,41.k2ndyyQf_0*2<,e(0;*f>bh}}UbS<&=)b9gkprXaV;#>='l;emvpZoX9!8:%n5kotv\mZ7/:;#h7iazt^k\5-44!k1ocxzPi^3+7,d(5+a?air|VcT=%;&b:flqqYnW8"=%o5kotv\mZ7/? h0hb{{_h]2,=/e3me~xRgP1);*g>bh}}UbS<>'0(a8`jssW`U:<%?&d:flqqYnW8:#=='k;emvpZoX99":=$j4dnww[lY68!;9%i5kotv\mZ77 89"h6j`uu]j[46/9=#o7iazt^k\55.6= n0hb{{_h]24-71!m1ocxzPi^33,41.l2ndyyQf_02+5=/c3me~xRgP11*2=,e0)0*`>bh}}UbS<>'21+g?air|VcT==&=1(f8`jssW`U:<%<=)e9gkprXaV;;$?=&c:flqqYnW8:#?$m4dnww[lY68!>"o6j`uu]j[46/= i0hb{{_h]24-0.k2ndyyQf_02+3,e0):*g>bh}}UbS<>'9(d8`jssW`U:<1<<:1<`?air|VcT=<&?)b9gkprXaV;:$<'k;emvpZoX98":<$j4dnww[lY69!;:%i5kotv\mZ76 88"h6j`uu]j[47/9:#o7iazt^k\54.6< n0hb{{_h]25-72!m1ocxzPi^32,40.l2ndyyQf_03+52/c3me~xRgP10*2<,b1)3:-f=cg|~TeR?>(3+g?air|VcT=<&=0(f8`jssW`U:=%<>)e9gkprXaV;:$?<&d:flqqYnW8;#>>'l;emvpZoX98"8%n5kotv\mZ76 =#h7iazt^k\54.2!j1ocxzPi^32,3/d3me~xRgP10*4-f=cg|~TeR?>(9+`?air|VcT=<&6)g9gkprXaV;:0?=50?a8`jssW`U:>%>&c:flqqYnW88#=$j4dnww[lY6:!;;%i5kotv\mZ75 8;"h6j`uu]j[44/9;#o7iazt^k\57.6; n0hb{{_h]26-73!m1ocxzPi^31,43.l2ndyyQf_00+53/c3me~xRgP13*23,b2)3;-a=cg|~TeR?=(0;*g>bh}}UbS<<'2(f8`jssW`U:>%?'k;emvpZoX9;"9?$m4dnww[lY6:!9"o6j`uu]j[44/< i0hb{{_h]26-3.k2ndyyQf_00+2,e2)5*g>bh}}UbS<<'8(a8`jssW`U:>%7&f:flqqYnW887>>4?>b9gkprXaV;8$='l;emvpZoX9:":%i5kotv\mZ74 8:"h6j`uu]j[45/98#o7iazt^k\56.6: n0hb{{_h]27-74!m1ocxzPi^30,42.l2ndyyQf_01+50/c3me~xRgP12*22,b3)34-a=cg|~TeR?<(0:*`>bh}}UbS<='18+`?air|VcT=>&=)e9gkprXaV;8$?>&d:flqqYnW89#><'k;emvpZoX9:"9>$j4dnww[lY6;!88%n5kotv\mZ74 :#h7iazt^k\56.3!j1ocxzPi^30,0/d3me~xRgP12*5-f=cg|~TeR?<(6+`?air|VcT=>&7)b9gkprXaV;8$4'i;emvpZoX9:69?7>1c:flqqYnW8>#<$m4dnww[lY64)35-a=cg|~TeR?;(05*`>bh}}UbS<:'19+g?air|VcT=9&>9(a8`jssW`U:8%<&d:flqqYnW8>#>='k;emvpZoX9="9=$j4dnww[lY64)4*g>bh}}UbS<:'7(a8`jssW`U:8%6&c:flqqYnW8>#5$h4dnww[lY6<5886=0l;emvpZoX9<";%n5kotv\mZ72 8#o7iazt^k\50.68 n0hb{{_h]21-76!m1ocxzPi^36,44.l2ndyyQf_07+56/c3me~xRgP14*20,b5)36-a=cg|~TeR?:(04*`>bh}}UbS<;'16+g?air|VcT=8&>8(f8`jssW`U:9%?6)b9gkprXaV;>$?'k;emvpZoX9<"9<$j4dnww[lY6=!8:%i5kotv\mZ72 ;8"h6j`uu]j[43/::#h7iazt^k\50.4!j1ocxzPi^36,1/d3me~xRgP14*6-f=cg|~TeR?:(7+`?air|VcT=8&8)b9gkprXaV;>$5'l;emvpZoX9<"2%k5kotv\mZ724;91<3m4dnww[lY6>!:"o6j`uu]j[40/9 n0hb{{_h]22-77!m1ocxzPi^35,47.l2ndyyQf_04+57/c3me~xRgP17*27,b6)37-a=cg|~TeR?9(07*`>bh}}UbS<8'17+g?air|VcT=;&>7(f8`jssW`U::%?7)e9gkprXaV;=$<7&c:flqqYnW8<#>$j4dnww[lY6>!8;%i5kotv\mZ71 ;;"h6j`uu]j[40/:;#o7iazt^k\53.5; i0hb{{_h]22-5.k2ndyyQf_04+0,e6)7*g>bh}}UbS<8'6(a8`jssW`U::%9&c:flqqYnW8<#4$m4dnww[lY6>!3"j6j`uu]j[40;::0;2h5kotv\mZ7;::0;2o5kotv\mZ4/8 h0hb{{_h]1,4/d3me~xRgP2)33-f=cg|~TeR<'10+`?air|VcT>%?=)b9gkprXaV8#=>'l;emvpZoX:!;?%n5kotv\mZ4/9<#h7iazt^k\6-71!j1ocxzPi^0+52/d3me~xRgP2)3;-f=cg|~TeR<'18+a?air|VcT>%<&c:flqqYnW;"9<$m4dnww[lY5 ;;"o6j`uu]j[7.5: i0hb{{_h]1,75.j2ndyyQf_3*0-g=cg|~TeR<'4(`8`jssW`U9$8'm;emvpZoX:!<"n6j`uu]j[7.0!k1ocxzPi^0+<,d1<<:1&b:flqqYnW:":%n5kotv\mZ5/99#h7iazt^k\7-76!j1ocxzPi^1+57/d3me~xRgP3)30-f=cg|~TeR='15+`?air|VcT?%?:)b9gkprXaV9#=;'l;emvpZoX;!;<%n5kotv\mZ5/91#h7iazt^k\7-7>!k1ocxzPi^1+6,ebh}}UbS>&=1(a8`jssW`U8$?<&c:flqqYnW:"9?$l4dnww[lY4 :#i7iazt^k\7-2.j2ndyyQf_2*6-g=cg|~TeR='6(`8`jssW`U8$:'m;emvpZoX;!2"n6j`uu]j[6.>!l1ocxzPi^1?66<76k1ocxzPi^6+4,d#=<'l;emvpZoX#=4'm;emvpZoXbh}}UbS9&<)c9gkprXaV>#8$l4dnww[lY3 <#i7iazt^k\0-0.j2ndyyQf_5*4-g=cg|~TeR:'8(`8`jssW`U?$4'j;emvpZoX<5886=0m;emvpZoX=!:"n6j`uu]j[0.6!j1ocxzPi^7+55/d3me~xRgP5)32-f=cg|~TeR;'13+`?air|VcT9%?<)b9gkprXaV?#=9'l;emvpZoX=!;>%n5kotv\mZ3/9?#h7iazt^k\1-70!j1ocxzPi^7+5=/d3me~xRgP5)3:-g=cg|~TeR;'2(a8`jssW`U>$?>&c:flqqYnW<"9=$m4dnww[lY2 ;8"o6j`uu]j[0.5; h0hb{{_h]6,6/e3me~xRgP5)6*f>bh}}UbS8&:)c9gkprXaV?#:$l4dnww[lY2 >#i7iazt^k\1->.j2ndyyQf_4*:-`=cg|~TeR;32283:g=cg|~TeR8'0(`8`jssW`U=$<'l;emvpZoX>!;;%n5kotv\mZ0/98#h7iazt^k\2-75!j1ocxzPi^4+56/d3me~xRgP6)37-f=cg|~TeR8'14+`?air|VcT:%?9)b9gkprXaV<#=:'l;emvpZoX>!;3%n5kotv\mZ0/90#i7iazt^k\2-4.k2ndyyQf_7*14,ebh}}UbS;&=2(a8`jssW`U=$?=&b:flqqYnW?"8%o5kotv\mZ0/< h0hb{{_h]5,0/e3me~xRgP6)4*f>bh}}UbS;&8)c9gkprXaV<#4$l4dnww[lY1 0#n7iazt^k\2944294i7iazt^k\3-6.j2ndyyQf_6*2-f=cg|~TeR9'11+`?air|VcT;%?>)b9gkprXaV=#=?'l;emvpZoX?!;8%n5kotv\mZ1/9=#h7iazt^k\3-72!j1ocxzPi^5+53/d3me~xRgP7)34-f=cg|~TeR9'19+`?air|VcT;%?6)c9gkprXaV=#>$m4dnww[lY0 ;:"o6j`uu]j[2.59 i0hb{{_h]4,74.k2ndyyQf_6*17,d">%o5kotv\mZ1/> h0hb{{_h]4,2/e3me~xRgP7):*f>bh}}UbS:&6)d9gkprXaV=7>>4?>c9gkprXaV2#<$l4dnww[lY? 8#h7iazt^k\<-77!j1ocxzPi^:+54/d3me~xRgP8)31-f=cg|~TeR6'12+`?air|VcT4%?;)b9gkprXaV2#=8'l;emvpZoX0!;=%n5kotv\mZ>/9>#h7iazt^k\<-7?!j1ocxzPi^:+5bh}}UbS5&=0(a8`jssW`U3$??&c:flqqYnW1"9>$m4dnww[lY? ;9"n6j`uu]j[=.4!k1ocxzPi^:+0,d/0 h0hb{{_h];,58e3me~xRgP9)2*f>bh}}UbS4&>)b9gkprXaV3#=='l;emvpZoX1!;:%n5kotv\mZ?/9;#h7iazt^k\=-74!j1ocxzPi^;+51/d3me~xRgP9)36-f=cg|~TeR7'17+`?air|VcT5%?8)b9gkprXaV3#=5'l;emvpZoX1!;2%o5kotv\mZ?/: i0hb{{_h]:,76.k2ndyyQf_8*15,ebh}}UbS4&=3(`8`jssW`U2$>'m;emvpZoX1!>"n6j`uu]j[<.2!k1ocxzPi^;+2,d k0hb{{_p*13,g)`9gkprXy!99%l5kotv\u-54!h1ocxzPq)17-d=cg|~T}%=:)`9gkprXy!9=%l5kotv\u-50!h1ocxzPq)1;-d=cg|~T}%=6)89gkprXy!>"m6j`uu]r,16.i2ndyyQ~(53*e>bh}}Uz$9<&a:flqqYv =9"m6j`uu]r,12.i2ndyyQ~(57*e>bh}}Uz$98&9:flqqYv <#27iazt^s+2,?(33*g>bh}}UzS<&=2(a8`jssWxU:$?=&c:flqqYvW8"98$m4dnww[tY6 ;?"o6j`uu]r[4.5> i0hb{{_p]2,71.k2ndyyQ~_0*1<,e(3;*f>bh}}UzS<&<)b9gkprXyV;#?='l;emvpZwX9!9:%n5kotv\uZ7/;;#h7iazt^s\5-54!j1ocxzPq^3+71/d3me~xRP1)16-f=cg|~T}R?'37+`?air|V{T=%=8)b9gkprXyV;#?5'l;emvpZwX9!92%o5kotv\uZ7/< i0hb{{_p]2,16.k2ndyyQ~_0*75,e(50*g>bh}}UzS<&;3(a8`jssWxU:$9:&c:flqqYvW8"?9$m4dnww[tY6 =<"n6j`uu]r[4.2!k1ocxzPq^3+2,d(6+a?air|V{T=%6&b:flqqYvW8"2%n5kotv\uZ77 9#h7iazt^s\55.6!m1ocxzPq^33,46.l2ndyyQ~_02+54/c3me~xRP11*26,b0)30-a=cg|~T}R??(06*`>bh}}UzS<>'14+g?air|V{T==&>6(f8`jssWxU:<%?8)e9gkprXyV;;$<6&d:flqqYvW8:#=4'l;emvpZwX99"9%i5kotv\uZ77 ;:"h6j`uu]r[46/:8#o7iazt^s\55.5: n0hb{{_p]24-44!m1ocxzPq^33,72.l2ndyyQ~_02+60/c3me~xRP11*12,b0)04-a=cg|~T}R??(3:*`>bh}}UzS<>'28+`?air|V{T==&<)e9gkprXyV;;$>>&d:flqqYvW8:#?<'k;emvpZwX99"8>$j4dnww[tY68!98%i5kotv\uZ77 :>"h6j`uu]r[46/;<#o7iazt^s\55.4> n0hb{{_p]24-50!m1ocxzPq^33,6>.l2ndyyQ~_02+7bh}}UzS<>'40+g?air|V{T==&;2(f8`jssWxU:<%:<)e9gkprXyV;;$9:&d:flqqYvW8:#88'k;emvpZwX99"?:$m4dnww[tY68!?"o6j`uu]r[46/> i0hb{{_p]24-1.k2ndyyQ~_02+<,e0);*b>bh}}UzS<>34783:f=cg|~T}R?>(1+`?air|V{T=<&>)e9gkprXyV;:$<>&d:flqqYvW8;#=<'k;emvpZwX98":>$j4dnww[tY69!;8%i5kotv\uZ76 8>"h6j`uu]r[47/9<#o7iazt^s\54.6> n0hb{{_p]25-70!m1ocxzPq^32,4>.l2ndyyQ~_03+5(32*`>bh}}UzS8'k;emvpZwX98"9:$j4dnww[tY69!8<%i5kotv\uZ76 ;2"h6j`uu]r[47/:0#h7iazt^s\54.4!m1ocxzPq^32,66.l2ndyyQ~_03+74/c3me~xRP10*06,b1)10-a=cg|~T}R?>(26*`>bh}}UzS6&d:flqqYvW8;#?4'l;emvpZwX98"?%i5kotv\uZ76 =:"h6j`uu]r[47/<8#o7iazt^s\54.3: n0hb{{_p]25-24!m1ocxzPq^32,12.l2ndyyQ~_03+00/c3me~xRP10*72,e1)7*g>bh}}UzS2)30-a=cg|~T}R?=(06*`>bh}}UzS<<'14+g?air|V{T=?&>6(f8`jssWxU:>%?8)e9gkprXyV;9$<6&d:flqqYvW88#=4'l;emvpZwX9;"9%i5kotv\uZ75 ;:"h6j`uu]r[44/:8#o7iazt^s\57.5: n0hb{{_p]26-44!m1ocxzPq^31,72.l2ndyyQ~_00+60/c3me~xRP13*12,b2)04-a=cg|~T}R?=(3:*`>bh}}UzS<<'28+`?air|V{T=?&<)e9gkprXyV;9$>>&d:flqqYvW88#?<'k;emvpZwX9;"8>$j4dnww[tY6:!98%i5kotv\uZ75 :>"h6j`uu]r[44/;<#o7iazt^s\57.4> n0hb{{_p]26-50!m1ocxzPq^31,6>.l2ndyyQ~_00+7bh}}UzS<<'40+g?air|V{T=?&;2(f8`jssWxU:>%:<)e9gkprXyV;9$9:&d:flqqYvW88#88'k;emvpZwX9;"?:$m4dnww[tY6:!?"o6j`uu]r[44/> i0hb{{_p]26-1.k2ndyyQ~_00+<,e2);*b>bh}}UzS<<34783:f=cg|~T}R?<(1+`?air|V{T=>&>)e9gkprXyV;8$<>&d:flqqYvW89#=<'k;emvpZwX9:":>$j4dnww[tY6;!;8%i5kotv\uZ74 8>"h6j`uu]r[45/9<#o7iazt^s\56.6> n0hb{{_p]27-70!m1ocxzPq^30,4>.l2ndyyQ~_01+5bh}}UzS<='20+g?air|V{T=>&=2(f8`jssWxU:?%<<)e9gkprXyV;8$?:&d:flqqYvW89#>8'k;emvpZwX9:"9:$j4dnww[tY6;!8<%i5kotv\uZ74 ;2"h6j`uu]r[45/:0#h7iazt^s\56.4!m1ocxzPq^30,66.l2ndyyQ~_01+74/c3me~xRP12*06,b3)10-a=cg|~T}R?<(26*`>bh}}UzS<='34+g?air|V{T=>&<6(f8`jssWxU:?%=8)e9gkprXyV;8$>6&d:flqqYvW89#?4'l;emvpZwX9:"?%i5kotv\uZ74 =:"h6j`uu]r[45/<8#o7iazt^s\56.3: n0hb{{_p]27-24!m1ocxzPq^30,12.l2ndyyQ~_01+00/c3me~xRP12*72,e3)7*g>bh}}UzS<='6(a8`jssWxU:?%9&c:flqqYvW89#4$m4dnww[tY6;!3"j6j`uu]r[45;4)30-a=cg|~T}R?;(06*`>bh}}UzS<:'14+g?air|V{T=9&>6(f8`jssWxU:8%?8)e9gkprXyV;?$<6&d:flqqYvW8>#=4'l;emvpZwX9="9%i5kotv\uZ73 ;:"h6j`uu]r[42/:8#o7iazt^s\51.5: n0hb{{_p]20-44!m1ocxzPq^37,72.l2ndyyQ~_06+60/c3me~xRP15*12,b4)04-a=cg|~T}R?;(3:*`>bh}}UzS<:'28+`?air|V{T=9&<)e9gkprXyV;?$>>&d:flqqYvW8>#?<'k;emvpZwX9="8>$j4dnww[tY6"h6j`uu]r[42/;<#o7iazt^s\51.4> n0hb{{_p]20-50!m1ocxzPq^37,6>.l2ndyyQ~_06+7bh}}UzS<:'40+g?air|V{T=9&;2(f8`jssWxU:8%:<)e9gkprXyV;?$9:&d:flqqYvW8>#88'k;emvpZwX9="?:$m4dnww[tY6 i0hb{{_p]20-1.k2ndyyQ~_06+<,e4);*b>bh}}UzS<:34783:f=cg|~T}R?:(1+`?air|V{T=8&>)e9gkprXyV;>$<>&d:flqqYvW8?#=<'k;emvpZwX9<":>$j4dnww[tY6=!;8%i5kotv\uZ72 8>"h6j`uu]r[43/9<#o7iazt^s\50.6> n0hb{{_p]21-70!m1ocxzPq^36,4>.l2ndyyQ~_07+5bh}}UzS<;'20+g?air|V{T=8&=2(f8`jssWxU:9%<<)e9gkprXyV;>$?:&d:flqqYvW8?#>8'k;emvpZwX9<"9:$j4dnww[tY6=!8<%i5kotv\uZ72 ;2"h6j`uu]r[43/:0#h7iazt^s\50.4!m1ocxzPq^36,66.l2ndyyQ~_07+74/c3me~xRP14*06,b5)10-a=cg|~T}R?:(26*`>bh}}UzS<;'34+g?air|V{T=8&<6(f8`jssWxU:9%=8)e9gkprXyV;>$>6&d:flqqYvW8?#?4'l;emvpZwX9<"?%i5kotv\uZ72 =:"h6j`uu]r[43/<8#o7iazt^s\50.3: n0hb{{_p]21-24!m1ocxzPq^36,12.l2ndyyQ~_07+00/c3me~xRP14*72,e5)7*g>bh}}UzS<;'6(a8`jssWxU:9%9&c:flqqYvW8?#4$m4dnww[tY6=!3"j6j`uu]r[43;6)30-a=cg|~T}R?9(06*`>bh}}UzS<8'14+g?air|V{T=;&>6(f8`jssWxU::%?8)e9gkprXyV;=$<6&d:flqqYvW8<#=4'l;emvpZwX9?"9%i5kotv\uZ71 ;:"h6j`uu]r[40/:8#o7iazt^s\53.5: n0hb{{_p]22-44!m1ocxzPq^35,72.l2ndyyQ~_04+60/c3me~xRP17*12,b6)04-a=cg|~T}R?9(3:*`>bh}}UzS<8'28+`?air|V{T=;&<)e9gkprXyV;=$>>&d:flqqYvW8<#?<'k;emvpZwX9?"8>$j4dnww[tY6>!98%i5kotv\uZ71 :>"h6j`uu]r[40/;<#o7iazt^s\53.4> n0hb{{_p]22-50!m1ocxzPq^35,6>.l2ndyyQ~_04+7bh}}UzS<8'40+g?air|V{T=;&;2(f8`jssWxU::%:<)e9gkprXyV;=$9:&d:flqqYvW8<#88'k;emvpZwX9?"?:$m4dnww[tY6>!?"o6j`uu]r[40/> i0hb{{_p]22-1.k2ndyyQ~_04+<,e6);*b>bh}}UzS<834783:`=cg|~T}R?34783:g=cg|~T}R<'0(`8`jssWxU9$<'l;emvpZwX:!;;%n5kotv\uZ4/98#h7iazt^s\6-75!j1ocxzPq^0+56/d3me~xRP2)37-f=cg|~T}R<'14+`?air|V{T>%?9)b9gkprXyV8#=:'l;emvpZwX:!;3%n5kotv\uZ4/90#i7iazt^s\6-4.k2ndyyQ~_3*14,ebh}}UzS?&=2(a8`jssWxU9$?=&c:flqqYvW;"98$m4dnww[tY5 ;?"o6j`uu]r[7.5> i0hb{{_p]1,71.k2ndyyQ~_3*1<,ebh}}UzS?&<)b9gkprXyV8#?='l;emvpZwX:!9:%n5kotv\uZ4/;;#h7iazt^s\6-54!j1ocxzPq^0+71/d3me~xRP2)16-f=cg|~T}R<'37+`?air|V{T>%=8)b9gkprXyV8#?5'l;emvpZwX:!92%o5kotv\uZ4/< i0hb{{_p]1,16.k2ndyyQ~_3*75,ebh}}UzS?&;3(a8`jssWxU9$9:&c:flqqYvW;"?9$m4dnww[tY5 =<"n6j`uu]r[7.2!k1ocxzPq^0+2,d%6&b:flqqYvW;"2%h5kotv\uZ4;'l;emvpZwX;!;?%n5kotv\uZ5/9<#h7iazt^s\7-71!j1ocxzPq^1+52/d3me~xRP3)3;-f=cg|~T}R='18+a?air|V{T?%<&c:flqqYvW:"9<$m4dnww[tY4 ;;"o6j`uu]r[6.5: i0hb{{_p]0,75.k2ndyyQ~_2*10,ebh}}UzS>&=6(a8`jssWxU8$?9&c:flqqYvW:"94$m4dnww[tY4 ;3"n6j`uu]r[6.4!j1ocxzPq^1+75/d3me~xRP3)12-f=cg|~T}R='33+`?air|V{T?%=<)b9gkprXyV9#?9'l;emvpZwX;!9>%n5kotv\uZ5/;?#h7iazt^s\7-50!j1ocxzPq^1+7=/d3me~xRP3)1:-g=cg|~T}R='4(a8`jssWxU8$9>&c:flqqYvW:"?=$m4dnww[tY4 =8"o6j`uu]r[6.3; i0hb{{_p]0,12.k2ndyyQ~_2*71,ebh}}UzS>&:)c9gkprXyV9#:$l4dnww[tY4 >#i7iazt^s\7->.j2ndyyQ~_2*:-`=cg|~T}R=34783:g=cg|~T}R:'0(`8`jssWxU?$<'l;emvpZwX#=:'l;emvpZwXbh}}UzS9&=2(a8`jssWxU?$?=&c:flqqYvW="98$m4dnww[tY3 ;?"o6j`uu]r[1.5> i0hb{{_p]7,71.k2ndyyQ~_5*1<,ebh}}UzS9&<)b9gkprXyV>#?='l;emvpZwX#?5'l;emvpZwXbh}}UzS9&;3(a8`jssWxU?$9:&c:flqqYvW="?9$m4dnww[tY3 =<"n6j`uu]r[1.2!k1ocxzPq^6+2,d'l;emvpZwX=!;?%n5kotv\uZ3/9<#h7iazt^s\1-71!j1ocxzPq^7+52/d3me~xRP5)3;-f=cg|~T}R;'18+a?air|V{T9%<&c:flqqYvW<"9<$m4dnww[tY2 ;;"o6j`uu]r[0.5: i0hb{{_p]6,75.k2ndyyQ~_4*10,ebh}}UzS8&=6(a8`jssWxU>$?9&c:flqqYvW<"94$m4dnww[tY2 ;3"n6j`uu]r[0.4!j1ocxzPq^7+75/d3me~xRP5)12-f=cg|~T}R;'33+`?air|V{T9%=<)b9gkprXyV?#?9'l;emvpZwX=!9>%n5kotv\uZ3/;?#h7iazt^s\1-50!j1ocxzPq^7+7=/d3me~xRP5)1:-g=cg|~T}R;'4(a8`jssWxU>$9>&c:flqqYvW<"?=$m4dnww[tY2 =8"o6j`uu]r[0.3; i0hb{{_p]6,12.k2ndyyQ~_4*71,ebh}}UzS8&:)c9gkprXyV?#:$l4dnww[tY2 >#i7iazt^s\1->.j2ndyyQ~_4*:-`=cg|~T}R;34783:g=cg|~T}R8'0(`8`jssWxU=$<'l;emvpZwX>!;;%n5kotv\uZ0/98#h7iazt^s\2-75!j1ocxzPq^4+56/d3me~xRP6)37-f=cg|~T}R8'14+`?air|V{T:%?9)b9gkprXyV<#=:'l;emvpZwX>!;3%n5kotv\uZ0/90#i7iazt^s\2-4.k2ndyyQ~_7*14,ebh}}UzS;&=2(a8`jssWxU=$?=&c:flqqYvW?"98$m4dnww[tY1 ;?"o6j`uu]r[3.5> i0hb{{_p]5,71.k2ndyyQ~_7*1<,ebh}}UzS;&<)b9gkprXyV<#?='l;emvpZwX>!9:%n5kotv\uZ0/;;#h7iazt^s\2-54!j1ocxzPq^4+71/d3me~xRP6)16-f=cg|~T}R8'37+`?air|V{T:%=8)b9gkprXyV<#?5'l;emvpZwX>!92%o5kotv\uZ0/< i0hb{{_p]5,16.k2ndyyQ~_7*75,ebh}}UzS;&;3(a8`jssWxU=$9:&c:flqqYvW?"?9$m4dnww[tY1 =<"n6j`uu]r[3.2!k1ocxzPq^4+2,d'l;emvpZwX?!;?%n5kotv\uZ1/9<#h7iazt^s\3-71!j1ocxzPq^5+52/d3me~xRP7)3;-f=cg|~T}R9'18+a?air|V{T;%<&c:flqqYvW>"9<$m4dnww[tY0 ;;"o6j`uu]r[2.5: i0hb{{_p]4,75.k2ndyyQ~_6*10,ebh}}UzS:&=6(a8`jssWxU<$?9&c:flqqYvW>"94$m4dnww[tY0 ;3"n6j`uu]r[2.4!j1ocxzPq^5+75/d3me~xRP7)12-f=cg|~T}R9'33+`?air|V{T;%=<)b9gkprXyV=#?9'l;emvpZwX?!9>%n5kotv\uZ1/;?#h7iazt^s\3-50!j1ocxzPq^5+7=/d3me~xRP7)1:-g=cg|~T}R9'4(a8`jssWxU<$9>&c:flqqYvW>"?=$m4dnww[tY0 =8"o6j`uu]r[2.3; i0hb{{_p]4,12.k2ndyyQ~_6*71,ebh}}UzS:&:)c9gkprXyV=#:$l4dnww[tY0 >#i7iazt^s\3->.j2ndyyQ~_6*:-`=cg|~T}R934783:g=cg|~T}R6'0(`8`jssWxU3$<'l;emvpZwX0!;;%n5kotv\uZ>/98#h7iazt^s\<-75!j1ocxzPq^:+56/d3me~xRP8)37-f=cg|~T}R6'14+`?air|V{T4%?9)b9gkprXyV2#=:'l;emvpZwX0!;3%n5kotv\uZ>/90#i7iazt^s\<-4.k2ndyyQ~_9*14,ebh}}UzS5&=2(a8`jssWxU3$?=&c:flqqYvW1"98$m4dnww[tY? ;?"o6j`uu]r[=.5> i0hb{{_p];,71.k2ndyyQ~_9*1<,ebh}}UzS5&<)b9gkprXyV2#?='l;emvpZwX0!9:%n5kotv\uZ>/;;#h7iazt^s\<-54!j1ocxzPq^:+71/d3me~xRP8)16-f=cg|~T}R6'37+`?air|V{T4%=8)b9gkprXyV2#?5'l;emvpZwX0!92%o5kotv\uZ>/< i0hb{{_p];,16.k2ndyyQ~_9*75,ebh}}UzS5&;3(a8`jssWxU3$9:&c:flqqYvW1"?9$m4dnww[tY? =<"n6j`uu]r[=.2!k1ocxzPq^:+2,d;'l;emvpZwX1!;?%n5kotv\uZ?/9<#h7iazt^s\=-71!j1ocxzPq^;+52/d3me~xRP9)3;-f=cg|~T}R7'18+a?air|V{T5%<&c:flqqYvW0"9<$m4dnww[tY> ;;"o6j`uu]r[<.5: i0hb{{_p]:,75.k2ndyyQ~_8*10,ebh}}UzS4&=6(a8`jssWxU2$?9&c:flqqYvW0"94$m4dnww[tY> ;3"n6j`uu]r[<.4!j1ocxzPq^;+75/d3me~xRP9)12-f=cg|~T}R7'33+`?air|V{T5%=<)b9gkprXyV3#?9'l;emvpZwX1!9>%n5kotv\uZ?/;?#h7iazt^s\=-50!j1ocxzPq^;+7=/d3me~xRP9)1:-g=cg|~T}R7'4(a8`jssWxU2$9>&c:flqqYvW0"?=$m4dnww[tY> =8"o6j`uu]r[<.3; i0hb{{_p]:,12.k2ndyyQ~_8*71,ebh}}UzS4&:)c9gkprXyV3#:$l4dnww[tY> >#i7iazt^s\=->.j2ndyyQ~_8*:-`=cg|~T}R734783:<=cx{Uym`Q>9:fsvZtfeV827i~}_scn[6?56jr^pbiZ0>3mzySob_6;8`utXzhgT455jdhd1jbbc3ocgxh|Psdn+4,`3>585l2lb~Rm`mqkoawYq=V:',Ugcioz#GJTB(Noeio{os"20+213ahoin?=2:ja``e6$ocySnabphnfvZp2W9&ECCK#NNLF6ge<`knno<"iis]`khvndlxTz8Q?,zc`gpkX`nd0:8,`nokrYfxyxdt0>#c^jbwZeb58&hSeo|_ecweZpfd`n6=!mPh`q\ja;6$jUcm~Q|cmp>5)eXdh~nbnw220.`[hcjW`dbxRhfld?kfacd9'gbj!mPoqvjil|f|`ee1<"lh`l\mkYfl7; nfnn^qfhZqnl}b6=!mcobi\bwcv5;:;<=>?01.`k``Xelgu}k20-alacYumeejh0?#cnge[qwm4:'obki_vkgpm;60%id`Rm`ngkd92*dgeUfcik20-alhZvnxlUgic3;,ecweZkbe}s{i0>#d`vb[qwm4:'hlzn_vkgpm;5<%njxl~nti]nahr~xl7; iklil]qeqc::%lb`yk}_`p`l87+n`fiQ|em]tmaro58&meazjr^vzt`;1$`di~Pr`vf94*nxkmjRcjmu{sa86+flmTtcbeupz95*i}dUhdl`aemq>5)hreVlb`y}21-lviZvf|ay6>!`zm^vbtv;3?%eohR}vmlgwv|;6$fziykhPmdow}uc:8%e~x}{{_sgd94*h}}z~xRyfduj>02*ui}oToeoagd]w}uc:8%xdycjPmnff95*tidzgiRh}ep?1456789:; ~mcr^ffp`tjagcxxdm21-qzihcszp7: wk}o^rbgnoioVljoya}=0.~5`=ojmoh=Rhfr^aliuokm{U}9R>Pxrv\57=il11eknlzimf1?ki43{nr?6|id59pgite3zcl<=>?0122f>uno9:;<=>?2c9pmb6789:;<>l4she3456789>i7~gh01234562j2ybk=>?01232g=tan:;<=>?06`8wla789:;<=6m;rkd456789:2n6}fg1234567ik1xej>?01234gd<{`m;<=>?01aa?vo`89:;<=>kb:qjc56789:;io5|if2345678oh0di?0123446e3zcl<=>?0132f>uno9:;<=>>2c9pmb6789:;=>l4she3456788>i7~gh01234572j2ybk=>?01222g=tan:;<=>?16`8wla789:;<<6m;rkd456789;2n6}fg1234566ik1xej>?01235gd<{`m;<=>?00aa?vo`89:;<=?kb:qjc56789::io5|if2345679oh0di?0123476e3zcl<=>?0102f>uno9:;<=>=2c9pmb6789:;>>l4she345678;>i7~gh01234542j2ybk=>?01212g=tan:;<=>?26`8wla789:;?01236gd<{`m;<=>?03aa?vo`89:;<=?0112f>uno9:;<=><2c9pmb6789:;?>l4she345678:>i7~gh01234552j2ybk=>?01202g=tan:;<=>?36`8wla789:;<>6m;rkd45678992n6}fg1234564ik1xej>?01237gd<{`m;<=>?02aa?vo`89:;<==kb:qjc56789:8io5|if234567;oh0di?0123416e3zcl<=>?0162f>uno9:;<=>;2c9pmb6789:;8>l4she345678=>i7~gh01234522j2ybk=>?01272g=tan:;<=>?46`8wla789:;<96m;rkd456789>2n6}fg1234563ik1xej>?01230gd<{`m;<=>?05aa?vo`89:;<=:kb:qjc56789:?io5|if234567?0172f>uno9:;<=>:2c9pmb6789:;9>l4she345678<>i7~gh01234532j2ybk=>?01262g=tan:;<=>?56`8wla789:;<86m;rkd456789?2n6}fg1234562ik1xej>?01231gd<{`m;<=>?04aa?vo`89:;<=;kb:qjc56789:>io5|if234567=oh0di?0123436e3zcl<=>?0142f>uno9:;<=>92c9pmb6789:;:>l4she345678?>i7~gh01234502j2ybk=>?01252g=tan:;<=>?66`8wla789:;<;6m;rkd456789<2n6}fg1234561ik1xej>?01232gd<{`m;<=>?07aa?vo`89:;<=8kb:qjc56789:=io5|if234567>oh0di?0123426e3zcl<=>?0152f>uno9:;<=>82c9pmb6789:;;>l4she345678>>i7~gh01234512j2ybk=>?01242g=tan:;<=>?76`8wla789:;<:6m;rkd456789=2n6}fg1234560ik1xej>?01233gd<{`m;<=>?06aa?vo`89:;<=9kb:qjc56789:?01:2f>uno9:;<=>72c9pmb6789:;4>l4she3456781>i7~gh012345>2j2ybk=>?012;2g=tan:;<=>?86`8wla789:;<56m;rkd45678922n6}fg123456?ik1xej>?0123?09aa?vo`89:;<=6kb:qjc56789:3io5|if2345670oh0di?01234<6e3zcl<=>?01;2f>uno9:;<=>62c9pmb6789:;5>l4she3456780>i7~gh012345?2j2ybk=>?012:2g=tan:;<=>?96`8wla789:;<46m;rkd45678932n6}fg123456>ik1xej>?0123=gd<{`m;<=>?08aa?vo`89:;<=7kb:qjc56789:2io5|if2345671oh0di?01234d6e3zcl<=>?01c2f>uno9:;<=>n2c9pmb6789:;m>l4she345678h>i7~gh012345g2j2ybk=>?012b2g=tan:;<=>?a6`8wla789:;?0123egd<{`m;<=>?0`aa?vo`89:;<=okb:qjc56789:jio5|if234567ioh0di?01234g6e3zcl<=>?01`2f>uno9:;<=>m2c9pmb6789:;n>l4she345678k>i7~gh012345d2j2ybk=>?012a2g=tan:;<=>?b6`8wla789:;?0123fgd<{`m;<=>?0caa?vo`89:;<=lkb:qjc56789:iio5|if234567joh0di?01234f6e3zcl<=>?01a2f>uno9:;<=>l2c9pmb6789:;o>l4she345678j>i7~gh012345e2j2ybk=>?012`2g=tan:;<=>?c6`8wla789:;?0123ggd<{`m;<=>?0baa?vo`89:;<=mkb:qjc56789:hio5|if234567koh0di?01234a6e3zcl<=>?01f2f>uno9:;<=>k2c9pmb6789:;h>l4she345678m>i7~gh012345b2j2ybk=>?012g2g=tan:;<=>?d6`8wla789:;?0123`gd<{`m;<=>?0eaa?vo`89:;<=jkb:qjc56789:oio5|if234567loh0di?01234`6e3zcl<=>?01g2f>uno9:;<=>j2c9pmb6789:;i>l4she345678l>i7~gh012345c2j2ybk=>?012f2g=tan:;<=>?e6`8wla789:;?0123agd<{`m;<=>?0daa?vo`89:;<=kkb:qjc56789:nio5|if234567moh0di?01234c6e3zcl<=>?01d2f>uno9:;<=>i2c9pmb6789:;j>l4she345678o>i7~gh012345`2j2ybk=>?012e2g=tan:;<=>?f6`8wla789:;?0123bgd<{`m;<=>?0gaa?vo`89:;<=hkb:qjc56789:mio5|if234567noh0di?0123556e3zcl<=>?0022f>uno9:;<=??2c9pmb6789::<>l4she3456799>i7~gh01234462j2ybk=>?01332g=tan:;<=>>06`8wla789:;==6m;rkd456788:2n6}fg1234577ik1xej>?01224gd<{`m;<=>?11aa?vo`89:;<<>kb:qjc56789;;io5|if2345668oh0di?0123546e3zcl<=>?0032f>uno9:;<=?>2c9pmb6789::=>l4she3456798>i7~gh01234472j2ybk=>?01322g=tan:;<=>>16`8wla789:;=<6m;rkd456788;2n6}fg1234576ik1xej>?01225gd<{`m;<=>?10aa?vo`89:;<?0002f>uno9:;<=?=2c9pmb6789::>>l4she345679;>i7~gh01234442j2ybk=>?01312g=tan:;<=>>26`8wla789:;=?6m;rkd45678882n6}fg1234575ik1xej>?01226gd<{`m;<=>?13aa?vo`89:;<<?0012f>uno9:;<=?<2c9pmb6789::?>l4she345679:>i7~gh01234452j2ybk=>?01302g=tan:;<=>>36`8wla789:;=>6m;rkd45678892n6}fg1234574ik1xej>?01227gd<{`m;<=>?12aa?vo`89:;<<=kb:qjc56789;8io5|if234566;oh0di?0123516e3zcl<=>?0062f>uno9:;<=?;2c9pmb6789::8>l4she345679=>i7~gh01234422j2ybk=>?01372g=tan:;<=>>46`8wla789:;=96m;rkd456788>2n6}fg1234573ik1xej>?01220gd<{`m;<=>?15aa?vo`89:;<<:kb:qjc56789;?io5|if234566?0072f>uno9:;<=?:2c9pmb6789::9>l4she345679<>i7~gh01234432j2ybk=>?01362g=tan:;<=>>56`8wla789:;=86m;rkd456788?2n6}fg1234572ik1xej>?01221gd<{`m;<=>?14aa?vo`89:;<<;kb:qjc56789;>io5|if234566=oh0di?0123536e3zcl<=>?0042f>uno9:;<=?92c9pmb6789:::>l4she345679?>i7~gh01234402j2ybk=>?01352g=tan:;<=>>66`8wla789:;=;6m;rkd456788<2n6}fg1234571ik1xej>?01222gd<{`m;<=>?17aa?vo`89:;<<8kb:qjc56789;=io5|if234566>oh0di?0123526e3zcl<=>?0052f>uno9:;<=?82c9pmb6789::;>l4she345679>>i7~gh01234412j2ybk=>?01342g=tan:;<=>>76`8wla789:;=:6m;rkd456788=2n6}fg1234570ik1xej>?01223gd<{`m;<=>?16aa?vo`89:;<<9kb:qjc56789;?00:2f>uno9:;<=?72c9pmb6789::4>l4she3456791>i7~gh012344>2j2ybk=>?013;2g=tan:;<=>>86`8wla789:;=56m;rkd45678822n6}fg123457?ik1xej>?0122?19aa?vo`89:;<<6kb:qjc56789;3io5|if2345660oh0di?01235<6e3zcl<=>?00;2f>uno9:;<=?62c9pmb6789::5>l4she3456790>i7~gh012344?2j2ybk=>?013:2g=tan:;<=>>96`8wla789:;=46m;rkd45678832n6}fg123457>ik1xej>?0122=gd<{`m;<=>?18aa?vo`89:;<<7kb:qjc56789;2io5|if2345661oh0di?01235d6e3zcl<=>?00c2f>uno9:;<=?n2c9pmb6789::m>l4she345679h>i7~gh012344g2j2ybk=>?013b2g=tan:;<=>>a6`8wla789:;=l6m;rkd456788k2n6}fg123457fik1xej>?0122egd<{`m;<=>?1`aa?vo`89:;<?00`2f>uno9:;<=?m2c9pmb6789::n>l4she345679k>i7~gh012344d2j2ybk=>?013a2g=tan:;<=>>b6`8wla789:;=o6m;rkd456788h2n6}fg123457eik1xej>?0122fgd<{`m;<=>?1caa?vo`89:;<?00a2f>uno9:;<=?l2c9pmb6789::o>l4she345679j>i7~gh012344e2j2ybk=>?013`2g=tan:;<=>>c6`8wla789:;=n6m;rkd456788i2n6}fg123457dik1xej>?0122ggd<{`m;<=>?1baa?vo`89:;<?00f2f>uno9:;<=?k2c9pmb6789::h>l4she345679m>i7~gh012344b2j2ybk=>?013g2g=tan:;<=>>d6`8wla789:;=i6m;rkd456788n2n6}fg123457cik1xej>?0122`gd<{`m;<=>?1eaa?vo`89:;<?00g2f>uno9:;<=?j2c9pmb6789::i>l4she345679l>i7~gh012344c2j2ybk=>?013f2g=tan:;<=>>e6`8wla789:;=h6m;rkd456788o2n6}fg123457bik1xej>?0122agd<{`m;<=>?1daa?vo`89:;<?00d2f>uno9:;<=?i2c9pmb6789::j>l4she345679o>i7~gh012344`2j2ybk=>?013e2g=tan:;<=>>f6`8wla789:;=k6m;rkd456788l2n6}fg123457aik1xej>?0122bgd<{`m;<=>?1gaa?vo`89:;<?0322f>uno9:;<=l4she34567:9>i7~gh01234762j2ybk=>?01032g=tan:;<=>=06`8wla789:;>=6m;rkd45678;:2n6}fg1234547ik1xej>?01214gd<{`m;<=>?21aa?vo`89:;kb:qjc567898;io5|if2345658oh0di?0123646e3zcl<=>?0332f>uno9:;<=<>2c9pmb6789:9=>l4she34567:8>i7~gh01234772j2ybk=>?01022g=tan:;<=>=16`8wla789:;><6m;rkd45678;;2n6}fg1234546ik1xej>?01215gd<{`m;<=>?20aa?vo`89:;?0302f>uno9:;<=<=2c9pmb6789:9>>l4she34567:;>i7~gh01234742j2ybk=>?01012g=tan:;<=>=26`8wla789:;>?6m;rkd45678;82n6}fg1234545ik1xej>?01216gd<{`m;<=>?23aa?vo`89:;?0312f>uno9:;<=<<2c9pmb6789:9?>l4she34567::>i7~gh01234752j2ybk=>?01002g=tan:;<=>=36`8wla789:;>>6m;rkd45678;92n6}fg1234544ik1xej>?01217gd<{`m;<=>?22aa?vo`89:;?0362f>uno9:;<=<;2c9pmb6789:98>l4she34567:=>i7~gh01234722j2ybk=>?01072g=tan:;<=>=46`8wla789:;>96m;rkd45678;>2n6}fg1234543ik1xej>?01210gd<{`m;<=>?25aa?vo`89:;?0372f>uno9:;<=<:2c9pmb6789:99>l4she34567:<>i7~gh01234732j2ybk=>?01062g=tan:;<=>=56`8wla789:;>86m;rkd45678;?2n6}fg1234542ik1xej>?01211gd<{`m;<=>?24aa?vo`89:;io5|if234565=oh0di?0123636e3zcl<=>?0342f>uno9:;<=<92c9pmb6789:9:>l4she34567:?>i7~gh01234702j2ybk=>?01052g=tan:;<=>=66`8wla789:;>;6m;rkd45678;<2n6}fg1234541ik1xej>?01212gd<{`m;<=>?27aa?vo`89:;oh0di?0123626e3zcl<=>?0352f>uno9:;<=<82c9pmb6789:9;>l4she34567:>>i7~gh01234712j2ybk=>?01042g=tan:;<=>=76`8wla789:;>:6m;rkd45678;=2n6}fg1234540ik1xej>?01213gd<{`m;<=>?26aa?vo`89:;?03:2f>uno9:;<=<72c9pmb6789:94>l4she34567:1>i7~gh012347>2j2ybk=>?010;2g=tan:;<=>=86`8wla789:;>56m;rkd45678;22n6}fg123454?ik1xej>?0121?29aa?vo`89:;?03;2f>uno9:;<=<62c9pmb6789:95>l4she34567:0>i7~gh012347?2j2ybk=>?010:2g=tan:;<=>=96`8wla789:;>46m;rkd45678;32n6}fg123454>ik1xej>?0121=gd<{`m;<=>?28aa?vo`89:;?03c2f>uno9:;<=l4she34567:h>i7~gh012347g2j2ybk=>?010b2g=tan:;<=>=a6`8wla789:;>l6m;rkd45678;k2n6}fg123454fik1xej>?0121egd<{`m;<=>?2`aa?vo`89:;?03`2f>uno9:;<=l4she34567:k>i7~gh012347d2j2ybk=>?010a2g=tan:;<=>=b6`8wla789:;>o6m;rkd45678;h2n6}fg123454eik1xej>?0121fgd<{`m;<=>?2caa?vo`89:;?03a2f>uno9:;<=l4she34567:j>i7~gh012347e2j2ybk=>?010`2g=tan:;<=>=c6`8wla789:;>n6m;rkd45678;i2n6}fg123454dik1xej>?0121ggd<{`m;<=>?2baa?vo`89:;?03f2f>uno9:;<=l4she34567:m>i7~gh012347b2j2ybk=>?010g2g=tan:;<=>=d6`8wla789:;>i6m;rkd45678;n2n6}fg123454cik1xej>?0121`gd<{`m;<=>?2eaa?vo`89:;?03g2f>uno9:;<=l4she34567:l>i7~gh012347c2j2ybk=>?010f2g=tan:;<=>=e6`8wla789:;>h6m;rkd45678;o2n6}fg123454bik1xej>?0121agd<{`m;<=>?2daa?vo`89:;?03d2f>uno9:;<=l4she34567:o>i7~gh012347`2j2ybk=>?010e2g=tan:;<=>=f6`8wla789:;>k6m;rkd45678;l2n6}fg123454aik1xej>?0121bgd<{`m;<=>?2gaa?vo`89:;?0222f>uno9:;<==?2c9pmb6789:8<>l4she34567;9>i7~gh01234662j2ybk=>?01132g=tan:;<=><06`8wla789:;?=6m;rkd45678::2n6}fg1234557ik1xej>?01204gd<{`m;<=>?31aa?vo`89:;<>>kb:qjc567899;io5|if2345648oh0di?0123746e3zcl<=>?0232f>uno9:;<==>2c9pmb6789:8=>l4she34567;8>i7~gh01234672j2ybk=>?01122g=tan:;<=><16`8wla789:;?<6m;rkd45678:;2n6}fg1234556ik1xej>?01205gd<{`m;<=>?30aa?vo`89:;<>?kb:qjc567899:io5|if2345649oh0di?0123776e3zcl<=>?0202f>uno9:;<===2c9pmb6789:8>>l4she34567;;>i7~gh01234642j2ybk=>?01112g=tan:;<=><26`8wla789:;??6m;rkd45678:82n6}fg1234555ik1xej>?01206gd<{`m;<=>?33aa?vo`89:;<>?0212f>uno9:;<==<2c9pmb6789:8?>l4she34567;:>i7~gh01234652j2ybk=>?01102g=tan:;<=><36`8wla789:;?>6m;rkd45678:92n6}fg1234554ik1xej>?01207gd<{`m;<=>?32aa?vo`89:;<>=kb:qjc5678998io5|if234564;oh0di?0123716e3zcl<=>?0262f>uno9:;<==;2c9pmb6789:88>l4she34567;=>i7~gh01234622j2ybk=>?01172g=tan:;<=><46`8wla789:;?96m;rkd45678:>2n6}fg1234553ik1xej>?01200gd<{`m;<=>?35aa?vo`89:;<>:kb:qjc567899?io5|if234564?0272f>uno9:;<==:2c9pmb6789:89>l4she34567;<>i7~gh01234632j2ybk=>?01162g=tan:;<=><56`8wla789:;?86m;rkd45678:?2n6}fg1234552ik1xej>?01201gd<{`m;<=>?34aa?vo`89:;<>;kb:qjc567899>io5|if234564=oh0di?0123736e3zcl<=>?0242f>uno9:;<==92c9pmb6789:8:>l4she34567;?>i7~gh01234602j2ybk=>?01152g=tan:;<=><66`8wla789:;?;6m;rkd45678:<2n6}fg1234551ik1xej>?01202gd<{`m;<=>?37aa?vo`89:;<>8kb:qjc567899=io5|if234564>oh0di?0123726e3zcl<=>?0252f>uno9:;<==82c9pmb6789:8;>l4she34567;>>i7~gh01234612j2ybk=>?01142g=tan:;<=><76`8wla789:;?:6m;rkd45678:=2n6}fg1234550ik1xej>?01203gd<{`m;<=>?36aa?vo`89:;<>9kb:qjc567899?02:2f>uno9:;<==72c9pmb6789:84>l4she34567;1>i7~gh012346>2j2ybk=>?011;2g=tan:;<=><86`8wla789:;?56m;rkd45678:22n6}fg123455?ik1xej>?0120?39aa?vo`89:;<>6kb:qjc5678993io5|if2345640oh0di?01237<6e3zcl<=>?02;2f>uno9:;<==62c9pmb6789:85>l4she34567;0>i7~gh012346?2j2ybk=>?011:2g=tan:;<=><96`8wla789:;?46m;rkd45678:32n6}fg123455>ik1xej>?0120=gd<{`m;<=>?38aa?vo`89:;<>7kb:qjc5678992io5|if2345641oh0di?01237d6e3zcl<=>?02c2f>uno9:;<==n2c9pmb6789:8m>l4she34567;h>i7~gh012346g2j2ybk=>?011b2g=tan:;<=>?0120egd<{`m;<=>?3`aa?vo`89:;<>okb:qjc567899jio5|if234564ioh0di?01237g6e3zcl<=>?02`2f>uno9:;<==m2c9pmb6789:8n>l4she34567;k>i7~gh012346d2j2ybk=>?011a2g=tan:;<=>?0120fgd<{`m;<=>?3caa?vo`89:;<>lkb:qjc567899iio5|if234564joh0di?01237f6e3zcl<=>?02a2f>uno9:;<==l2c9pmb6789:8o>l4she34567;j>i7~gh012346e2j2ybk=>?011`2g=tan:;<=>?0120ggd<{`m;<=>?3baa?vo`89:;<>mkb:qjc567899hio5|if234564koh0di?01237a6e3zcl<=>?02f2f>uno9:;<==k2c9pmb6789:8h>l4she34567;m>i7~gh012346b2j2ybk=>?011g2g=tan:;<=>?0120`gd<{`m;<=>?3eaa?vo`89:;<>jkb:qjc567899oio5|if234564loh0di?01237`6e3zcl<=>?02g2f>uno9:;<==j2c9pmb6789:8i>l4she34567;l>i7~gh012346c2j2ybk=>?011f2g=tan:;<=>?0120agd<{`m;<=>?3daa?vo`89:;<>kkb:qjc567899nio5|if234564moh0di?01237c6e3zcl<=>?02d2f>uno9:;<==i2c9pmb6789:8j>l4she34567;o>i7~gh012346`2j2ybk=>?011e2g=tan:;<=>?0120bgd<{`m;<=>?3gaa?vo`89:;<>hkb:qjc567899mio5|if234564noh0di?0123056e3zcl<=>?0522f>uno9:;<=:?2c9pmb6789:?<>l4she34567<9>i7~gh01234162j2ybk=>?01632g=tan:;<=>;06`8wla789:;8=6m;rkd45678=:2n6}fg1234527ik1xej>?01274gd<{`m;<=>?41aa?vo`89:;<9>kb:qjc56789>;io5|if2345638oh0di?0123046e3zcl<=>?0532f>uno9:;<=:>2c9pmb6789:?=>l4she34567<8>i7~gh01234172j2ybk=>?01622g=tan:;<=>;16`8wla789:;8<6m;rkd45678=;2n6}fg1234526ik1xej>?01275gd<{`m;<=>?40aa?vo`89:;<9?kb:qjc56789>:io5|if2345639oh0di?0123076e3zcl<=>?0502f>uno9:;<=:=2c9pmb6789:?>>l4she34567<;>i7~gh01234142j2ybk=>?01612g=tan:;<=>;26`8wla789:;8?6m;rkd45678=82n6}fg1234525ik1xej>?01276gd<{`m;<=>?43aa?vo`89:;<99io5|if234563:oh0di?0123066e3zcl<=>?0512f>uno9:;<=:<2c9pmb6789:??>l4she34567<:>i7~gh01234152j2ybk=>?01602g=tan:;<=>;36`8wla789:;8>6m;rkd45678=92n6}fg1234524ik1xej>?01277gd<{`m;<=>?42aa?vo`89:;<9=kb:qjc56789>8io5|if234563;oh0di?0123016e3zcl<=>?0562f>uno9:;<=:;2c9pmb6789:?8>l4she34567<=>i7~gh01234122j2ybk=>?01672g=tan:;<=>;46`8wla789:;896m;rkd45678=>2n6}fg1234523ik1xej>?01270gd<{`m;<=>?45aa?vo`89:;<9:kb:qjc56789>?io5|if234563?0572f>uno9:;<=::2c9pmb6789:?9>l4she34567<<>i7~gh01234132j2ybk=>?01662g=tan:;<=>;56`8wla789:;886m;rkd45678=?2n6}fg1234522ik1xej>?01271gd<{`m;<=>?44aa?vo`89:;<9;kb:qjc56789>>io5|if234563=oh0di?0123036e3zcl<=>?0542f>uno9:;<=:92c9pmb6789:?:>l4she34567i7~gh01234102j2ybk=>?01652g=tan:;<=>;66`8wla789:;8;6m;rkd45678=<2n6}fg1234521ik1xej>?01272gd<{`m;<=>?47aa?vo`89:;<98kb:qjc56789>=io5|if234563>oh0di?0123026e3zcl<=>?0552f>uno9:;<=:82c9pmb6789:?;>l4she34567<>>i7~gh01234112j2ybk=>?01642g=tan:;<=>;76`8wla789:;8:6m;rkd45678==2n6}fg1234520ik1xej>?01273gd<{`m;<=>?46aa?vo`89:;<99kb:qjc56789>?05:2f>uno9:;<=:72c9pmb6789:?4>l4she34567<1>i7~gh012341>2j2ybk=>?016;2g=tan:;<=>;86`8wla789:;856m;rkd45678=22n6}fg123452?ik1xej>?0127?49aa?vo`89:;<96kb:qjc56789>3io5|if2345630oh0di?01230<6e3zcl<=>?05;2f>uno9:;<=:62c9pmb6789:?5>l4she34567<0>i7~gh012341?2j2ybk=>?016:2g=tan:;<=>;96`8wla789:;846m;rkd45678=32n6}fg123452>ik1xej>?0127=gd<{`m;<=>?48aa?vo`89:;<97kb:qjc56789>2io5|if2345631oh0di?01230d6e3zcl<=>?05c2f>uno9:;<=:n2c9pmb6789:?m>l4she34567i7~gh012341g2j2ybk=>?016b2g=tan:;<=>;a6`8wla789:;8l6m;rkd45678=k2n6}fg123452fik1xej>?0127egd<{`m;<=>?4`aa?vo`89:;<9okb:qjc56789>jio5|if234563ioh0di?01230g6e3zcl<=>?05`2f>uno9:;<=:m2c9pmb6789:?n>l4she34567i7~gh012341d2j2ybk=>?016a2g=tan:;<=>;b6`8wla789:;8o6m;rkd45678=h2n6}fg123452eik1xej>?0127fgd<{`m;<=>?4caa?vo`89:;<9lkb:qjc56789>iio5|if234563joh0di?01230f6e3zcl<=>?05a2f>uno9:;<=:l2c9pmb6789:?o>l4she34567i7~gh012341e2j2ybk=>?016`2g=tan:;<=>;c6`8wla789:;8n6m;rkd45678=i2n6}fg123452dik1xej>?0127ggd<{`m;<=>?4baa?vo`89:;<9mkb:qjc56789>hio5|if234563koh0di?01230a6e3zcl<=>?05f2f>uno9:;<=:k2c9pmb6789:?h>l4she34567i7~gh012341b2j2ybk=>?016g2g=tan:;<=>;d6`8wla789:;8i6m;rkd45678=n2n6}fg123452cik1xej>?0127`gd<{`m;<=>?4eaa?vo`89:;<9jkb:qjc56789>oio5|if234563loh0di?01230`6e3zcl<=>?05g2f>uno9:;<=:j2c9pmb6789:?i>l4she34567i7~gh012341c2j2ybk=>?016f2g=tan:;<=>;e6`8wla789:;8h6m;rkd45678=o2n6}fg123452bik1xej>?0127agd<{`m;<=>?4daa?vo`89:;<9kkb:qjc56789>nio5|if234563moh0di?01230c6e3zcl<=>?05d2f>uno9:;<=:i2c9pmb6789:?j>l4she34567i7~gh012341`2j2ybk=>?016e2g=tan:;<=>;f6`8wla789:;8k6m;rkd45678=l2n6}fg123452aik1xej>?0127bgd<{`m;<=>?4gaa?vo`89:;<9hkb:qjc56789>mio5|if234563noh0di?0123156e3zcl<=>?0422f>uno9:;<=;?2c9pmb6789:><>l4she34567=9>i7~gh01234062j2ybk=>?01732g=tan:;<=>:06`8wla789:;9=6m;rkd45678<:2n6}fg1234537ik1xej>?01264gd<{`m;<=>?51aa?vo`89:;<8>kb:qjc56789?;io5|if2345628oh0di?0123146e3zcl<=>?0432f>uno9:;<=;>2c9pmb6789:>=>l4she34567=8>i7~gh01234072j2ybk=>?01722g=tan:;<=>:16`8wla789:;9<6m;rkd45678<;2n6}fg1234536ik1xej>?01265gd<{`m;<=>?50aa?vo`89:;<8?kb:qjc56789?:io5|if2345629oh0di?0123176e3zcl<=>?0402f>uno9:;<=;=2c9pmb6789:>>>l4she34567=;>i7~gh01234042j2ybk=>?01712g=tan:;<=>:26`8wla789:;9?6m;rkd45678<82n6}fg1234535ik1xej>?01266gd<{`m;<=>?53aa?vo`89:;<8?0412f>uno9:;<=;<2c9pmb6789:>?>l4she34567=:>i7~gh01234052j2ybk=>?01702g=tan:;<=>:36`8wla789:;9>6m;rkd45678<92n6}fg1234534ik1xej>?01267gd<{`m;<=>?52aa?vo`89:;<8=kb:qjc56789?8io5|if234562;oh0di?0123116e3zcl<=>?0462f>uno9:;<=;;2c9pmb6789:>8>l4she34567==>i7~gh01234022j2ybk=>?01772g=tan:;<=>:46`8wla789:;996m;rkd45678<>2n6}fg1234533ik1xej>?01260gd<{`m;<=>?55aa?vo`89:;<8:kb:qjc56789??io5|if234562?0472f>uno9:;<=;:2c9pmb6789:>9>l4she34567=<>i7~gh01234032j2ybk=>?01762g=tan:;<=>:56`8wla789:;986m;rkd45678?01261gd<{`m;<=>?54aa?vo`89:;<8;kb:qjc56789?>io5|if234562=oh0di?0123136e3zcl<=>?0442f>uno9:;<=;92c9pmb6789:>:>l4she34567=?>i7~gh01234002j2ybk=>?01752g=tan:;<=>:66`8wla789:;9;6m;rkd45678<<2n6}fg1234531ik1xej>?01262gd<{`m;<=>?57aa?vo`89:;<88kb:qjc56789?=io5|if234562>oh0di?0123126e3zcl<=>?0452f>uno9:;<=;82c9pmb6789:>;>l4she34567=>>i7~gh01234012j2ybk=>?01742g=tan:;<=>:76`8wla789:;9:6m;rkd45678<=2n6}fg1234530ik1xej>?01263gd<{`m;<=>?56aa?vo`89:;<89kb:qjc56789??04:2f>uno9:;<=;72c9pmb6789:>4>l4she34567=1>i7~gh012340>2j2ybk=>?017;2g=tan:;<=>:86`8wla789:;956m;rkd45678<22n6}fg123453?ik1xej>?0126?59aa?vo`89:;<86kb:qjc56789?3io5|if2345620oh0di?01231<6e3zcl<=>?04;2f>uno9:;<=;62c9pmb6789:>5>l4she34567=0>i7~gh012340?2j2ybk=>?017:2g=tan:;<=>:96`8wla789:;946m;rkd45678<32n6}fg123453>ik1xej>?0126=gd<{`m;<=>?58aa?vo`89:;<87kb:qjc56789?2io5|if2345621oh0di?01231d6e3zcl<=>?04c2f>uno9:;<=;n2c9pmb6789:>m>l4she34567=h>i7~gh012340g2j2ybk=>?017b2g=tan:;<=>:a6`8wla789:;9l6m;rkd45678?0126egd<{`m;<=>?5`aa?vo`89:;<8okb:qjc56789?jio5|if234562ioh0di?01231g6e3zcl<=>?04`2f>uno9:;<=;m2c9pmb6789:>n>l4she34567=k>i7~gh012340d2j2ybk=>?017a2g=tan:;<=>:b6`8wla789:;9o6m;rkd45678?0126fgd<{`m;<=>?5caa?vo`89:;<8lkb:qjc56789?iio5|if234562joh0di?01231f6e3zcl<=>?04a2f>uno9:;<=;l2c9pmb6789:>o>l4she34567=j>i7~gh012340e2j2ybk=>?017`2g=tan:;<=>:c6`8wla789:;9n6m;rkd45678?0126ggd<{`m;<=>?5baa?vo`89:;<8mkb:qjc56789?hio5|if234562koh0di?01231a6e3zcl<=>?04f2f>uno9:;<=;k2c9pmb6789:>h>l4she34567=m>i7~gh012340b2j2ybk=>?017g2g=tan:;<=>:d6`8wla789:;9i6m;rkd45678?0126`gd<{`m;<=>?5eaa?vo`89:;<8jkb:qjc56789?oio5|if234562loh0di?01231`6e3zcl<=>?04g2f>uno9:;<=;j2c9pmb6789:>i>l4she34567=l>i7~gh012340c2j2ybk=>?017f2g=tan:;<=>:e6`8wla789:;9h6m;rkd45678?0126agd<{`m;<=>?5daa?vo`89:;<8kkb:qjc56789?nio5|if234562moh0di?01231c6e3zcl<=>?04d2f>uno9:;<=;i2c9pmb6789:>j>l4she34567=o>i7~gh012340`2j2ybk=>?017e2g=tan:;<=>:f6`8wla789:;9k6m;rkd45678?0126bgd<{`m;<=>?5gaa?vo`89:;<8hkb:qjc56789?mio5|if234562noh0di?0123256e3zcl<=>?0722f>uno9:;<=8?2c9pmb6789:=<>l4she34567>9>i7~gh01234362j2ybk=>?01432g=tan:;<=>906`8wla789:;:=6m;rkd45678?:2n6}fg1234507ik1xej>?01254gd<{`m;<=>?61aa?vo`89:;<;>kb:qjc56789<;io5|if2345618oh0di?0123246e3zcl<=>?0732f>uno9:;<=8>2c9pmb6789:==>l4she34567>8>i7~gh01234372j2ybk=>?01422g=tan:;<=>916`8wla789:;:<6m;rkd45678?;2n6}fg1234506ik1xej>?01255gd<{`m;<=>?60aa?vo`89:;<;?kb:qjc56789<:io5|if2345619oh0di?0123276e3zcl<=>?0702f>uno9:;<=8=2c9pmb6789:=>>l4she34567>;>i7~gh01234342j2ybk=>?01412g=tan:;<=>926`8wla789:;:?6m;rkd45678?82n6}fg1234505ik1xej>?01256gd<{`m;<=>?63aa?vo`89:;<;?0712f>uno9:;<=8<2c9pmb6789:=?>l4she34567>:>i7~gh01234352j2ybk=>?01402g=tan:;<=>936`8wla789:;:>6m;rkd45678?92n6}fg1234504ik1xej>?01257gd<{`m;<=>?62aa?vo`89:;<;=kb:qjc56789<8io5|if234561;oh0di?0123216e3zcl<=>?0762f>uno9:;<=8;2c9pmb6789:=8>l4she34567>=>i7~gh01234322j2ybk=>?01472g=tan:;<=>946`8wla789:;:96m;rkd45678?>2n6}fg1234503ik1xej>?01250gd<{`m;<=>?65aa?vo`89:;<;:kb:qjc56789?0772f>uno9:;<=8:2c9pmb6789:=9>l4she34567><>i7~gh01234332j2ybk=>?01462g=tan:;<=>956`8wla789:;:86m;rkd45678??2n6}fg1234502ik1xej>?01251gd<{`m;<=>?64aa?vo`89:;<;;kb:qjc56789<>io5|if234561=oh0di?0123236e3zcl<=>?0742f>uno9:;<=892c9pmb6789:=:>l4she34567>?>i7~gh01234302j2ybk=>?01452g=tan:;<=>966`8wla789:;:;6m;rkd45678?<2n6}fg1234501ik1xej>?01252gd<{`m;<=>?67aa?vo`89:;<;8kb:qjc56789<=io5|if234561>oh0di?0123226e3zcl<=>?0752f>uno9:;<=882c9pmb6789:=;>l4she34567>>>i7~gh01234312j2ybk=>?01442g=tan:;<=>976`8wla789:;::6m;rkd45678?=2n6}fg1234500ik1xej>?01253gd<{`m;<=>?66aa?vo`89:;<;9kb:qjc56789<?07:2f>uno9:;<=872c9pmb6789:=4>l4she34567>1>i7~gh012343>2j2ybk=>?014;2g=tan:;<=>986`8wla789:;:56m;rkd45678?22n6}fg123450?ik1xej>?0125?69aa?vo`89:;<;6kb:qjc56789<3io5|if2345610oh0di?01232<6e3zcl<=>?07;2f>uno9:;<=862c9pmb6789:=5>l4she34567>0>i7~gh012343?2j2ybk=>?014:2g=tan:;<=>996`8wla789:;:46m;rkd45678?32n6}fg123450>ik1xej>?0125=gd<{`m;<=>?68aa?vo`89:;<;7kb:qjc56789<2io5|if2345611oh0di?01232d6e3zcl<=>?07c2f>uno9:;<=8n2c9pmb6789:=m>l4she34567>h>i7~gh012343g2j2ybk=>?014b2g=tan:;<=>9a6`8wla789:;:l6m;rkd45678?k2n6}fg123450fik1xej>?0125egd<{`m;<=>?6`aa?vo`89:;<;okb:qjc56789?07`2f>uno9:;<=8m2c9pmb6789:=n>l4she34567>k>i7~gh012343d2j2ybk=>?014a2g=tan:;<=>9b6`8wla789:;:o6m;rkd45678?h2n6}fg123450eik1xej>?0125fgd<{`m;<=>?6caa?vo`89:;<;lkb:qjc56789?07a2f>uno9:;<=8l2c9pmb6789:=o>l4she34567>j>i7~gh012343e2j2ybk=>?014`2g=tan:;<=>9c6`8wla789:;:n6m;rkd45678?i2n6}fg123450dik1xej>?0125ggd<{`m;<=>?6baa?vo`89:;<;mkb:qjc56789?07f2f>uno9:;<=8k2c9pmb6789:=h>l4she34567>m>i7~gh012343b2j2ybk=>?014g2g=tan:;<=>9d6`8wla789:;:i6m;rkd45678?n2n6}fg123450cik1xej>?0125`gd<{`m;<=>?6eaa?vo`89:;<;jkb:qjc56789?07g2f>uno9:;<=8j2c9pmb6789:=i>l4she34567>l>i7~gh012343c2j2ybk=>?014f2g=tan:;<=>9e6`8wla789:;:h6m;rkd45678?o2n6}fg123450bik1xej>?0125agd<{`m;<=>?6daa?vo`89:;<;kkb:qjc56789?07d2f>uno9:;<=8i2c9pmb6789:=j>l4she34567>o>i7~gh012343`2j2ybk=>?014e2g=tan:;<=>9f6`8wla789:;:k6m;rkd45678?l2n6}fg123450aik1xej>?0125bgd<{`m;<=>?6gaa?vo`89:;<;hkb:qjc56789?0622f>uno9:;<=9?2c9pmb6789:<<>l4she34567?9>i7~gh01234262j2ybk=>?01532g=tan:;<=>806`8wla789:;;=6m;rkd45678>:2n6}fg1234517ik1xej>?01244gd<{`m;<=>?71aa?vo`89:;<:>kb:qjc56789=;io5|if2345608oh0di?0123346e3zcl<=>?0632f>uno9:;<=9>2c9pmb6789:<=>l4she34567?8>i7~gh01234272j2ybk=>?01522g=tan:;<=>816`8wla789:;;<6m;rkd45678>;2n6}fg1234516ik1xej>?01245gd<{`m;<=>?70aa?vo`89:;<:?kb:qjc56789=:io5|if2345609oh0di?0123376e3zcl<=>?0602f>uno9:;<=9=2c9pmb6789:<>>l4she34567?;>i7~gh01234242j2ybk=>?01512g=tan:;<=>826`8wla789:;;?6m;rkd45678>82n6}fg1234515ik1xej>?01246gd<{`m;<=>?73aa?vo`89:;<:?0612f>uno9:;<=9<2c9pmb6789:l4she34567?:>i7~gh01234252j2ybk=>?01502g=tan:;<=>836`8wla789:;;>6m;rkd45678>92n6}fg1234514ik1xej>?01247gd<{`m;<=>?72aa?vo`89:;<:=kb:qjc56789=8io5|if234560;oh0di?0123316e3zcl<=>?0662f>uno9:;<=9;2c9pmb6789:<8>l4she34567?=>i7~gh01234222j2ybk=>?01572g=tan:;<=>846`8wla789:;;96m;rkd45678>>2n6}fg1234513ik1xej>?01240gd<{`m;<=>?75aa?vo`89:;<::kb:qjc56789=?io5|if234560?0672f>uno9:;<=9:2c9pmb6789:<9>l4she34567?<>i7~gh01234232j2ybk=>?01562g=tan:;<=>856`8wla789:;;86m;rkd45678>?2n6}fg1234512ik1xej>?01241gd<{`m;<=>?74aa?vo`89:;<:;kb:qjc56789=>io5|if234560=oh0di?0123336e3zcl<=>?0642f>uno9:;<=992c9pmb6789:<:>l4she34567??>i7~gh01234202j2ybk=>?01552g=tan:;<=>866`8wla789:;;;6m;rkd45678><2n6}fg1234511ik1xej>?01242gd<{`m;<=>?77aa?vo`89:;<:8kb:qjc56789==io5|if234560>oh0di?0123326e3zcl<=>?0652f>uno9:;<=982c9pmb6789:<;>l4she34567?>>i7~gh01234212j2ybk=>?01542g=tan:;<=>876`8wla789:;;:6m;rkd45678>=2n6}fg1234510ik1xej>?01243gd<{`m;<=>?76aa?vo`89:;<:9kb:qjc56789=?06:2f>uno9:;<=972c9pmb6789:<4>l4she34567?1>i7~gh012342>2j2ybk=>?015;2g=tan:;<=>886`8wla789:;;56m;rkd45678>22n6}fg123451?ik1xej>?0124?79aa?vo`89:;<:6kb:qjc56789=3io5|if2345600oh0di?01233<6e3zcl<=>?06;2f>uno9:;<=962c9pmb6789:<5>l4she34567?0>i7~gh012342?2j2ybk=>?015:2g=tan:;<=>896`8wla789:;;46m;rkd45678>32n6}fg123451>ik1xej>?0124=gd<{`m;<=>?78aa?vo`89:;<:7kb:qjc56789=2io5|if2345601oh0di?01233d6e3zcl<=>?06c2f>uno9:;<=9n2c9pmb6789:l4she34567?h>i7~gh012342g2j2ybk=>?015b2g=tan:;<=>8a6`8wla789:;;l6m;rkd45678>k2n6}fg123451fik1xej>?0124egd<{`m;<=>?7`aa?vo`89:;<:okb:qjc56789=jio5|if234560ioh0di?01233g6e3zcl<=>?06`2f>uno9:;<=9m2c9pmb6789:l4she34567?k>i7~gh012342d2j2ybk=>?015a2g=tan:;<=>8b6`8wla789:;;o6m;rkd45678>h2n6}fg123451eik1xej>?0124fgd<{`m;<=>?7caa?vo`89:;<:lkb:qjc56789=iio5|if234560joh0di?01233f6e3zcl<=>?06a2f>uno9:;<=9l2c9pmb6789:l4she34567?j>i7~gh012342e2j2ybk=>?015`2g=tan:;<=>8c6`8wla789:;;n6m;rkd45678>i2n6}fg123451dik1xej>?0124ggd<{`m;<=>?7baa?vo`89:;<:mkb:qjc56789=hio5|if234560koh0di?01233a6e3zcl<=>?06f2f>uno9:;<=9k2c9pmb6789:l4she34567?m>i7~gh012342b2j2ybk=>?015g2g=tan:;<=>8d6`8wla789:;;i6m;rkd45678>n2n6}fg123451cik1xej>?0124`gd<{`m;<=>?7eaa?vo`89:;<:jkb:qjc56789=oio5|if234560loh0di?01233`6e3zcl<=>?06g2f>uno9:;<=9j2c9pmb6789:l4she34567?l>i7~gh012342c2j2ybk=>?015f2g=tan:;<=>8e6`8wla789:;;h6m;rkd45678>o2n6}fg123451bik1xej>?0124agd<{`m;<=>?7daa?vo`89:;<:kkb:qjc56789=nio5|if234560moh0di?01233c6e3zcl<=>?06d2f>uno9:;<=9i2c9pmb6789:l4she34567?o>i7~gh012342`2j2ybk=>?015e2g=tan:;<=>8f6`8wla789:;;k6m;rkd45678>l2n6}fg123451aik1xej>?0124bgd<{`m;<=>?7gaa?vo`89:;<:hkb:qjc56789=mio5|if234560noh0di?0123<56e3zcl<=>?0922f>uno9:;<=6?2c9pmb6789:3<>l4she3456709>i7~gh01234=62j2ybk=>?01:32g=tan:;<=>706`8wla789:;4=6m;rkd456781:2n6}fg12345>7ik1xej>?012;4gd<{`m;<=>?81aa?vo`89:;<5>kb:qjc567892;io5|if23456?8oh0di?0123<46e3zcl<=>?0932f>uno9:;<=6>2c9pmb6789:3=>l4she3456708>i7~gh01234=72j2ybk=>?01:22g=tan:;<=>716`8wla789:;4<6m;rkd456781;2n6}fg12345>6ik1xej>?012;5gd<{`m;<=>?80aa?vo`89:;<5?kb:qjc567892:io5|if23456?9oh0di?0123<76e3zcl<=>?0902f>uno9:;<=6=2c9pmb6789:3>>l4she345670;>i7~gh01234=42j2ybk=>?01:12g=tan:;<=>726`8wla789:;4?6m;rkd45678182n6}fg12345>5ik1xej>?012;6gd<{`m;<=>?83aa?vo`89:;<5?0912f>uno9:;<=6<2c9pmb6789:3?>l4she345670:>i7~gh01234=52j2ybk=>?01:02g=tan:;<=>736`8wla789:;4>6m;rkd45678192n6}fg12345>4ik1xej>?012;7gd<{`m;<=>?82aa?vo`89:;<5=kb:qjc5678928io5|if23456?;oh0di?0123<16e3zcl<=>?0962f>uno9:;<=6;2c9pmb6789:38>l4she345670=>i7~gh01234=22j2ybk=>?01:72g=tan:;<=>746`8wla789:;496m;rkd456781>2n6}fg12345>3ik1xej>?012;0gd<{`m;<=>?85aa?vo`89:;<5:kb:qjc567892?io5|if23456??0972f>uno9:;<=6:2c9pmb6789:39>l4she345670<>i7~gh01234=32j2ybk=>?01:62g=tan:;<=>756`8wla789:;486m;rkd456781?2n6}fg12345>2ik1xej>?012;1gd<{`m;<=>?84aa?vo`89:;<5;kb:qjc567892>io5|if23456?=oh0di?0123<36e3zcl<=>?0942f>uno9:;<=692c9pmb6789:3:>l4she345670?>i7~gh01234=02j2ybk=>?01:52g=tan:;<=>766`8wla789:;4;6m;rkd456781<2n6}fg12345>1ik1xej>?012;2gd<{`m;<=>?87aa?vo`89:;<58kb:qjc567892=io5|if23456?>oh0di?0123<26e3zcl<=>?0952f>uno9:;<=682c9pmb6789:3;>l4she345670>>i7~gh01234=12j2ybk=>?01:42g=tan:;<=>776`8wla789:;4:6m;rkd456781=2n6}fg12345>0ik1xej>?012;3gd<{`m;<=>?86aa?vo`89:;<59kb:qjc567892?09:2f>uno9:;<=672c9pmb6789:34>l4she3456701>i7~gh01234=>2j2ybk=>?01:;2g=tan:;<=>786`8wla789:;456m;rkd45678122n6}fg12345>?ik1xej>?012;?89aa?vo`89:;<56kb:qjc5678923io5|if23456?0oh0di?0123<<6e3zcl<=>?09;2f>uno9:;<=662c9pmb6789:35>l4she3456700>i7~gh01234=?2j2ybk=>?01::2g=tan:;<=>796`8wla789:;446m;rkd45678132n6}fg12345>>ik1xej>?012;=gd<{`m;<=>?88aa?vo`89:;<57kb:qjc5678922io5|if23456?1oh0di?0123?09c2f>uno9:;<=6n2c9pmb6789:3m>l4she345670h>i7~gh01234=g2j2ybk=>?01:b2g=tan:;<=>7a6`8wla789:;4l6m;rkd456781k2n6}fg12345>fik1xej>?012;egd<{`m;<=>?8`aa?vo`89:;<5okb:qjc567892jio5|if23456?ioh0di?0123?09`2f>uno9:;<=6m2c9pmb6789:3n>l4she345670k>i7~gh01234=d2j2ybk=>?01:a2g=tan:;<=>7b6`8wla789:;4o6m;rkd456781h2n6}fg12345>eik1xej>?012;fgd<{`m;<=>?8caa?vo`89:;<5lkb:qjc567892iio5|if23456?joh0di?0123?09a2f>uno9:;<=6l2c9pmb6789:3o>l4she345670j>i7~gh01234=e2j2ybk=>?01:`2g=tan:;<=>7c6`8wla789:;4n6m;rkd456781i2n6}fg12345>dik1xej>?012;ggd<{`m;<=>?8baa?vo`89:;<5mkb:qjc567892hio5|if23456?koh0di?0123?09f2f>uno9:;<=6k2c9pmb6789:3h>l4she345670m>i7~gh01234=b2j2ybk=>?01:g2g=tan:;<=>7d6`8wla789:;4i6m;rkd456781n2n6}fg12345>cik1xej>?012;`gd<{`m;<=>?8eaa?vo`89:;<5jkb:qjc567892oio5|if23456?loh0di?0123<`6e3zcl<=>?09g2f>uno9:;<=6j2c9pmb6789:3i>l4she345670l>i7~gh01234=c2j2ybk=>?01:f2g=tan:;<=>7e6`8wla789:;4h6m;rkd456781o2n6}fg12345>bik1xej>?012;agd<{`m;<=>?8daa?vo`89:;<5kkb:qjc567892nio5|if23456?moh0di?0123?09d2f>uno9:;<=6i2c9pmb6789:3j>l4she345670o>i7~gh01234=`2j2ybk=>?01:e2g=tan:;<=>7f6`8wla789:;4k6m;rkd456781l2n6}fg12345>aik1xej>?012;bgd<{`m;<=>?8gaa?vo`89:;<5hkb:qjc567892mio5|if23456?noh0di?0123=56e3zcl<=>?0822f>uno9:;<=7?2c9pmb6789:2<>l4she3456719>i7~gh01234<62j2ybk=>?01;32g=tan:;<=>606`8wla789:;5=6m;rkd456780:2n6}fg12345?7ik1xej>?012:4gd<{`m;<=>?91aa?vo`89:;<4>kb:qjc567893;io5|if23456>8oh0di?0123=46e3zcl<=>?0832f>uno9:;<=7>2c9pmb6789:2=>l4she3456718>i7~gh01234<72j2ybk=>?01;22g=tan:;<=>616`8wla789:;5<6m;rkd456780;2n6}fg12345?6ik1xej>?012:5gd<{`m;<=>?90aa?vo`89:;<4?kb:qjc567893:io5|if23456>9oh0di?0123=76e3zcl<=>?0802f>uno9:;<=7=2c9pmb6789:2>>l4she345671;>i7~gh01234<42j2ybk=>?01;12g=tan:;<=>626`8wla789:;5?6m;rkd45678082n6}fg12345?5ik1xej>?012:6gd<{`m;<=>?93aa?vo`89:;<4:oh0di?0123=66e3zcl<=>?0812f>uno9:;<=7<2c9pmb6789:2?>l4she345671:>i7~gh01234<52j2ybk=>?01;02g=tan:;<=>636`8wla789:;5>6m;rkd45678092n6}fg12345?4ik1xej>?012:7gd<{`m;<=>?92aa?vo`89:;<4=kb:qjc5678938io5|if23456>;oh0di?0123=16e3zcl<=>?0862f>uno9:;<=7;2c9pmb6789:28>l4she345671=>i7~gh01234<22j2ybk=>?01;72g=tan:;<=>646`8wla789:;596m;rkd456780>2n6}fg12345?3ik1xej>?012:0gd<{`m;<=>?95aa?vo`89:;<4:kb:qjc567893?io5|if23456>?0872f>uno9:;<=7:2c9pmb6789:29>l4she345671<>i7~gh01234<32j2ybk=>?01;62g=tan:;<=>656`8wla789:;586m;rkd456780?2n6}fg12345?2ik1xej>?012:1gd<{`m;<=>?94aa?vo`89:;<4;kb:qjc567893>io5|if23456>=oh0di?0123=36e3zcl<=>?0842f>uno9:;<=792c9pmb6789:2:>l4she345671?>i7~gh01234<02j2ybk=>?01;52g=tan:;<=>666`8wla789:;5;6m;rkd456780<2n6}fg12345?1ik1xej>?012:2gd<{`m;<=>?97aa?vo`89:;<48kb:qjc567893=io5|if23456>>oh0di?0123=26e3zcl<=>?0852f>uno9:;<=782c9pmb6789:2;>l4she345671>>i7~gh01234<12j2ybk=>?01;42g=tan:;<=>676`8wla789:;5:6m;rkd456780=2n6}fg12345?0ik1xej>?012:3gd<{`m;<=>?96aa?vo`89:;<49kb:qjc567893?oh0di?0123==6e3zcl<=>?08:2f>uno9:;<=772c9pmb6789:24>l4she3456711>i7~gh01234<>2j2ybk=>?01;;2g=tan:;<=>686`8wla789:;556m;rkd45678022n6}fg12345??ik1xej>?012:?99aa?vo`89:;<46kb:qjc5678933io5|if23456>0oh0di?0123=<6e3zcl<=>?08;2f>uno9:;<=762c9pmb6789:25>l4she3456710>i7~gh01234?01;:2g=tan:;<=>696`8wla789:;546m;rkd45678032n6}fg12345?>ik1xej>?012:=gd<{`m;<=>?98aa?vo`89:;<47kb:qjc5678932io5|if23456>1oh0di?0123=d6e3zcl<=>?08c2f>uno9:;<=7n2c9pmb6789:2m>l4she345671h>i7~gh01234?01;b2g=tan:;<=>6a6`8wla789:;5l6m;rkd456780k2n6}fg12345?fik1xej>?012:egd<{`m;<=>?9`aa?vo`89:;<4okb:qjc567893jio5|if23456>ioh0di?0123=g6e3zcl<=>?08`2f>uno9:;<=7m2c9pmb6789:2n>l4she345671k>i7~gh01234?01;a2g=tan:;<=>6b6`8wla789:;5o6m;rkd456780h2n6}fg12345?eik1xej>?012:fgd<{`m;<=>?9caa?vo`89:;<4lkb:qjc567893iio5|if23456>joh0di?0123=f6e3zcl<=>?08a2f>uno9:;<=7l2c9pmb6789:2o>l4she345671j>i7~gh01234?01;`2g=tan:;<=>6c6`8wla789:;5n6m;rkd456780i2n6}fg12345?dik1xej>?012:ggd<{`m;<=>?9baa?vo`89:;<4mkb:qjc567893hio5|if23456>koh0di?0123=a6e3zcl<=>?08f2f>uno9:;<=7k2c9pmb6789:2h>l4she345671m>i7~gh01234?01;g2g=tan:;<=>6d6`8wla789:;5i6m;rkd456780n2n6}fg12345?cik1xej>?012:`gd<{`m;<=>?9eaa?vo`89:;<4jkb:qjc567893oio5|if23456>loh0di?0123=`6e3zcl<=>?08g2f>uno9:;<=7j2c9pmb6789:2i>l4she345671l>i7~gh01234?01;f2g=tan:;<=>6e6`8wla789:;5h6m;rkd456780o2n6}fg12345?bik1xej>?012:agd<{`m;<=>?9daa?vo`89:;<4kkb:qjc567893nio5|if23456>moh0di?0123=c6e3zcl<=>?08d2f>uno9:;<=7i2c9pmb6789:2j>l4she345671o>i7~gh01234<`2j2ybk=>?01;e2g=tan:;<=>6f6`8wla789:;5k6m;rkd456780l2n6}fg12345?aik1xej>?012:bgd<{`m;<=>?9gaa?vo`89:;<4hkb:qjc567893mio5|if23456>noh0di?0123e56e3zcl<=>?0`22f>uno9:;<=o?2c9pmb6789:j<>l4she34567i9>i7~gh01234d62j2ybk=>?01c32g=tan:;<=>n06`8wla789:;m=6m;rkd45678h:2n6}fg12345g7ik1xej>?012b4gd<{`m;<=>?a1aa?vo`89:;kb:qjc56789k;io5|if23456f8oh0di?0123e46e3zcl<=>?0`32f>uno9:;<=o>2c9pmb6789:j=>l4she34567i8>i7~gh01234d72j2ybk=>?01c22g=tan:;<=>n16`8wla789:;m<6m;rkd45678h;2n6}fg12345g6ik1xej>?012b5gd<{`m;<=>?a0aa?vo`89:;?0`02f>uno9:;<=o=2c9pmb6789:j>>l4she34567i;>i7~gh01234d42j2ybk=>?01c12g=tan:;<=>n26`8wla789:;m?6m;rkd45678h82n6}fg12345g5ik1xej>?012b6gd<{`m;<=>?a3aa?vo`89:;?0`12f>uno9:;<=o<2c9pmb6789:j?>l4she34567i:>i7~gh01234d52j2ybk=>?01c02g=tan:;<=>n36`8wla789:;m>6m;rkd45678h92n6}fg12345g4ik1xej>?012b7gd<{`m;<=>?a2aa?vo`89:;?0`62f>uno9:;<=o;2c9pmb6789:j8>l4she34567i=>i7~gh01234d22j2ybk=>?01c72g=tan:;<=>n46`8wla789:;m96m;rkd45678h>2n6}fg12345g3ik1xej>?012b0gd<{`m;<=>?a5aa?vo`89:;?0`72f>uno9:;<=o:2c9pmb6789:j9>l4she34567i<>i7~gh01234d32j2ybk=>?01c62g=tan:;<=>n56`8wla789:;m86m;rkd45678h?2n6}fg12345g2ik1xej>?012b1gd<{`m;<=>?a4aa?vo`89:;io5|if23456f=oh0di?0123e36e3zcl<=>?0`42f>uno9:;<=o92c9pmb6789:j:>l4she34567i?>i7~gh01234d02j2ybk=>?01c52g=tan:;<=>n66`8wla789:;m;6m;rkd45678h<2n6}fg12345g1ik1xej>?012b2gd<{`m;<=>?a7aa?vo`89:;oh0di?0123e26e3zcl<=>?0`52f>uno9:;<=o82c9pmb6789:j;>l4she34567i>>i7~gh01234d12j2ybk=>?01c42g=tan:;<=>n76`8wla789:;m:6m;rkd45678h=2n6}fg12345g0ik1xej>?012b3gd<{`m;<=>?a6aa?vo`89:;?0`:2f>uno9:;<=o72c9pmb6789:j4>l4she34567i1>i7~gh01234d>2j2ybk=>?01c;2g=tan:;<=>n86`8wla789:;m56m;rkd45678h22n6}fg12345g?ik1xej>?012b?a9aa?vo`89:;?0`;2f>uno9:;<=o62c9pmb6789:j5>l4she34567i0>i7~gh01234d?2j2ybk=>?01c:2g=tan:;<=>n96`8wla789:;m46m;rkd45678h32n6}fg12345g>ik1xej>?012b=gd<{`m;<=>?a8aa?vo`89:;?0`c2f>uno9:;<=on2c9pmb6789:jm>l4she34567ih>i7~gh01234dg2j2ybk=>?01cb2g=tan:;<=>na6`8wla789:;ml6m;rkd45678hk2n6}fg12345gfik1xej>?012begd<{`m;<=>?a`aa?vo`89:;?0``2f>uno9:;<=om2c9pmb6789:jn>l4she34567ik>i7~gh01234dd2j2ybk=>?01ca2g=tan:;<=>nb6`8wla789:;mo6m;rkd45678hh2n6}fg12345geik1xej>?012bfgd<{`m;<=>?acaa?vo`89:;?0`a2f>uno9:;<=ol2c9pmb6789:jo>l4she34567ij>i7~gh01234de2j2ybk=>?01c`2g=tan:;<=>nc6`8wla789:;mn6m;rkd45678hi2n6}fg12345gdik1xej>?012bggd<{`m;<=>?abaa?vo`89:;?0`f2f>uno9:;<=ok2c9pmb6789:jh>l4she34567im>i7~gh01234db2j2ybk=>?01cg2g=tan:;<=>nd6`8wla789:;mi6m;rkd45678hn2n6}fg12345gcik1xej>?012b`gd<{`m;<=>?aeaa?vo`89:;?0`g2f>uno9:;<=oj2c9pmb6789:ji>l4she34567il>i7~gh01234dc2j2ybk=>?01cf2g=tan:;<=>ne6`8wla789:;mh6m;rkd45678ho2n6}fg12345gbik1xej>?012bagd<{`m;<=>?adaa?vo`89:;?0`d2f>uno9:;<=oi2c9pmb6789:jj>l4she34567io>i7~gh01234d`2j2ybk=>?01ce2g=tan:;<=>nf6`8wla789:;mk6m;rkd45678hl2n6}fg12345gaik1xej>?012bbgd<{`m;<=>?agaa?vo`89:;?0c22f>uno9:;<=l?2c9pmb6789:i<>l4she34567j9>i7~gh01234g62j2ybk=>?01`32g=tan:;<=>m06`8wla789:;n=6m;rkd45678k:2n6}fg12345d7ik1xej>?012a4gd<{`m;<=>?b1aa?vo`89:;kb:qjc56789h;io5|if23456e8oh0di?0123f46e3zcl<=>?0c32f>uno9:;<=l>2c9pmb6789:i=>l4she34567j8>i7~gh01234g72j2ybk=>?01`22g=tan:;<=>m16`8wla789:;n<6m;rkd45678k;2n6}fg12345d6ik1xej>?012a5gd<{`m;<=>?b0aa?vo`89:;?0c02f>uno9:;<=l=2c9pmb6789:i>>l4she34567j;>i7~gh01234g42j2ybk=>?01`12g=tan:;<=>m26`8wla789:;n?6m;rkd45678k82n6}fg12345d5ik1xej>?012a6gd<{`m;<=>?b3aa?vo`89:;?0c12f>uno9:;<=l<2c9pmb6789:i?>l4she34567j:>i7~gh01234g52j2ybk=>?01`02g=tan:;<=>m36`8wla789:;n>6m;rkd45678k92n6}fg12345d4ik1xej>?012a7gd<{`m;<=>?b2aa?vo`89:;?0c62f>uno9:;<=l;2c9pmb6789:i8>l4she34567j=>i7~gh01234g22j2ybk=>?01`72g=tan:;<=>m46`8wla789:;n96m;rkd45678k>2n6}fg12345d3ik1xej>?012a0gd<{`m;<=>?b5aa?vo`89:;?0c72f>uno9:;<=l:2c9pmb6789:i9>l4she34567j<>i7~gh01234g32j2ybk=>?01`62g=tan:;<=>m56`8wla789:;n86m;rkd45678k?2n6}fg12345d2ik1xej>?012a1gd<{`m;<=>?b4aa?vo`89:;io5|if23456e=oh0di?0123f36e3zcl<=>?0c42f>uno9:;<=l92c9pmb6789:i:>l4she34567j?>i7~gh01234g02j2ybk=>?01`52g=tan:;<=>m66`8wla789:;n;6m;rkd45678k<2n6}fg12345d1ik1xej>?012a2gd<{`m;<=>?b7aa?vo`89:;oh0di?0123f26e3zcl<=>?0c52f>uno9:;<=l82c9pmb6789:i;>l4she34567j>>i7~gh01234g12j2ybk=>?01`42g=tan:;<=>m76`8wla789:;n:6m;rkd45678k=2n6}fg12345d0ik1xej>?012a3gd<{`m;<=>?b6aa?vo`89:;?0c:2f>uno9:;<=l72c9pmb6789:i4>l4she34567j1>i7~gh01234g>2j2ybk=>?01`;2g=tan:;<=>m86`8wla789:;n56m;rkd45678k22n6}fg12345d?ik1xej>?012a?b9aa?vo`89:;?0c;2f>uno9:;<=l62c9pmb6789:i5>l4she34567j0>i7~gh01234g?2j2ybk=>?01`:2g=tan:;<=>m96`8wla789:;n46m;rkd45678k32n6}fg12345d>ik1xej>?012a=gd<{`m;<=>?b8aa?vo`89:;?0cc2f>uno9:;<=ln2c9pmb6789:im>l4she34567jh>i7~gh01234gg2j2ybk=>?01`b2g=tan:;<=>ma6`8wla789:;nl6m;rkd45678kk2n6}fg12345dfik1xej>?012aegd<{`m;<=>?b`aa?vo`89:;?0c`2f>uno9:;<=lm2c9pmb6789:in>l4she34567jk>i7~gh01234gd2j2ybk=>?01`a2g=tan:;<=>mb6`8wla789:;no6m;rkd45678kh2n6}fg12345deik1xej>?012afgd<{`m;<=>?bcaa?vo`89:;?0ca2f>uno9:;<=ll2c9pmb6789:io>l4she34567jj>i7~gh01234ge2j2ybk=>?01``2g=tan:;<=>mc6`8wla789:;nn6m;rkd45678ki2n6}fg12345ddik1xej>?012aggd<{`m;<=>?bbaa?vo`89:;?0cf2f>uno9:;<=lk2c9pmb6789:ih>l4she34567jm>i7~gh01234gb2j2ybk=>?01`g2g=tan:;<=>md6`8wla789:;ni6m;rkd45678kn2n6}fg12345dcik1xej>?012a`gd<{`m;<=>?beaa?vo`89:;?0cg2f>uno9:;<=lj2c9pmb6789:ii>l4she34567jl>i7~gh01234gc2j2ybk=>?01`f2g=tan:;<=>me6`8wla789:;nh6m;rkd45678ko2n6}fg12345dbik1xej>?012aagd<{`m;<=>?bdaa?vo`89:;?0cd2f>uno9:;<=li2c9pmb6789:ij>l4she34567jo>i7~gh01234g`2j2ybk=>?01`e2g=tan:;<=>mf6`8wla789:;nk6m;rkd45678kl2n6}fg12345daik1xej>?012abgd<{`m;<=>?bgaa?vo`89:;?0b22f>uno9:;<=m?2c9pmb6789:h<>l4she34567k9>i7~gh01234f62j2ybk=>?01a32g=tan:;<=>l06`8wla789:;o=6m;rkd45678j:2n6}fg12345e7ik1xej>?012`4gd<{`m;<=>?c1aa?vo`89:;kb:qjc56789i;io5|if23456d8oh0di?0123g46e3zcl<=>?0b32f>uno9:;<=m>2c9pmb6789:h=>l4she34567k8>i7~gh01234f72j2ybk=>?01a22g=tan:;<=>l16`8wla789:;o<6m;rkd45678j;2n6}fg12345e6ik1xej>?012`5gd<{`m;<=>?c0aa?vo`89:;?0b02f>uno9:;<=m=2c9pmb6789:h>>l4she34567k;>i7~gh01234f42j2ybk=>?01a12g=tan:;<=>l26`8wla789:;o?6m;rkd45678j82n6}fg12345e5ik1xej>?012`6gd<{`m;<=>?c3aa?vo`89:;?0b12f>uno9:;<=m<2c9pmb6789:h?>l4she34567k:>i7~gh01234f52j2ybk=>?01a02g=tan:;<=>l36`8wla789:;o>6m;rkd45678j92n6}fg12345e4ik1xej>?012`7gd<{`m;<=>?c2aa?vo`89:;?0b62f>uno9:;<=m;2c9pmb6789:h8>l4she34567k=>i7~gh01234f22j2ybk=>?01a72g=tan:;<=>l46`8wla789:;o96m;rkd45678j>2n6}fg12345e3ik1xej>?012`0gd<{`m;<=>?c5aa?vo`89:;?0b72f>uno9:;<=m:2c9pmb6789:h9>l4she34567k<>i7~gh01234f32j2ybk=>?01a62g=tan:;<=>l56`8wla789:;o86m;rkd45678j?2n6}fg12345e2ik1xej>?012`1gd<{`m;<=>?c4aa?vo`89:;io5|if23456d=oh0di?0123g36e3zcl<=>?0b42f>uno9:;<=m92c9pmb6789:h:>l4she34567k?>i7~gh01234f02j2ybk=>?01a52g=tan:;<=>l66`8wla789:;o;6m;rkd45678j<2n6}fg12345e1ik1xej>?012`2gd<{`m;<=>?c7aa?vo`89:;oh0di?0123g26e3zcl<=>?0b52f>uno9:;<=m82c9pmb6789:h;>l4she34567k>>i7~gh01234f12j2ybk=>?01a42g=tan:;<=>l76`8wla789:;o:6m;rkd45678j=2n6}fg12345e0ik1xej>?012`3gd<{`m;<=>?c6aa?vo`89:;?0b:2f>uno9:;<=m72c9pmb6789:h4>l4she34567k1>i7~gh01234f>2j2ybk=>?01a;2g=tan:;<=>l86`8wla789:;o56m;rkd45678j22n6}fg12345e?ik1xej>?012`?c9aa?vo`89:;?0b;2f>uno9:;<=m62c9pmb6789:h5>l4she34567k0>i7~gh01234f?2j2ybk=>?01a:2g=tan:;<=>l96`8wla789:;o46m;rkd45678j32n6}fg12345e>ik1xej>?012`=gd<{`m;<=>?c8aa?vo`89:;?0bc2f>uno9:;<=mn2c9pmb6789:hm>l4she34567kh>i7~gh01234fg2j2ybk=>?01ab2g=tan:;<=>la6`8wla789:;ol6m;rkd45678jk2n6}fg12345efik1xej>?012`egd<{`m;<=>?c`aa?vo`89:;?0b`2f>uno9:;<=mm2c9pmb6789:hn>l4she34567kk>i7~gh01234fd2j2ybk=>?01aa2g=tan:;<=>lb6`8wla789:;oo6m;rkd45678jh2n6}fg12345eeik1xej>?012`fgd<{`m;<=>?ccaa?vo`89:;?0ba2f>uno9:;<=ml2c9pmb6789:ho>l4she34567kj>i7~gh01234fe2j2ybk=>?01a`2g=tan:;<=>lc6`8wla789:;on6m;rkd45678ji2n6}fg12345edik1xej>?012`ggd<{`m;<=>?cbaa?vo`89:;?0bf2f>uno9:;<=mk2c9pmb6789:hh>l4she34567km>i7~gh01234fb2j2ybk=>?01ag2g=tan:;<=>ld6`8wla789:;oi6m;rkd45678jn2n6}fg12345ecik1xej>?012``gd<{`m;<=>?ceaa?vo`89:;?0bg2f>uno9:;<=mj2c9pmb6789:hi>l4she34567kl>i7~gh01234fc2j2ybk=>?01af2g=tan:;<=>le6`8wla789:;oh6m;rkd45678jo2n6}fg12345ebik1xej>?012`agd<{`m;<=>?cdaa?vo`89:;?0bd2f>uno9:;<=mi2c9pmb6789:hj>l4she34567ko>i7~gh01234f`2j2ybk=>?01ae2g=tan:;<=>lf6`8wla789:;ok6m;rkd45678jl2n6}fg12345eaik1xej>?012`bgd<{`m;<=>?cgaa?vo`89:;?0e22f>uno9:;<=j?2c9pmb6789:o<>l4she34567l9>i7~gh01234a62j2ybk=>?01f32g=tan:;<=>k06`8wla789:;h=6m;rkd45678m:2n6}fg12345b7ik1xej>?012g4gd<{`m;<=>?d1aa?vo`89:;kb:qjc56789n;io5|if23456c8oh0di?0123`46e3zcl<=>?0e32f>uno9:;<=j>2c9pmb6789:o=>l4she34567l8>i7~gh01234a72j2ybk=>?01f22g=tan:;<=>k16`8wla789:;h<6m;rkd45678m;2n6}fg12345b6ik1xej>?012g5gd<{`m;<=>?d0aa?vo`89:;?0e02f>uno9:;<=j=2c9pmb6789:o>>l4she34567l;>i7~gh01234a42j2ybk=>?01f12g=tan:;<=>k26`8wla789:;h?6m;rkd45678m82n6}fg12345b5ik1xej>?012g6gd<{`m;<=>?d3aa?vo`89:;?0e12f>uno9:;<=j<2c9pmb6789:o?>l4she34567l:>i7~gh01234a52j2ybk=>?01f02g=tan:;<=>k36`8wla789:;h>6m;rkd45678m92n6}fg12345b4ik1xej>?012g7gd<{`m;<=>?d2aa?vo`89:;?0e62f>uno9:;<=j;2c9pmb6789:o8>l4she34567l=>i7~gh01234a22j2ybk=>?01f72g=tan:;<=>k46`8wla789:;h96m;rkd45678m>2n6}fg12345b3ik1xej>?012g0gd<{`m;<=>?d5aa?vo`89:;?0e72f>uno9:;<=j:2c9pmb6789:o9>l4she34567l<>i7~gh01234a32j2ybk=>?01f62g=tan:;<=>k56`8wla789:;h86m;rkd45678m?2n6}fg12345b2ik1xej>?012g1gd<{`m;<=>?d4aa?vo`89:;io5|if23456c=oh0di?0123`36e3zcl<=>?0e42f>uno9:;<=j92c9pmb6789:o:>l4she34567l?>i7~gh01234a02j2ybk=>?01f52g=tan:;<=>k66`8wla789:;h;6m;rkd45678m<2n6}fg12345b1ik1xej>?012g2gd<{`m;<=>?d7aa?vo`89:;oh0di?0123`26e3zcl<=>?0e52f>uno9:;<=j82c9pmb6789:o;>l4she34567l>>i7~gh01234a12j2ybk=>?01f42g=tan:;<=>k76`8wla789:;h:6m;rkd45678m=2n6}fg12345b0ik1xej>?012g3gd<{`m;<=>?d6aa?vo`89:;?0e:2f>uno9:;<=j72c9pmb6789:o4>l4she34567l1>i7~gh01234a>2j2ybk=>?01f;2g=tan:;<=>k86`8wla789:;h56m;rkd45678m22n6}fg12345b?ik1xej>?012g?d9aa?vo`89:;?0e;2f>uno9:;<=j62c9pmb6789:o5>l4she34567l0>i7~gh01234a?2j2ybk=>?01f:2g=tan:;<=>k96`8wla789:;h46m;rkd45678m32n6}fg12345b>ik1xej>?012g=gd<{`m;<=>?d8aa?vo`89:;?0ec2f>uno9:;<=jn2c9pmb6789:om>l4she34567lh>i7~gh01234ag2j2ybk=>?01fb2g=tan:;<=>ka6`8wla789:;hl6m;rkd45678mk2n6}fg12345bfik1xej>?012gegd<{`m;<=>?d`aa?vo`89:;?0e`2f>uno9:;<=jm2c9pmb6789:on>l4she34567lk>i7~gh01234ad2j2ybk=>?01fa2g=tan:;<=>kb6`8wla789:;ho6m;rkd45678mh2n6}fg12345beik1xej>?012gfgd<{`m;<=>?dcaa?vo`89:;?0ea2f>uno9:;<=jl2c9pmb6789:oo>l4she34567lj>i7~gh01234ae2j2ybk=>?01f`2g=tan:;<=>kc6`8wla789:;hn6m;rkd45678mi2n6}fg12345bdik1xej>?012gggd<{`m;<=>?dbaa?vo`89:;?0ef2f>uno9:;<=jk2c9pmb6789:oh>l4she34567lm>i7~gh01234ab2j2ybk=>?01fg2g=tan:;<=>kd6`8wla789:;hi6m;rkd45678mn2n6}fg12345bcik1xej>?012g`gd<{`m;<=>?deaa?vo`89:;?0eg2f>uno9:;<=jj2c9pmb6789:oi>l4she34567ll>i7~gh01234ac2j2ybk=>?01ff2g=tan:;<=>ke6`8wla789:;hh6m;rkd45678mo2n6}fg12345bbik1xej>?012gagd<{`m;<=>?ddaa?vo`89:;?0ed2f>uno9:;<=ji2c9pmb6789:oj>l4she34567lo>i7~gh01234a`2j2ybk=>?01fe2g=tan:;<=>kf6`8wla789:;hk6m;rkd45678ml2n6}fg12345baik1xej>?012gbgd<{`m;<=>?dgaa?vo`89:;?0d22f>uno9:;<=k?2c9pmb6789:n<>l4she34567m9>i7~gh01234`62j2ybk=>?01g32g=tan:;<=>j06`8wla789:;i=6m;rkd45678l:2n6}fg12345c7ik1xej>?012f4gd<{`m;<=>?e1aa?vo`89:;kb:qjc56789o;io5|if23456b8oh0di?0123a46e3zcl<=>?0d32f>uno9:;<=k>2c9pmb6789:n=>l4she34567m8>i7~gh01234`72j2ybk=>?01g22g=tan:;<=>j16`8wla789:;i<6m;rkd45678l;2n6}fg12345c6ik1xej>?012f5gd<{`m;<=>?e0aa?vo`89:;?0d02f>uno9:;<=k=2c9pmb6789:n>>l4she34567m;>i7~gh01234`42j2ybk=>?01g12g=tan:;<=>j26`8wla789:;i?6m;rkd45678l82n6}fg12345c5ik1xej>?012f6gd<{`m;<=>?e3aa?vo`89:;?0d12f>uno9:;<=k<2c9pmb6789:n?>l4she34567m:>i7~gh01234`52j2ybk=>?01g02g=tan:;<=>j36`8wla789:;i>6m;rkd45678l92n6}fg12345c4ik1xej>?012f7gd<{`m;<=>?e2aa?vo`89:;?0d62f>uno9:;<=k;2c9pmb6789:n8>l4she34567m=>i7~gh01234`22j2ybk=>?01g72g=tan:;<=>j46`8wla789:;i96m;rkd45678l>2n6}fg12345c3ik1xej>?012f0gd<{`m;<=>?e5aa?vo`89:;?0d72f>uno9:;<=k:2c9pmb6789:n9>l4she34567m<>i7~gh01234`32j2ybk=>?01g62g=tan:;<=>j56`8wla789:;i86m;rkd45678l?2n6}fg12345c2ik1xej>?012f1gd<{`m;<=>?e4aa?vo`89:;io5|if23456b=oh0di?0123a36e3zcl<=>?0d42f>uno9:;<=k92c9pmb6789:n:>l4she34567m?>i7~gh01234`02j2ybk=>?01g52g=tan:;<=>j66`8wla789:;i;6m;rkd45678l<2n6}fg12345c1ik1xej>?012f2gd<{`m;<=>?e7aa?vo`89:;oh0di?0123a26e3zcl<=>?0d52f>uno9:;<=k82c9pmb6789:n;>l4she34567m>>i7~gh01234`12j2ybk=>?01g42g=tan:;<=>j76`8wla789:;i:6m;rkd45678l=2n6}fg12345c0ik1xej>?012f3gd<{`m;<=>?e6aa?vo`89:;?0d:2f>uno9:;<=k72c9pmb6789:n4>l4she34567m1>i7~gh01234`>2j2ybk=>?01g;2g=tan:;<=>j86`8wla789:;i56m;rkd45678l22n6}fg12345c?ik1xej>?012f?e9aa?vo`89:;?0d;2f>uno9:;<=k62c9pmb6789:n5>l4she34567m0>i7~gh01234`?2j2ybk=>?01g:2g=tan:;<=>j96`8wla789:;i46m;rkd45678l32n6}fg12345c>ik1xej>?012f=gd<{`m;<=>?e8aa?vo`89:;?0dc2f>uno9:;<=kn2c9pmb6789:nm>l4she34567mh>i7~gh01234`g2j2ybk=>?01gb2g=tan:;<=>ja6`8wla789:;il6m;rkd45678lk2n6}fg12345cfik1xej>?012fegd<{`m;<=>?e`aa?vo`89:;?0d`2f>uno9:;<=km2c9pmb6789:nn>l4she34567mk>i7~gh01234`d2j2ybk=>?01ga2g=tan:;<=>jb6`8wla789:;io6m;rkd45678lh2n6}fg12345ceik1xej>?012ffgd<{`m;<=>?ecaa?vo`89:;?0da2f>uno9:;<=kl2c9pmb6789:no>l4she34567mj>i7~gh01234`e2j2ybk=>?01g`2g=tan:;<=>jc6`8wla789:;in6m;rkd45678li2n6}fg12345cdik1xej>?012fggd<{`m;<=>?ebaa?vo`89:;?0df2f>uno9:;<=kk2c9pmb6789:nh>l4she34567mm>i7~gh01234`b2j2ybk=>?01gg2g=tan:;<=>jd6`8wla789:;ii6m;rkd45678ln2n6}fg12345ccik1xej>?012f`gd<{`m;<=>?eeaa?vo`89:;?0dg2f>uno9:;<=kj2c9pmb6789:ni>l4she34567ml>i7~gh01234`c2j2ybk=>?01gf2g=tan:;<=>je6`8wla789:;ih6m;rkd45678lo2n6}fg12345cbik1xej>?012fagd<{`m;<=>?edaa?vo`89:;?0dd2f>uno9:;<=ki2c9pmb6789:nj>l4she34567mo>i7~gh01234``2j2ybk=>?01ge2g=tan:;<=>jf6`8wla789:;ik6m;rkd45678ll2n6}fg12345caik1xej>?012fbgd<{`m;<=>?egaa?vo`89:;?0g22f>uno9:;<=h?2c9pmb6789:m<>l4she34567n9>i7~gh01234c62j2ybk=>?01d32g=tan:;<=>i06`8wla789:;j=6m;rkd45678o:2n6}fg12345`7ik1xej>?012e4gd<{`m;<=>?f1aa?vo`89:;kb:qjc56789l;io5|if23456a8oh0di?0123b46e3zcl<=>?0g32f>uno9:;<=h>2c9pmb6789:m=>l4she34567n8>i7~gh01234c72j2ybk=>?01d22g=tan:;<=>i16`8wla789:;j<6m;rkd45678o;2n6}fg12345`6ik1xej>?012e5gd<{`m;<=>?f0aa?vo`89:;?0g02f>uno9:;<=h=2c9pmb6789:m>>l4she34567n;>i7~gh01234c42j2ybk=>?01d12g=tan:;<=>i26`8wla789:;j?6m;rkd45678o82n6}fg12345`5ik1xej>?012e6gd<{`m;<=>?f3aa?vo`89:;?0g12f>uno9:;<=h<2c9pmb6789:m?>l4she34567n:>i7~gh01234c52j2ybk=>?01d02g=tan:;<=>i36`8wla789:;j>6m;rkd45678o92n6}fg12345`4ik1xej>?012e7gd<{`m;<=>?f2aa?vo`89:;?0g62f>uno9:;<=h;2c9pmb6789:m8>l4she34567n=>i7~gh01234c22j2ybk=>?01d72g=tan:;<=>i46`8wla789:;j96m;rkd45678o>2n6}fg12345`3ik1xej>?012e0gd<{`m;<=>?f5aa?vo`89:;?0g72f>uno9:;<=h:2c9pmb6789:m9>l4she34567n<>i7~gh01234c32j2ybk=>?01d62g=tan:;<=>i56`8wla789:;j86m;rkd45678o?2n6}fg12345`2ik1xej>?012e1gd<{`m;<=>?f4aa?vo`89:;io5|if23456a=oh0di?0123b36e3zcl<=>?0g42f>uno9:;<=h92c9pmb6789:m:>l4she34567n?>i7~gh01234c02j2ybk=>?01d52g=tan:;<=>i66`8wla789:;j;6m;rkd45678o<2n6}fg12345`1ik1xej>?012e2gd<{`m;<=>?f7aa?vo`89:;oh0di?0123b26e3zcl<=>?0g52f>uno9:;<=h82c9pmb6789:m;>l4she34567n>>i7~gh01234c12j2ybk=>?01d42g=tan:;<=>i76`8wla789:;j:6m;rkd45678o=2n6}fg12345`0ik1xej>?012e3gd<{`m;<=>?f6aa?vo`89:;?0g:2f>uno9:;<=h72c9pmb6789:m4>l4she34567n1>i7~gh01234c>2j2ybk=>?01d;2g=tan:;<=>i86`8wla789:;j56m;rkd45678o22n6}fg12345`?ik1xej>?012e?f9aa?vo`89:;?0g;2f>uno9:;<=h62c9pmb6789:m5>l4she34567n0>i7~gh01234c?2j2ybk=>?01d:2g=tan:;<=>i96`8wla789:;j46m;rkd45678o32n6}fg12345`>ik1xej>?012e=gd<{`m;<=>?f8aa?vo`89:;?0gc2f>uno9:;<=hn2c9pmb6789:mm>l4she34567nh>i7~gh01234cg2j2ybk=>?01db2g=tan:;<=>ia6`8wla789:;jl6m;rkd45678ok2n6}fg12345`fik1xej>?012eegd<{`m;<=>?f`aa?vo`89:;?0g`2f>uno9:;<=hm2c9pmb6789:mn>l4she34567nk>i7~gh01234cd2j2ybk=>?01da2g=tan:;<=>ib6`8wla789:;jo6m;rkd45678oh2n6}fg12345`eik1xej>?012efgd<{`m;<=>?fcaa?vo`89:;?0ga2f>uno9:;<=hl2c9pmb6789:mo>l4she34567nj>i7~gh01234ce2j2ybk=>?01d`2g=tan:;<=>ic6`8wla789:;jn6m;rkd45678oi2n6}fg12345`dik1xej>?012eggd<{`m;<=>?fbaa?vo`89:;?0gf2f>uno9:;<=hk2c9pmb6789:mh>l4she34567nm>i7~gh01234cb2j2ybk=>?01dg2g=tan:;<=>id6`8wla789:;ji6m;rkd45678on2n6}fg12345`cik1xej>?012e`gd<{`m;<=>?feaa?vo`89:;?0gg2f>uno9:;<=hj2c9pmb6789:mi>l4she34567nl>i7~gh01234cc2j2ybk=>?01df2g=tan:;<=>ie6`8wla789:;jh6m;rkd45678oo2n6}fg12345`bik1xej>?012eagd<{`m;<=>?fdaa?vo`89:;?0gd2f>uno9:;<=hi2c9pmb6789:mj>l4she34567no>i7~gh01234c`2j2ybk=>?01de2g=tan:;<=>if6`8wla789:;jk6m;rkd45678ol2n6}fg12345`aik1xej>?012ebgd<{`m;<=>?fgaa?vo`89:;?1122f>uno9:;<<>?2c9pmb6789;;<>l4she3456689>i7~gh01235562j2ybk=>?00232g=tan:;<=??06`8wla789::<=6m;rkd456799:2n6}fg1234467ik1xej>?01334gd<{`m;<=>>01aa?vo`89:;==>kb:qjc56788:;io5|if2345778oh0di?0122446e3zcl<=>?1132f>uno9:;<<>>2c9pmb6789;;=>l4she3456688>i7~gh01235572j2ybk=>?00222g=tan:;<=??16`8wla789::<<6m;rkd456799;2n6}fg1234466ik1xej>?01335gd<{`m;<=>>00aa?vo`89:;==?kb:qjc56788::io5|if2345779oh0di?0122476e3zcl<=>?1102f>uno9:;<<>=2c9pmb6789;;>>l4she345668;>i7~gh01235542j2ybk=>?00212g=tan:;<=??26`8wla789::?01336gd<{`m;<=>>03aa?vo`89:;==?1112f>uno9:;<<><2c9pmb6789;;?>l4she345668:>i7~gh01235552j2ybk=>?00202g=tan:;<=??36`8wla789::<>6m;rkd45679992n6}fg1234464ik1xej>?01337gd<{`m;<=>>02aa?vo`89:;===kb:qjc56788:8io5|if234577;oh0di?0122416e3zcl<=>?1162f>uno9:;<<>;2c9pmb6789;;8>l4she345668=>i7~gh01235522j2ybk=>?00272g=tan:;<=??46`8wla789::<96m;rkd456799>2n6}fg1234463ik1xej>?01330gd<{`m;<=>>05aa?vo`89:;==:kb:qjc56788:?io5|if234577?1172f>uno9:;<<>:2c9pmb6789;;9>l4she345668<>i7~gh01235532j2ybk=>?00262g=tan:;<=??56`8wla789::<86m;rkd456799?2n6}fg1234462ik1xej>?01331gd<{`m;<=>>04aa?vo`89:;==;kb:qjc56788:>io5|if234577=oh0di?0122436e3zcl<=>?1142f>uno9:;<<>92c9pmb6789;;:>l4she345668?>i7~gh01235502j2ybk=>?00252g=tan:;<=??66`8wla789::<;6m;rkd456799<2n6}fg1234461ik1xej>?01332gd<{`m;<=>>07aa?vo`89:;==8kb:qjc56788:=io5|if234577>oh0di?0122426e3zcl<=>?1152f>uno9:;<<>82c9pmb6789;;;>l4she345668>>i7~gh01235512j2ybk=>?00242g=tan:;<=??76`8wla789::<:6m;rkd456799=2n6}fg1234460ik1xej>?01333gd<{`m;<=>>06aa?vo`89:;==9kb:qjc56788:?11:2f>uno9:;<<>72c9pmb6789;;4>l4she3456681>i7~gh012355>2j2ybk=>?002;2g=tan:;<=??86`8wla789::<56m;rkd45679922n6}fg123446?ik1xej>?0133>09aa?vo`89:;==6kb:qjc56788:3io5|if2345770oh0di?01224<6e3zcl<=>?11;2f>uno9:;<<>62c9pmb6789;;5>l4she3456680>i7~gh012355?2j2ybk=>?002:2g=tan:;<=??96`8wla789::<46m;rkd45679932n6}fg123446>ik1xej>?0133=gd<{`m;<=>>08aa?vo`89:;==7kb:qjc56788:2io5|if2345771oh0di?01224d6e3zcl<=>?11c2f>uno9:;<<>n2c9pmb6789;;m>l4she345668h>i7~gh012355g2j2ybk=>?002b2g=tan:;<=??a6`8wla789::?0133egd<{`m;<=>>0`aa?vo`89:;==okb:qjc56788:jio5|if234577ioh0di?01224g6e3zcl<=>?11`2f>uno9:;<<>m2c9pmb6789;;n>l4she345668k>i7~gh012355d2j2ybk=>?002a2g=tan:;<=??b6`8wla789::?0133fgd<{`m;<=>>0caa?vo`89:;==lkb:qjc56788:iio5|if234577joh0di?01224f6e3zcl<=>?11a2f>uno9:;<<>l2c9pmb6789;;o>l4she345668j>i7~gh012355e2j2ybk=>?002`2g=tan:;<=??c6`8wla789::?0133ggd<{`m;<=>>0baa?vo`89:;==mkb:qjc56788:hio5|if234577koh0di?01224a6e3zcl<=>?11f2f>uno9:;<<>k2c9pmb6789;;h>l4she345668m>i7~gh012355b2j2ybk=>?002g2g=tan:;<=??d6`8wla789::?0133`gd<{`m;<=>>0eaa?vo`89:;==jkb:qjc56788:oio5|if234577loh0di?01224`6e3zcl<=>?11g2f>uno9:;<<>j2c9pmb6789;;i>l4she345668l>i7~gh012355c2j2ybk=>?002f2g=tan:;<=??e6`8wla789::?0133agd<{`m;<=>>0daa?vo`89:;==kkb:qjc56788:nio5|if234577moh0di?01224c6e3zcl<=>?11d2f>uno9:;<<>i2c9pmb6789;;j>l4she345668o>i7~gh012355`2j2ybk=>?002e2g=tan:;<=??f6`8wla789::?0133bgd<{`m;<=>>0gaa?vo`89:;==hkb:qjc56788:mio5|if234577noh0di?0122556e3zcl<=>?1022f>uno9:;<l4she3456699>i7~gh01235462j2ybk=>?00332g=tan:;<=?>06`8wla789::==6m;rkd456798:2n6}fg1234477ik1xej>?01324gd<{`m;<=>>11aa?vo`89:;=<>kb:qjc56788;;io5|if2345768oh0di?0122546e3zcl<=>?1032f>uno9:;<2c9pmb6789;:=>l4she3456698>i7~gh01235472j2ybk=>?00322g=tan:;<=?>16`8wla789::=<6m;rkd456798;2n6}fg1234476ik1xej>?01325gd<{`m;<=>>10aa?vo`89:;=?1002f>uno9:;<>l4she345669;>i7~gh01235442j2ybk=>?00312g=tan:;<=?>26`8wla789::=?6m;rkd45679882n6}fg1234475ik1xej>?01326gd<{`m;<=>>13aa?vo`89:;=<?1012f>uno9:;<l4she345669:>i7~gh01235452j2ybk=>?00302g=tan:;<=?>36`8wla789::=>6m;rkd45679892n6}fg1234474ik1xej>?01327gd<{`m;<=>>12aa?vo`89:;=<=kb:qjc56788;8io5|if234576;oh0di?0122516e3zcl<=>?1062f>uno9:;<l4she345669=>i7~gh01235422j2ybk=>?00372g=tan:;<=?>46`8wla789::=96m;rkd456798>2n6}fg1234473ik1xej>?01320gd<{`m;<=>>15aa?vo`89:;=<:kb:qjc56788;?io5|if234576?1072f>uno9:;<l4she345669<>i7~gh01235432j2ybk=>?00362g=tan:;<=?>56`8wla789::=86m;rkd456798?2n6}fg1234472ik1xej>?01321gd<{`m;<=>>14aa?vo`89:;=<;kb:qjc56788;>io5|if234576=oh0di?0122536e3zcl<=>?1042f>uno9:;<l4she345669?>i7~gh01235402j2ybk=>?00352g=tan:;<=?>66`8wla789::=;6m;rkd456798<2n6}fg1234471ik1xej>?01322gd<{`m;<=>>17aa?vo`89:;=<8kb:qjc56788;=io5|if234576>oh0di?0122526e3zcl<=>?1052f>uno9:;<l4she345669>>i7~gh01235412j2ybk=>?00342g=tan:;<=?>76`8wla789::=:6m;rkd456798=2n6}fg1234470ik1xej>?01323gd<{`m;<=>>16aa?vo`89:;=<9kb:qjc56788;?10:2f>uno9:;<l4she3456691>i7~gh012354>2j2ybk=>?003;2g=tan:;<=?>86`8wla789::=56m;rkd45679822n6}fg123447?ik1xej>?0132>19aa?vo`89:;=<6kb:qjc56788;3io5|if2345760oh0di?01225<6e3zcl<=>?10;2f>uno9:;<l4she3456690>i7~gh012354?2j2ybk=>?003:2g=tan:;<=?>96`8wla789::=46m;rkd45679832n6}fg123447>ik1xej>?0132=gd<{`m;<=>>18aa?vo`89:;=<7kb:qjc56788;2io5|if2345761oh0di?01225d6e3zcl<=>?10c2f>uno9:;<l4she345669h>i7~gh012354g2j2ybk=>?003b2g=tan:;<=?>a6`8wla789::=l6m;rkd456798k2n6}fg123447fik1xej>?0132egd<{`m;<=>>1`aa?vo`89:;=?10`2f>uno9:;<l4she345669k>i7~gh012354d2j2ybk=>?003a2g=tan:;<=?>b6`8wla789::=o6m;rkd456798h2n6}fg123447eik1xej>?0132fgd<{`m;<=>>1caa?vo`89:;=?10a2f>uno9:;<l4she345669j>i7~gh012354e2j2ybk=>?003`2g=tan:;<=?>c6`8wla789::=n6m;rkd456798i2n6}fg123447dik1xej>?0132ggd<{`m;<=>>1baa?vo`89:;=?10f2f>uno9:;<l4she345669m>i7~gh012354b2j2ybk=>?003g2g=tan:;<=?>d6`8wla789::=i6m;rkd456798n2n6}fg123447cik1xej>?0132`gd<{`m;<=>>1eaa?vo`89:;=?10g2f>uno9:;<l4she345669l>i7~gh012354c2j2ybk=>?003f2g=tan:;<=?>e6`8wla789::=h6m;rkd456798o2n6}fg123447bik1xej>?0132agd<{`m;<=>>1daa?vo`89:;=?10d2f>uno9:;<l4she345669o>i7~gh012354`2j2ybk=>?003e2g=tan:;<=?>f6`8wla789::=k6m;rkd456798l2n6}fg123447aik1xej>?0132bgd<{`m;<=>>1gaa?vo`89:;=?1322f>uno9:;<<l4she34566:9>i7~gh01235762j2ybk=>?00032g=tan:;<=?=06`8wla789::>=6m;rkd45679;:2n6}fg1234447ik1xej>?01314gd<{`m;<=>>21aa?vo`89:;=?>kb:qjc567888;io5|if2345758oh0di?0122646e3zcl<=>?1332f>uno9:;<<<>2c9pmb6789;9=>l4she34566:8>i7~gh01235772j2ybk=>?00022g=tan:;<=?=16`8wla789::><6m;rkd45679;;2n6}fg1234446ik1xej>?01315gd<{`m;<=>>20aa?vo`89:;=??kb:qjc567888:io5|if2345759oh0di?0122676e3zcl<=>?1302f>uno9:;<<<=2c9pmb6789;9>>l4she34566:;>i7~gh01235742j2ybk=>?00012g=tan:;<=?=26`8wla789::>?6m;rkd45679;82n6}fg1234445ik1xej>?01316gd<{`m;<=>>23aa?vo`89:;=??1312f>uno9:;<<<<2c9pmb6789;9?>l4she34566::>i7~gh01235752j2ybk=>?00002g=tan:;<=?=36`8wla789::>>6m;rkd45679;92n6}fg1234444ik1xej>?01317gd<{`m;<=>>22aa?vo`89:;=?=kb:qjc5678888io5|if234575;oh0di?0122616e3zcl<=>?1362f>uno9:;<<<;2c9pmb6789;98>l4she34566:=>i7~gh01235722j2ybk=>?00072g=tan:;<=?=46`8wla789::>96m;rkd45679;>2n6}fg1234443ik1xej>?01310gd<{`m;<=>>25aa?vo`89:;=?:kb:qjc567888?io5|if234575?1372f>uno9:;<<<:2c9pmb6789;99>l4she34566:<>i7~gh01235732j2ybk=>?00062g=tan:;<=?=56`8wla789::>86m;rkd45679;?2n6}fg1234442ik1xej>?01311gd<{`m;<=>>24aa?vo`89:;=?;kb:qjc567888>io5|if234575=oh0di?0122636e3zcl<=>?1342f>uno9:;<<<92c9pmb6789;9:>l4she34566:?>i7~gh01235702j2ybk=>?00052g=tan:;<=?=66`8wla789::>;6m;rkd45679;<2n6}fg1234441ik1xej>?01312gd<{`m;<=>>27aa?vo`89:;=?8kb:qjc567888=io5|if234575>oh0di?0122626e3zcl<=>?1352f>uno9:;<<<82c9pmb6789;9;>l4she34566:>>i7~gh01235712j2ybk=>?00042g=tan:;<=?=76`8wla789::>:6m;rkd45679;=2n6}fg1234440ik1xej>?01313gd<{`m;<=>>26aa?vo`89:;=?9kb:qjc567888?13:2f>uno9:;<<<72c9pmb6789;94>l4she34566:1>i7~gh012357>2j2ybk=>?000;2g=tan:;<=?=86`8wla789::>56m;rkd45679;22n6}fg123444?ik1xej>?0131>29aa?vo`89:;=?6kb:qjc5678883io5|if2345750oh0di?01226<6e3zcl<=>?13;2f>uno9:;<<<62c9pmb6789;95>l4she34566:0>i7~gh012357?2j2ybk=>?000:2g=tan:;<=?=96`8wla789::>46m;rkd45679;32n6}fg123444>ik1xej>?0131=gd<{`m;<=>>28aa?vo`89:;=?7kb:qjc5678882io5|if2345751oh0di?01226d6e3zcl<=>?13c2f>uno9:;<<l4she34566:h>i7~gh012357g2j2ybk=>?000b2g=tan:;<=?=a6`8wla789::>l6m;rkd45679;k2n6}fg123444fik1xej>?0131egd<{`m;<=>>2`aa?vo`89:;=?okb:qjc567888jio5|if234575ioh0di?01226g6e3zcl<=>?13`2f>uno9:;<<l4she34566:k>i7~gh012357d2j2ybk=>?000a2g=tan:;<=?=b6`8wla789::>o6m;rkd45679;h2n6}fg123444eik1xej>?0131fgd<{`m;<=>>2caa?vo`89:;=?lkb:qjc567888iio5|if234575joh0di?01226f6e3zcl<=>?13a2f>uno9:;<<l4she34566:j>i7~gh012357e2j2ybk=>?000`2g=tan:;<=?=c6`8wla789::>n6m;rkd45679;i2n6}fg123444dik1xej>?0131ggd<{`m;<=>>2baa?vo`89:;=?mkb:qjc567888hio5|if234575koh0di?01226a6e3zcl<=>?13f2f>uno9:;<<l4she34566:m>i7~gh012357b2j2ybk=>?000g2g=tan:;<=?=d6`8wla789::>i6m;rkd45679;n2n6}fg123444cik1xej>?0131`gd<{`m;<=>>2eaa?vo`89:;=?jkb:qjc567888oio5|if234575loh0di?01226`6e3zcl<=>?13g2f>uno9:;<<l4she34566:l>i7~gh012357c2j2ybk=>?000f2g=tan:;<=?=e6`8wla789::>h6m;rkd45679;o2n6}fg123444bik1xej>?0131agd<{`m;<=>>2daa?vo`89:;=?kkb:qjc567888nio5|if234575moh0di?01226c6e3zcl<=>?13d2f>uno9:;<<l4she34566:o>i7~gh012357`2j2ybk=>?000e2g=tan:;<=?=f6`8wla789::>k6m;rkd45679;l2n6}fg123444aik1xej>?0131bgd<{`m;<=>>2gaa?vo`89:;=?hkb:qjc567888mio5|if234575noh0di?0122756e3zcl<=>?1222f>uno9:;<<=?2c9pmb6789;8<>l4she34566;9>i7~gh01235662j2ybk=>?00132g=tan:;<=?<06`8wla789::?=6m;rkd45679::2n6}fg1234457ik1xej>?01304gd<{`m;<=>>31aa?vo`89:;=>>kb:qjc567889;io5|if2345748oh0di?0122746e3zcl<=>?1232f>uno9:;<<=>2c9pmb6789;8=>l4she34566;8>i7~gh01235672j2ybk=>?00122g=tan:;<=?<16`8wla789::?<6m;rkd45679:;2n6}fg1234456ik1xej>?01305gd<{`m;<=>>30aa?vo`89:;=>?kb:qjc567889:io5|if2345749oh0di?0122776e3zcl<=>?1202f>uno9:;<<==2c9pmb6789;8>>l4she34566;;>i7~gh01235642j2ybk=>?00112g=tan:;<=?<26`8wla789::??6m;rkd45679:82n6}fg1234455ik1xej>?01306gd<{`m;<=>>33aa?vo`89:;=>?1212f>uno9:;<<=<2c9pmb6789;8?>l4she34566;:>i7~gh01235652j2ybk=>?00102g=tan:;<=?<36`8wla789::?>6m;rkd45679:92n6}fg1234454ik1xej>?01307gd<{`m;<=>>32aa?vo`89:;=>=kb:qjc5678898io5|if234574;oh0di?0122716e3zcl<=>?1262f>uno9:;<<=;2c9pmb6789;88>l4she34566;=>i7~gh01235622j2ybk=>?00172g=tan:;<=?<46`8wla789::?96m;rkd45679:>2n6}fg1234453ik1xej>?01300gd<{`m;<=>>35aa?vo`89:;=>:kb:qjc567889?io5|if234574?1272f>uno9:;<<=:2c9pmb6789;89>l4she34566;<>i7~gh01235632j2ybk=>?00162g=tan:;<=?<56`8wla789::?86m;rkd45679:?2n6}fg1234452ik1xej>?01301gd<{`m;<=>>34aa?vo`89:;=>;kb:qjc567889>io5|if234574=oh0di?0122736e3zcl<=>?1242f>uno9:;<<=92c9pmb6789;8:>l4she34566;?>i7~gh01235602j2ybk=>?00152g=tan:;<=?<66`8wla789::?;6m;rkd45679:<2n6}fg1234451ik1xej>?01302gd<{`m;<=>>37aa?vo`89:;=>8kb:qjc567889=io5|if234574>oh0di?0122726e3zcl<=>?1252f>uno9:;<<=82c9pmb6789;8;>l4she34566;>>i7~gh01235612j2ybk=>?00142g=tan:;<=?<76`8wla789::?:6m;rkd45679:=2n6}fg1234450ik1xej>?01303gd<{`m;<=>>36aa?vo`89:;=>9kb:qjc567889?12:2f>uno9:;<<=72c9pmb6789;84>l4she34566;1>i7~gh012356>2j2ybk=>?001;2g=tan:;<=?<86`8wla789::?56m;rkd45679:22n6}fg123445?ik1xej>?0130>39aa?vo`89:;=>6kb:qjc5678893io5|if2345740oh0di?01227<6e3zcl<=>?12;2f>uno9:;<<=62c9pmb6789;85>l4she34566;0>i7~gh012356?2j2ybk=>?001:2g=tan:;<=?<96`8wla789::?46m;rkd45679:32n6}fg123445>ik1xej>?0130=gd<{`m;<=>>38aa?vo`89:;=>7kb:qjc5678892io5|if2345741oh0di?01227d6e3zcl<=>?12c2f>uno9:;<<=n2c9pmb6789;8m>l4she34566;h>i7~gh012356g2j2ybk=>?001b2g=tan:;<=??0130egd<{`m;<=>>3`aa?vo`89:;=>okb:qjc567889jio5|if234574ioh0di?01227g6e3zcl<=>?12`2f>uno9:;<<=m2c9pmb6789;8n>l4she34566;k>i7~gh012356d2j2ybk=>?001a2g=tan:;<=??0130fgd<{`m;<=>>3caa?vo`89:;=>lkb:qjc567889iio5|if234574joh0di?01227f6e3zcl<=>?12a2f>uno9:;<<=l2c9pmb6789;8o>l4she34566;j>i7~gh012356e2j2ybk=>?001`2g=tan:;<=??0130ggd<{`m;<=>>3baa?vo`89:;=>mkb:qjc567889hio5|if234574koh0di?01227a6e3zcl<=>?12f2f>uno9:;<<=k2c9pmb6789;8h>l4she34566;m>i7~gh012356b2j2ybk=>?001g2g=tan:;<=??0130`gd<{`m;<=>>3eaa?vo`89:;=>jkb:qjc567889oio5|if234574loh0di?01227`6e3zcl<=>?12g2f>uno9:;<<=j2c9pmb6789;8i>l4she34566;l>i7~gh012356c2j2ybk=>?001f2g=tan:;<=??0130agd<{`m;<=>>3daa?vo`89:;=>kkb:qjc567889nio5|if234574moh0di?01227c6e3zcl<=>?12d2f>uno9:;<<=i2c9pmb6789;8j>l4she34566;o>i7~gh012356`2j2ybk=>?001e2g=tan:;<=??0130bgd<{`m;<=>>3gaa?vo`89:;=>hkb:qjc567889mio5|if234574noh0di?0122056e3zcl<=>?1522f>uno9:;<<:?2c9pmb6789;?<>l4she34566<9>i7~gh01235162j2ybk=>?00632g=tan:;<=?;06`8wla789::8=6m;rkd45679=:2n6}fg1234427ik1xej>?01374gd<{`m;<=>>41aa?vo`89:;=9>kb:qjc56788>;io5|if2345738oh0di?0122046e3zcl<=>?1532f>uno9:;<<:>2c9pmb6789;?=>l4she34566<8>i7~gh01235172j2ybk=>?00622g=tan:;<=?;16`8wla789::8<6m;rkd45679=;2n6}fg1234426ik1xej>?01375gd<{`m;<=>>40aa?vo`89:;=9?kb:qjc56788>:io5|if2345739oh0di?0122076e3zcl<=>?1502f>uno9:;<<:=2c9pmb6789;?>>l4she34566<;>i7~gh01235142j2ybk=>?00612g=tan:;<=?;26`8wla789::8?6m;rkd45679=82n6}fg1234425ik1xej>?01376gd<{`m;<=>>43aa?vo`89:;=99io5|if234573:oh0di?0122066e3zcl<=>?1512f>uno9:;<<:<2c9pmb6789;??>l4she34566<:>i7~gh01235152j2ybk=>?00602g=tan:;<=?;36`8wla789::8>6m;rkd45679=92n6}fg1234424ik1xej>?01377gd<{`m;<=>>42aa?vo`89:;=9=kb:qjc56788>8io5|if234573;oh0di?0122016e3zcl<=>?1562f>uno9:;<<:;2c9pmb6789;?8>l4she34566<=>i7~gh01235122j2ybk=>?00672g=tan:;<=?;46`8wla789::896m;rkd45679=>2n6}fg1234423ik1xej>?01370gd<{`m;<=>>45aa?vo`89:;=9:kb:qjc56788>?io5|if234573?1572f>uno9:;<<::2c9pmb6789;?9>l4she34566<<>i7~gh01235132j2ybk=>?00662g=tan:;<=?;56`8wla789::886m;rkd45679=?2n6}fg1234422ik1xej>?01371gd<{`m;<=>>44aa?vo`89:;=9;kb:qjc56788>>io5|if234573=oh0di?0122036e3zcl<=>?1542f>uno9:;<<:92c9pmb6789;?:>l4she34566i7~gh01235102j2ybk=>?00652g=tan:;<=?;66`8wla789::8;6m;rkd45679=<2n6}fg1234421ik1xej>?01372gd<{`m;<=>>47aa?vo`89:;=98kb:qjc56788>=io5|if234573>oh0di?0122026e3zcl<=>?1552f>uno9:;<<:82c9pmb6789;?;>l4she34566<>>i7~gh01235112j2ybk=>?00642g=tan:;<=?;76`8wla789::8:6m;rkd45679==2n6}fg1234420ik1xej>?01373gd<{`m;<=>>46aa?vo`89:;=99kb:qjc56788>?15:2f>uno9:;<<:72c9pmb6789;?4>l4she34566<1>i7~gh012351>2j2ybk=>?006;2g=tan:;<=?;86`8wla789::856m;rkd45679=22n6}fg123442?ik1xej>?0137>49aa?vo`89:;=96kb:qjc56788>3io5|if2345730oh0di?01220<6e3zcl<=>?15;2f>uno9:;<<:62c9pmb6789;?5>l4she34566<0>i7~gh012351?2j2ybk=>?006:2g=tan:;<=?;96`8wla789::846m;rkd45679=32n6}fg123442>ik1xej>?0137=gd<{`m;<=>>48aa?vo`89:;=97kb:qjc56788>2io5|if2345731oh0di?01220d6e3zcl<=>?15c2f>uno9:;<<:n2c9pmb6789;?m>l4she34566i7~gh012351g2j2ybk=>?006b2g=tan:;<=?;a6`8wla789::8l6m;rkd45679=k2n6}fg123442fik1xej>?0137egd<{`m;<=>>4`aa?vo`89:;=9okb:qjc56788>jio5|if234573ioh0di?01220g6e3zcl<=>?15`2f>uno9:;<<:m2c9pmb6789;?n>l4she34566i7~gh012351d2j2ybk=>?006a2g=tan:;<=?;b6`8wla789::8o6m;rkd45679=h2n6}fg123442eik1xej>?0137fgd<{`m;<=>>4caa?vo`89:;=9lkb:qjc56788>iio5|if234573joh0di?01220f6e3zcl<=>?15a2f>uno9:;<<:l2c9pmb6789;?o>l4she34566i7~gh012351e2j2ybk=>?006`2g=tan:;<=?;c6`8wla789::8n6m;rkd45679=i2n6}fg123442dik1xej>?0137ggd<{`m;<=>>4baa?vo`89:;=9mkb:qjc56788>hio5|if234573koh0di?01220a6e3zcl<=>?15f2f>uno9:;<<:k2c9pmb6789;?h>l4she34566i7~gh012351b2j2ybk=>?006g2g=tan:;<=?;d6`8wla789::8i6m;rkd45679=n2n6}fg123442cik1xej>?0137`gd<{`m;<=>>4eaa?vo`89:;=9jkb:qjc56788>oio5|if234573loh0di?01220`6e3zcl<=>?15g2f>uno9:;<<:j2c9pmb6789;?i>l4she34566i7~gh012351c2j2ybk=>?006f2g=tan:;<=?;e6`8wla789::8h6m;rkd45679=o2n6}fg123442bik1xej>?0137agd<{`m;<=>>4daa?vo`89:;=9kkb:qjc56788>nio5|if234573moh0di?01220c6e3zcl<=>?15d2f>uno9:;<<:i2c9pmb6789;?j>l4she34566i7~gh012351`2j2ybk=>?006e2g=tan:;<=?;f6`8wla789::8k6m;rkd45679=l2n6}fg123442aik1xej>?0137bgd<{`m;<=>>4gaa?vo`89:;=9hkb:qjc56788>mio5|if234573noh0di?0122156e3zcl<=>?1422f>uno9:;<<;?2c9pmb6789;><>l4she34566=9>i7~gh01235062j2ybk=>?00732g=tan:;<=?:06`8wla789::9=6m;rkd45679<:2n6}fg1234437ik1xej>?01364gd<{`m;<=>>51aa?vo`89:;=8>kb:qjc56788?;io5|if2345728oh0di?0122146e3zcl<=>?1432f>uno9:;<<;>2c9pmb6789;>=>l4she34566=8>i7~gh01235072j2ybk=>?00722g=tan:;<=?:16`8wla789::9<6m;rkd45679<;2n6}fg1234436ik1xej>?01365gd<{`m;<=>>50aa?vo`89:;=8?kb:qjc56788?:io5|if2345729oh0di?0122176e3zcl<=>?1402f>uno9:;<<;=2c9pmb6789;>>>l4she34566=;>i7~gh01235042j2ybk=>?00712g=tan:;<=?:26`8wla789::9?6m;rkd45679<82n6}fg1234435ik1xej>?01366gd<{`m;<=>>53aa?vo`89:;=8?1412f>uno9:;<<;<2c9pmb6789;>?>l4she34566=:>i7~gh01235052j2ybk=>?00702g=tan:;<=?:36`8wla789::9>6m;rkd45679<92n6}fg1234434ik1xej>?01367gd<{`m;<=>>52aa?vo`89:;=8=kb:qjc56788?8io5|if234572;oh0di?0122116e3zcl<=>?1462f>uno9:;<<;;2c9pmb6789;>8>l4she34566==>i7~gh01235022j2ybk=>?00772g=tan:;<=?:46`8wla789::996m;rkd45679<>2n6}fg1234433ik1xej>?01360gd<{`m;<=>>55aa?vo`89:;=8:kb:qjc56788??io5|if234572?1472f>uno9:;<<;:2c9pmb6789;>9>l4she34566=<>i7~gh01235032j2ybk=>?00762g=tan:;<=?:56`8wla789::986m;rkd45679?01361gd<{`m;<=>>54aa?vo`89:;=8;kb:qjc56788?>io5|if234572=oh0di?0122136e3zcl<=>?1442f>uno9:;<<;92c9pmb6789;>:>l4she34566=?>i7~gh01235002j2ybk=>?00752g=tan:;<=?:66`8wla789::9;6m;rkd45679<<2n6}fg1234431ik1xej>?01362gd<{`m;<=>>57aa?vo`89:;=88kb:qjc56788?=io5|if234572>oh0di?0122126e3zcl<=>?1452f>uno9:;<<;82c9pmb6789;>;>l4she34566=>>i7~gh01235012j2ybk=>?00742g=tan:;<=?:76`8wla789::9:6m;rkd45679<=2n6}fg1234430ik1xej>?01363gd<{`m;<=>>56aa?vo`89:;=89kb:qjc56788??14:2f>uno9:;<<;72c9pmb6789;>4>l4she34566=1>i7~gh012350>2j2ybk=>?007;2g=tan:;<=?:86`8wla789::956m;rkd45679<22n6}fg123443?ik1xej>?0136>59aa?vo`89:;=86kb:qjc56788?3io5|if2345720oh0di?01221<6e3zcl<=>?14;2f>uno9:;<<;62c9pmb6789;>5>l4she34566=0>i7~gh012350?2j2ybk=>?007:2g=tan:;<=?:96`8wla789::946m;rkd45679<32n6}fg123443>ik1xej>?0136=gd<{`m;<=>>58aa?vo`89:;=87kb:qjc56788?2io5|if2345721oh0di?01221d6e3zcl<=>?14c2f>uno9:;<<;n2c9pmb6789;>m>l4she34566=h>i7~gh012350g2j2ybk=>?007b2g=tan:;<=?:a6`8wla789::9l6m;rkd45679?0136egd<{`m;<=>>5`aa?vo`89:;=8okb:qjc56788?jio5|if234572ioh0di?01221g6e3zcl<=>?14`2f>uno9:;<<;m2c9pmb6789;>n>l4she34566=k>i7~gh012350d2j2ybk=>?007a2g=tan:;<=?:b6`8wla789::9o6m;rkd45679?0136fgd<{`m;<=>>5caa?vo`89:;=8lkb:qjc56788?iio5|if234572joh0di?01221f6e3zcl<=>?14a2f>uno9:;<<;l2c9pmb6789;>o>l4she34566=j>i7~gh012350e2j2ybk=>?007`2g=tan:;<=?:c6`8wla789::9n6m;rkd45679?0136ggd<{`m;<=>>5baa?vo`89:;=8mkb:qjc56788?hio5|if234572koh0di?01221a6e3zcl<=>?14f2f>uno9:;<<;k2c9pmb6789;>h>l4she34566=m>i7~gh012350b2j2ybk=>?007g2g=tan:;<=?:d6`8wla789::9i6m;rkd45679?0136`gd<{`m;<=>>5eaa?vo`89:;=8jkb:qjc56788?oio5|if234572loh0di?01221`6e3zcl<=>?14g2f>uno9:;<<;j2c9pmb6789;>i>l4she34566=l>=7~~Pr`o26>rjx&Uhk"fmdda2*kah<2|:"=sO@qc7ba=GHq9;h=4I:782V7>=3;jhi4k:0106a>32:>9h3<;0=7):{T9091=ljk:e82764c0=088?>n;R0bg?7fm?0;6<=<2e:7>6258j1X=4=51`g5>5<6;:8o494<4333?V4fk39<57=?d082764c0=088??>;R3:7?50139;h<4>320g<1<4<;;:7i?ne083>4<6sZ;297?nde8g>454:m2?6>:=0`9uP14f290:6<4i17yP5<3=9hno6i4>320g<1<4<;:j7):<7;0b`>P3<909wxol:978f4gb93:1m44<:`;xL1563S;8j7;t57863?4f2;h1=>4r$50f>4gb92.??h4>ad28m4gbi3:17d??6483>>i6il31<75`1`g;>5<5$530>423=2d?=?4?;:m26f0=83.?=>4>4578j1752810c<?96`;1381?>i6:j>1<7*;128201354o00`7?6=,=;86<:;5:l757<332e:>n<50;&756<6<=?0b9?=:498k44d93:1(9?<:0671>h39;0=76a>2cd94?"39:0:89;4n531>2=5$530>423=2d?=?47;:m26gb=83.?=>4>4578j1752010c<?96`;138b?>i6:kh1<7*;1282013o750;&756<6<=?0b9?=:e98k44e03:1(9?<:0671>h39;0n76a>2c594?"39:0:89;4n531>c=5$530>423=2d?=?4>0:9l57d3290/8<=51566?k26:3;:76a>2c194?"39:0:89;4n531>44<3f;9n?4?:%627?73<<1e8<<51298k44e93:1(9?<:0671>h39;0:865`13`3>5<#<891=9::;o626?7232e:>lh50;&756<6<=?0b9?=:048?j75il0;6):>3;3700=i<881=:54o00b`?6=,=;86<:;5:l757<6021d=?ol:18'045=9=>>7c:>2;3:?>i6:hh1<7*;1282013:?7?;449m044=9k10c<?96`;1382g>=h9;k<6=4+401951223g>:>7?k;:m26d0=83.?=>4>4578j17528o07b?=a483>!26;3;?885a40095c=5$530>423=2d?=?4=0:9l57g4290/8<=51566?k26:38:76a>2`094?"39:0:89;4n531>74<3f;9m<4?:%627?73<<1e8<<52298k44f83:1(9?<:0671>h39;09865`13a`>5<#<891=9::;o626?4232e:>nl50;&756<6<=?0b9?=:348?j75kh0;6):>3;3700=i<881>:54o00`=?6=,=;86<:;5:l757<5021d=?m7:18'045=9=>>7c:>2;0:?>i6:j:1<7*;1282013:?7?;449m044=:k10c<?96`;1381g>=h9;3m6=4+401951223g>:>74>4578j1752;o07b?;7783>!26;3;?m95a40094>=h9==>6=4+401951g33g>:>7?4;n3731<72->:?7?;a59m044=:21d=99<:18'045=9=k?7c:>2;18?j73?;0;6):>3;37e1=i<881865`1552>5<#<891=9o;;o626?3<3f;?;=4?:%627?73i=1e8<<56:9l510b290/8<=515c7?k26:3=07b?;6e83>!26;3;?m95a4009<>=h9=:>774;n372g<72->:?7?;a59m044=i21d=98n:18'045=9=k?7c:>2;`8?j73>00;6):>3;37e1=i<881o65`154;>5<#<891=9o;;o626?b<3f;?::4?:%627?73i=1e8<<5e:9l5101290/8<=515c7?k26:3l07b?;6483>!26;3;?m95a400955==?7>5$530>42f<2d?=?4>1:9l5105290/8<=515c7?k26:3;976a>47394?"39:0:8l:4n531>45<3f;?:=4?:%627?73i=1e8<<51598k422n3:1(9?<:06b0>h39;0:965`157f>5<#<891=9o;;o626?7132e:88j50;&756<60b9?=:058?j73=j0;6):>3;37e1=i<881=554o066f?6=,=;86<:n4:l757<6121d=9;n:18'045=9=k?7c:>2;3b?>i6<<21<7*;12820d2:?7?;a59m044=9j10c<::6;29 17428>j86`;1382`>=h9=?>6=4+401951g33g>:>7?j;:m2002=83.?=>4>4`68j17528l07b?;5283>!26;3;?m95a400965=>>7>5$530>42f<2d?=?4=1:9l5136290/8<=515c7?k26:38976a>44294?"39:0:8l:4n531>75<3f;?8k4?:%627?73i=1e8<<52598k420j3:1(9?<:06b0>h39;09965`155b>5<#<891=9o;;o626?4132e:8:750;&756<60b9?=:358?j73?10;6):>3;37e1=i<881>554o0643?6=,=;86<:n4:l757<5121d=98i:18'045=9=k?7c:>2;0b?>i61<7*;12820d2:?7?;a59m044=:j10c<:;e;29 17428>j86`;1381`>=h9=>o6=4+401951g33g>:>74>1968j1752910e<>md;29 17428;386`;1382?>o68ki1<7*;12825=20b9?=:598m46e03:1(9?<:03;0>h39;0>76g>0c594?"39:0:=5:4n531>3=5$530>47?<2d?=?48;:k24g3=83.?=>4>1968j1752110e<>m4;29 17428;386`;138:?>o68k91<7*;12825=20b9?=:b98m46e83:1(9?<:03;0>h39;0o76g>0b794?"39:0:=5:4n531>`=5$530>47?<2d?=?4i;:k24f5=83.?=>4>1968j17528:07d??c383>!26;3;:495a400954=5$530>47?<2d?=?4>2:9j55e7290/8<=510:7?k26:3;876g>0cd94?"39:0:=5:4n531>42<3`;;nl4?:%627?760=1e8<<51498m46fn3:1(9?<:03;0>h39;0::65f11cf>5<#<891=<6;;o626?7032e:<4:50;9j54?b290/8<=510`6?k26:3:07d?>9e83>!26;3;:n85a40095>=n983h6=4+401954d23g>:>7<4;h32=g<72->:?7?>b49m044=;21b=<76:18'045=98h>7c:>2;68?l76110;6):>3;32f0=i<881965f10;4>5<#<891=9583>!26;3;:n85a4009=>=n98386=4+401954d23g>:>7o4;h32=7<72->:?7?>b49m044=j21b=<7>:18'045=98h>7c:>2;a8?l76190;6):>3;32f0=i<881h65f10c6>5<#<891=1`094?"39:0:=o;4n531>47<3`;:m<4?:%627?76j<1e8<<51398m47f83:1(9?<:03a1>h39;0:?65f10;e>5<#<891=3;32f0=i<881=;54i03;a?6=,=;86h39;0;76g>06194?"39:0:<5;4n531>4=7>5$530>46?=2d?=?4=;:k2427=83.?=>4>0978j1752:10e<>80;29 17428:396`;1387?>o68?l1<7*;12824=375:l757<132c:<;j50;&756<681?0b9?=:698m460k3:1(9?<:02;1>h39;0376g>06`94?"39:0:<5;4n531><=5$530>46?=2d?=?4n;:k242?=83.?=>4>0978j1752k10e<>88;29 17428:396`;138`?>o68>=1<7*;12824=375:l757h39;0:<65f114a>5<#<891==6:;o626?7632c:mh;50;9j550f2900e<>62;29?g22:3:1=7>50zJ774=#<;o18>84o25b>5<>?7>51;294~N3;81/8?k528c8k7?>2900qo::4;29==b==0k1n5ktH512?_74n339w9h551865?352<91994:5;16>60=;>0847=6:2c97g<5;38?6?;527813?4?2;31=l4:6;74>0>==009m736=>80=>78<:76920<1>3;86>:55g80g?5c2:o1?k4;0;62>31=<;0=47:<:7;901<1i3?4>c;3g>4d=9k0:?7?n:3096d<5j38h6?j52d81b?572:;1??4=3;07>73=:?09;7<7:3;95f<6l3>n6>:55g862?5d2?=19:40>=;l0=57;6:2d92d<2i3>;6;l55c875?0d215=>l0>i7:;:7d90c<4=3<;68>537855?362:=1:?4:2;1;>35==:08578;:4697d<1=3?>6>l5678~ 14b28kn86*>9182e`4<,83?6947:;3:&023<4>j1b=lkn:188k44a>3:1(9?<:00eg>h39;0;76a>2g794?"39:0:>km4n531>4=5$530>44ak2d?=?4=;:m26c5=83.?=>4>2ga8j1752:10c<i6:o;1<7*;12826cehk50;&756<6:oi0b9?=:698k44bl3:1(9?<:00eg>h39;0376a>2da94?"39:0:>km4n531><=5$530>44ak2d?=?4n;:m26`g=83.?=>4>2ga8j1752k10c<i6:l21<7*;12826ceh850;&756<6:oi0b9?=:g98k44b=3:1(9?<:00eg>h39;0:<65`13g0>5<#<891=?hl;o626?7632e:>h<50;&756<6:oi0b9?=:008?j75m80;6):>3;31bf=i<881=>54o00f4?6=,=;86<2;36?>i6:mo1<7*;12826ce:?7?=fb9m044=9>10c<=h9;ni6=4+401957`d3g>:>7?6;:m26ag=83.?=>4>2ga8j17528k07b?=d983>!26;3;9jn5a40095g=5$530>44ak2d?=?4>c:9l57b1290/8<=513d`?k26:3;o76a>2e794?"39:0:>km4n531>4c<3f;9h94?:%627?75nj1e8<<51g98k44c;3:1(9?<:00eg>h39;09<65`13f1>5<#<891=?hl;o626?4632e:>i?50;&756<6:oi0b9?=:308?j75l90;6):>3;31bf=i<881>>54o00`b?6=,=;86<2;06?>i6:ok1<7*;12826ce:?7?=fb9m044=:>10c<=h9;l<6=4+401957`d3g>:>7<6;:m26``=83.?=>4>2ga8j1752;k07b?=e583>!26;3;9jn5a40096g=5$530>44ak2d?=?4=c:9l57eb290/8<=513d`?k26:38o76a>2bf94?"39:0:>km4n531>7c<3f;8>;4?:%627?74:j1e8<<50:9l5642290/8<=5120`?k26:3;07b?<2583>!26;3;8>n5a40096>=h9:886=4+4019564d3g>:>7=4;n3067<72->:?7?<2b9m044=<21d=><>:18'045=9:8h7c:>2;78?j74:90;6):>3;306f=i<881:65`123f>5<#<891=>!26;3;8>n5a4009e>=h9:;j6=4+4019564d3g>:>7l4;n305<<72->:?7?<2b9m044=k21d=>?7:18'045=9:8h7c:>2;f8?j749>0;6):>3;306f=i<881i65`1235>5<#<891=>h39;0:=65`1231>5<#<891=>3;306f=i<881=954o013b?6=,=;86<==c:l757<6=21d=>>j:18'045=9:8h7c:>2;35?>i6;9n1<7*;128277e:?7?<2b9m044=9110c<=?b;29 1742899o6`;1382=>=h9::j6=4+4019564d3g>:>7?n;:m275>=83.?=>4>33a8j17528h07b?<0683>!26;3;8>n5a40095f=5$530>455k2d?=?4>d:9l5662290/8<=5120`?k26:3;n76a>31694?"39:0:??m4n531>4`<3f;8<>4?:%627?74:j1e8<<52198k457:3:1(9?<:011g>h39;09=65`1222>5<#<891=>50;&756<6;;i0b9?=:318?j75no0;6):>3;306f=i<881>954o011f?6=,=;86<==c:l757<5=21d=>2;05?>i6;;31<7*;128277e:?7?<2b9m044=:110c<==7;29 1742899o6`;1381=>=h9:;m6=4+4019564d3g>:>74>33a8j1752;h07b?<0883>!26;3;8>n5a40096f=5$530>455k2d?=?4=d:9l57`c290/8<=5120`?k26:38n76a>34494?"39:0:?8m4n531>5=97>5$530>452k2d?=?4>;:m2702=83.?=>4>34a8j1752;10c<=:3;29 174289>o6`;1380?>i6;<81<7*;128270e50;&756<6;h39;0<76a>35f94?"39:0:?8m4n531>==5$530>452k2d?=?46;:m271d=83.?=>4>34a8j1752h10c<=;a;29 174289>o6`;138a?>i6;=31<7*;128270e3:1(9?<:016g>h39;0m76a>35794?"39:0:?8m4n531>46<3f;88>4?:%627?74=j1e8<<51098k453:3:1(9?<:016g>h39;0:>65`1262>5<#<891=>;l;o626?7432e:?9>50;&756<6;3;301f=i<881=854o010a?6=,=;86<=:c:l757<6>21d=>=k:18'045=9:?h7c:>2;34?>i6;:i1<7*;128270e:?7?<5b9m044=9010c<=o6`;1382e>=h9:936=4+4019563d3g>:>7?m;:m2761=83.?=>4>34a8j17528i07b?<3783>!26;3;89n5a40095a=5$530>452k2d?=?4>e:9l5653290/8<=5127`?k26:3;m76a>32194?"39:0:?8m4n531>76<3f;8??4?:%627?74=j1e8<<52098k45493:1(9?<:016g>h39;09>65`1213>5<#<891=>;l;o626?4432e:??h50;&756<6;3;301f=i<881>854o016e?6=,=;86<=:c:l757<5>21d=>;6:18'045=9:?h7c:>2;04?>i6;<21<7*;128270e:?7?<5b9m044=:010c<=;f;29 174289>o6`;1381e>=h9:>?6=4+4019563d3g>:>74>34a8j1752;i07b?<2d83>!26;3;89n5a40096a=5$530>452k2d?=?4=e:9l56>1290/8<=512:`?k26:3:07b?<8483>!26;3;84n5a40095>=h9:2?6=4+401956>d3g>:>7<4;n30<6<72->:?7?<8b9m044=;21d=>6=:18'045=9:2h7c:>2;68?j74080;6):>3;305<#<891=>6l;o626?0<3f;8;h4?:%627?740j1e8<<57:9l561c290/8<=512:`?k26:3207b?<7b83>!26;3;84n5a4009=>=h9:=i6=4+401956>d3g>:>7o4;n303d<72->:?7?<8b9m044=j21d=>96:18'045=9:2h7c:>2;a8?j74?10;6):>3;305<#<891=>6l;o626?c<3f;8;;4?:%627?740j1e8<<5f:9l5612290/8<=512:`?k26:3;;76a>36194?"39:0:?5m4n531>47<3f;8;?4?:%627?740j1e8<<51398k45093:1(9?<:01;g>h39;0:?65`1253>5<#<891=>6l;o626?7332e:?;h50;&756<6;1i0b9?=:078?j74>l0;6):>3;308l:18'045=9:2h7c:>2;3;?>i6;?h1<7*;12827=e:?7?<8b9m044=9h10c<=98;29 1742893o6`;1382f>=h9:<<6=4+401956>d3g>:>7?l;:m2730=83.?=>4>39a8j17528n07b?<6483>!26;3;84n5a40095`=5$530>45?k2d?=?4>f:9l5604290/8<=512:`?k26:38;76a>37094?"39:0:?5m4n531>77<3f;8:<4?:%627?740j1e8<<52398k45183:1(9?<:01;g>h39;09?65`127e>5<#<891=>6l;o626?4332e:?5l50;&756<6;1i0b9?=:378?j740h0;6):>3;30;54o01;=?6=,=;86<=7c:l757<5?21d=>67:18'045=9:2h7c:>2;0;?>i6;1=1<7*;12827=e:?7?<8b9m044=:h10c<=84;29 1742893o6`;1381f>=h9:<26=4+401956>d3g>:>74>39a8j1752;n07b?<5e83>!26;3;84n5a40096`=5$530>45ek2d?=?4?;:m27g3=83.?=>4>3ca8j1752810c<=m4;29 174289io6`;1381?>i6;k91<7*;12827ge54o01a6?6=,=;86<=mc:l757<332e:?o?50;&756<6;ki0b9?=:498k45e83:1(9?<:01ag>h39;0=76a>3`g94?"39:0:?om4n531>2=5$530>45ek2d?=?47;:m27de=83.?=>4>3ca8j1752010c<=nb;29 174289io6`;138b?>i6;hk1<7*;12827geh39;0n76a>3`494?"39:0:?om4n531>c=5$530>45ek2d?=?4>0:9l56g4290/8<=512``?k26:3;:76a>3`094?"39:0:?om4n531>44<3f;8m<4?:%627?74jj1e8<<51298k45f83:1(9?<:01ag>h39;0:865`12;e>5<#<891=>ll;o626?7232e:?4k50;&756<6;ki0b9?=:048?j741m0;6):>3;30ff=i<881=:54o01:g?6=,=;86<=mc:l757<6021d=>7m:18'045=9:hh7c:>2;3:?>i6;0k1<7*;12827ge:?7?=h9:3=6=4+401956dd3g>:>7?k;:m27<3=83.?=>4>3ca8j17528o07b?<9583>!26;3;8nn5a40095c=5$530>45ek2d?=?4=0:9l56?5290/8<=512``?k26:38:76a>38394?"39:0:?om4n531>74<3f;85=4?:%627?74jj1e8<<52298k45?n3:1(9?<:01ag>h39;09865`12`a>5<#<891=>ll;o626?4232e:?oo50;&756<6;ki0b9?=:348?j74j00;6):>3;30ff=i<881>:54o01al8:18'045=9:hh7c:>2;0:?>i6;hl1<7*;12827ge:?7?=h9:2n6=4+401956dd3g>:>74>3ca8j1752;o07b?!26;3;8in5a40094>=h9:o>6=4+401956cd3g>:>7?4;n30a1<72->:?7?k<:18'045=9:oh7c:>2;18?j74m;0;6):>3;30af=i<881865`12g2>5<#<891=>kl;o626?3<3f;8i=4?:%627?74mj1e8<<56:9l56bb290/8<=512g`?k26:3=07b?!26;3;8in5a4009<>=h9:nh6=4+401956cd3g>:>774;n30`g<72->:?7?jn:18'045=9:oh7c:>2;`8?j74l00;6):>3;30af=i<881o65`12f;>5<#<891=>kl;o626?b<3f;8h:4?:%627?74mj1e8<<5e:9l56b1290/8<=512g`?k26:3l07b?!26;3;8in5a400955=5$530>45bk2d?=?4>1:9l56b5290/8<=512g`?k26:3;976a>3e394?"39:0:?hm4n531>45<3f;8h=4?:%627?74mj1e8<<51598k45dn3:1(9?<:01fg>h39;0:965`12af>5<#<891=>kl;o626?7132e:?nj50;&756<6;li0b9?=:058?j74kj0;6):>3;30af=i<881=554o01`f?6=,=;86<=jc:l757<6121d=>mn:18'045=9:oh7c:>2;3b?>i6;j21<7*;12827`e:?7?=h9:i>6=4+401956cd3g>:>7?j;:m27f2=83.?=>4>3da8j17528l07b?!26;3;8in5a400965=7>5$530>45bk2d?=?4=1:9l56e6290/8<=512g`?k26:38976a>3b294?"39:0:?hm4n531>75<3f;8nk4?:%627?74mj1e8<<52598k45bj3:1(9?<:01fg>h39;09965`12gb>5<#<891=>kl;o626?4132e:?h750;&756<6;li0b9?=:358?j74m10;6):>3;30af=i<881>554o01f3?6=,=;86<=jc:l757<5121d=>ji:18'045=9:oh7c:>2;0b?>i6;m>1<7*;12827`e:?7?=h9:ho6=4+401956cd3g>:>74>40a8j1752910c<:>5;29 17428>:o6`;1382?>i6<8>1<7*;128204ec:l757<432e:8<<50;&756<6<8i0b9?=:598k42693:1(9?<:062g>h39;0>76a>40294?"39:0:83=;i7>5$530>426k2d?=?48;:m205b=83.?=>4>40a8j1752110c<:?c;29 17428>:o6`;138:?>i6<9h1<7*;128204ec:l757h39;0o76a>41594?"39:0:8`=;:7>5$530>426k2d?=?4i;:m2053=83.?=>4>40a8j17528:07b?;0283>!26;3;?=n5a400954=;>7>5$530>426k2d?=?4>2:9l5166290/8<=5153`?k26:3;876a>41294?"39:0:842<3f;8jk4?:%627?739j1e8<<51498k45am3:1(9?<:062g>h39;0::65`12dg>5<#<891=9?l;o626?7032e:?km50;&756<6<8i0b9?=:0:8?j74nk0;6):>3;375f=i<881=454o01ee?6=,=;86<:>c:l757<6i21d=>h7:18'045=9=;h7c:>2;3a?>i6;o=1<7*;128204e:?7?;1b9m044=9m10c<=i5;29 17428>:o6`;1382a>=h9:l?6=4+4019517d3g>:>7?i;:m27c5=83.?=>4>40a8j1752;:07b?!26;3;?=n5a400964=5$530>426k2d?=?4=2:9l56`7290/8<=5153`?k26:38876a>3dd94?"39:0:872<3f;?=o4?:%627?739j1e8<<52498k426i3:1(9?<:062g>h39;09:65`153:>5<#<891=9?l;o626?4032e:8<650;&756<6<8i0b9?=:3:8?j739>0;6):>3;375f=i<881>454o063b?6=,=;86<:>c:l757<5i21d=9>;:18'045=9=;h7c:>2;0a?>i6;o31<7*;128204e:?7?;1b9m044=:m10c<=jd;29 17428>:o6`;1381a>=n99<>6=44o040`?6=,=;86<8;5:l757<732e::>m50;&756<6>=?0b9?=:098k404j3:1(9?<:0471>h39;0976a>62c94?"39:0::9;4n531>6=5$530>403=2d?=?4;;:m2261=83.?=>4>6578j1752<10c<8<6;29 17428i6>:?1<7*;1282213=50;&756<6>=?0b9?=:898k404:3:1(9?<:0471>h39;0j76a>62394?"39:0::9;4n531>g=5$530>403=2d?=?4l;:m227`=83.?=>4>6578j1752m10c<8;4;29 17428i6>=91<7*;1282213:18'045=9?>>7c:>2;32?>i6>=:1<7*;1282213:?7?9449m044=9:10c<8=h9?926=4+401953223g>:>7?:;:m227c=83.?=>4>6578j17528<07b?92e83>!26;3;=885a400952=:7>5$530>402m2d?=?4?;:m2203=83.?=>4>64g8j1752810c<8:4;29 17428<>i6`;1381?>i6><91<7*;128220c54o0465?6=,=;86<8:e:l757<332e::8>50;&756<6>h39;0=76a>65g94?"39:0::8k4n531>2=5$530>402m2d?=?47;:m221e=83.?=>4>64g8j1752010c<8;b;29 17428<>i6`;138b?>i6>=k1<7*;128220ch39;0n76a>64a94?"39:0::8k4n531>c=n7>5$530>402m2d?=?4>0:9l533f290/8<=5177f?k26:3;:76a>64;94?"39:0::8k4n531>44<3f;=954?:%627?71=l1e8<<51298k402?3:1(9?<:046a>h39;0:865`1771>5<#<891=;;j;o626?7232e::9950;&756<6>3;351`=i<881=:54o045b?6=,=;86<887:l757<732e::;k50;&756<6>>=0b9?=:098k401l3:1(9?<:0443>h39;0976a>67a94?"39:0:::94n531>6=5$530>400?2d?=?4;;:m223?=83.?=>4>6658j1752<10c<898;29 17428<<;6`;1385?>i6>?=1<7*;1282221>=0b9?=:898k401<3:1(9?<:0443>h39;0j76a>67194?"39:0:::94n531>g=7>5$530>400?2d?=?4l;:m2237=83.?=>4>6658j1752m10c<886;29 17428<<;6`;138f?>i6>>?1<7*;12822212;32?>i6>>81<7*;1282221:?7?9769m044=9:10c<880;29 17428<<;6`;13820>=h9?:>7?:;:m2236=83.?=>4>6658j17528<07b?95g83>!26;3;=;:5a400952=5$530>40>82d?=?4?;:m22=1=83.?=>4>6828j1752810c<876;29 17428<2<6`;1381?>i6>1?1<7*;12822<654o04;7?6=,=;86<860:l757<332e::5<50;&756<6>0:0b9?=:498k40?93:1(9?<:04:4>h39;0=76a>69294?"39:0::4>4n531>2=5$530>40>82d?=?47;:m222c=83.?=>4>6828j1752010c<88d;29 17428<2<6`;138b?>i6>>i1<7*;12822<60:0b9?=:e98k40?n3:1(9?<:04:4>h39;0n76a>69g94?"39:0::4>4n531>c=5$530>40>82d?=?4>0:9l53>d290/8<=517;3?k26:3;:76a>69`94?"39:0::4>4n531>44<3f;=4l4?:%627?71191e8<<51298k40?13:1(9?<:04:4>h39;0:865`17:7>5<#<891=;7?;o626?7232e:::750;&756<6>0:0b9?=:048?j71?10;6):>3;35=5=i<881=:54o04b5?6=,=;86<8n9:l757<732e::l>50;&756<6>h30b9?=:098k40>n3:1(9?<:04b=>h39;0976a>68g94?"39:0::l74n531>6=5$530>40f12d?=?4;;:m224>6`;8j1752<10c<86a;29 17428i6>031<7*;12822d?h30b9?=:898k40>>3:1(9?<:04b=>h39;0j76a>68794?"39:0::l74n531>g=5$530>40f12d?=?4l;:m22<5=83.?=>4>6`;8j1752m10c<8n8;29 17428i6>h=1<7*;12822d?2;32?>i6>h>1<7*;12822d?:?7?9a89m044=9:10c<8n2;29 17428=h9?3o6=4+401953g>3g>:>7?:;:m22<4=83.?=>4>6`;8j17528<07b?99083>!26;3;=m45a400952=5$530>40d:2d?=?4?;:m22g?=83.?=>4>6b08j1752810c<8m8;29 174286`;1381?>i6>k=1<7*;12822f454o04a1?6=,=;86<8l2:l757<332e::o:50;&756<6>j80b9?=:498k40e;3:1(9?<:04`6>h39;0=76a>6c094?"39:0::n<4n531>2=5$530>40d:2d?=?47;:m22g6=83.?=>4>6b08j1752010c<8nf;29 174286`;138b?>i6>ho1<7*;12822f4j80b9?=:e98k40d93:1(9?<:04`6>h39;0n76a>6b294?"39:0::n<4n531>c=5$530>40d:2d?=?4>0:9l53db290/8<=517a1?k26:3;:76a>6cf94?"39:0::n<4n531>44<3f;=nn4?:%627?71k;1e8<<51298k40ej3:1(9?<:04`6>h39;0:865`17`5>5<#<891=;m=;o626?7232e::ll50;&756<6>j80b9?=:048?j71ih0;6):>3;35g7=i<881=:54o04g7?6=,=;86<8kb:l757<732e::i<50;&756<6>mh0b9?=:098k40c93:1(9?<:04gf>h39;0976a>6e294?"39:0::il4n531>6=5$530>40cj2d?=?4;;:m22fb=83.?=>4>6e`8j1752<10c<8lc;29 17428i6>jh1<7*;12822admh0b9?=:898k40d03:1(9?<:04gf>h39;0j76a>6b594?"39:0::il4n531>g=5$530>40cj2d?=?4l;:m22f3=83.?=>4>6e`8j1752m10c<8ka;29 17428i6>m31<7*;12822ad2;32?>i6>m<1<7*;12822ad:?7?9dc9m044=9:10c<8k4;29 17428=h9?im6=4+401953be3g>:>7?:;:m22f2=83.?=>4>6e`8j17528<07b?9c283>!26;3;=ho5a400952=5;h33`3<72->:?7??dd9m044=821b==j::18'045=99nn7c:>2;38?l77l=0;6):>3;33``=i<881>65f11f0>5<#<891==jj;o626?5<3`;;h<4?:%627?77ll1e8<<54:9j55b7290/8<=511ff?k26:3?07d??cg83>!26;3;;hh5a40092>=n99in6=4+401955bb3g>:>794;h33ga<72->:?7??dd9m044=021b==ml:18'045=99nn7c:>2;;8?l77kk0;6):>3;33``=i<881m65f11ab>5<#<891==jj;o626?d<3`;;o44?:%627?77ll1e8<<5c:9j55e?290/8<=511ff?k26:3n07d??de83>!26;3;;hh5a4009a>=n99nh6=4+401955bb3g>:>7h4;h33`g<72->:?7??dd9m044=9910e<>ka;29 17428:oi6`;13825>=n99n26=4+401955bb3g>:>7?=;:k24a>=83.?=>4>0eg8j17528907d??d683>!26;3;;hh5a400951=7>5$530>46cm2d?=?4>5:9j55e0290/8<=511ff?k26:3;=76g>0b494?"39:0:41<3`;;ik4?:%627?77n>1e8<<50:9j55cb290/8<=511d4?k26:3;07d??ee83>!26;3;;j:5a40096>=n99oh6=4+401955`03g>:>7=4;h33ad<72->:?7??f69m044=<21b==k6:18'045=99l<7c:>2;78?l77m10;6):>3;33b2=i<881:65f11g4>5<#<891==h8;o626?1<3`;;i;4?:%627?77n>1e8<<58:9j55c2290/8<=511d4?k26:3307d??e583>!26;3;;j:5a4009e>=n99o86=4+401955`03g>:>7l4;h33a7<72->:?7??f69m044=k21b==k>:18'045=99l<7c:>2;f8?l77n?0;6):>3;33b2=i<881i65f11d6>5<#<891==h8;o626?`<3`;;j94?:%627?77n>1e8<<51198m46a;3:1(9?<:02e3>h39;0:=65f11d1>5<#<891==h8;o626?7532c:3;33b2=i<881=954i02ff?6=,=;86<>i7:l757<6=21b==k?:18'045=99l<7c:>2;35?>o68ml1<7*;12824c1:?7?>119m044=821b=<>8:18'045=98;;7c:>2;38?l768?0;6):>3;3255=i<881>65f1026>5<#<891=4?:%627?76991e8<<54:9j5465290/8<=51033?k26:3?07d?>0083>!26;3;:==5a40092>=n98:;6=4+401954773g>:>794;h33bc<72->:?7?>119m044=021b==hj:18'045=98;;7c:>2;;8?l77nm0;6):>3;3255=i<881m65f11d`>5<#<891=0g83>!26;3;:==5a4009a>=n98:n6=4+401954773g>:>7h4;h324a<72->:?7?>119m044=9910e=n98:i6=4+401954773g>:>7?=;:k255g=83.?=>4>1028j17528907d?>0883>!26;3;:==5a400951=5$530>47682d?=?4>5:9j55`>290/8<=51033?k26:3;=76g>0g:94?"39:0:=<>4n531>41<3`;:><4?:%627?76:01e8<<50:9j5447290/8<=5100:?k26:3;07d?>1g83>!26;3;:>45a40096>=n98;n6=4+4019544>3g>:>7=4;h325f<72->:?7?>289m044=<21b=2;78?l769h0;6):>3;326<=i<881:65f103:>5<#<891=<<6;o626?1<3`;:=54?:%627?76:01e8<<58:9j5470290/8<=5100:?k26:3307d?>1783>!26;3;:>45a4009e>=n98;>6=4+4019544>3g>:>7l4;h3251<72->:?7?>289m044=k21b=2;f8?l76:10;6):>3;326<=i<881i65f1004>5<#<891=<<6;o626?`<3`;:>;4?:%627?76:01e8<<51198m475=3:1(9?<:031=>h39;0:=65f1007>5<#<891=<<6;o626?7532c:=?=50;&756<69;30b9?=:018?l76:;0;6):>3;326<=i<881=954i032`?6=,=;862;35?>o698;1<7*;128257?:?7?>439m044=821b=<=6:18'045=98>97c:>2;38?l76;10;6):>3;3207=i<881>65f1014>5<#<891=<:=;o626?5<3`;:?84?:%627?76<;1e8<<54:9j5453290/8<=51061?k26:3?07d?>3283>!26;3;:8?5a40092>=n98996=4+401954253g>:>794;h3274<72->:?7?>439m044=021b=<=?:18'045=98>97c:>2;;8?l76:o0;6):>3;3207=i<881m65f100f>5<#<891=<:=;o626?d<3`;:>i4?:%627?76<;1e8<<5c:9j544d290/8<=51061?k26:3n07d?>4083>!26;3;:8?5a4009a>=n98>;6=4+401954253g>:>7h4;h327c<72->:?7?>439m044=9910e6`;13825>=n989o6=4+401954253g>:>7?=;:k256e=83.?=>4>1508j17528907d?>3c83>!26;3;:8?5a400951=5$530>473:2d?=?4>5:9j544e290/8<=51061?k26:3;=76g>13c94?"39:0:=9<4n531>41<3f;ji54?::k2505=83.?=>4>14`8j1752910en6`;1382?>o69<;1<7*;128250dh39;0>76g>15a94?"39:0:=8l4n531>3=5$530>472j2d?=?48;:k251g=83.?=>4>14`8j1752110en6`;138:?>o69=21<7*;128250dh39;0o76g>14c94?"39:0:=8l4n531>`=57>5$530>472j2d?=?4i;:k250>=83.?=>4>14`8j17528:07d?>5683>!26;3;:9o5a400954=:7>5$530>472j2d?=?4>2:9j5432290/8<=5107a?k26:3;876g>14694?"39:0:=8l4n531>42<3`;:8k4?:%627?76=k1e8<<51498m473<3:1(9?<:036f>h39;0::65f1060>5<#<891=<;m;o626?7032c:=;m50;&756<69>>0b9?=:198m471j3:1(9?<:0340>h39;0:76g>17c94?"39:0:=::4n531>7=5$530>470<2d?=?4<;:k2531=83.?=>4>1668j1752=10eo69??1<7*;1282522>0b9?=:998m471:3:1(9?<:0340>h39;0276g>17394?"39:0:=::4n531>d=5$530>470<2d?=?4m;:k250`=83.?=>4>1668j1752j10eo69>91<7*;1282522>0b9?=:028?l76?90;6):>3;3231=i<881=<54i035b?6=,=;862;30?>o69?n1<7*;1282522:?7?>759m044=9<10e=n98?h6=4+401954133g>:>7?8;:k24<6=83.?=>4>0838j1752910c<?96`;1383?>i6:j<1<7*;1282013n:50;&756<6<=?0b9?=:298k44d;3:1(9?<:0671>h39;0?76a>2b094?"39:0:89;4n531>0=5$530>423=2d?=?49;:m26g`=83.?=>4>4578j1752>10c<?96`;138;?>i6:kn1<7*;1282013ol50;&756<6<=?0b9?=:c98k44ei3:1(9?<:0671>h39;0h76a>2c;94?"39:0:89;4n531>a=5$530>423=2d?=?4j;:m26g1=83.?=>4>4578j1752o10c<?96`;13824>=h9;h?6=4+401951223g>:>7?>;:m26g5=83.?=>4>4578j17528807b?=b383>!26;3;?885a400956=5$530>423=2d?=?4>4:9l57d7290/8<=51566?k26:3;>76a>2`d94?"39:0:89;4n531>40<3f;9mh4?:%627?73<<1e8<<51698k44fl3:1(9?<:0671>h39;0:465`13c`>5<#<891=9::;o626?7>32e:>ll50;&756<6<=?0b9?=:0c8?j75i00;6):>3;3700=i<881=o54o00b>7c:>2;3g?>i6:h<1<7*;1282013:?7?;449m044=9o10c<?96`;13814>=h9;k86=4+401951223g>:>7<>;:m26d4=83.?=>4>4578j1752;807b?=a083>!26;3;?885a400966=5$530>423=2d?=?4=4:9l57ed290/8<=51566?k26:38>76a>2b`94?"39:0:89;4n531>70<3f;9ol4?:%627?73<<1e8<<52698k44d13:1(9?<:0671>h39;09465`13a;>5<#<891=9::;o626?4>32e:>n>50;&756<6<=?0b9?=:3c8?j75j<0;6):>3;3700=i<881>o54o00be?6=,=;86<:;5:l757<5k21d=?7i:18'045=9=>>7c:>2;0g?>i6:0o1<7*;1282013:?7?;a59m044=821d=99::18'045=9=k?7c:>2;38?j73?=0;6):>3;37e1=i<881>65`1550>5<#<891=9o;;o626?5<3f;?;?4?:%627?73i=1e8<<54:9l5116290/8<=515c7?k26:3?07b?;7183>!26;3;?m95a40092>=h9=:>794;n372a<72->:?7?;a59m044=021d=98l:18'045=9=k?7c:>2;;8?j73>k0;6):>3;37e1=i<881m65`154b>5<#<891=9o;;o626?d<3f;?:44?:%627?73i=1e8<<5c:9l510?290/8<=515c7?k26:3n07b?;6683>!26;3;?m95a4009a>=h9=<=6=4+401951g33g>:>7h4;n3720<72->:?7?;a59m044=9910c<:93;29 17428>j86`;13825>=h9=<96=4+401951g33g>:>7?=;:m2037=83.?=>4>4`68j17528907b?;6183>!26;3;?m95a400951=>j7>5$530>42f<2d?=?4>5:9l513b290/8<=515c7?k26:3;=76a>44f94?"39:0:8l:4n531>41<3f;?9n4?:%627?73i=1e8<<51998k422j3:1(9?<:06b0>h39;0:565`157b>5<#<891=9o;;o626?7f32e:88650;&756<60b9?=:0`8?j73=>0;6):>3;37e1=i<881=n54o0662?6=,=;86<:n4:l757<6l21d=9;::18'045=9=k?7c:>2;3f?>i6<<>1<7*;12820d2:?7?;a59m044=:910c<::2;29 17428>j86`;13815>=h9=?:6=4+401951g33g>:>7<=;:m2006=83.?=>4>4`68j1752;907b?;4g83>!26;3;?m95a400961=5$530>42f<2d?=?4=5:9l511f290/8<=515c7?k26:38=76a>46;94?"39:0:8l:4n531>71<3f;?;54?:%627?73i=1e8<<52998k420?3:1(9?<:06b0>h39;09565`154e>5<#<891=9o;;o626?4f32e:8;:50;&756<60b9?=:3`8?j73=00;6):>3;37e1=i<881>n54o067a?6=,=;86<:n4:l757<5l21d=9:k:18'045=9=k?7c:>2;0f?>i6h39;0876a>4e394?"39:0:8il4n531>1=o<7>5$530>42cj2d?=?4:;:m20f`=83.?=>4>4e`8j1752?10c<:ld;29 17428>on6`;1384?>i632e:8no50;&756<6h39;0i76a>4b:94?"39:0:8il4n531>f=h;7>5$530>42cj2d?=?4k;:m20f0=83.?=>4>4e`8j1752l10c<:l5;29 17428>on6`;138e?>i61<7*;12820ad4;n37g7<72->:?7?;dc9m044=9810c<:l1;29 17428>on6`;13826>=h9=i;6=4+401951be3g>:>7?<;:m20g`=83.?=>4>4e`8j17528>07b?;bd83>!26;3;?ho5a400950=ih7>5$530>42cj2d?=?4>6:9l51dd290/8<=515fa?k26:3;<76a>4c`94?"39:0:8il4n531>4><3f;?nl4?:%627?73lk1e8<<51898k42e13:1(9?<:06gf>h39;0:m65`15`4>5<#<891=9jm;o626?7e32e:8o850;&756<63;37`g=i<881=i54o06a0?6=,=;86<:kb:l757<6m21d=9l<:18'045=9=ni7c:>2;3e?>i64;n37f4<72->:?7?;dc9m044=:810c<:m0;29 17428>on6`;13816>=h9=km6=4+401951be3g>:>7<<;:m20dc=83.?=>4>4e`8j1752;>07b?;d`83>!26;3;?ho5a400960=o57>5$530>42cj2d?=?4=6:9l51b?290/8<=515fa?k26:38<76a>4e594?"39:0:8il4n531>7><3f;?h;4?:%627?73lk1e8<<52898k42dm3:1(9?<:06gf>h39;09m65`15a0>5<#<891=9jm;o626?4e32e:8o650;&756<63;37`g=i<881>i54o06bg?6=,=;86<:kb:l757<5m21d==7;:188k437=3:1(9?<:073f>h39;0;76a>51694?"39:0:9=l4n531>4=5$530>437j2d?=?4=;:m2154=83.?=>4>51`8j1752:10c<;?1;29 17428?;n6`;1387?>i6=9:1<7*;128215dh39;0376a>4g`94?"39:0:9=l4n531><=mm7>5$530>437j2d?=?4n;:m20c?=83.?=>4>51`8j1752k10c<:i8;29 17428?;n6`;138`?>i6h39;0:<65`15d1>5<#<891=8>m;o626?7632e:8k?50;&756<6=9h0b9?=:008?j73n90;6):>3;364g=i<881=>54o06fb?6=,=;86<;?b:l757<6<21d=9kj:18'045=9<:i7c:>2;36?>i6:?7?:0c9m044=9>10c<:jb;29 17428?;n6`;1382<>=h9=oj6=4+4019506e3g>:>7?6;:m20`?=83.?=>4>51`8j17528k07b?;e683>!26;3;>n:7>5$530>437j2d?=?4>c:9l51c2290/8<=5142a?k26:3;o76a>4d694?"39:0:9=l4n531>4c<3f;?i>4?:%627?728k1e8<<51g98k42b:3:1(9?<:073f>h39;09<65`15g2>5<#<891=8>m;o626?4632e:8h>50;&756<6=9h0b9?=:308?j73lo0;6):>3;364g=i<881>>54o06ga?6=,=;86<;?b:l757<5<21d=8>n:18'045=9<:i7c:>2;06?>i6=931<7*;128215d:?7?:0c9m044=:>10c<;?7;29 17428?;n6`;1381<>=h9<:=6=4+4019506e3g>:>7<6;:m20cc=83.?=>4>51`8j1752;k07b?;f283>!26;3;>n47>5$530>437j2d?=?4=c:9l51bc290/8<=5142a?k26:38o76a>4ea94?"39:0:9=l4n531>7c<3`;;nh4?:%627?760=1e8<<50:9j55dc290/8<=510:7?k26:3;07d??bb83>!26;3;:495a40096>=n99hi6=4+401954>33g>:>7=4;h33f<<72->:?7?>859m044=<21b==l7:18'045=982?7c:>2;78?l77j>0;6):>3;32<1=i<881:65f11`5>5<#<891=<6;;o626?1<3`;;n84?:%627?760=1e8<<58:9j55d3290/8<=510:7?k26:3307d??b283>!26;3;:495a4009e>=n99h96=4+401954>33g>:>7l4;h33f4<72->:?7?>859m044=k21b==l?:18'045=982?7c:>2;f8?l77k<0;6):>3;32<1=i<881i65f11a7>5<#<891=<6;;o626?`<3`;;o>4?:%627?760=1e8<<51198m46d:3:1(9?<:03;0>h39;0:=65f11a2>5<#<891=<6;;o626?7532c:50;&756<691>0b9?=:018?l77jo0;6):>3;32<1=i<881=954i02ae?6=,=;862;35?>o68ho1<7*;12825=2:?7?>b49m044=821b=<7k:18'045=98h>7c:>2;38?l761j0;6):>3;32f0=i<881>65f10;a>5<#<891=9683>!26;3;:n85a40092>=n983=6=4+401954d23g>:>794;h32=0<72->:?7?>b49m044=021b=<7;:18'045=98h>7c:>2;;8?l761:0;6):>3;32f0=i<881m65f10;1>5<#<891=a483>!26;3;:n85a4009a>=n98k?6=4+401954d23g>:>7h4;h32e6<72->:?7?>b49m044=9910e=n98k:6=4+401954d23g>:>7?=;:k25d6=83.?=>4>1c78j17528907d?>9g83>!26;3;:n85a400951=5$530>47e=2d?=?4>5:9j54>a290/8<=510`6?k26:3;=76g>19g94?"39:0:=o;4n531>41<3f;>?84?:%627?72;k1e8<<50:9l5053290/8<=5141a?k26:3;07b?:3283>!26;3;>?o5a40096>=h9<996=4+4019505e3g>:>7=4;n3674<72->:?7?:3c9m044=<21d=8=?:18'045=9<9i7c:>2;78?j72:o0;6):>3;367g=i<881:65`140g>5<#<891=8=m;o626?1<3f;>>n4?:%627?72;k1e8<<58:9l504e290/8<=5141a?k26:3307b?:2`83>!26;3;>?o5a4009e>=h9<826=4+4019505e3g>:>7l4;n366=<72->:?7?:3c9m044=k21d=8<8:18'045=9<9i7c:>2;f8?j72:?0;6):>3;367g=i<881i65`1406>5<#<891=8=m;o626?`<3f;>>94?:%627?72;k1e8<<51198k435:3:1(9?<:070f>h39;0:=65`1402>5<#<891=8=m;o626?7532e:9?>50;&756<6=:h0b9?=:018?j729o0;6):>3;367g=i<881=954o072a?6=,=;86<;2;35?>i6=8i1<7*;128216d:?7?:3c9m044=9110c<;>a;29 17428?8n6`;1382=>=h9<;26=4+4019505e3g>:>7?n;:m2141=83.?=>4>52`8j17528h07b?:1783>!26;3;>?o5a40095f=5$530>434j2d?=?4>d:9l5073290/8<=5141a?k26:3;n76a>50194?"39:0:9>l4n531>4`<3f;>=?4?:%627?72;k1e8<<52198k43693:1(9?<:070f>h39;09=65`1433>5<#<891=8=m;o626?4532e:9=h50;&756<6=:h0b9?=:318?j728l0;6):>3;367g=i<881>954o070e?6=,=;86<;2;05?>i6=:21<7*;128216d:?7?:3c9m044=:110c<;<6;29 17428?8n6`;1381=>=h9<8n6=4+4019505e3g>:>74>52`8j1752;h07b?:1983>!26;3;>?o5a40096f=5$530>434j2d?=?4=d:9l506d290/8<=5141a?k26:38n76g>1bd94?"39:0:=i94n531>5=5$530>47c?2d?=?4>;:k25fb=83.?=>4>1e58j1752;10eo69jk1<7*;12825a1h39;0<76g>1b494?"39:0:=i94n531>==5$530>47c?2d?=?46;:k25f2=83.?=>4>1e58j1752h10eo69j81<7*;12825a1h39;0m76g>1e694?"39:0:=i94n531>46<3`;:h>4?:%627?76l>1e8<<51098m47c:3:1(9?<:03g3>h39;0:>65f10f2>5<#<891=50;&756<69m=0b9?=:068?l76kk0;6):>3;32`2=i<881=854i03`4?6=,=;8621b=2;34?>i6=??1<7*;128213dh39;0876a>57394?"39:0:9;l4n531>1=5$530>431j2d?=?4:;:m210`=83.?=>4>57`8j1752?10c<;:d;29 17428?=n6`;1384?>i6=32e:98o50;&756<6=?h0b9?=:`98k43213:1(9?<:075f>h39;0i76a>54:94?"39:0:9;l4n531>f=;7>5$530>431j2d?=?4k;:m2100=83.?=>4>57`8j1752l10c<;:5;29 17428?=n6`;138e?>i6=<>1<7*;128213d4;n3617<72->:?7?:6c9m044=9810c<;:1;29 17428?=n6`;13826>=h9:>7?<;:m211`=83.?=>4>57`8j17528>07b?:4d83>!26;3;>:o5a400950=5$530>431j2d?=?4>6:9l502d290/8<=5144a?k26:3;<76a>55`94?"39:0:9;l4n531>4><3f;>8l4?:%627?72>k1e8<<51898k43313:1(9?<:075f>h39;0:m65`1464>5<#<891=88m;o626?7e32e:99850;&756<6=?h0b9?=:0a8?j72<<0;6):>3;362g=i<881=i54o0770?6=,=;86<;9b:l757<6m21d=8:<:18'045=9<2;3e?>i6==81<7*;128213d4;n3604<72->:?7?:6c9m044=:810c<;;0;29 17428?=n6`;13816>=h9<9m6=4+4019500e3g>:>7<<;:m216c=83.?=>4>57`8j1752;>07b?:6`83>!26;3;>:o5a400960=5$530>431j2d?=?4=6:9l500?290/8<=5144a?k26:38<76a>57594?"39:0:9;l4n531>7><3f;>:;4?:%627?72>k1e8<<52898k432m3:1(9?<:075f>h39;09m65`1470>5<#<891=88m;o626?4e32e:99650;&756<6=?h0b9?=:3a8?j72;m0;6):>3;362g=i<881>i54o070g?6=,=;86<;9b:l757<5m21b=2;28?l76m>0;6):>3;32b5=i<881=65f10g5>5<#<891=07d?>e383>!26;3;:j=5a40091>=n98o:6=4+401954`73g>:>784;h32a5<72->:?7?>f19m044=?21b=2;:8?l76ll0;6):>3;32b5=i<881565f10fg>5<#<891=d`83>!26;3;:j=5a4009`>=n98om6=4+401954`73g>:>7k4;h32a`<72->:?7?>f19m044=n21b=2;33?>o69li1<7*;12825c6:?7?>f19m044=9;10e=n98o26=4+401954`73g>:>7?;;:k25`2=83.?=>4>1g28j17528?07d?>d883>!26;3;:j=5a400953=5$530>47a82d?=?4>7:9l50?2290/8<=514;a?k26:3:07b?:9583>!26;3;>5o5a40095>=h9<386=4+401950?e3g>:>7<4;n36=7<72->:?7?:9c9m044=;21d=87>:18'045=9<3i7c:>2;68?j72190;6):>3;36=g=i<881965`14:e>5<#<891=87m;o626?0<3f;>4i4?:%627?721k1e8<<57:9l50>d290/8<=514;a?k26:3207b?:8c83>!26;3;>5o5a4009=>=h9<2j6=4+401950?e3g>:>7o4;n36<<<72->:?7?:9c9m044=j21d=867:18'045=9<3i7c:>2;a8?j720>0;6):>3;36=g=i<881h65`14:5>5<#<891=87m;o626?c<3f;>484?:%627?721k1e8<<5f:9l50>3290/8<=514;a?k26:3;;76a>59094?"39:0:94l4n531>47<3f;>4<4?:%627?721k1e8<<51398k43?83:1(9?<:07:f>h39;0:?65`145e>5<#<891=87m;o626?7332e:9:k50;&756<6=0h0b9?=:078?j72?m0;6):>3;36=g=i<881=;54o074g?6=,=;86<;6b:l757<6?21d=89m:18'045=9<3i7c:>2;3;?>i6=>k1<7*;12821:?7?:9c9m044=9h10c<;87;29 17428?2n6`;1382f>=h9<==6=4+401950?e3g>:>7?l;:m2123=83.?=>4>58`8j17528n07b?:7583>!26;3;>5o5a40095`=5$530>43>j2d?=?4>f:9l5015290/8<=514;a?k26:38;76a>56394?"39:0:94l4n531>77<3f;>;=4?:%627?721k1e8<<52398k431n3:1(9?<:07:f>h39;09?65`144f>5<#<891=87m;o626?4332e:94o50;&756<6=0h0b9?=:378?j72100;6):>3;36=g=i<881>;54o07:2;0;?>i6=0<1<7*;12821:?7?:9c9m044=:h10c<;73;29 17428?2n6`;1381f>=h9<=36=4+401950?e3g>:>74>58`8j1752;n07b?:6b83>!26;3;>5o5a40096`=5$530>44712d?=?4?;:k2656=83.?=>4>21;8j1752810eo69oo1<7*;128265?54i03eg?6=,=;86<h39;0=76g>1g;94?"39:0:>=74n531>2=5$530>44712d?=?47;:k25c1=83.?=>4>21;8j1752010eo69o?1<7*;128265?h39;0n76g>21594?"39:0:>=74n531>c=5$530>44712d?=?4>0:9j5762290/8<=5132:?k26:3;:76g>21694?"39:0:>=74n531>44<3`;9<>4?:%627?75801e8<<51298m447:3:1(9?<:003=>h39;0:865f10dg>5<#<891=?>6;o626?7232c:=k<50;&756<6:930b9?=:048?l76n80;6):>3;314<=i<881=:54o07`1?6=,=;86<;lb:l757<732e:9n:50;&756<6=jh0b9?=:098k43d;3:1(9?<:07`f>h39;0976a>5b094?"39:0:9nl4n531>6=5$530>43dj2d?=?4;;:m21f6=83.?=>4>5b`8j1752<10c<;mf;29 17428?hn6`;1385?>i6=kn1<7*;12821fdh39;0j76a>5c;94?"39:0:9nl4n531>g=5$530>43dj2d?=?4l;:m21g1=83.?=>4>5b`8j1752m10c<;m6;29 17428?hn6`;138f?>i6=k?1<7*;12821fd2;32?>i6=k;1<7*;12821fd:?7?:cc9m044=9:10c<;nf;29 17428?hn6`;13820>=h9:>7?:;:m21db=83.?=>4>5b`8j17528<07b?:ab83>!26;3;>oo5a400952=5$530>43dj2d?=?4>8:9l50gf290/8<=514aa?k26:3;276a>5`;94?"39:0:9nl4n531>4g<3f;>m:4?:%627?72kk1e8<<51c98k43f>3:1(9?<:07`f>h39;0:o65`14c6>5<#<891=8mm;o626?7c32e:9l:50;&756<6=jh0b9?=:0g8?j72i:0;6):>3;36gg=i<881=k54o07b6?6=,=;86<;lb:l757<5821d=8o>:18'045=92;02?>i6=h:1<7*;12821fd:?7?:cc9m044=::10c<;6e;29 17428?hn6`;13810>=h9:>7<:;:m21f?=83.?=>4>5b`8j1752;<07b?:c983>!26;3;>oo5a400962=5$530>43dj2d?=?4=8:9l50e1290/8<=514aa?k26:38276a>5cg94?"39:0:9nl4n531>7g<3f;>n>4?:%627?72kk1e8<<52c98k43f03:1(9?<:07`f>h39;09o65`14;g>5<#<891=8mm;o626?4c32e:94m50;&756<6=jh0b9?=:3g8?l759h0;6):>3;3167=i<881<65f133:>5<#<891=?<=;o626?7<3`;9=54?:%627?75:;1e8<<52:9j5770290/8<=51301?k26:3907d?=1483>!26;3;9>?5a40090>=n9;;?6=4+401957453g>:>7;4;h3156<72->:?7?=239m044=>21b=??=:18'045=9;897c:>2;58?l75980;6):>3;3167=i<881465f1333>5<#<891=?<=;o626??<3`;9!26;3;9>?5a4009g>=n9;:h6=4+401957453g>:>7j4;h3164<72->:?7?=239m044=m21b=?2;d8?l759o0;6):>3;3167=i<881==54i002a?6=,=;86<<=2:l757<6921b=??k:18'045=9;897c:>2;31?>o6:8i1<7*;1282674:?7?=239m044=9=10e<<>6;29 1742889>6`;13821>=n9;:i6=4+401957453g>:>7?9;:k265g=83.?=>4>2308j17528=07b?:f483>!26;3;>jo5a40094>=h9:>7?4;n36b6<72->:?7?:fc9m044=:21d=8h=:18'045=92;18?j72n80;6):>3;36bg=i<881865`14d3>5<#<891=8hm;o626?3<3f;>ik4?:%627?72nk1e8<<56:9l50cc290/8<=514da?k26:3=07b?:eb83>!26;3;>jo5a4009<>=h9:>774;n36ad<72->:?7?:fc9m044=i21d=8k6:18'045=92;`8?j72m10;6):>3;36bg=i<881o65`14g4>5<#<891=8hm;o626?b<3f;>i;4?:%627?72nk1e8<<5e:9l50c2290/8<=514da?k26:3l07b?:e583>!26;3;>jo5a400955=7>5$530>43aj2d?=?4>1:9l50c6290/8<=514da?k26:3;976a>5d294?"39:0:9kl4n531>45<3f;>hk4?:%627?72nk1e8<<51598k43cm3:1(9?<:07ef>h39;0:965`14fg>5<#<891=8hm;o626?7132e:9im50;&756<6=oh0b9?=:058?j72lk0;6):>3;36bg=i<881=554o07ge?6=,=;86<;ib:l757<6121d=8j6:18'045=92;3b?>i6=m=1<7*;12821cd:?7?:fc9m044=9j10c<;k5;29 17428?mn6`;1382`>=h9:>7?j;:m21a5=83.?=>4>5g`8j17528l07b?:d383>!26;3;>jo5a400965=5$530>43aj2d?=?4=1:9l50b7290/8<=514da?k26:38976a>5bd94?"39:0:9kl4n531>75<3f;>oh4?:%627?72nk1e8<<52598k43ai3:1(9?<:07ef>h39;09965`14d:>5<#<891=8hm;o626?4132e:9k650;&756<6=oh0b9?=:358?j72n>0;6):>3;36bg=i<881>554o07e2?6=,=;86<;ib:l757<5121d=8kj:18'045=92;0b?>i6=l91<7*;12821cd:?7?:fc9m044=:j10c<;ld;29 17428?mn6`;1381`>=h9:>74>22`8j1752910e<<<2;29 1742888n6`;1382?>o6::;1<7*;128266d?k50;&756<6::h0b9?=:598m445l3:1(9?<:000f>h39;0>76g>23a94?"39:0:>>l4n531>3=5$530>444j2d?=?48;:k267g=83.?=>4>22`8j1752110e<<=9;29 1742888n6`;138:?>o6:;21<7*;128266d?850;&756<6::h0b9?=:b98m445=3:1(9?<:000f>h39;0o76g>22c94?"39:0:>>l4n531>`=5$530>444j2d?=?4i;:k266>=83.?=>4>22`8j17528:07d?=3683>!26;3;9?o5a400954=5$530>444j2d?=?4>2:9j5752290/8<=5131a?k26:3;876g>22694?"39:0:>>l4n531>42<3`;9>k4?:%627?75;k1e8<<51498m445<3:1(9?<:000f>h39;0::65f1300>5<#<891=?=m;o626?7032c:>9m50;&756<6:<>0b9?=:198m443j3:1(9?<:0060>h39;0:76g>25c94?"39:0:>8:4n531>7=5$530>442<2d?=?4<;:k2611=83.?=>4>2468j1752=10e<<;6;29 174288>86`;1386?>o6:=?1<7*;12826029=50;&756<6:<>0b9?=:998m443:3:1(9?<:0060>h39;0276g>25394?"39:0:>8:4n531>d=5$530>442<2d?=?4m;:k266`=83.?=>4>2468j1752j10e<<86`;138g?>o6:<91<7*;12826028?50;&756<6:<>0b9?=:028?l75=90;6):>3;3111=i<881=<54i007b?6=,=;86<<:4:l757<6:21b=?:j:18'045=9;??7c:>2;30?>o6:=n1<7*;1282602:?7?=559m044=9<10e<<86`;13822>=n9;9h6=4+401957333g>:>7?8;:k2633=83.?=>4>27f8j1752910e<<94;29 174288=h6`;1382?>o6:?91<7*;128263b;>50;&756<6:?n0b9?=:598m442n3:1(9?<:005`>h39;0>76g>24g94?"39:0:>;j4n531>3=h7>5$530>441l2d?=?48;:k260e=83.?=>4>27f8j1752110e<<:b;29 174288=h6`;138:?>o6:8650;&756<6:?n0b9?=:b98m442?3:1(9?<:005`>h39;0o76g>27a94?"39:0:>;j4n531>`=5$530>441l2d?=?4i;:k263g=83.?=>4>27f8j17528:07d?=6883>!26;3;9:i5a400954=5$530>441l2d?=?4>2:9j5700290/8<=5134g?k26:3;876g>27494?"39:0:>;j4n531>42<3`;9:<4?:%627?75>m1e8<<51498m442>3:1(9?<:005`>h39;0::65f1376>5<#<891=?8k;o626?7032e:4?j50;&756<60:90b9?=:198k4>5k3:1(9?<:0:07>h39;0:76a>83`94?"39:0:4>=4n531>7=5$530>4>4;2d?=?4<;:m2<7?=83.?=>4>8218j1752=10c<6=8;29 1742828?6`;1386?>i60;=1<7*;1282<655;3:1(9?<:0:07>h39;0276a>83094?"39:0:4>=4n531>d=5$530>4>4;2d?=?4m;:m2<76=83.?=>4>8218j1752j10c<6>f;29 1742828?6`;138g?>i608o1<7*;1282<653;3;76=i<881=<54o0:2=?6=,=;86<6<3:l757<6:21d=5?7:18'045=91987c:>2;30?>i608=1<7*;1282<65:?7?7329m044=9<10c<6>5;29 1742828?6`;13822>=h91;?6=4+40195=543g>:>7?8;:m2<45=83.?=>4>8218j17528207b?71383>!26;3;3?>5a40095<=5$530>4>4;2d?=?4>a:9l5=6a290/8<=51910?k26:3;i76a>81g94?"39:0:4>=4n531>4e<3f;37k3:1(9?<:0:07>h39;0:i65`192a>5<#<891=5=<;o626?7a32e:4=o50;&756<60:90b9?=:328?j7?800;6):>3;3;76=i<881><54o0:38:18'045=91987c:>2;00?>i609<1<7*;1282<65:?7?7329m044=:<10c<6<1;29 1742828?6`;13812>=h919;6=4+40195=543g>:>7<8;:m2<7`=83.?=>4>8218j1752;207b?72d83>!26;3;3?>5a40096<=5$530>4>4;2d?=?4=a:9l5=7e290/8<=51910?k26:38i76a>80294?"39:0:4>=4n531>7e<3f;3<84?:%627?7?;:1e8<<52e98k4>7<3:1(9?<:0:07>h39;09i65`197g>5<#<891=58<;o626?6<3f;39n4?:%627?7?>:1e8<<51:9l5=3e290/8<=51940?k26:3807b?75`83>!26;3;3:>5a40097>=h91?26=4+40195=043g>:>7:4;n3;1=<72->:?7?7629m044==21d=5;8:18'045=91<87c:>2;48?j7?=<0;6):>3;3;26=i<881;65`1977>5<#<891=58<;o626?><3f;39>4?:%627?7?>:1e8<<59:9l5=35290/8<=51940?k26:3k07b?75083>!26;3;3:>5a4009f>=h91?;6=4+40195=043g>:>7m4;n3;0c<72->:?7?7629m044=l21d=5:j:18'045=91<87c:>2;g8?j7?3;3;26=i<881j65`196`>5<#<891=58<;o626?7732e:49o50;&756<60?90b9?=:038?j7?<00;6):>3;3;26=i<881=?54o0:72;37?>i60=<1<7*;1282<35:?7?7629m044=9?10c<6;4;29 174282=?6`;13823>=h91>86=4+40195=043g>:>7?7;:m2<14=83.?=>4>8718j17528307b?74083>!26;3;3:>5a40095d=5$530>4>1;2d?=?4>b:9l5=5b290/8<=51940?k26:3;h76a>82f94?"39:0:4;=4n531>4b<3f;3?n4?:%627?7?>:1e8<<51d98k4>4j3:1(9?<:0:57>h39;0:j65`191b>5<#<891=58<;o626?4732e:4>750;&756<60?90b9?=:338?j7?;10;6):>3;3;26=i<881>?54o0:03?6=,=;86<693:l757<5;21d=5=9:18'045=91<87c:>2;07?>i60?81<7*;1282<35:?7?7629m044=:?10c<690;29 174282=?6`;13813>=h91?m6=4+40195=043g>:>7<7;:m2<0c=83.?=>4>8718j1752;307b?75783>!26;3;3:>5a40096d=5$530>4>1;2d?=?4=b:9l5=27290/8<=51940?k26:38h76a>82794?"39:0:4;=4n531>7b<3f;3?94?:%627?7?>:1e8<<52d98k4>?l3:1(9?<:0::7>h39;0;76a>89a94?"39:0:44=4n531>4=5$530>4>>;2d?=?4=;:m2<=g=83.?=>4>8818j1752:10c<679;29 1742822?6`;1387?>i60121<7*;1282<<5?<3:1(9?<:0::7>h39;0376a>89194?"39:0:44=4n531><=7>5$530>4>>;2d?=?4n;:m2<=7=83.?=>4>8818j1752k10c<670;29 1742822?6`;138`?>i60>l1<7*;1282<<50k3:1(9?<:0::7>h39;0:<65`195b>5<#<891=57<;o626?7632e:4:750;&756<60090b9?=:008?j7??10;6):>3;3;=6=i<881=>54o0:43?6=,=;86<663:l757<6<21d=599:18'045=91387c:>2;36?>i60>?1<7*;1282<<5:?7?7929m044=9>10c<683;29 1742822?6`;1382<>=h91=96=4+40195=?43g>:>7?6;:m2<27=83.?=>4>8818j17528k07b?76g83>!26;3;35>5a40095g=5$530>4>>;2d?=?4>c:9l5=0c290/8<=519;0?k26:3;o76a>87a94?"39:0:44=4n531>4c<3f;3:o4?:%627?7?1:1e8<<51g98k4>1i3:1(9?<:0::7>h39;09<65`194:>5<#<891=57<;o626?4632e:4;650;&756<60090b9?=:308?j7?>>0;6):>3;3;=6=i<881>>54o0:52?6=,=;86<663:l757<5<21d=57=:18'045=91387c:>2;06?>i600;1<7*;1282<<5:?7?7929m044=:>10c<67f;29 1742822?6`;1381<>=h912n6=4+40195=?43g>:>7<6;:m2<=0=83.?=>4>8818j1752;k07b?77c83>!26;3;35>5a40096g=5$530>4>>;2d?=?4=c:9l5=02290/8<=519;0?k26:38o76a>87694?"39:0:44=4n531>7c<3f;3ni4?:%627?7?k:1e8<<50:9l5=dd290/8<=519a0?k26:3;07b?7bc83>!26;3;3o>5a40096>=h91hj6=4+40195=e43g>:>7=4;n3;f<<72->:?7?7c29m044=<21d=5l7:18'045=91i87c:>2;78?j7?j>0;6):>3;3;g6=i<881:65`19`6>5<#<891=5m<;o626?1<3f;3n94?:%627?7?k:1e8<<58:9l5=d4290/8<=519a0?k26:3307b?7b383>!26;3;3o>5a4009e>=h91h:6=4+40195=e43g>:>7l4;n3;f5<72->:?7?7c29m044=k21d=5oi:18'045=91i87c:>2;f8?j7?il0;6):>3;3;g6=i<881i65`19cg>5<#<891=5m<;o626?`<3f;3mn4?:%627?7?k:1e8<<51198k4>fi3:1(9?<:0:`7>h39;0:=65`19c:>5<#<891=5m<;o626?7532e:4l650;&756<60j90b9?=:018?j7?i>0;6):>3;3;g6=i<881=954o0:b2?6=,=;86<6l3:l757<6=21d=5o::18'045=91i87c:>2;35?>i60h>1<7*;1282:?7?7c29m044=9110c<6n2;29 174282h?6`;1382=>=h91k:6=4+40195=e43g>:>7?n;:m2<<`=83.?=>4>8b18j17528h07b?79d83>!26;3;3o>5a40095f=5$530>4>d;2d?=?4>d:9l5=?d290/8<=519a0?k26:3;n76a>88`94?"39:0:4n=4n531>4`<3f;35l4?:%627?7?k:1e8<<52198k4>>13:1(9?<:0:`7>h39;09=65`19;;>5<#<891=5m<;o626?4532e:44950;&756<60j90b9?=:318?j7?1?0;6):>3;3;g6=i<881>954o0:`6?6=,=;86<6l3:l757<5=21d=5m>:18'045=91i87c:>2;05?>i60j:1<7*;1282:?7?7c29m044=:110c<6me;29 174282h?6`;1381=>=h91h=6=4+40195=e43g>:>74>8b18j1752;h07b?7a183>!26;3;3o>5a40096f=5$530>4>d;2d?=?4=d:9l5=?3290/8<=519a0?k26:38n76a>8df94?"39:0:4k=4n531>5=5$530>4>a;2d?=?4>;:m2<`d=83.?=>4>8g18j1752;10c<6ja;29 174282m?6`;1380?>i60l31<7*;1282b=3:1(9?<:0:e7>h39;0<76a>8d694?"39:0:4k=4n531>==5$530>4>a;2d?=?46;:m2<`4=83.?=>4>8g18j1752h10c<6j1;29 174282m?6`;138a?>i60l:1<7*;1282cl3:1(9?<:0:e7>h39;0m76a>8ea94?"39:0:4k=4n531>46<3f;3hl4?:%627?7?n:1e8<<51098k4>c13:1(9?<:0:e7>h39;0:>65`19f;>5<#<891=5h<;o626?7432e:4i950;&756<60o90b9?=:068?j7?l?0;6):>3;3;b6=i<881=854o0:g1?6=,=;86<6i3:l757<6>21d=5j;:18'045=91l87c:>2;34?>i60m91<7*;1282:?7?7f29m044=9010c<6k1;29 174282m?6`;1382e>=h91im6=4+40195=`43g>:>7?m;:m24>8g18j17528i07b?7ce83>!26;3;3j>5a40095a=5$530>4>a;2d?=?4>e:9l5=ee290/8<=519d0?k26:3;m76a>8bc94?"39:0:4k=4n531>76<3f;3o44?:%627?7?n:1e8<<52098k4>d03:1(9?<:0:e7>h39;09>65`19a4>5<#<891=5h<;o626?4432e:4n850;&756<60o90b9?=:368?j7?n;0;6):>3;3;b6=i<881>854o0:e5?6=,=;86<6i3:l757<5>21d=5h?:18'045=91l87c:>2;04?>i60ll1<7*;1282:?7?7f29m044=:010c<6j6;29 174282m?6`;1381e>=h91ni6=4+40195=`43g>:>74>8g18j1752;i07b?7c483>!26;3;3j>5a40096a=5$530>4>a;2d?=?4=e:9j55?42900c<7>d;29 1742839?6`;1383?>i618i1<7*;1282=75h39;0?76a>90:94?"39:0:5?=4n531>0=5$530>4?5;2d?=?49;:m2=43=83.?=>4>9318j1752>10c<7>4;29 1742839?6`;138;?>i61891<7*;1282=75h39;0h76a>91d94?"39:0:5?=4n531>a=5$530>4?5;2d?=?4j;:m2=5b=83.?=>4>9318j1752o10c<7?c;29 1742839?6`;13824>=h90:j6=4+40195<443g>:>7?>;:m2=5?=83.?=>4>9318j17528807b?60983>!26;3;2>>5a400956=5$530>4?5;2d?=?4>4:9l5<61290/8<=51800?k26:3;>76a>91794?"39:0:5?=4n531>40<3f;2<94?:%627?7>::1e8<<51698k4?7;3:1(9?<:0;17>h39;0:465`1821>5<#<891=4<<;o626?7>32e:5=?50;&756<61;90b9?=:0c8?j7?no0;6):>3;3:66=i<881=o54o0:ea?6=,=;86<7=3:l757<6k21d=5hk:18'045=90887c:>2;3g?>i60oi1<7*;1282=75:?7?6229m044=9o10c<6ia;29 1742839?6`;13814>=h91l26=4+40195<443g>:>7<>;:m2=83.?=>4>9318j1752;807b?7f683>!26;3;2>>5a400966=5$530>4?5;2d?=?4=4:9l5<45290/8<=51800?k26:38>76a>93394?"39:0:5?=4n531>70<3f;2>=4?:%627?7>::1e8<<52698k4?6n3:1(9?<:0;17>h39;09465`183f>5<#<891=4<<;o626?4>32e:5<850;&756<61;90b9?=:3c8?j7>8k0;6):>3;3:66=i<881>o54o0;34?6=,=;86<7=3:l757<5k21d=5h::18'045=90887c:>2;0g?>i60o>1<7*;1282=75:?7?6529m044=821d=4:l:18'045=90?87c:>2;38?j7>3;3:16=i<881>65`186b>5<#<891=4;<;o626?5<3f;2844?:%627?7>=:1e8<<54:9l5<2?290/8<=51870?k26:3?07b?64683>!26;3;29>5a40092>=h90>>6=4+40195<343g>:>794;n3:01<72->:?7?6529m044=021d=4:<:18'045=90?87c:>2;;8?j7><;0;6):>3;3:16=i<881m65`1862>5<#<891=4;<;o626?d<3f;28=4?:%627?7>=:1e8<<5c:9l5<5a290/8<=51870?k26:3n07b?63d83>!26;3;29>5a4009a>=h909o6=4+40195<343g>:>7h4;n3:7f<72->:?7?6529m044=9910c<7?6`;13825>=h90926=4+40195<343g>:>7?=;:m2=6>=83.?=>4>9418j17528907b?63683>!26;3;29>5a400951=5$530>4?2;2d?=?4>5:9l5<52290/8<=51870?k26:3;=76a>92694?"39:0:58=4n531>41<3f;2?>4?:%627?7>=:1e8<<51998k4?4:3:1(9?<:0;67>h39;0:565`1812>5<#<891=4;<;o626?7f32e:5?h50;&756<61<90b9?=:0`8?j7>:l0;6):>3;3:16=i<881=n54o0;1`?6=,=;86<7:3:l757<6l21d=42;3f?>i61;h1<7*;1282=05:?7?6529m044=:910c<7=9;29 174283>?6`;13815>=h90836=4+40195<343g>:>7<=;:m2=71=83.?=>4>9418j1752;907b?62783>!26;3;29>5a400961=>7>5$530>4?2;2d?=?4=5:9l5<36290/8<=51870?k26:38=76a>94294?"39:0:58=4n531>71<3f;28k4?:%627?7>=:1e8<<52998k4?3m3:1(9?<:0;67>h39;09565`1865>5<#<891=4;<;o626?4f32e:5>l50;&756<61<90b9?=:3`8?j7>;90;6):>3;3:16=i<881>n54o0;11?6=,=;86<7:3:l757<5l21d=4<;:18'045=90?87c:>2;0f?>o68>>1<7*;12824=375:l757<632c:<:<50;&756<681?0b9?=:398m46093:1(9?<:02;1>h39;0876g>06294?"39:0:<5;4n531>1=5$530>46?=2d?=?4:;:k243c=83.?=>4>0978j1752?10e<>9d;29 17428:396`;1384?>o68>i1<7*;12824=375:l757<>32c:<:o50;&756<681?0b9?=:`98m46013:1(9?<:02;1>h39;0i76g>06:94?"39:0:<5;4n531>f=5$530>46?=2d?=?4k;:k2420=83.?=>4>0978j1752l10e<>85;29 17428:396`;138e?>o68?i1<7*;12824=34;h332g<72->:?7??849m044=9810e3;3551=i<881<65`172a>5<#<891=;?;;o626?7<3f;=290/8<=51737?k26:3907b?90683>!26;3;==95a40090>=h9?:=6=4+401953733g>:>7;4;n3540<72->:?7?9159m044=>21d=;>;:18'045=9?;?7c:>2;58?j718:0;6):>3;3551=i<881465`1721>5<#<891=;?;;o626??<3f;=<<4?:%627?719=1e8<<5a:9l5367290/8<=51737?k26:3h07b?:fg83>!26;3;==95a4009g>=h9:>7j4;n3556<72->:?7?9159m044=m21d=;?=:18'045=9?;?7c:>2;d8?j71980;6):>3;3551=i<881==54o0424?6=,=;86<8>4:l757<6921d=;>i:18'045=9?;?7c:>2;31?>i6>9o1<7*;1282242:?7?9159m044=9=10c<8?8;29 17428<:86`;13821>=h9:>7?9;:m21ce=83.?=>4>6068j17528=07b?8cd83>!26;3;=h9>io6=4+401952b33g>:>7?4;n34gf<72->:?7?8d59m044=:21d=:mm:18'045=9>n?7c:>2;18?j70kh0;6):>3;34`1=i<881865`16a:>5<#<891=:j;;o626?3<3f;!26;3;=h9>i?6=4+401952b33g>:>774;n34g6<72->:?7?8d59m044=i21d=:m=:18'045=9>n?7c:>2;`8?j70k80;6):>3;34`1=i<881o65`16a3>5<#<891=:j;;o626?b<3f;!26;3;5$530>41c<2d?=?4>1:9l52df290/8<=516f7?k26:3;976a>7c;94?"39:0:;i:4n531>45<3f;h39;0:965`16`5>5<#<891=:j;;o626?7132e:;o;50;&756<6?m>0b9?=:058?j70j=0;6):>3;34`1=i<881=554o05a7?6=,=;86<9k4:l757<6121d=:l=:18'045=9>n?7c:>2;3b?>i6?k:1<7*;12823a2:?7?8d59m044=9j10c<9ne;29 17428=o86`;1382`>=h9>ko6=4+401952b33g>:>7?j;:m23de=83.?=>4>7e68j17528l07b?8ac83>!26;3;5$530>41c<2d?=?4=1:9l52g>290/8<=516f7?k26:38976a>7`:94?"39:0:;i:4n531>75<3f;h39;09965`16f1>5<#<891=:j;;o626?4132e:;i?50;&756<6?m>0b9?=:358?j70l90;6):>3;34`1=i<881>554o05`b?6=,=;86<9k4:l757<5121d=:m8:18'045=9>n?7c:>2;0b?>i6?ki1<7*;12823a2:?7?8d59m044=:j10c<9n6;29 17428=o86`;1381`>=h9>k>6=4+401952b33g>:>72;28?j71:=0;6):>3;35`f=i<881=65`1700>5<#<891=;jl;o626?4<3f;=>?4?:%627?71lj1e8<<53:9l5347290/8<=517f`?k26:3>07b?91g83>!26;3;=hn5a40091>=h9?;n6=4+401953bd3g>:>784;n355a<72->:?7?9db9m044=?21d=;?l:18'045=9?nh7c:>2;:8?j719k0;6):>3;35`f=i<881565`173b>5<#<891=;jl;o626?g<3f;==44?:%627?71lj1e8<<5b:9l537?290/8<=517f`?k26:3i07b?91683>!26;3;=hn5a4009`>=h9?8h6=4+401953bd3g>:>7k4;n356g<72->:?7?9db9m044=n21d=;2;33?>i6>;31<7*;12822ae:?7?9db9m044=9;10c<8=7;29 17428=h9?8=6=4+401953bd3g>:>7?;;:m2277=83.?=>4>6ea8j17528?07b?91783>!26;3;=hn5a400953=5$530>40ck2d?=?4>7:9l53cc290/8<=517d6?k26:3:07b?9eb83>!26;3;=j85a40095>=h9?oi6=4+401953`23g>:>7<4;n35ad<72->:?7?9f49m044=;21d=;k7:18'045=9?l>7c:>2;68?j71m>0;6):>3;35b0=i<881965`17g5>5<#<891=;h:;o626?0<3f;=i84?:%627?71n<1e8<<57:9l53c3290/8<=517d6?k26:3207b?9e283>!26;3;=j85a4009=>=h9?o96=4+401953`23g>:>7o4;n35a4<72->:?7?9f49m044=j21d=;k?:18'045=9?l>7c:>2;a8?j71lo0;6):>3;35b0=i<881h65`17d7>5<#<891=;h:;o626?c<3f;=j>4?:%627?71n<1e8<<5f:9l53`5290/8<=517d6?k26:3;;76a>6g394?"39:0::k;4n531>47<3f;=j=4?:%627?71n<1e8<<51398k40bn3:1(9?<:04e1>h39;0:?65`17gf>5<#<891=;h:;o626?7332e::h750;&756<6>o?0b9?=:078?j71ll0;6):>3;35b0=i<881=;54o04g`?6=,=;86<8i5:l757<6?21d=:>9:18'045=9>:n7c:>2;28?j708<0;6):>3;344`=i<881=65`1627>5<#<891=:>j;o626?4<3f;<<>4?:%627?708l1e8<<53:9l5266290/8<=5162f?k26:3>07b?80183>!26;3;<=h9?lm6=4+4019526b3g>:>784;n35b`<72->:?7?80d9m044=?21d=;hk:18'045=9>:n7c:>2;:8?j71nj0;6):>3;344`=i<881565`17da>5<#<891=:>j;o626?g<3f;=jl4?:%627?708l1e8<<5b:9l53`>290/8<=5162f?k26:3i07b?9f983>!26;3;<=h9>:o6=4+4019526b3g>:>7k4;n344f<72->:?7?80d9m044=n21d=:>m:18'045=9>:n7c:>2;33?>i6?9k1<7*;128235c:?7?80d9m044=9;10c<9?8;29 17428=;i6`;13827>=h9>:<6=4+4019526b3g>:>7?;;:m2354=83.?=>4>71g8j17528?07b?9f683>!26;3;<5$530>417m2d?=?4>7:9l527a290/8<=51604?k26:3:07b?81d83>!26;3;<>:5a40095>=h9>;o6=4+401952403g>:>7<4;n345f<72->:?7?8269m044=;21d=:?n:18'045=9>8<7c:>2;68?j70900;6):>3;3462=i<881965`163;>5<#<891=:<8;o626?0<3f;<=:4?:%627?70:>1e8<<57:9l5271290/8<=51604?k26:3207b?81483>!26;3;<>:5a4009=>=h9>;?6=4+401952403g>:>7o4;n3456<72->:?7?8269m044=j21d=:?=:18'045=9>8<7c:>2;a8?j70980;6):>3;3462=i<881h65`1605>5<#<891=:<8;o626?c<3f;<>84?:%627?70:>1e8<<5f:9l5243290/8<=51604?k26:3;;76a>73194?"39:0:;?94n531>47<3f;<>?4?:%627?70:>1e8<<51398k41593:1(9?<:0513>h39;0:?65`1603>5<#<891=:<8;o626?7332e:;3;3462=i<881=;54o053b?6=,=;86<9=7:l757<6?21d=:=7:18'045=9>>;7c:>2;28?j70;>0;6):>3;3405=i<881=65`1615>5<#<891=::?;o626?4<3f;07b?83383>!26;3;<8=5a40091>=h9>9:6=4+401952273g>:>784;n3475<72->:?7?8419m044=?21d=:>;7c:>2;:8?j70:l0;6):>3;3405=i<881565`160g>5<#<891=::?;o626?g<3f;<>n4?:%627?70<91e8<<5b:9l524e290/8<=51663?k26:3i07b?82`83>!26;3;<8=5a4009`>=h9>9m6=4+401952273g>:>7k4;n347`<72->:?7?8419m044=n21d=:=k:18'045=9>>;7c:>2;33?>i6?:i1<7*;1282316:?7?8419m044=9;10c<9=h9>926=4+401952273g>:>7?;;:m2362=83.?=>4>7528j17528?07b?82883>!26;3;<8=5a400953=5$530>41382d?=?4>7:9l5236290/8<=5167:?k26:3:07b?85183>!26;3;<945a40095>=h9>>m6=4+4019523>3g>:>7<4;n340`<72->:?7?8589m044=;21d=::l:18'045=9>?27c:>2;68?j703;341<=i<881965`166b>5<#<891=:;6;o626?0<3f;<844?:%627?70=01e8<<57:9l522?290/8<=5167:?k26:3207b?84683>!26;3;<945a4009=>=h9>>=6=4+4019523>3g>:>7o4;n3400<72->:?7?8589m044=j21d=::;:18'045=9>?27c:>2;a8?j70<:0;6):>3;341<=i<881h65`167;>5<#<891=:;6;o626?c<3f;<9:4?:%627?70=01e8<<5f:9l5231290/8<=5167:?k26:3;;76a>74794?"39:0:;874n531>47<3f;<994?:%627?70=01e8<<51398k412;3:1(9?<:056=>h39;0:?65`1671>5<#<891=:;6;o626?7332e:;9j50;&756<6?<30b9?=:078?j70<;0;6):>3;341<=i<881=;54o0575?6=,=;86<9:9:l757<6?21d=:hj:18'045=90??7c:>2;28?j70nm0;6):>3;3:11=i<881=65`16d`>5<#<891=4;;;o626?4<3f;==1e8<<53:9l52`f290/8<=51877?k26:3>07b?8f883>!26;3;2995a40091>=h9>l36=4+40195<333g>:>784;n34b3<72->:?7?6559m044=?21d=:h::18'045=90??7c:>2;:8?j70n=0;6):>3;3:11=i<881565`16d0>5<#<891=4;;;o626?g<3f;==1e8<<5b:9l52`6290/8<=51877?k26:3i07b?8f183>!26;3;2995a4009`>=h9>om6=4+40195<333g>:>7k4;n34a`<72->:?7?6559m044=n21d=:kk:18'045=90??7c:>2;33?>i6?lh1<7*;1282=02:?7?6559m044=9;10c<9j9;29 174283>86`;13827>=h9>o36=4+40195<333g>:>7?;;:m23`1=83.?=>4>9468j17528?07b?8e783>!26;3;2995a400953=5$530>4?2<2d?=?4>7:9l52c3290/8<=51877?k26:3;376a>7d194?"39:0:58:4n531>4?<3f;==1e8<<51`98k41b83:1(9?<:0;60>h39;0:n65`16fe>5<#<891=4;;;o626?7d32e:;ik50;&756<61<>0b9?=:0f8?j70lm0;6):>3;3:11=i<881=h54o05gg?6=,=;86<7:4:l757<6n21d=:jm:18'045=90??7c:>2;03?>i6?mk1<7*;1282=02:?7?6559m044=:;10c<9k8;29 174283>86`;13817>=h9>n<6=4+40195<333g>:>7<;;:m2<55=83.?=>4>9468j1752;?07b?70383>!26;3;2995a400963=5$530>4?2<2d?=?4=7:9l5=67290/8<=51877?k26:38376a>7gd94?"39:0:58:4n531>7?<3f;==1e8<<52`98k41bk3:1(9?<:0;60>h39;09n65`16g2>5<#<891=4;;;o626?4d32e:;i850;&756<61<>0b9?=:3f8?j70l<0;6):>3;3:11=i<881>h54o055e?6=,=;86<982:l757<732e:;;750;&756<6?>80b9?=:098k41103:1(9?<:0546>h39;0976a>77594?"39:0:;:<4n531>6=5$530>410:2d?=?4;;:m2332=83.?=>4>7608j1752<10c<993;29 17428=<>6`;1385?>i6??81<7*;128232450;&756<6?>80b9?=:898k412n3:1(9?<:0546>h39;0j76a>74g94?"39:0:;:<4n531>g=h7>5$530>410:2d?=?4l;:m230e=83.?=>4>7608j1752m10c<981;29 17428=<>6`;138f?>i6?>:1<7*;1282324=97c:>2;32?>i6??n1<7*;1282324:?7?8739m044=9:10c<99b;29 17428=<>6`;13820>=h9><=6=4+401952153g>:>7?:;:m230d=83.?=>4>7608j17528<07b?85`83>!26;3;<;?5a400952=5$530>4??<2d?=?4?;:m2=2b=83.?=>4>9968j1752810c<78c;29 174283386`;1381?>i61>h1<7*;1282==254o0;4e?6=,=;86<774:l757<332e:5:750;&756<611>0b9?=:498k4?003:1(9?<:0;;0>h39;0=76a>96494?"39:0:55:4n531>2=5$530>4??<2d?=?47;:m2=22=83.?=>4>9968j1752010c<783;29 174283386`;138b?>i61>81<7*;1282==250;&756<611>0b9?=:e98k4?1n3:1(9?<:0;;0>h39;0n76a>97g94?"39:0:55:4n531>c=5$530>4??<2d?=?4>0:9l5<0e290/8<=518:7?k26:3;:76a>97c94?"39:0:55:4n531>44<3f;2:44?:%627?7>0=1e8<<51298k4?103:1(9?<:0;;0>h39;0:865`1844>5<#<891=46;;o626?7232e:5;850;&756<611>0b9?=:048?j7>><0;6):>3;3:<1=i<881=:54o0;50?6=,=;86<774:l757<6021d=48<:18'045=902?7c:>2;3:?>i61?81<7*;1282==2:?7?6859m044=9k10c<7:f;29 174283386`;1382g>=h90?n6=4+40195<>33g>:>7?k;:m2=0b=83.?=>4>9968j17528o07b?65b83>!26;3;2495a40095c=n7>5$530>4??<2d?=?4=0:9l5<3f290/8<=518:7?k26:38:76a>94;94?"39:0:55:4n531>74<3f;2954?:%627?7>0=1e8<<52298k4?2?3:1(9?<:0;;0>h39;09865`18:0>5<#<891=46;;o626?4232e:55<50;&756<611>0b9?=:348?j7>080;6):>3;3:<1=i<881>:54o0;;4?6=,=;86<774:l757<5021d=49i:18'045=902?7c:>2;0:?>i61>=1<7*;1282==2:?7?6859m044=:k10c<791;29 174283386`;1381g>=h90?=6=4+40195<>33g>:>74>9968j1752;o07b?88283>!26;3;<4o5a40094>=h9>296=4+401952>e3g>:>7?4;n34<4<72->:?7?88c9m044=:21d=:6?:18'045=9>2i7c:>2;18?j70?l0;6):>3;345<#<891=:6m;o626?3<3f;<;n4?:%627?700k1e8<<56:9l521e290/8<=516:a?k26:3=07b?87`83>!26;3;<4o5a4009<>=h9>=26=4+401952>e3g>:>774;n343=<72->:?7?88c9m044=i21d=:98:18'045=9>2i7c:>2;`8?j70??0;6):>3;345<#<891=:6m;o626?b<3f;<4l4?:%627?700k1e8<<5e:9l52>>290/8<=516:a?k26:3l07b?88983>!26;3;<4o5a400955=5$530>41?j2d?=?4>1:9l52>1290/8<=516:a?k26:3;976a>79794?"39:0:;5l4n531>45<3f;<494?:%627?700k1e8<<51598k410n3:1(9?<:05;f>h39;0:965`1657>5<#<891=:6m;o626?7132e:;:=50;&756<6?1h0b9?=:058?j7>il0;6):>3;3:f1=i<881<65`18cg>5<#<891=4l;;o626?7<3f;2mn4?:%627?7>j=1e8<<52:9l5!26;3;2n95a40090>=h90k26=4+40195:>7;4;n3:e=<72->:?7?6b59m044=>21d=4o9:18'045=90h?7c:>2;58?j7>i<0;6):>3;3:f1=i<881465`18c7>5<#<891=4l;;o626??<3f;2m>4?:%627?7>j=1e8<<5a:9l5!26;3;2n95a4009g>=h90k;6=4+40195:>7j4;n3:=c<72->:?7?6b59m044=m21d=47j:18'045=90h?7c:>2;d8?j7>1m0;6):>3;3:f1=i<881==54o0;:f?6=,=;86<7m4:l757<6921d=47n:18'045=90h?7c:>2;31?>i61031<7*;1282=g2:?7?6b59m044=9=10c<767;29 174283i86`;13821>=h903=6=4+40195:>7?9;:m2=<3=83.?=>4>9c68j17528=07b?69583>!26;3;2n95a40095==5$530>4?e<2d?=?4>9:9l598294?"39:0:5o:4n531>4d<3f;24k4?:%627?7>j=1e8<<51b98k4??m3:1(9?<:0;a0>h39;0:h65`18:g>5<#<891=4l;;o626?7b32e:55m50;&756<61k>0b9?=:0d8?j7>0k0;6):>3;3:f1=i<881>=54o0;;e?6=,=;86<7m4:l757<5921d=466:18'045=90h?7c:>2;01?>i61121<7*;1282=g2:?7?6b59m044=:=10c<7m3;29 174283i86`;13811>=h90h96=4+40195:>7<9;:m2=g7=83.?=>4>9c68j1752;=07b?6b183>!26;3;2n95a40096==5$530>4?e<2d?=?4=9:9l598a94?"39:0:5o:4n531>7d<3f;25<4?:%627?7>j=1e8<<52b98k4??>3:1(9?<:0;a0>h39;09h65`18:6>5<#<891=4l;;o626?4b32e:;4m50;&756<6?h>0b9?=:198k41>j3:1(9?<:05b0>h39;0:76a>78c94?"39:0:;l:4n531>7=5$530>41f<2d?=?4<;:m23<1=83.?=>4>7`68j1752=10c<966;29 17428=j86`;1386?>i6?0?1<7*;12823d20b9?=:998k41>:3:1(9?<:05b0>h39;0276a>78394?"39:0:;l:4n531>d=5$530>41f<2d?=?4m;:m23=`=83.?=>4>7`68j1752j10c<97e;29 17428=j86`;138g?>i6?h91<7*;12823d20b9?=:028?j70i90;6):>3;34e1=i<881=<54o05:b?6=,=;86<9n4:l757<6:21d=:7j:18'045=9>k?7c:>2;30?>i6?0n1<7*;12823d2:?7?8a59m044=9<10c<97d;29 17428=j86`;13822>=h9>2h6=4+401952g33g>:>7?8;:m2=ac=83.?=>4>9d68j1752910c<7kd;29 174283n86`;1382?>i61mi1<7*;1282=`20b9?=:598k4?c13:1(9?<:0;f0>h39;0>76a>9e:94?"39:0:5h:4n531>3=5$530>4?b<2d?=?48;:m2=a3=83.?=>4>9d68j1752110c<7k4;29 174283n86`;138:?>i61m91<7*;1282=`20b9?=:b98k4?c83:1(9?<:0;f0>h39;0o76a>9bd94?"39:0:5h:4n531>`=5$530>4?b<2d?=?4i;:m2=fb=83.?=>4>9d68j17528:07b?6cc83>!26;3;2i95a400954=5$530>4?b<2d?=?4>2:9l5290/8<=518g7?k26:3;876a>9b:94?"39:0:5h:4n531>42<3f;2o:4?:%627?7>m=1e8<<51498k4?d>3:1(9?<:0;f0>h39;0::65`18a6>5<#<891=4k;;o626?7032e:5n:50;&756<61l>0b9?=:0:8?j7>k:0;6):>3;3:a1=i<881=454o0;`6?6=,=;86<7j4:l757<6i21d=4m?:18'045=90o?7c:>2;3a?>i61kl1<7*;1282=`2:?7?6e59m044=9m10c<7md;29 174283n86`;1382a>=h90hh6=4+40195:>7?i;:m2=gd=83.?=>4>9d68j1752;:07b?6b`83>!26;3;2i95a400964=5$530>4?b<2d?=?4=2:9l59c594?"39:0:5h:4n531>72<3f;2i>4?:%627?7>m=1e8<<52498k4?b:3:1(9?<:0;f0>h39;09:65`18g2>5<#<891=4k;;o626?4032e:5h>50;&756<61l>0b9?=:3:8?j7>lo0;6):>3;3:a1=i<881>454o0;g3?6=,=;86<7j4:l757<5i21d=4ml:18'045=90o?7c:>2;0a?>i61j;1<7*;1282=`2:?7?6e59m044=:m10c<7m5;29 174283n86`;1381a>=h9h:n6=4+40195d733g>:>7>4;n3b4a<72->:?7?n159m044=921d=l>l:18'045=9h;?7c:>2;08?j7f8k0;6):>3;3b51=i<881?65`1`2b>5<#<891=l?;;o626?2<3f;j<44?:%627?7f9=1e8<<55:9l5d6?290/8<=51`37?k26:3<07b?n0783>!26;3;j=95a40093>=h9h:>6=4+40195d733g>:>764;n3b41<72->:?7?n159m044=121d=l><:18'045=9h;?7c:>2;c8?j7f8;0;6):>3;3b51=i<881n65`1`22>5<#<891=l?;;o626?e<3f;j<=4?:%627?7f9=1e8<<5d:9l5<`a290/8<=51`37?k26:3o07b?6fd83>!26;3;j=95a4009b>=h90lo6=4+40195d733g>:>7??;:m2=cd=83.?=>4>a068j17528;07b?6f`83>!26;3;j=95a400957=5$530>4g6<2d?=?4>3:9l5<`?290/8<=51`37?k26:3;?76a>9g594?"39:0:m<:4n531>43<3f;2j;4?:%627?7f9=1e8<<51798k4?a=3:1(9?<:0c20>h39;0:;65`18d7>5<#<891=l?;;o626?7?32e:5k=50;&756<6i8>0b9?=:0;8?j7>n;0;6):>3;3b51=i<881=l54o0;e4?6=,=;864:l757<6j21d=4ki:18'045=9h;?7c:>2;3`?>i61lo1<7*;1282e42:?7?n159m044=9l10c<7jc;29 17428k:86`;1382b>=h90oi6=4+40195d733g>:>74>a068j1752;;07b?6e883>!26;3;j=95a400967=5$530>4g6<2d?=?4=3:9l5a0194?"39:0:m<:4n531>73<3f;j=?4?:%627?7f9=1e8<<52798k4g693:1(9?<:0c20>h39;09;65`1`33>5<#<891=l?;;o626?4?32e:m=h50;&756<6i8>0b9?=:3;8?j7f8>0;6):>3;3b51=i<881>l54o0;eg?6=,=;864:l757<5j21d=4h>:18'045=9h;?7c:>2;0`?>i61l<1<7*;1282e42:?7?n159m044=:l10ci6i:n1<7*;1282e12l50;&756<6i=>0b9?=:298k4g4i3:1(9?<:0c70>h39;0?76a>a2;94?"39:0:m9:4n531>0=5$530>4g3<2d?=?49;:m2e60=83.?=>4>a568j1752>10ci6i:>1<7*;1282e12<50;&756<6i=>0b9?=:c98k4g493:1(9?<:0c70>h39;0h76a>a2294?"39:0:m9:4n531>a=5$530>4g3<2d?=?4j;:m2e7c=83.?=>4>a568j1752o10c=h9h8i6=4+40195d233g>:>7?>;:m2e7g=83.?=>4>a568j17528807b?n2883>!26;3;j895a400956=5$530>4g3<2d?=?4>4:9l5d40290/8<=51`67?k26:3;>76a>a3494?"39:0:m9:4n531>40<3f;j>84?:%627?7f<=1e8<<51698k4g5<3:1(9?<:0c70>h39;0:465`1`00>5<#<891=l:;;o626?7>32e:m?<50;&756<6i=>0b9?=:0c8?j7f:90;6):>3;3b01=i<881=o54o0c2b?6=,=;86?7c:>2;3g?>i6i8n1<7*;1282e12:?7?n459m044=9o10cb;29 17428k?86`;13814>=h9h;j6=4+40195d233g>:>7<>;:m2e4?=83.?=>4>a568j1752;807b?n1983>!26;3;j895a400966=5$530>4g3<2d?=?4=4:9l5d24290/8<=51`67?k26:38>76a>a5094?"39:0:m9:4n531>70<3f;j8<4?:%627?7f<=1e8<<52698k4g383:1(9?<:0c70>h39;09465`1`1e>5<#<891=l:;;o626?4>32e:m>950;&756<6i=>0b9?=:3c8?j7f:j0;6):>3;3b01=i<881>o54o0c15?6=,=;86?7c:>2;0g?>i6i8?1<7*;1282e12:?7?n759m044=821d=l8k:18'045=9h=?7c:>2;38?j7f>j0;6):>3;3b31=i<881>65`1`4a>5<#<891=l9;;o626?5<3f;j:l4?:%627?7f?=1e8<<54:9l5d0>290/8<=51`57?k26:3?07b?n6983>!26;3;j;95a40092>=h9h<=6=4+40195d133g>:>794;n3b20<72->:?7?n759m044=021d=l8;:18'045=9h=?7c:>2;;8?j7f>:0;6):>3;3b31=i<881m65`1`41>5<#<891=l9;;o626?d<3f;j:<4?:%627?7f?=1e8<<5c:9l5d07290/8<=51`57?k26:3n07b?n5g83>!26;3;j;95a4009a>=h9h?n6=4+40195d133g>:>7h4;n3b1a<72->:?7?n759m044=9910c=h9h?j6=4+40195d133g>:>7?=;:m2e0?=83.?=>4>a668j17528907b?n5983>!26;3;j;95a400951=;7>5$530>4g0<2d?=?4>5:9l5d31290/8<=51`57?k26:3;=76a>a4794?"39:0:m::4n531>41<3f;j994?:%627?7f?=1e8<<51998k4g2;3:1(9?<:0c40>h39;0:565`1`71>5<#<891=l9;;o626?7f32e:m8>50;&756<6i>>0b9?=:0`8?j7f3;3b31=i<881=n54o0c7a?6=,=;862;3f?>i6i=i1<7*;1282e22:?7?n759m044=:910c=h9h>26=4+40195d133g>:>7<=;:m2e1>=83.?=>4>a668j1752;907b?n4683>!26;3;j;95a400961=5$530>4g0<2d?=?4=5:9l5d15290/8<=51`57?k26:38=76a>a6394?"39:0:m::4n531>71<3f;j;=4?:%627?7f?=1e8<<52998k4g1n3:1(9?<:0c40>h39;09565`1`44>5<#<891=l9;;o626?4f32e:m8m50;&756<6i>>0b9?=:3`8?j7f=80;6):>3;3b31=i<881>n54o0c72?6=,=;862;0f?>i6i0o1<7*;1282ed20b9?=:398k4g>j3:1(9?<:0cb0>h39;0876a>a8c94?"39:0:ml:4n531>1=5$530>4gf<2d?=?4:;:m2e<>=83.?=>4>a`68j1752?10ci6i0?1<7*;1282ed232e:m4=50;&756<6ih>0b9?=:`98k4g>:3:1(9?<:0cb0>h39;0i76a>a8394?"39:0:ml:4n531>f=5$530>4gf<2d?=?4k;:m2e=`=83.?=>4>a`68j1752l10ci6i1n1<7*;1282ed24;n3b:?7?na59m044=9810c=h9h226=4+40195dg33g>:>7?<;:m2e=>=83.?=>4>a`68j17528>07b?n8683>!26;3;jm95a400950=5$530>4gf<2d?=?4>6:9l5d>2290/8<=51`c7?k26:3;<76a>a9694?"39:0:ml:4n531>4><3f;j4>4?:%627?7fi=1e8<<51898k4g?:3:1(9?<:0cb0>h39;0:m65`1`:3>5<#<891=lo;;o626?7e32e:m:h50;&756<6ih>0b9?=:0a8?j7f?l0;6):>3;3be1=i<881=i54o0c4`?6=,=;862;3e?>i6i>h1<7*;1282ed24;n3b3d<72->:?7?na59m044=:810c=h9h=36=4+40195dg33g>:>7<<;:m2e21=83.?=>4>a`68j1752;>07b?na283>!26;3;jm95a400960=7>5$530>4gf<2d?=?4=6:9l5dg6290/8<=51`c7?k26:38<76a>a`294?"39:0:ml:4n531>7><3f;j5k4?:%627?7fi=1e8<<52898k4g>?3:1(9?<:0cb0>h39;09m65`1`:`>5<#<891=lo;;o626?4e32e:m5?50;&756<6ih>0b9?=:3a8?j7f??0;6):>3;3be1=i<881>i54o0c41?6=,=;862;28?j7fkm0;6):>3;3b`1=i<881=65`1`a`>5<#<891=lj;;o626?4<3f;joo4?:%627?7fl=1e8<<53:9l5def290/8<=51`f7?k26:3>07b?nc883>!26;3;jh95a40091>=h9hi36=4+40195db33g>:>784;n3bg3<72->:?7?nd59m044=?21d=lm::18'045=9hn?7c:>2;:8?j7fk=0;6):>3;3b`1=i<881565`1`a0>5<#<891=lj;;o626?g<3f;jo?4?:%627?7fl=1e8<<5b:9l5de6290/8<=51`f7?k26:3i07b?nc183>!26;3;jh95a4009`>=h9hhm6=4+40195db33g>:>7k4;n3bf`<72->:?7?nd59m044=n21d=llk:18'045=9hn?7c:>2;33?>i6ikh1<7*;1282ea2:?7?nd59m044=9;10c=h9hh36=4+40195db33g>:>7?;;:m2eg1=83.?=>4>ae68j17528?07b?nb783>!26;3;jh95a400953=5$530>4gc<2d?=?4>7:9l5dd3290/8<=51`f7?k26:3;376a>ac194?"39:0:mi:4n531>4?<3f;jn?4?:%627?7fl=1e8<<51`98k4ge83:1(9?<:0cg0>h39;0:n65`1`ce>5<#<891=lj;;o626?7d32e:mlk50;&756<6im>0b9?=:0f8?j7fim0;6):>3;3b`1=i<881=h54o0cbg?6=,=;862;03?>i6ihk1<7*;1282ea2:?7?nd59m044=:;10c=h9hk<6=4+40195db33g>:>7<;;:m2ea5=83.?=>4>ae68j1752;?07b?nd383>!26;3;jh95a400963=5$530>4gc<2d?=?4=7:9l5db7290/8<=51`f7?k26:38376a>abd94?"39:0:mi:4n531>7?<3f;jo:4?:%627?7fl=1e8<<52`98k4gek3:1(9?<:0cg0>h39;09n65`1``2>5<#<891=lj;;o626?4d32e:ml850;&756<6im>0b9?=:3f8?j7fi<0;6):>3;3b`1=i<881>h54o00:3?6=,=;86<<6d:l757<732e:>4850;&756<6:0n0b9?=:098k44>=3:1(9?<:00:`>h39;0976a>28694?"39:0:>4j4n531>6=5$530>44>l2d?=?4;;:m26<4=83.?=>4>28f8j1752<10c<<61;29 1742882h6`;1385?>i6:1l1<7*;128265j50;&756<6:0n0b9?=:898k44?k3:1(9?<:00:`>h39;0j76a>29`94?"39:0:>4j4n531>g=5$530>44>l2d?=?4l;:m26=?=83.?=>4>28f8j1752m10c<<78;29 1742882h6`;138f?>i6:1=1<7*;128262;32?>i6:191<7*;12826:?7?=9e9m044=9:10c<<71;29 1742882h6`;13820>=h9;2;6=4+401957?c3g>:>7?:;:m262`=83.?=>4>28f8j17528<07b?=7d83>!26;3;95i5a400952=5$530>44>l2d?=?4>8:9l571d290/8<=513;g?k26:3;276a>26`94?"39:0:>4j4n531>4g<3f;9;44?:%627?751m1e8<<51c98k44003:1(9?<:00:`>h39;0:o65`1354>5<#<891=?7k;o626?7c32e:>:850;&756<6:0n0b9?=:0g8?j75?<0;6):>3;31=a=i<881=k54o0040?6=,=;86<<6d:l757<5821d=?9<:18'045=9;3o7c:>2;02?>i6:>81<7*;12826:?7?=9e9m044=::10c<<80;29 1742882h6`;13810>=h9;3h6=4+401957?c3g>:>7<:;:m264>28f8j1752;<07b?=9`83>!26;3;95i5a400962=5$530>44>l2d?=?4=8:9l57??290/8<=513;g?k26:38276a>28294?"39:0:>4j4n531>7g<3f;9484?:%627?751m1e8<<52c98k440i3:1(9?<:00:`>h39;09o65`134e>5<#<891=?7k;o626?4c32e:>;k50;&756<6:0n0b9?=:3g8?l77i<0;6):>3;33ea=i<881<65f11c7>5<#<891==ok;o626?7<3`;;m>4?:%627?77im1e8<<52:9j55g5290/8<=511cg?k26:3907d??a183>!26;3;;mi5a40090>=n993m6=4+401955gc3g>:>7;4;h33=`<72->:?7??ae9m044=>21b==7k:18'045=99ko7c:>2;58?l771j0;6):>3;33ea=i<881465f11;a>5<#<891==ok;o626??<3`;;5l4?:%627?77im1e8<<5a:9j55?>290/8<=511cg?k26:3h07d??9983>!26;3;;mi5a4009g>=n993<6=4+401955gc3g>:>7j4;h33ef<72->:?7??ae9m044=m21b==om:18'045=99ko7c:>2;d8?l77ih0;6):>3;33ea=i<881==54i02b=?6=,=;86<>nd:l757<6921b==o7:18'045=99ko7c:>2;31?>o68h=1<7*;12824db:?7??ae9m044=9=10e<>n1;29 17428:jh6`;13821>=n993=6=4+401955gc3g>:>7?9;:k24<3=83.?=>4>0`f8j17528=07b??6783>!26;3;;::5a40094>=h99<36=4+4019550>3g>:>7>4;h3b``<72->:?7?ndg9m044=821b==7=:188f132290:6=4?{%61a?4>i2B??i5G4238k7?>2900qo::6;295?6=8r.?>h4;379K06b<@=9:7b=8a;29?xd3=>0;684?:1y'07c=:0>0D9=k;I605>"4?>0:mh94$3c2>7=n9=;1<75f19394?=n90=1<75f40:94?=h<8<1<75rb57;>5<2290;w):=e;0a=>N3;m1C8>?4$254>4gb?2c:5<4?::k13`<722c?>94?::m02g<722e9mo4?::a00?=83?1<7>t$50f>7d>3A>8h6F;309'721=9ho<7d?61;29?l40m3:17d:=4;29?j51j3:17b0D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?l2603:17b:>6;29?xd3=k0;684?:1y'07c=:k30D9=k;I605>"4?>0:mh94i0;2>5<5<5<>o7>55;294~"3:l09n45G42f8L1563-9<;7?ne69j5<7=831b>:k50;9j072=831d?;l50;9l6dd=831vn9;k:180>5<7s->9i7:98:0cf3>o6180;66g=a`83>>i4>k0;66sm44g94?5=83:p(9o6<80;66g>9683>>i39?0;66sm44d94?5=83:p(9o6<80;66g>9683>>i39?0;66sm47294?5=83:p(9o6<80;66g>9683>>i39?0;66sm47394?3=83:p(95;h04a?6=3`>987>5;n15f?6=3f8jn7>5;|`727<72<0;6=u+43g96g?<@=9o7E:<1:&032<6il=0e<7>:188m71b2900e9<;:188k60e2900c?om:188yg21;3:1?7>50z&76`<3;j1C8>j4H512?!50?3;ji:5f18394?=n:hk1<75`37`94?=zj=N3;81/?:951`g4?l7>93:17d<8e;29?l25<3:17b=9b;29?j4fj3:17pl;6783>4g6290;w):=e;0;3>N3;m1C8>?4Z01e>46|2>:1==4;5;56>1>=u-9<;7?ne69mea<53glj6=5a11295>h688097)??2;15e>"68:09;k5a10596>h6;l097)?;0;04b>h6??0:7c?8b;38j4?1281e>5850:l1e=<73-8i?7<68:l1f1<63g9<:7?4$2cg>0=i<8?1=6*;2781f6=#<;=1?lj4$511>7>a3->8?701/8>;537f8k6gb2900e9?j:188m4>12900e<6;:188k7d02900e<67:188m1452900e?l9:188m4>02900e9?i:188m4>a2900c<9;:188m17f2900e<66:188k14a2900c>oi:188m17d2900e9?m:188m4>e2900e922900e<6<:188m4?52900e<6=:188m17c2900c>97:188m4>f2900e<6j:188m4122900e<9<:188m1462900e<6k:188m1442900ch=50;&7564=h39;0976akf;29 1742l80b9?=:298kac=83.?=>4j2:l757<332eoh7>5$530>`46`;1385?>icj3:1(9?<:d08j1752>10cio50;&756<=h39;0j76ak6;29 1742l80b9?=:c98ka3=83.?=>4j2:l7575$530>`46`;138f?>ic:3:1(9?<:d08j1752o10ci?50;&7560:9l`5<72->:?7k=;o626?7632ehj7>5$530>`45<#<891i?5a400956=h39;0:865`e`83>!26;3o97c:>2;36?>ib13:1(9?<:d08j17528<07bk7:18'045=m;1e8<<51698k`1=83.?=>4j2:l757<6021di;4?:%627?c53g>:>7?6;:mf1?6=,=;86h<4n531>4g<3fo?6=4+4019a7=i<881=o54oe:94?"39:0n>6`;1382g>=hkm0;6):>3;g1?k26:3;o76g<7483>!26;39<86`;1383?>o4?:0;6):>3;140>h39;0:76g<7383>!26;39<86`;1381?>o4?80;6):>3;140>h39;0876g<7183>!26;39<86`;1387?>o4>o0;6):>3;140>h39;0>76g<6d83>!26;39<86`;1385?>o4lh0;6):>3;1g=>h39;0;76g!26;39o56`;1382?>o4l>0;6):>3;1g=>h39;0976g!26;39o56`;1380?>o4l<0;6):>3;1g=>h39;0?76g!26;39o56`;1386?>o4l:0;6):>3;1g=>h39;0=76g!26;39o56`;1384?>o4l90;6):>3;1g=>h39;0376g!26;39o56`;138:?>o4kl0;6):>3;1g=>h39;0j76g!26;39o56`;138a?>o4kj0;6):>3;1g=>h39;0h76g!26;39o56`;138g?>o4kh0;6):>3;1g=>h39;0n76g!26;39o56`;138e?>o4k10;6):>3;1g=>h39;0:<65f3b594?"39:08h45a400954=6=4+40197a?j6;o626?7432c8o>4?:%627?5c12d?=?4>4:9j7f4=83.?=>4m>:18'045=;m30b9?=:048?l5d83:1(9?<:2f:?k26:3;<76g!26;39o56`;1382<>=n;ko1<7*;1280`<=i<881=454i2`g>5<#<891?i74n531>4g<3`9io7>5$530>6b>3g>:>7?m;:k0fd<72->:?7=k9:l757<6k21b?o750;&756<4l01e8<<51e98m6d?290/8<=53e;8j17528o07d=m7;29 1742:n27c:>2;3e?>o4j?0;6):>3;1g=>h39;09<65f3c794?"39:08h45a400964=j6;o626?4432c8n?4?:%627?5c12d?=?4=4:9j7g7=83.?=>4k?:18'045=;m30b9?=:348?l5cn3:1(9?<:2f:?k26:38<76g!26;39o56`;1381<>=n;mn1<7*;1280`<=i<881>454i2f`>5<#<891?i74n531>7g<3`9on7>5$530>6b>3g>:>7:?7=k9:l757<5k21b?n850;&756<4l01e8<<52e98m6de290/8<=53e;8j1752;o07d=m0;29 1742:n27c:>2;0e?>oan3:1(9?<:gg8j1752910ekj50;&756;:keg?6=,=;86kk4n531>7=h39;0876g>6b83>!26;3;=n6`;1383?>o6>h0;6):>3;35f>h39;0:76g>6883>!26;3;=n6`;1381?>o6>10;6):>3;35f>h39;0876g>6683>!26;3;=n6`;1387?>o6>?0;6):>3;35f>h39;0>76g>6483>!26;3;=n6`;1385?>o6>=0;6):>3;35f>h39;0<76g>6383>!26;3;=n6`;138;?>o6>80;6):>3;35f>h39;0276g>6183>!26;3;=n6`;138b?>o6=o0;6):>3;35f>h39;0i76g>5d83>!26;3;=n6`;138`?>o6=m0;6):>3;35f>h39;0o76g>5b83>!26;3;=n6`;138f?>o6=k0;6):>3;35f>h39;0m76g>5`83>!26;3;=n6`;13824>=n9<31<7*;12822g=i<881=<54i074>5<#<891=;l4n531>44<3`;>:7>5$530>40e3g>:>7?<;:k210<72->:?7?9b:l757<6<21b=8:50;&756<6>k1e8<<51498m434290/8<=517`8j17528<07d?:2;29 174282;34?>o6=80;6):>3;35f>h39;0:465f14294?"39:0::o5a40095<=m6=4+401953dc:9j51d=83.?=>4>6c9m044=9m10e<:n:18'045=9?h0b9?=:0g8?l7313:1(9?<:04a?k26:3;m76g>4983>!26;3;=n6`;13814>=n9==1<7*;12822g=i<881><54i065>5<#<891=;l4n531>74<3`;?97>5$530>40e3g>:>7<<;:k201<72->:?7?9b:l757<5<21b=9=50;&756<6>k1e8<<52498m415290/8<=517`8j1752;<07d?81;29 174282;04?>o6?90;6):>3;35f>h39;09465f17d94?"39:0::o5a40096<=4?:%627?71j2d?=?4=c:9j50>=83.?=>4>6c9m044=:m10e<:k:18'045=9?h0b9?=:3g8?l73:3:1(9?<:04a?k26:38m76a>8183>!26;3;i6?l0;6):>3;34b>h39;0:76a>7e83>!26;3;i6?j0;6):>3;34b>h39;0876g=a683>!26;38j:6`;1383?>o5i<0;6):>3;0b2>h39;0:76g=a583>!26;38j:6`;1381?>o5i:0;6):>3;0b2>h39;0876g=a383>!26;38j:6`;1387?>o6:80;6):>3;314>h39;0;76g>1g83>!26;3;9<6`;1382?>o69l0;6):>3;314>h39;0976g>1e83>!26;3;9<6`;1380?>o69j0;6):>3;314>h39;0?76g>1c83>!26;3;9<6`;1386?>o69h0;6):>3;314>h39;0=76g>1883>!26;3;9<6`;1384?>o6:h0;6):>3;314>h39;0376g>2883>!26;3;9<6`;138:?>o6:10;6):>3;314>h39;0j76g>2683>!26;3;9<6`;138a?>o6:?0;6):>3;314>h39;0h76g>2483>!26;3;9<6`;138g?>o6:=0;6):>3;314>h39;0n76g>2283>!26;3;9<6`;138e?>o6:;0;6):>3;314>h39;0:<65f10:94?"39:0:>=5a400954=5<#<891==m4n531>4=5<#<891==m4n531>6=5<#<891==m4n531>0=5<#<891==m4n531>2=5<#<891==m4n531><=5<#<891==m4n531>g=5<#<891==m4n531>a=5<#<891==m4n531>c=4;h330?6=,=;86<>l;o626?7632e:?94?:%627?74;2d?=?4?;:m277<72->:?7?<3:l757<632e:?<4?:%627?74;2d?=?4=;:m275<72->:?7?<3:l757<432e:>k4?:%627?74;2d?=?4;;:m26`<72->:?7?<3:l757<232e:>i4?:%627?74;2d?=?49;:m26f<72->:?7?<3:l757<032e:?i4?:%627?74;2d?=?47;:m27f<72->:?7?<3:l757<>32e:?o4?:%627?74;2d?=?4n;:m27d<72->:?7?<3:l757:?7?<3:l757:?7?<3:l7570:9l57d=83.?=>4>329m044=9810e10e:18'045=9h30b9?=:028?l7e83:1(9?<:0c:?k26:3;:76g>ag83>!26;3;j56`;13826>=n9ho1<7*;1282e<=i<881=>54i0cg>5<#<891=l74n531>42<3`;jo7>5$530>4g>3g>:>7?:;:k2eg<72->:?7?n9:l757<6>21b=l:50;&756<6i01e8<<51698m4?>290/8<=51`;8j17528207b=n5;29 1742:k?7c:>2;28?j5f;3:1(9?<:2c7?k26:3;07b=n2;29 1742:k?7c:>2;08?j5f93:1(9?<:2c7?k26:3907b=n0;29 1742:k?7c:>2;68?j5>n3:1(9?<:2c7?k26:3?07b=6e;29 1742:k?7c:>2;48?j5>l3:1(9?<:2c7?k26:3=07b=6b;29 1742:k?7c:>2;:8?j5>i3:1(9?<:2c7?k26:3307b=69;29 1742:k?7c:>2;c8?j5>03:1(9?<:2c7?k26:3h07b=67;29 1742:k?7c:>2;a8?j5>>3:1(9?<:2c7?k26:3n07b=65;29 1742:k?7c:>2;g8?j5><3:1(9?<:2c7?k26:3l07b=63;29 1742:k?7c:>2;33?>i41;0;6):>3;1b0>h39;0:=65`38294?"39:08m95a400957=o;;o626?7332e84i4?:%627?5f<2d?=?4>5:9l7=e=83.?=>46m:18'045=;h>0b9?=:058?j5?i3:1(9?<:2c7?k26:3;376a<8883>!26;39j86`;1382=>=h;121<7*;1280e1=i<881=l54o2:4>5<#<891?l:4n531>4d<3f9397>5$530>6g33g>:>7?l;:m0<1<72->:?7=n4:l757<6l21d?5=50;&756<4i=1e8<<51d98k6>5290/8<=53`68j17528l07b=71;29 1742:k?7c:>2;03?>i4090;6):>3;1b0>h39;09=65`36d94?"39:08m95a400967=o;;o626?4332e8;n4?:%627?5f<2d?=?4=5:9l7dd=83.?=>4on:18'045=;h>0b9?=:358?j5f13:1(9?<:2c7?k26:38376a!26;39j86`;1381=>=h;h=1<7*;1280e1=i<881>l54o2c5>5<#<891?l:4n531>7d<3f92o7>5$530>6g33g>:>7:?7=n4:l757<5l21d?5850;&756<4i=1e8<<52d98k61e290/8<=53`68j1752;l07do;:18'045=i:1e8<<50:9je7<72->:?7o<;o626?7<3`k:6=4+4019e6=i<881>65fa183>!26;3k87c:>2;18?l?a290/8<=5a29m044=<21b5h4?:%627?g43g>:>7;4;h;g>5<#<891m>5a40092>=n1j0;6):>3;c0?k26:3=07d7m:18'045=i:1e8<<58:9j=d<72->:?7o<;o626??<3`336=4+4019e6=i<881m65f9683>!26;3k87c:>2;`8?l?1290/8<=5a29m044=k21b584?:%627?g43g>:>7j4;h;7>5<#<891m>5a4009a>=n1:0;6):>3;c0?k26:3l07d7=:18'045=i:1e8<<51198m<7=83.?=>4n3:l757<6921b5=4?:%627?g43g>:>7?=;:k;b?6=,=;86l=4n531>45<3`kh6=4+4019e6=i<881=954i``94?"39:0j?6`;13821>=nih0;6):>3;c0?k26:3;=76gn9;29 1742h90b9?=:058?lg?290/8<=5a29m044=9110el950;&7569:9je3<72->:?7o<;o626?7f32cj97>5$530>d55<#<891m>5a40095f=h39;0:h65`41`94?"39:0?=h<931<7*;12874d=i<881=65`41:94?"39:0?=h<9=1<7*;12874d=i<881?65`41494?"39:0?=h<9?1<7*;12874d=i<881965`41694?"39:0?=h<991<7*;12874d=i<881;65`41394?"39:0?=h<9:1<7*;12874d=i<881565`3gd94?"39:0?=h;oo1<7*;12874d=i<881n65`3gf94?"39:0?=h;oi1<7*;12874d=i<881h65`3g`94?"39:0?=h;ok1<7*;12874d=i<881j65`3g;94?"39:0?n;o626?7532e8j84?:%627?27i2d?=?4>3:9l7c2=83.?=>4;0`9m044=9=10c>h<:18'045=<9k0b9?=:078?j5a:3:1(9?<:52b?k26:3;=76a!26;3>;m6`;13823>=h;o:1<7*;12874d=i<881=554o2ge>5<#<8918=o4n531>4?<3f9ni7>5$530>16f3g>:>7?n;:m0aa<72->:?7:?a:l757<6j21d?hl50;&756<38h1e8<<51b98k6cf290/8<=541c8j17528n07b=j9;29 1742=:j7c:>2;3f?>i4m10;6):>3;63e>h39;0:j65`3d594?"39:0?n;o626?4532e8i94?:%627?27i2d?=?4=3:9l7`5=83.?=>4;0`9m044=:=10c>k=:18'045=<9k0b9?=:378?j2693:1(9?<:52b?k26:38=76a;1183>!26;3>;m6`;13813>=h<9l1<7*;12874d=i<881>554o52f>5<#<8918=o4n531>7?<3f>;h7>5$530>16f3g>:>7:?7:?a:l757<5j21d8=<50;&756<38h1e8<<52b98k6`0290/8<=541c8j1752;n07b=jc;29 1742=:j7c:>2;0f?>i4m80;6):>3;63e>h39;09j65fc583>!26;3i87c:>2;28?le5290/8<=5c29m044=921bo<4?:%627?e43g>:>7<4;ha3>5<#<891o>5a40097>=njo0;6):>3;a0?k26:3>07dlj:18'045=k:1e8<<55:9jfa<72->:?7m<;o626?0<3`hh6=4+4019g6=i<881;65fbc83>!26;3i87c:>2;:8?ldf290/8<=5c29m044=121bn54?:%627?e43g>:>7o4;h`4>5<#<891o>5a4009f>=nj?0;6):>3;a0?k26:3i07dl::18'045=k:1e8<<5d:9jf1<72->:?7m<;o626?c<3`h86=4+4019g6=i<881j65fb383>!26;3i87c:>2;33?>oe93:1(9?<:b18j17528;07dl?:18'045=k:1e8<<51398md`=83.?=>4l3:l757<6;21bon4?:%627?e43g>:>7?;;:k`f?6=,=;86n=4n531>43<3`ij6=4+4019g6=i<881=;54ib;94?"39:0h?6`;13823>=nk10;6):>3;a0?k26:3;376gl7;29 1742j90b9?=:0;8?le1290/8<=5c29m044=9h10en;50;&756b:9jf<<72->:?7m<;o626?7d32cji7>5$530>f55$530>41>3g>:>7?4;h343?6=,=;86<96;o626?4<3th?::4?:0c2>5<7s->9i7<77:J77a=O<:;0V<=i:02x0a<003=<65=57b87e?732><1;?4>1;6:>26=990?979::5:9y!50?3;ji:5aae81?k`f291e==>51:l244<53-;;>7=9a:&246<5?o1e=<952:l27`<53-;?<7<8f:l233<63g;4=i:1<1<6`=a983?!4e;38246`=b582?k50>3;0(>ok:49m043=92.?>;4=b29'071=;hn0(9==:3:e?!24;38i96*;35802<=#<:?1?;j4o2cf>5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<h39;0;76aj1;29 1742l80b9?=:098k`6=83.?=>4j2:l757<532eoj7>5$530>`454oeg94?"39:0n>6`;1387?>icl3:1(9?<:d08j1752<10cim50;&7562=h39;0376ak9;29 1742l80b9?=:898ka1=83.?=>4j2:l7575$530>`46`;138`?>ic<3:1(9?<:d08j1752m10ci=50;&756c=h39;0:<65`d183>!26;3o97c:>2;32?>idn3:1(9?<:d08j17528807bmj:18'045=m;1e8<<51298k`d=83.?=>4j2:l757<6<21dil4?:%627?c53g>:>7?:;:mf=?6=,=;86h<4n531>40<3fo36=4+4019a7=i<881=:54od594?"39:0n>6`;1382<>=hm?0;6):>3;g1?k26:3;276aj5;29 1742l80b9?=:0c8?jc3290/8<=5e39m044=9k10ci650;&756c:9lga<72->:?7k=;o626?7c32c8;84?:%627?50<2d?=?4?;:k036<72->:?7=84:l757<632c8;?4?:%627?50<2d?=?4=;:k034<72->:?7=84:l757<432c8;=4?:%627?50<2d?=?4;;:k02c<72->:?7=84:l757<232c8:h4?:%627?50<2d?=?49;:k0`d<72->:?7=k9:l757<732c8h54?:%627?5c12d?=?4>;:k0`2<72->:?7=k9:l757<532c8h;4?:%627?5c12d?=?4<;:k0`0<72->:?7=k9:l757<332c8h94?:%627?5c12d?=?4:;:k0`6<72->:?7=k9:l757<132c8h?4?:%627?5c12d?=?48;:k0`5<72->:?7=k9:l757:?7=k9:l757:?7=k9:l757:?7=k9:l757:?7=k9:l757<6821b?n950;&756<4l01e8<<51098m6e2290/8<=53e;8j17528807d=l4;29 1742:n27c:>2;30?>o4k:0;6):>3;1g=>h39;0:865f3b094?"39:08h45a400950=j6;o626?7032c8nk4?:%627?5c12d?=?4>8:9j7gc=83.?=>4lk:18'045=;m30b9?=:0c8?l5ek3:1(9?<:2f:?k26:3;i76g!26;39o56`;1382g>=n;k31<7*;1280`<=i<881=i54i2`;>5<#<891?i74n531>4c<3`9i;7>5$530>6b>3g>:>7?i;:k0f3<72->:?7=k9:l757<5821b?o;50;&756<4l01e8<<52098m6d3290/8<=53e;8j1752;807d=m3;29 1742:n27c:>2;00?>o4j;0;6):>3;1g=>h39;09865f3c394?"39:08h45a400960=j6;o626?4032c8hh4?:%627?5c12d?=?4=8:9j7ab=83.?=>4jl:18'045=;m30b9?=:3c8?l5cj3:1(9?<:2f:?k26:38i76g!26;39o56`;1381g>=n;j<1<7*;1280`<=i<881>i54i2`a>5<#<891?i74n531>7c<3`9i<7>5$530>6b>3g>:>75=h39;0:76gic;29 1742oo0b9?=:398mcd=83.?=>4ie:l757<432c::n4?:%627?71j2d?=?4?;:k22d<72->:?7?9b:l757<632c::44?:%627?71j2d?=?4=;:k22=<72->:?7?9b:l757<432c:::4?:%627?71j2d?=?4;;:k223<72->:?7?9b:l757<232c::84?:%627?71j2d?=?49;:k221<72->:?7?9b:l757<032c::?4?:%627?71j2d?=?47;:k224<72->:?7?9b:l757<>32c::=4?:%627?71j2d?=?4n;:k21c<72->:?7?9b:l757:?7?9b:l757:?7?9b:l7570:9j50?=83.?=>4>6c9m044=9810e<;8:18'045=9?h0b9?=:008?l72>3:1(9?<:04a?k26:3;876g>5483>!26;3;=n6`;13820>=n9<>1<7*;12822g=i<881=854i070>5<#<891=;l4n531>40<3`;>>7>5$530>40e3g>:>7?8;:k214<72->:?7?9b:l757<6021b=8>50;&756<6>k1e8<<51898m42a290/8<=517`8j17528k07d?;e;29 174282;3a?>o63;35f>h39;0:o65f15`94?"39:0::o5a40095a=j6=4+401953d4>6c9m044=:810e<:9:18'045=9?h0b9?=:308?l73=3:1(9?<:04a?k26:38876g>4583>!26;3;=n6`;13810>=n9=91<7*;12822g=i<881>854i051>5<#<891=;l4n531>70<3`;<=7>5$530>40e3g>:>7<8;:k235<72->:?7?9b:l757<5021b=;h50;&756<6>k1e8<<52898m40b290/8<=517`8j1752;k07d?9d;29 174282;0a?>o6>:0;6):>3;35f>h39;09o65f14:94?"39:0::o5a40096a=o6=4+401953d:?7?8f:l757<632e:;i4?:%627?70n2d?=?4=;:m23f<72->:?7?8f:l757<432c9m:4?:%627?4f>2d?=?4?;:k1e0<72->:?72d?=?4=;:k1e6<72->:?72d?=?4;;:k264<72->:?7?=0:l757<732c:=k4?:%627?7582d?=?4>;:k25`<72->:?7?=0:l757<532c:=i4?:%627?7582d?=?4<;:k25f<72->:?7?=0:l757<332c:=o4?:%627?7582d?=?4:;:k25d<72->:?7?=0:l757<132c:=44?:%627?7582d?=?48;:k26d<72->:?7?=0:l75744?:%627?7582d?=?46;:k26=<72->:?7?=0:l757:4?:%627?7582d?=?4m;:k263<72->:?7?=0:l75784?:%627?7582d?=?4k;:k261<72->:?7?=0:l757>4?:%627?7582d?=?4i;:k267<72->:?7?=0:l757<6821b=<650;&756<6:91e8<<51098m46c290/8<=511a8j1752910e<>m:18'045=99i0b9?=:098m46f290/8<=511a8j1752;10e<>6:18'045=99i0b9?=:298m46?290/8<=511a8j1752=10e<>8:18'045=99i0b9?=:498m461290/8<=511a8j1752?10e<>::18'045=99i0b9?=:698m471290/8<=511a8j1752110e:18'045=99i0b9?=:e98m477290/8<=511a8j1752l10e<>i:18'045=99i0b9?=:g98m46b290/8<=511a8j17528:07d??4;29 17428:h7c:>2;32?>i6;=0;6):>3;307>h39;0;76a>3383>!26;3;8?6`;1382?>i6;80;6):>3;307>h39;0976a>3183>!26;3;8?6`;1380?>i6:o0;6):>3;307>h39;0?76a>2d83>!26;3;8?6`;1386?>i6:m0;6):>3;307>h39;0=76a>2b83>!26;3;8?6`;1384?>i6;m0;6):>3;307>h39;0376a>3b83>!26;3;8?6`;138:?>i6;k0;6):>3;307>h39;0j76a>3`83>!26;3;8?6`;138a?>i6;00;6):>3;307>h39;0h76a>3983>!26;3;8?6`;138g?>i6;>0;6):>3;307>h39;0n76a>3783>!26;3;8?6`;138e?>i6;<0;6):>3;307>h39;0:<65`13`94?"39:0:?>5a400954=5<#<891=l74n531>4=5<#<891=l74n531>6=6=4+40195d?5<#<891=l74n531>0=5<#<891=l74n531>2=5<#<891=l74n531><=5<#<891=l74n531>g=5<#<891=l74n531>a=5<#<891=l74n531>c=4;h3a4?6=,=;862:9j5dc=83.?=>4>a89m044=9:10e76g>ac83>!26;3;j56`;13822>=n9h>1<7*;1282e<=i<881=:54i0;:>5<#<891=l74n531>4><3f9j97>5$530>6g33g>:>7>4;n1b7?6=,=;86>o;;o626?7<3f9j>7>5$530>6g33g>:>7<4;n1b5?6=,=;86>o;;o626?5<3f9j<7>5$530>6g33g>:>7:4;n1:b?6=,=;86>o;;o626?3<3f92i7>5$530>6g33g>:>784;n1:`?6=,=;86>o;;o626?1<3f92n7>5$530>6g33g>:>764;n1:e?6=,=;86>o;;o626??<3f9257>5$530>6g33g>:>7o4;n1:o;;o626?d<3f92;7>5$530>6g33g>:>7m4;n1:2?6=,=;86>o;;o626?b<3f9297>5$530>6g33g>:>7k4;n1:0?6=,=;86>o;;o626?`<3f92?7>5$530>6g33g>:>7??;:m0=7<72->:?7=n4:l757<6921d?4>50;&756<4i=1e8<<51398k6>a290/8<=53`68j17528907b=7e;29 1742:k?7c:>2;37?>i40m0;6):>3;1b0>h39;0:965`39a94?"39:08m95a400953=o;;o626?7?32e8444?:%627?5f<2d?=?4>9:9l7=>=83.?=>468:18'045=;h>0b9?=:0`8?j5?=3:1(9?<:2c7?k26:3;h76a<8583>!26;39j86`;1382`>=h;191<7*;1280e1=i<881=h54o2:1>5<#<891?l:4n531>4`<3f93=7>5$530>6g33g>:>7:?7=n4:l757<5921d?:h50;&756<4i=1e8<<52398k61b290/8<=53`68j1752;907b=8d;29 1742:k?7c:>2;07?>i4?j0;6):>3;1b0>h39;09965`3``94?"39:08m95a400963=o;;o626?4?32e8m54?:%627?5f<2d?=?4=9:9l7d1=83.?=>4o9:18'045=;h>0b9?=:3`8?j5>k3:1(9?<:2c7?k26:38h76a<9083>!26;39j86`;1381`>=h;1<1<7*;1280e1=i<881>h54o25a>5<#<891?l:4n531>7`<3`k?6=4+4019e6=i<881<65fa383>!26;3k87c:>2;38?lg6290/8<=5a29m044=:21bm=4?:%627?g43g>:>7=4;h;e>5<#<891m>5a40090>=n1l0;6):>3;c0?k26:3?07d7k:18'045=i:1e8<<56:9j=f<72->:?7o<;o626?1<3`3i6=4+4019e6=i<881465f9`83>!26;3k87c:>2;;8?l??290/8<=5a29m044=i21b5:4?:%627?g43g>:>7l4;h;5>5<#<891m>5a4009g>=n1<0;6):>3;c0?k26:3n07d7;:18'045=i:1e8<<5e:9j=6<72->:?7o<;o626?`<3`396=4+4019e6=i<881==54i8394?"39:0j?6`;13825>=n190;6):>3;c0?k26:3;976g7f;29 1742h90b9?=:018?lgd290/8<=5a29m044=9=10ell50;&7565:9jed<72->:?7o<;o626?7132cj57>5$530>d55<#<891m>5a40095==h39;0:565fa783>!26;3k87c:>2;3b?>of=3:1(9?<:`18j17528h07d76:18'045=i:1e8<<51b98m=c=83.?=>4n3:l757<6l21d8=l50;&756<38h1e8<<50:9l05?=83.?=>4;0`9m044=921d8=650;&756<38h1e8<<52:9l051=83.?=>4;0`9m044=;21d8=850;&756<38h1e8<<54:9l053=83.?=>4;0`9m044==21d8=:50;&756<38h1e8<<56:9l055=83.?=>4;0`9m044=?21d8=?50;&756<38h1e8<<58:9l056=83.?=>4;0`9m044=121d?kh50;&756<38h1e8<<5a:9l7cc=83.?=>4;0`9m044=j21d?kj50;&756<38h1e8<<5c:9l7ce=83.?=>4;0`9m044=l21d?kl50;&756<38h1e8<<5e:9l7cg=83.?=>4;0`9m044=n21d?k750;&756<38h1e8<<51198k6`?290/8<=541c8j17528;07b=i6;29 1742=:j7c:>2;31?>i4n<0;6):>3;63e>h39;0:?65`3g694?"39:0?n;o626?7132e8j<4?:%627?27i2d?=?4>7:9l7c6=83.?=>4;0`9m044=9110c>ki:18'045=<9k0b9?=:0;8?j5bm3:1(9?<:52b?k26:3;j76a!26;3>;m6`;1382f>=h;lh1<7*;12874d=i<881=n54o2gb>5<#<8918=o4n531>4b<3f9n57>5$530>16f3g>:>7?j;:m0a=<72->:?7:?a:l757<6n21d?h950;&756<38h1e8<<52198k6c1290/8<=541c8j1752;;07b=j5;29 1742=:j7c:>2;01?>i4m=0;6):>3;63e>h39;09?65`3d194?"39:0?n;o626?4132e?==4?:%627?27i2d?=?4=7:9l05`=83.?=>4;0`9m044=:110c9>j:18'045=<9k0b9?=:3;8?j27l3:1(9?<:52b?k26:38j76a;0b83>!26;3>;m6`;1381f>=h<981<7*;12874d=i<881>n54o2d4>5<#<8918=o4n531>7b<3f9no7>5$530>16f3g>:>7:?7:?a:l757<5n21bo94?:%627?e43g>:>7>4;ha1>5<#<891o>5a40095>=nk80;6):>3;a0?k26:3807dm?:18'045=k:1e8<<53:9jfc<72->:?7m<;o626?2<3`hn6=4+4019g6=i<881965fbe83>!26;3i87c:>2;48?ldd290/8<=5c29m044=?21bno4?:%627?e43g>:>764;h`b>5<#<891o>5a4009=>=nj10;6):>3;a0?k26:3k07dl8:18'045=k:1e8<<5b:9jf3<72->:?7m<;o626?e<3`h>6=4+4019g6=i<881h65fb583>!26;3i87c:>2;g8?ld4290/8<=5c29m044=n21bn?4?:%627?e43g>:>7??;:ka5?6=,=;86n=4n531>47<3`h;6=4+4019g6=i<881=?54i`d94?"39:0h?6`;13827>=nkj0;6):>3;a0?k26:3;?76glb;29 1742j90b9?=:078?lef290/8<=5c29m044=9?10en750;&7567:9jg=<72->:?7m<;o626?7?32ch;7>5$530>f55<#<891o>5a40095d=h39;0:n65fb883>!26;3i87c:>2;3`?>ofm3:1(9?<:b18j17528n07d?8a;29 17428=27c:>2;28?l7003:1(9?<:05:?k26:3;07d?87;29 17428=27c:>2;08?xd3>10;684?:1y'07c=:k30D9=k;I605>"4?>0:mh94i0;2>5<5<5<=57>55;294~"3:l09585G42f8L1563-9<;7?ne69'6d7=k2c:8<4?::k2<4<722c:5:4?::k761<722e?=;4?::a03g=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qo:9b;291?6=8r.?>h4=959K06b<@=9:7)=87;3ba2=#:h;1>6g>4083>>o6080;66g>9683>>o3910;66a;1783>>{e6g>4083>>o6080;66g>9683>>i39?0;66sm47f94?3=83:p(95<5<5<=i7>55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::a03`=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qo:80;291?6=8r.?>h4=959K06b<@=9:7)=87;3ba2=#:h;1>6g>4083>>o6080;66g>9683>>o3910;66a;1783>>{e<>;1<7;50;2x 14b2;3?7E:=1=lk8;%0b5?4:6=44i0:2>5<5<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722wi8:=50;794?6|,=8n6?7:;I60`>N3;81b=9?50;9j5=7=831b=4950;9j072=831d8<850;9~f113290>6=4?{%61a?4><2B??i5G4238m4262900e<6>:188m4?02900e9?7:188k1712900qo:85;291?6=8r.?>h4=959K06b<@=9:7):188m4?02900e9?7:188k1712900qo:86;291?6=8r.?>h4=959K06b<@=9:7d?;1;29?l7?93:17d?67;29?l2603:17b:>6;29?xd3?>0;684?:1y'07c=:0>0D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?l2603:17b:>6;29?xd3?10;684?:1y'07c=:0>0D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?l2603:17b:>6;29?xd3?00;684?:1y'07c=:0>0D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?l2603:17b:>6;29?xd3?h0;684?:1y'07c=:0>0D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?l2603:17b:>6;29?xd3?k0;684?:1y'07c=:0>0D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?l2603:17b:>6;29?xd3?j0;694?:1y'07c=:090D9=k;I605>"5i80h7d?;1;29?l7>?3:17d:>8;29?j26>3:17pl;7e83>;02nvF;309'07c=9hn>7W?h6:=5758~mc5=83.?=>4i2:l757<732cm=7>5$530>c46`;1381?>o5980;6):>3;024>h39;0;76g=0g83>!26;38:<6`;1382?>o58l0;6):>3;024>h39;0976g=0e83>!26;38:<6`;1380?>o58j0;6):>3;024>h39;0?76g=0c83>!26;38:<6`;1386?>o58h0;6):>3;024>h39;0=76g=0883>!26;38:<6`;1384?>o58>0;6):>3;024>h39;0376g=0783>!26;38:<6`;138:?>o58<0;6):>3;024>h39;0j76g=0583>!26;38:<6`;138a?>o58:0;6):>3;024>h39;0h76g=0383>!26;38:<6`;138g?>o5880;6):>3;024>h39;0n76g=0183>!26;38:<6`;138e?>o6no0;6):>3;024>h39;0:<65f1gg94?"39:09==5a400954=4:9j5c?=83.?=>4=119m044=9<10ef783>!26;38:<6`;1382<>=n9o?1<7*;128155=i<881=454i0d7>5<#<891><>4n531>4g<3`;m?7>5$530>7773g>:>7?m;:k2b4<72->:?7<>0:l757<6k21b=k>50;&756<5991e8<<51e98m4ca290/8<=52028j17528o07d?jd;29 1742;;;7c:>2;3e?>o6mj0;6):>3;024>h39;09<65f1d`94?"39:09==5a400964=4=119m044=:<10e??8:18'045=:8:0b9?=:348?l46>3:1(9?<:333?k26:38<76g=1483>!26;38:<6`;1381<>=n:8>1<7*;128155=i<881>454i330>5<#<891><>4n531>7g<3`8:>7>5$530>7773g>:>7:?7<>0:l757<5k21b=kj50;&756<5991e8<<52e98m4`5290/8<=52028j1752;o07d?j6;29 1742;;;7c:>2;0e?>oa13:1(9?<:g:8j1752910ek950;&756;:ke1?6=,=;86k64n531>7=5<6=44i0;1>5<86=4+40196145<#<891>9<4n531>4=;6=4+40196145<#<891>9<4n531>6=5<#<891>9<4n531>0=5<#<891>9<4n531>2=5<#<891>9<4n531><=5<#<891>9<4n531>g=6=4+40196145<#<891>9<4n531>a=5<#<891>9<4n531>c=4;n004?6=,=;86?:=;o626?7632e9>h4?:%627?43:2d?=?4>2:9l67b=83.?=>4=439m044=9:10c?76a=2`83>!26;38?>6`;13822>=h:;31<7*;128107=i<881=:54o30;>5<#<891>9<4n531>4><3f89;7>5$530>7253g>:>7?6;:m163<72->:?7<;2:l757<6i21d>?;50;&756<5<;1e8<<51c98k744290/8<=52508j17528i07b<=2;29 1742;>97c:>2;3g?>i5:80;6):>3;076>h39;0:i65`20d94?"39:098?5a40095c=4;n02`?6=,=;86?:=;o626?4632e9=n4?:%627?43:2d?=?4=2:9l64d=83.?=>4=439m044=::10c??n:18'045=:=80b9?=:368?j4613:1(9?<:361?k26:38>76a=4883>!26;38?>6`;13812>=h:=21<7*;128107=i<881>:54o364>5<#<891>9<4n531>7><3f8?:7>5$530>7253g>:>7<6;:m100<72->:?7<;2:l757<5i21d>9:50;&756<5<;1e8<<52c98k75f290/8<=52508j1752;i07b<=f;29 1742;>97c:>2;0g?>i5:=0;6):>3;076>h39;09i65`20:94?"39:098?5a40096c=6=4+40196225<#<891>::4n531>4=5<#<891>::4n531>6=5<#<891>::4n531>0=5<#<891>::4n531>2=5<#<891>::4n531><=5<#<891>::4n531>g=5<#<891>::4n531>a=6=4+40196225<#<891>::4n531>c=4;n056?6=,=;86?9;;o626?7632e9:=4?:%627?40<2d?=?4>2:9l60`=83.?=>4=759m044=9:10c?;j:18'045=:>>0b9?=:068?j42l3:1(9?<:357?k26:3;>76a=5b83>!26;38<86`;13822>=h:5<#<891>::4n531>4><3f8>57>5$530>7133g>:>7?6;:m11=<72->:?7<84:l757<6i21d>8950;&756<5?=1e8<<51c98k732290/8<=52668j17528i07b<:4;29 1742;=?7c:>2;3g?>i5=:0;6):>3;040>h39;0:i65`24394?"39:09;95a40095c=4;n07b?6=,=;86?9;;o626?4632e98h4?:%627?40<2d?=?4=2:9l61b=83.?=>4=759m044=::10c?:l:18'045=:>>0b9?=:368?j43j3:1(9?<:357?k26:38>76a=7c83>!26;38<86`;13812>=h:>k1<7*;128131=i<881>:54o35:>5<#<891>::4n531>7><3f8<47>5$530>7133g>:>7<6;:m132<72->:?7<84:l757<5i21d>:850;&756<5?=1e8<<52c98k70d290/8<=52668j1752;i07b<91;29 1742;=?7c:>2;0g?>i5=?0;6):>3;040>h39;09i65`25c94?"39:09;95a40096c=:183!25m382m6F;3e9K067;%0b5?4:6=44i0:2>5<5<3<7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<3>7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<387>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<3:7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<347>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<3m7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<3o7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<3i7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<2<7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<2>7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<287>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<2:7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<247>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<2m7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<2o7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<2i7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<j<7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<j>7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<j87>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<j:7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<j47>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<jm7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<jo7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<ji7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?2>o?j3:17d6l:188m=b=831b=4950;9j6=7=831b>5<50;9j6=5=831b>5:50;9j6=3=831b8>650;9j06?=831d8?l50;9l6=6=831vn9l?:18e>5<7s->9i7:>9:J77a=O<:;0(?o>:59j<<<722c3m7>5;h:a>5<>o61>0;66g=8083>>o50;0;66g=8283>>o50=0;66g=8483>>o3;10;66g;3883>>i3:k0;66a=8183>>{ef2900e5l50;9j5;h3:3?6=3`83=7>5;h0;6?6=3`83?7>5;h0;0?6=3`8397>5;h60857>5;n61f?6=3f83<7>5;|`7f7<72o0;6=u+43g904?<@=9o7E:<1:&1e4<33`226=44i9c94?=n0k0;66g7c;29?l>c2900e<78:188m7>62900e?6=:188m7>42900e?6;:188m7>22900e9=7:188m15>2900c972900qo:m3;29b?6=8r.?>h4;189K06b<@=9:7)1<75f29794?=n<:21<75f42;94?=h<;h1<75`29294?=zj=h?6=4i:183!25m3>:56F;3e9K067<,;k:695f8883>>o?i3:17d6m:188m=e=831b4i4?::k2=2<722c94<4?::k1<7<722c94>4?::k1<1<722c9484?::k77=<722c??44?::m76g<722e94=4?::a0g3=83l1<7>t$50f>17>3A>8h6F;309'6d7=<2c357>5;h:b>5<>o?l3:17d?67;29?l4?93:17d<72;29?l4?;3:17d<74;29?l4?=3:17d:<8;29?l2413:17b:=b;29?j4?83:17pl;b783>c<729q/8?k540;8L15c3A>8=6*=a087?l>>2900e5o50;9j5;h:g>5<5<5<5<5<5<i;7>5f;294~"3:l0?=45G42f8L1563-8j=7:4i9;94?=n0h0;66g7b;29?l>d2900e5j50;9j5<1=831b>5?50;9j6=4=831b>5=50;9j6=2=831b>5;50;9j06>=831b8>750;9l07d=831d>5>50;9~f1d?290m6=4?{%61a?2612B??i5G4238 7g62=1b444?::k;e?6=3`2i6=44i9a94?=n0m0;66g>9683>>o5080;66g=8383>>o50:0;66g=8583>>o50<0;66g;3983>>o3;00;66a;2c83>>i5090;66sm4c;94?`=83:p(9o?13:17d6n:188m=d=831b4n4?::k;`?6=3`;2;7>5;h0;5?6=3`83>7>5;h0;7?6=3`8387>5;h0;1?6=3`>847>5;h60=?6=3f>9n7>5;n0;4?6=3th?nl4?:g83>5}#<;o18<74H51g?M2492.9m<4;;h::>5<>o?k3:17d6k:188m4?02900e?6>:188m7>52900e?6<:188m7>32900e?6::188m15?2900e9=6:188k14e2900c?6?:188yg2ej3:1j7>50z&76`<3901C8>j4H512?!4f93>0e5750;9j5;h:`>5<5N3;m1C8>?4$3c2>1=n000;66g7a;29?l>e2900e5m50;9jo4?::m1<5<722wi8oj50;d94?6|,=8n69?6;I60`>N3;81/>l?54:k;=?6=3`2j6=44i9`94?=n0j0;66g7d;29?l7>?3:17d<71;29?l4?:3:17d<73;29?l4?<3:17d<75;29?l2403:17d:<9;29?j25j3:17b<70;29?xd3jl0;6k4?:1y'07c=<830D9=k;I605>"5i80?7d66:188m=g=831b4o4?::k;g?6=3`2o6=44i0;4>5<5<5<6=44i51;>5<5<;%0b5?2>o?j3:17d6l:188m=b=831b=4950;9j6=7=831b>5<50;9j6=5=831b>5:50;9j6=3=831b8>650;9j06?=831d8?l50;9l6=6=831vn9m?:18e>5<7s->9i7:>9:J77a=O<:;0(?o>:59j<<<722c3m7>5;h:a>5<>o61>0;66g=8083>>o50;0;66g=8283>>o50=0;66g=8483>>o3;10;66g;3883>>i3:k0;66a=8183>>{ef2900e5l50;9j5;h3:3?6=3`83=7>5;h0;6?6=3`83?7>5;h0;0?6=3`8397>5;h60857>5;n61f?6=3f83<7>5;|`7g7<72o0;6=u+43g904?<@=9o7E:<1:&1e4<33`226=44i9c94?=n0k0;66g7c;29?l>c2900e<78:188m7>62900e?6=:188m7>42900e?6;:188m7>22900e9=7:188m15>2900c972900qo:l3;29b?6=8r.?>h4;189K06b<@=9:7)1<75f29794?=n<:21<75f42;94?=h<;h1<75`29294?=zj=i?6=4i:183!25m3>:56F;3e9K067<,;k:695f8883>>o?i3:17d6m:188m=e=831b4i4?::k2=2<722c94<4?::k1<7<722c94>4?::k1<1<722c9484?::k77=<722c??44?::m76g<722e94=4?::a0f3=83l1<7>t$50f>17>3A>8h6F;309'6d7=<2c357>5;h:b>5<>o?l3:17d?67;29?l4?93:17d<72;29?l4?;3:17d<74;29?l4?=3:17d:<8;29?l2413:17b:=b;29?j4?83:17pl;c783>c<729q/8?k540;8L15c3A>8=6*=a087?l>>2900e5o50;9j5;h:g>5<5<5<5<5<5<h;7>5f;294~"3:l0?=45G42f8L1563-8j=7:4i9;94?=n0h0;66g7b;29?l>d2900e5j50;9j5<1=831b>5?50;9j6=4=831b>5=50;9j6=2=831b>5;50;9j06>=831b8>750;9l07d=831d>5>50;9~f1e?290m6=4?{%61a?2612B??i5G4238 7g62=1b444?::k;e?6=3`2i6=44i9a94?=n0m0;66g>9683>>o5080;66g=8383>>o50:0;66g=8583>>o50<0;66g;3983>>o3;00;66a;2c83>>i5090;66sm4b;94?`=83:p(9o?13:17d6n:188m=d=831b4n4?::k;`?6=3`;2;7>5;h0;5?6=3`83>7>5;h0;7?6=3`8387>5;h0;1?6=3`>847>5;h60=?6=3f>9n7>5;n0;4?6=3th?ol4?:g83>5}#<;o18<74H51g?M2492.9m<4;;h::>5<>o?k3:17d6k:188m4?02900e?6>:188m7>52900e?6<:188m7>32900e?6::188m15?2900e9=6:188k14e2900c?6?:188yg2dj3:1j7>50z&76`<3901C8>j4H512?!4f93>0e5750;9j5;h:`>5<5N3;m1C8>?4$3c2>1=n000;66g7a;29?l>e2900e5m50;9jo4?::m1<5<722wi8nj50;d94?6|,=8n69?6;I60`>N3;81/>l?54:k;=?6=3`2j6=44i9`94?=n0j0;66g7d;29?l7>?3:17d<71;29?l4?:3:17d<73;29?l4?<3:17d<75;29?l2403:17d:<9;29?j25j3:17b<70;29?xd3kl0;6k4?:1y'07c=<830D9=k;I605>"5i80?7d66:188m=g=831b4o4?::k;g?6=3`2o6=44i0;4>5<5<5<6=44i51;>5<5<;%0b5?2>o?j3:17d6l:188m=b=831b=4950;9j6=7=831b>5<50;9j6=5=831b>5:50;9j6=3=831b8>650;9j06?=831d8?l50;9l6=6=831vn9j?:18e>5<7s->9i7:>9:J77a=O<:;0(?o>:59j<<<722c3m7>5;h:a>5<>o61>0;66g=8083>>o50;0;66g=8283>>o50=0;66g=8483>>o3;10;66g;3883>>i3:k0;66a=8183>>{ef2900e5l50;9j5;h3:3?6=3`83=7>5;h0;6?6=3`83?7>5;h0;0?6=3`8397>5;h60857>5;n61f?6=3f83<7>5;|`7`7<72o0;6=u+43g904?<@=9o7E:<1:&1e4<33`226=44i9c94?=n0k0;66g7c;29?l>c2900e<78:188m7>62900e?6=:188m7>42900e?6;:188m7>22900e9=7:188m15>2900c972900qo:k3;29b?6=8r.?>h4;189K06b<@=9:7)1<75f29794?=n<:21<75f42;94?=h<;h1<75`29294?=zj=n?6=4i:183!25m3>:56F;3e9K067<,;k:695f8883>>o?i3:17d6m:188m=e=831b4i4?::k2=2<722c94<4?::k1<7<722c94>4?::k1<1<722c9484?::k77=<722c??44?::m76g<722e94=4?::a0a3=83l1<7>t$50f>17>3A>8h6F;309'6d7=<2c357>5;h:b>5<>o?l3:17d?67;29?l4?93:17d<72;29?l4?;3:17d<74;29?l4?=3:17d:<8;29?l2413:17b:=b;29?j4?83:17pl;d783>c<729q/8?k540;8L15c3A>8=6*=a087?l>>2900e5o50;9j5;h:g>5<5<5<5<5<5<o;7>5f;294~"3:l0?=45G42f8L1563-8j=7:4i9;94?=n0h0;66g7b;29?l>d2900e5j50;9j5<1=831b>5?50;9j6=4=831b>5=50;9j6=2=831b>5;50;9j06>=831b8>750;9l07d=831d>5>50;9~f1b?290m6=4?{%61a?2612B??i5G4238 7g62=1b444?::k;e?6=3`2i6=44i9a94?=n0m0;66g>9683>>o5080;66g=8383>>o50:0;66g=8583>>o50<0;66g;3983>>o3;00;66a;2c83>>i5090;66sm4e;94?`=83:p(9o?13:17d6n:188m=d=831b4n4?::k;`?6=3`;2;7>5;h0;5?6=3`83>7>5;h0;7?6=3`8387>5;h0;1?6=3`>847>5;h60=?6=3f>9n7>5;n0;4?6=3th?hl4?:g83>5}#<;o18<74H51g?M2492.9m<4;;h::>5<>o?k3:17d6k:188m4?02900e?6>:188m7>52900e?6<:188m7>32900e?6::188m15?2900e9=6:188k14e2900c?6?:188yg2cj3:1j7>50z&76`<3901C8>j4H512?!4f93>0e5750;9j5;h:`>5<5N3;m1C8>?4$3c2>1=n000;66g7a;29?l>e2900e5m50;9jo4?::m1<5<722wi8ij50;d94?6|,=8n69?6;I60`>N3;81/>l?54:k;=?6=3`2j6=44i9`94?=n0j0;66g7d;29?l7>?3:17d<71;29?l4?:3:17d<73;29?l4?<3:17d<75;29?l2403:17d:<9;29?j25j3:17b<70;29?xd3ll0;6k4?:1y'07c=<830D9=k;I605>"5i80?7d66:188m=g=831b4o4?::k;g?6=3`2o6=44i0;4>5<5<5<6=44i51;>5<5<;%0b5?>13`82o7>5;h0:`?6=3f9=n7>5;|q122?=839:wSh<;<6bb?>e34>i<76m;<6a5?>e34>i>76m;<6a7?>e34>i876m;<6a1?>e34>i:76m;<6a3?>e34>i476m;<6a=?>e34>im76m;<6af?>e34>io76m;<6a`?>e34>ii76m;<6ab?>e34>h<76m;<6`5?>e34>h>76m;<6`7?>e34>h876m;<6`1?>e34>h:76m;<6`3?>e34>h476m;<6`=?>e34>hm76m;<6`f?>e34>ho76m;<6``?>e34>hi76m;<6`b?>e34>o<76m;<6g5?>e34>o>76m;<6g7?>e34>o876m;<6g1?>e34>o:76m;<6g3?>e34>o476m;<6g=?>e34>om76m;<6gf?>e34>oo76m;<6g`?>e34>oi76m;|q122g=839:wSh>;<6bb?>f34>i<76n;<6a5?>f34>i>76n;<6a7?>f34>i876n;<6a1?>f34>i:76n;<6a3?>f34>i476n;<6a=?>f34>im76n;<6af?>f34>io76n;<6a`?>f34>ii76n;<6ab?>f34>h<76n;<6`5?>f34>h>76n;<6`7?>f34>h876n;<6`1?>f34>h:76n;<6`3?>f34>h476n;<6`=?>f34>hm76n;<6`f?>f34>ho76n;<6``?>f34>hi76n;<6`b?>f34>o<76n;<6g5?>f34>o>76n;<6g7?>f34>o876n;<6g1?>f34>o:76n;<6g3?>f34>o476n;<6g=?>f34>om76n;<6gf?>f34>oo76n;<6g`?>f34>oi76n;|q122d=839:wSki;<6bb?>>34>i<766;<6a5?>>34>i>766;<6a7?>>34>i8766;<6a1?>>34>i:766;<6a3?>>34>i4766;<6a=?>>34>im766;<6af?>>34>io766;<6a`?>>34>ii766;<6ab?>>34>h<766;<6`5?>>34>h>766;<6`7?>>34>h8766;<6`1?>>34>h:766;<6`3?>>34>h4766;<6`=?>>34>hm766;<6`f?>>34>ho766;<6``?>>34>hi766;<6`b?>>34>o<766;<6g5?>>34>o>766;<6g7?>>34>o8766;<6g1?>>34>o:766;<6g3?>>34>o4766;<6g=?>>34>om766;<6gf?>>34>oo766;<6g`?>>34>oi766;|q122e=838pR??>;<6gg?7>?2wx>;9k:181[47n27?hh4>969~w700m3:1>vP=0d9>0ab=90=0q~<97g83>7}Y:9n019j6:0;4?xu5>1:1<75<5sW8;n63;d`82=2=z{;<3>7>52z\14d=:=74=5f;>4?03ty9:5:50;0xZ76034>o;7?67:p63>22909wS1v?876;296~X58<168i;51858yv410>0;6?uQ216891b3283<7p}=69:94?4|V;:870:k0;3:3>{t:?226=4={_036>;3l;0:5:5rs34;e?6=:rT9<<524e395<1?2wx>;6k:181[7am27?oh4>969~w70?m3:1>vP>fb9>0fg=90=0q~<98g83>7}Y9oh019ml:0;4?xu5>0:1<75<5sW;m563;c682=2=z{;<2>7>52z\2b==:4?03ty9:4:50;0xZ4`134>h87?67:p63?22909wS?i5:?7g3<61>1v?866;296~X6n=168n;51858yv411>0;6?uQ1g1891e6283<7p}=68:94?4|V8l:70:l3;3:3>{t:?326=4={_3e4>;3k;0:5:5rs34:e?6=:rT:ik524cg95<1?2wx>;7k:181[7bj27?no4>969~w70>m3:1>vP>e`9>0gb=90=0q~<99g83>7}Y9l3019ll:0;4?xu5>h:1<75<5sW;n;63;b`82=2=z{;7>52z\152=:<84=5`6>4?03ty9:l:50;0xZ77234>i;7?67:p63g22909wS<>4:?7f3<61>1v?8n6;296~X59:168o<51858yv41i>0;6?uQ200891d3283<7p}=6`:94?4|V;:370:m3;3:3>{t:?k26=4={_3e`>;3io0:5:5rs34be?6=:rT:j?524c395<10g7=:19019l=:3:0?82e;383?63;b581<6=:5=4=5`5>7>434>i;7<73:?7f=<50:168o75291891df2;2870:mb;0;7>;3jj094>524cf96=5<5=hn6?6<;<6ab?4?;27?o=4=829>0f7=:19019m=:3:0?82d;383?63;c581<6=:5=4=5a5>7>434>h;7<73:?7g=<50:168n75291891ef2;2870:lb;0;7>;3kj094>524bf96=5<5=in6?6<;<6`b?4?;27?h=4=829>0a7=:19019j=:3:0?82c;383?63;d581<6=:5=4=5f5>7>434>o;7<73:?7`=<50:168i75291891bf2;2870:kb;0;7>;3lj094>524ef96=5<5=nn6?6<;|q12db=839:wSh8;<6bb?4?:27?n=4=839>0g7=:18019l=:3:1?82e;383>63;b581<7=:5<4=5`5>7>534>i;7<72:?7f=<50;168o75290891df2;2970:mb;0;6>;3jj094?524cf96=4<5=hn6?6=;<6ab?4?:27?o=4=839>0f7=:18019m=:3:1?82d;383>63;c581<7=:5<4=5a5>7>534>h;7<72:?7g=<50;168n75290891ef2;2970:lb;0;6>;3kj094?524bf96=4<5=in6?6=;<6`b?4?:27?h=4=839>0a7=:18019j=:3:1?82c;383>63;d581<7=:5<4=5f5>7>534>o;7<72:?7`=<50;168i75290891bf2;2970:kb;0;6>;3lj094?524ef96=4<5=nn6?6=;|q12dc=839:wSh:;<6bb?4?927?n=4=809>0g7=:1;019l=:3:2?82e;383=63;b581<4=:5?4=5`5>7>634>i;7<71:?7f=<508168o75293891df2;2:70:mb;0;5>;3jj094<524cf96=7<5=hn6?6>;<6ab?4?927?o=4=809>0f7=:1;019m=:3:2?82d;383=63;c581<4=:5?4=5a5>7>634>h;7<71:?7g=<508168n75293891ef2;2:70:lb;0;5>;3kj094<524bf96=7<5=in6?6>;<6`b?4?927?h=4=809>0a7=:1;019j=:3:2?82c;383=63;d581<4=:5?4=5f5>7>634>o;7<71:?7`=<508168i75293891bf2;2:70:kb;0;5>;3lj094<524ef96=7<5=nn6?6>;|q12d`=838pR9=n;<6gb?4>k2wx>;l?:1806~X608168:h5193891>7282:70:71;3;5>;30;0:4<5249195=7<5=2?6<6>;<6;1?7?927?4;4>809>0=1=91;01967:0:2?82?13;3=63;8`82<4=:<1h1=5?4=5:`>4>634>3h7?71:?7<`<6081685h5193891?7282:70:61;3;5>;31;0:4<5248195=7<5=3?6<6>;<6:1?7?927?5;4>809>0<1=91;01977:0:2?82>13;3=63;9`82<4=:<0h1=5?4=5;`>4>634>2h7?71:?7=`<6081684h5193891g7282:70:n1;3;5>;3i;0:4<524`195=7<5=k?6<6>;<6b1?7?927?m;4>809>0d1=91;019o7:0:2?82f13;3=63;a`82<4=:4>634>jh7?71:?7e`<608168ih528f8yv41j80;6;?t^0;1?820n3;?=63;818204=:<1;1=9?4=5:1>42634>3?7?;1:?7<1<6<81685;5153891>128>:70:77;375>;3010:8<5249;9517<5=2j6<:>;<6;f?73927?4n4>409>0=b=9=;0196j:062?82?n3;?=63;918204=:<0;1=9?4=5;1>42634>2?7?;1:?7=1<6<81684;5153891?128>:70:67;375>;3110:8<5248;9517<5=3j6<:>;<6:f?73927?5n4>409>0n3;?=63;a18204=:42634>j?7?;1:?7e1<6<8168l;5153891g128>:70:n7;375>;3i10:8<524`;9517<5=kj6<:>;<6bf?73927?mn4>409>0db=9=;019oj:062?82fn3>8463;b1877==:64=5`1>15?34>i?7:<8:?7f1<3;1168o;542:891d12=9370:m7;60<>;3j10??5524c;906><5=hj69=7;<6af?24027?nn4;399>0gb=<:2019lj:51;?82en3>8463;c1877==:64=5a1>15?34>h?7:<8:?7g1<3;1168n;542:891e12=9370:l7;60<>;3k10??5524b;906><5=ij69=7;<6`f?24027?on4;399>0fb=<:2019mj:51;?82dn3>8463;d1877==:64=5f1>15?34>o?7:<8:?7`1<3;1168i;542:891b12=9370:k7;60<>;3l10??5524e;906><5=nj69=7;<6gf?24027?hn4;399>0ab=<:2019jj:51;?xu5>k81<7::6s|27`0>5<5sW852z\137=::?4=5ca>1713ty9:o850;0xZ71734>jm7:>6:p63d02909wS<9f:?7e<<39?1v?8m8;296~X5>l168l654048yv41j00;6?uQ27f891g02=;=7p}=6cc94?4|V;{t:?hi6=4={_05e>;3i<0?=;5rs34ag?6=:rT9:4524`69040<5=k869?9;|q12gc=838pR?88;<6b6?26>2wx>;li:181[41>27?m<4;179~w70d83:1>vP=649>0d6=<8<0q~<9c083>7}Y:?>0197i:535?xu5>j81<7m3>::6s|27a0>5<5sW8=>63;9e8753=z{;52z\125=:<0i18<84}r05g0<72;qU>8h4=5;a>1713ty9:n850;0xZ73b34>2m7:>6:p63e02909wS<:d:?7=<<39?1v?8l8;296~X5=j1684654048yv41k00;6?uQ24`891?02=;=7p}=6bc94?4|V;?j70:66;622>{t:?ii6=4={_06=>;31<0?=;5rs34`g?6=:rT9955248690402wx>;mi:181[42<27?5<4;179~w70c83:1>vP=529>0<6=<8<0q~<9d083>7}Y:<;0196i:535?xu5>m81<7::6s|27f0>5<5sW8?j63;8e8753=z{;52z\10`=:<1i18<84}r05`0<72;qU>9j4=5:a>1713ty9:i850;0xZ72d34>3m7:>6:p63b02909wS<;b:?7<<<39?1v?8k8;296~X5?k1685654048yv41l00;6?uQ26c891>02=;=7p}=6ec94?4|V;=270:76;622>{t:?ni6=4={_04<>;30<0?=;5rs34gg?6=:rT9;:5249690402wx>;ji:181[41927?4<4;179~w70b83:1>vP=579>0=6=<8<0q~<9e083>7}Y:=k0199i:535?xu5>l81<7m>{<64a?4>127?mk47c:?7ec0d`=:1?019l?:9a891d721n019l?:3:7?82e8383963;b08;g>;3j803h63;b081<1=:5;4=5`1>=e<5=h965j4=5`1>7>334>i>7<75:?7f647d:?7f6<50=168o=5297891d321i019l;:9f891d32;2?70:m4;0;1>;3j<03o63;b48;`>;3j<0949524c796=3<5=h=65m4=5`5>=b<5=h=6?6;;<6a2?4?=27?n:47c:?7f20g1=:1?019l7:9a891d?21n019l7:3:7?82e0383963;b88;g>;3j003h63;b881<1=:5;4=5`b>=e<5=hj65j4=5`b>7>334>im7<75:?7fg;3jm03o63;be8;`>;3jm0949524cf96=3<5=hn65m4=5`f>=b<5=hn6?6;;<6aa?4?=27?nk47c:?7fc0g`=:1?019m?:9a891e721n019m?:3:7?82d8383963;c08;g>;3k803h63;c081<1=:5;4=5a1>=e<5=i965j4=5a1>7>334>h>7<75:?7g647d:?7g6<50=168n=5297891e321i019m;:9f891e32;2?70:l4;0;1>;3k<03o63;c48;`>;3k<0949524b796=3<5=i=65m4=5a5>=b<5=i=6?6;;<6`2?4?=27?o:47c:?7g20f1=:1?019m7:9a891e?21n019m7:3:7?82d0383963;c88;g>;3k003h63;c881<1=:5;4=5ab>=e<5=ij65j4=5ab>7>334>hm7<75:?7gg;3km03o63;ce8;`>;3km0949524bf96=3<5=in65m4=5af>=b<5=in6?6;;<6`a?4?=27?ok47c:?7gc0f`=:1?019j?:9a891b721n019j?:3:7?82c8383963;d08;g>;3l803h63;d081<1=:5;4=5f1>=e<5=n965j4=5f1>7>334>o>7<75:?7`647d:?7`6<50=168i=5297891b321i019j;:9f891b32;2?70:k4;0;1>;3l<03o63;d48;`>;3l<0949524e796=3<5=n=65m4=5f5>=b<5=n=6?6;;<6g2?4?=27?h:47c:?7`20a1=:1?019j7:9a891b?21n019j7:3:7?82c0383963;d88;g>;3l003h63;d881<1=:5;4=5fb>=e<5=nj65j4=5fb>7>334>om7<75:?7`g;3lm03o63;de8;`>;3lm0949524ef96=3<5=nn65m4=5ff>=b<5=nn6?6;;<6ga?4?=2wx>;k<:181820n3;2;63;b181<5=z{;52z?7<5<61>168o?52928yv41m<0;6?u249395<1<5=km6?6?;|q12`0=838p196=:0;4?82e;383<6s|27g4>5<5s4>3?7?67:?7f1<5091v?8j8;296~;30=0:5:524c096=60=3=90=019l9:3:3?xu5>lk1<74?034>i;7<70:p63ce2909w0:77;3:3>;3j<094=5rs34fg?6=:r7?454>969>0g?=:1:0q~<9ee83>7}:<131=494=5`b>7>73ty9:hk50;0x91>f283<70:m8;0;4>{t:?om6=4={<6;f?7>?27?nn4=819~w70a83:1>v3;8b82=2=:5>4}r05b4<72;q685j5185891de2;2;7p}=6g094?4|5=2n6<78;<6ab?4?82wx>;h<:18182?n3;2;63;c181<5=z{;52z?7=5<61>168ok52928yv41n<0;6?u248395<1<5=i96?6?;|q12c0=838p197=:0;4?82d;383<6s|27d4>5<5s4>2?7?67:?7g4<5091v?8i8;296~;31=0:5:524b796=60<3=90=019m9:3:3?xu5>ok1<74?034>h87<70:p63`e2909w0:67;3:3>;3k1094=5rs34eg?6=:r7?554>969>0f?=:1:0q~<9fe83>7}:<031=494=5a4>7>73ty9:kk50;0x91?f283<70:lb;0;4>{t:?lm6=4={<6:f?7>?27?on4=819~w71783:1>v3;9b82=2=:5>4}r0444<72;q684j5185891eb2;2;7p}=71094?4|5=3n6<78;<6`b?4?82wx>:><:18182>n3;2;63;ce81<5=z{;=;87>52z?7e5<61>168i?52928yv408<0;6?u24`395<1<5=n96?6?;|q1350=838p19o=:0;4?82c8383<6s|2624>5<5s4>j?7?67:?7`1<5091v?9?8;296~;3i=0:5:524e796=60d3=90=019j<:3:3?xu5?9k1<74?034>o;7<70:p626e2909w0:n7;3:3>;3l1094=5rs353g?6=:r7?m54>969>0a0=:1:0q~<80e83>7}:7>73ty9;=k50;0x91gf283<70:kb;0;4>{t:>:m6=4={<6bf?7>?27?h44=819~w71683:1>v3;ab82=2=:5>4}r0454<72;q68lj5185891bb2;2;7p}=70094?4|5=kn6<78;<6gg?4?82wx>:?<:1805~;3io0??4524c2906?<5=h:69=6;<6a6?24127?n>4;389>0g2=<:3019l::51:?82e>3>8563;b6877<=:74=5`:>15>34>im7:<9:?7fg<3;0168om542;891dc2=9270:me;60=>;3jo0??4524b2906?<5=i:69=6;<6`6?24127?o>4;389>0f2=<:3019m::51:?82d>3>8563;c6877<=:74=5a:>15>34>hm7:<9:?7gg<3;0168nm542;891ec2=9270:le;60=>;3ko0??4524e2906?<5=n:69=6;<6g6?24127?h>4;389>0a2=<:3019j::51:?82c>3>8563;d6877<=:74=5f:>15>34>om7:<9:?7`g<3;0168im542;891bc2=9270:ke;60=>;3lo08:o5r}c6f4?6==3:1;%143?7fm>1/>l?5c:k204<722c:4<4?::k2=2<722c?>94?::m753<722wi8h?50;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188yg2b:3:197>50z&76`<5j01C8>j4H512?!50?3;ji:5f18394?=n:>o1<75f43694?=h;?h1<75`2``94?=zj=o86=4::183!25m38i56F;3e9K067<,:=<6N3;81/?:951`g4?l7>93:17d0<729q/8?k52c;8L15c3A>8=6*<7682e`15<5<;%143?7fm>1b=4?50;9j6dg=831d?;l50;9~f1c0290>6=4?{%61a?4e12B??i5G4238 61028kn;6g>9083>>o5?l0;66g;2583>>i4>k0;66a=ac83>>{e=1=lk8;h3:5?6=3`85;h610?6=3f9=n7>5;n0bf?6=3th?i44?:483>5}#<;o1>o74H51g?M2492.8;:4>ad58m4?62900e?9j:188m1432900c>8m:188k7ge2900qo:ja;291?6=8r.?>h4=b89K06b<@=9:7)=87;3ba2=n90;1<75f26g94?=n<;>1<75`37`94?=h:hh1<75rb5ga>5<2290;w):=e;0a=>N3;m1C8>?4$254>4gb?2c:5<4?::k13`<722c?>94?::m02g<722e9mo4?::a0`e=83?1<7>t$50f>7d>3A>8h6F;309'721=9ho<7d?61;29?l40m3:17d:=4;29?j51j3:17b"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl;ed83>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd3mo0;684?:1y'07c=:0>0D9=k;I605>"4?>0:mh94$3c2>7=n9=;1<75f19394?=n90=1<75f40:94?=h<8<1<75rb5d3>5<2290;w):=e;0:0>N3;m1C8>?4$254>4gb?2.9m<4=;h375?6=3`;3=7>5;h3:3?6=3`>:47>5;n622?6=3th?j<4?:483>5}#<;o1>4:4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3`>:47>5;n622?6=3th?j?4?:483>5}#<;o1>4:4H51g?M2492.8;:4>ad58 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f1`4290>6=4?{%61a?4><2B??i5G4238 61028kn;6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17pl;f583>0<729q/8?k52868L15c3A>8=6*<7682e`1<,;k:6?5f15394?=n91;1<75f18594?=n<821<75`40494?=zj=l>6=4::183!25m38286F;3e9K067<,:=<65;h3;5?6=3`;2;7>5;h62::7>5;|`7b3<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th?j:4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`7b=<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th?j44?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`7bd<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th?jo4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`7bf<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th?ji4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`7b`<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th?jk4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`645<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th><<4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`647<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th><>4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`641<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th><84?:283>5}#<;o18>m4H51g?M2492.8;:4>ad58m4?62900e?on:188k60e2900qo;?6;297?6=8r.?>h4;3b9K06b<@=9:7)=87;3ba2=n90;1<75f2`c94?=h;?h1<75rb424>5<4290;w):=e;60g>N3;m1C8>?4$254>4gb?2c:5<4?::k1ed<722e8:o4?::a15>=83>1<7>t$50f>7d?3A>8h6F;309'721=9ho<7d?61;29?l40m3:17d:=4;29?j51j3:17pl:0883>0<729q/8?k52c;8L15c3A>8=6*<7682e`15<5<;%143?7fm>1b=4?50;9j62c=831b8?:50;9l6dd=831vn8>m:187>5<7s->9i798:0cf3>o6180;66g=7d83>>o3:=0;66a=ac83>>{e=9i1<7;50;2x 14b2;h27E:=1=lk8;h3:5?6=3`85;h610?6=3f9=n7>5;n0bf?6=3th>5}#<;o18>m4H51g?M2492.8;:4>ad58m4?62900e?on:188k60e2900qo;?e;291?6=8r.?>h4=959K06b<@=9:7):188m4?02900e9?7:188k1712900qo;?f;291?6=8r.?>h4=959K06b<@=9:7):188m4?02900e9?7:188k1712900qo;>0;290?6=8r.?>h4=939K06b<@=9:7d?;1;29?l7?93:17d?67;29?j26>3:17pl:1083>6<729q/8?k542a8L15c3A>8=6*<7682e`15<;%143?7fm>1b=4?50;9j62c=831b8?:50;9l73d=831d>ll50;9~f074290>6=4?{%61a?4e12B??i5G4238 61028kn;6g>9083>>o5?l0;66g;2583>>i4>k0;66a=ac83>>{e=8>1<7;50;2x 14b2;h27E:=1=lk8;h3:5?6=3`85;h610?6=3f9=n7>5;n0bf?6=3th>=84?:483>5}#<;o1>o74H51g?M2492.8;:4>ad58m4?62900e?9j:188m1432900c>8m:188k7ge2900qo;>6;291?6=8r.?>h4=b89K06b<@=9:7)=87;3ba2=n90;1<75f26g94?=n<;>1<75`37`94?=h:hh1<75rb434>5<2290;w):=e;0a=>N3;m1C8>?4$254>4gb?2c:5<4?::k13`<722c?>94?::m02g<722e9mo4?::a14>=83?1<7>t$50f>7d>3A>8h6F;309'721=9ho<7d?61;29?l40m3:17d:=4;29?j51j3:17b0D9=k;I605>"4?>0:mh94$3c2>7=n9=;1<75f19394?=n90=1<75f40:94?=h<8<1<75rb43b>5<2290;w):=e;0:1>N3;m1C8>?4$254>4gb?2.9m<4l;h375?6=3`;3=7>5;h3:3?6=3`>987>5;n622?6=3th>=o4?:283>5}#<;o18>m4H51g?M2492.8;:4>ad58m4?62900e?on:188k60e2900qo;>c;291?6=8r.?>h4=b89K06b<@=9:7)=87;3ba2=n90;1<75f26g94?=n<;>1<75`37`94?=h:hh1<75rb43g>5<2290;w):=e;0a=>N3;m1C8>?4$254>4gb?2c:5<4?::k13`<722c?>94?::m02g<722e9mo4?::a14c=83?1<7>t$50f>7d>3A>8h6F;309'721=9ho<7d?61;29?l40m3:17d:=4;29?j51j3:17b"4?>0:mh94i0;2>5<5<5<55;294~"3:l09n45G42f8L1563-9<;7?ne69j5<7=831b>:k50;9j072=831d?;l50;9l6dd=831vn8<>:186>5<7s->9i798:0cf3>o6180;66g=7d83>>o3:=0;66a<6c83>>i5ik0;66sm53094?3=83:p(95<5<5<55;294~"3:l09585G42f8L1563-9<;7?ne69'6d7=k2c:8<4?::k2<4<722c:5:4?::k761<722e?=;4?::a172=8391<7>t$50f>15d3A>8h6F;309'721=9ho<7d?61;29?l4fi3:17b=9b;29?xd2:<0;6>4?:1y'07c=<:i0D9=k;I605>"4?>0:mh94i0;2>5<5<54;294~"3:l09n55G42f8L1563-9<;7?ne69j5<7=831b>:k50;9j072=831d?;l50;9~f040290>6=4?{%61a?4e12B??i5G4238 61028kn;6g>9083>>o5?l0;66g;2583>>i4>k0;66a=ac83>>{e=;21<7:50;2x 14b2;hj7E:=1=lk8;h3:5?6=3`85;h610?6=3f8jn7>5;|`66<<72:0;6=u+43g906e<@=9o7E:<1:&032<6il=0e<7>:188m7gf2900c>8m:188yg35i3:1?7>50z&76`<3;j1C8>j4H512?!50?3;ji:5f18394?=n:hk1<75`37`94?=zj<8i6=4::183!25m38i56F;3e9K067<,:=<6N3;81/?:951`g4?l7>93:17d<8e;29?l25<3:17b"4?>0:mh94i0;2>5<5<5<53;294~"3:l0??n5G42f8L1563-9<;7?ne69j5<7=831b>lo50;9l73d=831vn85<7s->9i798:0cf3>o6180;66g=7d83>>o3:=0;66a<6c83>>{e=::1<7;50;2x 14b2;h27E:=1=lk8;h3:5?6=3`85;h610?6=3f9=n7>5;n0bf?6=3th>?<4?:283>5}#<;o18>m4H51g?M2492.8;:4>ad58m4?62900e?on:188k60e2900qo;<2;290?6=8r.?>h4=939K06b<@=9:7d?;1;29?l7?93:17d?67;29?j26>3:17pl:3283>0<729q/8?k52c;8L15c3A>8=6*<7682e`15<5<;%143?7fm>1b=4?50;9j6dg=831d?;l50;9~f052290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn8=9:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f050290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f05?290:m<4?:1y'07c=:1=0D9=k;I605>\6;o0:13=?<0?47s+36595dc03gko6<5af`82?k7783:0b<>>:09'554=;?k0(<><:35e?k76?3;0b<=j:09'516=:>l0b<99:09m52d=92d:5;4>;o0;2?7"4im0>7c:>5;38 1412;h87):=7;1b`>"3;;0?>i5+42196g3<,=9?6>86;%601?51l2e8mh4?::k75`<722c:4;4?::k2<1<722e9n:4?::k2<=<722c?>?4?::k1f3<722c:4:4?::k75c<722c:4k4?::m231<722c?=l4?::k2<<<722e?>k4?::m0ec<722c?=n4?::k75g<722c:4o4?::k765<722c:484?::k2<6<722c:5?4?::k2<7<722c?=i4?::m03=<722c:4l4?::k2<`<722c:;84?::k236<722c?><4?::k2>4?::mf7?6=,=;86h<4n531>5=h39;0:76aj0;29 1742l80b9?=:398ka`=83.?=>4j2:l757<432eoi7>5$530>`46`;1386?>ick3:1(9?<:d08j1752?10cil50;&756==h39;0276ak7;29 1742l80b9?=:`98ka0=83.?=>4j2:l7575$530>`46`;138g?>ic;3:1(9?<:d08j1752l10ci<50;&75646<3fn;6=4+4019a7=i<881=<54obd94?"39:0n>6`;13826>=hkl0;6):>3;g1?k26:3;876ajb;29 1742l80b9?=:068?jcf290/8<=5e39m044=9<10ch750;&7566:9la=<72->:?7k=;o626?7032en;7>5$530>`45<#<891i?5a40095<=h39;0:m65`e583>!26;3o97c:>2;3a?>ic03:1(9?<:d08j17528i07bmk:18'045=m;1e8<<51e98m612290/8<=53668j1752910e>9<:18'045=;>>0b9?=:098m615290/8<=53668j1752;10e>9>:18'045=;>>0b9?=:298m617290/8<=53668j1752=10e>8i:18'045=;>>0b9?=:498m60b290/8<=53668j1752?10e>jn:18'045=;m30b9?=:198m6b?290/8<=53e;8j1752810e>j8:18'045=;m30b9?=:398m6b1290/8<=53e;8j1752:10e>j::18'045=;m30b9?=:598m6b3290/8<=53e;8j1752<10e>j<:18'045=;m30b9?=:798m6b5290/8<=53e;8j1752>10e>j?:18'045=;m30b9?=:998m6ea290/8<=53e;8j1752010e>mj:18'045=;m30b9?=:`98m6ec290/8<=53e;8j1752k10e>ml:18'045=;m30b9?=:b98m6ee290/8<=53e;8j1752m10e>mn:18'045=;m30b9?=:d98m6e>290/8<=53e;8j1752o10e>m7:18'045=;m30b9?=:028?l5d?3:1(9?<:2f:?k26:3;:76g!26;39o56`;13826>=n;j>1<7*;1280`<=i<881=>54i2a0>5<#<891?i74n531>42<3`9h>7>5$530>6b>3g>:>7?:;:k0g4<72->:?7=k9:l757<6>21b?n>50;&756<4l01e8<<51698m6da290/8<=53e;8j17528207d=me;29 1742:n27c:>2;3:?>o4jm0;6):>3;1g=>h39;0:m65f3ca94?"39:08h45a40095g=j6;o626?7c32c8n54?:%627?5c12d?=?4>e:9j7g1=83.?=>4l9:18'045=;m30b9?=:328?l5e=3:1(9?<:2f:?k26:38:76g!26;39o56`;13816>=n;k91<7*;1280`<=i<881>>54i2`1>5<#<891?i74n531>72<3`9i=7>5$530>6b>3g>:>7<:;:k0a5<72->:?7=k9:l757<5>21b?ih50;&756<4l01e8<<52698m6bb290/8<=53e;8j1752;207d=kd;29 1742:n27c:>2;0:?>o4lj0;6):>3;1g=>h39;09m65f3e`94?"39:08h45a40096g=j6;o626?4c32c8no4?:%627?5c12d?=?4=e:9j7g6=83.?=>44=h39;0976gib;29 1742oo0b9?=:298m40d290/8<=517`8j1752910e<8n:18'045=9?h0b9?=:098m40>290/8<=517`8j1752;10e<87:18'045=9?h0b9?=:298m400290/8<=517`8j1752=10e<89:18'045=9?h0b9?=:498m402290/8<=517`8j1752?10e<8;:18'045=9?h0b9?=:698m405290/8<=517`8j1752110e<8>:18'045=9?h0b9?=:898m407290/8<=517`8j1752h10e<;i:18'045=9?h0b9?=:c98m43b290/8<=517`8j1752j10e<;k:18'045=9?h0b9?=:e98m43d290/8<=517`8j1752l10e<;m:18'045=9?h0b9?=:g98m43f290/8<=517`8j17528:07d?:9;29 174282;32?>o6=>0;6):>3;35f>h39;0:>65f14494?"39:0::o5a400956=6=4+401953d4?:%627?71j2d?=?4>6:9j504=83.?=>4>6c9m044=9>10e<;>:18'045=9?h0b9?=:0:8?l7283:1(9?<:04a?k26:3;276g>4g83>!26;3;=n6`;1382e>=n9=o1<7*;12822g=i<881=o54i06`>5<#<891=;l4n531>4e<3`;?n7>5$530>40e3g>:>7?k;:k20d<72->:?7?9b:l757<6m21b=9750;&756<6>k1e8<<51g98m42?290/8<=517`8j1752;:07d?;7;29 174282;02?>o63;35f>h39;09>65f15794?"39:0::o5a400966=?6=4+401953d4>6c9m044=:>10e<9?:18'045=9?h0b9?=:3:8?l71n3:1(9?<:04a?k26:38276g>6d83>!26;3;=n6`;1381e>=n9?n1<7*;12822g=i<881>o54i040>5<#<891=;l4n531>7e<3`;>47>5$530>40e3g>:>7:?7?9b:l757<5m21b=9<50;&756<6>k1e8<<52g98k4>7290/8<=516d8j1752910c<9j:18'045=9>l0b9?=:098k41c290/8<=516d8j1752;10c<9l:18'045=9>l0b9?=:298m7g0290/8<=52`48j1752910e?o::18'045=:h<0b9?=:098m7g3290/8<=52`48j1752;10e?o<:18'045=:h<0b9?=:298m7g5290/8<=52`48j1752=10e<<>:18'045=9;:0b9?=:198m47a290/8<=51328j1752810e290/8<=51328j1752>10e<290/8<=51328j1752010e<<7:18'045=9;:0b9?=:`98m440290/8<=51328j1752k10e<<9:18'045=9;:0b9?=:b98m442290/8<=51328j1752m10e<<;:18'045=9;:0b9?=:d98m444290/8<=51328j1752o10e<<=:18'045=9;:0b9?=:028?l7603:1(9?<:003?k26:3;:76g>0e83>!26;3;;o6`;1383?>o68k0;6):>3;33g>h39;0:76g>0`83>!26;3;;o6`;1381?>o6800;6):>3;33g>h39;0876g>0983>!26;3;;o6`;1387?>o68>0;6):>3;33g>h39;0>76g>0783>!26;3;;o6`;1385?>o68<0;6):>3;33g>h39;0<76g>1783>!26;3;;o6`;138;?>o69<0;6):>3;33g>h39;0276g>1583>!26;3;;o6`;138b?>o69:0;6):>3;33g>h39;0i76g>1383>!26;3;;o6`;138`?>o6980;6):>3;33g>h39;0o76g>1183>!26;3;;o6`;138f?>o68o0;6):>3;33g>h39;0m76g>0d83>!26;3;;o6`;13824>=n99>1<7*;12824f=i<881=<54o017>5<#<891=>=4n531>5=5<#<891=>=4n531>7=54o00e>5<#<891=>=4n531>1=5<#<891=>=4n531>3=5<#<891=>=4n531>==5<#<891=>=4n531>d=5<#<891=>=4n531>f=5<#<891=>=4n531>`=5<#<891=>=4n531>46<3f;9n7>5$530>4543g>:>7?>;:k2ed<72->:?7?n9:l757<732c:m54?:%627?7f12d?=?4>;:k2e2<72->:?7?n9:l757<532c:m;4?:%627?7f12d?=?4<;:k2e0<72->:?7?n9:l757<332c:m>4?:%627?7f12d?=?4:;:k2e7<72->:?7?n9:l757<132c:m<4?:%627?7f12d?=?48;:k2e5<72->:?7?n9:l757:?7?n9:l757:?7?n9:l757:?7?n9:l757:?7?n9:l757<6821b=o>50;&756<6i01e8<<51098m4ga290/8<=51`;8j17528807d?ne;29 17428k27c:>2;30?>o6im0;6):>3;3b=>h39;0:865f1`a94?"39:0:m45a400950=8:9l7d3=83.?=>444421d?4j50;&756<4i=1e8<<57:9l7444447=:18'045=;h>0b9?=:038?j5>83:1(9?<:2c7?k26:3;976a<8g83>!26;39j86`;13827>=h;1o1<7*;1280e1=i<881=954o2:g>5<#<891?l:4n531>43<3f93o7>5$530>6g33g>:>7?9;:m0:?7=n4:l757<6?21d?5o50;&756<4i=1e8<<51998k6>>290/8<=53`68j17528307b=78;29 1742:k?7c:>2;3b?>i40>0;6):>3;1b0>h39;0:n65`39794?"39:08m95a40095f=o;;o626?7b32e84?4?:%627?5f<2d?=?4>f:9l7=7=83.?=>46?:18'045=;h>0b9?=:338?j50n3:1(9?<:2c7?k26:38976a<7d83>!26;39j86`;13817>=h;>n1<7*;1280e1=i<881>954o25`>5<#<891?l:4n531>73<3f9jn7>5$530>6g33g>:>7<9;:m0ed<72->:?7=n4:l757<5?21d?l750;&756<4i=1e8<<52998k6g?290/8<=53`68j1752;307b=n7;29 1742:k?7c:>2;0b?>i4i?0;6):>3;1b0>h39;09n65`38a94?"39:08m95a40096f=o;;o626?4b32e8;o4?:%627?5f<2d?=?4=f:9je1<72->:?7o<;o626?6<3`k96=4+4019e6=i<881=65fa083>!26;3k87c:>2;08?lg7290/8<=5a29m044=;21b5k4?:%627?g43g>:>7:4;h;f>5<#<891m>5a40091>=n1m0;6):>3;c0?k26:3<07d7l:18'045=i:1e8<<57:9j=g<72->:?7o<;o626?><3`3j6=4+4019e6=i<881565f9983>!26;3k87c:>2;c8?l?0290/8<=5a29m044=j21b5;4?:%627?g43g>:>7m4;h;6>5<#<891m>5a4009`>=n1=0;6):>3;c0?k26:3o07d7<:18'045=i:1e8<<5f:9j=7<72->:?7o<;o626?7732c2=7>5$530>d55<#<891m>5a400957=h39;0:?65fab83>!26;3k87c:>2;37?>ofj3:1(9?<:`18j17528?07don:18'045=i:1e8<<51798md?=83.?=>4n3:l757<6?21bm54?:%627?g43g>:>7?7;:kb3?6=,=;86l=4n531>4?<3`k=6=4+4019e6=i<881=l54i`794?"39:0j?6`;1382f>=n100;6):>3;c0?k26:3;h76g7e;29 1742h90b9?=:0f8?j27j3:1(9?<:52b?k26:3:07b:?9;29 1742=:j7c:>2;38?j2703:1(9?<:52b?k26:3807b:?7;29 1742=:j7c:>2;18?j27>3:1(9?<:52b?k26:3>07b:?5;29 1742=:j7c:>2;78?j27<3:1(9?<:52b?k26:3<07b:?3;29 1742=:j7c:>2;58?j2793:1(9?<:52b?k26:3207b:?0;29 1742=:j7c:>2;;8?j5an3:1(9?<:52b?k26:3k07b=ie;29 1742=:j7c:>2;`8?j5al3:1(9?<:52b?k26:3i07b=ic;29 1742=:j7c:>2;f8?j5aj3:1(9?<:52b?k26:3o07b=ia;29 1742=:j7c:>2;d8?j5a13:1(9?<:52b?k26:3;;76a!26;3>;m6`;13825>=h;o<1<7*;12874d=i<881=?54o2d6>5<#<8918=o4n531>45<3f9m87>5$530>16f3g>:>7?;;:m0b6<72->:?7:?a:l757<6=21d?k<50;&756<38h1e8<<51798k6`6290/8<=541c8j17528=07b=i0;29 1742=:j7c:>2;3;?>i4mo0;6):>3;63e>h39;0:565`3dg94?"39:0?n;o626?7d32e8il4?:%627?27i2d?=?4>d:9l7`?=83.?=>4;0`9m044=9l10c>k7:18'045=<9k0b9?=:0d8?j5b?3:1(9?<:52b?k26:38;76a!26;3>;m6`;13815>=h;l?1<7*;12874d=i<881>?54o2g7>5<#<8918=o4n531>75<3f9n?7>5$530>16f3g>:>7<;;:m0a7<72->:?7:?a:l757<5=21d82;0;?>i38l0;6):>3;63e>h39;09565`41f94?"39:0?n;o626?4d32e8j:4?:%627?27i2d?=?4=d:9l7`e=83.?=>4;0`9m044=:l10c>k>:18'045=<9k0b9?=:3d8?le3290/8<=5c29m044=821bo?4?:%627?e43g>:>7?4;ha2>5<#<891o>5a40096>=nk90;6):>3;a0?k26:3907dli:18'045=k:1e8<<54:9jf`<72->:?7m<;o626?3<3`ho6=4+4019g6=i<881:65fbb83>!26;3i87c:>2;58?lde290/8<=5c29m044=021bnl4?:%627?e43g>:>774;h`;>5<#<891o>5a4009e>=nj>0;6):>3;a0?k26:3h07dl9:18'045=k:1e8<<5c:9jf0<72->:?7m<;o626?b<3`h?6=4+4019g6=i<881i65fb283>!26;3i87c:>2;d8?ld5290/8<=5c29m044=9910eo?50;&7561:9jf5<72->:?7m<;o626?7532cjj7>5$530>f55<#<891o>5a400951=h39;0:965fc`83>!26;3i87c:>2;35?>od13:1(9?<:b18j17528=07dm7:18'045=k:1e8<<51998mf1=83.?=>4l3:l757<6121bo;4?:%627?e43g>:>7?n;:k`1?6=,=;86n=4n531>4d<3`h26=4+4019g6=i<881=n54i`g94?"39:0h?6`;1382`>=n9>k1<7*;12823<=i<881<65f16:94?"39:0:;45a40095>=n9>=1<7*;12823<=i<881>65rb41:>5<6i80;6=u+43g96=1<@=9o7E:<1:X27c<68r>o6:65768;7?1d2=k1=9486;51>47=<00<<7??:57930<303w/?:951`g4?kgc281ejl4>;o334?6h61?0:7c<76;38j7g?281/>o=528:8j7d3281e?:850:&0ea<23g>:97?4$505>7d43->9;7=nd:&777<3:m1/8>=52c78 1532:<27):<5;15`>i4il0;66g;1d83>>o60?0;66g>8583>>i5j>0;66g>8983>>o3:;0;66g=b783>>o60>0;66g;1g83>>o60o0;66a>7583>>o39h0;66g>8883>>i3:o0;66a>o39j0;66g;1c83>>o60k0;66g;2183>>o60<0;66g>8283>>o61;0;66g>8383>>o39m0;66a<7983>>o60h0;66g>8d83>>o6?<0;66g>7283>>o3:80;66g>8e83>>o3::0;66aj3;29 1742l80b9?=:198k`7=83.?=>4j2:l757<632en<7>5$530>`46`;1380?>icm3:1(9?<:d08j1752=10cij50;&7563=h39;0<76aka;29 1742l80b9?=:998ka?=83.?=>4j2:l757<>32eo;7>5$530>`46`;138a?>ic=3:1(9?<:d08j1752j10ci:50;&756`=h39;0m76ak1;29 1742l80b9?=:028?jb7290/8<=5e39m044=9810cnh50;&7562:9lg`<72->:?7k=;o626?7432enn7>5$530>`45<#<891i?5a400950=h39;0::65`e983>!26;3o97c:>2;34?>ib?3:1(9?<:d08j17528207bk9:18'045=m;1e8<<51898k`3=83.?=>4j2:l757<6i21di94?:%627?c53g>:>7?m;:mg4e<3fio6=4+4019a7=i<881=i54i256>5<#<891?::4n531>5=5<#<891?::4n531>7=54i253>5<#<891?::4n531>1=5<#<891?::4n531>3=5<#<891?i74n531>4=5<#<891?i74n531>6=6=4+40197a?5<#<891?i74n531>0=5<#<891?i74n531>2=5<#<891?i74n531><=5<#<891?i74n531>g=5<#<891?i74n531>a=5<#<891?i74n531>c=4;h1`3?6=,=;86>j6;o626?7632c8o84?:%627?5c12d?=?4>2:9j7f2=83.?=>4m<:18'045=;m30b9?=:068?l5d:3:1(9?<:2f:?k26:3;>76g!26;39o56`;13822>=n;j:1<7*;1280`<=i<881=:54i2`e>5<#<891?i74n531>4><3`9ii7>5$530>6b>3g>:>7?6;:k0fa<72->:?7=k9:l757<6i21b?om50;&756<4l01e8<<51c98m6df290/8<=53e;8j17528i07d=m9;29 1742:n27c:>2;3g?>o4j10;6):>3;1g=>h39;0:i65f3c594?"39:08h45a40095c=4;h1a1?6=,=;86>j6;o626?4632c8n94?:%627?5c12d?=?4=2:9j7g5=83.?=>4l=:18'045=;m30b9?=:368?l5e93:1(9?<:2f:?k26:38>76g!26;39o56`;13812>=n;ml1<7*;1280`<=i<881>:54i2ff>5<#<891?i74n531>7><3`9oh7>5$530>6b>3g>:>7<6;:k0`f<72->:?7=k9:l757<5i21b?il50;&756<4l01e8<<52c98m6b6290/8<=53e;8j1752;i07d=l6;29 1742:n27c:>2;0g?>o4jk0;6):>3;1g=>h39;09i65f3c294?"39:08h45a40096c=h39;0;76gid;29 1742oo0b9?=:098mce=83.?=>4ie:l757<532cmn7>5$530>cc54i04`>5<#<891=;l4n531>5=5<#<891=;l4n531>7=54i044>5<#<891=;l4n531>1=5<#<891=;l4n531>3=5<#<891=;l4n531>==5<#<891=;l4n531>d=5<#<891=;l4n531>f=5<#<891=;l4n531>`=5<#<891=;l4n531>46<3`;>57>5$530>40e3g>:>7?>;:k212<72->:?7?9b:l757<6:21b=8850;&756<6>k1e8<<51298m432290/8<=517`8j17528>07d?:4;29 174282;36?>o6=:0;6):>3;35f>h39;0::65f14094?"39:0::o5a400952=32c:8k4?:%627?71j2d?=?4>a:9j51c=83.?=>4>6c9m044=9k10e<:l:18'045=9?h0b9?=:0a8?l73j3:1(9?<:04a?k26:3;o76g>4`83>!26;3;=n6`;1382a>=n9=31<7*;12822g=i<881=k54i06;>5<#<891=;l4n531>76<3`;?;7>5$530>40e3g>:>7<>;:k203<72->:?7?9b:l757<5:21b=9;50;&756<6>k1e8<<52298m423290/8<=517`8j1752;>07d?;3;29 174282;06?>o6?;0;6):>3;35f>h39;09:65f16394?"39:0::o5a400962=32c::h4?:%627?71j2d?=?4=a:9j53b=83.?=>4>6c9m044=:k10e<8<:18'045=9?h0b9?=:3a8?l7203:1(9?<:04a?k26:38o76g>4e83>!26;3;=n6`;1381a>=n9=81<7*;12822g=i<881>k54o0:3>5<#<891=:h4n531>5=5<#<891=:h4n531>7=54i3c4>5<#<891>l84n531>5=6=4+40196d05<#<891>l84n531>7=54i3c1>5<#<891>l84n531>1=5<#<891=?>4n531>4=5<#<891=?>4n531>6=5<#<891=?>4n531>0=5<#<891=?>4n531>2=5<#<891=?>4n531><=5<#<891=?>4n531>g=5<#<891=?>4n531>a=5<#<891=?>4n531>c=4;h32:?7??c:l757<632c::?7??c:l757<432c:<54?:%627?77k2d?=?4;;:k242<72->:?7??c:l757<232c:<;4?:%627?77k2d?=?49;:k240<72->:?7??c:l757<032c:=;4?:%627?77k2d?=?47;:k250<72->:?7??c:l757<>32c:=94?:%627?77k2d?=?4n;:k256<72->:?7??c:l757:?7??c:l757:?7??c:l7570:9j552=83.?=>4>0b9m044=9810c<=;:18'045=9:90b9?=:198k455290/8<=51218j1752810c<=>:18'045=9:90b9?=:398k457290/8<=51218j1752:10c<10c<=k:18'045=9:90b9?=:998k45d290/8<=51218j1752010c<=m:18'045=9:90b9?=:`98k45f290/8<=51218j1752k10c<=6:18'045=9:90b9?=:b98k45?290/8<=51218j1752m10c<=8:18'045=9:90b9?=:d98k451290/8<=51218j1752o10c<=::18'045=9:90b9?=:028?j75j3:1(9?<:010?k26:3;:76g>a`83>!26;3;j56`;1383?>o6i10;6):>3;3b=>h39;0:76g>a683>!26;3;j56`;1381?>o6i?0;6):>3;3b=>h39;0876g>a483>!26;3;j56`;1387?>o6i:0;6):>3;3b=>h39;0>76g>a383>!26;3;j56`;1385?>o6i80;6):>3;3b=>h39;0<76g>a183>!26;3;j56`;138;?>o61o0;6):>3;3b=>h39;0276g>9d83>!26;3;j56`;138b?>o61m0;6):>3;3b=>h39;0i76g>9b83>!26;3;j56`;138`?>o61k0;6):>3;3b=>h39;0o76g>9`83>!26;3;j56`;138f?>o6j;0;6):>3;3b=>h39;0m76g>b083>!26;3;j56`;13824>=n9k:1<7*;1282e<=i<881=<54i0ce>5<#<891=l74n531>44<3`;ji7>5$530>4g>3g>:>7?<;:k2ea<72->:?7?n9:l757<6<21b=lm50;&756<6i01e8<<51498m4ge290/8<=51`;8j17528<07d?n4;29 17428k27c:>2;34?>o6100;6):>3;3b=>h39;0:465`3`794?"39:08m95a40094>=h;h91<7*;1280e1=i<881=65`3`094?"39:08m95a40096>=h;h;1<7*;1280e1=i<881?65`3`294?"39:08m95a40090>=h;0l1<7*;1280e1=i<881965`38g94?"39:08m95a40092>=h;0n1<7*;1280e1=i<881;65`38`94?"39:08m95a4009<>=h;0k1<7*;1280e1=i<881565`38;94?"39:08m95a4009e>=h;021<7*;1280e1=i<881n65`38594?"39:08m95a4009g>=h;0<1<7*;1280e1=i<881h65`38794?"39:08m95a4009a>=h;0>1<7*;1280e1=i<881j65`38194?"39:08m95a400955=o;;o626?7532e84k4?:%627?5f<2d?=?4>3:9l7=c=83.?=>46k:18'045=;h>0b9?=:078?j5?k3:1(9?<:2c7?k26:3;=76a<8c83>!26;39j86`;13823>=h;1k1<7*;1280e1=i<881=554o2::>5<#<891?l:4n531>4?<3f9347>5$530>6g33g>:>7?n;:m0<2<72->:?7=n4:l757<6j21d?5;50;&756<4i=1e8<<51b98k6>3290/8<=53`68j17528n07b=73;29 1742:k?7c:>2;3f?>i40;0;6):>3;1b0>h39;0:j65`39394?"39:08m95a400965=o;;o626?4532e8;h4?:%627?5f<2d?=?4=3:9l72b=83.?=>49l:18'045=;h>0b9?=:378?j5fj3:1(9?<:2c7?k26:38=76a!26;39j86`;13813>=h;h31<7*;1280e1=i<881>554o2c;>5<#<891?l:4n531>7?<3f9j;7>5$530>6g33g>:>7:?7=n4:l757<5j21d?4m50;&756<4i=1e8<<52b98k6?6290/8<=53`68j1752;n07b=76;29 1742:k?7c:>2;0f?>i4?k0;6):>3;1b0>h39;09j65fa583>!26;3k87c:>2;28?lg5290/8<=5a29m044=921bm<4?:%627?g43g>:>7<4;hc3>5<#<891m>5a40097>=n1o0;6):>3;c0?k26:3>07d7j:18'045=i:1e8<<55:9j=a<72->:?7o<;o626?0<3`3h6=4+4019e6=i<881;65f9c83>!26;3k87c:>2;:8?l?f290/8<=5a29m044=121b554?:%627?g43g>:>7o4;h;4>5<#<891m>5a4009f>=n1?0;6):>3;c0?k26:3i07d7::18'045=i:1e8<<5d:9j=1<72->:?7o<;o626?c<3`386=4+4019e6=i<881j65f9383>!26;3k87c:>2;33?>o>93:1(9?<:`18j17528;07d7?:18'045=i:1e8<<51398m=`=83.?=>4n3:l757<6;21bmn4?:%627?g43g>:>7?;;:kbf?6=,=;86l=4n531>43<3`kj6=4+4019e6=i<881=;54i`;94?"39:0j?6`;13823>=ni10;6):>3;c0?k26:3;376gn7;29 1742h90b9?=:0;8?lg1290/8<=5a29m044=9h10el;50;&756b:9j=<<72->:?7o<;o626?7d32c3i7>5$530>d5n;o626?6<3f>;57>5$530>16f3g>:>7?4;n63n;o626?4<3f>;;7>5$530>16f3g>:>7=4;n632?6=,=;869>n;o626?2<3f>;97>5$530>16f3g>:>7;4;n630?6=,=;869>n;o626?0<3f>;?7>5$530>16f3g>:>794;n635?6=,=;869>n;o626?><3f>;<7>5$530>16f3g>:>774;n1eb?6=,=;869>n;o626?g<3f9mi7>5$530>16f3g>:>7l4;n1e`?6=,=;869>n;o626?e<3f9mo7>5$530>16f3g>:>7j4;n1ef?6=,=;869>n;o626?c<3f9mm7>5$530>16f3g>:>7h4;n1e=?6=,=;869>n;o626?7732e8j54?:%627?27i2d?=?4>1:9l7c0=83.?=>4;0`9m044=9;10c>h::18'045=<9k0b9?=:018?j5a<3:1(9?<:52b?k26:3;?76a!26;3>;m6`;13821>=h;o81<7*;12874d=i<881=;54o2d2>5<#<8918=o4n531>41<3f9m<7>5$530>16f3g>:>7?7;:m0ac<72->:?7:?a:l757<6121d?hk50;&756<38h1e8<<51`98k6cc290/8<=541c8j17528h07b=jb;29 1742=:j7c:>2;3`?>i4mh0;6):>3;63e>h39;0:h65`3d;94?"39:0?n;o626?4732e8i;4?:%627?27i2d?=?4=1:9l7`3=83.?=>4;0`9m044=:;10c>k;:18'045=<9k0b9?=:318?j5b;3:1(9?<:52b?k26:38?76a!26;3>;m6`;13811>=h<8;1<7*;12874d=i<881>;54o533>5<#<8918=o4n531>71<3f>;j7>5$530>16f3g>:>7<7;:m74`<72->:?7:?a:l757<5121d8=j50;&756<38h1e8<<52`98k16d290/8<=541c8j1752;h07b:?2;29 1742=:j7c:>2;0`?>i4n>0;6):>3;63e>h39;09h65`3da94?"39:0?5<#<891o>5a40094>=nk;0;6):>3;a0?k26:3;07dm>:18'045=k:1e8<<52:9jg5<72->:?7m<;o626?5<3`hm6=4+4019g6=i<881865fbd83>!26;3i87c:>2;78?ldc290/8<=5c29m044=>21bnn4?:%627?e43g>:>794;h`a>5<#<891o>5a4009<>=njh0;6):>3;a0?k26:3307dl7:18'045=k:1e8<<5a:9jf2<72->:?7m<;o626?d<3`h=6=4+4019g6=i<881o65fb483>!26;3i87c:>2;f8?ld3290/8<=5c29m044=m21bn>4?:%627?e43g>:>7h4;h`1>5<#<891o>5a400955=h39;0:=65fb183>!26;3i87c:>2;31?>ofn3:1(9?<:b18j17528907dml:18'045=k:1e8<<51598mfd=83.?=>4l3:l757<6=21bol4?:%627?e43g>:>7?9;:k`=?6=,=;86n=4n531>41<3`i36=4+4019g6=i<881=554ib594?"39:0h?6`;1382=>=nk?0;6):>3;a0?k26:3;j76gl5;29 1742j90b9?=:0`8?ld>290/8<=5c29m044=9j10elk50;&756d:9j52g=83.?=>4>789m044=821b=:650;&756<6?01e8<<51:9j521=83.?=>4>789m044=:21vn8=n:182e4<729q/8?k52958L15c3A>8=6T>3g824~2c2>21;:473;5`>1g=9=0<:79=:0390<<083;;69;57487=1=lk8;ocg>4=inh0:7c??0;28j466281/==<537c8 4642;=m7c?>7;38j45b281/=9>526d8j411281e=:l51:l2=3<63g83:7?4n3c;>4=#:k91>464n3`7>4=i;><1<6*o;4$517>60>3->897=9d:m0e`<722c?=h4?::k2<3<722c:494?::m1f2<722c:454?::k767<722c9n;4?::k2<2<722c?=k4?::k2=4?::k2<0<722c:4>4?::k2=7<722c:4?4?::k75a<722e8;54?::k24?::k764<722c:4i4?::k766<722en?7>5$530>`46`;1382?>ib83:1(9?<:d08j1752;10cih50;&7561=h39;0>76akc;29 1742l80b9?=:798kad=83.?=>4j2:l757<032eom7>5$530>`46`;138:?>ic?3:1(9?<:d08j1752h10ci850;&756f=1<7*;128f6>h39;0o76ak3;29 1742l80b9?=:d98ka4=83.?=>4j2:l7575$530>`44;nf3>5<#<891i?5a400954=h39;0:>65`cd83>!26;3o97c:>2;30?>ibj3:1(9?<:d08j17528>07bkn:18'045=m;1e8<<51498k`?=83.?=>4j2:l757<6>21di54?:%627?c53g>:>7?8;:mf3?6=,=;86h<4n531>4><3fo=6=4+4019a7=i<881=454od794?"39:0n>6`;1382e>=hm=0;6):>3;g1?k26:3;i76ak8;29 1742l80b9?=:0a8?jec290/8<=5e39m044=9m10e>9::18'045=;>>0b9?=:198m614290/8<=53668j1752810e>9=:18'045=;>>0b9?=:398m616290/8<=53668j1752:10e>9?:18'045=;>>0b9?=:598m60a290/8<=53668j1752<10e>8j:18'045=;>>0b9?=:798m6bf290/8<=53e;8j1752910e>j7:18'045=;m30b9?=:098m6b0290/8<=53e;8j1752;10e>j9:18'045=;m30b9?=:298m6b2290/8<=53e;8j1752=10e>j;:18'045=;m30b9?=:498m6b4290/8<=53e;8j1752?10e>j=:18'045=;m30b9?=:698m6b7290/8<=53e;8j1752110e>mi:18'045=;m30b9?=:898m6eb290/8<=53e;8j1752h10e>mk:18'045=;m30b9?=:c98m6ed290/8<=53e;8j1752j10e>mm:18'045=;m30b9?=:e98m6ef290/8<=53e;8j1752l10e>m6:18'045=;m30b9?=:g98m6e?290/8<=53e;8j17528:07d=l7;29 1742:n27c:>2;32?>o4k<0;6):>3;1g=>h39;0:>65f3b694?"39:08h45a400956=j6;o626?7232c8o<4?:%627?5c12d?=?4>6:9j7f6=83.?=>410e>li:18'045=;m30b9?=:0:8?l5em3:1(9?<:2f:?k26:3;276g!26;39o56`;1382e>=n;ki1<7*;1280`<=i<881=o54i2`b>5<#<891?i74n531>4e<3`9i57>5$530>6b>3g>:>7?k;:k0f=<72->:?7=k9:l757<6m21b?o950;&756<4l01e8<<51g98m6d1290/8<=53e;8j1752;:07d=m5;29 1742:n27c:>2;02?>o4j=0;6):>3;1g=>h39;09>65f3c194?"39:08h45a400966=j6;o626?4232c8i=4?:%627?5c12d?=?4=6:9j7a`=83.?=>410e>jj:18'045=;m30b9?=:3:8?l5cl3:1(9?<:2f:?k26:38276g!26;39o56`;1381e>=n;mh1<7*;1280`<=i<881>o54i2f2>5<#<891?i74n531>7e<3`9h:7>5$530>6b>3g>:>7:?7=k9:l757<5m21b?o>50;&756<4l01e8<<52g98mc`=83.?=>4ie:l757<732cmh7>5$530>ccoaj3:1(9?<:gg8j1752:10e<8l:18'045=9?h0b9?=:198m40f290/8<=517`8j1752810e<86:18'045=9?h0b9?=:398m40?290/8<=517`8j1752:10e<88:18'045=9?h0b9?=:598m401290/8<=517`8j1752<10e<8::18'045=9?h0b9?=:798m403290/8<=517`8j1752>10e<8=:18'045=9?h0b9?=:998m406290/8<=517`8j1752010e<8?:18'045=9?h0b9?=:`98m43a290/8<=517`8j1752k10e<;j:18'045=9?h0b9?=:b98m43c290/8<=517`8j1752m10e<;l:18'045=9?h0b9?=:d98m43e290/8<=517`8j1752o10e<;n:18'045=9?h0b9?=:028?l7213:1(9?<:04a?k26:3;:76g>5683>!26;3;=n6`;13826>=n9<<1<7*;12822g=i<881=>54i076>5<#<891=;l4n531>42<3`;>87>5$530>40e3g>:>7?:;:k216<72->:?7?9b:l757<6>21b=8<50;&756<6>k1e8<<51698m436290/8<=517`8j17528207d?:0;29 174282;3:?>o63;35f>h39;0:m65f15g94?"39:0::o5a40095g=h6=4+401953de:9j51?=83.?=>4>6c9m044=9o10e<:7:18'045=9?h0b9?=:328?l73?3:1(9?<:04a?k26:38:76g>4783>!26;3;=n6`;13816>=n9=?1<7*;12822g=i<881>>54i067>5<#<891=;l4n531>72<3`;??7>5$530>40e3g>:>7<:;:k237<72->:?7?9b:l757<5>21b=:?50;&756<6>k1e8<<52698m417290/8<=517`8j1752;207d?9f;29 174282;0:?>o6>l0;6):>3;35f>h39;09m65f17f94?"39:0::o5a40096g=4>6c9m044=:o10c<6?:18'045=9>l0b9?=:198k41b290/8<=516d8j1752810c<9k:18'045=9>l0b9?=:398k41d290/8<=516d8j1752:10e?o8:18'045=:h<0b9?=:198m7g2290/8<=52`48j1752810e?o;:18'045=:h<0b9?=:398m7g4290/8<=52`48j1752:10e?o=:18'045=:h<0b9?=:598m446290/8<=51328j1752910e8;29 174288;7c:>2;32?>o68m0;6):>3;33g>h39;0;76g>0c83>!26;3;;o6`;1382?>o68h0;6):>3;33g>h39;0976g>0883>!26;3;;o6`;1380?>o6810;6):>3;33g>h39;0?76g>0683>!26;3;;o6`;1386?>o68?0;6):>3;33g>h39;0=76g>0483>!26;3;;o6`;1384?>o69?0;6):>3;33g>h39;0376g>1483>!26;3;;o6`;138:?>o69=0;6):>3;33g>h39;0j76g>1283>!26;3;;o6`;138a?>o69;0;6):>3;33g>h39;0h76g>1083>!26;3;;o6`;138g?>o6990;6):>3;33g>h39;0n76g>0g83>!26;3;;o6`;138e?>o68l0;6):>3;33g>h39;0:<65f11694?"39:0:5<#<891=>=4n531>4=5<#<891=>=4n531>6=5<#<891=>=4n531>0=5<#<891=>=4n531>2=5<#<891=>=4n531><=5<#<891=>=4n531>g=5<#<891=>=4n531>a=5<#<891=>=4n531>c=6=4+40195654;n31f?6=,=;86<=<;o626?7632c:ml4?:%627?7f12d?=?4?;:k2e=<72->:?7?n9:l757<632c:m:4?:%627?7f12d?=?4=;:k2e3<72->:?7?n9:l757<432c:m84?:%627?7f12d?=?4;;:k2e6<72->:?7?n9:l757<232c:m?4?:%627?7f12d?=?49;:k2e4<72->:?7?n9:l757<032c:m=4?:%627?7f12d?=?47;:k2=c<72->:?7?n9:l757<>32c:5h4?:%627?7f12d?=?4n;:k2=a<72->:?7?n9:l757:?7?n9:l757:?7?n9:l7570:9j5g6=83.?=>4>a89m044=9810eae83>!26;3;j56`;13820>=n9hi1<7*;1282e<=i<881=854i0ca>5<#<891=l74n531>40<3`;j87>5$530>4g>3g>:>7?8;:k2=<<72->:?7?n9:l757<6021d?l;50;&756<4i=1e8<<50:9l7d5=83.?=>4450;&756<4i=1e8<<54:9l7<`=83.?=>444=83.?=>4442;31?>i40o0;6):>3;1b0>h39;0:?65`39g94?"39:08m95a400951=o;;o626?7132e84o4?:%627?5f<2d?=?4>7:9l7=g=83.?=>466:18'045=;h>0b9?=:0;8?j5?03:1(9?<:2c7?k26:3;j76a<8683>!26;39j86`;1382f>=h;1?1<7*;1280e1=i<881=n54o2:7>5<#<891?l:4n531>4b<3f93?7>5$530>6g33g>:>7?j;:m0<7<72->:?7=n4:l757<6n21d?5?50;&756<4i=1e8<<52198k6>7290/8<=53`68j1752;;07b=8f;29 1742:k?7c:>2;01?>i4?l0;6):>3;1b0>h39;09?65`36f94?"39:08m95a400961=o;;o626?4132e8ml4?:%627?5f<2d?=?4=7:9l7d?=83.?=>4o7:18'045=;h>0b9?=:3;8?j5f?3:1(9?<:2c7?k26:38j76a!26;39j86`;1381f>=h;0i1<7*;1280e1=i<881>n54o2;2>5<#<891?l:4n531>7b<3f93:7>5$530>6g33g>:>7:?7=n4:l757<5n21bm94?:%627?g43g>:>7>4;hc1>5<#<891m>5a40095>=ni80;6):>3;c0?k26:3807do?:18'045=i:1e8<<53:9j=c<72->:?7o<;o626?2<3`3n6=4+4019e6=i<881965f9e83>!26;3k87c:>2;48?l?d290/8<=5a29m044=?21b5o4?:%627?g43g>:>764;h;b>5<#<891m>5a4009=>=n110;6):>3;c0?k26:3k07d78:18'045=i:1e8<<5b:9j=3<72->:?7o<;o626?e<3`3>6=4+4019e6=i<881h65f9583>!26;3k87c:>2;g8?l?4290/8<=5a29m044=n21b5?4?:%627?g43g>:>7??;:k:5?6=,=;86l=4n531>47<3`3;6=4+4019e6=i<881=?54i9d94?"39:0j?6`;13827>=nij0;6):>3;c0?k26:3;?76gnb;29 1742h90b9?=:078?lgf290/8<=5a29m044=9?10el750;&7567:9je=<72->:?7o<;o626?7?32cj;7>5$530>d55<#<891m>5a40095d=h39;0:n65f9883>!26;3k87c:>2;3`?>o?m3:1(9?<:`18j17528n07b:?b;29 1742=:j7c:>2;28?j2713:1(9?<:52b?k26:3;07b:?8;29 1742=:j7c:>2;08?j27?3:1(9?<:52b?k26:3907b:?6;29 1742=:j7c:>2;68?j27=3:1(9?<:52b?k26:3?07b:?4;29 1742=:j7c:>2;48?j27;3:1(9?<:52b?k26:3=07b:?1;29 1742=:j7c:>2;:8?j2783:1(9?<:52b?k26:3307b=if;29 1742=:j7c:>2;c8?j5am3:1(9?<:52b?k26:3h07b=id;29 1742=:j7c:>2;a8?j5ak3:1(9?<:52b?k26:3n07b=ib;29 1742=:j7c:>2;g8?j5ai3:1(9?<:52b?k26:3l07b=i9;29 1742=:j7c:>2;33?>i4n10;6):>3;63e>h39;0:=65`3g494?"39:0?6=4+401905gn;o626?7332e8j>4?:%627?27i2d?=?4>5:9l7c4=83.?=>4;0`9m044=9?10c>h>:18'045=<9k0b9?=:058?j5a83:1(9?<:52b?k26:3;376a!26;3>;m6`;1382=>=h;lo1<7*;12874d=i<881=l54o2gg>5<#<8918=o4n531>4d<3f9nn7>5$530>16f3g>:>7?l;:m0ad<72->:?7:?a:l757<6l21d?h750;&756<38h1e8<<51d98k6c?290/8<=541c8j17528l07b=j7;29 1742=:j7c:>2;03?>i4m?0;6):>3;63e>h39;09=65`3d794?"39:0?n;o626?4332e8i?4?:%627?27i2d?=?4=5:9l047=83.?=>4;0`9m044=:?10c9??:18'045=<9k0b9?=:358?j27n3:1(9?<:52b?k26:38376a;0d83>!26;3>;m6`;1381=>=h<9n1<7*;12874d=i<881>l54o52`>5<#<8918=o4n531>7d<3f>;>7>5$530>16f3g>:>7:?7:?a:l757<5l21d?hm50;&756<38h1e8<<52d98k6c6290/8<=541c8j1752;l07dm;:18'045=k:1e8<<50:9jg7<72->:?7m<;o626?7<3`i:6=4+4019g6=i<881>65fc183>!26;3i87c:>2;18?lda290/8<=5c29m044=<21bnh4?:%627?e43g>:>7;4;h`g>5<#<891o>5a40092>=njj0;6):>3;a0?k26:3=07dlm:18'045=k:1e8<<58:9jfd<72->:?7m<;o626??<3`h36=4+4019g6=i<881m65fb683>!26;3i87c:>2;`8?ld1290/8<=5c29m044=k21bn84?:%627?e43g>:>7j4;h`7>5<#<891o>5a4009a>=nj:0;6):>3;a0?k26:3l07dl=:18'045=k:1e8<<51198mg7=83.?=>4l3:l757<6921bn=4?:%627?e43g>:>7?=;:kbb?6=,=;86n=4n531>45<3`ih6=4+4019g6=i<881=954ib`94?"39:0h?6`;13821>=nkh0;6):>3;a0?k26:3;=76gl9;29 1742j90b9?=:058?le?290/8<=5c29m044=9110en950;&7569:9jg3<72->:?7m<;o626?7f32ch97>5$530>f55<#<891o>5a40095f=h39;0:h65f16c94?"39:0:;45a40094>=n9>21<7*;12823<=i<881=65f16594?"39:0:;45a40096>=zj<9i6=4>a083>5}#<;o1>594H51g?M2492P:?k4>0z6g>2>=?>03?79l:5c951<0>3=964n022>4=#9981?;o4$020>71a3g;:;7?4n01f>4=#9=:1>:h4n055>4=i9>h1=6`>9782?k4?>3;0b?o7:09'6g5=:020b?l;:09m720=82.8mi4:;o621?7<,=8=6?l<;%613?5fl2.???4;2e9'065=:k?0(9=;:24:?!24=39=h6a>o39l0;66g>8783>>o60=0;66a=b683>>o6010;66g;2383>>o5j?0;66g>8683>>o39o0;66g>8g83>>i6?=0;66g;1`83>>o6000;66a;2g83>>i4io0;66g;1b83>>o39k0;66g>8c83>>o3:90;66g>8483>>o60:0;66g>9383>>o60;0;66g;1e83>>i4?10;66g>8`83>>o60l0;66g>7483>>o6?:0;66g;2083>>o60m0;66g;2283>>ib;3:1(9?<:d08j1752910ch?50;&756;:mf4?6=,=;86h<4n531>7=h39;0876ake;29 1742l80b9?=:598kab=83.?=>4j2:l757<232eoo7>5$530>`46`;1384?>ici3:1(9?<:d08j1752110ci750;&756d=h39;0i76ak5;29 1742l80b9?=:b98ka2=83.?=>4j2:l7575$530>`46`;138e?>ic93:1(9?<:d08j17528:07bj?:18'045=m;1e8<<51098kf`=83.?=>4j2:l757<6:21doh4?:%627?c53g>:>7?<;:mff?6=,=;86h<4n531>42<3foj6=4+4019a7=i<881=854od;94?"39:0n>6`;13822>=hm10;6):>3;g1?k26:3;<76aj7;29 1742l80b9?=:0:8?jc1290/8<=5e39m044=9010ch;50;&756a:9la1<72->:?7k=;o626?7e32eo47>5$530>`45<#<891i?5a40095a=6=4+40197225<#<891?::4n531>4=5<#<891?::4n531>6=5<#<891?::4n531>0=5<#<891?i74n531>5=5<#<891?i74n531>7=54i2f6>5<#<891?i74n531>1=5<#<891?i74n531>3=5<#<891?i74n531>==5<#<891?i74n531>d=5<#<891?i74n531>f=5<#<891?i74n531>`=5<#<891?i74n531>46<3`9h;7>5$530>6b>3g>:>7?>;:k0g0<72->:?7=k9:l757<6:21b?n:50;&756<4l01e8<<51298m6e4290/8<=53e;8j17528>07d=l2;29 1742:n27c:>2;36?>o4k80;6):>3;1g=>h39;0::65f3b294?"39:08h45a400952=j6;o626?7>32c8ni4?:%627?5c12d?=?4>a:9j7ge=83.?=>4ln:18'045=;m30b9?=:0a8?l5e13:1(9?<:2f:?k26:3;o76g!26;39o56`;1382a>=n;k=1<7*;1280`<=i<881=k54i2`5>5<#<891?i74n531>76<3`9i97>5$530>6b>3g>:>7<>;:k0f1<72->:?7=k9:l757<5:21b?o=50;&756<4l01e8<<52298m6d5290/8<=53e;8j1752;>07d=m1;29 1742:n27c:>2;06?>o4m90;6):>3;1g=>h39;09:65f3ed94?"39:08h45a400962=j6;o626?4>32c8hn4?:%627?5c12d?=?4=a:9j7ad=83.?=>4j>:18'045=;m30b9?=:3a8?l5d>3:1(9?<:2f:?k26:38o76g!26;39o56`;1381a>=n;k:1<7*;1280`<=i<881>k54igd94?"39:0mi6`;1383?>oal3:1(9?<:gg8j1752810ekm50;&7566=5<#<891=;l4n531>4=5<#<891=;l4n531>6=5<#<891=;l4n531>0=6=4+401953d5<#<891=;l4n531>2=5<#<891=;l4n531><=5<#<891=;l4n531>g=5<#<891=;l4n531>a=5<#<891=;l4n531>c=4;h36=?6=,=;86<8m;o626?7632c:9:4?:%627?71j2d?=?4>2:9j500=83.?=>4>6c9m044=9:10e<;::18'045=9?h0b9?=:068?l72<3:1(9?<:04a?k26:3;>76g>5283>!26;3;=n6`;13822>=n9<81<7*;12822g=i<881=:54i072>5<#<891=;l4n531>4><3`;><7>5$530>40e3g>:>7?6;:k20c<72->:?7?9b:l757<6i21b=9k50;&756<6>k1e8<<51c98m42d290/8<=517`8j17528i07d?;b;29 174282;3g?>o63;35f>h39;0:i65f15;94?"39:0::o5a40095c=36=4+401953d4;h373?6=,=;86<8m;o626?4632c:8;4?:%627?71j2d?=?4=2:9j513=83.?=>4>6c9m044=::10e<:;:18'045=9?h0b9?=:368?l73;3:1(9?<:04a?k26:38>76g>7383>!26;3;=n6`;13812>=n9>;1<7*;12822g=i<881>:54i053>5<#<891=;l4n531>7><3`;=j7>5$530>40e3g>:>7<6;:k22`<72->:?7?9b:l757<5i21b=;j50;&756<6>k1e8<<52c98m404290/8<=517`8j1752;i07d?:8;29 174282;0g?>o63;35f>h39;09i65f15094?"39:0::o5a40096c=5<#<891=:h4n531>4=5<#<891=:h4n531>6=5<#<891>l84n531>4=5<#<891>l84n531>6=5<#<891=?>4n531>5=5<#<891=?>4n531>7=54i03`>5<#<891=?>4n531>1=5<#<891=?>4n531>3=5<#<891=?>4n531>==5<#<891=?>4n531>d=5<#<891=?>4n531>f=6=4+40195765<#<891=?>4n531>`=5<#<891=?>4n531>46<3`;:47>5$530>4473g>:>7?>;:k24a<72->:?7??c:l757<732c:;:k24d<72->:?7??c:l757<532c:<44?:%627?77k2d?=?4<;:k24=<72->:?7??c:l757<332c:<:4?:%627?77k2d?=?4:;:k243<72->:?7??c:l757<132c:<84?:%627?77k2d?=?48;:k253<72->:?7??c:l757:?7??c:l7574?:%627?77k2d?=?4m;:k257<72->:?7??c:l757:?7??c:l757:?7??c:l757<6821b==:50;&756<68j1e8<<51098k453290/8<=51218j1752910c<==:18'045=9:90b9?=:098k456290/8<=51218j1752;10c<=?:18'045=9:90b9?=:298k44a290/8<=51218j1752=10c<290/8<=51218j1752j10c<=7:18'045=9:90b9?=:e98k450290/8<=51218j1752l10c<=9:18'045=9:90b9?=:g98k452290/8<=51218j17528:07b?=b;29 17428987c:>2;32?>o6ih0;6):>3;3b=>h39;0;76g>a983>!26;3;j56`;1382?>o6i>0;6):>3;3b=>h39;0976g>a783>!26;3;j56`;1380?>o6i<0;6):>3;3b=>h39;0?76g>a283>!26;3;j56`;1386?>o6i;0;6):>3;3b=>h39;0=76g>a083>!26;3;j56`;1384?>o6i90;6):>3;3b=>h39;0376g>9g83>!26;3;j56`;138:?>o61l0;6):>3;3b=>h39;0j76g>9e83>!26;3;j56`;138a?>o61j0;6):>3;3b=>h39;0h76g>9c83>!26;3;j56`;138g?>o61h0;6):>3;3b=>h39;0n76g>b383>!26;3;j56`;138e?>o6j80;6):>3;3b=>h39;0:<65f1c294?"39:0:m45a400954=4:9j5de=83.?=>4>a89m044=9<10e9883>!26;3;j56`;1382<>=h;h?1<7*;1280e1=i<881<65`3`194?"39:08m95a40095>=h;h81<7*;1280e1=i<881>65`3`394?"39:08m95a40097>=h;h:1<7*;1280e1=i<881865`38d94?"39:08m95a40091>=h;0o1<7*;1280e1=i<881:65`38f94?"39:08m95a40093>=h;0h1<7*;1280e1=i<881465`38c94?"39:08m95a4009=>=h;031<7*;1280e1=i<881m65`38:94?"39:08m95a4009f>=h;0=1<7*;1280e1=i<881o65`38494?"39:08m95a4009`>=h;0?1<7*;1280e1=i<881i65`38694?"39:08m95a4009b>=h;091<7*;1280e1=i<881==54o2;1>5<#<891?l:4n531>47<3f92<7>5$530>6g33g>:>7?=;:m0:?7=n4:l757<6;21d?5k50;&756<4i=1e8<<51598k6>c290/8<=53`68j17528?07b=7c;29 1742:k?7c:>2;35?>i40k0;6):>3;1b0>h39;0:;65`39c94?"39:08m95a40095==o;;o626?7f32e84:4?:%627?5f<2d?=?4>b:9l7=3=83.?=>46;:18'045=;h>0b9?=:0f8?j5?;3:1(9?<:2c7?k26:3;n76a<8383>!26;39j86`;1382b>=h;1;1<7*;1280e1=i<881>=54o2:3>5<#<891?l:4n531>77<3f95$530>6g33g>:>7<=;:m03`<72->:?7=n4:l757<5;21d?:j50;&756<4i=1e8<<52598k61d290/8<=53`68j1752;?07b=nb;29 1742:k?7c:>2;05?>i4ih0;6):>3;1b0>h39;09;65`3`;94?"39:08m95a40096==o;;o626?4f32e8m;4?:%627?5f<2d?=?4=b:9l747>:18'045=;h>0b9?=:3f8?j5?>3:1(9?<:2c7?k26:38n76a<7c83>!26;39j86`;1381b>=ni=0;6):>3;c0?k26:3:07do=:18'045=i:1e8<<51:9je4<72->:?7o<;o626?4<3`k;6=4+4019e6=i<881?65f9g83>!26;3k87c:>2;68?l?b290/8<=5a29m044==21b5i4?:%627?g43g>:>784;h;`>5<#<891m>5a40093>=n1k0;6):>3;c0?k26:3207d7n:18'045=i:1e8<<59:9j==<72->:?7o<;o626?g<3`3<6=4+4019e6=i<881n65f9783>!26;3k87c:>2;a8?l?2290/8<=5a29m044=l21b594?:%627?g43g>:>7k4;h;0>5<#<891m>5a4009b>=n1;0;6):>3;c0?k26:3;;76g61;29 1742h90b9?=:038?l?7290/8<=5a29m044=9;10e5h50;&7563:9jef<72->:?7o<;o626?7332cjn7>5$530>d55<#<891m>5a400953=h39;0:;65fa983>!26;3k87c:>2;3;?>of?3:1(9?<:`18j17528307do9:18'045=i:1e8<<51`98md3=83.?=>4n3:l757<6j21b544?:%627?g43g>:>7?l;:k;a?6=,=;86l=4n531>4b<3f>;n7>5$530>16f3g>:>7>4;n63=?6=,=;869>n;o626?7<3f>;47>5$530>16f3g>:>7<4;n633?6=,=;869>n;o626?5<3f>;:7>5$530>16f3g>:>7:4;n631?6=,=;869>n;o626?3<3f>;87>5$530>16f3g>:>784;n637?6=,=;869>n;o626?1<3f>;=7>5$530>16f3g>:>764;n634?6=,=;869>n;o626??<3f9mj7>5$530>16f3g>:>7o4;n1ea?6=,=;869>n;o626?d<3f9mh7>5$530>16f3g>:>7m4;n1eg?6=,=;869>n;o626?b<3f9mn7>5$530>16f3g>:>7k4;n1ee?6=,=;869>n;o626?`<3f9m57>5$530>16f3g>:>7??;:m0b=<72->:?7:?a:l757<6921d?k850;&756<38h1e8<<51398k6`2290/8<=541c8j17528907b=i4;29 1742=:j7c:>2;37?>i4n:0;6):>3;63e>h39;0:965`3g094?"39:0?n;o626?7?32e8ik4?:%627?27i2d?=?4>9:9l7`c=83.?=>4;0`9m044=9h10c>kk:18'045=<9k0b9?=:0`8?j5bj3:1(9?<:52b?k26:3;h76a!26;3>;m6`;1382`>=h;l31<7*;12874d=i<881=h54o2g;>5<#<8918=o4n531>4`<3f9n;7>5$530>16f3g>:>7:?7:?a:l757<5921d?h;50;&756<38h1e8<<52398k6c3290/8<=541c8j1752;907b=j3;29 1742=:j7c:>2;07?>i4m;0;6):>3;63e>h39;09965`40394?"39:0?n;o626?4?32e?4;0`9m044=:h10c9>l:18'045=<9k0b9?=:3`8?j27:3:1(9?<:52b?k26:38h76a!26;3>;m6`;1381`>=h;li1<7*;12874d=i<881>h54o2g2>5<#<8918=o4n531>7`<3`i?6=4+4019g6=i<881<65fc383>!26;3i87c:>2;38?le6290/8<=5c29m044=:21bo=4?:%627?e43g>:>7=4;h`e>5<#<891o>5a40090>=njl0;6):>3;a0?k26:3?07dlk:18'045=k:1e8<<56:9jff<72->:?7m<;o626?1<3`hi6=4+4019g6=i<881465fb`83>!26;3i87c:>2;;8?ld?290/8<=5c29m044=i21bn:4?:%627?e43g>:>7l4;h`5>5<#<891o>5a4009g>=nj<0;6):>3;a0?k26:3n07dl;:18'045=k:1e8<<5e:9jf6<72->:?7m<;o626?`<3`h96=4+4019g6=i<881==54ic394?"39:0h?6`;13825>=nj90;6):>3;a0?k26:3;976gnf;29 1742j90b9?=:018?led290/8<=5c29m044=9=10enl50;&7565:9jgd<72->:?7m<;o626?7132ch57>5$530>f55<#<891o>5a40095==h39;0:565fc783>!26;3i87c:>2;3b?>od=3:1(9?<:b18j17528h07dl6:18'045=k:1e8<<51b98mdc=83.?=>4l3:l757<6l21b=:o50;&756<6?01e8<<50:9j52>=83.?=>4>789m044=921b=:950;&756<6?01e8<<52:9~f05d290:m<4?:1y'07c=:1=0D9=k;I605>\6;o0:13=?<0?47s+36595dc03gko6<5af`82?k7783:0b<>>:09'554=;?k0(<><:35e?k76?3;0b<=j:09'516=:>l0b<99:09m52d=92d:5;4>;o0;2?7"4im0>7c:>5;38 1412;h87):=7;1b`>"3;;0?>i5+42196g3<,=9?6>86;%601?51l2e8mh4?::k75`<722c:4;4?::k2<1<722e9n:4?::k2<=<722c?>?4?::k1f3<722c:4:4?::k75c<722c:4k4?::m231<722c?=l4?::k2<<<722e?>k4?::m0ec<722c?=n4?::k75g<722c:4o4?::k765<722c:484?::k2<6<722c:5?4?::k2<7<722c?=i4?::m03=<722c:4l4?::k2<`<722c:;84?::k236<722c?><4?::k2>4?::mf7?6=,=;86h<4n531>5=h39;0:76aj0;29 1742l80b9?=:398ka`=83.?=>4j2:l757<432eoi7>5$530>`46`;1386?>ick3:1(9?<:d08j1752?10cil50;&756==h39;0276ak7;29 1742l80b9?=:`98ka0=83.?=>4j2:l7575$530>`46`;138g?>ic;3:1(9?<:d08j1752l10ci<50;&75646<3fn;6=4+4019a7=i<881=<54obd94?"39:0n>6`;13826>=hkl0;6):>3;g1?k26:3;876ajb;29 1742l80b9?=:068?jcf290/8<=5e39m044=9<10ch750;&7566:9la=<72->:?7k=;o626?7032en;7>5$530>`45<#<891i?5a40095<=h39;0:m65`e583>!26;3o97c:>2;3a?>ic03:1(9?<:d08j17528i07bmk:18'045=m;1e8<<51e98m612290/8<=53668j1752910e>9<:18'045=;>>0b9?=:098m615290/8<=53668j1752;10e>9>:18'045=;>>0b9?=:298m617290/8<=53668j1752=10e>8i:18'045=;>>0b9?=:498m60b290/8<=53668j1752?10e>jn:18'045=;m30b9?=:198m6b?290/8<=53e;8j1752810e>j8:18'045=;m30b9?=:398m6b1290/8<=53e;8j1752:10e>j::18'045=;m30b9?=:598m6b3290/8<=53e;8j1752<10e>j<:18'045=;m30b9?=:798m6b5290/8<=53e;8j1752>10e>j?:18'045=;m30b9?=:998m6ea290/8<=53e;8j1752010e>mj:18'045=;m30b9?=:`98m6ec290/8<=53e;8j1752k10e>ml:18'045=;m30b9?=:b98m6ee290/8<=53e;8j1752m10e>mn:18'045=;m30b9?=:d98m6e>290/8<=53e;8j1752o10e>m7:18'045=;m30b9?=:028?l5d?3:1(9?<:2f:?k26:3;:76g!26;39o56`;13826>=n;j>1<7*;1280`<=i<881=>54i2a0>5<#<891?i74n531>42<3`9h>7>5$530>6b>3g>:>7?:;:k0g4<72->:?7=k9:l757<6>21b?n>50;&756<4l01e8<<51698m6da290/8<=53e;8j17528207d=me;29 1742:n27c:>2;3:?>o4jm0;6):>3;1g=>h39;0:m65f3ca94?"39:08h45a40095g=j6;o626?7c32c8n54?:%627?5c12d?=?4>e:9j7g1=83.?=>4l9:18'045=;m30b9?=:328?l5e=3:1(9?<:2f:?k26:38:76g!26;39o56`;13816>=n;k91<7*;1280`<=i<881>>54i2`1>5<#<891?i74n531>72<3`9i=7>5$530>6b>3g>:>7<:;:k0a5<72->:?7=k9:l757<5>21b?ih50;&756<4l01e8<<52698m6bb290/8<=53e;8j1752;207d=kd;29 1742:n27c:>2;0:?>o4lj0;6):>3;1g=>h39;09m65f3e`94?"39:08h45a40096g=j6;o626?4c32c8no4?:%627?5c12d?=?4=e:9j7g6=83.?=>44=h39;0976gib;29 1742oo0b9?=:298m40d290/8<=517`8j1752910e<8n:18'045=9?h0b9?=:098m40>290/8<=517`8j1752;10e<87:18'045=9?h0b9?=:298m400290/8<=517`8j1752=10e<89:18'045=9?h0b9?=:498m402290/8<=517`8j1752?10e<8;:18'045=9?h0b9?=:698m405290/8<=517`8j1752110e<8>:18'045=9?h0b9?=:898m407290/8<=517`8j1752h10e<;i:18'045=9?h0b9?=:c98m43b290/8<=517`8j1752j10e<;k:18'045=9?h0b9?=:e98m43d290/8<=517`8j1752l10e<;m:18'045=9?h0b9?=:g98m43f290/8<=517`8j17528:07d?:9;29 174282;32?>o6=>0;6):>3;35f>h39;0:>65f14494?"39:0::o5a400956=6=4+401953d4?:%627?71j2d?=?4>6:9j504=83.?=>4>6c9m044=9>10e<;>:18'045=9?h0b9?=:0:8?l7283:1(9?<:04a?k26:3;276g>4g83>!26;3;=n6`;1382e>=n9=o1<7*;12822g=i<881=o54i06`>5<#<891=;l4n531>4e<3`;?n7>5$530>40e3g>:>7?k;:k20d<72->:?7?9b:l757<6m21b=9750;&756<6>k1e8<<51g98m42?290/8<=517`8j1752;:07d?;7;29 174282;02?>o63;35f>h39;09>65f15794?"39:0::o5a400966=?6=4+401953d4>6c9m044=:>10e<9?:18'045=9?h0b9?=:3:8?l71n3:1(9?<:04a?k26:38276g>6d83>!26;3;=n6`;1381e>=n9?n1<7*;12822g=i<881>o54i040>5<#<891=;l4n531>7e<3`;>47>5$530>40e3g>:>7:?7?9b:l757<5m21b=9<50;&756<6>k1e8<<52g98k4>7290/8<=516d8j1752910c<9j:18'045=9>l0b9?=:098k41c290/8<=516d8j1752;10c<9l:18'045=9>l0b9?=:298m7g0290/8<=52`48j1752910e?o::18'045=:h<0b9?=:098m7g3290/8<=52`48j1752;10e?o<:18'045=:h<0b9?=:298m7g5290/8<=52`48j1752=10e<<>:18'045=9;:0b9?=:198m47a290/8<=51328j1752810e290/8<=51328j1752>10e<290/8<=51328j1752010e<<7:18'045=9;:0b9?=:`98m440290/8<=51328j1752k10e<<9:18'045=9;:0b9?=:b98m442290/8<=51328j1752m10e<<;:18'045=9;:0b9?=:d98m444290/8<=51328j1752o10e<<=:18'045=9;:0b9?=:028?l7603:1(9?<:003?k26:3;:76g>0e83>!26;3;;o6`;1383?>o68k0;6):>3;33g>h39;0:76g>0`83>!26;3;;o6`;1381?>o6800;6):>3;33g>h39;0876g>0983>!26;3;;o6`;1387?>o68>0;6):>3;33g>h39;0>76g>0783>!26;3;;o6`;1385?>o68<0;6):>3;33g>h39;0<76g>1783>!26;3;;o6`;138;?>o69<0;6):>3;33g>h39;0276g>1583>!26;3;;o6`;138b?>o69:0;6):>3;33g>h39;0i76g>1383>!26;3;;o6`;138`?>o6980;6):>3;33g>h39;0o76g>1183>!26;3;;o6`;138f?>o68o0;6):>3;33g>h39;0m76g>0d83>!26;3;;o6`;13824>=n99>1<7*;12824f=i<881=<54o017>5<#<891=>=4n531>5=5<#<891=>=4n531>7=54o00e>5<#<891=>=4n531>1=5<#<891=>=4n531>3=5<#<891=>=4n531>==5<#<891=>=4n531>d=5<#<891=>=4n531>f=5<#<891=>=4n531>`=5<#<891=>=4n531>46<3f;9n7>5$530>4543g>:>7?>;:k2ed<72->:?7?n9:l757<732c:m54?:%627?7f12d?=?4>;:k2e2<72->:?7?n9:l757<532c:m;4?:%627?7f12d?=?4<;:k2e0<72->:?7?n9:l757<332c:m>4?:%627?7f12d?=?4:;:k2e7<72->:?7?n9:l757<132c:m<4?:%627?7f12d?=?48;:k2e5<72->:?7?n9:l757:?7?n9:l757:?7?n9:l757:?7?n9:l757:?7?n9:l757<6821b=o>50;&756<6i01e8<<51098m4ga290/8<=51`;8j17528807d?ne;29 17428k27c:>2;30?>o6im0;6):>3;3b=>h39;0:865f1`a94?"39:0:m45a400950=8:9l7d3=83.?=>444421d?4j50;&756<4i=1e8<<57:9l7444447=:18'045=;h>0b9?=:038?j5>83:1(9?<:2c7?k26:3;976a<8g83>!26;39j86`;13827>=h;1o1<7*;1280e1=i<881=954o2:g>5<#<891?l:4n531>43<3f93o7>5$530>6g33g>:>7?9;:m0:?7=n4:l757<6?21d?5o50;&756<4i=1e8<<51998k6>>290/8<=53`68j17528307b=78;29 1742:k?7c:>2;3b?>i40>0;6):>3;1b0>h39;0:n65`39794?"39:08m95a40095f=o;;o626?7b32e84?4?:%627?5f<2d?=?4>f:9l7=7=83.?=>46?:18'045=;h>0b9?=:338?j50n3:1(9?<:2c7?k26:38976a<7d83>!26;39j86`;13817>=h;>n1<7*;1280e1=i<881>954o25`>5<#<891?l:4n531>73<3f9jn7>5$530>6g33g>:>7<9;:m0ed<72->:?7=n4:l757<5?21d?l750;&756<4i=1e8<<52998k6g?290/8<=53`68j1752;307b=n7;29 1742:k?7c:>2;0b?>i4i?0;6):>3;1b0>h39;09n65`38a94?"39:08m95a40096f=o;;o626?4b32e8;o4?:%627?5f<2d?=?4=f:9je1<72->:?7o<;o626?6<3`k96=4+4019e6=i<881=65fa083>!26;3k87c:>2;08?lg7290/8<=5a29m044=;21b5k4?:%627?g43g>:>7:4;h;f>5<#<891m>5a40091>=n1m0;6):>3;c0?k26:3<07d7l:18'045=i:1e8<<57:9j=g<72->:?7o<;o626?><3`3j6=4+4019e6=i<881565f9983>!26;3k87c:>2;c8?l?0290/8<=5a29m044=j21b5;4?:%627?g43g>:>7m4;h;6>5<#<891m>5a4009`>=n1=0;6):>3;c0?k26:3o07d7<:18'045=i:1e8<<5f:9j=7<72->:?7o<;o626?7732c2=7>5$530>d55<#<891m>5a400957=h39;0:?65fab83>!26;3k87c:>2;37?>ofj3:1(9?<:`18j17528?07don:18'045=i:1e8<<51798md?=83.?=>4n3:l757<6?21bm54?:%627?g43g>:>7?7;:kb3?6=,=;86l=4n531>4?<3`k=6=4+4019e6=i<881=l54i`794?"39:0j?6`;1382f>=n100;6):>3;c0?k26:3;h76g7e;29 1742h90b9?=:0f8?j27j3:1(9?<:52b?k26:3:07b:?9;29 1742=:j7c:>2;38?j2703:1(9?<:52b?k26:3807b:?7;29 1742=:j7c:>2;18?j27>3:1(9?<:52b?k26:3>07b:?5;29 1742=:j7c:>2;78?j27<3:1(9?<:52b?k26:3<07b:?3;29 1742=:j7c:>2;58?j2793:1(9?<:52b?k26:3207b:?0;29 1742=:j7c:>2;;8?j5an3:1(9?<:52b?k26:3k07b=ie;29 1742=:j7c:>2;`8?j5al3:1(9?<:52b?k26:3i07b=ic;29 1742=:j7c:>2;f8?j5aj3:1(9?<:52b?k26:3o07b=ia;29 1742=:j7c:>2;d8?j5a13:1(9?<:52b?k26:3;;76a!26;3>;m6`;13825>=h;o<1<7*;12874d=i<881=?54o2d6>5<#<8918=o4n531>45<3f9m87>5$530>16f3g>:>7?;;:m0b6<72->:?7:?a:l757<6=21d?k<50;&756<38h1e8<<51798k6`6290/8<=541c8j17528=07b=i0;29 1742=:j7c:>2;3;?>i4mo0;6):>3;63e>h39;0:565`3dg94?"39:0?n;o626?7d32e8il4?:%627?27i2d?=?4>d:9l7`?=83.?=>4;0`9m044=9l10c>k7:18'045=<9k0b9?=:0d8?j5b?3:1(9?<:52b?k26:38;76a!26;3>;m6`;13815>=h;l?1<7*;12874d=i<881>?54o2g7>5<#<8918=o4n531>75<3f9n?7>5$530>16f3g>:>7<;;:m0a7<72->:?7:?a:l757<5=21d82;0;?>i38l0;6):>3;63e>h39;09565`41f94?"39:0?n;o626?4d32e8j:4?:%627?27i2d?=?4=d:9l7`e=83.?=>4;0`9m044=:l10c>k>:18'045=<9k0b9?=:3d8?le3290/8<=5c29m044=821bo?4?:%627?e43g>:>7?4;ha2>5<#<891o>5a40096>=nk90;6):>3;a0?k26:3907dli:18'045=k:1e8<<54:9jf`<72->:?7m<;o626?3<3`ho6=4+4019g6=i<881:65fbb83>!26;3i87c:>2;58?lde290/8<=5c29m044=021bnl4?:%627?e43g>:>774;h`;>5<#<891o>5a4009e>=nj>0;6):>3;a0?k26:3h07dl9:18'045=k:1e8<<5c:9jf0<72->:?7m<;o626?b<3`h?6=4+4019g6=i<881i65fb283>!26;3i87c:>2;d8?ld5290/8<=5c29m044=9910eo?50;&7561:9jf5<72->:?7m<;o626?7532cjj7>5$530>f55<#<891o>5a400951=h39;0:965fc`83>!26;3i87c:>2;35?>od13:1(9?<:b18j17528=07dm7:18'045=k:1e8<<51998mf1=83.?=>4l3:l757<6121bo;4?:%627?e43g>:>7?n;:k`1?6=,=;86n=4n531>4d<3`h26=4+4019g6=i<881=n54i`g94?"39:0h?6`;1382`>=n9>k1<7*;12823<=i<881<65f16:94?"39:0:;45a40095>=n9>=1<7*;12823<=i<881>65rb41g>5<6i80;6=u+43g96=1<@=9o7E:<1:X27c<68r>o6:65768;7?1d2=k1=9486;51>47=<00<<7??:57930<303w/?:951`g4?kgc281ejl4>;o334?6h61?0:7c<76;38j7g?281/>o=528:8j7d3281e?:850:&0ea<23g>:97?4$505>7d43->9;7=nd:&777<3:m1/8>=52c78 1532:<27):<5;15`>i4il0;66g;1d83>>o60?0;66g>8583>>i5j>0;66g>8983>>o3:;0;66g=b783>>o60>0;66g;1g83>>o60o0;66a>7583>>o39h0;66g>8883>>i3:o0;66a>o39j0;66g;1c83>>o60k0;66g;2183>>o60<0;66g>8283>>o61;0;66g>8383>>o39m0;66a<7983>>o60h0;66g>8d83>>o6?<0;66g>7283>>o3:80;66g>8e83>>o3::0;66aj3;29 1742l80b9?=:198k`7=83.?=>4j2:l757<632en<7>5$530>`46`;1380?>icm3:1(9?<:d08j1752=10cij50;&7563=h39;0<76aka;29 1742l80b9?=:998ka?=83.?=>4j2:l757<>32eo;7>5$530>`46`;138a?>ic=3:1(9?<:d08j1752j10ci:50;&756`=h39;0m76ak1;29 1742l80b9?=:028?jb7290/8<=5e39m044=9810cnh50;&7562:9lg`<72->:?7k=;o626?7432enn7>5$530>`45<#<891i?5a400950=h39;0::65`e983>!26;3o97c:>2;34?>ib?3:1(9?<:d08j17528207bk9:18'045=m;1e8<<51898k`3=83.?=>4j2:l757<6i21di94?:%627?c53g>:>7?m;:mg4e<3fio6=4+4019a7=i<881=i54i256>5<#<891?::4n531>5=5<#<891?::4n531>7=54i253>5<#<891?::4n531>1=5<#<891?::4n531>3=5<#<891?i74n531>4=5<#<891?i74n531>6=6=4+40197a?5<#<891?i74n531>0=5<#<891?i74n531>2=5<#<891?i74n531><=5<#<891?i74n531>g=5<#<891?i74n531>a=5<#<891?i74n531>c=4;h1`3?6=,=;86>j6;o626?7632c8o84?:%627?5c12d?=?4>2:9j7f2=83.?=>4m<:18'045=;m30b9?=:068?l5d:3:1(9?<:2f:?k26:3;>76g!26;39o56`;13822>=n;j:1<7*;1280`<=i<881=:54i2`e>5<#<891?i74n531>4><3`9ii7>5$530>6b>3g>:>7?6;:k0fa<72->:?7=k9:l757<6i21b?om50;&756<4l01e8<<51c98m6df290/8<=53e;8j17528i07d=m9;29 1742:n27c:>2;3g?>o4j10;6):>3;1g=>h39;0:i65f3c594?"39:08h45a40095c=4;h1a1?6=,=;86>j6;o626?4632c8n94?:%627?5c12d?=?4=2:9j7g5=83.?=>4l=:18'045=;m30b9?=:368?l5e93:1(9?<:2f:?k26:38>76g!26;39o56`;13812>=n;ml1<7*;1280`<=i<881>:54i2ff>5<#<891?i74n531>7><3`9oh7>5$530>6b>3g>:>7<6;:k0`f<72->:?7=k9:l757<5i21b?il50;&756<4l01e8<<52c98m6b6290/8<=53e;8j1752;i07d=l6;29 1742:n27c:>2;0g?>o4jk0;6):>3;1g=>h39;09i65f3c294?"39:08h45a40096c=h39;0;76gid;29 1742oo0b9?=:098mce=83.?=>4ie:l757<532cmn7>5$530>cc54i04`>5<#<891=;l4n531>5=5<#<891=;l4n531>7=54i044>5<#<891=;l4n531>1=5<#<891=;l4n531>3=5<#<891=;l4n531>==5<#<891=;l4n531>d=5<#<891=;l4n531>f=5<#<891=;l4n531>`=5<#<891=;l4n531>46<3`;>57>5$530>40e3g>:>7?>;:k212<72->:?7?9b:l757<6:21b=8850;&756<6>k1e8<<51298m432290/8<=517`8j17528>07d?:4;29 174282;36?>o6=:0;6):>3;35f>h39;0::65f14094?"39:0::o5a400952=32c:8k4?:%627?71j2d?=?4>a:9j51c=83.?=>4>6c9m044=9k10e<:l:18'045=9?h0b9?=:0a8?l73j3:1(9?<:04a?k26:3;o76g>4`83>!26;3;=n6`;1382a>=n9=31<7*;12822g=i<881=k54i06;>5<#<891=;l4n531>76<3`;?;7>5$530>40e3g>:>7<>;:k203<72->:?7?9b:l757<5:21b=9;50;&756<6>k1e8<<52298m423290/8<=517`8j1752;>07d?;3;29 174282;06?>o6?;0;6):>3;35f>h39;09:65f16394?"39:0::o5a400962=32c::h4?:%627?71j2d?=?4=a:9j53b=83.?=>4>6c9m044=:k10e<8<:18'045=9?h0b9?=:3a8?l7203:1(9?<:04a?k26:38o76g>4e83>!26;3;=n6`;1381a>=n9=81<7*;12822g=i<881>k54o0:3>5<#<891=:h4n531>5=5<#<891=:h4n531>7=54i3c4>5<#<891>l84n531>5=6=4+40196d05<#<891>l84n531>7=54i3c1>5<#<891>l84n531>1=5<#<891=?>4n531>4=5<#<891=?>4n531>6=5<#<891=?>4n531>0=5<#<891=?>4n531>2=5<#<891=?>4n531><=5<#<891=?>4n531>g=5<#<891=?>4n531>a=5<#<891=?>4n531>c=4;h32:?7??c:l757<632c::?7??c:l757<432c:<54?:%627?77k2d?=?4;;:k242<72->:?7??c:l757<232c:<;4?:%627?77k2d?=?49;:k240<72->:?7??c:l757<032c:=;4?:%627?77k2d?=?47;:k250<72->:?7??c:l757<>32c:=94?:%627?77k2d?=?4n;:k256<72->:?7??c:l757:?7??c:l757:?7??c:l7570:9j552=83.?=>4>0b9m044=9810c<=;:18'045=9:90b9?=:198k455290/8<=51218j1752810c<=>:18'045=9:90b9?=:398k457290/8<=51218j1752:10c<10c<=k:18'045=9:90b9?=:998k45d290/8<=51218j1752010c<=m:18'045=9:90b9?=:`98k45f290/8<=51218j1752k10c<=6:18'045=9:90b9?=:b98k45?290/8<=51218j1752m10c<=8:18'045=9:90b9?=:d98k451290/8<=51218j1752o10c<=::18'045=9:90b9?=:028?j75j3:1(9?<:010?k26:3;:76g>a`83>!26;3;j56`;1383?>o6i10;6):>3;3b=>h39;0:76g>a683>!26;3;j56`;1381?>o6i?0;6):>3;3b=>h39;0876g>a483>!26;3;j56`;1387?>o6i:0;6):>3;3b=>h39;0>76g>a383>!26;3;j56`;1385?>o6i80;6):>3;3b=>h39;0<76g>a183>!26;3;j56`;138;?>o61o0;6):>3;3b=>h39;0276g>9d83>!26;3;j56`;138b?>o61m0;6):>3;3b=>h39;0i76g>9b83>!26;3;j56`;138`?>o61k0;6):>3;3b=>h39;0o76g>9`83>!26;3;j56`;138f?>o6j;0;6):>3;3b=>h39;0m76g>b083>!26;3;j56`;13824>=n9k:1<7*;1282e<=i<881=<54i0ce>5<#<891=l74n531>44<3`;ji7>5$530>4g>3g>:>7?<;:k2ea<72->:?7?n9:l757<6<21b=lm50;&756<6i01e8<<51498m4ge290/8<=51`;8j17528<07d?n4;29 17428k27c:>2;34?>o6100;6):>3;3b=>h39;0:465`3`794?"39:08m95a40094>=h;h91<7*;1280e1=i<881=65`3`094?"39:08m95a40096>=h;h;1<7*;1280e1=i<881?65`3`294?"39:08m95a40090>=h;0l1<7*;1280e1=i<881965`38g94?"39:08m95a40092>=h;0n1<7*;1280e1=i<881;65`38`94?"39:08m95a4009<>=h;0k1<7*;1280e1=i<881565`38;94?"39:08m95a4009e>=h;021<7*;1280e1=i<881n65`38594?"39:08m95a4009g>=h;0<1<7*;1280e1=i<881h65`38794?"39:08m95a4009a>=h;0>1<7*;1280e1=i<881j65`38194?"39:08m95a400955=o;;o626?7532e84k4?:%627?5f<2d?=?4>3:9l7=c=83.?=>46k:18'045=;h>0b9?=:078?j5?k3:1(9?<:2c7?k26:3;=76a<8c83>!26;39j86`;13823>=h;1k1<7*;1280e1=i<881=554o2::>5<#<891?l:4n531>4?<3f9347>5$530>6g33g>:>7?n;:m0<2<72->:?7=n4:l757<6j21d?5;50;&756<4i=1e8<<51b98k6>3290/8<=53`68j17528n07b=73;29 1742:k?7c:>2;3f?>i40;0;6):>3;1b0>h39;0:j65`39394?"39:08m95a400965=o;;o626?4532e8;h4?:%627?5f<2d?=?4=3:9l72b=83.?=>49l:18'045=;h>0b9?=:378?j5fj3:1(9?<:2c7?k26:38=76a!26;39j86`;13813>=h;h31<7*;1280e1=i<881>554o2c;>5<#<891?l:4n531>7?<3f9j;7>5$530>6g33g>:>7:?7=n4:l757<5j21d?4m50;&756<4i=1e8<<52b98k6?6290/8<=53`68j1752;n07b=76;29 1742:k?7c:>2;0f?>i4?k0;6):>3;1b0>h39;09j65fa583>!26;3k87c:>2;28?lg5290/8<=5a29m044=921bm<4?:%627?g43g>:>7<4;hc3>5<#<891m>5a40097>=n1o0;6):>3;c0?k26:3>07d7j:18'045=i:1e8<<55:9j=a<72->:?7o<;o626?0<3`3h6=4+4019e6=i<881;65f9c83>!26;3k87c:>2;:8?l?f290/8<=5a29m044=121b554?:%627?g43g>:>7o4;h;4>5<#<891m>5a4009f>=n1?0;6):>3;c0?k26:3i07d7::18'045=i:1e8<<5d:9j=1<72->:?7o<;o626?c<3`386=4+4019e6=i<881j65f9383>!26;3k87c:>2;33?>o>93:1(9?<:`18j17528;07d7?:18'045=i:1e8<<51398m=`=83.?=>4n3:l757<6;21bmn4?:%627?g43g>:>7?;;:kbf?6=,=;86l=4n531>43<3`kj6=4+4019e6=i<881=;54i`;94?"39:0j?6`;13823>=ni10;6):>3;c0?k26:3;376gn7;29 1742h90b9?=:0;8?lg1290/8<=5a29m044=9h10el;50;&756b:9j=<<72->:?7o<;o626?7d32c3i7>5$530>d5n;o626?6<3f>;57>5$530>16f3g>:>7?4;n63n;o626?4<3f>;;7>5$530>16f3g>:>7=4;n632?6=,=;869>n;o626?2<3f>;97>5$530>16f3g>:>7;4;n630?6=,=;869>n;o626?0<3f>;?7>5$530>16f3g>:>794;n635?6=,=;869>n;o626?><3f>;<7>5$530>16f3g>:>774;n1eb?6=,=;869>n;o626?g<3f9mi7>5$530>16f3g>:>7l4;n1e`?6=,=;869>n;o626?e<3f9mo7>5$530>16f3g>:>7j4;n1ef?6=,=;869>n;o626?c<3f9mm7>5$530>16f3g>:>7h4;n1e=?6=,=;869>n;o626?7732e8j54?:%627?27i2d?=?4>1:9l7c0=83.?=>4;0`9m044=9;10c>h::18'045=<9k0b9?=:018?j5a<3:1(9?<:52b?k26:3;?76a!26;3>;m6`;13821>=h;o81<7*;12874d=i<881=;54o2d2>5<#<8918=o4n531>41<3f9m<7>5$530>16f3g>:>7?7;:m0ac<72->:?7:?a:l757<6121d?hk50;&756<38h1e8<<51`98k6cc290/8<=541c8j17528h07b=jb;29 1742=:j7c:>2;3`?>i4mh0;6):>3;63e>h39;0:h65`3d;94?"39:0?n;o626?4732e8i;4?:%627?27i2d?=?4=1:9l7`3=83.?=>4;0`9m044=:;10c>k;:18'045=<9k0b9?=:318?j5b;3:1(9?<:52b?k26:38?76a!26;3>;m6`;13811>=h<8;1<7*;12874d=i<881>;54o533>5<#<8918=o4n531>71<3f>;j7>5$530>16f3g>:>7<7;:m74`<72->:?7:?a:l757<5121d8=j50;&756<38h1e8<<52`98k16d290/8<=541c8j1752;h07b:?2;29 1742=:j7c:>2;0`?>i4n>0;6):>3;63e>h39;09h65`3da94?"39:0?5<#<891o>5a40094>=nk;0;6):>3;a0?k26:3;07dm>:18'045=k:1e8<<52:9jg5<72->:?7m<;o626?5<3`hm6=4+4019g6=i<881865fbd83>!26;3i87c:>2;78?ldc290/8<=5c29m044=>21bnn4?:%627?e43g>:>794;h`a>5<#<891o>5a4009<>=njh0;6):>3;a0?k26:3307dl7:18'045=k:1e8<<5a:9jf2<72->:?7m<;o626?d<3`h=6=4+4019g6=i<881o65fb483>!26;3i87c:>2;f8?ld3290/8<=5c29m044=m21bn>4?:%627?e43g>:>7h4;h`1>5<#<891o>5a400955=h39;0:=65fb183>!26;3i87c:>2;31?>ofn3:1(9?<:b18j17528907dml:18'045=k:1e8<<51598mfd=83.?=>4l3:l757<6=21bol4?:%627?e43g>:>7?9;:k`=?6=,=;86n=4n531>41<3`i36=4+4019g6=i<881=554ib594?"39:0h?6`;1382=>=nk?0;6):>3;a0?k26:3;j76gl5;29 1742j90b9?=:0`8?ld>290/8<=5c29m044=9j10elk50;&756d:9j52g=83.?=>4>789m044=821b=:650;&756<6?01e8<<51:9j521=83.?=>4>789m044=:21vn8=j:182e4<729q/8?k52958L15c3A>8=6T>3g824~2c2>21;:473;5`>1g=9=0<:79=:0390<<083;;69;57487=1=lk8;ocg>4=inh0:7c??0;28j466281/==<537c8 4642;=m7c?>7;38j45b281/=9>526d8j411281e=:l51:l2=3<63g83:7?4n3c;>4=#:k91>464n3`7>4=i;><1<6*o;4$517>60>3->897=9d:m0e`<722c?=h4?::k2<3<722c:494?::m1f2<722c:454?::k767<722c9n;4?::k2<2<722c?=k4?::k2=4?::k2<0<722c:4>4?::k2=7<722c:4?4?::k75a<722e8;54?::k24?::k764<722c:4i4?::k766<722en?7>5$530>`46`;1382?>ib83:1(9?<:d08j1752;10cih50;&7561=h39;0>76akc;29 1742l80b9?=:798kad=83.?=>4j2:l757<032eom7>5$530>`46`;138:?>ic?3:1(9?<:d08j1752h10ci850;&756f=1<7*;128f6>h39;0o76ak3;29 1742l80b9?=:d98ka4=83.?=>4j2:l7575$530>`44;nf3>5<#<891i?5a400954=h39;0:>65`cd83>!26;3o97c:>2;30?>ibj3:1(9?<:d08j17528>07bkn:18'045=m;1e8<<51498k`?=83.?=>4j2:l757<6>21di54?:%627?c53g>:>7?8;:mf3?6=,=;86h<4n531>4><3fo=6=4+4019a7=i<881=454od794?"39:0n>6`;1382e>=hm=0;6):>3;g1?k26:3;i76ak8;29 1742l80b9?=:0a8?jec290/8<=5e39m044=9m10e>9::18'045=;>>0b9?=:198m614290/8<=53668j1752810e>9=:18'045=;>>0b9?=:398m616290/8<=53668j1752:10e>9?:18'045=;>>0b9?=:598m60a290/8<=53668j1752<10e>8j:18'045=;>>0b9?=:798m6bf290/8<=53e;8j1752910e>j7:18'045=;m30b9?=:098m6b0290/8<=53e;8j1752;10e>j9:18'045=;m30b9?=:298m6b2290/8<=53e;8j1752=10e>j;:18'045=;m30b9?=:498m6b4290/8<=53e;8j1752?10e>j=:18'045=;m30b9?=:698m6b7290/8<=53e;8j1752110e>mi:18'045=;m30b9?=:898m6eb290/8<=53e;8j1752h10e>mk:18'045=;m30b9?=:c98m6ed290/8<=53e;8j1752j10e>mm:18'045=;m30b9?=:e98m6ef290/8<=53e;8j1752l10e>m6:18'045=;m30b9?=:g98m6e?290/8<=53e;8j17528:07d=l7;29 1742:n27c:>2;32?>o4k<0;6):>3;1g=>h39;0:>65f3b694?"39:08h45a400956=j6;o626?7232c8o<4?:%627?5c12d?=?4>6:9j7f6=83.?=>410e>li:18'045=;m30b9?=:0:8?l5em3:1(9?<:2f:?k26:3;276g!26;39o56`;1382e>=n;ki1<7*;1280`<=i<881=o54i2`b>5<#<891?i74n531>4e<3`9i57>5$530>6b>3g>:>7?k;:k0f=<72->:?7=k9:l757<6m21b?o950;&756<4l01e8<<51g98m6d1290/8<=53e;8j1752;:07d=m5;29 1742:n27c:>2;02?>o4j=0;6):>3;1g=>h39;09>65f3c194?"39:08h45a400966=j6;o626?4232c8i=4?:%627?5c12d?=?4=6:9j7a`=83.?=>410e>jj:18'045=;m30b9?=:3:8?l5cl3:1(9?<:2f:?k26:38276g!26;39o56`;1381e>=n;mh1<7*;1280`<=i<881>o54i2f2>5<#<891?i74n531>7e<3`9h:7>5$530>6b>3g>:>7:?7=k9:l757<5m21b?o>50;&756<4l01e8<<52g98mc`=83.?=>4ie:l757<732cmh7>5$530>ccoaj3:1(9?<:gg8j1752:10e<8l:18'045=9?h0b9?=:198m40f290/8<=517`8j1752810e<86:18'045=9?h0b9?=:398m40?290/8<=517`8j1752:10e<88:18'045=9?h0b9?=:598m401290/8<=517`8j1752<10e<8::18'045=9?h0b9?=:798m403290/8<=517`8j1752>10e<8=:18'045=9?h0b9?=:998m406290/8<=517`8j1752010e<8?:18'045=9?h0b9?=:`98m43a290/8<=517`8j1752k10e<;j:18'045=9?h0b9?=:b98m43c290/8<=517`8j1752m10e<;l:18'045=9?h0b9?=:d98m43e290/8<=517`8j1752o10e<;n:18'045=9?h0b9?=:028?l7213:1(9?<:04a?k26:3;:76g>5683>!26;3;=n6`;13826>=n9<<1<7*;12822g=i<881=>54i076>5<#<891=;l4n531>42<3`;>87>5$530>40e3g>:>7?:;:k216<72->:?7?9b:l757<6>21b=8<50;&756<6>k1e8<<51698m436290/8<=517`8j17528207d?:0;29 174282;3:?>o63;35f>h39;0:m65f15g94?"39:0::o5a40095g=h6=4+401953de:9j51?=83.?=>4>6c9m044=9o10e<:7:18'045=9?h0b9?=:328?l73?3:1(9?<:04a?k26:38:76g>4783>!26;3;=n6`;13816>=n9=?1<7*;12822g=i<881>>54i067>5<#<891=;l4n531>72<3`;??7>5$530>40e3g>:>7<:;:k237<72->:?7?9b:l757<5>21b=:?50;&756<6>k1e8<<52698m417290/8<=517`8j1752;207d?9f;29 174282;0:?>o6>l0;6):>3;35f>h39;09m65f17f94?"39:0::o5a40096g=4>6c9m044=:o10c<6?:18'045=9>l0b9?=:198k41b290/8<=516d8j1752810c<9k:18'045=9>l0b9?=:398k41d290/8<=516d8j1752:10e?o8:18'045=:h<0b9?=:198m7g2290/8<=52`48j1752810e?o;:18'045=:h<0b9?=:398m7g4290/8<=52`48j1752:10e?o=:18'045=:h<0b9?=:598m446290/8<=51328j1752910e8;29 174288;7c:>2;32?>o68m0;6):>3;33g>h39;0;76g>0c83>!26;3;;o6`;1382?>o68h0;6):>3;33g>h39;0976g>0883>!26;3;;o6`;1380?>o6810;6):>3;33g>h39;0?76g>0683>!26;3;;o6`;1386?>o68?0;6):>3;33g>h39;0=76g>0483>!26;3;;o6`;1384?>o69?0;6):>3;33g>h39;0376g>1483>!26;3;;o6`;138:?>o69=0;6):>3;33g>h39;0j76g>1283>!26;3;;o6`;138a?>o69;0;6):>3;33g>h39;0h76g>1083>!26;3;;o6`;138g?>o6990;6):>3;33g>h39;0n76g>0g83>!26;3;;o6`;138e?>o68l0;6):>3;33g>h39;0:<65f11694?"39:0:5<#<891=>=4n531>4=5<#<891=>=4n531>6=5<#<891=>=4n531>0=5<#<891=>=4n531>2=5<#<891=>=4n531><=5<#<891=>=4n531>g=5<#<891=>=4n531>a=5<#<891=>=4n531>c=6=4+40195654;n31f?6=,=;86<=<;o626?7632c:ml4?:%627?7f12d?=?4?;:k2e=<72->:?7?n9:l757<632c:m:4?:%627?7f12d?=?4=;:k2e3<72->:?7?n9:l757<432c:m84?:%627?7f12d?=?4;;:k2e6<72->:?7?n9:l757<232c:m?4?:%627?7f12d?=?49;:k2e4<72->:?7?n9:l757<032c:m=4?:%627?7f12d?=?47;:k2=c<72->:?7?n9:l757<>32c:5h4?:%627?7f12d?=?4n;:k2=a<72->:?7?n9:l757:?7?n9:l757:?7?n9:l7570:9j5g6=83.?=>4>a89m044=9810eae83>!26;3;j56`;13820>=n9hi1<7*;1282e<=i<881=854i0ca>5<#<891=l74n531>40<3`;j87>5$530>4g>3g>:>7?8;:k2=<<72->:?7?n9:l757<6021d?l;50;&756<4i=1e8<<50:9l7d5=83.?=>4450;&756<4i=1e8<<54:9l7<`=83.?=>444=83.?=>4442;31?>i40o0;6):>3;1b0>h39;0:?65`39g94?"39:08m95a400951=o;;o626?7132e84o4?:%627?5f<2d?=?4>7:9l7=g=83.?=>466:18'045=;h>0b9?=:0;8?j5?03:1(9?<:2c7?k26:3;j76a<8683>!26;39j86`;1382f>=h;1?1<7*;1280e1=i<881=n54o2:7>5<#<891?l:4n531>4b<3f93?7>5$530>6g33g>:>7?j;:m0<7<72->:?7=n4:l757<6n21d?5?50;&756<4i=1e8<<52198k6>7290/8<=53`68j1752;;07b=8f;29 1742:k?7c:>2;01?>i4?l0;6):>3;1b0>h39;09?65`36f94?"39:08m95a400961=o;;o626?4132e8ml4?:%627?5f<2d?=?4=7:9l7d?=83.?=>4o7:18'045=;h>0b9?=:3;8?j5f?3:1(9?<:2c7?k26:38j76a!26;39j86`;1381f>=h;0i1<7*;1280e1=i<881>n54o2;2>5<#<891?l:4n531>7b<3f93:7>5$530>6g33g>:>7:?7=n4:l757<5n21bm94?:%627?g43g>:>7>4;hc1>5<#<891m>5a40095>=ni80;6):>3;c0?k26:3807do?:18'045=i:1e8<<53:9j=c<72->:?7o<;o626?2<3`3n6=4+4019e6=i<881965f9e83>!26;3k87c:>2;48?l?d290/8<=5a29m044=?21b5o4?:%627?g43g>:>764;h;b>5<#<891m>5a4009=>=n110;6):>3;c0?k26:3k07d78:18'045=i:1e8<<5b:9j=3<72->:?7o<;o626?e<3`3>6=4+4019e6=i<881h65f9583>!26;3k87c:>2;g8?l?4290/8<=5a29m044=n21b5?4?:%627?g43g>:>7??;:k:5?6=,=;86l=4n531>47<3`3;6=4+4019e6=i<881=?54i9d94?"39:0j?6`;13827>=nij0;6):>3;c0?k26:3;?76gnb;29 1742h90b9?=:078?lgf290/8<=5a29m044=9?10el750;&7567:9je=<72->:?7o<;o626?7?32cj;7>5$530>d55<#<891m>5a40095d=h39;0:n65f9883>!26;3k87c:>2;3`?>o?m3:1(9?<:`18j17528n07b:?b;29 1742=:j7c:>2;28?j2713:1(9?<:52b?k26:3;07b:?8;29 1742=:j7c:>2;08?j27?3:1(9?<:52b?k26:3907b:?6;29 1742=:j7c:>2;68?j27=3:1(9?<:52b?k26:3?07b:?4;29 1742=:j7c:>2;48?j27;3:1(9?<:52b?k26:3=07b:?1;29 1742=:j7c:>2;:8?j2783:1(9?<:52b?k26:3307b=if;29 1742=:j7c:>2;c8?j5am3:1(9?<:52b?k26:3h07b=id;29 1742=:j7c:>2;a8?j5ak3:1(9?<:52b?k26:3n07b=ib;29 1742=:j7c:>2;g8?j5ai3:1(9?<:52b?k26:3l07b=i9;29 1742=:j7c:>2;33?>i4n10;6):>3;63e>h39;0:=65`3g494?"39:0?6=4+401905gn;o626?7332e8j>4?:%627?27i2d?=?4>5:9l7c4=83.?=>4;0`9m044=9?10c>h>:18'045=<9k0b9?=:058?j5a83:1(9?<:52b?k26:3;376a!26;3>;m6`;1382=>=h;lo1<7*;12874d=i<881=l54o2gg>5<#<8918=o4n531>4d<3f9nn7>5$530>16f3g>:>7?l;:m0ad<72->:?7:?a:l757<6l21d?h750;&756<38h1e8<<51d98k6c?290/8<=541c8j17528l07b=j7;29 1742=:j7c:>2;03?>i4m?0;6):>3;63e>h39;09=65`3d794?"39:0?n;o626?4332e8i?4?:%627?27i2d?=?4=5:9l047=83.?=>4;0`9m044=:?10c9??:18'045=<9k0b9?=:358?j27n3:1(9?<:52b?k26:38376a;0d83>!26;3>;m6`;1381=>=h<9n1<7*;12874d=i<881>l54o52`>5<#<8918=o4n531>7d<3f>;>7>5$530>16f3g>:>7:?7:?a:l757<5l21d?hm50;&756<38h1e8<<52d98k6c6290/8<=541c8j1752;l07dm;:18'045=k:1e8<<50:9jg7<72->:?7m<;o626?7<3`i:6=4+4019g6=i<881>65fc183>!26;3i87c:>2;18?lda290/8<=5c29m044=<21bnh4?:%627?e43g>:>7;4;h`g>5<#<891o>5a40092>=njj0;6):>3;a0?k26:3=07dlm:18'045=k:1e8<<58:9jfd<72->:?7m<;o626??<3`h36=4+4019g6=i<881m65fb683>!26;3i87c:>2;`8?ld1290/8<=5c29m044=k21bn84?:%627?e43g>:>7j4;h`7>5<#<891o>5a4009a>=nj:0;6):>3;a0?k26:3l07dl=:18'045=k:1e8<<51198mg7=83.?=>4l3:l757<6921bn=4?:%627?e43g>:>7?=;:kbb?6=,=;86n=4n531>45<3`ih6=4+4019g6=i<881=954ib`94?"39:0h?6`;13821>=nkh0;6):>3;a0?k26:3;=76gl9;29 1742j90b9?=:058?le?290/8<=5c29m044=9110en950;&7569:9jg3<72->:?7m<;o626?7f32ch97>5$530>f55<#<891o>5a40095f=h39;0:h65f16c94?"39:0:;45a40094>=n9>21<7*;12823<=i<881=65f16594?"39:0:;45a40096>=zj<9m6=4>a083>5}#<;o1>594H51g?M2492P:?k4>0z6g>2>=?>03?79l:5c951<0>3=964n022>4=#9981?;o4$020>71a3g;:;7?4n01f>4=#9=:1>:h4n055>4=i9>h1=6`>9782?k4?>3;0b?o7:09'6g5=:020b?l;:09m720=82.8mi4:;o621?7<,=8=6?l<;%613?5fl2.???4;2e9'065=:k?0(9=;:24:?!24=39=h6a>o39l0;66g>8783>>o60=0;66a=b683>>o6010;66g;2383>>o5j?0;66g>8683>>o39o0;66g>8g83>>i6?=0;66g;1`83>>o6000;66a;2g83>>i4io0;66g;1b83>>o39k0;66g>8c83>>o3:90;66g>8483>>o60:0;66g>9383>>o60;0;66g;1e83>>i4?10;66g>8`83>>o60l0;66g>7483>>o6?:0;66g;2083>>o60m0;66g;2283>>ib;3:1(9?<:d08j1752910ch?50;&756;:mf4?6=,=;86h<4n531>7=h39;0876ake;29 1742l80b9?=:598kab=83.?=>4j2:l757<232eoo7>5$530>`46`;1384?>ici3:1(9?<:d08j1752110ci750;&756d=h39;0i76ak5;29 1742l80b9?=:b98ka2=83.?=>4j2:l7575$530>`46`;138e?>ic93:1(9?<:d08j17528:07bj?:18'045=m;1e8<<51098kf`=83.?=>4j2:l757<6:21doh4?:%627?c53g>:>7?<;:mff?6=,=;86h<4n531>42<3foj6=4+4019a7=i<881=854od;94?"39:0n>6`;13822>=hm10;6):>3;g1?k26:3;<76aj7;29 1742l80b9?=:0:8?jc1290/8<=5e39m044=9010ch;50;&756a:9la1<72->:?7k=;o626?7e32eo47>5$530>`45<#<891i?5a40095a=6=4+40197225<#<891?::4n531>4=5<#<891?::4n531>6=5<#<891?::4n531>0=5<#<891?i74n531>5=5<#<891?i74n531>7=54i2f6>5<#<891?i74n531>1=5<#<891?i74n531>3=5<#<891?i74n531>==5<#<891?i74n531>d=5<#<891?i74n531>f=5<#<891?i74n531>`=5<#<891?i74n531>46<3`9h;7>5$530>6b>3g>:>7?>;:k0g0<72->:?7=k9:l757<6:21b?n:50;&756<4l01e8<<51298m6e4290/8<=53e;8j17528>07d=l2;29 1742:n27c:>2;36?>o4k80;6):>3;1g=>h39;0::65f3b294?"39:08h45a400952=j6;o626?7>32c8ni4?:%627?5c12d?=?4>a:9j7ge=83.?=>4ln:18'045=;m30b9?=:0a8?l5e13:1(9?<:2f:?k26:3;o76g!26;39o56`;1382a>=n;k=1<7*;1280`<=i<881=k54i2`5>5<#<891?i74n531>76<3`9i97>5$530>6b>3g>:>7<>;:k0f1<72->:?7=k9:l757<5:21b?o=50;&756<4l01e8<<52298m6d5290/8<=53e;8j1752;>07d=m1;29 1742:n27c:>2;06?>o4m90;6):>3;1g=>h39;09:65f3ed94?"39:08h45a400962=j6;o626?4>32c8hn4?:%627?5c12d?=?4=a:9j7ad=83.?=>4j>:18'045=;m30b9?=:3a8?l5d>3:1(9?<:2f:?k26:38o76g!26;39o56`;1381a>=n;k:1<7*;1280`<=i<881>k54igd94?"39:0mi6`;1383?>oal3:1(9?<:gg8j1752810ekm50;&7566=5<#<891=;l4n531>4=5<#<891=;l4n531>6=5<#<891=;l4n531>0=6=4+401953d5<#<891=;l4n531>2=5<#<891=;l4n531><=5<#<891=;l4n531>g=5<#<891=;l4n531>a=5<#<891=;l4n531>c=4;h36=?6=,=;86<8m;o626?7632c:9:4?:%627?71j2d?=?4>2:9j500=83.?=>4>6c9m044=9:10e<;::18'045=9?h0b9?=:068?l72<3:1(9?<:04a?k26:3;>76g>5283>!26;3;=n6`;13822>=n9<81<7*;12822g=i<881=:54i072>5<#<891=;l4n531>4><3`;><7>5$530>40e3g>:>7?6;:k20c<72->:?7?9b:l757<6i21b=9k50;&756<6>k1e8<<51c98m42d290/8<=517`8j17528i07d?;b;29 174282;3g?>o63;35f>h39;0:i65f15;94?"39:0::o5a40095c=36=4+401953d4;h373?6=,=;86<8m;o626?4632c:8;4?:%627?71j2d?=?4=2:9j513=83.?=>4>6c9m044=::10e<:;:18'045=9?h0b9?=:368?l73;3:1(9?<:04a?k26:38>76g>7383>!26;3;=n6`;13812>=n9>;1<7*;12822g=i<881>:54i053>5<#<891=;l4n531>7><3`;=j7>5$530>40e3g>:>7<6;:k22`<72->:?7?9b:l757<5i21b=;j50;&756<6>k1e8<<52c98m404290/8<=517`8j1752;i07d?:8;29 174282;0g?>o63;35f>h39;09i65f15094?"39:0::o5a40096c=5<#<891=:h4n531>4=5<#<891=:h4n531>6=5<#<891>l84n531>4=5<#<891>l84n531>6=5<#<891=?>4n531>5=5<#<891=?>4n531>7=54i03`>5<#<891=?>4n531>1=5<#<891=?>4n531>3=5<#<891=?>4n531>==5<#<891=?>4n531>d=5<#<891=?>4n531>f=6=4+40195765<#<891=?>4n531>`=5<#<891=?>4n531>46<3`;:47>5$530>4473g>:>7?>;:k24a<72->:?7??c:l757<732c:;:k24d<72->:?7??c:l757<532c:<44?:%627?77k2d?=?4<;:k24=<72->:?7??c:l757<332c:<:4?:%627?77k2d?=?4:;:k243<72->:?7??c:l757<132c:<84?:%627?77k2d?=?48;:k253<72->:?7??c:l757:?7??c:l7574?:%627?77k2d?=?4m;:k257<72->:?7??c:l757:?7??c:l757:?7??c:l757<6821b==:50;&756<68j1e8<<51098k453290/8<=51218j1752910c<==:18'045=9:90b9?=:098k456290/8<=51218j1752;10c<=?:18'045=9:90b9?=:298k44a290/8<=51218j1752=10c<290/8<=51218j1752j10c<=7:18'045=9:90b9?=:e98k450290/8<=51218j1752l10c<=9:18'045=9:90b9?=:g98k452290/8<=51218j17528:07b?=b;29 17428987c:>2;32?>o6ih0;6):>3;3b=>h39;0;76g>a983>!26;3;j56`;1382?>o6i>0;6):>3;3b=>h39;0976g>a783>!26;3;j56`;1380?>o6i<0;6):>3;3b=>h39;0?76g>a283>!26;3;j56`;1386?>o6i;0;6):>3;3b=>h39;0=76g>a083>!26;3;j56`;1384?>o6i90;6):>3;3b=>h39;0376g>9g83>!26;3;j56`;138:?>o61l0;6):>3;3b=>h39;0j76g>9e83>!26;3;j56`;138a?>o61j0;6):>3;3b=>h39;0h76g>9c83>!26;3;j56`;138g?>o61h0;6):>3;3b=>h39;0n76g>b383>!26;3;j56`;138e?>o6j80;6):>3;3b=>h39;0:<65f1c294?"39:0:m45a400954=4:9j5de=83.?=>4>a89m044=9<10e9883>!26;3;j56`;1382<>=h;h?1<7*;1280e1=i<881<65`3`194?"39:08m95a40095>=h;h81<7*;1280e1=i<881>65`3`394?"39:08m95a40097>=h;h:1<7*;1280e1=i<881865`38d94?"39:08m95a40091>=h;0o1<7*;1280e1=i<881:65`38f94?"39:08m95a40093>=h;0h1<7*;1280e1=i<881465`38c94?"39:08m95a4009=>=h;031<7*;1280e1=i<881m65`38:94?"39:08m95a4009f>=h;0=1<7*;1280e1=i<881o65`38494?"39:08m95a4009`>=h;0?1<7*;1280e1=i<881i65`38694?"39:08m95a4009b>=h;091<7*;1280e1=i<881==54o2;1>5<#<891?l:4n531>47<3f92<7>5$530>6g33g>:>7?=;:m0:?7=n4:l757<6;21d?5k50;&756<4i=1e8<<51598k6>c290/8<=53`68j17528?07b=7c;29 1742:k?7c:>2;35?>i40k0;6):>3;1b0>h39;0:;65`39c94?"39:08m95a40095==o;;o626?7f32e84:4?:%627?5f<2d?=?4>b:9l7=3=83.?=>46;:18'045=;h>0b9?=:0f8?j5?;3:1(9?<:2c7?k26:3;n76a<8383>!26;39j86`;1382b>=h;1;1<7*;1280e1=i<881>=54o2:3>5<#<891?l:4n531>77<3f95$530>6g33g>:>7<=;:m03`<72->:?7=n4:l757<5;21d?:j50;&756<4i=1e8<<52598k61d290/8<=53`68j1752;?07b=nb;29 1742:k?7c:>2;05?>i4ih0;6):>3;1b0>h39;09;65`3`;94?"39:08m95a40096==o;;o626?4f32e8m;4?:%627?5f<2d?=?4=b:9l747>:18'045=;h>0b9?=:3f8?j5?>3:1(9?<:2c7?k26:38n76a<7c83>!26;39j86`;1381b>=ni=0;6):>3;c0?k26:3:07do=:18'045=i:1e8<<51:9je4<72->:?7o<;o626?4<3`k;6=4+4019e6=i<881?65f9g83>!26;3k87c:>2;68?l?b290/8<=5a29m044==21b5i4?:%627?g43g>:>784;h;`>5<#<891m>5a40093>=n1k0;6):>3;c0?k26:3207d7n:18'045=i:1e8<<59:9j==<72->:?7o<;o626?g<3`3<6=4+4019e6=i<881n65f9783>!26;3k87c:>2;a8?l?2290/8<=5a29m044=l21b594?:%627?g43g>:>7k4;h;0>5<#<891m>5a4009b>=n1;0;6):>3;c0?k26:3;;76g61;29 1742h90b9?=:038?l?7290/8<=5a29m044=9;10e5h50;&7563:9jef<72->:?7o<;o626?7332cjn7>5$530>d55<#<891m>5a400953=h39;0:;65fa983>!26;3k87c:>2;3;?>of?3:1(9?<:`18j17528307do9:18'045=i:1e8<<51`98md3=83.?=>4n3:l757<6j21b544?:%627?g43g>:>7?l;:k;a?6=,=;86l=4n531>4b<3f>;n7>5$530>16f3g>:>7>4;n63=?6=,=;869>n;o626?7<3f>;47>5$530>16f3g>:>7<4;n633?6=,=;869>n;o626?5<3f>;:7>5$530>16f3g>:>7:4;n631?6=,=;869>n;o626?3<3f>;87>5$530>16f3g>:>784;n637?6=,=;869>n;o626?1<3f>;=7>5$530>16f3g>:>764;n634?6=,=;869>n;o626??<3f9mj7>5$530>16f3g>:>7o4;n1ea?6=,=;869>n;o626?d<3f9mh7>5$530>16f3g>:>7m4;n1eg?6=,=;869>n;o626?b<3f9mn7>5$530>16f3g>:>7k4;n1ee?6=,=;869>n;o626?`<3f9m57>5$530>16f3g>:>7??;:m0b=<72->:?7:?a:l757<6921d?k850;&756<38h1e8<<51398k6`2290/8<=541c8j17528907b=i4;29 1742=:j7c:>2;37?>i4n:0;6):>3;63e>h39;0:965`3g094?"39:0?n;o626?7?32e8ik4?:%627?27i2d?=?4>9:9l7`c=83.?=>4;0`9m044=9h10c>kk:18'045=<9k0b9?=:0`8?j5bj3:1(9?<:52b?k26:3;h76a!26;3>;m6`;1382`>=h;l31<7*;12874d=i<881=h54o2g;>5<#<8918=o4n531>4`<3f9n;7>5$530>16f3g>:>7:?7:?a:l757<5921d?h;50;&756<38h1e8<<52398k6c3290/8<=541c8j1752;907b=j3;29 1742=:j7c:>2;07?>i4m;0;6):>3;63e>h39;09965`40394?"39:0?n;o626?4?32e?4;0`9m044=:h10c9>l:18'045=<9k0b9?=:3`8?j27:3:1(9?<:52b?k26:38h76a!26;3>;m6`;1381`>=h;li1<7*;12874d=i<881>h54o2g2>5<#<8918=o4n531>7`<3`i?6=4+4019g6=i<881<65fc383>!26;3i87c:>2;38?le6290/8<=5c29m044=:21bo=4?:%627?e43g>:>7=4;h`e>5<#<891o>5a40090>=njl0;6):>3;a0?k26:3?07dlk:18'045=k:1e8<<56:9jff<72->:?7m<;o626?1<3`hi6=4+4019g6=i<881465fb`83>!26;3i87c:>2;;8?ld?290/8<=5c29m044=i21bn:4?:%627?e43g>:>7l4;h`5>5<#<891o>5a4009g>=nj<0;6):>3;a0?k26:3n07dl;:18'045=k:1e8<<5e:9jf6<72->:?7m<;o626?`<3`h96=4+4019g6=i<881==54ic394?"39:0h?6`;13825>=nj90;6):>3;a0?k26:3;976gnf;29 1742j90b9?=:018?led290/8<=5c29m044=9=10enl50;&7565:9jgd<72->:?7m<;o626?7132ch57>5$530>f55<#<891o>5a40095==h39;0:565fc783>!26;3i87c:>2;3b?>od=3:1(9?<:b18j17528h07dl6:18'045=k:1e8<<51b98mdc=83.?=>4l3:l757<6l21b=:o50;&756<6?01e8<<50:9j52>=83.?=>4>789m044=921b=:950;&756<6?01e8<<52:9~f027290:m<4?:1y'07c=:1=0D9=k;I605>\6;o0:13=?<0?47s+36595dc03gko6<5af`82?k7783:0b<>>:09'554=;?k0(<><:35e?k76?3;0b<=j:09'516=:>l0b<99:09m52d=92d:5;4>;o0;2?7"4im0>7c:>5;38 1412;h87):=7;1b`>"3;;0?>i5+42196g3<,=9?6>86;%601?51l2e8mh4?::k75`<722c:4;4?::k2<1<722e9n:4?::k2<=<722c?>?4?::k1f3<722c:4:4?::k75c<722c:4k4?::m231<722c?=l4?::k2<<<722e?>k4?::m0ec<722c?=n4?::k75g<722c:4o4?::k765<722c:484?::k2<6<722c:5?4?::k2<7<722c?=i4?::m03=<722c:4l4?::k2<`<722c:;84?::k236<722c?><4?::k2>4?::mf7?6=,=;86h<4n531>5=h39;0:76aj0;29 1742l80b9?=:398ka`=83.?=>4j2:l757<432eoi7>5$530>`46`;1386?>ick3:1(9?<:d08j1752?10cil50;&756==h39;0276ak7;29 1742l80b9?=:`98ka0=83.?=>4j2:l7575$530>`46`;138g?>ic;3:1(9?<:d08j1752l10ci<50;&75646<3fn;6=4+4019a7=i<881=<54obd94?"39:0n>6`;13826>=hkl0;6):>3;g1?k26:3;876ajb;29 1742l80b9?=:068?jcf290/8<=5e39m044=9<10ch750;&7566:9la=<72->:?7k=;o626?7032en;7>5$530>`45<#<891i?5a40095<=h39;0:m65`e583>!26;3o97c:>2;3a?>ic03:1(9?<:d08j17528i07bmk:18'045=m;1e8<<51e98m612290/8<=53668j1752910e>9<:18'045=;>>0b9?=:098m615290/8<=53668j1752;10e>9>:18'045=;>>0b9?=:298m617290/8<=53668j1752=10e>8i:18'045=;>>0b9?=:498m60b290/8<=53668j1752?10e>jn:18'045=;m30b9?=:198m6b?290/8<=53e;8j1752810e>j8:18'045=;m30b9?=:398m6b1290/8<=53e;8j1752:10e>j::18'045=;m30b9?=:598m6b3290/8<=53e;8j1752<10e>j<:18'045=;m30b9?=:798m6b5290/8<=53e;8j1752>10e>j?:18'045=;m30b9?=:998m6ea290/8<=53e;8j1752010e>mj:18'045=;m30b9?=:`98m6ec290/8<=53e;8j1752k10e>ml:18'045=;m30b9?=:b98m6ee290/8<=53e;8j1752m10e>mn:18'045=;m30b9?=:d98m6e>290/8<=53e;8j1752o10e>m7:18'045=;m30b9?=:028?l5d?3:1(9?<:2f:?k26:3;:76g!26;39o56`;13826>=n;j>1<7*;1280`<=i<881=>54i2a0>5<#<891?i74n531>42<3`9h>7>5$530>6b>3g>:>7?:;:k0g4<72->:?7=k9:l757<6>21b?n>50;&756<4l01e8<<51698m6da290/8<=53e;8j17528207d=me;29 1742:n27c:>2;3:?>o4jm0;6):>3;1g=>h39;0:m65f3ca94?"39:08h45a40095g=j6;o626?7c32c8n54?:%627?5c12d?=?4>e:9j7g1=83.?=>4l9:18'045=;m30b9?=:328?l5e=3:1(9?<:2f:?k26:38:76g!26;39o56`;13816>=n;k91<7*;1280`<=i<881>>54i2`1>5<#<891?i74n531>72<3`9i=7>5$530>6b>3g>:>7<:;:k0a5<72->:?7=k9:l757<5>21b?ih50;&756<4l01e8<<52698m6bb290/8<=53e;8j1752;207d=kd;29 1742:n27c:>2;0:?>o4lj0;6):>3;1g=>h39;09m65f3e`94?"39:08h45a40096g=j6;o626?4c32c8no4?:%627?5c12d?=?4=e:9j7g6=83.?=>44=h39;0976gib;29 1742oo0b9?=:298m40d290/8<=517`8j1752910e<8n:18'045=9?h0b9?=:098m40>290/8<=517`8j1752;10e<87:18'045=9?h0b9?=:298m400290/8<=517`8j1752=10e<89:18'045=9?h0b9?=:498m402290/8<=517`8j1752?10e<8;:18'045=9?h0b9?=:698m405290/8<=517`8j1752110e<8>:18'045=9?h0b9?=:898m407290/8<=517`8j1752h10e<;i:18'045=9?h0b9?=:c98m43b290/8<=517`8j1752j10e<;k:18'045=9?h0b9?=:e98m43d290/8<=517`8j1752l10e<;m:18'045=9?h0b9?=:g98m43f290/8<=517`8j17528:07d?:9;29 174282;32?>o6=>0;6):>3;35f>h39;0:>65f14494?"39:0::o5a400956=6=4+401953d4?:%627?71j2d?=?4>6:9j504=83.?=>4>6c9m044=9>10e<;>:18'045=9?h0b9?=:0:8?l7283:1(9?<:04a?k26:3;276g>4g83>!26;3;=n6`;1382e>=n9=o1<7*;12822g=i<881=o54i06`>5<#<891=;l4n531>4e<3`;?n7>5$530>40e3g>:>7?k;:k20d<72->:?7?9b:l757<6m21b=9750;&756<6>k1e8<<51g98m42?290/8<=517`8j1752;:07d?;7;29 174282;02?>o63;35f>h39;09>65f15794?"39:0::o5a400966=?6=4+401953d4>6c9m044=:>10e<9?:18'045=9?h0b9?=:3:8?l71n3:1(9?<:04a?k26:38276g>6d83>!26;3;=n6`;1381e>=n9?n1<7*;12822g=i<881>o54i040>5<#<891=;l4n531>7e<3`;>47>5$530>40e3g>:>7:?7?9b:l757<5m21b=9<50;&756<6>k1e8<<52g98k4>7290/8<=516d8j1752910c<9j:18'045=9>l0b9?=:098k41c290/8<=516d8j1752;10c<9l:18'045=9>l0b9?=:298m7g0290/8<=52`48j1752910e?o::18'045=:h<0b9?=:098m7g3290/8<=52`48j1752;10e?o<:18'045=:h<0b9?=:298m7g5290/8<=52`48j1752=10e<<>:18'045=9;:0b9?=:198m47a290/8<=51328j1752810e290/8<=51328j1752>10e<290/8<=51328j1752010e<<7:18'045=9;:0b9?=:`98m440290/8<=51328j1752k10e<<9:18'045=9;:0b9?=:b98m442290/8<=51328j1752m10e<<;:18'045=9;:0b9?=:d98m444290/8<=51328j1752o10e<<=:18'045=9;:0b9?=:028?l7603:1(9?<:003?k26:3;:76g>0e83>!26;3;;o6`;1383?>o68k0;6):>3;33g>h39;0:76g>0`83>!26;3;;o6`;1381?>o6800;6):>3;33g>h39;0876g>0983>!26;3;;o6`;1387?>o68>0;6):>3;33g>h39;0>76g>0783>!26;3;;o6`;1385?>o68<0;6):>3;33g>h39;0<76g>1783>!26;3;;o6`;138;?>o69<0;6):>3;33g>h39;0276g>1583>!26;3;;o6`;138b?>o69:0;6):>3;33g>h39;0i76g>1383>!26;3;;o6`;138`?>o6980;6):>3;33g>h39;0o76g>1183>!26;3;;o6`;138f?>o68o0;6):>3;33g>h39;0m76g>0d83>!26;3;;o6`;13824>=n99>1<7*;12824f=i<881=<54o017>5<#<891=>=4n531>5=5<#<891=>=4n531>7=54o00e>5<#<891=>=4n531>1=5<#<891=>=4n531>3=5<#<891=>=4n531>==5<#<891=>=4n531>d=5<#<891=>=4n531>f=5<#<891=>=4n531>`=5<#<891=>=4n531>46<3f;9n7>5$530>4543g>:>7?>;:k2ed<72->:?7?n9:l757<732c:m54?:%627?7f12d?=?4>;:k2e2<72->:?7?n9:l757<532c:m;4?:%627?7f12d?=?4<;:k2e0<72->:?7?n9:l757<332c:m>4?:%627?7f12d?=?4:;:k2e7<72->:?7?n9:l757<132c:m<4?:%627?7f12d?=?48;:k2e5<72->:?7?n9:l757:?7?n9:l757:?7?n9:l757:?7?n9:l757:?7?n9:l757<6821b=o>50;&756<6i01e8<<51098m4ga290/8<=51`;8j17528807d?ne;29 17428k27c:>2;30?>o6im0;6):>3;3b=>h39;0:865f1`a94?"39:0:m45a400950=8:9l7d3=83.?=>444421d?4j50;&756<4i=1e8<<57:9l7444447=:18'045=;h>0b9?=:038?j5>83:1(9?<:2c7?k26:3;976a<8g83>!26;39j86`;13827>=h;1o1<7*;1280e1=i<881=954o2:g>5<#<891?l:4n531>43<3f93o7>5$530>6g33g>:>7?9;:m0:?7=n4:l757<6?21d?5o50;&756<4i=1e8<<51998k6>>290/8<=53`68j17528307b=78;29 1742:k?7c:>2;3b?>i40>0;6):>3;1b0>h39;0:n65`39794?"39:08m95a40095f=o;;o626?7b32e84?4?:%627?5f<2d?=?4>f:9l7=7=83.?=>46?:18'045=;h>0b9?=:338?j50n3:1(9?<:2c7?k26:38976a<7d83>!26;39j86`;13817>=h;>n1<7*;1280e1=i<881>954o25`>5<#<891?l:4n531>73<3f9jn7>5$530>6g33g>:>7<9;:m0ed<72->:?7=n4:l757<5?21d?l750;&756<4i=1e8<<52998k6g?290/8<=53`68j1752;307b=n7;29 1742:k?7c:>2;0b?>i4i?0;6):>3;1b0>h39;09n65`38a94?"39:08m95a40096f=o;;o626?4b32e8;o4?:%627?5f<2d?=?4=f:9je1<72->:?7o<;o626?6<3`k96=4+4019e6=i<881=65fa083>!26;3k87c:>2;08?lg7290/8<=5a29m044=;21b5k4?:%627?g43g>:>7:4;h;f>5<#<891m>5a40091>=n1m0;6):>3;c0?k26:3<07d7l:18'045=i:1e8<<57:9j=g<72->:?7o<;o626?><3`3j6=4+4019e6=i<881565f9983>!26;3k87c:>2;c8?l?0290/8<=5a29m044=j21b5;4?:%627?g43g>:>7m4;h;6>5<#<891m>5a4009`>=n1=0;6):>3;c0?k26:3o07d7<:18'045=i:1e8<<5f:9j=7<72->:?7o<;o626?7732c2=7>5$530>d55<#<891m>5a400957=h39;0:?65fab83>!26;3k87c:>2;37?>ofj3:1(9?<:`18j17528?07don:18'045=i:1e8<<51798md?=83.?=>4n3:l757<6?21bm54?:%627?g43g>:>7?7;:kb3?6=,=;86l=4n531>4?<3`k=6=4+4019e6=i<881=l54i`794?"39:0j?6`;1382f>=n100;6):>3;c0?k26:3;h76g7e;29 1742h90b9?=:0f8?j27j3:1(9?<:52b?k26:3:07b:?9;29 1742=:j7c:>2;38?j2703:1(9?<:52b?k26:3807b:?7;29 1742=:j7c:>2;18?j27>3:1(9?<:52b?k26:3>07b:?5;29 1742=:j7c:>2;78?j27<3:1(9?<:52b?k26:3<07b:?3;29 1742=:j7c:>2;58?j2793:1(9?<:52b?k26:3207b:?0;29 1742=:j7c:>2;;8?j5an3:1(9?<:52b?k26:3k07b=ie;29 1742=:j7c:>2;`8?j5al3:1(9?<:52b?k26:3i07b=ic;29 1742=:j7c:>2;f8?j5aj3:1(9?<:52b?k26:3o07b=ia;29 1742=:j7c:>2;d8?j5a13:1(9?<:52b?k26:3;;76a!26;3>;m6`;13825>=h;o<1<7*;12874d=i<881=?54o2d6>5<#<8918=o4n531>45<3f9m87>5$530>16f3g>:>7?;;:m0b6<72->:?7:?a:l757<6=21d?k<50;&756<38h1e8<<51798k6`6290/8<=541c8j17528=07b=i0;29 1742=:j7c:>2;3;?>i4mo0;6):>3;63e>h39;0:565`3dg94?"39:0?n;o626?7d32e8il4?:%627?27i2d?=?4>d:9l7`?=83.?=>4;0`9m044=9l10c>k7:18'045=<9k0b9?=:0d8?j5b?3:1(9?<:52b?k26:38;76a!26;3>;m6`;13815>=h;l?1<7*;12874d=i<881>?54o2g7>5<#<8918=o4n531>75<3f9n?7>5$530>16f3g>:>7<;;:m0a7<72->:?7:?a:l757<5=21d82;0;?>i38l0;6):>3;63e>h39;09565`41f94?"39:0?n;o626?4d32e8j:4?:%627?27i2d?=?4=d:9l7`e=83.?=>4;0`9m044=:l10c>k>:18'045=<9k0b9?=:3d8?le3290/8<=5c29m044=821bo?4?:%627?e43g>:>7?4;ha2>5<#<891o>5a40096>=nk90;6):>3;a0?k26:3907dli:18'045=k:1e8<<54:9jf`<72->:?7m<;o626?3<3`ho6=4+4019g6=i<881:65fbb83>!26;3i87c:>2;58?lde290/8<=5c29m044=021bnl4?:%627?e43g>:>774;h`;>5<#<891o>5a4009e>=nj>0;6):>3;a0?k26:3h07dl9:18'045=k:1e8<<5c:9jf0<72->:?7m<;o626?b<3`h?6=4+4019g6=i<881i65fb283>!26;3i87c:>2;d8?ld5290/8<=5c29m044=9910eo?50;&7561:9jf5<72->:?7m<;o626?7532cjj7>5$530>f55<#<891o>5a400951=h39;0:965fc`83>!26;3i87c:>2;35?>od13:1(9?<:b18j17528=07dm7:18'045=k:1e8<<51998mf1=83.?=>4l3:l757<6121bo;4?:%627?e43g>:>7?n;:k`1?6=,=;86n=4n531>4d<3`h26=4+4019g6=i<881=n54i`g94?"39:0h?6`;1382`>=n9>k1<7*;12823<=i<881<65f16:94?"39:0:;45a40095>=n9>=1<7*;12823<=i<881>65rb462>5<6i80;6=u+43g96=1<@=9o7E:<1:X27c<68r>o6:65768;7?1d2=k1=9486;51>47=<00<<7??:57930<303w/?:951`g4?kgc281ejl4>;o334?6h61?0:7c<76;38j7g?281/>o=528:8j7d3281e?:850:&0ea<23g>:97?4$505>7d43->9;7=nd:&777<3:m1/8>=52c78 1532:<27):<5;15`>i4il0;66g;1d83>>o60?0;66g>8583>>i5j>0;66g>8983>>o3:;0;66g=b783>>o60>0;66g;1g83>>o60o0;66a>7583>>o39h0;66g>8883>>i3:o0;66a>o39j0;66g;1c83>>o60k0;66g;2183>>o60<0;66g>8283>>o61;0;66g>8383>>o39m0;66a<7983>>o60h0;66g>8d83>>o6?<0;66g>7283>>o3:80;66g>8e83>>o3::0;66aj3;29 1742l80b9?=:198k`7=83.?=>4j2:l757<632en<7>5$530>`46`;1380?>icm3:1(9?<:d08j1752=10cij50;&7563=h39;0<76aka;29 1742l80b9?=:998ka?=83.?=>4j2:l757<>32eo;7>5$530>`46`;138a?>ic=3:1(9?<:d08j1752j10ci:50;&756`=h39;0m76ak1;29 1742l80b9?=:028?jb7290/8<=5e39m044=9810cnh50;&7562:9lg`<72->:?7k=;o626?7432enn7>5$530>`45<#<891i?5a400950=h39;0::65`e983>!26;3o97c:>2;34?>ib?3:1(9?<:d08j17528207bk9:18'045=m;1e8<<51898k`3=83.?=>4j2:l757<6i21di94?:%627?c53g>:>7?m;:mg4e<3fio6=4+4019a7=i<881=i54i256>5<#<891?::4n531>5=5<#<891?::4n531>7=54i253>5<#<891?::4n531>1=5<#<891?::4n531>3=5<#<891?i74n531>4=5<#<891?i74n531>6=6=4+40197a?5<#<891?i74n531>0=5<#<891?i74n531>2=5<#<891?i74n531><=5<#<891?i74n531>g=5<#<891?i74n531>a=5<#<891?i74n531>c=4;h1`3?6=,=;86>j6;o626?7632c8o84?:%627?5c12d?=?4>2:9j7f2=83.?=>4m<:18'045=;m30b9?=:068?l5d:3:1(9?<:2f:?k26:3;>76g!26;39o56`;13822>=n;j:1<7*;1280`<=i<881=:54i2`e>5<#<891?i74n531>4><3`9ii7>5$530>6b>3g>:>7?6;:k0fa<72->:?7=k9:l757<6i21b?om50;&756<4l01e8<<51c98m6df290/8<=53e;8j17528i07d=m9;29 1742:n27c:>2;3g?>o4j10;6):>3;1g=>h39;0:i65f3c594?"39:08h45a40095c=4;h1a1?6=,=;86>j6;o626?4632c8n94?:%627?5c12d?=?4=2:9j7g5=83.?=>4l=:18'045=;m30b9?=:368?l5e93:1(9?<:2f:?k26:38>76g!26;39o56`;13812>=n;ml1<7*;1280`<=i<881>:54i2ff>5<#<891?i74n531>7><3`9oh7>5$530>6b>3g>:>7<6;:k0`f<72->:?7=k9:l757<5i21b?il50;&756<4l01e8<<52c98m6b6290/8<=53e;8j1752;i07d=l6;29 1742:n27c:>2;0g?>o4jk0;6):>3;1g=>h39;09i65f3c294?"39:08h45a40096c=h39;0;76gid;29 1742oo0b9?=:098mce=83.?=>4ie:l757<532cmn7>5$530>cc54i04`>5<#<891=;l4n531>5=5<#<891=;l4n531>7=54i044>5<#<891=;l4n531>1=5<#<891=;l4n531>3=5<#<891=;l4n531>==5<#<891=;l4n531>d=5<#<891=;l4n531>f=5<#<891=;l4n531>`=5<#<891=;l4n531>46<3`;>57>5$530>40e3g>:>7?>;:k212<72->:?7?9b:l757<6:21b=8850;&756<6>k1e8<<51298m432290/8<=517`8j17528>07d?:4;29 174282;36?>o6=:0;6):>3;35f>h39;0::65f14094?"39:0::o5a400952=32c:8k4?:%627?71j2d?=?4>a:9j51c=83.?=>4>6c9m044=9k10e<:l:18'045=9?h0b9?=:0a8?l73j3:1(9?<:04a?k26:3;o76g>4`83>!26;3;=n6`;1382a>=n9=31<7*;12822g=i<881=k54i06;>5<#<891=;l4n531>76<3`;?;7>5$530>40e3g>:>7<>;:k203<72->:?7?9b:l757<5:21b=9;50;&756<6>k1e8<<52298m423290/8<=517`8j1752;>07d?;3;29 174282;06?>o6?;0;6):>3;35f>h39;09:65f16394?"39:0::o5a400962=32c::h4?:%627?71j2d?=?4=a:9j53b=83.?=>4>6c9m044=:k10e<8<:18'045=9?h0b9?=:3a8?l7203:1(9?<:04a?k26:38o76g>4e83>!26;3;=n6`;1381a>=n9=81<7*;12822g=i<881>k54o0:3>5<#<891=:h4n531>5=5<#<891=:h4n531>7=54i3c4>5<#<891>l84n531>5=6=4+40196d05<#<891>l84n531>7=54i3c1>5<#<891>l84n531>1=5<#<891=?>4n531>4=5<#<891=?>4n531>6=5<#<891=?>4n531>0=5<#<891=?>4n531>2=5<#<891=?>4n531><=5<#<891=?>4n531>g=5<#<891=?>4n531>a=5<#<891=?>4n531>c=4;h32:?7??c:l757<632c::?7??c:l757<432c:<54?:%627?77k2d?=?4;;:k242<72->:?7??c:l757<232c:<;4?:%627?77k2d?=?49;:k240<72->:?7??c:l757<032c:=;4?:%627?77k2d?=?47;:k250<72->:?7??c:l757<>32c:=94?:%627?77k2d?=?4n;:k256<72->:?7??c:l757:?7??c:l757:?7??c:l7570:9j552=83.?=>4>0b9m044=9810c<=;:18'045=9:90b9?=:198k455290/8<=51218j1752810c<=>:18'045=9:90b9?=:398k457290/8<=51218j1752:10c<10c<=k:18'045=9:90b9?=:998k45d290/8<=51218j1752010c<=m:18'045=9:90b9?=:`98k45f290/8<=51218j1752k10c<=6:18'045=9:90b9?=:b98k45?290/8<=51218j1752m10c<=8:18'045=9:90b9?=:d98k451290/8<=51218j1752o10c<=::18'045=9:90b9?=:028?j75j3:1(9?<:010?k26:3;:76g>a`83>!26;3;j56`;1383?>o6i10;6):>3;3b=>h39;0:76g>a683>!26;3;j56`;1381?>o6i?0;6):>3;3b=>h39;0876g>a483>!26;3;j56`;1387?>o6i:0;6):>3;3b=>h39;0>76g>a383>!26;3;j56`;1385?>o6i80;6):>3;3b=>h39;0<76g>a183>!26;3;j56`;138;?>o61o0;6):>3;3b=>h39;0276g>9d83>!26;3;j56`;138b?>o61m0;6):>3;3b=>h39;0i76g>9b83>!26;3;j56`;138`?>o61k0;6):>3;3b=>h39;0o76g>9`83>!26;3;j56`;138f?>o6j;0;6):>3;3b=>h39;0m76g>b083>!26;3;j56`;13824>=n9k:1<7*;1282e<=i<881=<54i0ce>5<#<891=l74n531>44<3`;ji7>5$530>4g>3g>:>7?<;:k2ea<72->:?7?n9:l757<6<21b=lm50;&756<6i01e8<<51498m4ge290/8<=51`;8j17528<07d?n4;29 17428k27c:>2;34?>o6100;6):>3;3b=>h39;0:465`3`794?"39:08m95a40094>=h;h91<7*;1280e1=i<881=65`3`094?"39:08m95a40096>=h;h;1<7*;1280e1=i<881?65`3`294?"39:08m95a40090>=h;0l1<7*;1280e1=i<881965`38g94?"39:08m95a40092>=h;0n1<7*;1280e1=i<881;65`38`94?"39:08m95a4009<>=h;0k1<7*;1280e1=i<881565`38;94?"39:08m95a4009e>=h;021<7*;1280e1=i<881n65`38594?"39:08m95a4009g>=h;0<1<7*;1280e1=i<881h65`38794?"39:08m95a4009a>=h;0>1<7*;1280e1=i<881j65`38194?"39:08m95a400955=o;;o626?7532e84k4?:%627?5f<2d?=?4>3:9l7=c=83.?=>46k:18'045=;h>0b9?=:078?j5?k3:1(9?<:2c7?k26:3;=76a<8c83>!26;39j86`;13823>=h;1k1<7*;1280e1=i<881=554o2::>5<#<891?l:4n531>4?<3f9347>5$530>6g33g>:>7?n;:m0<2<72->:?7=n4:l757<6j21d?5;50;&756<4i=1e8<<51b98k6>3290/8<=53`68j17528n07b=73;29 1742:k?7c:>2;3f?>i40;0;6):>3;1b0>h39;0:j65`39394?"39:08m95a400965=o;;o626?4532e8;h4?:%627?5f<2d?=?4=3:9l72b=83.?=>49l:18'045=;h>0b9?=:378?j5fj3:1(9?<:2c7?k26:38=76a!26;39j86`;13813>=h;h31<7*;1280e1=i<881>554o2c;>5<#<891?l:4n531>7?<3f9j;7>5$530>6g33g>:>7:?7=n4:l757<5j21d?4m50;&756<4i=1e8<<52b98k6?6290/8<=53`68j1752;n07b=76;29 1742:k?7c:>2;0f?>i4?k0;6):>3;1b0>h39;09j65fa583>!26;3k87c:>2;28?lg5290/8<=5a29m044=921bm<4?:%627?g43g>:>7<4;hc3>5<#<891m>5a40097>=n1o0;6):>3;c0?k26:3>07d7j:18'045=i:1e8<<55:9j=a<72->:?7o<;o626?0<3`3h6=4+4019e6=i<881;65f9c83>!26;3k87c:>2;:8?l?f290/8<=5a29m044=121b554?:%627?g43g>:>7o4;h;4>5<#<891m>5a4009f>=n1?0;6):>3;c0?k26:3i07d7::18'045=i:1e8<<5d:9j=1<72->:?7o<;o626?c<3`386=4+4019e6=i<881j65f9383>!26;3k87c:>2;33?>o>93:1(9?<:`18j17528;07d7?:18'045=i:1e8<<51398m=`=83.?=>4n3:l757<6;21bmn4?:%627?g43g>:>7?;;:kbf?6=,=;86l=4n531>43<3`kj6=4+4019e6=i<881=;54i`;94?"39:0j?6`;13823>=ni10;6):>3;c0?k26:3;376gn7;29 1742h90b9?=:0;8?lg1290/8<=5a29m044=9h10el;50;&756b:9j=<<72->:?7o<;o626?7d32c3i7>5$530>d5n;o626?6<3f>;57>5$530>16f3g>:>7?4;n63n;o626?4<3f>;;7>5$530>16f3g>:>7=4;n632?6=,=;869>n;o626?2<3f>;97>5$530>16f3g>:>7;4;n630?6=,=;869>n;o626?0<3f>;?7>5$530>16f3g>:>794;n635?6=,=;869>n;o626?><3f>;<7>5$530>16f3g>:>774;n1eb?6=,=;869>n;o626?g<3f9mi7>5$530>16f3g>:>7l4;n1e`?6=,=;869>n;o626?e<3f9mo7>5$530>16f3g>:>7j4;n1ef?6=,=;869>n;o626?c<3f9mm7>5$530>16f3g>:>7h4;n1e=?6=,=;869>n;o626?7732e8j54?:%627?27i2d?=?4>1:9l7c0=83.?=>4;0`9m044=9;10c>h::18'045=<9k0b9?=:018?j5a<3:1(9?<:52b?k26:3;?76a!26;3>;m6`;13821>=h;o81<7*;12874d=i<881=;54o2d2>5<#<8918=o4n531>41<3f9m<7>5$530>16f3g>:>7?7;:m0ac<72->:?7:?a:l757<6121d?hk50;&756<38h1e8<<51`98k6cc290/8<=541c8j17528h07b=jb;29 1742=:j7c:>2;3`?>i4mh0;6):>3;63e>h39;0:h65`3d;94?"39:0?n;o626?4732e8i;4?:%627?27i2d?=?4=1:9l7`3=83.?=>4;0`9m044=:;10c>k;:18'045=<9k0b9?=:318?j5b;3:1(9?<:52b?k26:38?76a!26;3>;m6`;13811>=h<8;1<7*;12874d=i<881>;54o533>5<#<8918=o4n531>71<3f>;j7>5$530>16f3g>:>7<7;:m74`<72->:?7:?a:l757<5121d8=j50;&756<38h1e8<<52`98k16d290/8<=541c8j1752;h07b:?2;29 1742=:j7c:>2;0`?>i4n>0;6):>3;63e>h39;09h65`3da94?"39:0?5<#<891o>5a40094>=nk;0;6):>3;a0?k26:3;07dm>:18'045=k:1e8<<52:9jg5<72->:?7m<;o626?5<3`hm6=4+4019g6=i<881865fbd83>!26;3i87c:>2;78?ldc290/8<=5c29m044=>21bnn4?:%627?e43g>:>794;h`a>5<#<891o>5a4009<>=njh0;6):>3;a0?k26:3307dl7:18'045=k:1e8<<5a:9jf2<72->:?7m<;o626?d<3`h=6=4+4019g6=i<881o65fb483>!26;3i87c:>2;f8?ld3290/8<=5c29m044=m21bn>4?:%627?e43g>:>7h4;h`1>5<#<891o>5a400955=h39;0:=65fb183>!26;3i87c:>2;31?>ofn3:1(9?<:b18j17528907dml:18'045=k:1e8<<51598mfd=83.?=>4l3:l757<6=21bol4?:%627?e43g>:>7?9;:k`=?6=,=;86n=4n531>41<3`i36=4+4019g6=i<881=554ib594?"39:0h?6`;1382=>=nk?0;6):>3;a0?k26:3;j76gl5;29 1742j90b9?=:0`8?ld>290/8<=5c29m044=9j10elk50;&756d:9j52g=83.?=>4>789m044=821b=:650;&756<6?01e8<<51:9j521=83.?=>4>789m044=:21vn8:=:182e4<729q/8?k52958L15c3A>8=6T>3g824~2c2>21;:473;5`>1g=9=0<:79=:0390<<083;;69;57487=1=lk8;ocg>4=inh0:7c??0;28j466281/==<537c8 4642;=m7c?>7;38j45b281/=9>526d8j411281e=:l51:l2=3<63g83:7?4n3c;>4=#:k91>464n3`7>4=i;><1<6*o;4$517>60>3->897=9d:m0e`<722c?=h4?::k2<3<722c:494?::m1f2<722c:454?::k767<722c9n;4?::k2<2<722c?=k4?::k2=4?::k2<0<722c:4>4?::k2=7<722c:4?4?::k75a<722e8;54?::k24?::k764<722c:4i4?::k766<722en?7>5$530>`46`;1382?>ib83:1(9?<:d08j1752;10cih50;&7561=h39;0>76akc;29 1742l80b9?=:798kad=83.?=>4j2:l757<032eom7>5$530>`46`;138:?>ic?3:1(9?<:d08j1752h10ci850;&756f=1<7*;128f6>h39;0o76ak3;29 1742l80b9?=:d98ka4=83.?=>4j2:l7575$530>`44;nf3>5<#<891i?5a400954=h39;0:>65`cd83>!26;3o97c:>2;30?>ibj3:1(9?<:d08j17528>07bkn:18'045=m;1e8<<51498k`?=83.?=>4j2:l757<6>21di54?:%627?c53g>:>7?8;:mf3?6=,=;86h<4n531>4><3fo=6=4+4019a7=i<881=454od794?"39:0n>6`;1382e>=hm=0;6):>3;g1?k26:3;i76ak8;29 1742l80b9?=:0a8?jec290/8<=5e39m044=9m10e>9::18'045=;>>0b9?=:198m614290/8<=53668j1752810e>9=:18'045=;>>0b9?=:398m616290/8<=53668j1752:10e>9?:18'045=;>>0b9?=:598m60a290/8<=53668j1752<10e>8j:18'045=;>>0b9?=:798m6bf290/8<=53e;8j1752910e>j7:18'045=;m30b9?=:098m6b0290/8<=53e;8j1752;10e>j9:18'045=;m30b9?=:298m6b2290/8<=53e;8j1752=10e>j;:18'045=;m30b9?=:498m6b4290/8<=53e;8j1752?10e>j=:18'045=;m30b9?=:698m6b7290/8<=53e;8j1752110e>mi:18'045=;m30b9?=:898m6eb290/8<=53e;8j1752h10e>mk:18'045=;m30b9?=:c98m6ed290/8<=53e;8j1752j10e>mm:18'045=;m30b9?=:e98m6ef290/8<=53e;8j1752l10e>m6:18'045=;m30b9?=:g98m6e?290/8<=53e;8j17528:07d=l7;29 1742:n27c:>2;32?>o4k<0;6):>3;1g=>h39;0:>65f3b694?"39:08h45a400956=j6;o626?7232c8o<4?:%627?5c12d?=?4>6:9j7f6=83.?=>410e>li:18'045=;m30b9?=:0:8?l5em3:1(9?<:2f:?k26:3;276g!26;39o56`;1382e>=n;ki1<7*;1280`<=i<881=o54i2`b>5<#<891?i74n531>4e<3`9i57>5$530>6b>3g>:>7?k;:k0f=<72->:?7=k9:l757<6m21b?o950;&756<4l01e8<<51g98m6d1290/8<=53e;8j1752;:07d=m5;29 1742:n27c:>2;02?>o4j=0;6):>3;1g=>h39;09>65f3c194?"39:08h45a400966=j6;o626?4232c8i=4?:%627?5c12d?=?4=6:9j7a`=83.?=>410e>jj:18'045=;m30b9?=:3:8?l5cl3:1(9?<:2f:?k26:38276g!26;39o56`;1381e>=n;mh1<7*;1280`<=i<881>o54i2f2>5<#<891?i74n531>7e<3`9h:7>5$530>6b>3g>:>7:?7=k9:l757<5m21b?o>50;&756<4l01e8<<52g98mc`=83.?=>4ie:l757<732cmh7>5$530>ccoaj3:1(9?<:gg8j1752:10e<8l:18'045=9?h0b9?=:198m40f290/8<=517`8j1752810e<86:18'045=9?h0b9?=:398m40?290/8<=517`8j1752:10e<88:18'045=9?h0b9?=:598m401290/8<=517`8j1752<10e<8::18'045=9?h0b9?=:798m403290/8<=517`8j1752>10e<8=:18'045=9?h0b9?=:998m406290/8<=517`8j1752010e<8?:18'045=9?h0b9?=:`98m43a290/8<=517`8j1752k10e<;j:18'045=9?h0b9?=:b98m43c290/8<=517`8j1752m10e<;l:18'045=9?h0b9?=:d98m43e290/8<=517`8j1752o10e<;n:18'045=9?h0b9?=:028?l7213:1(9?<:04a?k26:3;:76g>5683>!26;3;=n6`;13826>=n9<<1<7*;12822g=i<881=>54i076>5<#<891=;l4n531>42<3`;>87>5$530>40e3g>:>7?:;:k216<72->:?7?9b:l757<6>21b=8<50;&756<6>k1e8<<51698m436290/8<=517`8j17528207d?:0;29 174282;3:?>o63;35f>h39;0:m65f15g94?"39:0::o5a40095g=h6=4+401953de:9j51?=83.?=>4>6c9m044=9o10e<:7:18'045=9?h0b9?=:328?l73?3:1(9?<:04a?k26:38:76g>4783>!26;3;=n6`;13816>=n9=?1<7*;12822g=i<881>>54i067>5<#<891=;l4n531>72<3`;??7>5$530>40e3g>:>7<:;:k237<72->:?7?9b:l757<5>21b=:?50;&756<6>k1e8<<52698m417290/8<=517`8j1752;207d?9f;29 174282;0:?>o6>l0;6):>3;35f>h39;09m65f17f94?"39:0::o5a40096g=4>6c9m044=:o10c<6?:18'045=9>l0b9?=:198k41b290/8<=516d8j1752810c<9k:18'045=9>l0b9?=:398k41d290/8<=516d8j1752:10e?o8:18'045=:h<0b9?=:198m7g2290/8<=52`48j1752810e?o;:18'045=:h<0b9?=:398m7g4290/8<=52`48j1752:10e?o=:18'045=:h<0b9?=:598m446290/8<=51328j1752910e8;29 174288;7c:>2;32?>o68m0;6):>3;33g>h39;0;76g>0c83>!26;3;;o6`;1382?>o68h0;6):>3;33g>h39;0976g>0883>!26;3;;o6`;1380?>o6810;6):>3;33g>h39;0?76g>0683>!26;3;;o6`;1386?>o68?0;6):>3;33g>h39;0=76g>0483>!26;3;;o6`;1384?>o69?0;6):>3;33g>h39;0376g>1483>!26;3;;o6`;138:?>o69=0;6):>3;33g>h39;0j76g>1283>!26;3;;o6`;138a?>o69;0;6):>3;33g>h39;0h76g>1083>!26;3;;o6`;138g?>o6990;6):>3;33g>h39;0n76g>0g83>!26;3;;o6`;138e?>o68l0;6):>3;33g>h39;0:<65f11694?"39:0:5<#<891=>=4n531>4=5<#<891=>=4n531>6=5<#<891=>=4n531>0=5<#<891=>=4n531>2=5<#<891=>=4n531><=5<#<891=>=4n531>g=5<#<891=>=4n531>a=5<#<891=>=4n531>c=6=4+40195654;n31f?6=,=;86<=<;o626?7632c:ml4?:%627?7f12d?=?4?;:k2e=<72->:?7?n9:l757<632c:m:4?:%627?7f12d?=?4=;:k2e3<72->:?7?n9:l757<432c:m84?:%627?7f12d?=?4;;:k2e6<72->:?7?n9:l757<232c:m?4?:%627?7f12d?=?49;:k2e4<72->:?7?n9:l757<032c:m=4?:%627?7f12d?=?47;:k2=c<72->:?7?n9:l757<>32c:5h4?:%627?7f12d?=?4n;:k2=a<72->:?7?n9:l757:?7?n9:l757:?7?n9:l7570:9j5g6=83.?=>4>a89m044=9810eae83>!26;3;j56`;13820>=n9hi1<7*;1282e<=i<881=854i0ca>5<#<891=l74n531>40<3`;j87>5$530>4g>3g>:>7?8;:k2=<<72->:?7?n9:l757<6021d?l;50;&756<4i=1e8<<50:9l7d5=83.?=>4450;&756<4i=1e8<<54:9l7<`=83.?=>444=83.?=>4442;31?>i40o0;6):>3;1b0>h39;0:?65`39g94?"39:08m95a400951=o;;o626?7132e84o4?:%627?5f<2d?=?4>7:9l7=g=83.?=>466:18'045=;h>0b9?=:0;8?j5?03:1(9?<:2c7?k26:3;j76a<8683>!26;39j86`;1382f>=h;1?1<7*;1280e1=i<881=n54o2:7>5<#<891?l:4n531>4b<3f93?7>5$530>6g33g>:>7?j;:m0<7<72->:?7=n4:l757<6n21d?5?50;&756<4i=1e8<<52198k6>7290/8<=53`68j1752;;07b=8f;29 1742:k?7c:>2;01?>i4?l0;6):>3;1b0>h39;09?65`36f94?"39:08m95a400961=o;;o626?4132e8ml4?:%627?5f<2d?=?4=7:9l7d?=83.?=>4o7:18'045=;h>0b9?=:3;8?j5f?3:1(9?<:2c7?k26:38j76a!26;39j86`;1381f>=h;0i1<7*;1280e1=i<881>n54o2;2>5<#<891?l:4n531>7b<3f93:7>5$530>6g33g>:>7:?7=n4:l757<5n21bm94?:%627?g43g>:>7>4;hc1>5<#<891m>5a40095>=ni80;6):>3;c0?k26:3807do?:18'045=i:1e8<<53:9j=c<72->:?7o<;o626?2<3`3n6=4+4019e6=i<881965f9e83>!26;3k87c:>2;48?l?d290/8<=5a29m044=?21b5o4?:%627?g43g>:>764;h;b>5<#<891m>5a4009=>=n110;6):>3;c0?k26:3k07d78:18'045=i:1e8<<5b:9j=3<72->:?7o<;o626?e<3`3>6=4+4019e6=i<881h65f9583>!26;3k87c:>2;g8?l?4290/8<=5a29m044=n21b5?4?:%627?g43g>:>7??;:k:5?6=,=;86l=4n531>47<3`3;6=4+4019e6=i<881=?54i9d94?"39:0j?6`;13827>=nij0;6):>3;c0?k26:3;?76gnb;29 1742h90b9?=:078?lgf290/8<=5a29m044=9?10el750;&7567:9je=<72->:?7o<;o626?7?32cj;7>5$530>d55<#<891m>5a40095d=h39;0:n65f9883>!26;3k87c:>2;3`?>o?m3:1(9?<:`18j17528n07b:?b;29 1742=:j7c:>2;28?j2713:1(9?<:52b?k26:3;07b:?8;29 1742=:j7c:>2;08?j27?3:1(9?<:52b?k26:3907b:?6;29 1742=:j7c:>2;68?j27=3:1(9?<:52b?k26:3?07b:?4;29 1742=:j7c:>2;48?j27;3:1(9?<:52b?k26:3=07b:?1;29 1742=:j7c:>2;:8?j2783:1(9?<:52b?k26:3307b=if;29 1742=:j7c:>2;c8?j5am3:1(9?<:52b?k26:3h07b=id;29 1742=:j7c:>2;a8?j5ak3:1(9?<:52b?k26:3n07b=ib;29 1742=:j7c:>2;g8?j5ai3:1(9?<:52b?k26:3l07b=i9;29 1742=:j7c:>2;33?>i4n10;6):>3;63e>h39;0:=65`3g494?"39:0?6=4+401905gn;o626?7332e8j>4?:%627?27i2d?=?4>5:9l7c4=83.?=>4;0`9m044=9?10c>h>:18'045=<9k0b9?=:058?j5a83:1(9?<:52b?k26:3;376a!26;3>;m6`;1382=>=h;lo1<7*;12874d=i<881=l54o2gg>5<#<8918=o4n531>4d<3f9nn7>5$530>16f3g>:>7?l;:m0ad<72->:?7:?a:l757<6l21d?h750;&756<38h1e8<<51d98k6c?290/8<=541c8j17528l07b=j7;29 1742=:j7c:>2;03?>i4m?0;6):>3;63e>h39;09=65`3d794?"39:0?n;o626?4332e8i?4?:%627?27i2d?=?4=5:9l047=83.?=>4;0`9m044=:?10c9??:18'045=<9k0b9?=:358?j27n3:1(9?<:52b?k26:38376a;0d83>!26;3>;m6`;1381=>=h<9n1<7*;12874d=i<881>l54o52`>5<#<8918=o4n531>7d<3f>;>7>5$530>16f3g>:>7:?7:?a:l757<5l21d?hm50;&756<38h1e8<<52d98k6c6290/8<=541c8j1752;l07dm;:18'045=k:1e8<<50:9jg7<72->:?7m<;o626?7<3`i:6=4+4019g6=i<881>65fc183>!26;3i87c:>2;18?lda290/8<=5c29m044=<21bnh4?:%627?e43g>:>7;4;h`g>5<#<891o>5a40092>=njj0;6):>3;a0?k26:3=07dlm:18'045=k:1e8<<58:9jfd<72->:?7m<;o626??<3`h36=4+4019g6=i<881m65fb683>!26;3i87c:>2;`8?ld1290/8<=5c29m044=k21bn84?:%627?e43g>:>7j4;h`7>5<#<891o>5a4009a>=nj:0;6):>3;a0?k26:3l07dl=:18'045=k:1e8<<51198mg7=83.?=>4l3:l757<6921bn=4?:%627?e43g>:>7?=;:kbb?6=,=;86n=4n531>45<3`ih6=4+4019g6=i<881=954ib`94?"39:0h?6`;13821>=nkh0;6):>3;a0?k26:3;=76gl9;29 1742j90b9?=:058?le?290/8<=5c29m044=9110en950;&7569:9jg3<72->:?7m<;o626?7f32ch97>5$530>f55<#<891o>5a40095f=h39;0:h65f16c94?"39:0:;45a40094>=n9>21<7*;12823<=i<881=65f16594?"39:0:;45a40096>=zj<>86=4>a083>5}#<;o1>594H51g?M2492P:?k4>0z6g>2>=?>03?79l:5c951<0>3=964n022>4=#9981?;o4$020>71a3g;:;7?4n01f>4=#9=:1>:h4n055>4=i9>h1=6`>9782?k4?>3;0b?o7:09'6g5=:020b?l;:09m720=82.8mi4:;o621?7<,=8=6?l<;%613?5fl2.???4;2e9'065=:k?0(9=;:24:?!24=39=h6a>o39l0;66g>8783>>o60=0;66a=b683>>o6010;66g;2383>>o5j?0;66g>8683>>o39o0;66g>8g83>>i6?=0;66g;1`83>>o6000;66a;2g83>>i4io0;66g;1b83>>o39k0;66g>8c83>>o3:90;66g>8483>>o60:0;66g>9383>>o60;0;66g;1e83>>i4?10;66g>8`83>>o60l0;66g>7483>>o6?:0;66g;2083>>o60m0;66g;2283>>ib;3:1(9?<:d08j1752910ch?50;&756;:mf4?6=,=;86h<4n531>7=h39;0876ake;29 1742l80b9?=:598kab=83.?=>4j2:l757<232eoo7>5$530>`46`;1384?>ici3:1(9?<:d08j1752110ci750;&756d=h39;0i76ak5;29 1742l80b9?=:b98ka2=83.?=>4j2:l7575$530>`46`;138e?>ic93:1(9?<:d08j17528:07bj?:18'045=m;1e8<<51098kf`=83.?=>4j2:l757<6:21doh4?:%627?c53g>:>7?<;:mff?6=,=;86h<4n531>42<3foj6=4+4019a7=i<881=854od;94?"39:0n>6`;13822>=hm10;6):>3;g1?k26:3;<76aj7;29 1742l80b9?=:0:8?jc1290/8<=5e39m044=9010ch;50;&756a:9la1<72->:?7k=;o626?7e32eo47>5$530>`45<#<891i?5a40095a=6=4+40197225<#<891?::4n531>4=5<#<891?::4n531>6=5<#<891?::4n531>0=5<#<891?i74n531>5=5<#<891?i74n531>7=54i2f6>5<#<891?i74n531>1=5<#<891?i74n531>3=5<#<891?i74n531>==5<#<891?i74n531>d=5<#<891?i74n531>f=5<#<891?i74n531>`=5<#<891?i74n531>46<3`9h;7>5$530>6b>3g>:>7?>;:k0g0<72->:?7=k9:l757<6:21b?n:50;&756<4l01e8<<51298m6e4290/8<=53e;8j17528>07d=l2;29 1742:n27c:>2;36?>o4k80;6):>3;1g=>h39;0::65f3b294?"39:08h45a400952=j6;o626?7>32c8ni4?:%627?5c12d?=?4>a:9j7ge=83.?=>4ln:18'045=;m30b9?=:0a8?l5e13:1(9?<:2f:?k26:3;o76g!26;39o56`;1382a>=n;k=1<7*;1280`<=i<881=k54i2`5>5<#<891?i74n531>76<3`9i97>5$530>6b>3g>:>7<>;:k0f1<72->:?7=k9:l757<5:21b?o=50;&756<4l01e8<<52298m6d5290/8<=53e;8j1752;>07d=m1;29 1742:n27c:>2;06?>o4m90;6):>3;1g=>h39;09:65f3ed94?"39:08h45a400962=j6;o626?4>32c8hn4?:%627?5c12d?=?4=a:9j7ad=83.?=>4j>:18'045=;m30b9?=:3a8?l5d>3:1(9?<:2f:?k26:38o76g!26;39o56`;1381a>=n;k:1<7*;1280`<=i<881>k54igd94?"39:0mi6`;1383?>oal3:1(9?<:gg8j1752810ekm50;&7566=5<#<891=;l4n531>4=5<#<891=;l4n531>6=5<#<891=;l4n531>0=6=4+401953d5<#<891=;l4n531>2=5<#<891=;l4n531><=5<#<891=;l4n531>g=5<#<891=;l4n531>a=5<#<891=;l4n531>c=4;h36=?6=,=;86<8m;o626?7632c:9:4?:%627?71j2d?=?4>2:9j500=83.?=>4>6c9m044=9:10e<;::18'045=9?h0b9?=:068?l72<3:1(9?<:04a?k26:3;>76g>5283>!26;3;=n6`;13822>=n9<81<7*;12822g=i<881=:54i072>5<#<891=;l4n531>4><3`;><7>5$530>40e3g>:>7?6;:k20c<72->:?7?9b:l757<6i21b=9k50;&756<6>k1e8<<51c98m42d290/8<=517`8j17528i07d?;b;29 174282;3g?>o63;35f>h39;0:i65f15;94?"39:0::o5a40095c=36=4+401953d4;h373?6=,=;86<8m;o626?4632c:8;4?:%627?71j2d?=?4=2:9j513=83.?=>4>6c9m044=::10e<:;:18'045=9?h0b9?=:368?l73;3:1(9?<:04a?k26:38>76g>7383>!26;3;=n6`;13812>=n9>;1<7*;12822g=i<881>:54i053>5<#<891=;l4n531>7><3`;=j7>5$530>40e3g>:>7<6;:k22`<72->:?7?9b:l757<5i21b=;j50;&756<6>k1e8<<52c98m404290/8<=517`8j1752;i07d?:8;29 174282;0g?>o63;35f>h39;09i65f15094?"39:0::o5a40096c=5<#<891=:h4n531>4=5<#<891=:h4n531>6=5<#<891>l84n531>4=5<#<891>l84n531>6=5<#<891=?>4n531>5=5<#<891=?>4n531>7=54i03`>5<#<891=?>4n531>1=5<#<891=?>4n531>3=5<#<891=?>4n531>==5<#<891=?>4n531>d=5<#<891=?>4n531>f=6=4+40195765<#<891=?>4n531>`=5<#<891=?>4n531>46<3`;:47>5$530>4473g>:>7?>;:k24a<72->:?7??c:l757<732c:;:k24d<72->:?7??c:l757<532c:<44?:%627?77k2d?=?4<;:k24=<72->:?7??c:l757<332c:<:4?:%627?77k2d?=?4:;:k243<72->:?7??c:l757<132c:<84?:%627?77k2d?=?48;:k253<72->:?7??c:l757:?7??c:l7574?:%627?77k2d?=?4m;:k257<72->:?7??c:l757:?7??c:l757:?7??c:l757<6821b==:50;&756<68j1e8<<51098k453290/8<=51218j1752910c<==:18'045=9:90b9?=:098k456290/8<=51218j1752;10c<=?:18'045=9:90b9?=:298k44a290/8<=51218j1752=10c<290/8<=51218j1752j10c<=7:18'045=9:90b9?=:e98k450290/8<=51218j1752l10c<=9:18'045=9:90b9?=:g98k452290/8<=51218j17528:07b?=b;29 17428987c:>2;32?>o6ih0;6):>3;3b=>h39;0;76g>a983>!26;3;j56`;1382?>o6i>0;6):>3;3b=>h39;0976g>a783>!26;3;j56`;1380?>o6i<0;6):>3;3b=>h39;0?76g>a283>!26;3;j56`;1386?>o6i;0;6):>3;3b=>h39;0=76g>a083>!26;3;j56`;1384?>o6i90;6):>3;3b=>h39;0376g>9g83>!26;3;j56`;138:?>o61l0;6):>3;3b=>h39;0j76g>9e83>!26;3;j56`;138a?>o61j0;6):>3;3b=>h39;0h76g>9c83>!26;3;j56`;138g?>o61h0;6):>3;3b=>h39;0n76g>b383>!26;3;j56`;138e?>o6j80;6):>3;3b=>h39;0:<65f1c294?"39:0:m45a400954=4:9j5de=83.?=>4>a89m044=9<10e9883>!26;3;j56`;1382<>=h;h?1<7*;1280e1=i<881<65`3`194?"39:08m95a40095>=h;h81<7*;1280e1=i<881>65`3`394?"39:08m95a40097>=h;h:1<7*;1280e1=i<881865`38d94?"39:08m95a40091>=h;0o1<7*;1280e1=i<881:65`38f94?"39:08m95a40093>=h;0h1<7*;1280e1=i<881465`38c94?"39:08m95a4009=>=h;031<7*;1280e1=i<881m65`38:94?"39:08m95a4009f>=h;0=1<7*;1280e1=i<881o65`38494?"39:08m95a4009`>=h;0?1<7*;1280e1=i<881i65`38694?"39:08m95a4009b>=h;091<7*;1280e1=i<881==54o2;1>5<#<891?l:4n531>47<3f92<7>5$530>6g33g>:>7?=;:m0:?7=n4:l757<6;21d?5k50;&756<4i=1e8<<51598k6>c290/8<=53`68j17528?07b=7c;29 1742:k?7c:>2;35?>i40k0;6):>3;1b0>h39;0:;65`39c94?"39:08m95a40095==o;;o626?7f32e84:4?:%627?5f<2d?=?4>b:9l7=3=83.?=>46;:18'045=;h>0b9?=:0f8?j5?;3:1(9?<:2c7?k26:3;n76a<8383>!26;39j86`;1382b>=h;1;1<7*;1280e1=i<881>=54o2:3>5<#<891?l:4n531>77<3f95$530>6g33g>:>7<=;:m03`<72->:?7=n4:l757<5;21d?:j50;&756<4i=1e8<<52598k61d290/8<=53`68j1752;?07b=nb;29 1742:k?7c:>2;05?>i4ih0;6):>3;1b0>h39;09;65`3`;94?"39:08m95a40096==o;;o626?4f32e8m;4?:%627?5f<2d?=?4=b:9l747>:18'045=;h>0b9?=:3f8?j5?>3:1(9?<:2c7?k26:38n76a<7c83>!26;39j86`;1381b>=ni=0;6):>3;c0?k26:3:07do=:18'045=i:1e8<<51:9je4<72->:?7o<;o626?4<3`k;6=4+4019e6=i<881?65f9g83>!26;3k87c:>2;68?l?b290/8<=5a29m044==21b5i4?:%627?g43g>:>784;h;`>5<#<891m>5a40093>=n1k0;6):>3;c0?k26:3207d7n:18'045=i:1e8<<59:9j==<72->:?7o<;o626?g<3`3<6=4+4019e6=i<881n65f9783>!26;3k87c:>2;a8?l?2290/8<=5a29m044=l21b594?:%627?g43g>:>7k4;h;0>5<#<891m>5a4009b>=n1;0;6):>3;c0?k26:3;;76g61;29 1742h90b9?=:038?l?7290/8<=5a29m044=9;10e5h50;&7563:9jef<72->:?7o<;o626?7332cjn7>5$530>d55<#<891m>5a400953=h39;0:;65fa983>!26;3k87c:>2;3;?>of?3:1(9?<:`18j17528307do9:18'045=i:1e8<<51`98md3=83.?=>4n3:l757<6j21b544?:%627?g43g>:>7?l;:k;a?6=,=;86l=4n531>4b<3f>;n7>5$530>16f3g>:>7>4;n63=?6=,=;869>n;o626?7<3f>;47>5$530>16f3g>:>7<4;n633?6=,=;869>n;o626?5<3f>;:7>5$530>16f3g>:>7:4;n631?6=,=;869>n;o626?3<3f>;87>5$530>16f3g>:>784;n637?6=,=;869>n;o626?1<3f>;=7>5$530>16f3g>:>764;n634?6=,=;869>n;o626??<3f9mj7>5$530>16f3g>:>7o4;n1ea?6=,=;869>n;o626?d<3f9mh7>5$530>16f3g>:>7m4;n1eg?6=,=;869>n;o626?b<3f9mn7>5$530>16f3g>:>7k4;n1ee?6=,=;869>n;o626?`<3f9m57>5$530>16f3g>:>7??;:m0b=<72->:?7:?a:l757<6921d?k850;&756<38h1e8<<51398k6`2290/8<=541c8j17528907b=i4;29 1742=:j7c:>2;37?>i4n:0;6):>3;63e>h39;0:965`3g094?"39:0?n;o626?7?32e8ik4?:%627?27i2d?=?4>9:9l7`c=83.?=>4;0`9m044=9h10c>kk:18'045=<9k0b9?=:0`8?j5bj3:1(9?<:52b?k26:3;h76a!26;3>;m6`;1382`>=h;l31<7*;12874d=i<881=h54o2g;>5<#<8918=o4n531>4`<3f9n;7>5$530>16f3g>:>7:?7:?a:l757<5921d?h;50;&756<38h1e8<<52398k6c3290/8<=541c8j1752;907b=j3;29 1742=:j7c:>2;07?>i4m;0;6):>3;63e>h39;09965`40394?"39:0?n;o626?4?32e?4;0`9m044=:h10c9>l:18'045=<9k0b9?=:3`8?j27:3:1(9?<:52b?k26:38h76a!26;3>;m6`;1381`>=h;li1<7*;12874d=i<881>h54o2g2>5<#<8918=o4n531>7`<3`i?6=4+4019g6=i<881<65fc383>!26;3i87c:>2;38?le6290/8<=5c29m044=:21bo=4?:%627?e43g>:>7=4;h`e>5<#<891o>5a40090>=njl0;6):>3;a0?k26:3?07dlk:18'045=k:1e8<<56:9jff<72->:?7m<;o626?1<3`hi6=4+4019g6=i<881465fb`83>!26;3i87c:>2;;8?ld?290/8<=5c29m044=i21bn:4?:%627?e43g>:>7l4;h`5>5<#<891o>5a4009g>=nj<0;6):>3;a0?k26:3n07dl;:18'045=k:1e8<<5e:9jf6<72->:?7m<;o626?`<3`h96=4+4019g6=i<881==54ic394?"39:0h?6`;13825>=nj90;6):>3;a0?k26:3;976gnf;29 1742j90b9?=:018?led290/8<=5c29m044=9=10enl50;&7565:9jgd<72->:?7m<;o626?7132ch57>5$530>f55<#<891o>5a40095==h39;0:565fc783>!26;3i87c:>2;3b?>od=3:1(9?<:b18j17528h07dl6:18'045=k:1e8<<51b98mdc=83.?=>4l3:l757<6l21b=:o50;&756<6?01e8<<50:9j52>=83.?=>4>789m044=921b=:950;&756<6?01e8<<52:9~f023290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f022290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f021290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f020290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f02?290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f02>290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f02f290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f02e290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f02d290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f02c290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f02b290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f02a290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f037290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f036290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f035290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f034290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f033290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f032290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f031290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f030290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f03?290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f03>290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f03f290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f03e290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f03d290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f03c290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f03b290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f03a290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f007290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f006290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f005290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f004290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f003290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f002290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f001290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f000290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f00?290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f00>290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f00f290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f00e290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f00d290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f00c290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f00b290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f00a290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f017290>6=4?{%61a?4><2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9j04>=831d8<850;9~f016290897==:5gxL1563->9i7=?cg9Y56`=;r;<6??5328~m`b=83.?=>4jc:l757<732c:o94?:%627?7d;2d?=?4?;:k2g7<72->:?7?l3:l757<632c:o<4?:%627?7d;2d?=?4=;:k2g5<72->:?7?l3:l757<432c:nh4?:%627?7d;2d?=?4;;:k2fa<72->:?7?l3:l757<232c:nn4?:%627?7d;2d?=?49;:k2fg<72->:?7?l3:l757<032c:nl4?:%627?7d;2d?=?47;:k2f<<72->:?7?l3:l757<>32c:n54?:%627?7d;2d?=?4n;:k2f2<72->:?7?l3:l757:?7?l3:l757:?7?l3:l7570:9j5f?=83.?=>4>c29m044=9810ec783>!26;3;h?6`;13820>=n9j?1<7*;1282g6=i<881=854i0`e>5<#<891=n=4n531>40<3`;i87>5$530>4e43g>:>7?8;:k77<<722c:4<4?::k760<722c:5?4?::m2`a<72->:?7?kc:l757<732e:ho4?:%627?7ck2d?=?4>;:m2`d<72->:?7?kc:l757<532e:h44?:%627?7ck2d?=?4<;:m2`2<72->:?7?kc:l757<332e:h;4?:%627?7ck2d?=?4:;:m2`0<72->:?7?kc:l757<132e:h94?:%627?7ck2d?=?48;:m2`6<72->:?7?kc:l757:?7?kc:l757:?7?kc:l757:?7?kc:l757:?7?kc:l757<6821d=h<50;&756<6lj1e8<<51098k4c6290/8<=51ea8j17528807b?j0;29 17428nh7c:>2;30?>i6lo0;6):>3;3gg>h39;0:865`1eg94?"39:0:hn5a400950=;?4?:083>5}#<;o1>4o4H51g?M2492e9544?::a125=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi9::50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a123=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi9:850;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a121=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi9:650;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a12?=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi9:o50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a12d=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi9:m50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a12b=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi9:k50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a12`=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi95>50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1=7=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi95<50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1=5=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi95:50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1=3=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi95850;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1=1=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi95650;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1=?=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi95o50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1=d=8331<7>t$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm59a94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi95j50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e=1o1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm58294??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi94?50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e=081<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm58694??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi94;50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e=0<1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm58:94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi94750;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e=0k1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm58a94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi94j50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e=0o1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm5`294??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi9l?50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e=h81<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>7ga3A>8h6F;309'6d7=0?1b>4m50;9j61=d=001695m5889>1=b=001695k5889>1=`=001694>5889>1<7=001694<5889>1<5=001694:5889>1<3=00169485889>1<1=00169465889>1111<`=00169l>5889>1d7=00169l<5889~w716=3:1>vP>c59>1d6=90=0q~<81783>7}Y9j8018o=:0;4?xu5?8=1<75<5sW;h<63:9e82=2=z{;=:57>52z\2f`=:=0l1=494}r045d<72;qU=oj4=4;f>4?03ty9;1v?9>d;296~X6jh1694l51858yv409l0;6?uQ1c;890?0283<7p}=70d94?4|V8h370;69;3:3>{t:>8;6=4={_3a3>;2110:5:5rs3515?6=:rT:n;5258695<1?4?:3y]5g3<5<3=6<78;|q1375=838pR?2wx>:<;:181[7dj27>5<4>969~w715=3:1>vP>c`9>1<5=90=0q~<82783>7}Y9j30187=:0;4?xu5?;=1<75<5sW;h;63:9182=2=z{;=957>52z\2g3=:=1l1=494}r046d<72;qU=n;4=4:a>4?03ty9;?l50;0xZ4da34?3h7?67:p624d2909wS?m4:?61v?9=d;296~X3;0169l=528f8yv40:l0;6?1=5?4=455>4>634?<;7?71:?63=<608169:751938901f282:70;8b;3;5>;2?j0:4<5256f95=7<5<=n6<6>;<74b?7?927>4=4>809>1=7=91;0186=:0:2?83?;3;3=63:8582<4=:=1?1=5?4=4:5>4>634?3;7?71:?6<=<608169575193890>f282:70;n3;0:g>{t:>8m6=4<1z\2=7=:=>91=9?4=457>42634?<97?;1:?633<6<8169:951538901?28>:70;89;375>;2?h0:8<5256`9517<5<=h6<:>;<74`?73927>;h4>409>12`=9=;0186?:062?83?93;?=63:838204=:=191=9?4=4:7>42634?397?;1:?6<3<6<8169595153890>?28>:70;79;375>;20h0:8<5259`95<4<5<2h6<7=;<7;`?7>:27>4h4>939>1=`=9080187?:0;1?83>93;2>63:9382=7=:=091=4<4=4;7>4?534?297?62:?6=3<61;169495180890??283970;69;3:6>;21h0:5?5258`95<4<5<3h6<7=;<7:`?7>:27>5h4>939>1<`=908018o?:0;1?83f93;2>63:a382=7=z{;=8<7>52z\2`a=:=1k18<84}r0474<72;qU=il4=4::>1713ty9;><50;0xZ4bf34?347:>6:p62542909wS?k9:?6<2<39?1v?9<4;296~X6l>1695854048yv40;<0;6?uQ1e4890>22=;=7p}=72494?4|V8n>70;74;622>{t:>9<6=4={_3g0>;20:0?=;5rs350525909040;<7;4?26>2wx>:=m:181[7c827>;k4;179~w714k3:1>vP>cg9>12c=<8<0q~<83e83>7}Y9jo0189k:535?xu5?:o1<7::6s|261e>5<5sW;n863:7c8753=z{;=?<7>52z\2a6=:=>k18<84}r0404<72;qU=h<4=45:>1713ty9;9<50;0xZ4c634?<47:>6:p62242909wS?j0:?632<39?1v?9;4;296~X6lo169:854048yv40<<0;6?uQ1eg890122=;=7p}=75494?4|V8n370;84;622>{t:>><6=4={_3``>;2?:0?=;5rs357e21k0186m:9`890>e21i0186l:9c890>d21h0186l:9a890>c21k0186k:9`890>c21i0186j:9c890>b21h0186j:9a890>a21k0186i:9`890>a21i0187?:9c890?721h0187?:9a890?621k0187>:9`890?621i0187=:9c890?521h0187=:9a890?421k0187<:9`890?421i0187;:9c890?321h0187;:9a890?221k0187::9`890?221i01879:9c890?121h01879:9a890?021k01878:9`890?021i01877:9c890??21h01877:9a890?>21k01876:9`890?>21i0187n:9c890?f21h0187n:9a890?e21k0187m:9`890?e21i0187l:9c890?d21h0187l:9a890?c21k0187k:9`890?c21i0187j:9c890?b21h0187j:9a890?a21k0187i:9`890?a21i018o?:9c890g721h018o?:9a890g621k018o>:9`890g621i018o=:9c890g521h018o=:9a8yv40<00;6?u256195<1<5<2h69?9;|q131g=838p189;:0;4?83?l3>::6s|266a>5<5s4?<97?67:?6121=90=0187?:535?xu5?=o1<74?034?3i7:>6:p622a2909w0;89;3:3>;21;0?=;5rs3564?6=:r7>;l4>969>1<5=<8<0q~<85083>7}:=>h1=494=4;2>1713ty9;8<50;0x901d283<70;65;622>{t:>?86=4={<74`?7>?27>5;4;179~w712<3:1>v3:7d82=2=:=0>18<84}r0410<72;q69:h5185890??2=;=7p}=74494?4|5<2;6<78;<7:=?26>2wx>:;8:18183?93;2;63:968753=z{;=>47>52z?6<7<61>1694l54048yv40=00;6?u259195<1<5<3h69?9;|q130g=838p186;:0;4?83>i3>::6s|267a>5<5s4?397?67:?6=`<39?1v?9:c;296~;20?0:5:5258d90401=1=90=0187k:535?xu5?4?034?j=7:>6:p623a2909w0;79;3:3>;2i;0?=;5rs3554?6=:r7>4l4>969>1d6=<8<0q~<86083>4?|5<2i6<6>;<7;g?7?927>4i4>809>1=c=91;0186i:0:2?83>83;3=63:9082<4=:=081=5?4=4;0>4>634?287?71:?6=0<608169485193890?0282:70;68;3;5>;2100:4<5258c95=7<5<3i6<6>;<7:g?7?927>5i4>809>160e3twi9l:50;16>64=?4$50f>66dn2P:?k4<{05964<4;3wbii4?:%627?cd3g>:>7>4;h3`0?6=,=;867>5$530>4e43g>:>7?4;h3`5?6=,=;865$530>4e43g>:>7=4;h3aa?6=,=;865$530>4e43g>:>7;4;h3ag?6=,=;865$530>4e43g>:>794;h3ae?6=,=;86<3`;i57>5$530>4e43g>:>774;h3a5$530>4e43g>:>7l4;h3a2?6=,=;865$530>4e43g>:>7j4;h3`g?6=,=;865$530>4e43g>:>7h4;h3`e?6=,=;861:9j5f>=83.?=>4>c29m044=9;10e3:1(9?<:0a0?k26:3;?76g>c483>!26;3;h?6`;13821>=n9kl1<7*;1282g6=i<881=;54i0`7>5<#<891=n=4n531>41<3`>857>5;h3;5?6=3`>997>5;h3:6?6=3f;oh7>5$530>4bd3g>:>7>4;n3gf?6=,=;865$530>4bd3g>:>7<4;n3g=?6=,=;865$530>4bd3g>:>7:4;n3g2?6=,=;865$530>4bd3g>:>784;n3g0?6=,=;865$530>4bd3g>:>764;n3g6?6=,=;865$530>4bd3g>:>7o4;n3g4?6=,=;865$530>4bd3g>:>7m4;n3`a?6=,=;865$530>4bd3g>:>7k4;n3f0?6=,=;865$530>4bd3g>:>7??;:m2a7<72->:?7?kc:l757<6921d=h?50;&756<6lj1e8<<51398k4c7290/8<=51ea8j17528907b?kf;29 17428nh7c:>2;37?>i6ll0;6):>3;3gg>h39;0:965`1e:94?"39:0:hn5a400953=;n0:=?6=3th>m;4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`6e2<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th>m54?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`6e<<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th>ml4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`6eg<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th>mn4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`6ea<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th>mh4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`6ec<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th>n=4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`6f4<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th>n?4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`6f6<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th>n94?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`6f0<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th>n;4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`6f2<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th>n54?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`6f<<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th>nl4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`6fg<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th>nn4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`6fa<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th>nh4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd2jo0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`6g5<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl:c083><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th>o?4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd2k:0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`6g1<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl:c483><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th>o;4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd2k>0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`6g=<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl:c883><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th>ol4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd2kk0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`6gf<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl:ce83><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th>oh4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd2ko0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`6`5<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl:d083><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th>h?4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd2l:0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`6`1<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl:d483><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th>h;4?:283>5}#<;o1>lh4H51g?M2492.9m<476:k1=f<722c95i4?::m02g<722wx>:8=:182=~Xbl27>nh479:?6fco=479:?6g4o?479:?6g6o9479:?6g0o;479:?6g2o5479:?6g<ol479:?6ggon479:?6gaoh479:?6gch=479:?6`4h?479:?6`6h9479:?6`0:8<:181[7d<27>h>4>969~w711<3:1>vP>c39>1a3=90=0q~<86483>7}Y9j;018j;:0;4?xu5??<1<75<5sW;ii63:d382=2=z{;==47>52z\2fa=:=m;1=494}r042<<72;qU=om4=4ag>4?03ty9;;o50;0xZ4de34?hj7?67:p620e2909wS?ma:?6g`<61>1v?99c;296~X6j0169no51858yv40>m0;6?uQ1c:890ed283<7p}=77g94?4|V8h<70;lb;3:3>{t:>;2k>0:5:5rs3544?6=:rT:n8525b;95<1?2wx>:9<:181[7di27>o;4>969~w710<3:1>vP>c89>1f3=90=0q~<87483>7}Y9j2018m>:0;4?xu5?><1<75<5sW;h:63:c382=2=z{;=<47>52z\2g0=:=ko1=494}r043<<72;qU=oh4=4a3>4?03ty9;:o50;0xZ4d334?ij7?67:p621e2909wS:<9:?6`3<51m1v?98c;295d}Y91;018o9:0:2?83f?3;3=63:a982<4=:=h31=5?4=4cb>4>634?jn7?71:?6ef<608169lj5193890gb282:70;nf;3;5>;2j90:4<525c395=7<5;<7a7?7?927>n94>809>1g3=91;018l9:0:2?83e?3;3=63:b982<4=:=k31=5?4=4`b>4>634?in7?71:?6ff<608169oj5193890b12;3h7p}=76f94?56sW;2>63:a78204=:=h=1=9?4=4c;>42634?j57?;1:?6ed<6<8169ll5153890gd28>:70;nd;375>;2il0:8<525`d9517<5;<7a5?73927>n?4>409>1g5=9=;018l;:062?83e=3;?=63:b78204=:=k=1=9?4=4`;>42634?i57?;1:?6fd<6<8169ol5153890dd28>:70;md;375>;2jl0:5?525cd95<4<5:27>o?4>939>1f5=908018m;:0;1?83d=3;2>63:c782=7=:=j=1=4<4=4a;>4?534?h57?62:?6gd<61;169nl5180890ed283970;ld;3:6>;2kl0:5?525bd95<4<5:27>h?4>939>1a5=908018j;:0;1?83c=3;2>6s|265f>5<5sW;oh63:be8753=z{;=52z\2`g=:=ki18<84}r04<5<72;qU=io4=4`a>1713ty9;5?50;0xZ4b>34?im7:>6:p62>52909wS?k7:?6f<<39?1v?973;296~X6l?169o654048yv400=0;6?uQ1e7890d02=;=7p}=79794?4|V8n?70;m6;622>{t:>2=6=4={_3g7>;2j<0?=;5rs35;3?6=:rT:h?525c690402wx>:6n:181[7dn27>n<4;179~w71?j3:1>vP>cd9>1g6=<8<0q~<88b83>7}Y9l?018oi:535?xu5?1n1<7::6s|26:f>5<5sW;n?63:ae8753=z{;=3j7>52z\2a7=:=hi18<84}r04=5<72;qU=h?4=4ca>1713ty9;4?50;0xZ4c734?jm7:>6:p62?52909wS?kf:?6e<<39?1v?963;296~X6ll169l654048yv401=0;6?uQ1e:890g02=;=7p}=78794?4|V8io70;n6;622>{t:>3=6=4;9z?6e0<510169ok58`9>1gc=0k169ok58b9>1g`=0h169oh58c9>1g`=0j169n>58`9>1f6=0k169n>58b9>1f7=0h169n?58c9>1f7=0j169n<58`9>1f4=0k169n<58b9>1f5=0h169n=58c9>1f5=0j169n:58`9>1f2=0k169n:58b9>1f3=0h169n;58c9>1f3=0j169n858`9>1f0=0k169n858b9>1f1=0h169n958c9>1f1=0j169n658`9>1f>=0k169n658b9>1f?=0h169n758c9>1f?=0j169no58`9>1fg=0k169no58b9>1fd=0h169nl58c9>1fd=0j169nm58`9>1fe=0k169nm58b9>1fb=0h169nj58c9>1fb=0j169nk58`9>1fc=0k169nk58b9>1f`=0h169nh58c9>1f`=0j169i>58`9>1a6=0k169i>58b9>1a7=0h169i?58c9>1a7=0j169i<58`9>1a4=0k169i<58b9>1a5=0h169i=58c9>1a5=0j169i:58`9>1a2=0k169i:58b9>1a3=0h169i;58c9>1a3=0j1v?967;296~;2i?0:5:525cd90401d1=90=018m?:535?xu5?031<74?034?ii7:>6:p62?f2909w0;n9;3:3>;2k;0?=;5rs35:f?6=:r7>ml4>969>1f5=<8<0q~<89b83>7}:=hh1=494=4a2>1713ty9;4j50;0x90gd283<70;l5;622>{t:>3n6=4={<7b`?7>?27>o;4;179~w71>n3:1>v3:ad82=2=:=j>18<84}r04e5<72;q69lh5185890e?2=;=7p}=7`394?4|52wx>:o=:18183e93;2;63:c68753=z{;=j?7>52z?6f7<61>169nl54048yv40i=0;6?u25c195<1<5::6s|26c5>5<5s4?i97?67:?6g`<39?1v?9n7;296~;2j?0:5:525bd90401g1=90=018mk:535?xu5?h31<74?034?o=7:>6:p62gf2909w0;m9;3:3>;2l;0?=;5rs35bf?6=:r7>nl4>969>1a6=<8<0q~<8ab83>7}:=kh1=494=4f7>1713ty9;lj50;0x90dd283<70;k5;622>{t:>kn6=4={<7a`?7>?27>h>4;179~w71fn3:1=4u25cg95=7<5;<7`4?7?927>o<4>809>1f4=91;018m<:0:2?83d<3;3=63:c482<4=:=j<1=5?4=4a4>4>634?h47?71:?6g<<608169no5193890ee282:70;lc;3;5>;2km0:4<525bg95=7<5;<7g4?7?927>h<4>809>1a4=91;018j<:0:2?83c<3;3=63:d482<4=:=m<1?;l4}|`6`2<72:?1??4;ezJ774=#<;o1?=mi;[30b?5|9>09=7=<:|kf`?6=,=;86hm4n531>5=5<#<891=n=4n531>4=5<#<891=n=4n531>6=5<#<891=n=4n531>0=5<#<891=n=4n531>2=5<#<891=n=4n531><=5<#<891=n=4n531>g=5<#<891=n=4n531>a=5<#<891=n=4n531>c=4;h3`=?6=,=;862:9j5f1=83.?=>4>c29m044=9:10e76g>bg83>!26;3;h?6`;13822>=n9k>1<7*;1282g6=i<881=:54i51:>5<5<5<#<891=im4n531>5=5<#<891=im4n531>7=54o0f4>5<#<891=im4n531>1=5<#<891=im4n531>3=5<#<891=im4n531>==5<#<891=im4n531>d=5<#<891=im4n531>f=5<#<891=im4n531>`=5<#<891=im4n531>46<3f;n>7>5$530>4bd3g>:>7?>;:m2a4<72->:?7?kc:l757<6:21d=h>50;&756<6lj1e8<<51298k4ba290/8<=51ea8j17528>07b?ke;29 17428nh7c:>2;36?>i6l10;6):>3;3gg>h39;0::65`1bf94?"39:0:hn5a400952=:183!25m382m6F;3e9K067;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo;i2;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg3a<3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo;i6;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg3a03:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo;ia;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg3ak3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo;ie;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg0783:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo8?2;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg07<3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo8?6;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg0703:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?>13`82o7>5;h0:`?6=3f9=n7>5;|q13g6=83;2wSkk;<7e5?>>34?m>766;<7e7?>>34?m8766;<7e1?>>34?m:766;<7e3?>>34?m4766;<7e=?>>34?mm766;<7ef?>>34?mo766;<7e`?>>34?mi766;<7eb?>>34<;<766;<435?>>34<;>766;<437?>>34<;8766;<431?>>34<;:766;<433?>>34<;4766;|q13g7=838pR?2wx>:l=:181[7d:27=<54>969~w71e;3:1>vP>c09>251=90=0q~<8b583>7}Y9j:01;><:0;4?xu5?k?1<75<5sW;ih6390582=2=z{;=i;7>52z\2ff=:>9:1=494}r04f=<72;qU=ol4=721>4?03ty9;o750;0xZ4df34<;=7?67:p62df2909wS?m9:?6ba<61>1v?9mb;296~X6j1169kh51858yv40jj0;6?uQ1c5890`b283<7p}=7cf94?4|V8h=70;ia;3:3>{t:>hn6=4={_3a1>;2nj0:5:5rs35ab?6=:rT:on525g`95<1?2wx>:m=:181[7d127>j54>969~w71d;3:1>vP>c99>1c2=90=0q~<8c583>7}Y9j=018h9:0;4?xu5?j?1<75<5sW;h963:f082=2=z{;=h;7>52z\2fc=:=o91=494}r04g=<72;qU=o:4=4d1>4?03ty9;n750;0xZ15>34<;57<6d:p62ef290:mvP>809>1a?=91;018jn:0:2?83cj3;3=63:db82<4=:=mn1=5?4=4ff>4>634?oj7?71:?6a5<608169h?5193890c5282:70;j3;3;5>;2m=0:4<525d795=7<5;<7f3?7?927>i54>809>1`?=91;018kn:0:2?83bj3;3=63:eb82<4=:=ln1=5?4=4gf>4>634?nj7?71:?6b5<60816:=7528a8yv40kk0;6>?t^0;1?83c13;?=63:d`8204=:=mh1=9?4=4f`>42634?oh7?;1:?6``<6<8169ih5153890c728>:70;j1;375>;2m;0:8<525d19517<5;<7f1?73927>i;4>409>1`1=9=;018k7:062?83b13;?=63:e`8204=:=lh1=9?4=4g`>42634?nh7?;1:?6a`<6<8169hh5153890`728>:70;i1;3:6>;2n;0:5?525g195<4<5:27>j;4>939>1c1=908018h7:0;1?83a13;2>63:f`82=7=:=oh1=4<4=4d`>4?534?mh7?62:?6b`<61;169kh5180893672839708?1;3:6>;18;0:5?5261195<4<5?:?6<7=;<431?7>:27=<;4>939>251=90801;>7:0;1?xu5?ji1<7::6s|26ag>5<5sW;on63:eg8753=z{;=hi7>52z\2`d=:=lo18<84}r04gc<72;qU=i74=4gg>1713ty9;i>50;0xZ4b034?no7:>6:p62b62909wS?k6:?6ag<39?1v?9k2;296~X6l<169ho54048yv40l:0;6?uQ1e6890c>2=;=7p}=7e694?4|V8n870;j8;622>{t:>n>6=4={_3g6>;2m>0?=;5rs35g2?6=:rT:h<525d4904069?9;|q13a>=838pR2wx>:j6:181[7dm27>i>4;179~w71ci3:1>vP>e49>1`4=<8<0q~<8dc83>7}Y9l>018k>:535?xu5?mi1<7::6s|26fg>5<5sW;n>63:dg8753=z{;=oi7>52z\2a4=:=mo18<84}r04`c<72;qU=h>4=4fg>1713ty9;h>50;0xZ4ba34?oo7:>6:p62c62909wS?ke:?6`g<39?1v?9j2;296~X6l1169io54048yv40m:0;6?uQ1bf890b>2=;=7p}=7d694?2>s4?o47<69:?6b4j<47b:?6b4j?47a:?6b7j?47c:?6b6j>47b:?6b6j947a:?6b1j947c:?6b0j847b:?6b0j;47a:?6b3j;47c:?6b2j:47b:?6b2j547a:?6b=j547c:?6b<j447b:?6b<jl47a:?6bdjl47c:?6bgjo47b:?6bgjn47a:?6bfjn47c:?6baji47b:?6bajh47a:?6b`jh47c:?6bcjk47b:?6bc47b:?546;2n;0?=;5rs35f2?6=:r7>hl4>969>1c5=<8<0q~<8e683>7}:=mh1=494=4d2>1713ty9;h650;0x90bd283<70;i5;622>{t:>o26=4={<7g`?7>?27>j;4;179~w71bi3:1>v3:dd82=2=:=o>18<84}r04ag<72;q69ih5185890`?2=;=7p}=7da94?4|52wx>:kk:18183b93;2;63:f68753=z{;=ni7>52z?6a7<61>169kl54048yv40mo0;6?u25d195<1<5::6s|26d2>5<5s4?n97?67:?6b`<39?1v?9i2;296~;2m?0:5:525gd90404?:3y>1`1=90=018hk:535?xu5?o>1<74?034<;=7:>6:p62`22909w0;j9;3:3>;18;0?=;5rs35e2?6=:r7>il4>969>256=<8<0q~<8f683>7}:=lh1=494=727>1713ty9;k650;0x90cd283<708?5;622>{t:>l26=4={<7f`?7>?27=<>4;179~w71ai3:1>v3:ed82=2=:>9=18<84}r04bg<72;q69hh51858936?2=;=7p}=7ga94?4|52wx>:hk:182=~;2n80:4<525g095=7<5;<7e0?7?927>j84>809>1c0=91;018h8:0:2?83a03;3=63:f882<4=:=ok1=5?4=4da>4>634?mo7?71:?6ba<608169kk5193890`a282:708?0;3;5>;1880:4<5261095=7<5?:86<6>;<430?7?927=<84>809>250=91;01;>8:0:2?80703;3=63908802g=zuk<;m7>534806?2bsA>8=6*;2d804f`u>7;02>65=u`oo6=4+4019af=i<881<65f1b694?"39:0:o>5a40094>=n9j81<7*;1282g6=i<881=65f1b394?"39:0:o>5a40096>=n9j:1<7*;1282g6=i<881?65f1cg94?"39:0:o>5a40090>=n9kn1<7*;1282g6=i<881965f1ca94?"39:0:o>5a40092>=n9kh1<7*;1282g6=i<881;65f1cc94?"39:0:o>5a4009<>=n9k31<7*;1282g6=i<881565f1c:94?"39:0:o>5a4009e>=n9k=1<7*;1282g6=i<881n65f1c494?"39:0:o>5a4009g>=n9k?1<7*;1282g6=i<881h65f1ba94?"39:0:o>5a4009a>=n9jh1<7*;1282g6=i<881j65f1bc94?"39:0:o>5a400955=3:9j5f0=83.?=>4>c29m044=9=10eb583>!26;3;h?6`;13823>=n<:31<75f19394?=n<;?1<75f18094?=h9mn1<7*;1282`f=i<881<65`1e`94?"39:0:hn5a40095>=h9mk1<7*;1282`f=i<881>65`1e;94?"39:0:hn5a40097>=h9m=1<7*;1282`f=i<881865`1e494?"39:0:hn5a40091>=h9m?1<7*;1282`f=i<881:65`1e694?"39:0:hn5a40093>=h9m91<7*;1282`f=i<881465`1e094?"39:0:hn5a4009=>=h9m;1<7*;1282`f=i<881m65`1e294?"39:0:hn5a4009f>=h9jl1<7*;1282`f=i<881o65`1bg94?"39:0:hn5a4009`>=h9l?1<7*;1282`f=i<881i65`1d694?"39:0:hn5a4009b>=h9l91<7*;1282`f=i<881==54o0g1>5<#<891=im4n531>47<3f;n=7>5$530>4bd3g>:>7?=;:m2a5<72->:?7?kc:l757<6;21d=ih50;&756<6lj1e8<<51598k4bb290/8<=51ea8j17528?07b?k8;29 17428nh7c:>2;35?>i6km0;6):>3;3gg>h39;0:;65m61`94?7=83:p(96F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb72g>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj?:n6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb72e>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj?;;6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb732>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj?;96=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb730>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj?;?6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb736>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj?;=6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb734>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj?;36=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb73:>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj?;j6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb73a>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj?;h6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb73g>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj?;n6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb73e>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj?8;6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb702>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj?896=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb700>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj?8?6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f34229026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb705>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn;<8:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f34>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb70b>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn;5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f34c29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb70f>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn;5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f35629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb711>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn;=<:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f35229026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb715>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn;=8:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f35>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb71b>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn;=m:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<5<5<=?<5?8=6574=704>=?<5?836574=70:>=?<5?8j6574=70a>=?<5?8h6574=70g>=?<5?8n6574=70e>=?<5?9;6574=712>=?<5?996574=710>=?<5?9?6574=716>=?<5?9=6574=714>=?<5?936574=71:>=?<5?9j6574=71a>=??2wx>5>>:181[7d927=?l4>969~w7>7:3:1>vP>c19>260=90=0q~<70283>7}Y9ko01;=7:0;4?xu509>1<75<5sW;io6393282=2=z{;2;:7>52z\2fg=:>:?1=494}r0;42<72;qU=oo4=717>4?03ty94=650;0xZ4d>34<8<7?67:p6=6>2909wS?m8:?577<61>1v?6?a;296~X6j>16:>?51858yv4?8k0;6?uQ1c48934c283<7p}=81a94?4|V8h>708=f;3:3>{t:1:o6=4={_3`g>;1:l0:5:5rs3:3a?6=:rT:oo5263c95<1?2wx>5?>:181[7d027=>:4>969~w7>6:3:1>vP>c69>27?=90=0q~<71283>7}Y9j<01;<7:0;4?xu508>1<75<5sW;ij6392782=2=z{;2::7>52z\2f1=:>;?1=494}r0;52<72;qU8>74=71`>7?c3ty94<650;3b[7?927=809>25b=91;01;>j:0:2?807n3;3=6391182<4=:>8;1=5?4=731>4>634<:?7?71:?551<60816:<;519389371282:708>7;3;5>;1910:4<5260;95=7<5?;j6<6>;<42f?7?927==n4>809>24b=91;01;?j:0:2?806n3;3=6392182<4=:>;;1=5?4=701>4>634<9?7?71:?57f<51j1v?6>9;2974}Y90801;>l:062?807l3;?=6390d8204=:>9l1=9?4=733>42634<:=7?;1:?557<6<816:<=51538937328>:708>5;375>;19?0:8<526059517<5?;36<:>;<42=?73927==l4>409>24d=9=;01;?l:062?806l3;?=6391d8204=:>8l1=9?4=703>42634<9=7?;1:?567<6<816:?=5153893432839708=5;3:6>;1:?0:5?5263595<4<5?836<7=;<41=?7>:27=>l4>939>27d=90801;6392d82=7=:>;l1=4<4=713>4?534<8=7?62:?577<61;16:>=5180893532839708<5;3:6>;1;?0:5?5262595<4<5?936<7=;<40=?7>:27=?l4>939>26d=9080q~<71`83>7}Y9mn01;<<:535?xu508h1<7::6s|293`>5<5sW;om639208753=z{;2:h7>52z\2`<=:>;:18<84}r0;5`<72;qU=i94=73e>1713ty946:p6=472909wS?k5:?55a<39?1v?6=1;296~X6l=16:a;622>{t:18?6=4={_3g5>;1900?=;5rs3:11?6=:rT:h=5260:9040;4?:3y]5f`<5?;<69?9;|q1<71=838pR2wx>5<7:181[7b=27==84;179~w7>513:1>vP>e59>242=<8<0q~<72`83>7}Y9l901;?<:535?xu50;h1<7::6s|290`>5<5sW;n=639108753=z{;29h7>52z\2a5=:>8:18<84}r0;6`<72;qU=ih4=72e>1713ty94?h50;0xZ4bb34<;i7:>6:p6=572909wS?k8:?54a<39?1v?6<1;296~X6km16:=m54048yv4?;;0;697t=72a>7?>34<9876n;<410?>e34<9876l;<411?>f34<9976m;<411?>d34<9:76n;<412?>e34<9:76l;<413?>f34<9;76m;<413?>d34<9476n;<41e34<9476l;<41=?>f34<9576m;<41=?>d34<9m76n;<41e?>e34<9m76l;<41f?>f34<9n76m;<41f?>d34<9o76n;<41g?>e34<9o76l;<41`?>f34<9h76m;<41`?>d34<9i76n;<41a?>e34<9i76l;<41b?>f34<9j76m;<41b?>d34<8<76n;<404?>e34<8<76l;<405?>f34<8=76m;<405?>d34<8>76n;<406?>e34<8>76l;<407?>f34<8?76m;<407?>d34<8876n;<400?>e34<8876l;<401?>f34<8976m;<401?>d34<8:76n;<402?>e34<8:76l;<403?>f34<8;76m;<403?>d34<8476n;<40e34<8476l;<40=?>f34<8576m;<40=?>d34<8m76n;<40e?>e34<8m76l;<40f?>f34<8n76m;<40f?>d3ty94>=50;0x936d283<708=5;622>{t:19?6=4={<43`?7>?27=>;4;179~w7>4=3:1>v390d82=2=:>;>18<84}r0;73<72;q6:=h51858934?2=;=7p}=82594?4|5?;;6<78;<41=?26>2wx>5=7:18180693;2;639268753=z{;2857>52z?557<61>16:?l54048yv4?;h0;6?u260195<1<5?8h69?9;|q1<6d=838p1;?;:0;4?805i3>::6s|291`>5<5s4<:97?67:?56`<39?1v?6241=90=01;4?034<8=7:>6:p6=272909w08>9;3:3>;1;;0?=;5rs3:75?6=:r7==l4>969>266=<8<0q~<74383>7}:>8h1=494=717>1713ty949=50;0x937d283<708<5;622>{t:1>?6=4={<42`?7>?27=?>4;179~w7>3=3:1>v391d82=2=:>:=18<84}r0;03<72;q6:2wx>5:7:18180593;2;6393`8753=z{;2?57>52z?567<61>16:>l54048yv4?;1:<0:4<5263495=7<5?8<6<6>;<4144>809>27g=91;01;;o1=5?4=70e>4>634<8<7?71:?574<60816:><519389354282:708<4;3;5>;1;<0:4<5262495=7<5?9<6<6>;<40809>26g=91;01;=m:0:2?804k39=n6srb71g>5<4=39969ktH512?!25m39;ok5U12d97~702;;1?>4ridf94?"39:0no6`;1383?>o6k=0;6):>3;3`7>h39;0;76g>c383>!26;3;h?6`;1382?>o6k80;6):>3;3`7>h39;0976g>c183>!26;3;h?6`;1380?>o6jl0;6):>3;3`7>h39;0?76g>be83>!26;3;h?6`;1386?>o6jj0;6):>3;3`7>h39;0=76g>bc83>!26;3;h?6`;1384?>o6jh0;6):>3;3`7>h39;0376g>b883>!26;3;h?6`;138:?>o6j10;6):>3;3`7>h39;0j76g>b683>!26;3;h?6`;138a?>o6j?0;6):>3;3`7>h39;0h76g>b483>!26;3;h?6`;138g?>o6kj0;6):>3;3`7>h39;0n76g>cc83>!26;3;h?6`;138e?>o6kh0;6):>3;3`7>h39;0:<65f1b;94?"39:0:o>5a400954=4:9j5f3=83.?=>4>c29m044=9<10e>o6080;66g;2483>>o61;0;66a>de83>!26;3;oo6`;1383?>i6lk0;6):>3;3gg>h39;0:76a>d`83>!26;3;oo6`;1381?>i6l00;6):>3;3gg>h39;0876a>d683>!26;3;oo6`;1387?>i6l?0;6):>3;3gg>h39;0>76a>d483>!26;3;oo6`;1385?>i6l=0;6):>3;3gg>h39;0<76a>d283>!26;3;oo6`;138;?>i6l;0;6):>3;3gg>h39;0276a>d083>!26;3;oo6`;138b?>i6l90;6):>3;3gg>h39;0i76a>cg83>!26;3;oo6`;138`?>i6kl0;6):>3;3gg>h39;0o76a>e483>!26;3;oo6`;138f?>i6m=0;6):>3;3gg>h39;0m76a>e283>!26;3;oo6`;13824>=h9l81<7*;1282`f=i<881=<54o0g2>5<#<891=im4n531>44<3f;n<7>5$530>4bd3g>:>7?<;:m2`c<72->:?7?kc:l757<6<21d=ik50;&756<6lj1e8<<51498k4b?290/8<=51ea8j17528<07b?ld;29 17428nh7c:>2;34?>d1;l0;6<4?:1y'07c=:0k0D9=k;I605>i5100;66sm62d94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e>=:1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm65394?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e>=81<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm65194?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e>=>1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm65794?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e>=<1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm65594?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e>=21<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm65;94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e>=k1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm65`94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e>=i1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm65f94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e>=o1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm65d94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e><:1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm64394?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e><81<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm64194?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e><>1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm64794?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e><<1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm64594??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi:8650;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e><31<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm64`94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi:8m50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e>f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm64d94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi:;>50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e>?;1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm67194??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi:;:50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e>??1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm67594??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi:;650;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e>?31<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm67`94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi:;m50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e>?n1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm67d94?5=83:p(9<21445264;9<<=:>?:144526739<<=:>?8144526719<<=:>?>144526779<<=:>?<144526759<<=:>?21445267;9<<=:>?k1445267`9<<=:>?i1445267f9<<=:>?o1445rs3:7`?6=:rT:o95267a95<1;<45`?7>?2wx>5;?:181[7d827=:44>969~w7>293:1>vP>bd9>23d=90=0q~<75383>7}Y9kn01;8n:0;4?xu50<91<73;2;6s|2977>5<5sW;in6396982=2=z{;2>97>52z\2fd=:>?=1=494}r0;13<72;qU=o74=740>4?03ty948950;0xZ4d?34<=97?67:p6=3?2909wS?m7:?521<61>1v?6:9;296~X6j?16:;>51858yv4?=h0;6?uQ1c789305283<7p}=84`94?4|V8ih70891;3:3>{t:1?h6=4={_3`f>;1=m0:5:5rs3:6`?6=:rT:ol5264d95<1?2wx>58?:181[7d?27=9n4>969~w7>193:1>vP>c79>20d=90=0q~<76383>7}Y9j?01;;8:0;4?xu50?91<75<5sW;i86395982=2=z{;2=97>52z\77<=:>?l1>4j4}r0;23<728kpR<6>;<40b?7?927=8=4>809>217=91;01;:=:0:2?803;3;3=6394582<4=:>=?1=5?4=765>4>634;1n6<6>;<47b?7?927=9=4>809>207=91;01;;=:0:2?802;3;3=6395582<4=:>4>634<=j7<6c:p6=002908=vP>939>26`=9=;01;:?:062?80393;?=639438204=:>=91=9?4=767>42634:708;9;375>;1h6<:>;<47`?73927=8h4>409>21`=9=;01;;?:062?80293;?=639538204=:><91=9?4=777>42634<>97?;1:?513<6<816:8951808933?2839708:9;3:6>;1=h0:5?5264`95<4<5??h6<7=;<46`?7>:27=9h4>939>20`=90801;8?:0;1?80193;2>6396382=7=:>?91=4<4=747>4?534<=97?62:?523<61;16:;951808930?283970899;3:6>;1>h0:5?5267`95<4<5?:27=:h4>939~w7>103:1>vP>de9>200=<8<0q~<76883>7}Y9mh01;;::535?xu50?k1<7::6s|294a>5<5sW;o5639528753=z{;2=o7>52z\2`2=:><818<84}r0;2a<72;qU=i84=772>1713ty94;k50;0xZ4b234<><7:>6:p6=0a2909wS?k4:?50c<39?1v?680;296~X6l:16:9k54048yv4??80;6?uQ1e08932c2=;=7p}=86094?4|V8n:708;c;622>{t:1=86=4={_3g4>;1269?9;|q1<20=838pR2wx>598:181[7b<27=8:4;179~w7>003:1>vP>e29>210=<8<0q~<77883>7}Y9l801;:::535?xu50>k1<7::6s|295a>5<5sW;n<639428753=z{;252z\2`c=:>=818<84}r0;3a<72;qU=ik4=762>1713ty94:k50;0xZ4b?346:p6=1a2909wS?ld:?57c<39?1v?670;290<}:>:o1>474=774>=g<5??<65l4=774>=e<5??365o4=77;>=d<5??365m4=77:>=g<5??265l4=77:>=e<5??j65o4=77b>=d<5??j65m4=77a>=g<5??i65l4=77a>=e<5??h65o4=77`>=d<5??h65m4=77g>=g<5??o65l4=77g>=e<5??n65o4=77f>=d<5??n65m4=77e>=g<5??m65l4=77e>=e<5?<;65o4=743>=d<5?<;65m4=742>=g<5?<:65l4=742>=e<5?<965o4=741>=d<5?<965m4=740>=g<5?<865l4=740>=e<5?=d<5?=g<5?<>65l4=746>=e<5?<=65o4=745>=d<5?<=65m4=744>=g<5?<<65l4=744>=e<5?<365o4=74;>=d<5?<365m4=74:>=g<5?<265l4=74:>=e<5?=d<5?=g<5?=e<5?=d<5?=g<5?=e<5?=d<5?h51858933?2=;=7p}=89094?4|5?>;6<78;<46=?26>2wx>56<:18180393;2;639568753=z{;2387>52z?507<61>16:8l54048yv4?0<0;6?u265195<1<5??h69?9;|q1<=0=838p1;:;:0;4?802i3>::6s|29:4>5<5s4211=90=01;;k:535?xu501k1<74?034<==7:>6:p6=>e2909w08;9;3:3>;1>;0?=;5rs3:;g?6=:r7=8l4>969>236=<8<0q~<78e83>7}:>=h1=494=747>1713ty945k50;0x932d283<70895;622>{t:12m6=4={<47`?7>?27=:>4;179~w7>>83:1>v394d82=2=:>?=18<84}r0;=4<72;q6:9h51858930?2=;=7p}=88094?4|5??;6<78;<452?26>2wx>57<:18180293;2;6396`8753=z{;2287>52z?517<61>16:;l54048yv4?1<0;6?u264195<1<5?<269?9;|q1<<0=838p1;;;:0;4?801l3>::6s|29;4>5<5s4<>97?67:?52`<39?1v?668;296~;1=?0:5:5267a9040;1=00:4<5264c95=7<5??i6<6>;<46g?7?927=9i4>809>20c=91;01;;i:0:2?80183;3=6396082<4=:>?81=5?4=740>4>634<=87?71:?520<60816:;8519389300282:70898;3;5>;1>00:4<5267c95=7<5?;<45g?7?927=:i4>809>23c=91;01;8i:24a?x{e>>:1<7=::2090`}O<:;0(9\6;o08w<9520807?{nmm0;6):>3;g`?k26:3:07d?l4;29 17428i87c:>2;28?l7d:3:1(9?<:0a0?k26:3;07d?l1;29 17428i87c:>2;08?l7d83:1(9?<:0a0?k26:3907d?me;29 17428i87c:>2;68?l7el3:1(9?<:0a0?k26:3?07d?mc;29 17428i87c:>2;48?l7ej3:1(9?<:0a0?k26:3=07d?ma;29 17428i87c:>2;:8?l7e13:1(9?<:0a0?k26:3307d?m8;29 17428i87c:>2;c8?l7e?3:1(9?<:0a0?k26:3h07d?m6;29 17428i87c:>2;a8?l7e=3:1(9?<:0a0?k26:3n07d?lc;29 17428i87c:>2;g8?l7dj3:1(9?<:0a0?k26:3l07d?la;29 17428i87c:>2;33?>o6k00;6):>3;3`7>h39;0:=65f1b:94?"39:0:o>5a400957=5:9j5g`=83.?=>4>c29m044=9?10e2;38?j7ci3:1(9?<:0f`?k26:3807b?k9;29 17428nh7c:>2;18?j7c?3:1(9?<:0f`?k26:3>07b?k6;29 17428nh7c:>2;78?j7c=3:1(9?<:0f`?k26:3<07b?k4;29 17428nh7c:>2;58?j7c;3:1(9?<:0f`?k26:3207b?k2;29 17428nh7c:>2;;8?j7c93:1(9?<:0f`?k26:3k07b?k0;29 17428nh7c:>2;`8?j7dn3:1(9?<:0f`?k26:3i07b?le;29 17428nh7c:>2;f8?j7b=3:1(9?<:0f`?k26:3o07b?j4;29 17428nh7c:>2;d8?j7b;3:1(9?<:0f`?k26:3;;76a>e383>!26;3;oo6`;13825>=h9l;1<7*;1282`f=i<881=?54o0g3>5<#<891=im4n531>45<3f;oj7>5$530>4bd3g>:>7?;;:m2``<72->:?7?kc:l757<6=21d=i650;&756<6lj1e8<<51798k4ec290/8<=51ea8j17528=07o881;295?6=8r.?>h4=9`9K06b<@=9:7b<69;29?xd1?;0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl97283>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd1?=0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl97483>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd1??0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl97683>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd1?10;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl97883>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd1?h0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl97c83>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd1?j0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl97e83>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd1?l0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl97g83>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd1090;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl98083>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd10;0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl98283>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd10=0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl98483>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd10?0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl98683>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd1010;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl98883>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd10h0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`5?3:17b:>6;29?j26?3:17pl98b83><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th=4i4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd10l0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`5?3:17b:>6;29?j26?3:17pl99183><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th=5<4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd11;0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`5=6<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl99583><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th=584?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd11?0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`5=2<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl99983><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th=544?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd11h0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`5=g<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl99b83><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th=5i4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd11l0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`5=c<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl9a183><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th=m<4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd1i;0;6>4?:1y'07c=:hl0D9=k;I605>"5i803:6g=9b83>>o51m0;66a<6c83>>{t:13j6=4>9z\f`>;10h0356398c8;=>;10j0356398e8;=>;10l0356398g8;=>;119035639908;=>;11;035639928;=>;11=035639948;=>;11?035639968;=>;111035639988;=>;11h0356399c8;=>;11j0356399e8;=>;11l0356399g8;=>;1i9035639a08;=>{t:13i6=4={_3`0>;11o0:5:5rs3::g?6=:rT:o?526`395<1?2wx>57i:181[7em27=5h4>969~w7>f83:1>vP>be9>27}Y9ki01;76:0;4?xu50h81<7j3;2;6s|29c0>5<5sW;im6399`82=2=z{;2j87>52z\2f<=:>0<1=494}r0;e0<72;qU=o64=7;;>4?03ty94l850;0xZ4d034<2;7?67:p6=g02909wS?m6:?5=6<61>1v?6n8;296~X6j<16:4;51858yv4?i00;6?uQ1ba893?3283<7p}=8`c94?4|V8ii70860;3:3>{t:1ki6=4={_3`e>;11;0:5:5rs3:bg?6=:rT:o45268395<1<5?2o6<78;|q1?2wx>5oi:181[7d>27=4h4>969~w7>e83:1>vP>c49>2=g=90=0q~<7b083>7}Y9kl01;6l:0;4?xu50k81<75<5sW>85639a381=a=z{;2i87>51`y]5=7<5?=96<6>;<447?7?927=;94>809>223=91;01;99:0:2?800?3;3=6397982<4=:>>31=5?4=75b>4>634<;1090:4<5269395=7<5?296<6>;<4;7?7?927=494>809>2=3=91;01;69:0:2?80??3;3=6398982<4=:>131=5?4=7c1>7?d3ty94o;50;12[7>:27=;?4>409>225=9=;01;9;:062?800=3;?=639778204=:>>=1=9?4=75;>42634<<57?;1:?53d<6<816::l51538931d28>:7088d;375>;1?l0:8<5266d9517<5?2;6<:>;<4;5?73927=4?4>409>2=5=9=;01;6;:062?80?=3;?=639878204=:>1=1=9?4=7:;>42634<357?;1:?5d28397087d;3:6>;10l0:5?5269d95<4<5?3;6<7=;<4:5?7>:27=5?4>939>2<5=90801;7;:0;1?80>=3;2>6399782=7=:>0=1=4<4=7;;>4?534<257?62:?5=d<61;16:4l5180893?d28397086d;3:6>;11l0:5?5268d95<4<5?k;6<7=;<4b5?7>:2wx>5l9:181[7cl27=444;179~w7>e?3:1>vP>dc9>2=>=<8<0q~<7b983>7}Y9mk01;68:535?xu50k31<73>::6s|29`b>5<5sW;o;639848753=z{;2in7>52z\2`3=:>1>18<84}r0;ff<72;qU=i;4=7:0>1713ty94oj50;0xZ4b334<3>7:>6:p6=db2909wS?k3:?5<4<39?1v?6mf;296~X6l;16:5>54048yv4?k90;6?uQ1e38931a2=;=7p}=8b394?4|V8n;7088e;622>{t:1i96=4={_3`b>;1?m0?=;5rs3:`7?6=:rT:oh5266a90402wx>5m9:181[7b;27=;44;179~w7>d?3:1>vP>e39>22>=<8<0q~<7c983>7}Y9l;01;98:535?xu50j31<73>::6s|29ab>5<5sW;oj639748753=z{;2hn7>52z\2``=:>>>18<84}r0;gf<72;qU=i64=750>1713ty94nj50;0xZ4ec34<<>7:>6:p6=eb290?5v397081=<=:>1k14l5269c91k14n5269`91h14o5269`91i14l5269a91i14n5269f91n14o5269f91o14l5269g91o14n5269d91l14o5269d90:14l5268290:14n5268390;14o5268390814l5268090814n5268190914o5268190>14l5268690>14n5268790?14o5268790<14l5268490<14n5268590=14o5268590214l5268:90214n5268;90314o5268;90k14l5268c90k14n5268`90h14o5268`90i14l5268a90i14n5268f90n14o5268f90o14l5268g90o14n5268d90l14o5268d9h:14l526`29h:14n526`39h;14o526`3952z?537<61>16:5l54048yv4?l90;6?u266195<1<5?2h69?9;|q1::6s|29f1>5<5s4<<97?67:?5<`<39?1v?6k3;296~;1??0:5:5269d9040221=90=01;6k:535?xu50m?1<74?034<2=7:>6:p6=b12909w0889;3:3>;11;0?=;5rs3:g3?6=:r7=;l4>969>2<6=<8<0q~<7d983>7}:>>h1=494=7;7>1713ty94i750;0x931d283<70865;622>{t:1nj6=4={<44`?7>?27=5>4;179~w7>cj3:1>v397d82=2=:>0=18<84}r0;`f<72;q6::h5185893??2=;=7p}=8ef94?4|5?2;6<78;<4:2?26>2wx>5jj:18180?93;2;6399`8753=z{;2oj7>52z?5<7<61>16:4l54048yv4?m90;6?u269195<1<5?3269?9;|q1<`7=838p1;6;:0;4?80>l3>::6s|29g1>5<5s4<397?67:?5=`<39?1v?6j3;296~;10?0:5:5268a90402=1=90=01;o?:535?xu50l?1<74?0346:p6=c12909w0879;3:3>;11o0?=;5rs3:f3?6=90q6:5o5193893>e282:7087c;3;5>;10m0:4<5269g95=7<5?2m6<6>;<4:4?7?927=5<4>809>2<4=91;01;7<:0:2?80><3;3=6399482<4=:>0<1=5?4=7;4>4>634<247?71:?5=<<60816:4o5193893?e282:7086c;3;5>;11m0:4<5268g95=7<5?3m6<6>;<4b4?7?927=m<4>809>2d4=;?h0qpl9a283>63=;;0?ivF;309'07c=;9im7W?2;32?>o6k10;6):>3;3`7>h39;0:>65f1b594?"39:0:o>5a400956=6:9j5g2=83.?=>4>c29m044=9>10e9=6:188m4>62900e9<::188m4?52900c290/8<=51ea8j1752:10c10c:18'045=9mi0b9?=:`98k4b7290/8<=51ea8j1752k10ce083>!26;3;oo6`;13826>=h9l:1<7*;1282`f=i<881=>54o0fe>5<#<891=im4n531>42<3f;oi7>5$530>4bd3g>:>7?:;:m2`=<72->:?7?kc:l757<6>21d=nj50;&756<6lj1e8<<51698f3g3290:6=4?{%61a?4>i2B??i5G4238k7?>2900qo8n5;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg0f>3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo8n7;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg0f03:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo8n9;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg0fi3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo8nb;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg0fk3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo8nd;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg0fm3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo8nf;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg0e83:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo8m1;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg0e:3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo8m3;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg0e<3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo8m5;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg0e>3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo8m7;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg0e03:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo8m9;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg0ei3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo8mb;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg0ek3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo8md;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg0en3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo8l1;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<7>59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg0d;3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo8l5;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg0d?3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo8l9;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg0dj3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo8ld;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg0dn3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo8k1;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<7>59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg0c;3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo8k5;297?6=8r.?>h4=ag9K06b<@=9:7)k3:17d<6d;29?j51j3:17p}=8d:94?7>sWoo708md;::?80em322708mf;::?80d8322708l1;::?80d:322708l3;::?80d<322708l5;::?80d>322708l7;::?80d0322708l9;::?80di322708lb;::?80dk322708ld;::?80dm322708lf;::?80c8322708k1;::?80c:322708k3;::?80c<3227p}=8d;94?4|V8i?708k2;3:3>{t:1oj6=4={_3`6>;1l=0:5:5rs3:ff?6=:rT:o<526e195<1?2wx>5kj:181[7el27=h=4>969~w7>bn3:1>vP>bb9>2fe=90=0q~<7f183>7}Y9kh01;mj:0;4?xu50o;1<75<5sW;i5639c882=2=z{;2m?7>52z\2f==:>jh1=494}r0;b1<72;qU=o94=7ab>4?03ty94k;50;0xZ4d1341v?6i7;296~X6kj16:n951858yv4?n10;6?uQ1b`893e4283<7p}=8g;94?4|V8ij708l5;3:3>{t:1lj6=4={_3`=>;1k=0:5:5rs3:ef?6=:rT:o5526b295<1?2wx>5hj:181[7d=27=ni4>969~w7>an3:1>vP>bg9>2g`=90=0q~<60183>7}Y9k>01;lj:0;4?xu519;1<75<6irT:4<526`795=7<5?k=6<6>;<4b3?7?927=m54>809>2d?=91;01;on:0:2?80fj3;3=639ab82<4=:>hn1=5?4=7cf>4>634;1j=0:4<526c795=7<5?h=6<6>;<4a3?7?927=n54>809>2g?=91;01;ln:0:2?80ej3;3=639bb82<4=:>m?1>4m4}r0:46<72:;pR<7=;<4b1?73927=m;4>409>2d1=9=;01;o7:062?80f13;?=639a`8204=:>hh1=9?4=7c`>42634:708m1;375>;1j;0:8<526c19517<5?h?6<:>;<4a1?73927=n;4>409>2g1=9=;01;l7:062?80e13;?=639b`8204=:>kh1=9?4=7``>42634;1k;0:5?526b195<4<5?i?6<7=;<4`1?7>:27=o;4>939>2f1=90801;m7:0;1?80d13;2>639c`82=7=:>jh1=4<4=7a`>4?534;1l;0:5?526e195<4<5?n?6<7=;|q1=52=838pR2wx>4>::181[7cj27=no4;179~w7?7>3:1>vP>d`9>2gg=<8<0q~<60683>7}Y9m301;l6:535?xu51921<7::6s|282:>5<5sW;o:639b68753=z{;3;m7>52z\2`0=:>k<18<84}r0:4g<72;qU=i:4=7`6>1713ty95=m50;0xZ4b4346:p6<6c2909wS?k2:?5f6<39?1v?7?e;296~X6l816:o<54048yv4>8o0;6?uQ1e2893d62=;=7p}=90294?4|V8im708m0;622>{t:0;:6=4={_3`a>;1io0?=;5rs3;26?6=:rT:i8526`g90404?:3y]5`2<5?ko69?9;|q1=42=838pR2wx>4?::181[7b:27=mo4;179~w7?6>3:1>vP>e09>2dg=<8<0q~<61683>7}Y9l:01;o6:535?xu51821<7::6s|283:>5<5sW;oi639a68753=z{;3:m7>52z\2`==:>h<18<84}r0:5g<72;qU=nj4=7c6>1713ty95;1jm03n639be8;g>;1jl03m639bd8;f>;1jl03o639bg8;e>;1jo03n639bg8;g>;1k903m639c18;f>;1k903o639c08;e>;1k803n639c08;g>;1k;03m639c38;f>;1k;03o639c28;e>;1k:03n639c28;g>;1k=03m639c58;f>;1k=03o639c48;e>;1k<03n639c48;g>;1k?03m639c78;f>;1k?03o639c68;e>;1k>03n639c68;g>;1k103m639c98;f>;1k103o639c88;e>;1k003n639c88;g>;1kh03m639c`8;f>;1kh03o639cc8;e>;1kk03n639cc8;g>;1kj03m639cb8;f>;1kj03o639ce8;e>;1km03n639ce8;g>;1kl03m639cd8;f>;1kl03o639cg8;e>;1ko03n639cg8;g>;1l903m639d18;f>;1l903o639d08;e>;1l803n639d08;g>;1l;03m639d38;f>;1l;03o639d28;e>;1l:03n639d28;g>;1l=03m639d58;f>;1l=03o6s|283g>5<5s4e;296~;1i?0:5:526cd90402d1=90=01;lk:535?xu51;:1<74?0346:p6<462909w08n9;3:3>;1k;0?=;5rs3;16?6=:r7=ml4>969>2f6=<8<0q~<62283>7}:>hh1=494=7a7>1713ty95?:50;0x93gd283<708l5;622>{t:08>6=4={<4b`?7>?27=o>4;179~w7?5>3:1>v39ad82=2=:>j=18<84}r0:62<72;q6:lh5185893e?2=;=7p}=93:94?4|5?h;6<78;<4`2?26>2wx>4<6:18180e93;2;639c`8753=z{;39m7>52z?5f7<61>16:nl54048yv4>:k0;6?u26c195<1<5?i269?9;|q1=7e=838p1;l;:0;4?80dl3>::6s|280g>5<5s4k4?:3y>2g1=90=01;j?:535?xu51::1<74?0346:p6<562909w08m9;3:3>;1ko0?=;5rs3;06?6=:r7=nl4>969>2a5=<8<0q~<63283>7}:>kh1=494=7f7>1713ty95>:50;0x93dd283<708k2;622>{t:09>6=4>9z?5fa<60816:ok5193893da282:708l0;3;5>;1k80:4<526b095=7<5?i86<6>;<4`0?7?927=o84>809>2f0=91;01;m8:0:2?80d03;3=639c882<4=:>jk1=5?4=7aa>4>634;1l80:4<526e095=7<5?n86<6>;<4g0?7?927=h84<6c9~yg0c>3:1?84<2;6fM2492.?>h4<0bd8^45a2:q:;7<>:219ylcc290/8<=5eb9m044=821b=n:50;&756<6k:1e8<<50:9j5f4=83.?=>4>c29m044=921b=n?50;&756<6k:1e8<<52:9j5f6=83.?=>4>c29m044=;21b=ok50;&756<6k:1e8<<54:9j5gb=83.?=>4>c29m044==21b=om50;&756<6k:1e8<<56:9j5gd=83.?=>4>c29m044=?21b=oo50;&756<6k:1e8<<58:9j5g?=83.?=>4>c29m044=121b=o650;&756<6k:1e8<<5a:9j5g1=83.?=>4>c29m044=j21b=o850;&756<6k:1e8<<5c:9j5g3=83.?=>4>c29m044=l21b=nm50;&756<6k:1e8<<5e:9j5fd=83.?=>4>c29m044=n21b=no50;&756<6k:1e8<<51198m4e>290/8<=51b18j17528;07d?l8;29 17428i87c:>2;31?>o6k>0;6):>3;3`7>h39;0:?65f1b494?"39:0:o>5a400951=6=4+40195f57:9j06?=831b=5?50;9j073=831b=4<50;9l5ab=83.?=>4>db9m044=821d=il50;&756<6lj1e8<<51:9l5ag=83.?=>4>db9m044=:21d=i750;&756<6lj1e8<<53:9l5a1=83.?=>4>db9m044=<21d=i850;&756<6lj1e8<<55:9l5a3=83.?=>4>db9m044=>21d=i:50;&756<6lj1e8<<57:9l5a5=83.?=>4>db9m044=021d=i<50;&756<6lj1e8<<59:9l5a7=83.?=>4>db9m044=i21d=i>50;&756<6lj1e8<<5b:9l5f`=83.?=>4>db9m044=k21d=nk50;&756<6lj1e8<<5d:9l5`3=83.?=>4>db9m044=m21d=h:50;&756<6lj1e8<<5f:9l5`5=83.?=>4>db9m044=9910ce183>!26;3;oo6`;13827>=h9ml1<7*;1282`f=i<881=954o0ff>5<#<891=im4n531>43<3f;o47>5$530>4bd3g>:>7?9;:m2ga<72->:?7?kc:l757<6?21i:i950;394?6|,=8n6?7n;I60`>N3;81d>4750;9~f3b?290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn;j6:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f3bf290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn;jm:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f3bd290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn;jk:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f3bb290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn;ji:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f3c7290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn;k>:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f3c5290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn;k<:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f3c3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn;k::187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f3c1290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn;k8:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f3c?290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn;k6:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f3cf290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn;km:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f3cd290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn;kk:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f3cb290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn;ki:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f3`729026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb7d2>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn;h=:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f3`329026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb7d6>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn;h9:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f3`?29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb7d:>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn;hn:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f3`d29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb7dg>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn;hj:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f26729026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb622>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn:>=:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<:86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f26329026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb626>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn:>9:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<:<6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f26?29086=4?{%61a?4fn2B??i5G4238 7g621<0e?7l:188m7?c2900c>8m:188yv4>;?0;6<7t^df893`721301;h>:9;893`521301;h<:9;893`321301;h::9;893`121301;h8:9;893`?21301;h6:9;893`f21301;hm:9;893`d21301;hk:9;893`b21301;hi:9;8926721301:>>:9;8926521301:><:9;8926321301:>::9;8926121301:>8:9;8yv4>;>0;6?uQ1b689262283<7p}=92:94?4|V8i9709?7;3:3>{t:0926=4={_3`5>;08?0:5:5rs3;0e?6=:rT:o=5271095<1:?6<78;|q1=6e=838pR?2wx>4=k:181[7ek27=jk4>969~w7?4m3:1>vP>bc9>357=90=0q~<63g83>7}Y9kk01:>?:0;4?xu51=:1<75<5sW;i4639fd82=2=z{;3?>7>52z\2f2=:>on1=494}r0:06<72;qU=o84=7d:>4?03ty959:50;0xZ4d2341v?7;6;296~X6kk16:k851858yv4><>0;6?uQ1bc893`?283<7p}=95:94?4|V8i2708i7;3:3>{t:0>26=4={_3`<>;1n:0:5:5rs3;7e?6=:rT:o:526g795<1?2wx>4:k:181[7en27=j?4>969~w7?3m3:1>vP>b59>2c7=90=0q~<64g83>7}Y<:301:>7:3;g?xu51<:1<7?n{_3;5>;1l10:4<526e;95=7<5?nj6<6>;<4gf?7?927=hn4>809>2ab=91;01;jj:0:2?80cn3;3=639e182<4=:>l;1=5?4=7g1>4>634;1m10:4<526d;95=7<5?oj6<6>;<4ff?7?927=in4>809>2`b=91;01;kj:0:2?80bn3;3=6380981=f=z{;3>=7>530y]5<4<5?n36<:>;<4g=?73927=hl4>409>2ad=9=;01;jl:062?80cl3;?=639dd8204=:>ml1=9?4=7g3>42634:708j5;375>;1m?0:8<526d59517<5?o36<:>;<4f=?73927=il4>409>2`d=9=;01;kl:062?80bl3;?=639ed8204=:>ll1=9?4=7d3>4?534;1n?0:5?526g595<4<5?l36<7=;<4e=?7>:27=jl4>939>2cd=90801;hl:0;1?80al3;2>639fd82=7=:>ol1=4<4=623>4?534=;=7?62:?447<61;16;==5180892632839709?5;3:6>;08?0:5?5271595<42wx>4;;:181[7ci27=ii4;179~w7?2=3:1>vP>d89>2`e=<8<0q~<65783>7}Y9m=01;km:535?xu51<=1<7::6s|287;>5<5sW;o9639e88753=z{;3>57>52z\2`1=:>l218<84}r0:1d<72;qU=i=4=7g4>1713ty958l50;0xZ4b5346:p6<3d2909wS?k1:?5a0<39?1v?7:d;296~X6l916:h:54048yv4>=l0;6?uQ1bd893c42=;=7p}=94d94?4|V8in708j2;622>{t:0<;6=4={_3f1>;1m80?=;5rs3;55?6=:rT:i9526d290402wx>48;:181[7b927=hi4;179~w7?1=3:1>vP>e19>2ae=<8<0q~<66783>7}Y9ml01;jm:535?xu51?=1<7::6s|284;>5<5sW;o4639d88753=z{;3=57>52z\2ga=:>m218<84}r0:2d<72=3p1;j8:3;:?80a832j708i0;:a?80a832h708i1;:b?80a932i708i1;:`?80a:32j708i2;:a?80a:32h708i3;:b?80a;32i708i3;:`?80a<32j708i4;:a?80a<32h708i5;:b?80a=32i708i5;:`?80a>32j708i6;:a?80a>32h708i7;:b?80a?32i708i7;:`?80a032j708i8;:a?80a032h708i9;:b?80a132i708i9;:`?80ai32j708ia;:a?80ai32h708ib;:b?80aj32i708ib;:`?80ak32j708ic;:a?80ak32h708id;:b?80al32i708id;:`?80am32j708ie;:a?80am32h708if;:b?80an32i708if;:`?817832j709?0;:a?817832h709?1;:b?817932i709?1;:`?817:32j709?2;:a?817:32h709?3;:b?817;32i709?3;:`?817<32j709?4;:a?817<32h709?5;:b?817=32i709?5;:`?817>32j709?6;:a?817>32h709?7;:b?817?32i709?7;:`?xu51?h1<74?0346:p6<0d2909w08k9;3:3>;1n;0?=;5rs3;5`?6=:r7=hl4>969>2c6=<8<0q~<66d83>7}:>mh1=494=7d7>1713ty95;h50;0x93bd283<708i5;622>{t:0=;6=4={<4g`?7>?27=j>4;179~w7?093:1>v39dd82=2=:>o=18<84}r0:37<72;q6:ih5185893`?2=;=7p}=96194?4|5?o;6<78;<4e2?26>2wx>49;:18180b93;2;639f`8753=z{;3<97>52z?5a7<61>16:kl54048yv4>??0;6?u26d195<1<5?l269?9;|q1=21=838p1;k;:0;4?80al3>::6s|285;>5<5s42`1=90=01:>?:535?xu51>h1<74?034=;=7:>6:p6<1d2909w08j9;3:3>;1no0?=;5rs3;4`?6=:r7=il4>969>355=<8<0q~<67d83>7}:>lh1=494=627>1713ty95:h50;0x93cd283<709?2;622>{t:02;6=4={<4f`?7>?27<<;4;179~w7??93:1>v39ed82=2=:?9=18<84}r0:<7<72;q6:hh5185892622=;=7p}=99194?7>s4;1n<0:4<526g495=7<5?l<6<6>;<4e809>2cg=91;01;hm:0:2?80ak3;3=639fe82<4=:>oo1=5?4=7de>4>634=;<7?71:?444<60816;=<519389264282:709?4;3;5>;08<0:4<5271495=7<5>:<6<6>;<536:1801?552=opD9=>;%61a?57ko1Q=>h53z34>77=;:0vehj50;&756:?7?l3:l757<732c:o?4?:%627?7d;2d?=?4>;:k2g4<72->:?7?l3:l757<532c:o=4?:%627?7d;2d?=?4<;:k2f`<72->:?7?l3:l757<332c:ni4?:%627?7d;2d?=?4:;:k2ff<72->:?7?l3:l757<132c:no4?:%627?7d;2d?=?48;:k2fd<72->:?7?l3:l757:?7?l3:l757:?7?l3:l757:?7?l3:l757:?7?l3:l757<6821b=n750;&756<6k:1e8<<51098m4e?290/8<=51b18j17528807d?l7;29 17428i87c:>2;30?>o6k?0;6):>3;3`7>h39;0:865f1b794?"39:0:o>5a400950=84?::k2=7<722e:hi4?:%627?7ck2d?=?4?;:m2`g<72->:?7?kc:l757<632e:hl4?:%627?7ck2d?=?4=;:m2`<<72->:?7?kc:l757<432e:h:4?:%627?7ck2d?=?4;;:m2`3<72->:?7?kc:l757<232e:h84?:%627?7ck2d?=?49;:m2`1<72->:?7?kc:l757<032e:h>4?:%627?7ck2d?=?47;:m2`7<72->:?7?kc:l757<>32e:h<4?:%627?7ck2d?=?4n;:m2`5<72->:?7?kc:l757:?7?kc:l757:?7?kc:l7574?:%627?7ck2d?=?4>0:9l5`4=83.?=>4>db9m044=9810c:18'045=9mi0b9?=:008?j7b83:1(9?<:0f`?k26:3;876a>dg83>!26;3;oo6`;13820>=h9mo1<7*;1282`f=i<881=854o0f;>5<#<891=im4n531>40<3f;hh7>5$530>4bd3g>:>7?8;:`44d<7280;6=u+43g96N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a35e=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi;=j50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a35c=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi;=h50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a346=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi;N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a344=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi;<=50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a342=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi;<;50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a340=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi;<950;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a34>=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi;<750;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a34g=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi;N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a34e=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi;N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a34c=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi;N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a376=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi;??50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a374=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi;?=50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e?;>1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm73494??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi;?950;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e?;21<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm73c94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi;?l50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e?;i1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm73g94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi;?h50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e?::1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm72094??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi;>=50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e?:>1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm72494??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi;>950;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e?:21<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm72c94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi;>l50;194?6|,=8n6?oi;I60`>N3;81/>l?5879j64j50;9l73d=831v?774;295<}Ymm16;?=5889>372=0016;?;5889>370=0016;?95889>37>=0016;?75889>37g=0016;?l5889>37e=0016;?j5889>37c=0016;?h5889>366=0016;>?5889>364=0016;>=5889>362=0016;>;5889>360=0016;>95889>36>=0016;>75889>36g=001v?775;296~X6k=16;>651858yv4>0?0;6?uQ1b08925f283<7p}=99594?4|V8i:709<9;3:3>{t:0236=4={_3`4>;0;<0:5:5rs3;;=?6=:rT:nh5272595<19=6<78;|q1==d=838pR?2wx>46l:181[7ej27969~w7??l3:1>vP>b`9>365=90=0q~<68d83>7}Y9k301:5<5sW;i;6383182=2=z{;32=7>52z\2f3=:?;i1=494}r0:=7<72;qU=o;4=60f>4?03ty954=50;0xZ4ed34=9h7?67:p61v?765;296~X6kh16;?l51858yv4>1?0;6?uQ1b;8924f283<7p}=98594?4|V8i3709=6;3:3>{t:0336=4={_3`3>;0:10:5:5rs3;:=?6=:rT:o;5273595<1886<78;|q1=?2wx>47l:181[7e<27<>94>969~w7?>l3:1>vP;389>36d=:0n0q~<69d83>4g|V82:709?b;3;5>;08j0:4<5271f95=7<5>:n6<6>;<53b?7?927<==4>809>347=91;01:?=:0:2?816;3;3=6381582<4=:?8?1=5?4=635>4>634=:;7?71:?45=<60816;<751938927f282:709>b;3;5>;09j0:4<5270f95=7<5>;n6<6>;<52b?7?927<>=4>809>377=91;01:<=:0:2?814j382o6s|28;e>5<49rT:5?5271`9517<5>:h6<:>;<53`?73927<

409>35`=9=;01:??:062?81693;?=638138204=:?891=9?4=637>42634=:97?;1:?453<6<816;<951538927?28>:709>9;375>;09h0:8<5270`9517<5>;h6<:>;<52`?73927<=h4>409>34`=9=;01:4?534=997?62:?463<61;16;?951808924?2839709=9;3:6>;0:h0:5?5273`95<4<5>8h6<7=;<51`?7>:27<>h4>939>37`=90801:=?:0;1?81493;2>6383382=7=:?:91=4<4=617>4?534=897?62:?473<61;16;>951808925?2839709<9;3:6>;0;h0:5?5rs3;b4?6=:rT:hi5273090408:69?9;|q1=d4=838pR2wx>4o<:181[7c127<=k4;179~w7?f<3:1>vP>d69>34c=<8<0q~<6a483>7}Y9m<01:?k:535?xu51h<1<7::6s|28c4>5<5sW;o86381c8753=z{;3j47>52z\2`6=:?8k18<84}r0:e<<72;qU=i<4=63:>1713ty95lo50;0xZ4b634=:47:>6:p6im0;6?uQ1bg892722=;=7p}=9`g94?4|V8o>709>4;622>{t:0km6=4={_3f0>;09:0?=;5rs3;a4?6=:rT:i>527009040;:69?9;|q1=g4=838pR;<524?26>2wx>4l<:181[7b827<vP>dg9>35c=<8<0q~<6b483>7}Y9mo01:>k:535?xu51k<1<7::6s|28`4>5<5sW;hh6380c8753=z{;3i47>548y>35g=:0301:<<:9c8924421h01:<<:9a8924321k01:<;:9`8924321i01:<::9c8924221h01:<::9a8924121k01:<9:9`8924121i01:<8:9c8924021h01:<8:9a8924?21k01:<7:9`8924?21i01:<6:9c8924>21h01:<6:9a8924f21k01::9c8925621h01:=>:9a8925521k01:==:9`8925521i01:=<:9c8925421h01:=<:9a8925321k01:=;:9`8925321i01:=::9c8925221h01:=::9a8925121k01:=9:9`8925121i01:=8:9c8925021h01:=8:9a8925?21k01:=7:9`8925?21i01:=6:9c8925>21h01:=6:9a8925f21k01:=n:9`8925f21i0q~<6b883>7}:?9h1=494=607>1713ty95oo50;0x926d283<709=5;622>{t:0hi6=4={<53`?7>?27<>>4;179~w7?ek3:1>v380d82=2=:?;=18<84}r0:fa<72;q6;=h51858924?2=;=7p}=9cg94?4|5>;;6<78;<512?26>2wx>4li:18181693;2;6382`8753=z{;3h<7>52z?457<61>16;?l54048yv4>k80;6?u270195<1<5>8269?9;|q1=f4=838p1:?;:0;4?815l3>::6s|28a0>5<5s4=:97?67:?46`<39?1v?7l4;296~;09?0:5:5273a9040341=90=01:=?:535?xu51j<1<74?034=8=7:>6:p69;3:3>;0:o0?=;5rs3;`969>365=<8<0q~<6c883>7}:?8h1=494=617>1713ty95no50;0x927d283<709<2;622>{t:0ii6=4={<52`?7>?27v381d82=2=:?:=18<84}r0:ga<72;q6;8;6<78;<50=?26>2wx>4mi:18181593;2;6383`8753=z{;3o<7>52z?467<61>16;>654048yv4>l80;6<7t=600>4>634=987?71:?460<60816;?8519389240282:709=8;3;5>;0:00:4<5273c95=7<5>8i6<6>;<51g?7?927<>i4>809>37c=91;01:4>634=887?71:?470<60816;>8519389250282:709<8;3;5>;0;00:4<5272c95=7<5>9i6>8m;|a36e=839>6><54dyK067<,=8n6>>lf:X27c<4s8=1><4<3;jaa<72->:?7kl;o626?6<3`;h87>5$530>4e43g>:>7>4;h3`6?6=,=;865$530>4e43g>:>7<4;h3`4?6=,=;865$530>4e43g>:>7:4;h3a`?6=,=;865$530>4e43g>:>784;h3af?6=,=;865$530>4e43g>:>764;h3a=?6=,=;865$530>4e43g>:>7o4;h3a3?6=,=;865$530>4e43g>:>7m4;h3a1?6=,=;865$530>4e43g>:>7k4;h3`f?6=,=;865$530>4e43g>:>7??;:k2g<<72->:?7?l3:l757<6921b=n650;&756<6k:1e8<<51398m4e0290/8<=51b18j17528907d?l6;29 17428i87c:>2;37?>o6k<0;6):>3;3`7>h39;0:965f1cd94?"39:0:o>5a400953=5;h611?6=3`;2>7>5;n3g`?6=,=;865$530>4bd3g>:>7?4;n3ge?6=,=;865$530>4bd3g>:>7=4;n3g3?6=,=;865$530>4bd3g>:>7;4;n3g1?6=,=;865$530>4bd3g>:>794;n3g7?6=,=;86<3f;o>7>5$530>4bd3g>:>774;n3g5?6=,=;865$530>4bd3g>:>7l4;n3`b?6=,=;865$530>4bd3g>:>7j4;n3f1?6=,=;865$530>4bd3g>:>7h4;n3f7?6=,=;861:9l5`7=83.?=>4>db9m044=9;10cdd83>!26;3;oo6`;13821>=h9m21<7*;1282`f=i<881=;54o0ag>5<#<891=im4n531>41<3k=8h7>51;294~"3:l095l5G42f8L1563f8257>5;|`47`<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`405<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th<8<4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`407<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th<8>4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`401<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th<884?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`403<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th<8:4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`40=<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th<844?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`40d<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th<8o4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`40f<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th<8i4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`40`<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th<8k4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`415<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th<9<4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`417<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th<9>4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`411<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th<984?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`413<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl85683><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th<954?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd0=00;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`41d<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl85c83><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th<9n4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd0=m0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`41`<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl85g83><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th<:=4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd0>80;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`427<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl86283><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th<:94?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd0><0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`423<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl86683><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th<:54?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd0>00;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`42d<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl86c83><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th<:n4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd0>m0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`42`<72:0;6=u+43g96d`<@=9o7E:<1:&1e42c95n4?::k1=a<722e8:o4?::p6479:?4211v?7k4;296~X6k;16;;j51858yv4>l<0;6?uQ1b38920d283<7p}=9e494?4|V8i;70998;3:3>{t:0n<6=4={_3aa>;0>h0:5:5rs3;g<>6<78;|q1=ag=838pR?2wx>4jm:181[7ei27<:;4>969~w7?ck3:1>vP>b89>334=90=0q~<6de83>7}Y9k201:8;:0;4?xu51mo1<75<5sW;i:6385g82=2=z{;3n<7>52z\2f0=:??;1=494}r0:a4<72;qU=nm4=643>4?03ty95h<50;0xZ4ee34=>o7?67:p61v?7j4;296~X6k016;8j51858yv4>m<0;6?uQ1b:8923>283<7p}=9d494?4|V8i<709:b;3:3>{t:0o<6=4={_3`2>;0=h0:5:5rs3;f?36<78;|q1=`g=838pR?2wx>4km:181[24127<:h4=9e9~w7?bk3:1=luQ1938925b282:709;0<90:4<5275395=7<5>>96<6>;<577?7?927<894>809>313=91;01::9:0:2?813?3;3=6384982<4=:?=31=5?4=66b>4>634=?n7?71:?40f<60816;9j51938922b282:709;f;3;5>;0=90:4<5274395=7<5>?96<6>;<567?7?927<994>809>303=91;01:8j:3;`?xu51ln1<7=>{_3:6>;0;l0:8<5272d9517<5>>;6<:>;<575?73927<8?4>409>315=9=;01::;:062?813=3;?=638478204=:?==1=9?4=66;>42634=?57?;1:?40d<6<816;9l51538922d28>:709;d;375>;0?;6<:>;<565?73927<9?4>409>305=9=;01:;;:062?812=3;?=6385782=7=:?<=1=4<4=67;>4?534=>57?62:?41d<61;16;8l51808923d2839709:d;3:6>;0=l0:5?5274d95<4<5><;6<7=;<555?7>:27<:?4>939>335=90801:8;:0;1?811=3;2>6386782=7=:??=1=4<4=64;>4?534==57?62:?42d<61;16;;l51808920d28397099d;3:6>{t:0on6=4={_3g`>;0=<0?=;5rs3;fb?6=:rT:ho527469040?869?9;|q1=c7=838pR2wx>4h=:181[7c?27<9<4;179~w7?a;3:1>vP>d79>306=<8<0q~<6f583>7}Y9m?01::i:535?xu51o?1<7::6s|28d5>5<5sW;o?6384e8753=z{;3m;7>52z\2`7=:?=i18<84}r0:b=<72;qU=i?4=66a>1713ty95k750;0xZ4b734=?m7:>6:p6<`f2909wS?lf:?40<<39?1v?7ib;296~X6kl16;9654048yv4>nj0;6?uQ1d7892202=;=7p}=9gf94?4|V8o?709;6;622>{t:0ln6=4={_3f7>;0<<0?=;5rs3;eb?6=:rT:i?527569040>869?9;|q1e57=838pR2wx>l>=:181[7cn27<8<4;179~w7g7;3:1>vP>dd9>316=<8<0q~7}Y9m201:=i:535?xu5i9?1<7::6s|2`25>5<31r7300=0h16;8858c9>300=0j16;8958`9>301=0k16;8958b9>30>=0h16;8658c9>30>=0j16;8758`9>30?=0k16;8758b9>30g=0h16;8o58c9>30g=0j16;8l58`9>30d=0k16;8l58b9>30e=0h16;8m58c9>30e=0j16;8j58`9>30b=0k16;8j58b9>30c=0h16;8k58c9>30c=0j16;8h58`9>30`=0k16;8h58b9>336=0h16;;>58c9>336=0j16;;?58`9>337=0k16;;?58b9>334=0h16;;<58c9>334=0j16;;=58`9>335=0k16;;=58b9>332=0h16;;:58c9>332=0j16;;;58`9>333=0k16;;;58b9>330=0h16;;858c9>330=0j16;;958`9>331=0k16;;958b9>33>=0h16;;658c9>33>=0j16;;758`9>33?=0k16;;758b9>33g=0h16;;o58c9>33g=0j16;;l58`9>33d=0k16;;l58b9>33e=0h16;;m58c9>33e=0j16;;j58`9>33b=0k16;;j58b9~w7g7?3:1>v383d82=2=:?<=18<84}r0b4=<72;q6;>h51858923?2=;=7p}=a1;94?4|5>>;6<78;<562?26>2wx>l>n:18181393;2;6385`8753=z{;k;n7>52z?407<61>16;8l54048yv4f8j0;6?u275195<1<5>?269?9;|q1e5b=838p1::;:0;4?812l3>::6s|2`2f>5<5s4=?97?67:?41`<39?1v?o?f;296~;0311=90=01:8?:535?xu5i8;1<74?034===7:>6:p6d752909w09;9;3:3>;0=o0?=;5rs3c27?6=:r7<8l4>969>335=<8<0q~7}:?=h1=494=647>1713ty9m<;50;0x922d283<70992;622>{t:h;=6=4={<57`?7>?27<:;4;179~w7g6?3:1>v384d82=2=:??=18<84}r0b5=<72;q6;9h5185892022=;=7p}=a0;94?4|5>?;6<78;<55=?26>2wx>l?n:18181293;2;6386`8753=z{;k:n7>52z?417<61>16;;654048yv4f9j0;6?u274195<1<5>::6s|2`3f>5<5s4=>97?67:?42g<39?1v?o>f;295<}:?<<1=5?4=674>4>634=>47?71:?41<<60816;8o51938923e282:709:c;3;5>;0=m0:4<5274g95=7<5>?m6<6>;<554?7?927<:<4>809>334=91;01:8<:0:2?811<3;3=6386482<4=:??<1=5?4=644>4>634==47?71:?42<<60816;;o51938920e282:7099c;3;5>;0>m0:4<5277g973d5$530>`e5<#<891=n=4n531>5=5<#<891=n=4n531>7=54i0`f>5<#<891=n=4n531>1=5<#<891=n=4n531>3=5<#<891=n=4n531>==5<#<891=n=4n531>d=5<#<891=n=4n531>f=6=4+40195f55<#<891=n=4n531>`=5<#<891=n=4n531>46<3`;h57>5$530>4e43g>:>7?>;:k2g=<72->:?7?l3:l757<6:21b=n950;&756<6k:1e8<<51298m4e1290/8<=51b18j17528>07d?l5;29 17428i87c:>2;36?>o6jo0;6):>3;3`7>h39;0::65f1c694?"39:0:o>5a400952=5<6=44i0;1>5<5<#<891=im4n531>4=5<#<891=im4n531>6=5<#<891=im4n531>0=6=4+40195ae5<#<891=im4n531>2=5<#<891=im4n531><=5<#<891=im4n531>g=5<#<891=im4n531>a=6=4+40195ae5<#<891=im4n531>c=4;n3f6?6=,=;862:9l5`6=83.?=>4>db9m044=9:10c76a>d983>!26;3;oo6`;13822>=h9jn1<7*;1282`f=i<881=:54b653>5<6290;w):=e;0:e>N3;m1C8>?4o3;:>5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg1?i3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo97c;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg1?m3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo960;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg1>:3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo964;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg1>>3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo968;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg1>i3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo96c;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg1>m3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo9n0;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<53;294~"3:l09mk5G42f8L1563-8j=769;h0:g?6=3`82h7>5;n15f?6=3ty9m?>50;3:[cc34=35766;<5;e?>>34=3n766;<5;g?>>34=3h766;<5;a?>>34=3j766;<5:4?>>34=2=766;<5:6?>>34=2?766;<5:0?>>34=29766;<5:2?>>34=2;766;<5:>34=25766;<5:e?>>34=2n766;<5:g?>>34=2h766;<5:a?>>34=2j766;<5b4?>>3ty9m??50;0xZ4e334=2i7?67:p6d452909wS?l2:?4e5<61>1v?o=3;296~X6k816;4h51858yv4f:=0;6?uQ1b2892?e283<7p}=a3794?4|V8hn7096d;3:3>{t:h8=6=4={_3a`>;01j0:5:5rs3c13?6=:rT:nn5278:95<154?:3y]5gd<5>3j6<78;|q1e7?=838pR?2wx>l969~w7g5j3:1>vP>b99>3<1=90=0q~7}Y9k=01:79:0;4?xu5i;n1<7:3;2;6s|2`0f>5<5sW;i96389582=2=z{;k9j7>52z\2gf=:?091=494}r0b75<72;qU=nl4=6:e>4?03ty9m>?50;0xZ4ef34=2=7?67:p6d552909wS?l9:?4=5<61>1v?o<3;296~X6k116;5m51858yv4f;=0;6?uQ1b5892>b283<7p}=a2794?4|V8i=7097d;3:3>{t:h9=6=4={_3`1>;0000:5:5rs3c03?6=:rT:nk5279`95<12j6<78;|q1e6?=838pR9=6;<5b5?4>l2wx>l=n:182e~X60816;:?519389215282:70983;3;5>;0?=0:4<5276795=7<5>==6<6>;<543?7?927<;54>809>32?=91;01:9n:0:2?810j3;3=6387b82<4=:?>n1=5?4=65f>4>634=5282:70973;3;5>;00=0:4<5279795=7<5>2=6<6>;<5;3?7?927<454>809>3d7=:0i0q~67|V83970981;375>;0?;0:8<527619517<5>=?6<:>;<541?73927<;;4>409>321=9=;01:97:062?81013;?=6387`8204=:?>h1=9?4=65`>42634=728>:70971;375>;00;0:8<527919517<5>2?6<:>;<5;1?73927<4;4>409>3=1=9=;01:67:062?81?13;2>6388`82=7=:?1h1=4<4=6:`>4?534=3h7?62:?4<`<61;16;5h5180892?7283970961;3:6>;01;0:5?5278195<4<5>3?6<7=;<5:1?7>:27<5;4>939>3<1=90801:77:0;1?81>13;2>6389`82=7=:?0h1=4<4=6;`>4?534=2h7?62:?4=`<61;16;4h5180892g728397p}=a2a94?4|V8no70978;622>{t:h9o6=4={_3gf>;00>0?=;5rs3c0a?6=:rT:hl5279490402>69?9;|q1e16=838pR2wx>l:>:181[7c>27<4>4;179~w7g3:3:1>vP>d49>3=4=<8<0q~7}Y9m>01:6>:535?xu5i=>1<7::6s|2`66>5<5sW;o>6387g8753=z{;k?:7>52z\2`4=:?>o18<84}r0b02<72;qU=i>4=65g>1713ty9m9650;0xZ4ea34=6:p6d2>2909wS?le:?43g<39?1v?o;a;296~X6m<16;:o54048yv4f2=;=7p}=a5a94?4|V8o870988;622>{t:h>o6=4={_3f6>;0?>0?=;5rs3c7a?6=:rT:i<527649040=>69?9;|q1e06=838pR2wx>l;>:181[7cm27<;>4;179~w7g2:3:1>vP>d99>324=<8<0q~7}Y9jn01:9>:535?xu5i<>1<7:6{<544?4>127<4447a:?4<<47a:?4=647c:?4=1l;::18181093;2;6388`8753=z{;k>:7>52z?437<61>16;5l54048yv4f=>0;6?u276195<1<5>2269?9;|q1e0>=838p1:9;:0;4?81?l3>::6s|2`7:>5<5s4=<97?67:?4<`<39?1v?o:a;296~;0??0:5:5279a9040321=90=01:7?:535?xu5i4?034=2=7:>6:p6d3c2909w0989;3:3>;00o0?=;5rs3c6a?6=:r7<;l4>969>3<5=<8<0q~7}:?>h1=494=6;7>1713ty9m;>50;0x921d283<70962;622>{t:h<:6=4={<54`?7>?27<5;4;179~w7g1:3:1>v387d82=2=:?0=18<84}r0b26<72;q6;:h5185892?22=;=7p}=a7694?4|5>2;6<78;<5:=?26>2wx>l8::18181?93;2;6389`8753=z{;k=:7>52z?4<7<61>16;4654048yv4f>>0;6?u279195<1<5>3h69?9;|q1e3>=838p1:6;:0;4?81>l3>::6s|2`4:>5<5s4=397?67:?4=g<39?1v?o9a;296~;00?0:5:5278d90403=1=90=01:o?:535?xu5i?i1<74?034=2i7:>6:p6d0c290:5v388882<4=:?1k1=5?4=6:a>4>634=3o7?71:?4a282:70960;3;5>;0180:4<5278095=7<5>386<6>;<5:0?7?927<584>809>3<0=91;01:78:0:2?81>03;3=6389882<4=:?0k1=5?4=6;a>4>634=2o7?71:?4=a<60816;4k5193892?a282:709n0;3;5>;0i808:o5r}c5b6?6=;<08>7:j{I605>"3:l086}6?38:6>=5}hgg>5<#<891in5a40094>=n9j>1<7*;1282g6=i<881<65f1b094?"39:0:o>5a40095>=n9j;1<7*;1282g6=i<881>65f1b294?"39:0:o>5a40097>=n9ko1<7*;1282g6=i<881865f1cf94?"39:0:o>5a40091>=n9ki1<7*;1282g6=i<881:65f1c`94?"39:0:o>5a40093>=n9kk1<7*;1282g6=i<881465f1c;94?"39:0:o>5a4009=>=n9k21<7*;1282g6=i<881m65f1c594?"39:0:o>5a4009f>=n9k<1<7*;1282g6=i<881o65f1c794?"39:0:o>5a4009`>=n9ji1<7*;1282g6=i<881i65f1b`94?"39:0:o>5a4009b>=n9jk1<7*;1282g6=i<881==54i0a:>5<#<891=n=4n531>47<3`;h47>5$530>4e43g>:>7?=;:k2g2<72->:?7?l3:l757<6;21b=n850;&756<6k:1e8<<51598m4e2290/8<=51b18j17528?07d?mf;29 17428i87c:>2;35?>o6j=0;6):>3;3`7>h39;0:;65f42;94?=n91;1<75f43794?=n9081<75`1ef94?"39:0:hn5a40094>=h9mh1<7*;1282`f=i<881=65`1ec94?"39:0:hn5a40096>=h9m31<7*;1282`f=i<881?65`1e594?"39:0:hn5a40090>=h9m<1<7*;1282`f=i<881965`1e794?"39:0:hn5a40092>=h9m>1<7*;1282`f=i<881;65`1e194?"39:0:hn5a4009<>=h9m81<7*;1282`f=i<881565`1e394?"39:0:hn5a4009e>=h9m:1<7*;1282`f=i<881n65`1bd94?"39:0:hn5a4009g>=h9jo1<7*;1282`f=i<881h65`1d794?"39:0:hn5a4009a>=h9l>1<7*;1282`f=i<881j65`1d194?"39:0:hn5a400955=3:9l5a`=83.?=>4>db9m044=9=10cce83>!26;3;oo6`;13823>=e?h91<7?50;2x 14b2;3j7E:5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj>k>6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb6c5>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj>k<6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb6c;>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj>k26=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb6cb>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj>ki6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb6c`>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj>ko6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb6cf>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj>km6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb6`3>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj>h:6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb6`1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj>h86=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb6`7>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj>h>6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb6`5>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj>h<6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb6`;>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj>h26=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb6`b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj>hi6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb6``>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn:lk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<hn6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f2da29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb6a3>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn:m>:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<i96=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f2e429026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb6a7>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn:m::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<i=6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f2e029026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb6a;>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn:m6:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<ij6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f2ee29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb6a`>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn:mk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<in6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f2ea29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb6f3>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn:j>:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<n96=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f2b429026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb6f7>5<4290;w):=e;0bb>N3;m1C8>?4$3c2>=05<=?<5>ho6574=6`f>=?<5>hm6574=6a3>=?<5>i:6574=6a1>=?<5>i86574=6a7>=?<5>i>6574=6a5>=?<5>i<6574=6a;>=?<5>i26574=6ab>=?<5>ii6574=6a`>=?<5>io6574=6af>=?<5>im6574=6f3>=?<5>n:6574=6f1>=?<5>n86574}r0b2c<72;qU=n:4=6f2>4?03ty9m:>50;0xZ4e534=o?7?67:p6d162909wS?l1:?4`7<61>1v?o82;296~X6k916;nk51858yv4f?:0;6?uQ1cg892b7283<7p}=a6694?4|V8ho709lf;3:3>{t:h=>6=4={_3ag>;0kk0:5:5rs3c42?6=:rT:no527bf95<1ih6<78;|q1e2>=838pR?2wx>l96:181[7e027969~w7g0i3:1>vP>b69>3f?=90=0q~7}Y9k<01:m::0;4?xu5i>i1<75<5sW;ho638c782=2=z{;k52z\2gg=:?j81=494}r0b3c<72;qU=no4=6a7>4?03ty9m5>50;0xZ4e>34=h?7?67:p6d>62909wS?l8:?4fc<61>1v?o72;296~X6k>16;n?51858yv4f0:0;6?uQ1b4892e7283<7p}=a9694?4|V8i>709mc;3:3>{t:h2>6=4={_3ab>;0jl0:5:5rs3c;2?6=:rT:n9527cf95<1n?6?7k;|q1e=>=83;jwS?71:?4e1<60816;l;5193892g1282:709n7;3;5>;0i10:4<527`;95=7<5>kj6<6>;<5bf?7?927809>3db=91;01:oj:0:2?81fn3;3=638b182<4=:?k;1=5?4=6`1>4>634=i?7?71:?4f1<60816;o;5193892d1282:709m7;3;5>;0j10:4<527c;95=7<5>hj6<6>;<5af?7?927:709n5;375>;0i?0:8<527`59517<5>k36<:>;<5b=?73927409>3dd=9=;01:ol:062?81fl3;?=638ad8204=:?hl1=9?4=6`3>42634=i=7?;1:?4f7<6<816;o=5153892d328>:709m5;375>;0j?0:8<527c59517<5>h36<:>;<5a=?73927409>3gd=9=;01:ll:0;1?81el3;2>638bd82=7=:?kl1=4<4=6a3>4?534=h=7?62:?4g7<61;16;n=5180892e32839709l5;3:6>;0k?0:5?527b595<4<5>i36<7=;<5`=?7>:27939>3fd=90801:ml:0;1?81dl3;2>638cd82=7=:?jl1=4<4=6f3>4?534=o=7?62:?4`7<61;16;i=51808yv4f0h0;6?uQ1ef892de2=;=7p}=a9`94?4|V8ni709ma;622>{t:h2h6=4={_3ge>;0j00?=;5rs3c;`?6=:rT:h4527c:9040h<69?9;|q1e=`=838pR2wx>l7?:181[7c=2793:1>vP>d59>3g2=<8<0q~7}Y9m901:l<:535?xu5i091<7::6s|2`;7>5<5sW;o=638b08753=z{;k297>52z\2`5=:?k:18<84}r0b=3<72;qU=nh4=6ce>1713ty9m4950;0xZ4eb34=ji7:>6:p6d??2909wS?j5:?4ea<39?1v?o69;296~X6m=16;lm54048yv4f1h0;6?uQ1d1892ge2=;=7p}=a8`94?4|V8o9709na;622>{t:h3h6=4={_3f5>;0i00?=;5rs3c:`?6=:rT:i=527`:9040k<69?9;|q1e<`=838pR2wx>lo?:181[7c027vP>ce9>3d2=<8<0q~1?|5>k86?76;<5ag?>f34=io76m;<5ag?>d34=ih76n;<5a`?>e34=ih76l;<5aa?>f34=ii76m;<5aa?>d34=ij76n;<5ab?>e34=ij76l;<5`4?>f34=h<76m;<5`4?>d34=h=76n;<5`5?>e34=h=76l;<5`6?>f34=h>76m;<5`6?>d34=h?76n;<5`7?>e34=h?76l;<5`0?>f34=h876m;<5`0?>d34=h976n;<5`1?>e34=h976l;<5`2?>f34=h:76m;<5`2?>d34=h;76n;<5`3?>e34=h;76l;<5`f34=h476m;<5`d34=h576n;<5`=?>e34=h576l;<5`e?>f34=hm76m;<5`e?>d34=hn76n;<5`f?>e34=hn76l;<5`g?>f34=ho76m;<5`g?>d34=hh76n;<5``?>e34=hh76l;<5`a?>f34=hi76m;<5`a?>d34=hj76n;<5`b?>e34=hj76l;<5g4?>f34=o<76m;<5g4?>d34=o=76n;<5g5?>e34=o=76l;<5g6?>f34=o>76m;<5g6?>d34=o?76n;<5g7?>e34=o?76l;|q1ed5=838p1:o;:0;4?81el3>::6s|2`c7>5<5s4=j97?67:?4f`<39?1v?on5;296~;0i?0:5:527ca90403d1=90=01:m?:535?xu5ih=1<74?034=h=7:>6:p6dg?2909w09n9;3:3>;0jo0?=;5rs3cb=?6=:r7969>3f5=<8<0q~7}:?hh1=494=6a7>1713ty9mll50;0x92gd283<709l2;622>{t:hkh6=4={<5b`?7>?27v38ad82=2=:?j=18<84}r0be`<72;q6;lh5185892e22=;=7p}=a`d94?4|5>h;6<78;<5`=?26>2wx>ll?:18181e93;2;638c`8753=z{;ki=7>52z?4f7<61>16;n654048yv4fj;0;6?u27c195<1<5>ih69?9;|q1eg5=838p1:l;:0;4?81dl3>::6s|2``7>5<5s4=i97?67:?4gg<39?1v?om5;296~;0j?0:5:527bd90403g1=90=01:j?:535?xu5ik=1<74?034=hi7:>6:p6dd?2909w09m9;3:3>;0l;0?=;5rs3ca=?6=:r7969>3a5=<8<0q~7}:?kh1=494=6f2>1713ty9mol50;3:81ek3;3=638be82<4=:?ko1=5?4=6`e>4>634=h<7?71:?4g4<60816;n<5193892e4282:709l4;3;5>;0k<0:4<527b495=7<5>i<6<6>;<5`809>3fg=91;01:mm:0:2?81dk3;3=638ce82<4=:?jo1=5?4=6ae>4>634=o<7?71:?4`4<60816;i<5193892b4282:709k4;15f>{zj>n>6=4<5;11>1c|@=9:7):=e;13gc=]9:l1?v?8:33976h39;0;76g>c583>!26;3;h?6`;1383?>o6k;0;6):>3;3`7>h39;0:76g>c083>!26;3;h?6`;1381?>o6k90;6):>3;3`7>h39;0876g>bd83>!26;3;h?6`;1387?>o6jm0;6):>3;3`7>h39;0>76g>bb83>!26;3;h?6`;1385?>o6jk0;6):>3;3`7>h39;0<76g>b`83>!26;3;h?6`;138;?>o6j00;6):>3;3`7>h39;0276g>b983>!26;3;h?6`;138b?>o6j>0;6):>3;3`7>h39;0i76g>b783>!26;3;h?6`;138`?>o6j<0;6):>3;3`7>h39;0o76g>cb83>!26;3;h?6`;138f?>o6kk0;6):>3;3`7>h39;0m76g>c`83>!26;3;h?6`;13824>=n9j31<7*;1282g6=i<881=<54i0a;>5<#<891=n=4n531>44<3`;h;7>5$530>4e43g>:>7?<;:k2g3<72->:?7?l3:l757<6<21b=n;50;&756<6k:1e8<<51498m4da290/8<=51b18j17528<07d?m4;29 17428i87c:>2;34?>o3;00;66g>8083>>o3:<0;66g>9383>>i6lm0;6):>3;3gg>h39;0;76a>dc83>!26;3;oo6`;1382?>i6lh0;6):>3;3gg>h39;0976a>d883>!26;3;oo6`;1380?>i6l>0;6):>3;3gg>h39;0?76a>d783>!26;3;oo6`;1386?>i6l<0;6):>3;3gg>h39;0=76a>d583>!26;3;oo6`;1384?>i6l:0;6):>3;3gg>h39;0376a>d383>!26;3;oo6`;138:?>i6l80;6):>3;3gg>h39;0j76a>d183>!26;3;oo6`;138a?>i6ko0;6):>3;3gg>h39;0h76a>cd83>!26;3;oo6`;138g?>i6m<0;6):>3;3gg>h39;0n76a>e583>!26;3;oo6`;138e?>i6m:0;6):>3;3gg>h39;0:<65`1d094?"39:0:hn5a400954=4:9l5ac=83.?=>4>db9m044=9<10c4<729q/8?k528c8L15c3A>8=6a=9883>>{e?m=1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm7e:94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e?m31<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm7ec94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e?mh1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm7ea94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e?mn1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm7eg94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e?ml1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm7d294?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e?l;1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm7d094?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e?l91<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm7d694?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e?l?1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm7d494?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e?l=1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm7d:94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e?l31<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm7dc94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e?lh1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm7da94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e?ln1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm7dg94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e?ll1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm7g394??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi;k<50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e?o91<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm7g794??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi;k850;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e?o=1<7750;2x 14b2=8h7E:f2900e5l50;9j=8331<7>t$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm7g;94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi;ko50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e?oh1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm7gf94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi;kk50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e?ol1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm81394??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi4=<50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e0991<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm81794??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi4=850;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e09=1<7=50;2x 14b2;km7E:518y]aa=:?ll144527g29<<=:?o;144527g09<<=:?o9144527g69<<=:?o?144527g49<<=:?o=144527g:9<<=:?o3144527gc9<<=:?oh144527ga9<<=:?on144527gg9<<=:?ol144528129<<=:09;144528109<<=:099144528169<<=:09?144528149<<=z{;kih7>52z\2g1=:09>1=494}r0bf`<72;qU=n<4=925>4?03ty9moh50;0xZ4e6342;97?67:p6de72909wS?l0:?;44<61>1v?ol1;296~X6jl164==51858yv4fk;0;6?uQ1cf89=65283<7p}=ab194?4|V8hh709ie;3:3>{t:hi?6=4={_3af>;?890:5:5rs3c`1?6=:rT:nl527gd95<1li6<78;|q1ef1=838pR?2wx>lm7:181[7e?27969~w7gd13:1>vP>b79>3c>=90=0q~7}Y9k?01:hn:0;4?xu5ijh1<75<5sW;hn638f482=2=z{;khh7>52z\2gd=:?o=1=494}r0bg`<72;qU=n74=6d5>4?03ty9mnh50;0xZ4e?34=m>7?67:p6db72909wS?l7:?4b1<61>1v?ok1;296~X6k?16;k=51858yv4fl;0;6?uQ1b7892ca283<7p}=ae194?4|V8hm709i1;3:3>{t:hn?6=4={_3a0>;0n90:5:5rs3cg1?6=:rT??45281596634=o;7?71:?4`=<60816;i75193892bf282:709kb;3;5>;0lj0:4<527ef95=7<5>nn6<6>;<5gb?7?927809>3`7=91;01:k=:0:2?81b;3;3=638e582<4=:?l?1=5?4=6g5>4>634=n;7?71:?4a=<60816;h75193892cf282:709jb;3;5>;0mj0:4<527df95=7<5>on6<6>;<:33?4>k2wx>lj8:1805~X61;16;i95153892b?28>:709k9;375>;0lh0:8<527e`9517<5>nh6<:>;<5g`?73927409>3a`=9=;01:k?:062?81b93;?=638e38204=:?l91=9?4=6g7>42634=n97?;1:?4a3<6<816;h95153892c?28>:709j9;375>;0mh0:8<527d`9517<5>oh6<:>;<5f`?73927409>3``=90801:h?:0;1?81a93;2>638f382=7=:?o91=4<4=6d7>4?534=m97?62:?4b3<61;16;k95180892`?2839709i9;3:6>;0nh0:5?527g`95<4<5>lh6<7=;<5e`?7>:27939>3c`=908015>?:0;1?8>793;2>6370382=7=:0991=4<4=927>4?5342;97?62:?;43<61;1v?ok8;296~X6lm16;hk54048yv4fl00;6?uQ1e`892cc2=;=7p}=aec94?4|V8nj709jc;622>{t:hni6=4={_3g=>;0mk0?=;5rs3cgg?6=:rT:h:527dc9040o269?9;|q1eac=838pR2wx>lji:181[7c<27vP>d29>3`0=<8<0q~7}Y9m801:k::535?xu5il81<7::6s|2`g0>5<5sW;o<638e28753=z{;kn87>52z\2gc=:?l818<84}r0ba0<72;qU=nk4=6g2>1713ty9mh850;0xZ4c234=n<7:>6:p6dc02909wS?j4:?4`c<39?1v?oj8;296~X6m:16;ik54048yv4fm00;6?uQ1d0892bc2=;=7p}=adc94?4|V8o:709kc;622>{t:hoi6=4={_3f4>;0lk0?=;5rs3cfg?6=:rT:hk527ec9040n269?9;|q1e`c=838pR2wx>lki:181[7dl27om65o4=6ge>=d<5>om65m4=6d3>=g<5>l;65l4=6d3>=e<5>l:65o4=6d2>=d<5>l:65m4=6d1>=g<5>l965l4=6d1>=e<5>l865o4=6d0>=d<5>l865m4=6d7>=g<5>l?65l4=6d7>=e<5>l>65o4=6d6>=d<5>l>65m4=6d5>=g<5>l=65l4=6d5>=e<5>l<65o4=6d4>=d<5>l<65m4=6d;>=g<5>l365l4=6d;>=e<5>l265o4=6d:>=d<5>l265m4=6db>=g<5>lj65l4=6db>=e<5>li65o4=6da>=d<5>li65m4=6d`>=g<5>lh65l4=6d`>=e<5>lo65o4=6dg>=d<5>lo65m4=6df>=g<5>ln65l4=6df>=e<5>lm65o4=6de>=d<5>lm65m4=923>=g<51:;65l4=923>=e<51::65o4=922>=d<51::65m4=921>=g<51:965l4=921>=e<51:865o4=920>=d<51:865m4=927>=g<51:?65l4=927>=e<51:>65o4=926>=d<51:>65m4=925>=g<51:=65l4=925>=e3a1=90=01:h?:535?xu5io81<74?034=m=7:>6:p6d`42909w09k9;3:3>;0mo0?=;5rs3ce0?6=:r7969>3c5=<8<0q~7}:?mh1=494=6d7>1713ty9mk850;0x92bd283<709i2;622>{t:hl<6=4={<5g`?7>?27v38dd82=2=:?o=18<84}r0bb<<72;q6;ih5185892`22=;=7p}=agc94?4|5>o;6<78;<5e=?26>2wx>lhm:18181b93;2;638f`8753=z{;kmo7>52z?4a7<61>16;k654048yv4fnm0;6?u27d195<1<5>lh69?9;|q1ecc=838p1:k;:0;4?81al3>::6s|2`de>5<5s4=n97?67:?4bg<39?1v?l?0;296~;0m?0:5:527gd90403`1=90=015>?:535?xu5j981<74?034=mi7:>6:p6g642909w09j9;3:3>;?8;0?=;5rs3`30?6=:r7969><55=<8<0q~7}:?lh1=494=922>1713ty9n=850;0x92cd283<706?5;622>{t:k:<6=4={<5f`?7>?273<;4;179~w7d703:1>v38ed82=2=:09>18<84}r0a4<<7283p1:ki:0:2?81a83;3=638f082<4=:?o81=5?4=6d0>4>634=m87?71:?4b0<60816;k85193892`0282:709i8;3;5>;0n00:4<527gc95=7<5>li6<6>;<5eg?7?927809>3cc=91;01:hi:0:2?8>783;3=6370082<4=:0981=5?4=920>4>6342;87?71:?;40<608164=8519389=602:3g8041=:808?7sfee83>!26;3oh7c:>2;28?l7d<3:1(9?<:0a0?k26:3:07d?l2;29 17428i87c:>2;38?l7d93:1(9?<:0a0?k26:3807d?l0;29 17428i87c:>2;18?l7em3:1(9?<:0a0?k26:3>07d?md;29 17428i87c:>2;78?l7ek3:1(9?<:0a0?k26:3<07d?mb;29 17428i87c:>2;58?l7ei3:1(9?<:0a0?k26:3207d?m9;29 17428i87c:>2;;8?l7e03:1(9?<:0a0?k26:3k07d?m7;29 17428i87c:>2;`8?l7e>3:1(9?<:0a0?k26:3i07d?m5;29 17428i87c:>2;f8?l7dk3:1(9?<:0a0?k26:3o07d?lb;29 17428i87c:>2;d8?l7di3:1(9?<:0a0?k26:3;;76g>c883>!26;3;h?6`;13825>=n9j21<7*;1282g6=i<881=?54i0a4>5<#<891=n=4n531>45<3`;h:7>5$530>4e43g>:>7?;;:k2g0<72->:?7?l3:l757<6=21b=oh50;&756<6k:1e8<<51798m4d3290/8<=51b18j17528=07d:<9;29?l7?93:17d:=5;29?l7>:3:17b?kd;29 17428nh7c:>2;28?j7cj3:1(9?<:0f`?k26:3;07b?ka;29 17428nh7c:>2;08?j7c13:1(9?<:0f`?k26:3907b?k7;29 17428nh7c:>2;68?j7c>3:1(9?<:0f`?k26:3?07b?k5;29 17428nh7c:>2;48?j7c<3:1(9?<:0f`?k26:3=07b?k3;29 17428nh7c:>2;:8?j7c:3:1(9?<:0f`?k26:3307b?k1;29 17428nh7c:>2;c8?j7c83:1(9?<:0f`?k26:3h07b?lf;29 17428nh7c:>2;a8?j7dm3:1(9?<:0f`?k26:3n07b?j5;29 17428nh7c:>2;g8?j7b<3:1(9?<:0f`?k26:3l07b?j3;29 17428nh7c:>2;33?>i6m;0;6):>3;3gg>h39;0:=65`1d394?"39:0:hn5a400957=5:9l5a>=83.?=>4>db9m044=9?10c713:1=7>50z&76`<51h1C8>j4H512?j4>13:17pl70`83>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd?8k0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl70b83>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd?8m0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl70d83>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd?8o0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl71183>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd?980;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl71383>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd?9:0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl71583>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd?9<0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl71783>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd?9>0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl71983>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd?900;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl71`83>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd?9k0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl71b83>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd?9m0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl71d83>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd?9o0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl72183>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd?:80;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl72383><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th3>>4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd?:=0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`;60<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl72783><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th3>:4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd?:10;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`;6<<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl72`83><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th3>o4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd?:j0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`;6a<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl72d83><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th3>k4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd?;90;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`;74<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl73383><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th3?>4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd?;=0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`;70<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl73783><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th3?:4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd?;10;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`;7<<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl73`83>6<729q/8?k52`d8L15c3A>8=6*=a08;2>o51j0;66g=9e83>>i4>k0;66s|2c2b>5<61rTnh637238;=>;?::035637258;=>;?:<035637278;=>;?:>035637298;=>;?:00356372`8;=>;?:k0356372b8;=>;?:m0356372d8;=>;?:o035637318;=>;?;8035637338;=>;?;:035637358;=>;?;<035637378;=>;?;>035637398;=>;?;00356s|2c2a>5<5sW;h86373682=2=z{;h;o7>52z\2g7=:0:31=494}r0a4a<72;qU=n?4=91;>4?03ty9n=k50;0xZ4e7342887?67:p6g6a2909wS?me:?;73<61>1v?l>0;296~X6jm164>;51858yv4e980;6?uQ1ca89=56283<7p}=b0094?4|V8hi706<3;3:3>{t:k;86=4={_3ae>;?;;0:5:5rs3`20?6=:rT:n45283g95<1<519;6<78;|q1f40=838pR?2wx>o?8:181[7e>273>o4>969~w7d603:1>vP>b49><7b=90=0q~7}Y9ji015503;2;6s|2c3a>5<5sW;hm6372`82=2=z{;h:o7>52z\2g<=:0;31=494}r0a5a<72;qU=n64=906>4?03ty9n1v?l=0;296~X6k<164?<51858yv4e:80;6?uQ1cd89=43283<7p}=b3094?4|V8h?706=3;3:3>{t:k886=4={_60=>;?;h095i5rs3`10?6=9hqU=5?4=92b>4>6342;n7?71:?;4f<608164=j519389=6b282:706?f;3;5>;?990:4<5280395=7<51;96<6>;<:27?7?9273=94>809><43=91;015?9:0:2?8>6?3;3=6371982<4=:0831=5?4=93b>4>6342:n7?71:?;5f<608164f;3;5>;?:90:4<5283395=7<519j6?7l;|q1f73=839:wS?62:?;4d<6<8164=l515389=6d28>:706?d;375>;?8l0:8<5281d9517<51;;6<:>;<:25?739273=?4>409><45=9=;015?;:062?8>6=3;?=637178204=:08=1=9?4=93;>426342:57?;1:?;5d<6<8164:706>d;375>;?9l0:8<5280d9517<518;6<:>;<:15?739273>?4>939><75=908015<;:0;1?8>5=3;2>6372782=7=:0;=1=4<4=90;>4?5342957?62:?;6d<61;164?l518089=4d2839706=d;3:6>;?:l0:5?5283d95<4<519;6<7=;<:05?7>:273??4>939><65=908015=;:0;1?8>4=3;2>6373782=7=:0:=1=4<4=91;>4?5342857?62:p6g412909wS?kd:?;64<39?1v?l=7;296~X6lk164?>54048yv4e:10;6?uQ1ec89=7a2=;=7p}=b3;94?4|V8n2706>e;622>{t:k8j6=4={_3g3>;?9m0?=;5rs3`1f?6=:rT:h;5280a9040n4?:3y]5a3<51;i69?9;|q1f7b=838pR2wx>ovP>d39><4>=<8<0q~7}Y9m;015?8:535?xu5j:;1<76>3>::6s|2c11>5<5sW;hj637148753=z{;h8?7>52z\2g`=:08>18<84}r0a71<72;qU=h;4=930>1713ty9n>;50;0xZ4c3342:>7:>6:p6g512909wS?j3:?;54<39?1v?l<7;296~X6m;164<>54048yv4e;10;6?uQ1d389=6a2=;=7p}=b2;94?4|V8o;706?e;622>{t:k9j6=4={_3gb>;?8m0?=;5rs3`0f?6=:rT:hh5281a9040<51:i69?9;|q1f6b=838pR2wx>o=j:187=~;?80095452830914o52836914o528269969><75=<8<0q~7}:09h1=494=907>1713ty9n9?50;0x9=6d283<706=2;622>{t:k>96=4={<:3`?7>?273>;4;179~w7d3;3:1>v370d82=2=:0;=18<84}r0a01<72;q64=h518589=422=;=7p}=b5794?4|51;;6<78;<:1=?26>2wx>o:9:1818>693;2;6372`8753=z{;h?;7>52z?;57<61>164?654048yv4e<10;6?u280195<1<518h69?9;|q1f1?=838p15?;:0;4?8>5l3>::6s|2c6b>5<5s42:97?67:?;6g<39?1v?l;b;296~;?9?0:5:5283d9040<41=90=015=?:535?xu5j=n1<74?03429i7:>6:p6g2b2909w06>9;3:3>;?;;0?=;5rs3`7b?6=:r73=l4>969><65=<8<0q~7}:08h1=494=912>1713ty9n8?50;0x9=7d283<706<5;622>{t:k?96=4={<:2`?7>?273?;4;179~w7d2;3:1>v371d82=2=:0:>18<84}r0a11<72;q642wx>o;9:1818>593;2;637368753=z{;h>;7>518y><74=91;015<<:0:2?8>5<3;3=6372482<4=:0;<1=5?4=904>4>6342947?71:?;6<<608164?o519389=4e282:706=c;3;5>;?:m0:4<5283g95=7<518m6<6>;<:04?7?9273?<4>809><64=91;015=<:0:2?8>4<3;3=6373482<4=:0:<1=5?4=914>4>6342847?71:?;7<<608164>o537`8yxd?;k0;6>;53387a~N3;81/8?k531ae?_74n39p=:4=1;10>xobl3:1(9?<:da8j1752910e:18'045=9j90b9?=:398m4e7290/8<=51b18j1752:10e10e290/8<=51b18j1752010ec983>!26;3;h?6`;13826>=n9j=1<7*;1282g6=i<881=>54i0a5>5<#<891=n=4n531>42<3`;h97>5$530>4e43g>:>7?:;:k2fc<72->:?7?l3:l757<6>21b=o:50;&756<6k:1e8<<51698m15>2900e<6>:188m1422900e<7=:188k4bc290/8<=51ea8j1752910c2;32?>i6m80;6):>3;3gg>h39;0:>65`1d294?"39:0:hn5a400956=6:9l5fb=83.?=>4>db9m044=9>10n5=l:182>5<7s->9i7<6a:J77a=O<:;0c?76:188yg>4l3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo6h4=939K06b<@=9:7):188m4?02900c9?9:188yg>4n3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo6;0;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg>393:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo6;2;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg>3;3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo6;4;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg>3=3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo6;6;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg>3?3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo6;8;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg>313:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo6;a;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg>3j3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo6;c;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg>3l3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo6;e;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg>3n3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo6:0;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg>293:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo6:2;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg>2;3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo6:4;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg>2=3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo6:7;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<47>59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg>213:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo6:b;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<o7>59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg>2l3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo6:f;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg>193:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo693;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg>1=3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo697;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg>113:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo69b;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg>1l3:1?7>50z&76`<5io1C8>j4H512?!4f932=7d<6c;29?l4>l3:17b=9b;29?xu5j<21<7?6{_gg?8>2=322706:6;::?8>2?322706:8;::?8>21322706:a;::?8>2j322706:c;::?8>2l322706:e;::?8>2n32270690;::?8>1932270692;::?8>1;32270694;::?8>1=32270696;::?8>1?32270698;::?8>113227069a;::?8>1j3227069c;::?xu5j<31<71i3;2;6s|2c7b>5<5sW;h>6376b82=2=z{;h>n7>52z\2g4=:0?h1=494}r0a1f<72;qU=n>4=944>4?03ty9n8j50;0xZ4db342=57?67:p6g3b2909wS?md:?;2=<61>1v?l:f;296~X6jj164;:51858yv4e>90;6?uQ1c`89=01283<7p}=b7394?4|V8hj70695;3:3>{t:k<96=4={_3a=>;?>80:5:5rs3`57?6=:rT:n55287195<1?2wx>o89:181[7e=273:=4>969~w7d1?3:1>vP>cb9><0`=90=0q~7}Y9jh015;m:0;4?xu5j?31<72l3;2;6s|2c4b>5<5sW;h56375b82=2=z{;h=n7>52z\2g==:0<21=494}r0a2f<72;qU=n94=97b>4?03ty9n;j50;0xZ4e1342>57?67:p6g0b2909wS?l5:?;10<61>1v?l9f;296~X6jo1648951858yv4e?90;6?uQ1c689=31283<7p}=b6394?4|V=927069d;0:`>{t:k=96=4>az\2<4=:0:n1=5?4=91f>4>63428j7?71:?;05<6081649?519389=25282:706;3;3;5>;?<=0:4<5285795=7<51>=6<6>;<:73?7?9273854>809><1?=91;015:n:0:2?8>3j3;3=6374b82<4=:0=n1=5?4=96f>4>6342?j7?71:?;15<6081648?519389=35282:706:3;3;5>;?==0:4<5287f964?:23xZ4?53428h7?;1:?;7`<6<8164>h515389=2728>:706;1;375>;?<;0:8<528519517<51>?6<:>;<:71?7392738;4>409><11=9=;015:7:062?8>313;?=6374`8204=:0=h1=9?4=96`>426342?h7?;1:?;0`<6<81649h515389=3728>:706:1;375>;?=;0:8<528419517<51??6<:>;<:61?7>:2739;4>939><01=908015;7:0;1?8>213;2>6375`82=7=:04?5342>h7?62:?;1`<61;1648h518089=07283970691;3:6>;?>;0:5?5287195<4<51:273:;4>939><31=90801587:0;1?8>113;2>6376`82=7=:0?h1=4<4=94`>4?53ty9n::50;0xZ4bc342>87:>6:p6g122909wS?kb:?;16<39?1v?l86;296~X6lh1648<54048yv4e?>0;6?uQ1e;89=362=;=7p}=b6:94?4|V8n<706:0;622>{t:k=26=4={_3g2>;?o69?9;|q1f2e=838pR2wx>o9k:181[7c:2738o4;179~w7d0m3:1>vP>d09><1g=<8<0q~7}Y9m:015:6:535?xu5j1:1<7303>::6s|2c:2>5<5sW;hi637468753=z{;h3>7>52z\2a0=:0=<18<84}r0a<6<72;qU=h:4=966>1713ty9n5:50;0xZ4c4342?87:>6:p6g>22909wS?j2:?;06<39?1v?l76;296~X6m81649<54048yv4e0>0;6?uQ1d289=262=;=7p}=b9:94?4|V8nm706;0;622>{t:k226=4={_3ga>;?;o0?=;5rs3`;e?6=:rT:h55282g90402w06;?=<03m637548;f>;?=<03o637578;e>;?=?03n637578;g>;?=>03m637568;f>;?=>03o637598;e>;?=103n637598;g>;?=003m637588;f>;?=003o6375`8;e>;?=h03n6375`8;g>;?=k03m6375c8;f>;?=k03o6375b8;e>;?=j03n6375b8;g>;?=m03m6375e8;f>;?=m03o6375d8;e>;?=l03n6375d8;g>;?=o03m6375g8;f>;?=o03o637618;e>;?>903n637618;g>;?>803m637608;f>;?>803o637638;e>;?>;03n637638;g>;?>:03m637628;f>;?>:03o637658;e>;?>=03n637658;g>;?><03m637648;f>;?><03o637678;e>;?>?03n637678;g>;?>>03m637668;f>;?>>03o637698;e>;?>103n637698;g>;?>003m637688;f>;?>003o6376`8;e>;?>h03n6376`8;g>;?>k03m6376c8;f>;?>k03o6376b8;e>;?>j03n6376b8;g>{t:k2o6=4={<:0`?7>?2739;4;179~w7d?m3:1>v373d82=2=:0<=18<84}r0ah518589=322=;=7p}=b8294?4|51>;6<78;<:6=?26>2wx>o7>:1818>393;2;6375`8753=z{;h2>7>52z?;07<61>1648654048yv4e1:0;6?u285195<1<51?h69?9;|q1f<2=838p15:;:0;4?8>2l3>::6s|2c;6>5<5s42?97?67:?;1g<39?1v?l66;296~;?<11=90=0158?:535?xu5j021<74?0342>i7:>6:p6g?>2909w06;9;3:3>;?>;0?=;5rs3`:e?6=:r738l4>969><35=<8<0q~7}:0=h1=494=942>1713ty9n4m50;0x9=2d283<70695;622>{t:k3o6=4={<:7`?7>?273:;4;179~w7d>m3:1>v374d82=2=:0?>18<84}r0a=c<72;q649h518589=0?2=;=7p}=b`294?4|51?;6<78;<:5=?26>2wx>oo>:1818>293;2;637668753=z{;hj>7>52z?;17<61>164;l54048yv4ei:0;6?u284195<1<511i3>::6s|2cc6>5<61r73984>809><00=91;015;8:0:2?8>203;3=6375882<4=:04>6342>o7?71:?;1a<6081648k519389=3a282:70690;3;5>;?>80:4<5287095=7<51<86<6>;<:50?7?9273:84>809><30=91;01588:0:2?8>103;3=6376882<4=:0?k1=5?4=94a>4>6342=o7?71:?;2a<4>k1vqo69e;2970<4:3>nwE:<1:&76`<48jl0V<=i:2y23?462:91qdkk:18'045=mj1e8<<50:9j5f2=83.?=>4>c29m044=821b=n<50;&756<6k:1e8<<51:9j5f7=83.?=>4>c29m044=:21b=n>50;&756<6k:1e8<<53:9j5gc=83.?=>4>c29m044=<21b=oj50;&756<6k:1e8<<55:9j5ge=83.?=>4>c29m044=>21b=ol50;&756<6k:1e8<<57:9j5gg=83.?=>4>c29m044=021b=o750;&756<6k:1e8<<59:9j5g>=83.?=>4>c29m044=i21b=o950;&756<6k:1e8<<5b:9j5g0=83.?=>4>c29m044=k21b=o;50;&756<6k:1e8<<5d:9j5fe=83.?=>4>c29m044=m21b=nl50;&756<6k:1e8<<5f:9j5fg=83.?=>4>c29m044=9910ec683>!26;3;h?6`;13827>=n9j<1<7*;1282g6=i<881=954i0a6>5<#<891=n=4n531>43<3`;ij7>5$530>4e43g>:>7?9;:k2f1<72->:?7?l3:l757<6?21b8>750;9j5=7=831b8?;50;9j5<4=831d=ij50;&756<6lj1e8<<50:9l5ad=83.?=>4>db9m044=921d=io50;&756<6lj1e8<<52:9l5a?=83.?=>4>db9m044=;21d=i950;&756<6lj1e8<<54:9l5a0=83.?=>4>db9m044==21d=i;50;&756<6lj1e8<<56:9l5a2=83.?=>4>db9m044=?21d=i=50;&756<6lj1e8<<58:9l5a4=83.?=>4>db9m044=121d=i?50;&756<6lj1e8<<5a:9l5a6=83.?=>4>db9m044=j21d=nh50;&756<6lj1e8<<5c:9l5fc=83.?=>4>db9m044=l21d=h;50;&756<6lj1e8<<5e:9l5`2=83.?=>4>db9m044=n21d=h=50;&756<6lj1e8<<51198k4c5290/8<=51ea8j17528;07b?j1;29 17428nh7c:>2;31?>i6m90;6):>3;3gg>h39;0:?65`1ed94?"39:0:hn5a400951=7:9a<3`=83;1<7>t$50f>7?f3A>8h6F;309l65<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f=16290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn59=:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f=14290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn59;:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f=12290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn599:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f=10290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn597:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f=1>290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn59n:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f=1e290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn59l:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f=1c290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn59j:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f=1a290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn56?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f=>6290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn56=:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f=>4290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn56;:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f=>2290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn569:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f=>0290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vn567:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f=>f29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb9:a>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn56l:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f=>b29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb9:e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn57?:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f=?529026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb9;0>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn57;:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f=?129026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb9;4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn577:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f=?f29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb9;a>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn57l:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f=?b29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb9;e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn5o?:180>5<7s->9i7:948m7?d2900e?7k:188k60e2900q~4?|Vln01567:9;89=>>2130156n:9;89=>e2130156l:9;89=>c2130156j:9;89=>a2130157?:9;89=?62130157=:9;89=?42130157;:9;89=?221301579:9;89=?021301577:9;89=?>2130157n:9;89=?e2130157l:9;89=?c2130157j:9;89=?a2130q~7}Y9j>0157k:0;4?xu5jh21<7>n3;2;6s|2cc:>5<5sW;h=6379d82=2=z{;hjm7>52z\2g5=:00k1=494}r0aeg<72;qU=ok4=9;`>4?03ty9nlm50;0xZ4dc3422n7?67:p6ggc2909wS?mc:?;=2<61>1v?lne;296~X6jk1644751858yv4eio0;6?uQ1cc89=??283<7p}=bc294?4|V8h270664;3:3>{t:kh:6=4={_3a<>;?1?0:5:5rs3`a6?6=:rT:n:5288795<14?:3y]5g0<513:6<78;|q1fg2=838pR?2wx>ol::181[7dk2735?4>969~w7de>3:1>vP>cc9><=c=90=0q~7}Y9jk0157?:0;4?xu5jk21<7?n3;2;6s|2c`:>5<5sW;h46378c82=2=z{;him7>52z\2g2=:01n1=494}r0afg<72;qU=n84=9:`>4?03ty9nom50;0xZ4e2342347?67:p6gdc2909wS?mf:?;1v?lme;296~X6j=1645751858yv4ejo0;6?uQ42;89=g72;3o7p}=bb294?7fsW;3=6377182<4=:0>;1=5?4=951>4>6342;??10:4<5286;95=7<51=j6<6>;<:4f?7?9273;n4>809><2b=91;0159j:0:2?8>0n3;3=6378182<4=:01;1=5?4=9:1>4>63423?7?71:?;<1<6081645;519389=>1282:70677;3;5>;?i9095n5rs3``5?6=;8qU=4<4=953>426342<=7?;1:?;37<6<8164:=515389=1328>:70685;375>;???0:8<528659517<51=36<:>;<:4=?739273;l4>409><2d=9=;0159l:062?8>0l3;?=6377d8204=:0>l1=9?4=9:3>4263423=7?;1:?;<7<6<81645=515389=>328>:70675;375>;?0?0:8<528959517<51236<7=;<:;=?7>:2734l4>939><=d=9080156l:0;1?8>?l3;2>6378d82=7=:01l1=4<4=9;3>4?53422=7?62:?;=7<61;1644=518089=?3283970665;3:6>;?1?0:5?5288595<4<51336<7=;<::=?7>:2735l4>939><>l3;2>6379d82=7=:00l1=4<4}r0ag7<72;qU=ij4=9:4>1713ty9nn=50;0xZ4be3423:7:>6:p6ge32909wS?ka:?;<0<39?1v?ll5;296~X6l01645:54048yv4ek?0;6?uQ1e589=>42=;=7p}=bb594?4|V8n=70672;622>{t:ki36=4={_3g1>;?080?=;5rs3``=?6=:rT:h95289290402wx>oml:181[7c9273;i4;179~w7ddl3:1>vP>d19><2e=<8<0q~7}Y9jl0159m:535?xu5jjl1<70i3>::6s|2cf3>5<5sW;n9637788753=z{;ho=7>52z\2a1=:0>218<84}r0a`7<72;qU=h=4=954>1713ty9ni=50;0xZ4c5342<:7:>6:p6gb32909wS?j1:?;30<39?1v?lk5;296~X6m9164::54048yv4el?0;6?uQ1ed89=142=;=7p}=be594?4|V8nn70682;622>{t:kn36=4={_3g<>;??80?=;5rs3`g=?6=:rT:oi528629040?032i70678;:`?8>?132j70679;:a?8>?132h7067a;:b?8>?i32i7067a;:`?8>?j32j7067b;:a?8>?j32h7067c;:b?8>?k32i7067c;:`?8>?l32j7067d;:a?8>?l32h7067e;:b?8>?m32i7067e;:`?8>?n32j7067f;:a?8>?n32h70660;:b?8>>832i70660;:`?8>>932j70661;:a?8>>932h70662;:b?8>>:32i70662;:`?8>>;32j70663;:a?8>>;32h70664;:b?8>><32i70664;:`?8>>=32j70665;:a?8>>=32h70666;:b?8>>>32i70666;:`?8>>?32j70667;:a?8>>?32h70668;:b?8>>032i70668;:`?8>>132j70669;:a?8>>132h7066a;:b?8>>i32i7066a;:`?8>>j32j7066b;:a?8>>j32h7066c;:b?8>>k32i7066c;:`?8>>l32j7066d;:a?8>>l32h7066e;:b?8>>m32i7066e;:`?8>>n32j7066f;:a?8>>n32h7p}=be`94?4|51=;6<78;<:;=?26>2wx>ojl:1818>093;2;6378`8753=z{;hoh7>52z?;37<61>1645654048yv4ell0;6?u286195<1<512h69?9;|q1fa`=838p159;:0;4?8>?l3>::6s|2cg3>5<5s42<97?67:?;<21=90=0157?:535?xu5jl91<74?03423i7:>6:p6gc32909w0689;3:3>;?1;0?=;5rs3`f1?6=:r73;l4>969><<5=<8<0q~7}:0>h1=494=9;2>1713ty9nh950;0x9=1d283<70665;622>{t:ko36=4={<:4`?7>?2735;4;179~w7db13:1>v377d82=2=:00>18<84}r0aad<72;q64:h518589=??2=;=7p}=bd`94?4|512;6<78;<::=?26>2wx>okl:1818>?93;2;637968753=z{;hnh7>52z?;<7<61>1644l54048yv4eml0;6?u289195<1<513h69?9;|q1f``=838p156;:0;4?8>>i3>::6s|2cd3>5<5s42397?67:?;=`<39?1v?li1;296~;?0?0:5:5288d9040<=1=90=0157k:535?xu5jo91<7?6{<:;809><=g=91;0156m:0:2?8>?k3;3=6378e82<4=:01o1=5?4=9:e>4>63422<7?71:?;=4<6081644<519389=?4282:70664;3;5>;?1<0:4<5288495=7<513<6<6>;<::809><>k3;3=6379e82<4=:00o1=5?4=9;e>4>6342j<7=9b:~f=g6290897==:5gxL1563->9i7=?cg9Y56`=;r;<6??5328~m`b=83.?=>4jc:l757<732c:o94?:%627?7d;2d?=?4?;:k2g7<72->:?7?l3:l757<632c:o<4?:%627?7d;2d?=?4=;:k2g5<72->:?7?l3:l757<432c:nh4?:%627?7d;2d?=?4;;:k2fa<72->:?7?l3:l757<232c:nn4?:%627?7d;2d?=?49;:k2fg<72->:?7?l3:l757<032c:nl4?:%627?7d;2d?=?47;:k2f<<72->:?7?l3:l757<>32c:n54?:%627?7d;2d?=?4n;:k2f2<72->:?7?l3:l757:?7?l3:l757:?7?l3:l7570:9j5f?=83.?=>4>c29m044=9810ec783>!26;3;h?6`;13820>=n9j?1<7*;1282g6=i<881=854i0`e>5<#<891=n=4n531>40<3`;i87>5$530>4e43g>:>7?8;:k77<<722c:4<4?::k760<722c:5?4?::m2`a<72->:?7?kc:l757<732e:ho4?:%627?7ck2d?=?4>;:m2`d<72->:?7?kc:l757<532e:h44?:%627?7ck2d?=?4<;:m2`2<72->:?7?kc:l757<332e:h;4?:%627?7ck2d?=?4:;:m2`0<72->:?7?kc:l757<132e:h94?:%627?7ck2d?=?48;:m2`6<72->:?7?kc:l757:?7?kc:l757:?7?kc:l757:?7?kc:l757:?7?kc:l757<6821d=h<50;&756<6lj1e8<<51098k4c6290/8<=51ea8j17528807b?j0;29 17428nh7c:>2;30?>i6lo0;6):>3;3gg>h39;0:865`1eg94?"39:0:hn5a400950=5}#<;o1>4o4H51g?M2492e9544?::a1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi4l:50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi4l850;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi4l650;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi4lo50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi4lm50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi4lk50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi4o>50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi4o<50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi4o:50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi4o850;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi4o650;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi4oo50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::at$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm8ca94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi4oj50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e0ko1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm8b294??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi4n?50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e0j81<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm8b694??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi4n;50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e0j<1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm8b:94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi4n750;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e0jk1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm8ba94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi4nj50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e0jo1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm8e294??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi4i?50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e0m81<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>7ga3A>8h6F;309'6d7=0?1b>4m50;9j65889>5889>vP>c59>7}Y9j8015j=:0;4?xu5jo=1<7c93;2;6s|2cd;>5<5sW;h<637ce82=2=z{;hm57>52z\2f`=:0jl1=494}r0abd<72;qU=oj4=9af>4?03ty9nkl50;0xZ4dd342hm7?67:p6g`d2909wS?mb:?;gf<61>1v?lid;296~X6jh164nl51858yv4enl0;6?uQ1c;89=e0283<7p}=bgd94?4|V8h3706l9;3:3>{t:j:;6=4={_3a3>;?k10:5:5rs3a35?6=:rT:n;528b695<1?2wx>n>;:181[7dj273o<4>969~w7e7=3:1>vP>c`9>7}Y9j3015m=:0;4?xu5k9=1<7em3;2;6s|2b2;>5<5sW;h;637c182=2=z{;i;57>52z\2g3=:0kl1=494}r0`4d<72;qU=n;4=9`a>4?03ty9o=l50;0xZ4da342ih7?67:p6f6d2909wS?m4:?;ff<61>1v?m?d;296~X3;0164i=528f8yv4d8l0;6f;3;3=637a582<4=:0h?1=5?4=9c5>4>6342j;7?71:?;e=<608164l7519389=gf282:706nb;3;5>;?ij0:4<528`f95=7<51kn6<6>;<:bb?7?9273n=4>809>e;3;3=637b582<4=:0k?1=5?4=9`5>4>6342i;7?71:?;f=<608164o7519389=df282:706k3;0:g>{t:j:m6=4<1z\2=7=:0h91=9?4=9c7>426342j97?;1:?;e3<6<8164l9515389=g?28>:706n9;375>;?ih0:8<528``9517<51kh6<:>;<:b`?739273mh4>409>e93;?=637b38204=:0k91=9?4=9`7>426342i97?;1:?;f3<6<8164o9515389=d?28>:706m9;375>;?jh0:8<528c`95<4<51hh6<7=;<:a`?7>:273nh4>939>d93;2>637c382=7=:0j91=4<4=9a7>4?5342h97?62:?;g3<61;164n9518089=e?2839706l9;3:6>;?kh0:5?528b`95<4<51ih6<7=;<:``?7>:273oh4>939>c93;2>637d382=7=z{;i:<7>52z\2`a=:0kk18<84}r0`54<72;qU=il4=9`:>1713ty9o<<50;0xZ4bf342i47:>6:p6f742909wS?k9:?;f2<39?1v?m>4;296~X6l>164o854048yv4d9<0;6?uQ1e489=d22=;=7p}=c0494?4|V8n>706m4;622>{t:j;<6=4={_3g0>;?j:0?=;5rs3a2528c09040;<:a4?26>2wx>n?m:181[7c8273mk4;179~w7e6k3:1>vP>cg9>7}Y9jo015ok:535?xu5k8o1<7fk3>::6s|2b3e>5<5sW;n8637ac8753=z{;i9<7>52z\2a6=:0hk18<84}r0`64<72;qU=h<4=9c:>1713ty9o?<50;0xZ4c6342j47:>6:p6f442909wS?j0:?;e2<39?1v?m=4;296~X6lo164l854048yv4d:<0;6?uQ1eg89=g22=;=7p}=c3494?4|V8n3706n4;622>{t:j8<6=4={_3``>;?i:0?=;5rs3a1:9`89=e621i015m=:9c89=e521h015m=:9a89=e421k015m<:9`89=e421i015m;:9c89=e321h015m;:9a89=e221k015m::9`89=e221i015m9:9c89=e121h015m9:9a89=e021k015m8:9`89=e021i015m7:9c89=e?21h015m7:9a89=e>21k015m6:9`89=e>21i015mn:9c89=ef21h015mn:9a89=ee21k015mm:9`89=ee21i015ml:9c89=ed21h015ml:9a89=ec21k015mk:9`89=ec21i015mj:9c89=eb21h015mj:9a89=ea21k015mi:9`89=ea21i015j?:9c89=b721h015j?:9a89=b621k015j>:9`89=b621i015j=:9c89=b521h015j=:9a8yv4d:00;6?u28`195<1<51hh69?9;|q1g7g=838p15o;:0;4?8>el3>::6s|2b0a>5<5s42j97?67:?;fg<39?1v?m=c;296~;?i?0:5:528cd9040i4?:3y>4?0342ii7:>6:p6f4a2909w06n9;3:3>;?k;0?=;5rs3a04?6=:r73ml4>969>7}:0hh1=494=9a2>1713ty9o><50;0x9=gd283<706l5;622>{t:j986=4={<:b`?7>?273o;4;179~w7e4<3:1>v37ad82=2=:0j>18<84}r0`70<72;q64lh518589=e?2=;=7p}=c2494?4|51h;6<78;<:`=?26>2wx>n=8:1818>e93;2;637c68753=z{;i847>52z?;f7<61>164nl54048yv4d;00;6?u28c195<1<51ih69?9;|q1g6g=838p15l;:0;4?8>di3>::6s|2b1a>5<5s42i97?67:?;g`<39?1v?m4?0342o=7:>6:p6f5a2909w06m9;3:3>;?l;0?=;5rs3a74?6=:r73nl4>969>4?|51hi6<6>;<:ag?7?9273ni4>809>d83;3=637c082<4=:0j81=5?4=9a0>4>6342h87?71:?;g0<608164n8519389=e0282:706l8;3;5>;?k00:4<528bc95=7<51ii6<6>;<:`g?7?9273oi4>809>c83;3=637d082<4=:0m81=5?4=9f0>60e3twi4i:50;16>64=?4$50f>66dn2P:?k4<{05964<4;3wbii4?:%627?cd3g>:>7>4;h3`0?6=,=;867>5$530>4e43g>:>7?4;h3`5?6=,=;865$530>4e43g>:>7=4;h3aa?6=,=;865$530>4e43g>:>7;4;h3ag?6=,=;865$530>4e43g>:>794;h3ae?6=,=;86<3`;i57>5$530>4e43g>:>774;h3a5$530>4e43g>:>7l4;h3a2?6=,=;865$530>4e43g>:>7j4;h3`g?6=,=;865$530>4e43g>:>7h4;h3`e?6=,=;861:9j5f>=83.?=>4>c29m044=9;10e3:1(9?<:0a0?k26:3;?76g>c483>!26;3;h?6`;13821>=n9kl1<7*;1282g6=i<881=;54i0`7>5<#<891=n=4n531>41<3`>857>5;h3;5?6=3`>997>5;h3:6?6=3f;oh7>5$530>4bd3g>:>7>4;n3gf?6=,=;865$530>4bd3g>:>7<4;n3g=?6=,=;865$530>4bd3g>:>7:4;n3g2?6=,=;865$530>4bd3g>:>784;n3g0?6=,=;865$530>4bd3g>:>764;n3g6?6=,=;865$530>4bd3g>:>7o4;n3g4?6=,=;865$530>4bd3g>:>7m4;n3`a?6=,=;865$530>4bd3g>:>7k4;n3f0?6=,=;865$530>4bd3g>:>7??;:m2a7<72->:?7?kc:l757<6921d=h?50;&756<6lj1e8<<51398k4c7290/8<=51ea8j17528907b?kf;29 17428nh7c:>2;37?>i6ll0;6):>3;3gg>h39;0:965`1e:94?"39:0:hn5a400953=;n0:=?6=3th3h;4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`;`2<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th3h54?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`;`<<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th3hl4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`;`g<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th3hn4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`;`a<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th3hh4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`;`c<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th3i=4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`;a4<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th3i?4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`;a6<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th3i94?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`;a0<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th3i;4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`;a2<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th3i54?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`;a<<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th3il4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`;ag<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th3in4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`;aa<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3th3ih4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd?mo0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`;b5<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl7f083><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th3j?4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd?n:0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`;b1<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl7f483><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th3j;4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd?n>0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`;b=<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl7f883><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th3jl4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd?nk0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`;bf<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl7fe83><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th3jh4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd?no0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`:45<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl60083><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th25}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd>8:0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`:41<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl60483><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th2<;4?:283>5}#<;o1>lh4H51g?M2492.9m<476:k1=f<722c95i4?::m02g<722wx>n:=:182=~Xbl273ih479:?;acn:<:181[7d<272<>4>969~w7e3<3:1>vP>c39>=53=90=0q~7}Y9j;014>;:0;4?xu5k=<1<75<5sW;ii6360382=2=z{;i?47>52z\2fa=:19;1=494}r0`0<<72;qU=om4=9dg>4?03ty9o9o50;0xZ4de342mj7?67:p6f2e2909wS?ma:?;b`<61>1v?m;c;296~X6j0164ko51858yv4d{t:j>m6=4={_3a2>;?n>0:5:5rs3a64?6=:rT:n8528g;95<1?2wx>n;<:181[7di273j;4>969~w7e2<3:1>vP>c89>7}Y9j2015h>:0;4?xu5k<<1<7a;3;2;6s|2b74>5<5sW;h:637f382=2=z{;i>47>52z\2g0=:0lo1=494}r0`1<<72;qU=oh4=9d3>4?03ty9o8o50;0xZ4d3342nj7?67:p6f3e2909wS:<9:?:43<51m1v?m:c;295d}Y91;015j9:0:2?8>c?3;3=637d982<4=:0m31=5?4=9fb>4>6342on7?71:?;`f<608164ij519389=bb282:706kf;3;5>;?m90:4<528d395=7<51o96<6>;<:f7?7?9273i94>809><`3=91;015k9:0:2?8>b?3;3=637e982<4=:0l31=5?4=9gb>4>6342nn7?71:?;af<608164hj519389<612;3h7p}=c4f94?56sW;2>637d78204=:0m=1=9?4=9f;>426342o57?;1:?;`d<6<8164il515389=bd28>:706kd;375>;?ll0:8<528ed9517<51o;6<:>;<:f5?739273i?4>409><`5=9=;015k;:062?8>b=3;?=637e78204=:0l=1=9?4=9g;>426342n57?;1:?;ad<6<8164hl515389=cd28>:706jd;375>;?ml0:5?528dd95<4<51l;6<7=;<:e5?7>:273j?4>939>a=3;2>637f782=7=:0o=1=4<4=9d;>4?5342m57?62:?;bd<61;164kl518089=`d2839706id;3:6>;?nl0:5?528gd95<4<50:;6<7=;<;35?7>:272939>=55=908014>;:0;1?8?7=3;2>6s|2b7f>5<5sW;oh637ee8753=z{;i>j7>52z\2`g=:0li18<84}r0`25<72;qU=io4=9ga>1713ty9o;?50;0xZ4b>342nm7:>6:p6f052909wS?k7:?;a<<39?1v?m93;296~X6l?164h654048yv4d>=0;6?uQ1e789=c02=;=7p}=c7794?4|V8n?706j6;622>{t:j<=6=4={_3g7>;?m<0?=;5rs3a53?6=:rT:h?528d690402wx>n8n:181[7dn273i<4;179~w7e1j3:1>vP>cd9><`6=<8<0q~7}Y9l?015ji:535?xu5k?n1<7cm3>::6s|2b4f>5<5sW;n?637de8753=z{;i=j7>52z\2a7=:0mi18<84}r0`35<72;qU=h?4=9fa>1713ty9o:?50;0xZ4c7342om7:>6:p6f152909wS?kf:?;`<<39?1v?m83;296~X6ll164i654048yv4d?=0;6?uQ1e:89=b02=;=7p}=c6794?4|V8io706k6;622>{t:j==6=4;9z?;`0<510164hk58`9><`c=0k164hk58b9><``=0h164hh58c9><``=0j164k>58`9>58b9>=0k164k658b9>58`9>=56=0k165=>58b9>=57=0h165=?58c9>=57=0j165=<58`9>=54=0k165=<58b9>=55=0h165==58c9>=55=0j165=:58`9>=52=0k165=:58b9>=53=0h165=;58c9>=53=0j1v?m87;296~;?l?0:5:528dd904031<74?0342ni7:>6:p6f1f2909w06k9;3:3>;?n;0?=;5rs3a4f?6=:r73hl4>969>7}:0mh1=494=9d2>1713ty9o:j50;0x9=bd283<706i5;622>{t:j=n6=4={<:g`?7>?273j;4;179~w7e0n3:1>v37dd82=2=:0o>18<84}r0`<5<72;q64ih518589=`?2=;=7p}=c9394?4|51o;6<78;<:e=?26>2wx>n6=:1818>b93;2;637f68753=z{;i3?7>52z?;a7<61>164kl54048yv4d0=0;6?u28d195<1<51lh69?9;|q1g=3=838p15k;:0;4?8>ai3>::6s|2b:5>5<5s42n97?67:?;b`<39?1v?m77;296~;?m?0:5:528gd9040<`1=90=015hk:535?xu5k131<74?0343;=7:>6:p6f>f2909w06j9;3:3>;>8;0?=;5rs3a;f?6=:r73il4>969>=56=<8<0q~7}:0lh1=494=827>1713ty9o5j50;0x9=cd283<707?5;622>{t:j2n6=4={<:f`?7>?272<>4;179~w7e?n3:1=4u28dg95=7<51om6<6>;<:e4?7?9273j<4>809>a<3;3=637f482<4=:0o<1=5?4=9d4>4>6342m47?71:?;b<<608164ko519389=`e282:706ic;3;5>;?nm0:4<528gg95=7<51lm6<6>;<;34?7?9272<<4>809>=54=91;014><:0:2?8?7<3;3=6360482<4=:19<1?;l4}|`:42<72:?1??4;ezJ774=#<;o1?=mi;[30b?5|9>09=7=<:|kf`?6=,=;86hm4n531>5=5<#<891=n=4n531>4=5<#<891=n=4n531>6=5<#<891=n=4n531>0=5<#<891=n=4n531>2=5<#<891=n=4n531><=5<#<891=n=4n531>g=5<#<891=n=4n531>a=5<#<891=n=4n531>c=4;h3`=?6=,=;862:9j5f1=83.?=>4>c29m044=9:10e76g>bg83>!26;3;h?6`;13822>=n9k>1<7*;1282g6=i<881=:54i51:>5<5<5<#<891=im4n531>5=5<#<891=im4n531>7=54o0f4>5<#<891=im4n531>1=5<#<891=im4n531>3=5<#<891=im4n531>==5<#<891=im4n531>d=5<#<891=im4n531>f=5<#<891=im4n531>`=5<#<891=im4n531>46<3f;n>7>5$530>4bd3g>:>7?>;:m2a4<72->:?7?kc:l757<6:21d=h>50;&756<6lj1e8<<51298k4ba290/8<=51ea8j17528>07b?ke;29 17428nh7c:>2;36?>i6l10;6):>3;3gg>h39;0::65`1bf94?"39:0:hn5a400952=:183!25m382m6F;3e9K067;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo7=2;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg?5<3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo7=6;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg?503:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo7=a;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg?5k3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo7=e;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg?483:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo7<2;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg?4<3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo7<6;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg?403:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?>13`82o7>5;h0:`?6=3f9=n7>5;|q1g<6=83;2wSkk;<;15?>>3439>766;<;17?>>34398766;<;11?>>3439:766;<;13?>>34394766;<;1=?>>3439m766;<;1f?>>3439o766;<;1`?>>3439i766;<;1b?>>3438<766;<;05?>>3438>766;<;07?>>34388766;<;01?>>3438:766;<;03?>>34384766;|q1g<7=838pR?2wx>n7=:181[7d:272?54>969~w7e>;3:1>vP>c09>=61=90=0q~7}Y9j:014=<:0;4?xu5k0?1<75<5sW;ih6363582=2=z{;i2;7>52z\2ff=:1::1=494}r0`==<72;qU=ol4=811>4?03ty9o4750;0xZ4df3438=7?67:p6f?f2909wS?m9:?:6a<61>1v?m6b;296~X6j1165?h51858yv4d1j0;6?uQ1c589<4b283<7p}=c8f94?4|V8h=707=a;3:3>{t:j3n6=4={_3a1>;>:j0:5:5rs3a:b?6=:rT:on5293`95<1?2wx>no=:181[7d1272>54>969~w7ef;3:1>vP>c99>=72=90=0q~7}Y9j=014<9:0;4?xu5kh?1<75<5sW;h96362082=2=z{;ij;7>52z\2fc=:1;91=494}r0`e=<72;qU=o:4=801>4?03ty9ol750;0xZ15>343857<6d:p6fgf290:mvP>809>=5?=91;014>n:0:2?8?7j3;3=6360b82<4=:19n1=5?4=82f>4>6343;j7?71:?:55<6081653;3;5>;>9=0:4<5290795=7<50;=6<6>;<;23?7?9272=54>809>=4?=91;014?n:0:2?8?6j3;3=6361b82<4=:18n1=5?4=83f>4>6343:j7?71:?:65<608165>7528a8yv4dik0;6>?t^0;1?8?713;?=6360`8204=:19h1=9?4=82`>426343;h7?;1:?:4`<6<8165=h515389<7728>:707>1;375>;>9;0:8<529019517<50;?6<:>;<;21?739272=;4>409>=41=9=;014?7:062?8?613;?=6361`8204=:18h1=9?4=83`>426343:h7?;1:?:5`<6<8165:707=1;3:6>;>:;0:5?5293195<4<508?6<7=;<;11?7>:272>;4>939>=71=908014<7:0;1?8?513;2>6362`82=7=:1;h1=4<4=80`>4?53439h7?62:?:6`<61;165?h518089<572839707<1;3:6>;>;;0:5?5292195<4<509?6<7=;<;01?7>:272?;4>939>=61=908014=7:0;1?xu5khi1<7::6s|2bcg>5<5sW;on6361g8753=z{;iji7>52z\2`d=:18o18<84}r0`ec<72;qU=i74=83g>1713ty9oo>50;0xZ4b0343:o7:>6:p6fd62909wS?k6:?:5g<39?1v?mm2;296~X6l<1652=;=7p}=cc694?4|V8n8707>8;622>{t:jh>6=4={_3g6>;>9>0?=;5rs3aa2?6=:rT:h<52904904069?9;|q1gg>=838pR2wx>nl6:181[7dm272=>4;179~w7eei3:1>vP>e49>=44=<8<0q~7}Y9l>014?>:535?xu5kki1<7::6s|2b`g>5<5sW;n>6360g8753=z{;iii7>52z\2a4=:19o18<84}r0`fc<72;qU=h>4=82g>1713ty9on>50;0xZ4ba343;o7:>6:p6fe62909wS?ke:?:4g<39?1v?ml2;296~X6l1165=o54048yv4dk:0;6?uQ1bf89<6>2=;=7p}=cb694?2>s43;47<69:?:64<47b:?:64?47a:?:67?47c:?:66>47b:?:66947a:?:61947c:?:60847b:?:60;47a:?:63;47c:?:62:47b:?:62547a:?:6=547c:?:6<447b:?:6<l47a:?:6dl47c:?:6go47b:?:6gn47a:?:6fn47c:?:6ai47b:?:6ah47a:?:6`h47c:?:6ck47b:?:6c47b:?:76;>:;0?=;5rs3a`2?6=:r72969>=75=<8<0q~7}:19h1=494=802>1713ty9on650;0x9<6d283<707=5;622>{t:ji26=4={<;3`?7>?272>;4;179~w7edi3:1>v360d82=2=:1;>18<84}r0`gg<72;q65=h518589<4?2=;=7p}=cba94?4|50;;6<78;<;1=?26>2wx>nmk:1818?693;2;636268753=z{;ihi7>52z?:57<61>165?l54048yv4dko0;6?u290195<1<508h69?9;|q1ga6=838p14?;:0;4?8?5i3>::6s|2bf2>5<5s43:97?67:?:6`<39?1v?mk2;296~;>9?0:5:5293d90404?:3y>=41=90=0141<74?03438=7:>6:p6fb22909w07>9;3:3>;>;;0?=;5rs3ag2?6=:r72=l4>969>=66=<8<0q~7}:18h1=494=817>1713ty9oi650;0x9<7d283<707<5;622>{t:jn26=4={<;2`?7>?272?>4;179~w7eci3:1>v361d82=2=:1:=18<84}r0``g<72;q652wx>njk:182=~;>:80:4<5293095=7<50886<6>;<;10?7?9272>84>809>=70=91;014<8:0:2?8?503;3=6362882<4=:1;k1=5?4=80a>4>63439o7?71:?:6a<608165?k519389<4a282:707<0;3;5>;>;80:4<5292095=7<50986<6>;<;00?7?9272?84>809>=60=91;014=8:0:2?8?403;3=63638802g=zuk38m7>534806?2bsA>8=6*;2d804f`u>7;02>65=u`oo6=4+4019af=i<881<65f1b694?"39:0:o>5a40094>=n9j81<7*;1282g6=i<881=65f1b394?"39:0:o>5a40096>=n9j:1<7*;1282g6=i<881?65f1cg94?"39:0:o>5a40090>=n9kn1<7*;1282g6=i<881965f1ca94?"39:0:o>5a40092>=n9kh1<7*;1282g6=i<881;65f1cc94?"39:0:o>5a4009<>=n9k31<7*;1282g6=i<881565f1c:94?"39:0:o>5a4009e>=n9k=1<7*;1282g6=i<881n65f1c494?"39:0:o>5a4009g>=n9k?1<7*;1282g6=i<881h65f1ba94?"39:0:o>5a4009a>=n9jh1<7*;1282g6=i<881j65f1bc94?"39:0:o>5a400955=3:9j5f0=83.?=>4>c29m044=9=10eb583>!26;3;h?6`;13823>=n<:31<75f19394?=n<;?1<75f18094?=h9mn1<7*;1282`f=i<881<65`1e`94?"39:0:hn5a40095>=h9mk1<7*;1282`f=i<881>65`1e;94?"39:0:hn5a40097>=h9m=1<7*;1282`f=i<881865`1e494?"39:0:hn5a40091>=h9m?1<7*;1282`f=i<881:65`1e694?"39:0:hn5a40093>=h9m91<7*;1282`f=i<881465`1e094?"39:0:hn5a4009=>=h9m;1<7*;1282`f=i<881m65`1e294?"39:0:hn5a4009f>=h9jl1<7*;1282`f=i<881o65`1bg94?"39:0:hn5a4009`>=h9l?1<7*;1282`f=i<881i65`1d694?"39:0:hn5a4009b>=h9l91<7*;1282`f=i<881==54o0g1>5<#<891=im4n531>47<3f;n=7>5$530>4bd3g>:>7?=;:m2a5<72->:?7?kc:l757<6;21d=ih50;&756<6lj1e8<<51598k4bb290/8<=51ea8j17528?07b?k8;29 17428nh7c:>2;35?>i6km0;6):>3;3gg>h39;0:;65m92`94?7=83:p(96F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb81g>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj09n6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb81e>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj0>;6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb862>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj0>96=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb860>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj0>?6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb866>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj0>=6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb864>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj0>36=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb86:>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj0>j6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb86a>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj0>h6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb86g>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj0>n6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb86e>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj0?;6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb872>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj0?96=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rb870>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zj0??6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f<3229026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb875>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn4;8:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f<3>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb87b>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn4;m:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f<3c29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb87f>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn4;i:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f<0629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb841>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn48<:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f<0229026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb845>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn488:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f<0>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb84b>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn48m:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<5<5<=?<50?=6574=874>=?<50?36574=87:>=?<50?j6574=87a>=?<50?h6574=87g>=?<50?n6574=87e>=?<50<;6574=842>=?<50<96574=840>=?<50=?<50<=6574=844>=?<50<36574=84:>=?<50=??2wx>nk>:181[7d9272:l4>969~w7eb:3:1>vP>c19>=30=90=0q~7}Y9ko01487:0;4?xu5kl>1<75<5sW;io6366282=2=z{;in:7>52z\2fg=:1??1=494}r0`a2<72;qU=oo4=847>4?03ty9oh650;0xZ4d>343=<7?67:p6fc>2909wS?m8:?:27<61>1v?mja;296~X6j>165;?51858yv4dmk0;6?uQ1c489<3c283<7p}=cda94?4|V8h>707:f;3:3>{t:joo6=4={_3`g>;>=l0:5:5rs3afa?6=:rT:oo5294c95<1?2wx>nh>:181[7d02729:4>969~w7ea:3:1>vP>c69>=0?=90=0q~7}Y9j<014;7:0;4?xu5ko>1<75<5sW;ij6365782=2=z{;im:7>52z\2f1=:174=84`>7?c3ty9ok650;3b[7?9272?n4>809>=6b=91;014=j:0:2?8?4n3;3=6364182<4=:1=;1=5?4=861>4>6343??7?71:?:01<6081659;519389<21282:707;7;3;5>;><10:4<5295;95=7<50>j6<6>;<;7f?7?92728n4>809>=1b=91;014:j:0:2?8?3n3;3=6365182<4=:1<;1=5?4=871>4>6343>?7?71:?:2f<51j1v?mi9;2974}Y908014=l:062?8?4l3;?=6363d8204=:1:l1=9?4=863>426343?=7?;1:?:07<6<81659=515389<2328>:707;5;375>;>36<:>;<;7=?7392728l4>409>=1d=9=;014:l:062?8?3l3;?=6364d8204=:1=l1=9?4=873>426343>=7?;1:?:17<6<81658=515389<332839707:5;3:6>;>=?0:5?5294595<4<50?36<7=;<;6=?7>:2729l4>939>=0d=908014;l:0;1?8?2l3;2>6365d82=7=:14?5343==7?62:?:27<61;165;=518089<03283970795;3:6>;>>?0:5?5297595<4<50<36<7=;<;5=?7>:272:l4>939>=3d=9080q~7}Y9mn014;<:535?xu5koh1<7::6s|2bd`>5<5sW;om636508753=z{;imh7>52z\2`<=:1<:18<84}r0`b`<72;qU=i94=86e>1713ty9okh50;0xZ4b1343?i7:>6:p6a672909wS?k5:?:0a<39?1v?j?1;296~X6l=1659m54048yv4c8;0;6?uQ1e189<2e2=;=7p}=d1194?4|V8n9707;a;622>{t:m:?6=4={_3g5>;><00?=;5rs3f31?6=:rT:h=5295:9040<69?9;|q1`51=838pR2wx>i>7:181[7b=272884;179~w7b713:1>vP>e59>=12=<8<0q~7}Y9l9014:<:535?xu5l9h1<7::6s|2e2`>5<5sW;n=636408753=z{;n;h7>52z\2a5=:1=:18<84}r0g4`<72;qU=ih4=81e>1713ty9h=h50;0xZ4bb3438i7:>6:p6a772909wS?k8:?:7a<39?1v?j>1;296~X6km165>m54048yv4c9;0;697t=81a>7?>343>876n;<;60?>e343>876l;<;61?>f343>976m;<;61?>d343>:76n;<;62?>e343>:76l;<;63?>f343>;76m;<;63?>d343>476n;<;6e343>476l;<;6=?>f343>576m;<;6=?>d343>m76n;<;6e?>e343>m76l;<;6f?>f343>n76m;<;6f?>d343>o76n;<;6g?>e343>o76l;<;6`?>f343>h76m;<;6`?>d343>i76n;<;6a?>e343>i76l;<;6b?>f343>j76m;<;6b?>d343=<76n;<;54?>e343=<76l;<;55?>f343==76m;<;55?>d343=>76n;<;56?>e343=>76l;<;57?>f343=?76m;<;57?>d343=876n;<;50?>e343=876l;<;51?>f343=976m;<;51?>d343=:76n;<;52?>e343=:76l;<;53?>f343=;76m;<;53?>d343=476n;<;5e343=476l;<;5=?>f343=576m;<;5=?>d343=m76n;<;5e?>e343=m76l;<;5f?>f343=n76m;<;5f?>d3ty9h<=50;0x9<5d283<707:5;622>{t:m;?6=4={<;0`?7>?2729;4;179~w7b6=3:1>v363d82=2=:1<>18<84}r0g53<72;q65>h518589<3?2=;=7p}=d0594?4|50>;6<78;<;6=?26>2wx>i?7:1818?393;2;636568753=z{;n:57>52z?:07<61>1658l54048yv4c9h0;6?u295195<1<50?h69?9;|q1`4d=838p14:;:0;4?8?2i3>::6s|2e3`>5<5s43?97?67:?:1`<39?1v?j>d;296~;>=11=90=014;k:535?xu5l8l1<74?0343==7:>6:p6a472909w07;9;3:3>;>>;0?=;5rs3f15?6=:r728l4>969>=36=<8<0q~7}:1=h1=494=847>1713ty9h?=50;0x9<2d283<70795;622>{t:m8?6=4={<;7`?7>?272:>4;179~w7b5=3:1>v364d82=2=:1?=18<84}r0g63<72;q659h518589<0?2=;=7p}=d3594?4|50?;6<78;<;52?26>2wx>i<7:1818?293;2;6366`8753=z{;n957>52z?:17<61>165;l54048yv4c:h0;6?u294195<1<50<269?9;|q1`7d=83;2w07:4;3;5>;>=<0:4<5294495=7<50?<6<6>;<;6809>=0g=91;014;m:0:2?8?2k3;3=6365e82<4=:14>6343=<7?71:?:24<608165;<519389<04282:70794;3;5>;>><0:4<5297495=7<50<<6<6>;<;5809>=3g=91;0148m:0:2?8?1k39=n6srb84g>5<4=39969ktH512?!25m39;ok5U12d97~702;;1?>4ridf94?"39:0no6`;1383?>o6k=0;6):>3;3`7>h39;0;76g>c383>!26;3;h?6`;1382?>o6k80;6):>3;3`7>h39;0976g>c183>!26;3;h?6`;1380?>o6jl0;6):>3;3`7>h39;0?76g>be83>!26;3;h?6`;1386?>o6jj0;6):>3;3`7>h39;0=76g>bc83>!26;3;h?6`;1384?>o6jh0;6):>3;3`7>h39;0376g>b883>!26;3;h?6`;138:?>o6j10;6):>3;3`7>h39;0j76g>b683>!26;3;h?6`;138a?>o6j?0;6):>3;3`7>h39;0h76g>b483>!26;3;h?6`;138g?>o6kj0;6):>3;3`7>h39;0n76g>cc83>!26;3;h?6`;138e?>o6kh0;6):>3;3`7>h39;0:<65f1b;94?"39:0:o>5a400954=4:9j5f3=83.?=>4>c29m044=9<10e>o6080;66g;2483>>o61;0;66a>de83>!26;3;oo6`;1383?>i6lk0;6):>3;3gg>h39;0:76a>d`83>!26;3;oo6`;1381?>i6l00;6):>3;3gg>h39;0876a>d683>!26;3;oo6`;1387?>i6l?0;6):>3;3gg>h39;0>76a>d483>!26;3;oo6`;1385?>i6l=0;6):>3;3gg>h39;0<76a>d283>!26;3;oo6`;138;?>i6l;0;6):>3;3gg>h39;0276a>d083>!26;3;oo6`;138b?>i6l90;6):>3;3gg>h39;0i76a>cg83>!26;3;oo6`;138`?>i6kl0;6):>3;3gg>h39;0o76a>e483>!26;3;oo6`;138f?>i6m=0;6):>3;3gg>h39;0m76a>e283>!26;3;oo6`;13824>=h9l81<7*;1282`f=i<881=<54o0g2>5<#<891=im4n531>44<3f;n<7>5$530>4bd3g>:>7?<;:m2`c<72->:?7?kc:l757<6<21d=ik50;&756<6lj1e8<<51498k4b?290/8<=51ea8j17528<07b?ld;29 17428nh7c:>2;34?>d>>l0;6<4?:1y'07c=:0k0D9=k;I605>i5100;66sm97d94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e1>:1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm96394?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e1>81<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm96194?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e1>>1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm96794?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e1><1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm96594?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e1>21<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm96;94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e1>k1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm96`94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e1>i1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm96f94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e1>o1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm96d94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e11:1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm99394?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e1181<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm99194?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e11>1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm99794?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e11<1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm99594??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi55650;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e1131<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm99`94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi55m50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e11n1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm99d94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi54>50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e10;1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm98194??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi54:50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e10?1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm98594??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi54650;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e1031<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm98`94??=83:p(9o?13:17d6n:188m=d=831b4n4?::k2<4<722c:5?4?::k2=2<722e?=;4?::m752<722wi54m50;;94?6|,=8n69N3;81/>l?53:k;=?6=3`2j6=44i9`94?=n0j0;66g>8083>>o61;0;66g>9683>>i39?0;66a;1683>>{e10n1<7750;2x 14b2=8h7E:f2900e5l50;9jt$50f>14d3A>8h6F;309'6d7=;2c357>5;h:b>5<>o6080;66g>9383>>o61>0;66a;1783>>i39>0;66sm98d94?5=83:p(9144529879<<=:10<144529859<<=:1021445298;9<<=:10k1445298`9<<=:10i1445298f9<<=:10o1445rs3f1`?6=:rT:o95298a95<1h4?:3y]5f4<503n6<78;|q1`7`=838pR;<;:`?7>?2wx>i=?:181[7d8272544>969~w7b493:1>vP>bd9>=7}Y9kn0147n:0;4?xu5l:91<7>3;2;6s|2e17>5<5sW;in6369982=2=z{;n897>52z\2fd=:10=1=494}r0g73<72;qU=o74=8;0>4?03ty9h>950;0xZ4d?343297?67:p6a5?2909wS?m7:?:=1<61>1v?j<9;296~X6j?1654>51858yv4c;h0;6?uQ1c789{t:m9h6=4={_3`f>;>0m0:5:5rs3f0`?6=:rT:ol5299d95<1?2wx>i:?:181[7d?2724n4>969~w7b393:1>vP>c79>==d=90=0q~7}Y9j?01468:0;4?xu5l=91<75<5sW;i86368982=2=z{;n?97>52z\77<=:10l1>4j4}r0g03<728kpR<6>;<;5b?7?9272;=4>809>=27=91;0149=:0:2?8?0;3;3=6367582<4=:1>?1=5?4=855>4>6343<;7?71:?:3=<608165:7519389<1f282:7078b;3;5>;>?j0:4<5296f95=7<50=n6<6>;<;4b?7?92724=4>809>==7=91;0146=:0:2?8??;3;3=6368582<4=:11?1=5?4=8:5>4>63432j7<6c:p6a202908=vP>939>=3`=9=;0149?:062?8?093;?=636738204=:1>91=9?4=857>426343<97?;1:?:33<6<8165:9515389<1?28>:70789;375>;>?h0:8<5296`9517<50=h6<:>;<;4`?739272;h4>409>=2`=9=;0146?:062?8??93;?=636838204=:1191=9?4=8:7>426343397?;1:?:<3<6<816559518089<>?283970779;3:6>;>0h0:5?5299`95<4<502h6<7=;<;;`?7>:2724h4>939>==`=9080147?:0;1?8?>93;2>6369382=7=:1091=4<4=8;7>4?5343297?62:?:=3<61;16549518089;>1h0:5?5298`95<4<503h6<7=;<;:`?7>:2725h4>939~w7b303:1>vP>de9>==0=<8<0q~7}Y9mh0146::535?xu5l=k1<7::6s|2e6a>5<5sW;o5636828753=z{;n?o7>52z\2`2=:11818<84}r0g0a<72;qU=i84=8:2>1713ty9h9k50;0xZ4b23433<7:>6:p6a2a2909wS?k4:?:3c<39?1v?j:0;296~X6l:165:k54048yv4c=80;6?uQ1e089<1c2=;=7p}=d4094?4|V8n:7078c;622>{t:m?86=4={_3g4>;>?k0?=;5rs3f60?6=:rT:ok5296c90402wx>i;8:181[7b<272;:4;179~w7b203:1>vP>e29>=20=<8<0q~7}Y9l80149::535?xu5l::6s|2e7a>5<5sW;n<636728753=z{;n>o7>52z\2`c=:1>818<84}r0g1a<72;qU=ik4=852>1713ty9h8k50;0xZ4b?343<<7:>6:p6a3a2909wS?ld:?:2c<39?1v?j90;290<}:1?o1>474=8:4>=g<502<65l4=8:4>=e<502365o4=8:;>=d<502365m4=8::>=g<502265l4=8::>=e<502j65o4=8:b>=d<502j65m4=8:a>=g<502i65l4=8:a>=e<502h65o4=8:`>=d<502h65m4=8:g>=g<502o65l4=8:g>=e<502n65o4=8:f>=d<502n65m4=8:e>=g<502m65l4=8:e>=e<503;65o4=8;3>=d<503;65m4=8;2>=g<503:65l4=8;2>=e<503965o4=8;1>=d<503965m4=8;0>=g<503865l4=8;0>=e<503?65o4=8;7>=d<503?65m4=8;6>=g<503>65l4=8;6>=e<503=65o4=8;5>=d<503=65m4=8;4>=g<503<65l4=8;4>=e<503365o4=8;;>=d<503365m4=8;:>=g<503265l4=8;:>=e<503j65o4=8;b>=d<503j65m4=8;a>=g<503i65l4=8;a>=e<503h65o4=8;`>=d<503h65m4=8;g>=g<503o65l4=8;g>=e<503n65o4=8;f>=d<503n65m4}r0g24<72;q65;h518589<>?2=;=7p}=d7094?4|50=;6<78;<;;=?26>2wx>i8<:1818?093;2;636868753=z{;n=87>52z?:37<61>1655l54048yv4c><0;6?u296195<1<502h69?9;|q1`30=838p149;:0;4?8??i3>::6s|2e44>5<5s43<97?67:?:<`<39?1v?j98;296~;>??0:5:5299d9040=21=90=0146k:535?xu5l?k1<74?03432=7:>6:p6a0e2909w0789;3:3>;>1;0?=;5rs3f5g?6=:r72;l4>969>=<6=<8<0q~7}:1>h1=494=8;7>1713ty9h;k50;0x9<1d283<70765;622>{t:m?2725>4;179~w7b083:1>v367d82=2=:10=18<84}r0g34<72;q65:h5185892wx>i9<:1818??93;2;6369`8753=z{;n<87>52z?:<7<61>1654l54048yv4c?<0;6?u299195<1<503269?9;|q1`20=838p146;:0;4?8?>l3>::6s|2e54>5<5s43397?67:?:=`<39?1v?j88;296~;>0?0:5:5298a90400282:70778;3;5>;>000:4<5299c95=7<502i6<6>;<;;g?7?92724i4>809>==c=91;0146i:0:2?8?>83;3=6369082<4=:1081=5?4=8;0>4>6343287?71:?:=0<60816548519389;>100:4<5298c95=7<503i6<6>;<;:g?7?92725i4>809>=\6;o08w<9520807?{nmm0;6):>3;g`?k26:3:07d?l4;29 17428i87c:>2;28?l7d:3:1(9?<:0a0?k26:3;07d?l1;29 17428i87c:>2;08?l7d83:1(9?<:0a0?k26:3907d?me;29 17428i87c:>2;68?l7el3:1(9?<:0a0?k26:3?07d?mc;29 17428i87c:>2;48?l7ej3:1(9?<:0a0?k26:3=07d?ma;29 17428i87c:>2;:8?l7e13:1(9?<:0a0?k26:3307d?m8;29 17428i87c:>2;c8?l7e?3:1(9?<:0a0?k26:3h07d?m6;29 17428i87c:>2;a8?l7e=3:1(9?<:0a0?k26:3n07d?lc;29 17428i87c:>2;g8?l7dj3:1(9?<:0a0?k26:3l07d?la;29 17428i87c:>2;33?>o6k00;6):>3;3`7>h39;0:=65f1b:94?"39:0:o>5a400957=5:9j5g`=83.?=>4>c29m044=9?10e2;38?j7ci3:1(9?<:0f`?k26:3807b?k9;29 17428nh7c:>2;18?j7c?3:1(9?<:0f`?k26:3>07b?k6;29 17428nh7c:>2;78?j7c=3:1(9?<:0f`?k26:3<07b?k4;29 17428nh7c:>2;58?j7c;3:1(9?<:0f`?k26:3207b?k2;29 17428nh7c:>2;;8?j7c93:1(9?<:0f`?k26:3k07b?k0;29 17428nh7c:>2;`8?j7dn3:1(9?<:0f`?k26:3i07b?le;29 17428nh7c:>2;f8?j7b=3:1(9?<:0f`?k26:3o07b?j4;29 17428nh7c:>2;d8?j7b;3:1(9?<:0f`?k26:3;;76a>e383>!26;3;oo6`;13825>=h9l;1<7*;1282`f=i<881=?54o0g3>5<#<891=im4n531>45<3f;oj7>5$530>4bd3g>:>7?;;:m2``<72->:?7?kc:l757<6=21d=i650;&756<6lj1e8<<51798k4ec290/8<=51ea8j17528=07o7n1;295?6=8r.?>h4=9`9K06b<@=9:7b<69;29?xd>i;0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl6a283>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd>i=0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl6a483>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd>i?0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl6a683>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd>i10;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl6a883>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd>ih0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl6ac83>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd>ij0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl6ae83>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd>il0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl6ag83>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd>j90;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl6b083>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd>j;0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl6b283>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd>j=0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl6b483>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd>j?0;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl6b683>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd>j10;694?:1y'07c=:080D9=k;I605>"5i8097d?;1;29?l7?93:17d?67;29?j26>3:17pl6b883>1<729q/8?k52808L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17b:>6;29?xd>jh0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`:fg<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl6bb83><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th2ni4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd>jl0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`:fc<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl6c183><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th2o<4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd>k;0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`:g6<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl6c583><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th2o84?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd>k?0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`:g2<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl6c983><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th2o44?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd>kh0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`:gg<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl6cb83><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th2oi4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd>kl0;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`:gc<7200;6=u+43g907e<@=9o7E:<1:&1e4<43`226=44i9c94?=n0k0;66g7c;29?l7?93:17d?62;29?l7>?3:17b:>6;29?j26?3:17pl6d183><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th2h<4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd>l;0;6>4?:1y'07c=:hl0D9=k;I605>"5i803:6g=9b83>>o51m0;66a<6c83>>{t:m=j6=4>9z\f`>;>jh035636bc8;=>;>jj035636be8;=>;>jl035636bg8;=>;>k9035636c08;=>;>k;035636c28;=>;>k=035636c48;=>;>k?035636c68;=>;>k1035636c88;=>;>kh035636cc8;=>;>kj035636ce8;=>;>kl035636cg8;=>;>l9035636d08;=>{t:m=i6=4={_3`0>;>ko0:5:5rs3f4g?6=:rT:o?529e395<1?2wx>i9i:181[7em272oh4>969~w7b?83:1>vP>be9>=fb=90=0q~7}Y9ki014m6:0;4?xu5l181<75<5sW;im636c`82=2=z{;n387>52z\2f<=:1j<1=494}r0g<0<72;qU=o64=8a;>4?03ty9h5850;0xZ4d0343h;7?67:p6a>02909wS?m6:?:g6<61>1v?j78;296~X6j<165n;51858yv4c000;6?uQ1ba89{t:m2i6=4={_3`e>;>k;0:5:5rs3f;g?6=:rT:o4529b395<1<50ho6<78;|q1`=c=838pR?2wx>i6i:181[7d>272nh4>969~w7b>83:1>vP>c49>=gg=90=0q~7}Y9kl014ll:0;4?xu5l081<75<5sW>85636d381=a=z{;n287>51`y]5=7<50k96<6>;<;b7?7?9272m94>809>=d3=91;014o9:0:2?8?f?3;3=636a982<4=:1h31=5?4=8cb>4>6343jn7?71:?:ef<608165lj519389;>j90:4<529c395=7<50h96<6>;<;a7?7?9272n94>809>=g3=91;014l9:0:2?8?e?3;3=636b982<4=:1k31=5?4=8f1>7?d3ty9h4;50;12[7>:272m?4>409>=d5=9=;014o;:062?8?f=3;?=636a78204=:1h=1=9?4=8c;>426343j57?;1:?:ed<6<8165ll515389:707nd;375>;>il0:8<529`d9517<50h;6<:>;<;a5?739272n?4>409>=g5=9=;014l;:062?8?e=3;?=636b78204=:1k=1=9?4=8`;>426343i57?;1:?:fd<61;165ol518089;>jl0:5?529cd95<4<50i;6<7=;<;`5?7>:272o?4>939>=f5=908014m;:0;1?8?d=3;2>636c782=7=:1j=1=4<4=8a;>4?5343h57?62:?:gd<61;165nl518089;>kl0:5?529bd95<4<50n;6<7=;<;g5?7>:2wx>i79:181[7cl272n44;179~w7b>?3:1>vP>dc9>=g>=<8<0q~7}Y9mk014l8:535?xu5l031<73>::6s|2e;b>5<5sW;o;636b48753=z{;n2n7>52z\2`3=:1k>18<84}r0g=f<72;qU=i;4=8`0>1713ty9h4j50;0xZ4b3343i>7:>6:p6a?b2909wS?k3:?:f4<39?1v?j6f;296~X6l;165o>54048yv4ci90;6?uQ1e389{t:mk96=4={_3`b>;>im0?=;5rs3fb7?6=:rT:oh529`a90402wx>io9:181[7b;272m44;179~w7bf?3:1>vP>e39>=d>=<8<0q~7}Y9l;014o8:535?xu5lh31<73>::6s|2ecb>5<5sW;oj636a48753=z{;njn7>52z\2``=:1h>18<84}r0gef<72;qU=i64=8c0>1713ty9hlj50;0xZ4ec343j>7:>6:p6agb290?5v36a081=<=:1kk14l529cc914l529b6914n529b7952z?:e7<61>165ol54048yv4cj90;6?u29`195<1<50hh69?9;|q1`g7=838p14o;:0;4?8?ei3>::6s|2e`1>5<5s43j97?67:?:f`<39?1v?jm3;296~;>i?0:5:529cd9040=d1=90=014lk:535?xu5lk?1<74?0343h=7:>6:p6ad12909w07n9;3:3>;>k;0?=;5rs3fa3?6=:r72ml4>969>=f6=<8<0q~7}:1hh1=494=8a7>1713ty9ho750;0x9{t:mhj6=4={<;b`?7>?272o>4;179~w7bej3:1>v36ad82=2=:1j=18<84}r0gff<72;q65lh5185892wx>ilj:1818?e93;2;636c`8753=z{;nij7>52z?:f7<61>165nl54048yv4ck90;6?u29c195<1<50i269?9;|q1`f7=838p14l;:0;4?8?dl3>::6s|2ea1>5<5s43i97?67:?:g`<39?1v?jl3;296~;>j?0:5:529ba9040=g1=90=014j?:535?xu5lj?1<74?0343o=7:>6:p6ae12909w07m9;3:3>;>ko0?=;5rs3f`3?6=90q65oo519389;>jm0:4<529cg95=7<50hm6<6>;<;`4?7?9272o<4>809>=f4=91;014m<:0:2?8?d<3;3=636c482<4=:1j<1=5?4=8a4>4>6343h47?71:?:g<<608165no519389;>km0:4<529bg95=7<50im6<6>;<;g4?7?9272h<4>809>=a4=;?h0qpl6d283>63=;;0?ivF;309'07c=;9im7W?2;32?>o6k10;6):>3;3`7>h39;0:>65f1b594?"39:0:o>5a400956=6:9j5g2=83.?=>4>c29m044=9>10e9=6:188m4>62900e9<::188m4?52900c290/8<=51ea8j1752:10c10c:18'045=9mi0b9?=:`98k4b7290/8<=51ea8j1752k10ce083>!26;3;oo6`;13826>=h9l:1<7*;1282`f=i<881=>54o0fe>5<#<891=im4n531>42<3f;oi7>5$530>4bd3g>:>7?:;:m2`=<72->:?7?kc:l757<6>21d=nj50;&756<6lj1e8<<51698fi2B??i5G4238k7?>2900qo7k5;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg?c>3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo7k7;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg?c03:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo7k9;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg?ci3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo7kb;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg?ck3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo7kd;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg?cm3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo7kf;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg?b83:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo7j1;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg?b:3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo7j3;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg?b<3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo7j5;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg?b>3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo7j7;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg?b03:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo7j9;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg?bi3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo7jb;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg?bk3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo7jd;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg?bn3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo7i1;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<7>59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg?a;3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo7i5;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg?a?3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo7i9;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg?aj3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qo7id;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188yg?an3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qoo?1;29=?6=8r.?>h4;2b9K06b<@=9:7)5<5<5<7>59;294~"3:l0?>n5G42f8L1563-8j=7=4i9;94?=n0h0;66g7b;29?l>d2900e<6>:188m4?52900e<78:188k1712900c9?8:188ygg7;3:157>50z&76`<3:j1C8>j4H512?!4f9390e5750;9j5;h:`>5<5<5<;%0b5?5>o?j3:17d6l:188m4>62900e<7=:188m4?02900c9?9:188k1702900qoo?5;297?6=8r.?>h4=ag9K06b<@=9:7)k3:17d<6d;29?j51j3:17p}=db:94?7>sWoo707jd;::?8?bm322707jf;::?8?a8322707i1;::?8?a:322707i3;::?8?a<322707i5;::?8?a>322707i7;::?8?a0322707i9;::?8?ai322707ib;::?8?ak322707id;::?8?am322707if;::?8g7832270o?1;::?8g7:32270o?3;::?8g7<3227p}=db;94?4|V8i?70o?2;3:3>{t:mij6=4={_3`6>;f8=0:5:5rs3f`f?6=:rT:o<52a1195<1?2wx>imj:181[7el27j<=4>969~w7bdn3:1>vP>bb9>=ce=90=0q~7}Y9kh014hj:0;4?xu5lm;1<75<5sW;i5636f882=2=z{;no?7>52z\2f==:1oh1=494}r0g`1<72;qU=o94=8db>4?03ty9hi;50;0xZ4d1343m:7?67:p6ab12909wS?m5:?:b=<61>1v?jk7;296~X6kj165k951858yv4cl10;6?uQ1b`89<`4283<7p}=de;94?4|V8ij707i5;3:3>{t:mnj6=4={_3`=>;>n=0:5:5rs3fgf?6=:rT:o5529g295<1?2wx>ijj:181[7d=272ii4>969~w7bcn3:1>vP>bg9>=``=90=0q~7}Y9k>014kj:0;4?xu5ll;1<75<6irT:4<529e795=7<50n=6<6>;<;g3?7?9272h54>809>=a?=91;014jn:0:2?8?cj3;3=636db82<4=:1mn1=5?4=8ff>4>6343oj7?71:?:a5<608165h?519389;>m=0:4<529d795=7<50o=6<6>;<;f3?7?9272i54>809>=`?=91;014kn:0:2?8?bj3;3=636eb82<4=:i9?1>4m4}r0ga6<72:;pR<7=;<;g1?739272h;4>409>=a1=9=;014j7:062?8?c13;?=636d`8204=:1mh1=9?4=8f`>426343oh7?;1:?:``<6<8165ih515389:707j1;375>;>m;0:8<529d19517<50o?6<:>;<;f1?739272i;4>409>=`1=9=;014k7:062?8?b13;?=636e`8204=:1lh1=9?4=8g`>426343nh7?62:?:a`<61;165hh518089<`72839707i1;3:6>;>n;0:5?529g195<4<50l?6<7=;<;e1?7>:272j;4>939>=c1=908014h7:0;1?8?a13;2>636f`82=7=:1oh1=4<4=8d`>4?5343mh7?62:?:b`<61;165kh518089d67283970o?1;3:6>;f8;0:5?52a1195<4<5h:?6<7=;|q1``2=838pR2wx>ik::181[7cj272io4;179~w7bb>3:1>vP>d`9>=`g=<8<0q~7}Y9m3014k6:535?xu5ll21<7::6s|2eg:>5<5sW;o:636e68753=z{;nnm7>52z\2`0=:1l<18<84}r0gag<72;qU=i:4=8g6>1713ty9hhm50;0xZ4b4343n87:>6:p6acc2909wS?k2:?:a6<39?1v?jje;296~X6l8165h<54048yv4cmo0;6?uQ1e289{t:ml:6=4={_3`a>;>lo0?=;5rs3fe6?6=:rT:i8529eg90404?:3y]5`2<50no69?9;|q1`c2=838pR2wx>ih::181[7b:272ho4;179~w7ba>3:1>vP>e09>=ag=<8<0q~7}Y9l:014j6:535?xu5lo21<7::6s|2ed:>5<5sW;oi636d68753=z{;nmm7>52z\2`==:1m<18<84}r0gbg<72;qU=nj4=8f6>1713ty9hkm50;6:8?c<3825636ee8;e>;>mm03n636ee8;g>;>ml03m636ed8;f>;>ml03o636eg8;e>;>mo03n636eg8;g>;>n903m636f18;f>;>n903o636f08;e>;>n803n636f08;g>;>n;03m636f38;f>;>n;03o636f28;e>;>n:03n636f28;g>;>n=03m636f58;f>;>n=03o636f48;e>;>n<03n636f48;g>;>n?03m636f78;f>;>n?03o636f68;e>;>n>03n636f68;g>;>n103m636f98;f>;>n103o636f88;e>;>n003n636f88;g>;>nh03m636f`8;f>;>nh03o636fc8;e>;>nk03n636fc8;g>;>nj03m636fb8;f>;>nj03o636fe8;e>;>nm03n636fe8;g>;>nl03m636fd8;f>;>nl03o636fg8;e>;>no03n636fg8;g>;f8903m63n018;f>;f8903o63n008;e>;f8803n63n008;g>;f8;03m63n038;f>;f8;03o63n028;e>;f8:03n63n028;g>;f8=03m63n058;f>;f8=03o6s|2edg>5<5s43o97?67:?:a`<39?1v?jie;296~;>l?0:5:529dd9040=a1=90=014kk:535?xu5m9:1<74?0343m=7:>6:p6`662909w07k9;3:3>;>n;0?=;5rs3g36?6=:r72hl4>969>=c6=<8<0q~7}:1mh1=494=8d7>1713ty9i=:50;0x9{t:l:>6=4={<;g`?7>?272j>4;179~w7c7>3:1>v36dd82=2=:1o=18<84}r0f42<72;q65ih518589<`?2=;=7p}=e1:94?4|50o;6<78;<;e2?26>2wx>h>6:1818?b93;2;636f`8753=z{;o;m7>52z?:a7<61>165kl54048yv4b8k0;6?u29d195<1<50l269?9;|q1a5e=838p14k;:0;4?8?al3>::6s|2d2g>5<5s43n97?67:?:b`<39?1v?k?e;296~;>m?0:5:529ga9040=`1=90=01l>?:535?xu5m8:1<74?034k;=7:>6:p6`762909w07j9;3:3>;>no0?=;5rs3g26?6=:r72il4>969>e55=<8<0q~7}:1lh1=494=`27>1713ty9i<:50;0x9{t:l;>6=4>9z?:aa<608165hk519389;>n80:4<529g095=7<50l86<6>;<;e0?7?9272j84>809>=c0=91;014h8:0:2?8?a03;3=636f882<4=:1ok1=5?4=8da>4>6343mo7?71:?:ba<608165kk519389<`a282:70o?0;3;5>;f880:4<52a1095=7<5h:86<6>;3:1?84<2;6fM2492.?>h4<0bd8^45a2:q:;7<>:219ylcc290/8<=5eb9m044=821b=n:50;&756<6k:1e8<<50:9j5f4=83.?=>4>c29m044=921b=n?50;&756<6k:1e8<<52:9j5f6=83.?=>4>c29m044=;21b=ok50;&756<6k:1e8<<54:9j5gb=83.?=>4>c29m044==21b=om50;&756<6k:1e8<<56:9j5gd=83.?=>4>c29m044=?21b=oo50;&756<6k:1e8<<58:9j5g?=83.?=>4>c29m044=121b=o650;&756<6k:1e8<<5a:9j5g1=83.?=>4>c29m044=j21b=o850;&756<6k:1e8<<5c:9j5g3=83.?=>4>c29m044=l21b=nm50;&756<6k:1e8<<5e:9j5fd=83.?=>4>c29m044=n21b=no50;&756<6k:1e8<<51198m4e>290/8<=51b18j17528;07d?l8;29 17428i87c:>2;31?>o6k>0;6):>3;3`7>h39;0:?65f1b494?"39:0:o>5a400951=6=4+40195f57:9j06?=831b=5?50;9j073=831b=4<50;9l5ab=83.?=>4>db9m044=821d=il50;&756<6lj1e8<<51:9l5ag=83.?=>4>db9m044=:21d=i750;&756<6lj1e8<<53:9l5a1=83.?=>4>db9m044=<21d=i850;&756<6lj1e8<<55:9l5a3=83.?=>4>db9m044=>21d=i:50;&756<6lj1e8<<57:9l5a5=83.?=>4>db9m044=021d=i<50;&756<6lj1e8<<59:9l5a7=83.?=>4>db9m044=i21d=i>50;&756<6lj1e8<<5b:9l5f`=83.?=>4>db9m044=k21d=nk50;&756<6lj1e8<<5d:9l5`3=83.?=>4>db9m044=m21d=h:50;&756<6lj1e8<<5f:9l5`5=83.?=>4>db9m044=9910ce183>!26;3;oo6`;13827>=h9ml1<7*;1282`f=i<881=954o0ff>5<#<891=im4n531>43<3f;o47>5$530>4bd3g>:>7?9;:m2ga<72->:?7?kc:l757<6?21im=950;394?6|,=8n6?7n;I60`>N3;81d>4750;9~fd6?290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl>6:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd6f290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl>m:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd6d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl>k:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd6b290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl>i:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd77290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl?>:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd75290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl?<:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd73290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl?::187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd71290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl?8:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd7?290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl?6:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd7f290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl?m:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd7d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl?k:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd7b290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl?i:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd4729026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb`02>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnl<=:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fd4329026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb`06>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnl<9:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fd4?29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb`0:>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnl5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fd4d29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb`0g>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnl5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fd5729026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb`12>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnl==:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fd5329026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb`16>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnl=9:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fd5?29086=4?{%61a?4fn2B??i5G4238 7g621<0e?7l:188m7?c2900c>8m:188yv4b9?0;6<7t^df89d4721301l<>:9;89d4521301l<<:9;89d4321301l<::9;89d4121301l<8:9;89d4?21301l<6:9;89d4f21301l:9;89d5521301l=<:9;89d5321301l=::9;89d5121301l=8:9;8yv4b9>0;6?uQ1b689d52283<7p}=e0:94?4|V8i970o<7;3:3>{t:l;26=4={_3`5>;f;?0:5:5rs3g2e?6=:rT:o=52a2095<1?2wx>h?k:181[7ek27j>k4>969~w7c6m3:1>vP>bc9>e67=90=0q~7}Y9kk01l=?:0;4?xu5m;:1<75<5sW;i463n2d82=2=z{;o9>7>52z\2f2=:i;n1=494}r0f66<72;qU=o84=`0:>4?03ty9i?:50;0xZ4d234k9n7?67:p6`422909wS?lc:?b6d<61>1v?k=6;296~X6kk16m?851858yv4b:>0;6?uQ1bc89d4?283<7p}=e3:94?4|V8i270o=7;3:3>{t:l826=4={_3`<>;f::0:5:5rs3g1e?6=:rT:o:52a3795<1o4?:3y]5f0<5h8?6<78;|q1a7e=838pR?2wx>h?4>969~w7c5m3:1>vP>b59>e77=90=0q~7}Y<:301l=7:3;g?xu5m::1<7?n{_3;5>;f810:4<52a1;95=7<5h:j6<6>;809>e5b=91;01l>j:0:2?8g7n3;3=63n1182<4=:i8;1=5?4=`31>4>634k:?7?71:?b51<60816m<;519389d71282:70o>7;3;5>;f910:4<52a0;95=7<5h;j6<6>;809>e4b=91;01l?j:0:2?8g6n3;3=63n3981=f=z{;o8=7>530y]5<4<5h:36<:>;409>e5d=9=;01l>l:062?8g7l3;?=63n0d8204=:i9l1=9?4=`33>42634k:=7?;1:?b57<6<816m<=515389d7328>:70o>5;375>;f9?0:8<52a059517<5h;36<:>;409>e4d=9=;01l?l:062?8g6l3;?=63n1d8204=:i8l1=9?4=`03>4?534k9=7?62:?b67<61;16m?=518089d43283970o=5;3:6>;f:?0:5?52a3595<4<5h836<7=;:27j>l4>939>e7d=90801l63n2d82=7=:i;l1=4<4=`13>4?534k8=7?62:?b77<61;16m>=518089d53283970o<5;3:6>;f;?0:5?52a2595<42wx>h=;:181[7ci27j=i4;179~w7c4=3:1>vP>d89>e4e=<8<0q~7}Y9m=01l?m:535?xu5m:=1<7::6s|2d1;>5<5sW;o963n188753=z{;o857>52z\2`1=:i8218<84}r0f7d<72;qU=i=4=`34>1713ty9i>l50;0xZ4b534k::7:>6:p6`5d2909wS?k1:?b50<39?1v?k2;622>{t:l>;6=4={_3f1>;f980?=;5rs3g75?6=:rT:i952a0290402wx>h:;:181[7b927jvP>e19>e5e=<8<0q~7}Y9ml01l>m:535?xu5m==1<7::6s|2d6;>5<5sW;o463n088753=z{;o?57>52z\2ga=:i9218<84}r0f0d<72=3p1l>8:3;:?8g5832j70o=0;:a?8g5832h70o=1;:b?8g5932i70o=1;:`?8g5:32j70o=2;:a?8g5:32h70o=3;:b?8g5;32i70o=3;:`?8g5<32j70o=4;:a?8g5<32h70o=5;:b?8g5=32i70o=5;:`?8g5>32j70o=6;:a?8g5>32h70o=7;:b?8g5?32i70o=7;:`?8g5032j70o=8;:a?8g5032h70o=9;:b?8g5132i70o=9;:`?8g5i32j70o=a;:a?8g5i32h70o=b;:b?8g5j32i70o=b;:`?8g5k32j70o=c;:a?8g5k32h70o=d;:b?8g5l32i70o=d;:`?8g5m32j70o=e;:a?8g5m32h70o=f;:b?8g5n32i70o=f;:`?8g4832j70o<0;:a?8g4832h70o<1;:b?8g4932i70o<1;:`?8g4:32j70o<2;:a?8g4:32h70o<3;:b?8g4;32i70o<3;:`?8g4<32j70o<4;:a?8g4<32h70o<5;:b?8g4=32i70o<5;:`?8g4>32j70o<6;:a?8g4>32h70o<7;:b?8g4?32i70o<7;:`?xu5m=h1<74?034k9=7:>6:p6`2d2909w0o?9;3:3>;f:;0?=;5rs3g7`?6=:r7j969>e76=<8<0q~7}:i9h1=494=`07>1713ty9i9h50;0x9d6d283<70o=5;622>{t:l?;6=4={?27j>>4;179~w7c293:1>v3n0d82=2=:i;=18<84}r0f17<72;q6m=h518589d4?2=;=7p}=e4194?4|5h;;6<78;2wx>h;;:1818g693;2;63n2`8753=z{;o>97>52z?b57<61>16m?l54048yv4b=?0;6?u2a0195<1<5h8269?9;|q1a01=838p1l?;:0;4?8g5l3>::6s|2d7;>5<5s4k:97?67:?b6`<39?1v?k:9;296~;f9?0:5:52a3a9040e41=90=01l=?:535?xu5m4?034k8=7:>6:p6`3d2909w0o>9;3:3>;f:o0?=;5rs3g6`?6=:r7j=l4>969>e65=<8<0q~7}:i8h1=494=`17>1713ty9i8h50;0x9d7d283<70o<2;622>{t:l<;6=4={?27j?;4;179~w7c193:1>v3n1d82=2=:i:=18<84}r0f27<72;q6ms4k9<7?71:?b64<60816m?<519389d44282:70o=4;3;5>;f:<0:4<52a3495=7<5h8<6<6>;44>809>e7g=91;01l4>634k8<7?71:?b74<60816m><519389d54282:70o<4;3;5>;f;<0:4<52a2495=7<5h9<6<6>;;%61a?7fl?1Q=>h55z65>44=<>0:97?9:|ke5?6=,=;86k>4n531>5=h39;0:76g>eg83>!26;3;ni6`;1383?>o6mm0;6):>3;3fa>h39;0:76g>eb83>!26;3;ni6`;1381?>o6mk0;6):>3;3fa>h39;0876g>e`83>!26;3;ni6`;1387?>o6m00;6):>3;3fa>h39;0>76g>e983>!26;3;ni6`;1385?>o6m>0;6):>3;3fa>h39;0<76g=1683>!26;3;ni6`;138;?>o59?0;6):>3;3fa>h39;0276g=1483>!26;3;ni6`;138b?>o59=0;6):>3;3fa>h39;0i76g=1283>!26;3;ni6`;138`?>o59;0;6):>3;3fa>h39;0o76g=0983>!26;3;ni6`;138f?>o6nm0;6):>3;3fa>h39;0m76g>f383>!26;3;ni6`;13824>=n9l<1<7*;1282a`=i<881=<54ig594?"39:0m:6`;1383?>oa=3:1(9?<:g48j1752810e9=n:188m4>62900e9<::188m4?52900c?<>:18'045=:;:0b9?=:198k77a290/8<=52328j1752810c??j:18'045=:;:0b9?=:398k77c290/8<=52328j1752:10c??l:18'045=:;:0b9?=:598k77e290/8<=52328j1752<10c??n:18'045=:;:0b9?=:798k77>290/8<=52328j1752>10c?:6:18'045=:;:0b9?=:998k72?290/8<=52328j1752010c?:8:18'045=:;:0b9?=:`98k721290/8<=52328j1752k10c?:::18'045=:;:0b9?=:b98k723290/8<=52328j1752m10c?=n:18'045=:;:0b9?=:d98k74a290/8<=52328j1752o10c?<;:18'045=:;:0b9?=:028?j4603:1(9?<:303?k26:3;:76a=5283>!26;38>>6`;1383?>i5=80;6):>3;066>h39;0:76a=5183>!26;38>>6`;1381?>i53;066>h39;0876a=4d83>!26;38>>6`;1387?>i53;066>h39;0>76a=4b83>!26;38>>6`;1385?>i53;066>h39;0<76a=7c83>!26;38>>6`;138;?>i5?h0;6):>3;066>h39;0276a=7883>!26;38>>6`;138b?>i5?10;6):>3;066>h39;0i76a=7683>!26;38>>6`;138`?>i5??0;6):>3;066>h39;0o76a=6b83>!26;38>>6`;138f?>i5>80;6):>3;066>h39;0m76a=5783>!26;38>>6`;13824>=h:=k1<7*;128117=i<881=<54b`1b>5<6290;w):=e;0:e>N3;m1C8>?4o3;:>5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<=7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<?7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<97>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<;7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<57>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<n7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<h7>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<;%0b5?4:6=44i0:2>5<5<j7>5f;294~"3:l0?=45G42f8L1563-8j=7:4i9;94?=n0h0;66g7b;29?l>d2900e5j50;9j5<1=831b>5?50;9j6=4=831b>5=50;9j6=2=831b>5;50;9j06>=831b8>750;9l07d=831d>5>50;9~fd07290m6=4?{%61a?2612B??i5G4238 7g62=1b444?::k;e?6=3`2i6=44i9a94?=n0m0;66g>9683>>o5080;66g=8383>>o50:0;66g=8583>>o50<0;66g;3983>>o3;00;66a;2c83>>i5090;66sma7394?`=83:p(9o?13:17d6n:188m=d=831b4n4?::k;`?6=3`;2;7>5;h0;5?6=3`83>7>5;h0;7?6=3`8387>5;h0;1?6=3`>847>5;h60=?6=3f>9n7>5;n0;4?6=3thj:?4?:g83>5}#<;o18<74H51g?M2492.9m<4;;h::>5<>o?k3:17d6k:188m4?02900e?6>:188m7>52900e?6<:188m7>32900e?6::188m15?2900e9=6:188k14e2900c?6?:188ygg1;3:1j7>50z&76`<3901C8>j4H512?!4f93>0e5750;9j5;h:`>5<5N3;m1C8>?4$3c2>1=n000;66g7a;29?l>e2900e5m50;9jo4?::m1<5<722wim;;50;d94?6|,=8n69?6;I60`>N3;81/>l?58:k;=?6=3`2j6=44i9`94?=n0j0;66g7d;29?l7>?3:17d<71;29?l4?:3:17d<73;29?l4?<3:17d<75;29?l2403:17d:<9;29?j25j3:17b<70;29?xdf>?0;6k4?:1y'07c=<830D9=k;I605>"5i8037d66:188m=g=831b4o4?::k;g?6=3`2o6=44i0;4>5<5<5<6=44i51;>5<5<;%0b5?0>o?j3:17d6l:188m=b=831b=4950;9j6=7=831b>5<50;9j6=5=831b>5:50;9j6=3=831b8>650;9j06?=831d8?l50;9l6=6=831vnl87:18e>5<7s->9i7:>9:J77a=O<:;0(?o>:79j<<<722c3m7>5;h:a>5<>o61>0;66g=8083>>o50;0;66g=8283>>o50=0;66g=8483>>o3;10;66g;3883>>i3:k0;66a=8183>>{ei?31<7h50;2x 14b2=;27E:f2900e5l50;9j5;h3:3?6=3`83=7>5;h0;6?6=3`83?7>5;h0;0?6=3`8397>5;h60857>5;n61f?6=3f83<7>5;|`b2d<72o0;6=u+43g904?<@=9o7E:<1:&1e4<13`226=44i9c94?=n0k0;66g7c;29?l>c2900e<78:188m7>62900e?6=:188m7>42900e?6;:188m7>22900e9=7:188m15>2900c972900qoo9b;29b?6=8r.?>h4;189K06b<@=9:7)1<75f29794?=n<:21<75f42;94?=h<;h1<75`29294?=zjh:56F;3e9K067<,;k:6;5f8883>>o?i3:17d6m:188m=e=831b4i4?::k2=2<722c94<4?::k1<7<722c94>4?::k1<1<722c9484?::k77=<722c??44?::m76g<722e94=4?::ae3b=83l1<7>t$50f>17>3A>8h6F;309'6d7=>2c357>5;h:b>5<>o?l3:17d?67;29?l4?93:17d<72;29?l4?;3:17d<74;29?l4?=3:17d:<8;29?l2413:17b:=b;29?j4?83:17pln6d83>c<729q/8?k540;8L15c3A>8=6*=a085?l>>2900e5o50;9j5;h:g>5<5<5<5<5<5<5f;294~"3:l0?=45G42f8L1563-8j=784i9;94?=n0h0;66g7b;29?l>d2900e5j50;9j5<1=831b>5?50;9j6=4=831b>5=50;9j6=2=831b>5;50;9j06>=831b8>750;9l07d=831d>5>50;9~fd17290m6=4?{%61a?2612B??i5G4238 7g62?1b444?::k;e?6=3`2i6=44i9a94?=n0m0;66g>9683>>o5080;66g=8383>>o50:0;66g=8583>>o50<0;66g;3983>>o3;00;66a;2c83>>i5090;66sma6394?5=83:p(914l52a779:14l5rs3g51?6=9:qUik52a4d9<<=:i?:14452a739<<=:i?814452a719<<=:i?>14452a779<<=:i?<14452a759<<=:i?214452a7;9<<=:i?k14452a7`9<<=:i?i14452a7f9<<=:i?o14452a7d9<<=:i>:1445rs3g52?6=:rT:ik52a6295<1=838pR?2wx>h86:181[7bj27j:i4>969~w7c1i3:1>vP>e`9>e3e=90=0q~7}Y9l301l8m:0;4?xu5m?i1<75<5sW;n;63n6`82=2=z{;o=i7>52z\152=:i?31=494}r0f2c<72;qU><84=`44>4?03ty9i:>50;0xZ77234k=:7?67:p6`162909wS<>4:?b20<61>1v?k82;296~X59:16m;:51858yv4b?:0;6?uQ20089d04283<7p}=e6694?4|V;:370o92;3:3>{t:l=>6=4={_3e`>;f=o0:5:5rs3g42?6=:rT:j?52a7395<1=83;8wSh8;e37=:1801l8=:3:1?8g1;383>63n6581<7=:i??1>5<4=`45>7>534k=;7<72:?b2=<50;16m;7529089d0f2;2970o9b;0;6>;f>j094?52a7f96=4<5huQf49>e0`=:1;01l8?:3:2?8g19383=63n6381<4=:i?91>5?4=`47>7>634k=97<71:?b23<50816m;9529389d0?2;2:70o99;0;5>;f>h094<52a7`96=7<5h;e3`=:1;01l9?:3:2?xu5m>k1<75<5>rT:4<52a2`95=7<5h9h6<6>;809>e6`=91;01l:?:0:2?8g393;3=63n4382<4=:i=91=5?4=`67>4>634k?97?71:?b03<60816m99519389d2?282:70o;9;3;5>;fh6<6>;809>e1`=91;01l;?:0:2?8g293;3=63n5382<4=:i<91=5?4=`77>4>634k>97?71:?b13<60816m89519389d3?282:70o:9;3;5>;f=h0:4<52a4`95=7<5h?h6<6>;809>e27=:0i0q~61|V83970o;f;j0:8<52a2f9517<5h9n6<:>;409>e17=9=;01l:=:062?8g3;3;?=63n458204=:i=?1=9?4=`65>42634k?;7?;1:?b0=<6<816m97515389d2f28>:70o;b;375>;fn6<:>;409>e07=9=;01l;=:062?8g2;3;?=63n558204=:i42634k>;7?;1:?b1=<6<816m87515389d3f28>:70o:b;375>;f=j0:8<52a4f9517<5h?n6<:>;e37=<:201l8=:51;?8g1;3>8463n65877==:i??18>64=`45>15?34k=;7:<8:?b2=<3;116m;7542:89d0f2=9370o9b;60<>;f>j0??552a7f906><5hvP=209>e1e=<8<0q~7}Y:8l01l:m:535?xu5m>l1<7::6s|2d:3>5<5sW8:h63n488753=z{;o3=7>52z\15f=:i=218<84}r0f<7<72;qU>1713ty9i5=50;0xZ77f34k?:7:>6:p6`>32909wS<>9:?b00<39?1v?k75;296~X5<016m9:54048yv4b0?0;6?uQ25:89d242=;=7p}=e9594?4|V;><70o;2;622>{t:l236=4={_072>;f<80?=;5rs3g;=?6=:rT98852a5290402wx>h6l:181[45n27j?i4;179~w7c?l3:1>vP=259>e6e=<8<0q~7}Y:8201l=m:535?xu5m1l1<7::6s|2d;3>5<5sW8>=63n5e8753=z{;o2=7>52z\115=:i9h4=`7a>1713ty9i4=50;0xZ72b34k>m7:>6:p6`?32909wS<;d:?b1<<39?1v?k65;296~X5{t:l336=4={_04e>;f=<0?=;5rs3g:=?6=:rT9;452a469040<5h?869?9;|q1a2wx>h7l:181[40>27j9<4;179~w7c>l3:1>vP=6b9>e06=<8<0q~7}Y:?;01l:i:535?xu5m0l1<7::6s|2dc3>5<5sW8?m63n4e8753=z{;oj=7>56ey>e6g=:0301l;i:9`89d3a21i01l;i:9f89d3a2;2870o:f;0;0>;f=o094852a7295=4=`43>7>334k=<7<75:?b24e37=:1>01l8>:3:6?8g1:32i70o92;:`?8g1:32o70o92;0;7>;f>;094952a7096=3<5h<865l4=`40>=e<5h<865j4=`40>7>434k=?7<74:?b26<50<16m;:58c9>e32=0j16m;:58e9>e32=:1901l8;:3:7?8g1<383963n648;f>;f><03o63n648;`>;f><094>52a7796=2<5h<>6?6:;e34k=:76l;c34k=:7<73:?b23<50=16m;8529789d0021h01l88:9a89d0021n01l88:3:0?8g1?383863n6681<0=:i?214o52a7:92;2>70o9a;:a?8g1i32h70o9a;:g?8g1i383?63n6`81<1=:i?k1>5;4=`4a>=d<5h=b<5he3e=0k16m;m58b9>e3e=0m16m;m529189d0d2;2?70o9c;0;1>;f>m03n63n6e8;g>;f>m03h63n6e81<6=:i?n1>5:4=`4g>7>234k=i76m;d34k=i76k;e3c=:1?01l8i:9`89d0a21i01l8i:9f89d0a2;2870o9f;0;0>;f>o094852a629:14n52a629:1>5=4=`53>7>334k<<7<75:p6`g52909w0o;f>90?>o5rs3gb7?6=:r7j?n4>969>e37=<;h0q~7}:i:n1=494=`7e>14e3ty9il;50;0x9d5b283<70o92;61f>{t:lk=6=4={?27j:>4;2c9~w7cf?3:1>v3n4182=2=:i?>18?l4}r0fe=<72;q6m9?518589d022=8i7p}=e`;94?4|5h>96<78;hon:1818g3;3;2;63n66876g=z{;ojn7>52z?b01<61>16m;7543`8yv4bij0;6?u2a5795<1<5h9n6s|2dcf>5<5s4k?;7?67:?b2g<3:k1v?knf;296~;f<10:5:52a7a907de1?=90=01l8k:50a?xu5mk;1<74?034k=i7:=b:p6`d52909w0o;b;3:3>;f>o0?>o5rs3ga7?6=:r7j8n4>969>e26=<;h0q~7}:i=n1=494=`43>7>73ty9io;50;0x9d2b283<70o91;0;4>{t:lh=6=4={?27j9k4=819~w7ce?3:1>v3n5182=2=:i?81>5>4}r0ff=<72;q6m8?518589d042;2;7p}=ec;94?4|5h?96<78;hln:1818g2;3;2;63n6481<5=z{;oin7>52z?b11<61>16m;852928yv4bjj0;6?u2a4795<1<5h<<6?6?;|q1agb=838p1l;9:0;4?8g11383<6s|2d`f>5<5s4k>;7?67:?b2d<5091v?kmf;296~;f=10:5:52a7:96=6e0?=90=01l8m:3:3?xu5mj;1<74?034k=o7<70:p6`e52909w0o:b;3:3>;f>m094=5rs3g`7?6=:r7j9n4>969>e3c=:1:0q~7}:i7>73ty9in;50;0x9d3b283<70o80;0;4>{t:li=6=4>3z?b1c<3;016m;>542;89d062=9270o92;60=>;f>:0??452a76906?<5h<>69=6;e3>=<:301l86:51:?8g1i3>8563n6c877<=:i?i18>74=`4g>15>34k=i7:<9:?b2c<3;016m:>542;89d162:uG4238 14b28ko;6T>3g8610=9;0?;7?::049yl`6290/8<=5f19m044=821bik4?:%627?`73g>:>7?4;h3fb?6=,=;865$530>4cb3g>:>7?4;h3fg?6=,=;865$530>4cb3g>:>7=4;h3fe?6=,=;865$530>4cb3g>:>7;4;h3f5$530>4cb3g>:>794;h023?6=,=;86<3`8::7>5$530>4cb3g>:>774;h021?6=,=;865$530>4cb3g>:>7l4;h027?6=,=;867>5$530>4cb3g>:>7j4;h035$530>4cb3g>:>7h4;h3e6?6=,=;861:9jb2<72->:?7h9;o626?6<3`l>6=4+4019b3=i<881=65f42c94?=n91;1<75f43794?=n9081<75`23394?"39:09>=5a40094>=h:8l1<7*;128165=i<881=65`20g94?"39:09>=5a40096>=h:8n1<7*;128165=i<881?65`20a94?"39:09>=5a40090>=h:8h1<7*;128165=i<881965`20c94?"39:09>=5a40092>=h:831<7*;128165=i<881;65`25;94?"39:09>=5a4009<>=h:=21<7*;128165=i<881565`25594?"39:09>=5a4009e>=h:=<1<7*;128165=i<881n65`25794?"39:09>=5a4009g>=h:=>1<7*;128165=i<881h65`22c94?"39:09>=5a4009a>=h:;l1<7*;128165=i<881j65`23694?"39:09>=5a400955==7>5$530>7353g>:>7?4;n064?6=,=;86?;=;o626?4<3f8?j7>5$530>7353g>:>7=4;n07a?6=,=;86?;=;o626?2<3f8?h7>5$530>7353g>:>7;4;n07g?6=,=;86?;=;o626?0<3f8?n7>5$530>7353g>:>794;n04f?6=,=;86?;=;o626?><3f85$530>7353g>:>774;n04=?6=,=;86?;=;o626?g<3f8<47>5$530>7353g>:>7l4;n043?6=,=;86?;=;o626?e<3f8<:7>5$530>7353g>:>7j4;n05g?6=,=;86?;=;o626?c<3f8==7>5$530>7353g>:>7h4;n062?6=,=;86?;=;o626?7732e98l4?:%627?42:2d?=?4>1:9ae25=83;1<7>t$50f>7?f3A>8h6F;309l65<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd12290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl99:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd10290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl97:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd1>290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl9n:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd1e290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl9l:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd1c290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl9j:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd1a290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl6?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd>6290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl6=:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd>4290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl6;:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd>2290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl69:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd>0290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl67:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd>>290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl6n:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd>e290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl6l:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd>c290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl6j:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd>a290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl7?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd?6290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl7=:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd?4290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl7;:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd?2290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl79:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fd?0290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnl77:18e>5<7s->9i7:>9:J77a=O<:;0(?o>:69j<<<722c3m7>5;h:a>5<>o61>0;66g=8083>>o50;0;66g=8283>>o50=0;66g=8483>>o3;10;66g;3883>>i3:k0;66a=8183>>{ei031<7h50;2x 14b2=;27E:f2900e5l50;9j5;h3:3?6=3`83=7>5;h0;6?6=3`83?7>5;h0;0?6=3`8397>5;h60857>5;n61f?6=3f83<7>5;|`b=d<72o0;6=u+43g904?<@=9o7E:<1:&1e4<03`226=44i9c94?=n0k0;66g7c;29?l>c2900e<78:188m7>62900e?6=:188m7>42900e?6;:188m7>22900e9=7:188m15>2900c972900qoo6b;29b?6=8r.?>h4;189K06b<@=9:7)1<75f29794?=n<:21<75f42;94?=h<;h1<75`29294?=zjh3h6=4i:183!25m3>:56F;3e9K067<,;k:6:5f8883>>o?i3:17d6m:188m=e=831b4i4?::k2=2<722c94<4?::k1<7<722c94>4?::k1<1<722c9484?::k77=<722c??44?::m76g<722e94=4?::aet$50f>17>3A>8h6F;309'6d7=<2c357>5;h:b>5<>o?l3:17d?67;29?l4?93:17d<72;29?l4?;3:17d<74;29?l4?=3:17d:<8;29?l2413:17b:=b;29?j4?83:17pln9d83>c<729q/8?k540;8L15c3A>8=6*=a08;?l>>2900e5o50;9j5;h:g>5<5<5<5<5<5<5f;294~"3:l0?=45G42f8L1563-8j=7:4i9;94?=n0h0;66g7b;29?l>d2900e5j50;9j5<1=831b>5?50;9j6=4=831b>5=50;9j6=2=831b>5;50;9j06>=831b8>750;9l07d=831d>5>50;9~fdg7290m6=4?{%61a?2612B??i5G4238 7g62>1b444?::k;e?6=3`2i6=44i9a94?=n0m0;66g>9683>>o5080;66g=8383>>o50:0;66g=8583>>o50<0;66g;3983>>o3;00;66a;2c83>>i5090;66sma`394?`=83:p(9o?13:17d6n:188m=d=831b4n4?::k;`?6=3`;2;7>5;h0;5?6=3`83>7>5;h0;7?6=3`8387>5;h0;1?6=3`>847>5;h60=?6=3f>9n7>5;n0;4?6=3thjm?4?:g83>5}#<;o18<74H51g?M2492.9m<47;h::>5<>o?k3:17d6k:188m4?02900e?6>:188m7>52900e?6<:188m7>32900e?6::188m15?2900e9=6:188k14e2900c?6?:188yggf;3:1j7>50z&76`<3901C8>j4H512?!4f93<0e5750;9j5;h:`>5<5N3;m1C8>?4$3c2>3=n000;66g7a;29?l>e2900e5m50;9jo4?::m1<5<722wiml;50;d94?6|,=8n69?6;I60`>N3;81/>l?56:k;=?6=3`2j6=44i9`94?=n0j0;66g7d;29?l7>?3:17d<71;29?l4?:3:17d<73;29?l4?<3:17d<75;29?l2403:17d:<9;29?j25j3:17b<70;29?xdfi?0;6k4?:1y'07c=<830D9=k;I605>"5i80=7d66:188m=g=831b4o4?::k;g?6=3`2o6=44i0;4>5<5<5<6=44i51;>5<5<;%0b5?0>o?j3:17d6l:188m=b=831b=4950;9j6=7=831b>5<50;9j6=5=831b>5:50;9j6=3=831b8>650;9j06?=831d8?l50;9l6=6=831vnlo7:18e>5<7s->9i7:>9:J77a=O<:;0(?o>:79j<<<722c3m7>5;h:a>5<>o61>0;66g=8083>>o50;0;66g=8283>>o50=0;66g=8483>>o3;10;66g;3883>>i3:k0;66a=8183>>{eih31<7h50;2x 14b2=;27E:f2900e5l50;9j5;h3:3?6=3`83=7>5;h0;6?6=3`83?7>5;h0;0?6=3`8397>5;h60857>5;n61f?6=3f83<7>5;|`bed<72:0;6=u+43g96d`<@=9o7E:<1:&1e42c95n4?::k1=a<722e8:o4?::p6`e0290:?vPi1:?b==47a:?be1479:?be12909wS?jf:?be<<61>1v?kla;296~X6mm16ml651858yv4bkk0;6?uQ1da89dg0283<7p}=eba94?4|V8oi70on6;3:3>{t:lio6=4={_3fe>;fi<0:5:5rs3g`a?6=:rT:i452a`695<1<5hk:6<78;|q1aa6=838pR?2wx>hj>:181[46?27jm?4>969~w7cc:3:1>vP=179>ed6=90=0q~7}Y:8?01l7i:0;4?xu5mm>1<7m3;2;6s|2df6>5<5sW8:?63n9e82=2=z{;oo:7>52z\157=:i0i1=494}r0f`2<72;qU>=64=`;a>4?03ty9ii650;0xZ4`c34k247?67:p6`b>2909wS?i2:?b=d<61>1v?kka;296~X6m?16m4751858yv4blk0;6<=t^g589d??2;2970o69;0;6>;f1h094?52a8`96=4<5h3h6?6=;e<`=:1801lo?:3:1?8gf9383>63na381<7=:ih91>5<4=`c7>7>534kj97<72:?be3<50;16ml9529089dg?2;2970on9;0;6>{t:lnh6=4>3z\e1>;f11094<52a8;96=7<5h3j6?6>;en383=63na181<4=:ih;1>5?4=`c1>7>634kj?7<71:?be1<50816ml;529389dg12;2:70on7;0;5>;fi1094<52a`;96=7;f?10:4<52a6;95=7<5h=j6<6>;809>e2b=91;01l9j:0:2?8g0n3;3=63n8182<4=:i1;1=5?4=`:1>4>634k3?7?71:?b<1<60816m5;519389d>1282:70o77;3;5>;f010:4<52a9;95=7<5h2j6<6>;809>e=b=91;01l6j:0:2?8g?n3;3=63n9182<4=:i0;1=5?4=`;1>4>634k2?7?71:?b=1<60816m4;519389d?1282:70o67;3;5>;fih095n5rs3ggb?6=;>qU=4<4=`57>42634k<97?;1:?b33<6<816m:9515389d1?28>:70o89;375>;f?h0:8<52a6`9517<5h=h6<:>;409>e2`=9=;01l6?:062?8g?93;?=63n838204=:i191=9?4=`:7>42634k397?;1:?b<3<6<816m59515389d>?28>:70o79;375>;f0h0:8<52a9`9517<5h2h6<:>;409>e=`=9=;01l7?:062?8g>93;?=63n938204=:i091=9?4=`;7>42634k297?;1:?b=3<6<816m49515389d??2=9370o69;60<>;f1h0??552a8`906><5h3h69=7;e<`=<:201lo?:51;?8gf93>8463na3877==:ih918>64=`c7>15?34kj97:<8:?be3<3;116ml9542:89dg?2=9370on9;60<>{t:lo;6=4={_015>;f0<0?=;5rs3gf5?6=:rT9=k52a9690402wx>hk;:181[46k27j4<4;179~w7cb=3:1>vP=1c9>e=6=<8<0q~7}Y:8k01l9i:535?xu5ml=1<7::6s|2dg;>5<5sW8?563n7e8753=z{;on57>52z\10==:i>i18<84}r0fad<72;qU>994=`5a>1713ty9ihl50;0xZ72134k6:p6`cd2909wS<;5:?b3<<39?1v?kjd;296~X5<=16m:654048yv4bml0;6?uQ22c89d102=;=7p}=edd94?4|V;8m70o86;622>{t:ll;6=4={_010>;f?<0?=;5rs3ge5?6=:rT9=552a669040;2wx>hh;:181[42827j584;179~w7ca=3:1>vP=4g9>e<2=<8<0q~7}Y:=o01l7<:535?xu5mo=1<7:3>::6s|2dd;>5<5sW8?o63n908753=z{;om57>52z\10g=:i0:18<84}r0fbd<72;qU>:l4=`:e>1713ty9ikl50;0xZ71f34k3i7:>6:p6``d2909wS<89:?be2=;=7p}=egd94?4|V;==70o7a;622>{t:o:;6=4={_05g>;f000?=;5rs3d35?6=:rT9:<52a9:90402wx>k>;:185`~;f?:095452a8:95=4=`;;>7>334k247<75:?b=<e01l76:3:6?8g>i32i70o6a;:`?8g>i32o70o6a;0;7>;f1h094952a8c96=3<5h3i65l4=`;a>=e<5h3i65j4=`;a>7>434k2n7<74:?b=g<50<16m4m58c9>eek383963n9e8;f>;f1m03o63n9e8;`>;f1m094>52a8f96=2<5h3o6?6:;e34k2i76l;c34k2i7<73:?b=`<50=16m4k529789d?a21h01l7i:9a89d?a21n01l7i:3:0?8g>n383863n9g81<0=:ih:14o52a`2970on2;:a?8gf:32h70on2;:g?8gf:383?63na381<1=:ih81>5;4=`c0>=d<5hk865m4=`c0>=b<5hk86?6<;4=849>ed2=0k16ml:58b9>ed2=0m16ml:529189dg32;2?70on4;0;1>;fi<03n63na48;g>;fi<03h63na481<6=:ih?1>5:4=`c6>7>234kj:76m;d34kj:76k;ed0=:1?01lo8:9`89dg021i01lo8:9f89dg02;2870on7;0;0>;fi>094852a`:95=4=`c;>7>334kj47<75:?be<ed?=:1>01lo6:3:6?xu5n9?1<74?034k257:=b:p6c612909w0o85;3:3>;f1h0?>o5rs3d33?6=:r7j;;4>969>e<>=<;h0q~7}:i>=1=494=`;a>14e3ty9j=750;0x9d1?283<70o6c;61f>{t:o:j6=4={?27j5i4;2c9~w7`7j3:1>v3n7`82=2=:i0o18?l4}r0e4f<72;q6m:l518589d?a2=8i7p}=f1f94?4|5h=h6<78;k>j:1818g0l3;2;63na3876g=z{;l;j7>52z?b3`<61>16ml=543`8yv4a990;6?u2a6d95<1<5hk:699n6s|2g31>5<5s4k3=7?67:?be0<3:k1v?h>3;296~;f0;0:5:52a`4907de=5=90=01lo8:50a?xu5n8?1<74?034kj47:=b:p6c712909w0o75;3:3>;fi00?>o5rs3d23?6=:r7j4;4>969>e7}:i1=1=494=`;b>7>73ty9j<750;0x9d>?283<70o68;0;4>{t:o;j6=4={?27j5o4=819~w7`6j3:1>v3n8`82=2=:i0i1>5>4}r0e5f<72;q6m5l518589d?c2;2;7p}=f0f94?4|5h2h6<78;k?j:1818g?l3;2;63n9g81<5=z{;l:j7>52z?b<`<61>16ml>52928yv4a:90;6?u2a9d95<1<5hk96?6?;|q1b77=838p1l7?:0;4?8gf;383<6s|2g01>5<5s4k2=7?67:?be4<5091v?h=3;296~;f1;0:5:52a`696=694?:3y>e<5=90=01lo::3:3?xu5n;?1<74?034kj:7<70:p6c412909w0o65;3:3>;fi>094=5rs3d13?6=:r7j5;4>969>ed>=:1:0q~7}:i0=1=494=`c:>7>73ty9j?750;308g>03>8563n98877<=:i0k18>74=`;a>15>34k2o7:<9:?b=a<3;016m4k542;89d?a2=9270on0;60=>;fi80??452a`0906?<5hk869=6;ed0=<:301lo8:51:?8gf03>8563na8877<=:ihk1?;l4}|`beg<72:o1?5493zJ774=#<;o1=lj7;[30b?3|7:8:07953h39;0;76gjf;29 1742o:0b9?=:098m4ca290/8<=51dg8j1752910e2;32?>oa?3:1(9?<:g48j1752910ek;50;&7562d?=?4>;:k77d<722c:4<4?::k760<722c:5?4?::m164<72->:?7<=0:l757<732e9=k4?:%627?4582d?=?4>;:m15`<72->:?7<=0:l757<532e9=i4?:%627?4582d?=?4<;:m15f<72->:?7<=0:l757<332e9=o4?:%627?4582d?=?4:;:m15d<72->:?7<=0:l757<132e9=44?:%627?4582d?=?48;:m10<<72->:?7<=0:l757:?7<=0:l757:?7<=0:l757:?7<=0:l757k4?:%627?4582d?=?4i;:m161<72->:?7<=0:l757<6821d><650;&756<5:91e8<<51098k734290/8<=52408j1752910c?;>:18'045=:<80b9?=:098k737290/8<=52408j1752;10c?:i:18'045=:<80b9?=:298k72b290/8<=52408j1752=10c?:k:18'045=:<80b9?=:498k72d290/8<=52408j1752?10c?:m:18'045=:<80b9?=:698k71e290/8<=52408j1752110c?9n:18'045=:<80b9?=:898k71>290/8<=52408j1752h10c?97:18'045=:<80b9?=:c98k710290/8<=52408j1752j10c?99:18'045=:<80b9?=:e98k70d290/8<=52408j1752l10c?8>:18'045=:<80b9?=:g98k731290/8<=52408j17528:07b<;a;29 1742;?97c:>2;32?>dfij0;6<4?:1y'07c=:0k0D9=k;I605>i5100;66sma`f94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eiho1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sma`d94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eik:1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smac394?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eik81<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smac194?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eik>1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smac794?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eik<1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smac594?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eik21<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smac;94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eikk1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smac`94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eiki1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smacf94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eiko1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smacd94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eij:1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smab394?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eij81<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smab194?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eij>1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smab794?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eij<1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smab594?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eij21<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smab;94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eijk1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smab`94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eiji1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smabf94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eijo1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smabd94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{eim:1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smae394?`=83:p(9o?13:17d6n:188m=d=831b4n4?::k;`?6=3`;2;7>5;h0;5?6=3`83>7>5;h0;7?6=3`8387>5;h0;1?6=3`>847>5;h60=?6=3f>9n7>5;n0;4?6=3thjh?4?:g83>5}#<;o18<74H51g?M2492.9m<49;h::>5<>o?k3:17d6k:188m4?02900e?6>:188m7>52900e?6<:188m7>32900e?6::188m15?2900e9=6:188k14e2900c?6?:188yggc;3:1j7>50z&76`<3901C8>j4H512?!4f9320e5750;9j5;h:`>5<5N3;m1C8>?4$3c2>==n000;66g7a;29?l>e2900e5m50;9jo4?::m1<5<722wimi;50;d94?6|,=8n69?6;I60`>N3;81/>l?56:k;=?6=3`2j6=44i9`94?=n0j0;66g7d;29?l7>?3:17d<71;29?l4?:3:17d<73;29?l4?<3:17d<75;29?l2403:17d:<9;29?j25j3:17b<70;29?xdfl?0;6k4?:1y'07c=<830D9=k;I605>"5i80<7d66:188m=g=831b4o4?::k;g?6=3`2o6=44i0;4>5<5<5<6=44i51;>5<5<;%0b5?2>o?j3:17d6l:188m=b=831b=4950;9j6=7=831b>5<50;9j6=5=831b>5:50;9j6=3=831b8>650;9j06?=831d8?l50;9l6=6=831vnlj7:18e>5<7s->9i7:>9:J77a=O<:;0(?o>:69j<<<722c3m7>5;h:a>5<>o61>0;66g=8083>>o50;0;66g=8283>>o50=0;66g=8483>>o3;10;66g;3883>>i3:k0;66a=8183>>{eim31<7h50;2x 14b2=;27E:f2900e5l50;9j5;h3:3?6=3`83=7>5;h0;6?6=3`83?7>5;h0;0?6=3`8397>5;h60857>5;n61f?6=3f83<7>5;|`b`d<72o0;6=u+43g904?<@=9o7E:<1:&1e4c2900e<78:188m7>62900e?6=:188m7>42900e?6;:188m7>22900e9=7:188m15>2900c972900qookb;29b?6=8r.?>h4;189K06b<@=9:7)1<75f29794?=n<:21<75f42;94?=h<;h1<75`29294?=zjhnh6=4i:183!25m3>:56F;3e9K067<,;k:695f8883>>o?i3:17d6m:188m=e=831b4i4?::k2=2<722c94<4?::k1<7<722c94>4?::k1<1<722c9484?::k77=<722c??44?::m76g<722e94=4?::aeab=83l1<7>t$50f>17>3A>8h6F;309'6d7=>2c357>5;h:b>5<>o?l3:17d?67;29?l4?93:17d<72;29?l4?;3:17d<74;29?l4?=3:17d:<8;29?l2413:17b:=b;29?j4?83:17plndd83>c<729q/8?k540;8L15c3A>8=6*=a085?l>>2900e5o50;9j5;h:g>5<5<5<5<5<5<5f;294~"3:l0?=45G42f8L1563-8j=784i9;94?=n0h0;66g7b;29?l>d2900e5j50;9j5<1=831b>5?50;9j6=4=831b>5=50;9j6=2=831b>5;50;9j06>=831b8>750;9l07d=831d>5>50;9~fdc7290m6=4?{%61a?2612B??i5G4238 7g62?1b444?::k;e?6=3`2i6=44i9a94?=n0m0;66g>9683>>o5080;66g=8383>>o50:0;66g=8583>>o50<0;66g;3983>>o3;00;66a;2c83>>i5090;66smad394?`=83:p(9o?13:17d6n:188m=d=831b4n4?::k;`?6=3`;2;7>5;h0;5?6=3`83>7>5;h0;7?6=3`8387>5;h0;1?6=3`>847>5;h60=?6=3f>9n7>5;n0;4?6=3thji?4?:g83>5}#<;o18<74H51g?M2492.9m<49;h::>5<>o?k3:17d6k:188m4?02900e?6>:188m7>52900e?6<:188m7>32900e?6::188m15?2900e9=6:188k14e2900c?6?:188yggb;3:1?7>50z&76`<5io1C8>j4H512?!4f932=7d<6c;29?l4>l3:17b=9b;29?xu5n;k1<7?<{_d2?8gc932j70ok2;:b?8gc;32j70ok4;:b?8gc=32j70ok6;:b?8gc?32j70ok8;:b?8gc132j70oka;:b?8gcj32j70okc;:b?8gcl32j70oke;:b?8gcn32j70oj0;:b?8gb932j70oj2;:b?xu5n;h1<7?<{_ge?8gc932270ok2;::?8gc;32270ok4;::?8gc=32270ok6;::?8gc?32270ok8;::?8gc132270oka;::?8gcj32270okc;::?8gcl32270oke;::?8gcn32270oj0;::?8gb932270oj2;::?xu5n;i1<75<5sW;nh63ne082=2=z{;l9i7>52z\2af=:il:1=494}r0e6c<72;qU=hl4=`fe>4?03ty9j>>50;0xZ4cf34koi7?67:p6c562909wS?j9:?b`a<61>1v?h<2;296~X6m116mio51858yv4a;:0;6?uQ1d589dbd283<7p}=f2694?4|V;;<70okb;3:3>{t:o9>6=4={_022>;fl00:5:5rs3d02?6=:rT9=852ae:95<1=838pR??<;?2wx>k=6:181[46:27jh84>969~w7`4i3:1>vP=099>ea2=90=0q~7}Y9on01lj>:0;4?xu5n:i1<75<5sW;n:63nd382=2=z{;l8i7>512y]b2=:im;1>5<4=`f1>7>534ko?7<72:?b`1<50;16mi;529089db12;2970ok7;0;6>;fl1094?52ae;96=4<5hnj6?6=;eab=:1801ljj:3:1?8gcn383>63ne181<7=:il;1>5<4=`g1>7>53ty9j>h50;30[`234ko=7<71:?b`7<50816mi=529389db32;2:70ok5;0;5>;fl?094<52ae596=7<5hn36?6>;ead=:1;01ljl:3:2?8gcl383=63ndd81<4=:iml1>5?4=`g3>7>634kn=7<71:?ba7<5081v?h;0;296~X3;h16mh=528f8yv4a<80;6?8t^0:2?8gfl3;3=63nad82<4=:ihl1=5?4=``3>4>634ki=7?71:?bf7<60816mo=519389dd3282:70om5;3;5>;fj?0:4<52ac595=7<5hh36<6>;809>egd=91;01lll:0:2?8gel3;3=63nbd82<4=:ikl1=5?4=`a3>4>634kh=7?71:?bg7<60816mn=519389de3282:70ol5;3;5>;fk?0:4<52ab595=7<5hi36<6>;809>efd=91;01lml:0:2?8gdl3;3=63ncd82<4=:ijl1=5?4=`f3>4>634kn?7<6c:p6c252908;vP>939>edb=9=;01loj:062?8gfn3;?=63nb18204=:ik;1=9?4=``1>42634ki?7?;1:?bf1<6<816mo;515389dd128>:70om7;375>;fj10:8<52ac;9517<5hhj6<:>;409>egb=9=;01llj:062?8gen3;?=63nc18204=:ij;1=9?4=`a1>42634kh?7?;1:?bg1<6<816mn;515389de128>:70ol7;375>;fk10:8<52ab;9517<5hij6<:>;409>efb=9=;01lmj:062?8gdn3;?=63nd18204=:im;18>64=`f1>15?34ko?7:<8:?b`1<3;116mi;542:89db12=9370ok7;60<>;fl10??552ae;906><5hnj69=7;eab=<:201ljj:51;?8gcn3>8463ne1877==:il;18>64=`g1>15?3ty9j9=50;0xZ74634kii7:>6:p6c232909wS<>f:?bfa<39?1v?h;5;296~X59l16mom54048yv4a{t:o>36=4={_02f>;fj00?=;5rs3d7=?6=:rT9=l52ac:90402wx>k:l:181[43027jn84;179~w7`3l3:1>vP=469>eg2=<8<0q~7}Y:=<01ll<:535?xu5n=l1<7::6s|2g73>5<5sW8?863nb08753=z{;l>=7>52z\17d=:ik:18<84}r0e17<72;qU>?h4=`ce>1713ty9j8=50;0xZ74334kji7:>6:p6c332909wS<>8:?bea<39?1v?h:5;296~X5=:16mi>54048yv4a=?0;6?uQ24389dea2=;=7p}=f4594?4|V;?;70ole;622>{t:o?36=4={_07b>;fkm0?=;5rs3d6=?6=:rT98h52aba90402wx>k;l:181[43j27jo44;179~w7`2l3:1>vP=7c9>ef>=<8<0q~7}Y:>k01lm8:535?xu5n3>::6s|2g43>5<5sW8<463nc48753=z{;l==7>52z\132=:ij>18<84}r0e27<72;qU>:84=`a0>1713ty9j;=50;0xZ70d34kh>7:>6:p6c032909wS<91:?bg4<39?1v?h95;296~X5=?16mn>54048yv4a>?0;6?uQ25c89dda2=;=7p}=f7594?0cs4kjo7<69:?b`4ea7=:1>01lj>:3:6?8gc:32i70ok2;:`?8gc:32o70ok2;0;7>;fl;094952ae096=3<5hn865l4=`f0>=e<5hn865j4=`f0>7>434ko?7<74:?b`6<50<16mi:58c9>ea2=0j16mi:58e9>ea2=:1901lj;:3:7?8gc<383963nd48;f>;fl<03o63nd48;`>;fl<094>52ae796=2<5hn>6?6:;e34ko:76l;c34ko:7<73:?b`3<50=16mi8529789db021h01lj8:9a89db021n01lj8:3:0?8gc?383863nd681<0=:im214o52ae:92;2>70oka;:a?8gci32h70oka;:g?8gci383?63nd`81<1=:imk1>5;4=`fa>=d<5hni65m4=`fa>=b<5hni6?6<;eae=0k16mim58b9>eae=0m16mim529189dbd2;2?70okc;0;1>;flm03n63nde8;g>;flm03h63nde81<6=:imn1>5:4=`fg>7>234koi76m;d34koi76k;eac=:1?01lji:9`89dba21i01lji:9f89dba2;2870okf;0;0>;flo094852ad295=4=`g3>7>334kn<7<75:?ba4e`7=:1>01lk>:3:6?8gb:32i70oj2;:`?8gb:32o70oj2;0;7>;fm;094952ad096=3edb=90=01lj=:50a?xu5n?31<74?034ko?7:=b:p6c0f2909w0onf;3:3>;fl80?>o5rs3d5f?6=:r7jn=4>969>ea2=<;h0q~7}:ik;1=494=`f6>14e3ty9j;j50;0x9dd5283<70ok6;61f>{t:o?27jh:4;2c9~w7`1n3:1>v3nb582=2=:im218?l4}r0e35<72;q6mo;518589db>2=8i7p}=f6394?4|5hh=6<78;k9=:1818ge?3;2;63ndb876g=z{;l52z?bf=<61>16mio543`8yv4a?=0;6?u2ac;95<1<5hno699n6s|2g55>5<5s4kin7?67:?b`c<3:k1v?h87;296~;fjj0:5:52ad2907degb=90=01lk>:50a?xu5n>31<74?034kn>7:=b:p6c1f2909w0omf;3:3>;fl;094=5rs3d4f?6=:r7jo=4>969>ea5=:1:0q~7}:ij;1=494=`f2>7>73ty9j:j50;0x9de5283<70ok4;0;4>{t:o=n6=4={?27jh84=819~w7`0n3:1>v3nc582=2=:im<1>5>4}r0e<5<72;q6mn;518589db02;2;7p}=f9394?4|5hi=6<78;k6=:1818gd?3;2;63nd881<5=z{;l3?7>52z?bg=<61>16mil52928yv4a0=0;6?u2ab;95<1<5hnh6?6?;|q1b=3=838p1lmn:0;4?8gci383<6s|2g:5>5<5s4khn7?67:?b`a<5091v?h77;296~;fkj0:5:52aeg96=6efb=90=01lji:3:3?xu5n131<74?034kn<7<70:p6c>f2909w0olf;3:3>;fm8094=5rs3d;f?6=:r7jh=4>969>e`4=:1:0q~45|5hn:69=6;4;389>ea2=<:301lj::51:?8gc>3>8563nd6877<=:im218>74=`f:>15>34kom7:<9:?b`g<3;016mim542;89dbc2=9270oke;60=>;flo0??452ad2906?<5ho:69=6;4<6c9~yggb<3:1?h4<8;40M2492.?>h4>ae;8^45a23wbj<4?:%627?`73g>:>7>4;hge>5<#<891j=5a40095>=n9ll1<7*;1282a`=i<881<65f1df94?"39:0:ih5a40095>=n9li1<7*;1282a`=i<881>65f1d`94?"39:0:ih5a40097>=n9lk1<7*;1282a`=i<881865f1d;94?"39:0:ih5a40091>=n9l21<7*;1282a`=i<881:65f1d594?"39:0:ih5a40093>=n:8=1<7*;1282a`=i<881465f20494?"39:0:ih5a4009=>=n:8?1<7*;1282a`=i<881m65f20694?"39:0:ih5a4009f>=n:891<7*;1282a`=i<881o65f20094?"39:0:ih5a4009`>=n:921<7*;1282a`=i<881i65f1gf94?"39:0:ih5a4009b>=n9o81<7*;1282a`=i<881==54i0g5>5<#<891=hk4n531>47<3`l<6=4+4019b3=i<881<65ff483>!26;3l=7c:>2;38?l24i3:17d?71;29?l25=3:17d?62;29?j4593:1(9?<:303?k26:3:07b<>f;29 1742;8;7c:>2;38?j46m3:1(9?<:303?k26:3807b<>d;29 1742;8;7c:>2;18?j46k3:1(9?<:303?k26:3>07b<>b;29 1742;8;7c:>2;78?j46i3:1(9?<:303?k26:3<07b<>9;29 1742;8;7c:>2;58?j4313:1(9?<:303?k26:3207b<;8;29 1742;8;7c:>2;;8?j43?3:1(9?<:303?k26:3k07b<;6;29 1742;8;7c:>2;`8?j43=3:1(9?<:303?k26:3i07b<;4;29 1742;8;7c:>2;f8?j44i3:1(9?<:303?k26:3o07b<=f;29 1742;8;7c:>2;d8?j45<3:1(9?<:303?k26:3;;76a=1983>!26;389<6`;13825>=h:<91<7*;128117=i<881<65`24394?"39:099?5a40095>=h:<:1<7*;128117=i<881>65`25d94?"39:099?5a40097>=h:=o1<7*;128117=i<881865`25f94?"39:099?5a40091>=h:=i1<7*;128117=i<881:65`25`94?"39:099?5a40093>=h:>h1<7*;128117=i<881465`26c94?"39:099?5a4009=>=h:>31<7*;128117=i<881m65`26:94?"39:099?5a4009f>=h:>=1<7*;128117=i<881o65`26494?"39:099?5a4009`>=h:?i1<7*;128117=i<881i65`27394?"39:099?5a4009b>=h:<<1<7*;128117=i<881==54o36b>5<#<891>8<4n531>47<3kkn97>51;294~"3:l095l5G42f8L1563f8257>5;|`ba3<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thji:4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`ba=<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thji44?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`bad<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thjio4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`baf<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thjii4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`ba`<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thjik4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`bb5<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thjj<4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`bb7<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thjj>4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`bb1<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thjj84?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`bb3<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thjj:4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`bb=<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thjj44?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`bbd<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thjjo4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`bbf<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thjji4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`bb`<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thjjk4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`a45<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thi<<4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`a47<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thi<>4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`a41<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thi<84?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`a43<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thi<:4?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`a4=<72=0;6=u+43g96<4<@=9o7E:<1:&1e4<53`;?=7>5;h3;5?6=3`;2;7>5;n622?6=3thi<44?:583>5}#<;o1>4<4H51g?M2492.9m<4=;h375?6=3`;3=7>5;h3:3?6=3f>::7>5;|`a4d<72o0;6=u+43g904?<@=9o7E:<1:&1e4c2900e<78:188m7>62900e?6=:188m7>42900e?6;:188m7>22900e9=7:188m15>2900c972900qol?b;29b?6=8r.?>h4;189K06b<@=9:7)1<75f29794?=n<:21<75f42;94?=h<;h1<75`29294?=zjk:h6=4i:183!25m3>:56F;3e9K067<,;k:6:5f8883>>o?i3:17d6m:188m=e=831b4i4?::k2=2<722c94<4?::k1<7<722c94>4?::k1<1<722c9484?::k77=<722c??44?::m76g<722e94=4?::af5b=83l1<7>t$50f>17>3A>8h6F;309'6d7=<2c357>5;h:b>5<>o?l3:17d?67;29?l4?93:17d<72;29?l4?;3:17d<74;29?l4?=3:17d:<8;29?l2413:17b:=b;29?j4?83:17plm0d83>c<729q/8?k540;8L15c3A>8=6*=a087?l>>2900e5o50;9j5;h:g>5<5<5<5<5<5<5f;294~"3:l0?=45G42f8L1563-8j=794i9;94?=n0h0;66g7b;29?l>d2900e5j50;9j5<1=831b>5?50;9j6=4=831b>5=50;9j6=2=831b>5;50;9j06>=831b8>750;9l07d=831d>5>50;9~fg77290m6=4?{%61a?2612B??i5G4238 7g6211b444?::k;e?6=3`2i6=44i9a94?=n0m0;66g>9683>>o5080;66g=8383>>o50:0;66g=8583>>o50<0;66g;3983>>o3;00;66a;2c83>>i5090;66smb0394?`=83:p(9o?13:17d6n:188m=d=831b4n4?::k;`?6=3`;2;7>5;h0;5?6=3`83>7>5;h0;7?6=3`8387>5;h0;1?6=3`>847>5;h60=?6=3f>9n7>5;n0;4?6=3thi=?4?:g83>5}#<;o18<74H51g?M2492.9m<48;h::>5<>o?k3:17d6k:188m4?02900e?6>:188m7>52900e?6<:188m7>32900e?6::188m15?2900e9=6:188k14e2900c?6?:188ygd6;3:1j7>50z&76`<3901C8>j4H512?!4f93>0e5750;9j5;h:`>5<5N3;m1C8>?4$3c2>2=n000;66g7a;29?l>e2900e5m50;9jo4?::m1<5<722win<;50;d94?6|,=8n69?6;I60`>N3;81/>l?57:k;=?6=3`2j6=44i9`94?=n0j0;66g7d;29?l7>?3:17d<71;29?l4?:3:17d<73;29?l4?<3:17d<75;29?l2403:17d:<9;29?j25j3:17b<70;29?xde9?0;6k4?:1y'07c=<830D9=k;I605>"5i8037d66:188m=g=831b4o4?::k;g?6=3`2o6=44i0;4>5<5<5<6=44i51;>5<5<;%0b5?0>o?j3:17d6l:188m=b=831b=4950;9j6=7=831b>5<50;9j6=5=831b>5:50;9j6=3=831b8>650;9j06?=831d8?l50;9l6=6=831vno?7:18e>5<7s->9i7:>9:J77a=O<:;0(?o>:79j<<<722c3m7>5;h:a>5<>o61>0;66g=8083>>o50;0;66g=8283>>o50=0;66g=8483>>o3;10;66g;3883>>i3:k0;66a=8183>>{ej831<7h50;2x 14b2=;27E:f2900e5l50;9j5;h3:3?6=3`83=7>5;h0;6?6=3`83?7>5;h0;0?6=3`8397>5;h60857>5;n61f?6=3f83<7>5;|`a5d<72o0;6=u+43g904?<@=9o7E:<1:&1e4<13`226=44i9c94?=n0k0;66g7c;29?l>c2900e<78:188m7>62900e?6=:188m7>42900e?6;:188m7>22900e9=7:188m15>2900c972900qol>b;29b?6=8r.?>h4;189K06b<@=9:7)1<75f29794?=n<:21<75f42;94?=h<;h1<75`29294?=zjk;h6=4<:183!25m38jj6F;3e9K067<,;k:6584i3;`>5<5<=g<5k:h65o4=c2g>=g<5k:n65o4=c2e>=g<5k;;65o4=c32>=g<5k;965o4=c30>=g<5k;?65o4=c36>=g<5k;=65o4=c34>=g<5k;365o4=c3:>=g<5k;j65o4=c3a>=g=?<5k:h6574=c2g>=?<5k:n6574=c2e>=?<5k;;6574=c32>=?<5k;96574=c30>=?<5k;?6574=c36>=?<5k;=6574=c34>=?<5k;36574=c3:>=?<5k;j6574=c3a>=??2wx>k7>:181[7bk27i=44>969~w7`>:3:1>vP>ec9>f4>=90=0q~7}Y9lk01o?8:0;4?xu5n0>1<73;2;6s|2g;6>5<5sW;n463m1282=2=z{;l2:7>52z\2a2=:j8?1=494}r0e=2<72;qU><94=c37>4?03ty9j4650;0xZ77134h:>7?67:p6c?>2909wS<>5:?a54<61>1v?h6a;296~X59=16n<>51858yv4a1k0;6?uQ20189g6a283<7p}=f8a94?4|V;;970l?e;3:3>{t:o3o6=4={_03<>;e8m0:5:5rs3d:a?6=:rT:ji52b1c95<1?2wx>ko>:1827~Xa?27if5d=:1801o>l:3:1?8d7l383>63m0d81<7=:j9l1>5<4=c33>7>534h:=7<72:?a57<50;16n<=529089g732;2970l>5;0;6>;e9?094?52b0596=4<5k;36?6=;<`2=?4?:27i=l4=839>f4d=:180q~45|Vo?01o>n:3:2?8d7j383=63m0b81<4=:j9n1>5?4=c2f>7>634h;j7<71:?a55<50816n3;0;5>;e9=094<52b0796=7<5k;=6?6>;<`23?4?927i=54=809>f4?=:1;01o?n:3:2?8d6j383=6s|2gc0>5<5sW>8m63m1b81=a=z{;lj87>527y]5=7<5ho=6<6>;809>e`?=91;01lkn:0:2?8gbj3;3=63neb82<4=:iln1=5?4=`gf>4>634knj7?71:?bb5<60816mk?519389d`5282:70oi3;3;5>;fn=0:4<52ag795=7<5hl=6<6>;809>ec?=91;01lhn:0:2?8gaj3;3=63nfb82<4=:ion1=5?4=`df>4>634kmj7?71:?a45<60816n=?519389g65282:70l?3;3;5>;e8=0:4<52b1795=7<5k:=6<6>;<`33?7?927i<54>809>f5?=91;01o?l:3;`?xu5nh?1<7=8{_3:6>;fm?0:8<52ad59517<5ho36<:>;409>e`d=9=;01lkl:062?8gbl3;?=63ned8204=:ill1=9?4=`d3>42634km=7?;1:?bb7<6<816mk=515389d`328>:70oi5;375>;fn?0:8<52ag59517<5hl36<:>;409>ecd=9=;01lhl:062?8gal3;?=63nfd8204=:iol1=9?4=c23>42634h;=7?;1:?a47<6<816n==515389g6328>:70l?5;375>;e8?0:8<52b159517<5k:36<:>;<`3=?73927if5d=<:201o>l:51;?8d7l3>8463m0d877==:j9l18>64=c33>15?34h:=7:<8:?a57<3;116n<=542:89g732=9370l>5;60<>;e9?0??552b05906><5k;369=7;<`2=?24027i=l4;399>f4d=<:20q~7}Y:;;01lh8:535?xu5nh=1<73>::6s|2gc;>5<5sW8:i63nf48753=z{;lj57>52z\15a=:io>18<84}r0eed<72;qU>1713ty9jll50;0xZ77e34km>7:>6:p6cgd2909wS<>a:?bb4<39?1v?hnd;296~X59016mk>54048yv4ail0;6?uQ25;89dca2=;=7p}=f`d94?4|V;>370oje;622>{t:oh;6=4={_073>;fmm0?=;5rs3da5?6=:rT98;52ada90402wx>kl;:181[44i27ji44;179~w7`e=3:1>vP=2g9>e`>=<8<0q~7}Y:;>01lk8:535?xu5nk=1<73>::6s|2g`;>5<5sW8>?63m088753=z{;li57>52z\114=:j9218<84}r0efd<72;qU>8>4=c24>1713ty9jol50;0xZ72a34h;:7:>6:p6cdd2909wS<;e:?a40<39?1v?hmd;296~X5i70l?2;622>{t:oi;6=4={_04f>;e880?=;5rs3d`5?6=:rT9;l52b1290402wx>km;:181[40?27jji4;179~w7`d=3:1>vP=779>ece=<8<0q~7}Y:?i01lhm:535?xu5nj=1<7::6s|2ga;>5<5sW8>:63nf88753=z{;lh57>52z\10d=:io218<84}r0egd<72?np1lk::3;:?8d7i32i70l?a;:`?8d7i32o70l?a;0;7>;e8h094952b1c96=3<5k:i65l4=c2a>=e<5k:i65j4=c2a>7>434h;n7<74:?a4g<50<16n=m58c9>f5e=0j16n=m58e9>f5e=:1901o>l:3:7?8d7k383963m0e8;f>;e8m03o63m0e8;`>;e8m094>52b1f96=2<5k:o6?6:;<`3a?>e34h;i76l;<`3a?>c34h;i7<73:?a4`<50=16n=k529789g6a21h01o>i:9a89g6a21n01o>i:3:0?8d7n383863m0g81<0=:j8:14o52b02970l>2;:a?8d6:32h70l>2;:g?8d6:383?63m1381<1=:j881>5;4=c30>=d<5k;865m4=c30>=b<5k;86?6<;<`27?4?<27i=>4=849>f42=0k16n<:58b9>f42=0m16n<:529189g732;2?70l>4;0;1>;e9<03n63m148;g>;e9<03h63m1481<6=:j8?1>5:4=c36>7>234h::76m;<`22?>d34h::76k;<`22?4?;27i=;4=859>f40=:1?01o?8:9`89g7021i01o?8:9f89g702;2870l>7;0;0>;e9>094852b0:95=4=c3;>7>334h:47<75:?a5<f4?=:1>01o?6:3:6?8d6i32i70l>a;:`?8d6i32o70l>a;0;7>;e9h094952b0c96=3<5k;i65l4=c3a>=e<5k;i65j4=c3a>7>434h:n7<74:?a5g<50<1v?hlb;296~;fm?0:5:52b1`907de`1=90=01o>l:50a?xu5njn1<74?034h;m7:=b:p6ceb2909w0oj9;3:3>;e8m0?>o5rs3d`b?6=:r7jil4>969>f5c=<;h0q~7}:ilh1=494=c2e>14e3ty9ji?50;0x9dcd283<70l>0;61f>{t:on96=4={?27i=<4;2c9~w7`c;3:1>v3ned82=2=:j8818?l4}r0e`1<72;q6mhh518589g732=8i7p}=fe794?4|5hl;6<78;<`21?25j2wx>kj9:1818ga93;2;63m12876g=z{;lo;7>52z?bb7<61>16n<8543`8yv4al10;6?u2ag195<1<5k;<699n6s|2gfb>5<5s4km97?67:?a5<<3:k1v?hkb;296~;fn?0:5:52b0c907dec1=90=01o?m:50a?xu5nmn1<74?034h;n7<70:p6cbb2909w0oi9;3:3>;e8j094=5rs3dgb?6=:r7jjl4>969>f5g=:1:0q~7}:ioh1=494=c2g>7>73ty9jh?50;0x9d`d283<70l?e;0;4>{t:oo96=4={?27iv3nfd82=2=:j8:1>5>4}r0ea1<72;q6mkh518589g762;2;7p}=fd794?4|5k:;6<78;<`26?4?82wx>kk9:1818d793;2;63m1581<5=z{;ln;7>52z?a47<61>16n<;52928yv4am10;6?u2b1195<1<5k;86?6?;|q1b`?=838p1o>;:0;4?8d6>383<6s|2ggb>5<5s4h;97?67:?a52<5091v?hjb;296~;e8?0:5:52b0:96=6f51=90=01o?6:3:3?xu5nln1<74?034h:m7<70:p6ccb2909w0l?9;3:3>;e9k094=5rs3dfb?6=9:q6n=o542;89g6e2=9270l?c;60=>;e8m0??452b1g906?<5k:m69=6;<`24?24127i=<4;389>f44=<:301o?<:51:?8d6<3>8563m14877<=:j8<18>74=c34>15>34h:47:<9:?a5<<3;016nc;15f>{zjk;o6=435|@=9:7):=e;3b`d=]9:l19v:9:00902<6=3;=6pgi1;29 1742o:0b9?=:198m``=83.?=>4i0:l757<632c:ik4?:%627?7bm2d?=?4?;:k2aa<72->:?7?je:l757<632c:in4?:%627?7bm2d?=?4=;:k2ag<72->:?7?je:l757<432c:il4?:%627?7bm2d?=?4;;:k2a<<72->:?7?je:l757<232c:i54?:%627?7bm2d?=?49;:k2a2<72->:?7?je:l757<032c9=:4?:%627?7bm2d?=?47;:k153<72->:?7?je:l757<>32c9=84?:%627?7bm2d?=?4n;:k151<72->:?7?je:l7574?:%627?7bm2d?=?4l;:k157<72->:?7?je:l757:?7?je:l7570:9j5`0=83.?=>4>ed9m044=9810ek950;&7562d?=?4?;:ke1?6=,=;86k84n531>4=5<6=44i0;1>5<5<#<891>?>4n531>4=5<#<891>?>4n531>6=5<#<891>?>4n531>0=5<#<891>?>4n531>2=26=4+40196765<#<891>?>4n531><=<6=4+40196765<#<891>?>4n531>g=>6=4+40196765<#<891>?>4n531>a=5<#<891>?>4n531>c=4;n024?:%627?42:2d?=?4?;:m114<72->:?7<:2:l757<632e99=4?:%627?42:2d?=?4=;:m10c<72->:?7<:2:l757<432e98h4?:%627?42:2d?=?4;;:m10a<72->:?7<:2:l757<232e98n4?:%627?42:2d?=?49;:m10g<72->:?7<:2:l757<032e9;o4?:%627?42:2d?=?47;:m13d<72->:?7<:2:l757<>32e9;44?:%627?42:2d?=?4n;:m13=<72->:?7<:2:l757:?7<:2:l757:?7<:2:l7570:9l61g=83.?=>4=539m044=9810no?j:182>5<7s->9i7<6a:J77a=O<:;0c?76:188ygd6n3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol=0;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd593:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol=2;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd5;3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol=4;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd5=3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol=6;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd5?3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol=8;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd513:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol=a;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd5j3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol=c;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd5l3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol=e;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd5n3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol<0;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd493:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol<2;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd4;3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol<4;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd4=3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol<6;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd4?3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol<8;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd413:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qolh4=939K06b<@=9:7):188m4?02900c9?9:188ygd4j3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qolh4=939K06b<@=9:7):188m4?02900c9?9:188ygd4l3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qolh4=939K06b<@=9:7):188m4?02900c9?9:188ygd4n3:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol;0;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd393:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qol;2;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188ygd3;3:1j7>50z&76`<3901C8>j4H512?!4f93<0e5750;9j5;h:`>5<5N3;m1C8>?4$3c2>3=n000;66g7a;29?l>e2900e5m50;9jo4?::m1<5<722win9;50;d94?6|,=8n69?6;I60`>N3;81/>l?54:k;=?6=3`2j6=44i9`94?=n0j0;66g7d;29?l7>?3:17d<71;29?l4?:3:17d<73;29?l4?<3:17d<75;29?l2403:17d:<9;29?j25j3:17b<70;29?xde"5i8037d66:188m=g=831b4o4?::k;g?6=3`2o6=44i0;4>5<5<5<6=44i51;>5<5<;%0b5?0>o?j3:17d6l:188m=b=831b=4950;9j6=7=831b>5<50;9j6=5=831b>5:50;9j6=3=831b8>650;9j06?=831d8?l50;9l6=6=831vno:7:18e>5<7s->9i7:>9:J77a=O<:;0(?o>:69j<<<722c3m7>5;h:a>5<>o61>0;66g=8083>>o50;0;66g=8283>>o50=0;66g=8483>>o3;10;66g;3883>>i3:k0;66a=8183>>{ej=31<7h50;2x 14b2=;27E:f2900e5l50;9j5;h3:3?6=3`83=7>5;h0;6?6=3`83?7>5;h0;0?6=3`8397>5;h60857>5;n61f?6=3f83<7>5;|`a0d<72o0;6=u+43g904?<@=9o7E:<1:&1e4<33`226=44i9c94?=n0k0;66g7c;29?l>c2900e<78:188m7>62900e?6=:188m7>42900e?6;:188m7>22900e9=7:188m15>2900c972900qol;b;29b?6=8r.?>h4;189K06b<@=9:7)1<75f29794?=n<:21<75f42;94?=h<;h1<75`29294?=zjk>h6=4i:183!25m3>:56F;3e9K067<,;k:655f8883>>o?i3:17d6m:188m=e=831b4i4?::k2=2<722c94<4?::k1<7<722c94>4?::k1<1<722c9484?::k77=<722c??44?::m76g<722e94=4?::af1b=83l1<7>t$50f>17>3A>8h6F;309'6d7=<2c357>5;h:b>5<>o?l3:17d?67;29?l4?93:17d<72;29?l4?;3:17d<74;29?l4?=3:17d:<8;29?l2413:17b:=b;29?j4?83:17plm4d83>c<729q/8?k540;8L15c3A>8=6*=a085?l>>2900e5o50;9j5;h:g>5<5<5<5<5<5<5f;294~"3:l0?=45G42f8L1563-8j=764i9;94?=n0h0;66g7b;29?l>d2900e5j50;9j5<1=831b>5?50;9j6=4=831b>5=50;9j6=2=831b>5;50;9j06>=831b8>750;9l07d=831d>5>50;9~fg37290m6=4?{%61a?2612B??i5G4238 7g62>1b444?::k;e?6=3`2i6=44i9a94?=n0m0;66g>9683>>o5080;66g=8383>>o50:0;66g=8583>>o50<0;66g;3983>>o3;00;66a;2c83>>i5090;66smb4394?`=83:p(9o?13:17d6n:188m=d=831b4n4?::k;`?6=3`;2;7>5;h0;5?6=3`83>7>5;h0;7?6=3`8387>5;h0;1?6=3`>847>5;h60=?6=3f>9n7>5;n0;4?6=3thi9?4?:g83>5}#<;o18<74H51g?M2492.9m<49;h::>5<>o?k3:17d6k:188m4?02900e?6>:188m7>52900e?6<:188m7>32900e?6::188m15?2900e9=6:188k14e2900c?6?:188ygd2;3:1j7>50z&76`<3901C8>j4H512?!4f93<0e5750;9j5;h:`>5<5N3;m1C8>?4$3c2>3=n000;66g7a;29?l>e2900e5m50;9jo4?::m1<5<722win8;50;194?6|,=8n6?oi;I60`>N3;81/>l?5879j64j50;9l73d=831v?hi0;2956}Yn816n9=58`9>f12=0h16n9;58`9>f10=0h16n9958`9>f1>=0h16n9758`9>f1g=0h16n9l58`9>f1e=0h16n9j58`9>f1c=0h16n9h58`9>f06=0h16n8?58`9>f04=0h16n8=58`9>f02=0h1v?hi1;2956}Ymo16n9=5889>f12=0016n9;5889>f10=0016n995889>f1>=0016n975889>f1g=0016n9l5889>f1e=0016n9j5889>f1c=0016n9h5889>f06=0016n8?5889>f04=0016n8=5889>f02=001v?hi2;296~X6mo16n8:51858yv4an:0;6?uQ1df89g34283<7p}=fg694?4|V8oh70l:2;3:3>{t:ol>6=4={_3ff>;e=80:5:5rs3de2?6=:rT:il52b4295<1m6<78;|q1bc>=838pR?2wx>kh6:181[7b?27i8h4>969~w7`ai3:1>vP=169>f1b=90=0q~7}Y:8<01o:m:0;4?xu5noi1<75<5sW8:863m4882=2=z{;lmi7>52z\156=:j=21=494}r0ebc<72;qU><<4=c64>4?03ty8<=>50;0xZ76?34h?:7?67:p75662909wS?id:?a06<61>1v>>?2;296~X6n;16n9;51858yv578:0;6?uQ1d489g23283<7p}<01694?74sWl<70l;3;0;6>;e<=094?52b5796=4<5k>=6?6=;<`73?4?:27i854=839>f1?=:1801o:n:3:1?8d3j383>63m4b81<7=:j=n1>5<4=c6f>7>534h?j7<72:?a15<50;16n8?529089g352;2970l:3;0;6>;e==094?5rs2231?6=9:qUj852b5196=7<5k>?6?6>;<`71?4?927i8;4=809>f11=:1;01o:7:3:2?8d31383=63m4`81<4=:j=h1>5?4=c6`>7>634h?h7<71:?a0`<50816n9h529389g372;2:70l:1;0;5>;e=;094<52b4196=7<5k??6?6>;|q0450=838pR9=n;<`61?4>l2wx?=>8:1812~X60816n;e:;0:4<52b3195=7<5k8?6<6>;<`11?7?927i>;4>809>f71=91;01o<7:0:2?8d513;3=63m2`82<4=:j;h1=5?4=c0`>4>634h9h7?71:?a6`<60816n?h519389g57282:70l<1;3;5>;e;;0:4<52b2195=7<5k9?6<6>;<`01?7?927i?;4>809>f61=91;01o=7:0:2?8d413;3=63m3`82<4=:j:h1=5?4=c1`>4>634h8h7?71:?a7`<60816n>h519389g27282:70l;1;3;5>;e<;0:4<52b4796:70l=3;375>;e:=0:8<52b379517<5k8=6<:>;<`13?73927i>54>409>f7?=9=;01o42634h9j7?;1:?a75<6<816n>?515389g5528>:70l<3;375>;e;=0:8<52b279517<5k9=6<:>;<`03?73927i?54>409>f6?=9=;01o=n:062?8d4j3;?=63m3b8204=:j:n1=9?4=c1f>42634h8j7?;1:?a05<6<816n9?515389g2528>:70l;3;60<>;e<=0??552b57906><5k>=69=7;<`73?24027i854;399>f1?=<:201o:n:51;?8d3j3>8463m4b877==:j=n18>64=c6f>15?34h?j7:<8:?a15<3;116n8?542:89g352=9370l:3;60<>;e==0??55rs223=?6=:rT9><52b2290402wx?=>l:181[46l27i>i4;179~w667l3:1>vP=1b9>f7e=<8<0q~=?0d83>7}Y:8h01o::6s|3133>5<5sW8:563m288753=z{:::=7>52z\10<=:j;218<84}r1357<72;qU>964=c04>1713ty8<<=50;0xZ72034h9:7:>6:p75732909wS<;6:?a60<39?1v>>>5;296~X5<<16n?:54048yv579?0;6?uQ25689g442=;=7p}<00594?4|V;9j70l=2;622>{t;9;36=4={_01b>;e:80?=;5rs222=?6=:rT9>952b329040<5k;m69?9;|q044d=838pR?;<;<`76?26>2wx?=?l:181[42927i8<4;179~w666l3:1>vP=519>f16=<8<0q~=?1d83>7}Y:=l01o=i:535?xu488l1<7::6s|3103>5<5sW8?h63m3e8753=z{::9=7>52z\10f=:j:i18<84}r1367<72;qU>9l4=c1a>1713ty86:p75432909wS<8a:?a7<<39?1v>>=5;296~X5?016n>654048yv57:?0;6?uQ26:89g502=;=7p}<03594?4|V;=<70l<6;622>{t;9836=4={_042>;e;<0?=;5rs221=?6=:rT9:n52b269040l4?:3y]637<5k9869?9;|q047d=838pR?;9;<`06?26>2wx?=865l4=c60>=e<5k>865j4=c60>7>434h??7<74:?a06<50<16n9:58c9>f12=0j16n9:58e9>f12=:1901o:;:3:7?8d3<383963m448;f>;e<<03o63m448;`>;e<<094>52b5796=2<5k>>6?6:;<`72?>e34h?:76l;<`72?>c34h?:7<73:?a03<50=16n98529789g2021h01o:8:9a89g2021n01o:8:3:0?8d3?383863m4681<0=:j=214o52b5:936?6;;<`72;2>70l;a;:a?8d3i32h70l;a;:g?8d3i383?63m4`81<1=:j=k1>5;4=c6a>=d<5k>i65m4=c6a>=b<5k>i6?6<;<`7f?4?<27i8o4=849>f1e=0k16n9m58b9>f1e=0m16n9m529189g2d2;2?70l;c;0;1>;e;e5:4=c6g>7>234h?i76m;<`7a?>d34h?i76k;<`7a?4?;27i8h4=859>f1c=:1?01o:i:9`89g2a21i01o:i:9f89g2a2;2870l;f;0;0>;e5=4=c73>7>334h><7<75:?a14f07=:1>01o;>:3:6?8d2:32i70l:2;:`?8d2:32o70l:2;0;7>;e=;094952b4096=3<5k?865l4=c70>=e<5k?865j4=c70>7>434h>?7<74:?a16<50<16n8:58c9>f02=0j16n8:58e9>f02=:1901o;;:3:7?8d2<38396s|310f>5<5s4h:j7?67:?a01<3:k1v>>=f;296~;e:90:5:52b57907df77=90=01o:<:50a?xu48:;1<74?034h?:7:=b:p75552909w0l=3;3:3>;e<>0?>o5rs2207?6=:r7i>94>969>f1>=<;h0q~=?3583>7}:j;?1=494=c6:>14e3ty8<>;50;0x9g41283<70l;a;61f>{t;99=6=4={<`13?7>?27i8o4;2c9~w664?3:1>v3m2982=2=:j=n18?l4}r137=<72;q6n?7518589g2b2=8i7p}<02;94?4|5k8j6<78;<`7g?25j2wx?==n:1818d5j3;2;63m4g876g=z{::8n7>52z?a6f<61>16n8>543`8yv57;j0;6?u2b3f95<1<5k?:699n6s|311f>5<5s4h9j7?67:?a16<3:k1v>>f67=90=01o:;:3:3?xu48=;1<74?034h?97<70:p75252909w0l<3;3:3>;e<:094=5rs2277?6=:r7i?94>969>f10=:1:0q~=?4583>7}:j:?1=494=c64>7>73ty8<9;50;0x9g51283<70l;8;0;4>{t;9>=6=4={<`03?7>?27i844=819~w663?3:1>v3m3982=2=:j=k1>5>4}r130=<72;q6n>7518589g2e2;2;7p}<05;94?4|5k9j6<78;<`7`?4?82wx?=:n:1818d4j3;2;63m4d81<5=z{::?n7>52z?a7f<61>16n9m52928yv57m6?6?;|q041b=838p1o=j:0;4?8d28383<6s|316f>5<5s4h8j7?67:?a14<5091v>>;f;296~;e<90:5:52b4096=6f17=90=01o;<:3:3?xu48<;1<74?034h>87<70:p7535290:?v3m42877<=:j=>18>74=c66>15>34h?:7:<9:?a02<3;016n96542;89g2>2=9270l;a;60=>;eo69=6;<`7a?24127i8k4;389>f06=<:301o;>:51:?8d2:3>8563m52877<=:j<>18>74=c76>60e3twin8850;1f>70=;oqC8>?4$50f>4gcj2P:?k4:{54957<3?3;>6<85}hd2>5<#<891j=5a40094>=nmo0;6):>3;d3?k26:3;07d?jf;29 17428on7c:>2;28?l7bl3:1(9?<:0gf?k26:3;07d?jc;29 17428on7c:>2;08?l7bj3:1(9?<:0gf?k26:3907d?ja;29 17428on7c:>2;68?l7b13:1(9?<:0gf?k26:3?07d?j8;29 17428on7c:>2;48?l7b?3:1(9?<:0gf?k26:3=07d<>7;29 17428on7c:>2;:8?l46>3:1(9?<:0gf?k26:3307d<>5;29 17428on7c:>2;c8?l46<3:1(9?<:0gf?k26:3h07d<>3;29 17428on7c:>2;a8?l46:3:1(9?<:0gf?k26:3n07d2;g8?l7al3:1(9?<:0gf?k26:3l07d?i2;29 17428on7c:>2;33?>o6m?0;6):>3;3fa>h39;0:=65ff683>!26;3l=7c:>2;28?l`2290/8<=5f79m044=921b8>o50;9j5=7=831b8?;50;9j5<4=831d>??50;&756<5:91e8<<50:9l64`=83.?=>4=219m044=921d>4=219m044=;21d>4=219m044==21d>4=219m044=?21d>9750;&756<5:91e8<<58:9l61>=83.?=>4=219m044=121d>9950;&756<5:91e8<<5a:9l610=83.?=>4=219m044=j21d>9;50;&756<5:91e8<<5c:9l612=83.?=>4=219m044=l21d>>o50;&756<5:91e8<<5e:9l67`=83.?=>4=219m044=n21d>?:50;&756<5:91e8<<51198k77?290/8<=52328j17528;07b<:3;29 1742;?97c:>2;28?j4293:1(9?<:371?k26:3;07b<:0;29 1742;?97c:>2;08?j43n3:1(9?<:371?k26:3907b<;e;29 1742;?97c:>2;68?j43l3:1(9?<:371?k26:3?07b<;c;29 1742;?97c:>2;48?j43j3:1(9?<:371?k26:3=07b<8b;29 1742;?97c:>2;:8?j40i3:1(9?<:371?k26:3307b<89;29 1742;?97c:>2;c8?j4003:1(9?<:371?k26:3h07b<87;29 1742;?97c:>2;a8?j40>3:1(9?<:371?k26:3n07b<9c;29 1742;?97c:>2;g8?j4193:1(9?<:371?k26:3l07b<:6;29 1742;?97c:>2;33?>i53;066>h39;0:=65mb4594?7=83:p(96F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbc7:>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjk?j6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbc7a>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjk?h6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbc7g>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjk?n6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbc7e>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjk<;6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbc42>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjk<96=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbc40>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjk6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbc46>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjk<=6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbc44>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjk<36=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbc4:>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjk:56F;3e9K067<,;k:695f8883>>o?i3:17d6m:188m=e=831b4i4?::k2=2<722c94<4?::k1<7<722c94>4?::k1<1<722c9484?::k77=<722c??44?::m76g<722e94=4?::af3d=83l1<7>t$50f>17>3A>8h6F;309'6d7=?2c357>5;h:b>5<>o?l3:17d?67;29?l4?93:17d<72;29?l4?;3:17d<74;29?l4?=3:17d:<8;29?l2413:17b:=b;29?j4?83:17plm6b83>c<729q/8?k540;8L15c3A>8=6*=a087?l>>2900e5o50;9j5;h:g>5<5<5<5<5<5<5f;294~"3:l0?=45G42f8L1563-8j=784i9;94?=n0h0;66g7b;29?l>d2900e5j50;9j5<1=831b>5?50;9j6=4=831b>5=50;9j6=2=831b>5;50;9j06>=831b8>750;9l07d=831d>5>50;9~fg0b290m6=4?{%61a?2612B??i5G4238 7g62?1b444?::k;e?6=3`2i6=44i9a94?=n0m0;66g>9683>>o5080;66g=8383>>o50:0;66g=8583>>o50<0;66g;3983>>o3;00;66a;2c83>>i5090;66smb7d94?`=83:p(9o?13:17d6n:188m=d=831b4n4?::k;`?6=3`;2;7>5;h0;5?6=3`83>7>5;h0;7?6=3`8387>5;h0;1?6=3`>847>5;h60=?6=3f>9n7>5;n0;4?6=3thi;=4?:g83>5}#<;o18<74H51g?M2492.9m<47;h::>5<>o?k3:17d6k:188m4?02900e?6>:188m7>52900e?6<:188m7>32900e?6::188m15?2900e9=6:188k14e2900c?6?:188ygd093:1j7>50z&76`<3901C8>j4H512?!4f93>0e5750;9j5;h:`>5<5N3;m1C8>?4$3c2>1=n000;66g7a;29?l>e2900e5m50;9jo4?::m1<5<722win:=50;d94?6|,=8n69?6;I60`>N3;81/>l?56:k;=?6=3`2j6=44i9`94?=n0j0;66g7d;29?l7>?3:17d<71;29?l4?:3:17d<73;29?l4?<3:17d<75;29?l2403:17d:<9;29?j25j3:17b<70;29?xde?=0;6k4?:1y'07c=<830D9=k;I605>"5i80?7d66:188m=g=831b4o4?::k;g?6=3`2o6=44i0;4>5<5<5<6=44i51;>5<5<;%0b5?1>o?j3:17d6l:188m=b=831b=4950;9j6=7=831b>5<50;9j6=5=831b>5:50;9j6=3=831b8>650;9j06?=831d8?l50;9l6=6=831vno99:18e>5<7s->9i7:>9:J77a=O<:;0(?o>:79j<<<722c3m7>5;h:a>5<>o61>0;66g=8083>>o50;0;66g=8283>>o50=0;66g=8483>>o3;10;66g;3883>>i3:k0;66a=8183>>{ej>=1<7h50;2x 14b2=;27E:f2900e5l50;9j5;h3:3?6=3`83=7>5;h0;6?6=3`83?7>5;h0;0?6=3`8397>5;h60857>5;n61f?6=3f83<7>5;|`a3=<72o0;6=u+43g904?<@=9o7E:<1:&1e4<03`226=44i9c94?=n0k0;66g7c;29?l>c2900e<78:188m7>62900e?6=:188m7>42900e?6;:188m7>22900e9=7:188m15>2900c972900qol89;29b?6=8r.?>h4;189K06b<@=9:7)1<75f29794?=n<:21<75f42;94?=h<;h1<75`29294?=zjk=j6=4i:183!25m3>:56F;3e9K067<,;k:655f8883>>o?i3:17d6m:188m=e=831b4i4?::k2=2<722c94<4?::k1<7<722c94>4?::k1<1<722c9484?::k77=<722c??44?::m76g<722e94=4?::af2d=83l1<7>t$50f>17>3A>8h6F;309'6d7=>2c357>5;h:b>5<>o?l3:17d?67;29?l4?93:17d<72;29?l4?;3:17d<74;29?l4?=3:17d:<8;29?l2413:17b:=b;29?j4?83:17plm7b83>6<729q/8?k52`d8L15c3A>8=6*=a08;2>o51j0;66g=9e83>>i4>k0;66s|3170>5<6;rTm=63m6`8;e>;e>k03m63m6b8;e>;e>m03m63m6d8;e>;e>o03m63m718;e>;e?803m63m738;e>;e?:03m63m758;e>;e?<03m63m778;e>;e?>03m63m798;e>;e?003m63m7`8;e>;e?k03m6s|3177>5<6;rTnj63m6`8;=>;e>k03563m6b8;=>;e>m03563m6d8;=>;e>o03563m718;=>;e?803563m738;=>;e?:03563m758;=>;e?<03563m778;=>;e?>03563m798;=>;e?003563m7`8;=>;e?k0356s|3176>5<5sW;nj63m7c82=2=z{::>:7>52z\2aa=:j>k1=494}r1312<72;qU=hm4=c5:>4?03ty8<8650;0xZ4ce34h<47?67:p753>2909wS?ja:?a32<61>1v>>:a;296~X6m016n:851858yv57=k0;6?uQ1d:89g14283<7p}<04a94?4|V8o<70l85;3:3>{t;9?o6=4={_023>;e?=0:5:5rs226a?6=:rT9=;52b6095<1?2wx?=8>:181[46;27i:k4>969~w661:3:1>vP=139>f3c=90=0q~=?6283>7}Y:9201o8k:0;4?xu48?>1<75<5sW;m>63m6b82=2=z{::=:7>52z\2a3=:j?h1=494}r1322<7289pRk94=c4b>7>534h=n7<72:?a2f<50;16n;j529089g0b2;2970l9f;0;6>;e?9094?52b6396=4<5k=96?6=;<`47?4?:27i;94=839>f23=:1801o99:3:1?8d0?383>63m7981<7=:j>31>5<4=c5b>7>534h;e>l094<52b7d96=7<5k=;6?6>;<`45?4?927i;?4=809>f25=:1;01o9;:3:2?8d0=383=63m7781<4=:j>=1>5?4=c5;>7>634h<57<71:?a3d<50816n:l52938yv57>00;6?uQ42c89g1d2;3o7p}<07c94?73sW;3=63m5982<4=:j<31=5?4=c7b>4>634h>n7?71:?a1f<60816n8j519389g3b282:70l:f;3;5>;e>90:4<52b7395=7<5k<96<6>;<`57?7?927i:94>809>f33=91;01o89:0:2?8d1?3;3=63m6982<4=:j?31=5?4=c5`>7?d3ty8<;l50;06[7>:27i954>409>f0?=9=;01o;n:062?8d2j3;?=63m5b8204=:j42634h>j7?;1:?a25<6<816n;?515389g0528>:70l93;375>;e>=0:8<52b779517<5k<=6<:>;<`53?73927i:54>409>f3?=9=;01o8n:51;?8d1j3>8463m6b877==:j?n18>64=c4f>15?34h=j7:<8:?a35<3;116n:?542:89g152=9370l83;60<>;e?=0??552b67906><5k==69=7;<`43?24027i;54;399>f2?=<:201o9n:51;?8d0j3>846s|314`>5<5sW8>?63m688753=z{::=h7>52z\114=:j?218<84}r132`<72;qU>8>4=c44>1713ty8<;h50;0xZ72a34h=:7:>6:p75172909wS<;e:?a20<39?1v>>81;296~X5i70l92;622>{t;9=?6=4={_04f>;e>80?=;5rs2241?6=:rT9;l52b7290402wx?=97:181[40?27i9i4;179~w66013:1>vP=779>f0e=<8<0q~=?7`83>7}Y:?i01o;m:535?xu48>h1<7::6s|315`>5<5sW8>:63m588753=z{::52z\10d=:j<218<84}r133`<72?np1o;8:3;:?8d1i32i70l9a;:`?8d1i32o70l9a;0;7>;e>h094952b7c96=3<5k=e<5k7>434h=n7<74:?a2g<50<16n;m58c9>f3e=0j16n;m58e9>f3e=:1901o8l:3:7?8d1k383963m6e8;f>;e>m03o63m6e8;`>;e>m094>52b7f96=2<5ke34h=i76l;<`5a?>c34h=i7<73:?a2`<50=16n;k529789g0a21h01o8i:9a89g0a21n01o8i:3:0?8d1n383863m6g81<0=:j>:14o52b629:14i52b6296=5<5k=;6?6;;<`44?4?=27i;<47b:?a3470l82;:a?8d0:32h70l82;:g?8d0:383?63m7381<1=:j>81>5;4=c50>=d<5k=865m4=c50>=b<5k=86?6<;<`47?4?<27i;>4=849>f22=0k16n::58b9>f22=0m16n::529189g132;2?70l84;0;1>;e?<03n63m748;g>;e?<03h63m7481<6=:j>?1>5:4=c56>7>234h<:76m;<`42?>d34h<:76k;<`42?4?;27i;;4=859>f20=:1?01o98:9`89g1021i01o98:9f89g102;2870l87;0;0>;e?>094852b6:9214n52b6:921>5=4=c5;>7>334h<47<75:?a3<f2?=:1>01o96:3:6?8d0i32i70l8a;:`?8d0i32o70l8a;0;7>;e?h094952b6c96=3<5k=i65l4=c5a>=e<5k=i65j4=c5a>7>434h>8f;296~;e=10:5:52b7`96=6f0?=90=01o8l:3:3?xu481;1<74?034h=m7<70:p75>52909w0l:b;3:3>;e>m094=5rs22;7?6=:r7i9n4>969>f3c=:1:0q~=?8583>7}:j7>73ty8<5;50;0x9g3b283<70l80;0;4>{t;92=6=4={<`6b?7>?27i;<4=819~w66??3:1>v3m6182=2=:j>81>5>4}r13<=<72;q6n;?518589g132;2;7p}<09;94?4|5k<96<78;<`41?4?82wx?=6n:1818d1;3;2;63m7281<5=z{::3n7>52z?a21<61>16n:852928yv570j0;6?u2b7795<1<5k=<6?6?;|q04=b=838p1o89:0;4?8d00383<6s|31:f>5<5s4h=;7?67:?a3<<5091v>>7f;296~;e>10:5:52b6c96=6f3?=90=01o9m:3:3?xu480;1<7?<{<`5e?24127i:o4;389>f3e=<:301o8k:51:?8d1m3>8563m6g877<=:j>:18>74=c52>15>34h<>7:<9:?a36<3;016n::542;89g122=9270l86;60=>;e?>0??452b6:906?<5k=269=6;<`4e?24127i;o4;389>f2e=;?h0qplm7e83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm7d83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm7g83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8183>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8083>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8383>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8283>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8583>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8483>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8783>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8683>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8983>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8883>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8`83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8c83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8b83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8e83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8d83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm8g83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9183>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9083>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9383>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9283>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9583>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9483>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9783>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9683>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9983>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9883>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9`83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9c83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9b83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9e83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9d83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plm9g83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plma183>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plma083>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plma383>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plma283>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plma583>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plma483>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plma783>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plma683>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plma983>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plma883>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plma`83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plmac83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plmab83>0<729q/8?k52868L15c3A>8=6*=a081?l7393:17d?71;29?l7>?3:17d:>8;29?j26>3:17plmae83>6e=:?08hvF;309'07c=9hnh7W?4je:l757<732c:ik4?:%627?7bm2d?=?4?;:k2aa<72->:?7?je:l757<632c:in4?:%627?7bm2d?=?4=;:k2ag<72->:?7?je:l757<432c:il4?:%627?7bm2d?=?4;;:k2a<<72->:?7?je:l757<232c:i54?:%627?7bm2d?=?49;:k2a2<72->:?7?je:l757<032c9=:4?:%627?7bm2d?=?47;:k153<72->:?7?je:l757<>32c9=84?:%627?7bm2d?=?4n;:k151<72->:?7?je:l7574?:%627?7bm2d?=?4l;:k157<72->:?7?je:l757:?7?je:l7570:9j5`0=83.?=>4>ed9m044=9810ek;50;&756:?7<=0:l757<732e9=k4?:%627?4582d?=?4>;:m15`<72->:?7<=0:l757<532e9=i4?:%627?4582d?=?4<;:m15f<72->:?7<=0:l757<332e9=o4?:%627?4582d?=?4:;:m15d<72->:?7<=0:l757<132e9=44?:%627?4582d?=?48;:m10<<72->:?7<=0:l757:?7<=0:l757:?7<=0:l757:?7<=0:l757k4?:%627?4582d?=?4i;:m161<72->:?7<=0:l757<6821d><650;&756<5:91e8<<51098k734290/8<=52408j1752910c?;>:18'045=:<80b9?=:098k737290/8<=52408j1752;10c?:i:18'045=:<80b9?=:298k72b290/8<=52408j1752=10c?:k:18'045=:<80b9?=:498k72d290/8<=52408j1752?10c?:m:18'045=:<80b9?=:698k71e290/8<=52408j1752110c?9n:18'045=:<80b9?=:898k71>290/8<=52408j1752h10c?97:18'045=:<80b9?=:c98k710290/8<=52408j1752j10c?99:18'045=:<80b9?=:e98k70d290/8<=52408j1752l10c?8>:18'045=:<80b9?=:g98k731290/8<=52408j17528:07b<;a;29 1742;?97c:>2;32?>deil0;6<4?:1y'07c=:0k0D9=k;I605>i5100;66smb`d94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{ejk:1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smbc394?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{ejk81<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smbc194?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{ejk>1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smbc794?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{ejk<1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smbc594?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{ejk21<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smbc;94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{ejkk1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smbc`94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{ejki1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smbcf94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{ejko1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smbcd94?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{ejj:1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smbb394?`=83:p(9o?13:17d6n:188m=d=831b4n4?::k;`?6=3`;2;7>5;h0;5?6=3`83>7>5;h0;7?6=3`8387>5;h0;1?6=3`>847>5;h60=?6=3f>9n7>5;n0;4?6=3thio?4?:g83>5}#<;o18<74H51g?M2492.9m<49;h::>5<>o?k3:17d6k:188m4?02900e?6>:188m7>52900e?6<:188m7>32900e?6::188m15?2900e9=6:188k14e2900c?6?:188ygdd;3:1j7>50z&76`<3901C8>j4H512?!4f93<0e5750;9j5;h:`>5<5N3;m1C8>?4$3c2>3=n000;66g7a;29?l>e2900e5m50;9jo4?::m1<5<722winn;50;d94?6|,=8n69?6;I60`>N3;81/>l?56:k;=?6=3`2j6=44i9`94?=n0j0;66g7d;29?l7>?3:17d<71;29?l4?:3:17d<73;29?l4?<3:17d<75;29?l2403:17d:<9;29?j25j3:17b<70;29?xdek?0;6k4?:1y'07c=<830D9=k;I605>"5i80=7d66:188m=g=831b4o4?::k;g?6=3`2o6=44i0;4>5<5<5<6=44i51;>5<5<;%0b5?0>o?j3:17d6l:188m=b=831b=4950;9j6=7=831b>5<50;9j6=5=831b>5:50;9j6=3=831b8>650;9j06?=831d8?l50;9l6=6=831vnom7:18e>5<7s->9i7:>9:J77a=O<:;0(?o>:79j<<<722c3m7>5;h:a>5<>o61>0;66g=8083>>o50;0;66g=8283>>o50=0;66g=8483>>o3;10;66g;3883>>i3:k0;66a=8183>>{ejj31<7h50;2x 14b2=;27E:f2900e5l50;9j5;h3:3?6=3`83=7>5;h0;6?6=3`83?7>5;h0;0?6=3`8397>5;h60857>5;n61f?6=3f83<7>5;|`agd<72o0;6=u+43g904?<@=9o7E:<1:&1e4<13`226=44i9c94?=n0k0;66g7c;29?l>c2900e<78:188m7>62900e?6=:188m7>42900e?6;:188m7>22900e9=7:188m15>2900c972900qollb;29b?6=8r.?>h4;189K06b<@=9:7)1<75f29794?=n<:21<75f42;94?=h<;h1<75`29294?=zjkih6=4i:183!25m3>:56F;3e9K067<,;k:6;5f8883>>o?i3:17d6m:188m=e=831b4i4?::k2=2<722c94<4?::k1<7<722c94>4?::k1<1<722c9484?::k77=<722c??44?::m76g<722e94=4?::affb=83l1<7>t$50f>17>3A>8h6F;309'6d7=>2c357>5;h:b>5<>o?l3:17d?67;29?l4?93:17d<72;29?l4?;3:17d<74;29?l4?=3:17d:<8;29?l2413:17b:=b;29?j4?83:17plmcd83>c<729q/8?k540;8L15c3A>8=6*=a085?l>>2900e5o50;9j5;h:g>5<5<5<5<5<5<5f;294~"3:l0?=45G42f8L1563-8j=784i9;94?=n0h0;66g7b;29?l>d2900e5j50;9j5<1=831b>5?50;9j6=4=831b>5=50;9j6=2=831b>5;50;9j06>=831b8>750;9l07d=831d>5>50;9~fgb7290m6=4?{%61a?2612B??i5G4238 7g62?1b444?::k;e?6=3`2i6=44i9a94?=n0m0;66g>9683>>o5080;66g=8383>>o50:0;66g=8583>>o50<0;66g;3983>>o3;00;66a;2c83>>i5090;66smbe394?`=83:p(9o?13:17d6n:188m=d=831b4n4?::k;`?6=3`;2;7>5;h0;5?6=3`83>7>5;h0;7?6=3`8387>5;h0;1?6=3`>847>5;h60=?6=3f>9n7>5;n0;4?6=3thih?4?:g83>5}#<;o18<74H51g?M2492.9m<4;;h::>5<>o?k3:17d6k:188m4?02900e?6>:188m7>52900e?6<:188m7>32900e?6::188m15?2900e9=6:188k14e2900c?6?:188ygdc;3:1?7>50z&76`<5io1C8>j4H512?!4f932=7d<6c;29?l4>l3:17b=9b;29?xu48081<7?<{_ge?8dd932270ll2;::?8dd;32270ll4;::?8dd=32270ll6;::?8dd?32270ll8;::?8dd132270lla;::?8ddj32270llc;::?8ddl32270lle;::?8ddn32270lk0;::?8dc932270lk2;::?xu48091<75<5sW;nh63md082=2=z{::297>52z\2af=:jm:1=494}r13=3<72;qU=hl4=cae>4?03ty8<4950;0xZ4cf34hhi7?67:p75??2909wS?j9:?aga<61>1v>>69;296~X6m116nno51858yv571h0;6?uQ1d589ged283<7p}<08`94?4|V;;<70llb;3:3>{t;93h6=4={_022>;ek00:5:5rs22:`?6=:rT9=852bb:95<1?2wx?=o?:181[46:27io84>969~w66f93:1>vP=099>ff2=90=0q~=?a383>7}Y9on01om>:0;4?xu48h91<75<5sW;n:63mc382=2=z{::j97>512y]b0=:jj;1>5?4=ca1>7>634hh?7<71:?ag1<50816nn;529389ge12;2:70ll7;0;5>;ek1094<52bb;96=7<5kij6?6>;<``f?4?927ion4=809>ffb=:1;01omj:3:2?8ddn383=63md181<4=:jm;1>5?4=cf1>7>63ty8809>fd`=91;01ol?:0:2?8de93;3=63mb382<4=:jk91=5?4=c`7>4>634hi97?71:?af3<60816no9519389gd?282:70lm9;3;5>;ejh0:4<52bc`95=7<5khh6<6>;<`a`?7?927inh4>809>fg`=91;01om?:0:2?8dc;382o6s|31c;>5<5=rT:5?52b`d9517<5kh;6<:>;<`a5?73927in?4>409>fg5=9=;01ol;:062?8de=3;?=63mb78204=:jk=1=9?4=c`;>42634hi57?;1:?afd<6<816nol515389gdd28>:70lmd;375>;ejl0:8<52bcd9517<5ki;6<:>;<``5?24027io?4;399>ff5=<:201om;:51;?8dd=3>8463mc7877==:jj=18>64=ca;>15?34hh57:<8:?agd<3;116nnl542:89ged2=9370lld;60<>;ekl0??552bbd906><5kn;69=7;<`g5?24027ih?4;399~w66f13:1>vP=529>ff6=<8<0q~=?a`83>7}Y:<;01oli:535?xu48hh1<7::6s|31c`>5<5sW8?j63mbe8753=z{::jh7>52z\10`=:jki18<84}r13e`<72;qU>9j4=c`a>1713ty86:p75d72909wS<;b:?af<<39?1v>>m1;296~X5?k16no654048yv57j;0;6?uQ26c89gd02=;=7p}<0c194?4|V;=270lm6;622>{t;9h?6=4={_04<>;ej<0?=;5rs22a1?6=:rT9;:52bc690402wx?=l7:181[41927in<4;179~w66e13:1>vP=579>fg6=<8<0q~=?b`83>7}Y:=k01ooi:535?xu48kh1<77>{<`ba?4>127io<47a:?ag4ff7=:1901om>:3:7?8dd9383963mc38;e>;ek;03n63mc38;g>;ek;03h63mc381<7=:jj81>5=4=ca1>7>334hh>7<75:?ag647b:?ag647d:?ag6<50;16nn=529189ge42;2?70ll3;0;1>;ek=03m63mc58;f>;ek=03o63mc58;`>;ek=094?52bb696=5<5ki?6?6;;<``0?4?=27io847a:?ag0ff3=:1901om::3:7?8dd=383963mc78;e>;ek?03n63mc78;g>;ek?03h63mc781<7=:jj<1>5=4=ca5>7>334hh:7<75:?ag2;ek103m63mc98;f>;ek103o63mc98;`>;ek1094?52bb:96=5<5ki36?6;;<``ff?=:1901om6:3:7?8dd1383963mc`8;e>;ekh03n63mc`8;g>;ekh03h63mc`81<7=:jjk1>5=4=cab>7>334hhm7<75:?agg;ekj03m63mcb8;f>;ekj03o63mcb8;`>;ekj094?52bba96=5<5kih6?6;;<``g?4?=27ioi47a:?agaffb=:1901omk:3:7?8ddl383963mcd8;e>;ekl03n63mcd8;g>;ekl03h63mcd81<7=:jjo1>5=4=caf>7>334hhi7<75:?agc;el903m63md18;f>;el903o63md18;`>;el9094?52be296=5<5kn;6?6;;<`g4?4?=27ih<47a:?a`4fa7=:1901oj>:3:7?8dc9383963md38;e>;el;03n63md38;g>;el;03h63md381<7=:jm81>5=4=cf1>7>334ho>7<75:p75dd2909w0lnf;3:3>;ek;094=5rs22a`?6=:r7in=4>969>ff5=:1:0q~=?bd83>7}:jk;1=494=ca2>7>73ty8{t;9i;6=4={<`a7?7>?27io84=819~w66d93:1>v3mb582=2=:jj<1>5>4}r13g7<72;q6no;518589ge02;2;7p}<0b194?4|5kh=6<78;<``52z?af=<61>16nnl52928yv57k?0;6?u2bc;95<1<5kih6?6?;|q04f1=838p1oln:0;4?8ddi383<6s|31a;>5<5s4hin7?67:?aga<5091v>>l9;296~;ejj0:5:52bbg96=6fgb=90=01omi:3:3?xu48jh1<74?034ho<7<70:p75ed2909w0lmf;3:3>;el8094=5rs22``?6=:r7io=4>969>fa4=:1:0q~=?cd83>45|5ki:69=6;<``6?24127io>4;389>ff2=<:301om::51:?8dd>3>8563mc6877<=:jj218>74=ca:>15>34hhm7:<9:?agg<3;016nnm542;89gec2=9270lle;60=>;eko0??452be2906?<5kn:69=6;<`g6?24127ih>4<6c9~ygdc<3:1?7>50z&76`<3;j1C8>j4H512?!50?3;ji:5f18394?=n:hk1<75`37`94?=zjkn>6=4<:183!25m3>8o6F;3e9K067<,:=<6N3;81/?:951`g4?l7>93:17d6<729q/8?k542a8L15c3A>8=6*<7682e`15<;%143?7fm>1b=4?50;9j6dg=831d?;l50;9~fgb>29086=4?{%61a?24k2B??i5G4238 61028kn;6g>9083>>o5ih0;66a<6c83>>{ejmk1<7;50;2x 14b2;h27E:=1=lk8;h3:5?6=3`85;h610?6=3f9=n7>5;n0bf?6=3thiho4?:583>5}#<;o1>oo4H51g?M2492.8;:4>ad58m4?62900e?9j:188m1432900c?om:188ygdck3:187>50z&76`<5jh1C8>j4H512?!50?3;ji:5f18394?=n:>o1<75f43694?=h:hh1<75rbcfg>5<3290;w):=e;0ae>N3;m1C8>?4$254>4gb?2c:5<4?::k13`<722c?>94?::m1eg<722winik50;694?6|,=8n6?ln;I60`>N3;81/?:951`g4?l7>93:17d<8e;29?l25<3:17b"4?>0:mh94i0;2>5<5<;%0b5?4:6=44i0:2>5<5<55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::af`4=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qolj3;291?6=8r.?>h4=959K06b<@=9:7)=87;3ba2=#:h;1>6g>4083>>o6080;66g>9683>>o3910;66a;1783>>{ejl>1<7;50;2x 14b2;3?7E:=1=lk8;%0b5?4:6=44i0:2>5<5<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722winh850;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188ygdb?3:197>50z&76`<51=1C8>j4H512?!50?3;ji:5+2`396>o6<80;66g>8083>>o61>0;66g;1983>>i39?0;66smbd:94?3=83:p(95<5<5<55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::af`g=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qoljb;291?6=8r.?>h4=959K06b<@=9:7)=87;3ba2=#:h;1>6g>4083>>o6080;66g>9683>>o3910;66a;1783>>{ejli1<7;50;2x 14b2;3?7E:=1=lk8;%0b5?4:6=44i0:2>5<5<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722winhk50;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188ygdbn3:197>50z&76`<51=1C8>j4H512?!50?3;ji:5+2`396>o6<80;66g>8083>>o61>0;66g;1983>>i39?0;66smbg294?3=83:p(95<5<5<55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::afc4=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qoli3;291?6=8r.?>h4=959K06b<@=9:7)=87;3ba2=#:h;1>6g>4083>>o6080;66g>9683>>o3910;66a;1783>>{ejo>1<7;50;2x 14b2;3?7E:=1=lk8;%0b5?4:6=44i0:2>5<5<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722wink850;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188ygda?3:197>50z&76`<51=1C8>j4H512?!50?3;ji:5+2`396>o6<80;66g>8083>>o61>0;66g;1983>>i39?0;66smbg:94?3=83:p(95<5<5<55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::afcg=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qolib;291?6=8r.?>h4=959K06b<@=9:7)=87;3ba2=#:h;1>6g>4083>>o6080;66g>9683>>o3910;66a;1783>>{ejoi1<7;50;2x 14b2;3?7E:=1=lk8;%0b5?4:6=44i0:2>5<5<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722winkk50;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188ygdan3:197>50z&76`<51=1C8>j4H512?!50?3;ji:5+2`396>o6<80;66g>8083>>o61>0;66g;1983>>i39?0;66smc1294?3=83:p(95<5<5<55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::ag54=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qom?3;291?6=8r.?>h4=959K06b<@=9:7)=87;3ba2=#:h;1>6g>4083>>o6080;66g>9683>>o3910;66a;1783>>{ek9>1<7;50;2x 14b2;3?7E:=1=lk8;%0b5?4:6=44i0:2>5<5<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722wio=850;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188yge7?3:197>50z&76`<51=1C8>j4H512?!50?3;ji:5+2`396>o6<80;66g>8083>>o61>0;66g;1983>>i39?0;66smc1:94?3=83:p(95<5<5<55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::ag5g=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qom?b;291?6=8r.?>h4=959K06b<@=9:7)=87;3ba2=#:h;1>6g>4083>>o6080;66g>9683>>o3910;66a;1783>>{ek9i1<7;50;2x 14b2;3?7E:=1=lk8;%0b5?4:6=44i0:2>5<5<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722wio=k50;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188yge7n3:197>50z&76`<51=1C8>j4H512?!50?3;ji:5+2`396>o6<80;66g>8083>>o61>0;66g;1983>>i39?0;66smc0294?3=83:p(95<5<5<55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::ag44=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qom>3;291?6=8r.?>h4=959K06b<@=9:7)=87;3ba2=#:h;1>6g>4083>>o6080;66g>9683>>o3910;66a;1783>>{ek8>1<7;50;2x 14b2;3?7E:=1=lk8;%0b5?4:6=44i0:2>5<5<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722wio<850;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188yge6?3:197>50z&76`<51=1C8>j4H512?!50?3;ji:5+2`396>o6<80;66g>8083>>o61>0;66g;1983>>i39?0;66smc0:94?3=83:p(95<5<5<55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::ag4g=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qom>b;291?6=8r.?>h4=959K06b<@=9:7)=87;3ba2=#:h;1>6g>4083>>o6080;66g>9683>>o3910;66a;1783>>{ek8i1<7;50;2x 14b2;3?7E:=1=lk8;%0b5?4:6=44i0:2>5<5<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722wioN3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188yge6n3:197>50z&76`<51=1C8>j4H512?!50?3;ji:5+2`396>o6<80;66g>8083>>o61>0;66g;1983>>i39?0;66smc3294?3=83:p(95<5<5<55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::ag74=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qom=3;291?6=8r.?>h4=959K06b<@=9:7)=87;3ba2=#:h;1>6g>4083>>o6080;66g>9683>>o3910;66a;1783>>{ek;>1<7;50;2x 14b2;3?7E:=1=lk8;%0b5?4:6=44i0:2>5<5<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722wio?850;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188yge5?3:197>50z&76`<51=1C8>j4H512?!50?3;ji:5+2`396>o6<80;66g>8083>>o61>0;66g;1983>>i39?0;66smc3:94?3=83:p(95<5<5<55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::ag7g=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qom=b;291?6=8r.?>h4=959K06b<@=9:7)=87;3ba2=#:h;1>6g>4083>>o6080;66g>9683>>o3910;66a;1783>>{ek;i1<7;50;2x 14b2;3?7E:=1=lk8;%0b5?4:6=44i0:2>5<5<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722wio?k50;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188yge5n3:197>50z&76`<51=1C8>j4H512?!50?3;ji:5+2`396>o6<80;66g>8083>>o61>0;66g;1983>>i39?0;66smc2294?3=83:p(95<5<5<55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::ag64=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qom<3;291?6=8r.?>h4=959K06b<@=9:7)=87;3ba2=#:h;1>6g>4083>>o6080;66g>9683>>o3910;66a;1783>>{ek:>1<7;50;2x 14b2;3?7E:=1=lk8;%0b5?4:6=44i0:2>5<5<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722wio>850;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188yge4?3:197>50z&76`<51=1C8>j4H512?!50?3;ji:5+2`396>o6<80;66g>8083>>o61>0;66g;1983>>i39?0;66smc2:94?3=83:p(95<5<5<55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::ag6g=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qomh4=959K06b<@=9:7)=87;3ba2=#:h;1>6g>4083>>o6080;66g>9683>>o3910;66a;1783>>{ek:i1<7;50;2x 14b2;3?7E:=1=lk8;%0b5?4:6=44i0:2>5<5<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722wio>k50;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188yge4n3:197>50z&76`<51=1C8>j4H512?!50?3;ji:5+2`396>o6<80;66g>8083>>o61>0;66g;1983>>i39?0;66smc5294?5=83:p(95;h0be?6=3f9=n7>5;|``04<72:0;6=u+43g906e<@=9o7E:<1:&032<6il=0e<7>:188m7gf2900c>8m:188yge3:3:1?7>50z&76`<3;j1C8>j4H512?!50?3;ji:5f18394?=n:hk1<75`37`94?=zjj>86=4<:183!25m3>8o6F;3e9K067<,:=<6N3;81/?:951`g4?l7>93:17d0<729q/8?k52c;8L15c3A>8=6*<7682e`15<5<;%143?7fm>1b=4?50;9j62c=831b8?:50;9l6dd=831vnn:8:187>5<7s->9i798:0cf3>o6180;66g=7d83>>o3:=0;66a=ac83>>{ek=21<7:50;2x 14b2;hj7E:=1=lk8;h3:5?6=3`85;h610?6=3f8jn7>5;|``0<<72=0;6=u+43g96gg<@=9o7E:<1:&032<6il=0e<7>:188m71b2900e9<;:188k7ge2900qom;a;290?6=8r.?>h4=b99K06b<@=9:7)=87;3ba2=n90;1<75f26g94?=n<;>1<75`37`94?=zjj>i6=4::183!25m38i=6F;3e9K067<,;k:6?7>;%620?23n2c95n4?::k1=a<722c95h4?::k1=c<722e8:o4?::ag1e=83>1<7>t$50f>7d73A>8h6F;309'6d7=011b>4m50;9j64k50;9l73d=831vnn:k:186>5<7s->9i7:6`8 1732=>27d<6c;29?l4>l3:17d<6e;29?l4>n3:17b=9b;29?xdd"5i80i7d<6c;29?l4>l3:17d<6e;29?j51j3:17pll4g83>0<729q/8?k52c38L15c3A>8=6*=a08;3>"39=0?8i5f28a94?=n:0n1<75f28g94?=n:0l1<75`37`94?=zjj?;6=49:183!25m38i>6F;3e9K067<,;k:6<6l;%620?2312c95n4?::k1=a<722c95h4?::k1=c<722c9m=4?::m02g<722wio8?50;194?6|,=8n6?oi;I60`>N3;81/>l?57e9j64j50;9l73d=831vnn;=:187>5<7s->9i7:908 1732=>37d<6c;29?l4>l3:17d<6e;29?j51j3:17pll5283>1<729q/8?k52c28L15c3A>8=6*=a082===#<8>189h4i3;`>5<5<;%0b5?1c3`82o7>5;h0:`?6=3f9=n7>5;|``10<72=0;6=u+43g96g6<@=9o7E:<1:&1e4<50l1/8<:545f8m7?d2900e?7k:188m7?b2900c>8m:188yge2>3:1:7>50z&76`<5j;1C8>j4H512?!4f9330e?7l:188m7?c2900e?7j:188m7?a2900e?o?:188k60e2900qom:7;290?6=8r.?>h4=b19K06b<@=9:7)"39=0?8h5f28a94?=n:0n1<75f28g94?=h;?h1<75rbb7;>5<3290;w):=e;0a4>N3;m1C8>?4$3c2>`=n:0i1<75f28f94?=n:0o1<75`37`94?=zjj?26=4<:183!25m38jj6F;3e9K067<,;k:6584i3;`>5<5<m7>53;294~"3:l09mk5G42f8L1563-8j=769;h0:g?6=3`82h7>5;n15f?6=3thh9o4?:483>5}#<;o1>o?4H51g?M2492.9m<4=979j64j50;9j64h50;9l73d=831vnn;l:185>5<7s->9i7:3;4?l4>k3:17d<6d;29?l4>m3:17d<6f;29?l4f83:17b=9b;29?xdd=m0;6>4?:1y'07c=:hl0D9=k;I605>"5i80>o51m0;66a<6c83>>{ek:j4i3;`>5<5<;%0b5?40l2c95n4?::k1=a<722c95h4?::m02g<722wio;>50;694?6|,=8n6?l?;I60`>N3;81/>l?5a:k1=f<722c95i4?::k1=`<722e8:o4?::ag37=83>1<7>t$50f>7d73A>8h6F;309'6d7=9o1b>4m50;9j64k50;9l73d=831vnn8=:187>5<7s->9i7:0d8m7?d2900e?7k:188m7?b2900c>8m:188yge1;3:1?7>50z&76`<5io1C8>j4H512?!4f932=7d<6c;29?l4>l3:17b=9b;29?xdd>=0;6>4?:1y'07c=:hl0D9=k;I605>"5i80:i6*;158715=n:0i1<75f28f94?=h;?h1<75rbb46>5<2290;w):=e;0a5>N3;m1C8>?4$3c2>7>>3`82o7>5;h0:`?6=3`82i7>5;h0:b?6=3f9=n7>5;|``23<72=0;6=u+43g96g6<@=9o7E:<1:&1e4N3;81/>l?529:8 1732=?;7d<6c;29?l4>l3:17b=9b;29?xdd>10;694?:1y'07c=:k:0D9=k;I605>"5i80386g=9b83>>o51m0;66g=9d83>>i4>k0;66smc7;94?5=83:p(95<3290;w):=e;0a4>N3;m1C8>?4$3c2>71c3`82o7>5;h0:`?6=3`82i7>5;n15f?6=3thh:o4?:283>5}#<;o1>lh4H51g?M2492.9m<471:k1=f<722c95i4?::m02g<722wio;m50;194?6|,=8n6?oi;I60`>N3;81/>l?5809j64j50;9l73d=831vnn8k:187>5<7s->9i7:968m7?d2900e?7k:188m7?b2900c>8m:188yge1m3:187>50z&76`<5j91C8>j4H512?!4f932?7d<6c;29?l4>l3:17d<6e;29?j51j3:17pll6g83>6<729q/8?k52`d8L15c3A>8=6*=a08;5>o51j0;66g=9e83>>i4>k0;66smc6294?5=83:p(95<4290;w):=e;0bb>N3;m1C8>?4$3c2>=75<;%0b5?7e;2c95n4?::k1=a<722c95h4?::m02g<722wio:=50;694?6|,=8n6?l?;I60`>N3;81/>l?529c8m7?d2900e?7k:188m7?b2900c>8m:188yge0<3:1?7>50z&76`<5io1C8>j4H512?!4f932:7d<6c;29?l4>l3:17b=9b;29?xdd?<0;6>4?:1y'07c=:hl0D9=k;I605>"5i80>o51m0;66a<6c83>>{ek><1<7:50;2x 14b2;h;7E:5<5<;%0b5?1c3`82o7>5;h0:`?6=3f9=n7>5;|``3=<72:0;6=u+43g96d`<@=9o7E:<1:&1e4t$50f>7ga3A>8h6F;309'6d7=:120e?7l:188m7?c2900c>8m:188yge0i3:1?7>50z&76`<5io1C8>j4H512?!4f932=7d<6c;29?l4>l3:17b=9b;29?xdd?k0;6>4?:1y'07c=:hl0D9=k;I605>"5i803:6*;15870==n:0i1<75f28f94?=h;?h1<75rbb5`>5<4290;w):=e;0bb>N3;m1C8>?4$3c2>=0<,=;?69:8;h0:g?6=3`82h7>5;n15f?6=3thh;i4?:283>5}#<;o1>lh4H51g?M2492.9m<476:&751<34m50;9j6k3:17d<6d;29?j51j3:17pll7g83>6<729q/8?k52`d8L15c3A>8=6*=a08;2>"39=0?9<5f28a94?=n:0n1<75`37`94?=zjj2;6=4<:183!25m38jj6F;3e9K067<,;k:6:j4i3;`>5<5<55;294~"3:l09n<5G42f8L1563-8j=79n;h0:g?6=3`82h7>5;h0:a?6=3`82j7>5;n15f?6=3thh4?4?:483>5}#<;o1>o?4H51g?M2492.9m<48a:k1=f<722c95i4?::k1=`<722c95k4?::m02g<722wio5=50;794?6|,=8n6?l>;I60`>N3;81/>l?57`9j64j50;9j64h50;9l73d=831vnn6;:186>5<7s->9i7:6c8m7?d2900e?7k:188m7?b2900e?7i:188k60e2900qom75;291?6=8r.?>h4=b09K06b<@=9:7)k3:17d<6d;29?l4>m3:17d<6f;29?j51j3:17pll8783>0<729q/8?k52c38L15c3A>8=6*=a084e>o51j0;66g=9e83>>o51l0;66g=9g83>>i4>k0;66smc9594?3=83:p(95<2290;w):=e;0a5>N3;m1C8>?4$3c2>2g5<5<;%0b5?1f3`82o7>5;h0:`?6=3`82i7>5;h0:b?6=3f9=n7>5;|``t$50f>7d63A>8h6F;309'6d7=?h1b>4m50;9j64k50;9j6<`=831d?;l50;9~ff>d290>6=4?{%61a?4e92B??i5G4238 7g62>k0e?7l:188m7?c2900e?7j:188m7?a2900c>8m:188yge?l3:197>50z&76`<5j81C8>j4H512?!4f93=j7d<6c;29?l4>l3:17d<6e;29?l4>n3:17b=9b;29?xdd0l0;684?:1y'07c=:k;0D9=k;I605>"5i80>o51m0;66g=9d83>>o51o0;66a<6c83>>{ek1l1<7;50;2x 14b2;h:7E:5<5<5<55;294~"3:l09n<5G42f8L1563-8j=79n;h0:g?6=3`82h7>5;h0:a?6=3`82j7>5;n15f?6=3thh5?4?:483>5}#<;o1>o?4H51g?M2492.9m<48a:k1=f<722c95i4?::k1=`<722c95k4?::m02g<722wio4=50;794?6|,=8n6?l>;I60`>N3;81/>l?57`9j64j50;9j64h50;9l73d=831vnn7;:186>5<7s->9i7:6c8m7?d2900e?7k:188m7?b2900e?7i:188k60e2900qom65;291?6=8r.?>h4=b09K06b<@=9:7)k3:17d<6d;29?l4>m3:17d<6f;29?j51j3:17pll9783>0<729q/8?k52c38L15c3A>8=6*=a084e>o51j0;66g=9e83>>o51l0;66g=9g83>>i4>k0;66smc8594?3=83:p(95<2290;w):=e;0a5>N3;m1C8>?4$3c2>2g5<5<;%0b5?1f3`82o7>5;h0:`?6=3`82i7>5;h0:b?6=3f9=n7>5;|``=d<72<0;6=u+43g96g7<@=9o7E:<1:&1e4<0i2c95n4?::k1=a<722c95h4?::k1=c<722e8:o4?::agt$50f>7d63A>8h6F;309'6d7=?h1b>4m50;9j64k50;9j6<`=831d?;l50;9~ff?d290>6=4?{%61a?4e92B??i5G4238 7g62>k0e?7l:188m7?c2900e?7j:188m7?a2900c>8m:188yge>l3:197>50z&76`<5j81C8>j4H512?!4f93=j7d<6c;29?l4>l3:17d<6e;29?l4>n3:17b=9b;29?xdd1l0;684?:1y'07c=:k;0D9=k;I605>"5i80>o51m0;66g=9d83>>o51o0;66a<6c83>>{ek0l1<7;50;2x 14b2;h:7E:5<5<5<55;294~"3:l09n<5G42f8L1563-8j=79n;h0:g?6=3`82h7>5;h0:a?6=3`82j7>5;n15f?6=3thhm?4?:483>5}#<;o1>o?4H51g?M2492.9m<48a:k1=f<722c95i4?::k1=`<722c95k4?::m02g<722wiol=50;794?6|,=8n6?l>;I60`>N3;81/>l?57`9j64j50;9j64h50;9l73d=831vnno;:186>5<7s->9i7:6c8m7?d2900e?7k:188m7?b2900e?7i:188k60e2900qomn5;291?6=8r.?>h4=b09K06b<@=9:7)k3:17d<6d;29?l4>m3:17d<6f;29?j51j3:17plla783>0<729q/8?k52c38L15c3A>8=6*=a084e>o51j0;66g=9e83>>o51l0;66g=9g83>>i4>k0;66smc`594?3=83:p(95<2290;w):=e;0a5>N3;m1C8>?4$3c2>2g5<5<;%0b5?1f3`82o7>5;h0:`?6=3`82i7>5;h0:b?6=3f9=n7>5;|``ed<72<0;6=u+43g96g7<@=9o7E:<1:&1e4<0i2c95n4?::k1=a<722c95h4?::k1=c<722e8:o4?::agdd=83?1<7>t$50f>7d63A>8h6F;309'6d7=?h1b>4m50;9j64k50;9j6<`=831d?;l50;9~ffgd290>6=4?{%61a?4e92B??i5G4238 7g62>k0e?7l:188m7?c2900e?7j:188m7?a2900c>8m:188ygefl3:197>50z&76`<5j81C8>j4H512?!4f93=j7d<6c;29?l4>l3:17d<6e;29?l4>n3:17b=9b;29?xddil0;684?:1y'07c=:k;0D9=k;I605>"5i80>o51m0;66g=9d83>>o51o0;66a<6c83>>{ekhl1<7;50;2x 14b2;h:7E:5<5<5<55;294~"3:l09n<5G42f8L1563-8j=79n;h0:g?6=3`82h7>5;h0:a?6=3`82j7>5;n15f?6=3thhn?4?:483>5}#<;o1>o?4H51g?M2492.9m<48a:k1=f<722c95i4?::k1=`<722c95k4?::m02g<722wioo=50;794?6|,=8n6?l>;I60`>N3;81/>l?57`9j64j50;9j64h50;9l73d=831vnnl;:186>5<7s->9i7:6c8m7?d2900e?7k:188m7?b2900e?7i:188k60e2900qomm5;291?6=8r.?>h4=b09K06b<@=9:7)k3:17d<6d;29?l4>m3:17d<6f;29?j51j3:17pllb783>0<729q/8?k52c38L15c3A>8=6*=a084e>o51j0;66g=9e83>>o51l0;66g=9g83>>i4>k0;66smcc594?3=83:p(95<2290;w):=e;0a5>N3;m1C8>?4$3c2>2g5<5<;%0b5?1f3`82o7>5;h0:`?6=3`82i7>5;h0:b?6=3f9=n7>5;|``fd<72<0;6=u+43g96g7<@=9o7E:<1:&1e4<0i2c95n4?::k1=a<722c95h4?::k1=c<722e8:o4?::aggd=83?1<7>t$50f>7d63A>8h6F;309'6d7=?h1b>4m50;9j64k50;9j6<`=831d?;l50;9~ffdd290>6=4?{%61a?4e92B??i5G4238 7g62>k0e?7l:188m7?c2900e?7j:188m7?a2900c>8m:188ygeel3:197>50z&76`<5j81C8>j4H512?!4f93=j7d<6c;29?l4>l3:17d<6e;29?l4>n3:17b=9b;29?xddjl0;684?:1y'07c=:k;0D9=k;I605>"5i80>o51m0;66g=9d83>>o51o0;66a<6c83>>{ekkl1<7;50;2x 14b2;h:7E:5<5<5<55;294~"3:l09n<5G42f8L1563-8j=79n;h0:g?6=3`82h7>5;h0:a?6=3`82j7>5;n15f?6=3thho?4?:483>5}#<;o1>o?4H51g?M2492.9m<48a:k1=f<722c95i4?::k1=`<722c95k4?::m02g<722wion=50;794?6|,=8n6?l>;I60`>N3;81/>l?57`9j64j50;9j64h50;9l73d=831vnnm;:186>5<7s->9i7:6c8m7?d2900e?7k:188m7?b2900e?7i:188k60e2900qoml5;291?6=8r.?>h4=b09K06b<@=9:7)k3:17d<6d;29?l4>m3:17d<6f;29?j51j3:17pllc783>0<729q/8?k52c38L15c3A>8=6*=a084e>o51j0;66g=9e83>>o51l0;66g=9g83>>i4>k0;66smcb594?3=83:p(95<2290;w):=e;0a5>N3;m1C8>?4$3c2>2g5<5<;%0b5?1f3`82o7>5;h0:`?6=3`82i7>5;h0:b?6=3f9=n7>5;|``gd<72<0;6=u+43g96g7<@=9o7E:<1:&1e4<0i2c95n4?::k1=a<722c95h4?::k1=c<722e8:o4?::agfd=83?1<7>t$50f>7d63A>8h6F;309'6d7=?h1b>4m50;9j64k50;9j6<`=831d?;l50;9~ffed290>6=4?{%61a?4e92B??i5G4238 7g62>k0e?7l:188m7?c2900e?7j:188m7?a2900c>8m:188ygedl3:197>50z&76`<5j81C8>j4H512?!4f93=j7d<6c;29?l4>l3:17d<6e;29?l4>n3:17b=9b;29?xddkl0;684?:1y'07c=:k;0D9=k;I605>"5i80>o51m0;66g=9d83>>o51o0;66a<6c83>>{ekjl1<7;50;2x 14b2;h:7E:5<5<5<55;294~"3:l09n<5G42f8L1563-8j=79n;h0:g?6=3`82h7>5;h0:a?6=3`82j7>5;n15f?6=3thhh?4?:483>5}#<;o1>o?4H51g?M2492.9m<48a:k1=f<722c95i4?::k1=`<722c95k4?::m02g<722wioi=50;794?6|,=8n6?l>;I60`>N3;81/>l?57`9j64j50;9j64h50;9l73d=831vnnj;:186>5<7s->9i7:6c8m7?d2900e?7k:188m7?b2900e?7i:188k60e2900qomk5;291?6=8r.?>h4=b09K06b<@=9:7)k3:17d<6d;29?l4>m3:17d<6f;29?j51j3:17plld783>0<729q/8?k52c38L15c3A>8=6*=a084e>o51j0;66g=9e83>>o51l0;66g=9g83>>i4>k0;66smce594?3=83:p(95<2290;w):=e;0a5>N3;m1C8>?4$3c2>2g5<5<;%0b5?1f3`82o7>5;h0:`?6=3`82i7>5;h0:b?6=3f9=n7>5;|```d<72<0;6=u+43g96g7<@=9o7E:<1:&1e4<0i2c95n4?::k1=a<722c95h4?::k1=c<722e8:o4?::agad=83?1<7>t$50f>7d63A>8h6F;309'6d7=?h1b>4m50;9j64k50;9j6<`=831d?;l50;9~ffbd290>6=4?{%61a?4e92B??i5G4238 7g62>k0e?7l:188m7?c2900e?7j:188m7?a2900c>8m:188ygecl3:197>50z&76`<5j81C8>j4H512?!4f93=j7d<6c;29?l4>l3:17d<6e;29?l4>n3:17b=9b;29?xddll0;684?:1y'07c=:k;0D9=k;I605>"5i80>o51m0;66g=9d83>>o51o0;66a<6c83>>{ekml1<7:50;2x 14b2;h;7E:>o51m0;66g=9d83>>i4>k0;66smcd294?2=83:p(95<5<53;294~"3:l09mk5G42f8L1563-8j=7<78:k1=f<722c95i4?::m02g<722wioh<50;194?6|,=8n6?oi;I60`>N3;81/>l?529:8m7?d2900e?7k:188k60e2900qomj3;297?6=8r.?>h4=ag9K06b<@=9:7)o51j0;66g=9e83>>i4>k0;66smcd694?5=83:p(95<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722wioh850;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188ygeb?3:197>50z&76`<51=1C8>j4H512?!50?3;ji:5+2`396>o6<80;66g>8083>>o61>0;66g;1983>>i39?0;66smcd:94?3=83:p(95<5<5<55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::ag`g=83?1<7>t$50f>7?33A>8h6F;309'721=9ho<7):188m4?02900e9?7:188k1712900qomjb;290?6=8r.?>h4=929K06b<@=9:7d?;1;29?l7>?3:17d:>8;29?j26>3:17plleb83>0<729q/8?k52868L15c3A>8=6*<7682e`1<,;k:6?5f15394?=n91;1<75f18594?=n<821<75`40494?=zjjoo6=4::183!25m38286F;3e9K067<,:=<65;h3;5?6=3`;2;7>5;h62::7>5;|``a`<72<0;6=u+43g96<2<@=9o7E:<1:&032<6il=0(?o>:39j517=831b=5?50;9j5<1=831b8<650;9l040=831vnnki:186>5<7s->9i7<65:J77a=O<:;0(>98:0cf3>"5i80h7d?;1;29?l7?93:17d?67;29?l25<3:17b:>6;29?xddn90;684?:1y'07c=:0?0D9=k;I605>"4?>0:mh94$3c2>f=n9=;1<75f19394?=n90=1<75f43694?=h<8<1<75rbbd2>5<2290;w):=e;0:0>N3;m1C8>?4$254>4gb?2.9m<4=;h375?6=3`;3=7>5;h3:3?6=3`>:47>5;n622?6=3thhj?4?:583>5}#<;o1>4=4H51g?M2492c:8<4?::k2=2<722c?=54?::m753<722wiok=50;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188ygea<3:197>50z&76`<51<1C8>j4H512?!50?3;ji:5+2`39g>o6<80;66g>8083>>o61>0;66g;2583>>i39?0;66smcg794?3=83:p(95<5<5<55;294~"3:l09585G42f8L1563-9<;7?ne69'6d7=k2c:8<4?::k2<4<722c:5:4?::k761<722e?=;4?::agc1=83?1<7>t$50f>7?23A>8h6F;309'721=9ho<7):188m4?02900e9<;:188k1712900qomi8;291?6=8r.?>h4=949K06b<@=9:7)=87;3ba2=#:h;1o6g>4083>>o6080;66g>9683>>o3:=0;66a;1783>>{eko31<7;50;2x 14b2;3>7E:=1=lk8;%0b5?e:6=44i0:2>5<5<;%143?7fm>1/>l?52:k204<722c:4<4?::k2=2<722c?=54?::m753<722wiokl50;794?6|,=8n6?7;;I60`>N3;81/?:951`g4?!4f9380e<:>:188m4>62900e<78:188m17?2900c9?9:188ygeak3:197>50z&76`<51=1C8>j4H512?!50?3;ji:5+2`396>o6<80;66g>8083>>o61>0;66g;1983>>i39?0;66smcgf94?3=83:p(95<5<5<55;294~"3:l09595G42f8L1563-9<;7?ne69'6d7=:2c:8<4?::k2<4<722c:5:4?::k75=<722e?=;4?::agc`=8381<7>t$50f>7gb3A>8h6F;309'6d7=9l1b>4m50;9l73d=831vni>?:181>5<7s->9i7:0g8m7?d2900c>8m:188ygb793:1>7>50z&76`<5il1C8>j4H512?!4f93;n7d<6c;29?j51j3:17plk0383>7<729q/8?k52`g8L15c3A>8=6*=a082a>o51j0;66a<6c83>>{el991<7<50;2x 14b2;kn7E:5<5290;w):=e;0ba>N3;m1C8>?4$3c2>4c5<52;294~"3:l09mh5G42f8L1563-8j=7?j;h0:g?6=3f9=n7>5;|`g43<72;0;6=u+43g96dc<@=9o7E:<1:&1e4<6m2c95n4?::m02g<722wih=950;094?6|,=8n6?oj;I60`>N3;81/>l?51d9j6h4=929K06b<@=9:7)50z&76`<51:1C8>j4H512?!4f9380e<:>:188m4?02900e9?7:188k1712900qoj?b;290?6=8r.?>h4=929K06b<@=9:7)50z&76`<51:1C8>j4H512?!4f9380e<:>:188m4?02900e9?7:188k1712900qoj?d;290?6=8r.?>h4=929K06b<@=9:7)50z&76`<5191C8>j4H512?!4f93i0e<:>:188m4?02900c9?9:188ygb7n3:1?7>50z&76`<5191C8>j4H512?!4f93i0e<:>:188m4?02900c9?9:188ygb683:197>50z&76`<5j81C8>j4H512?!4f9383h6g=9b83>>o51m0;66g=9d83>>o51o0;66a<6c83>>{el8;1<7;50;2x 14b2;h:7E:5<5<;%0b5?>73->:87:;c:k1=f<722c95i4?::k1=`<722e8:o4?::a`45=83?1<7>t$50f>7d63A>8h6F;309'6d7=?l1/8<:545a8m7?d2900e?7k:188m7?b2900e?7i:188k60e2900qoj>4;292?6=8r.?>h4=b39K06b<@=9:7)?m6g=9b83>>o51m0;66g=9d83>>o51o0;66g=a183>>i4>k0;66smd0794?2=83:p(95;h0:a?6=3f9=n7>5;|`g53<72<0;6=u+43g96g7<@=9o7E:<1:&1e4<50k1/8<:54558m7?d2900e?7k:188m7?b2900e?7i:188k60e2900qoj>7;291?6=8r.?>h4=b09K06b<@=9:7)"39=0?885f28a94?=n:0n1<75f28g94?=n:0l1<75`37`94?=zjm;36=4::183!25m38i=6F;3e9K067<,;k:6?6m;%620?2292c95n4?::k1=a<722c95h4?::k1=c<722e8:o4?::a`4?=83>1<7>t$50f>7d73A>8h6F;309'6d7=:1o0(9?;:56f?l4>k3:17d<6d;29?l4>m3:17b=9b;29?xdc9h0;6;4?:1y'07c=:k80D9=k;I605>"5i809<6*;158701=n:0i1<75f28f94?=n:0o1<75f28d94?=n:h:1<75`37`94?=zjm;i6=4::183!25m38i=6F;3e9K067<,;k:6?6l;h0:g?6=3`82h7>5;h0:a?6=3`82j7>5;n15f?6=3tho=n4?:483>5}#<;o1>o?4H51g?M2492.9m<4i;%620?23<2c95n4?::k1=a<722c95h4?::k1=c<722e8:o4?::a`4b=83<1<7>t$50f>7d53A>8h6F;309'6d7=?01/8<:545`8m7?d2900e?7k:188m7?b2900e?7i:188m7g72900c>8m:188ygb6m3:187>50z&76`<5j91C8>j4H512?!4f93;m7):>4;67f>o51j0;66g=9e83>>o51l0;66a<6c83>>{el8l1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66smd3294?4=83:p(95<5290;w):=e;0b=>N3;m1C8>?4i3;a>5<;h0:f?6=3f9=n7>5;|`g66<72;0;6=u+43g96d?<@=9o7E:<1:k1=g<722e8:o4?::a`72=8381<7>t$50f>7g>3A>8h6F;309j68m:188ygb5>3:1>7>50z&76`<5i01C8>j4H512?l4>j3:17b=9b;29?xdc:>0;6?4?:1y'07c=:h30D9=k;I605>o51k0;66a<6c83>>{el;21<7<50;2x 14b2;k27E:5<52;294~"3:l09m45G42f8L1563`82n7>5;n15f?6=3tho>o4?:383>5}#<;o1>l74H51g?M2492c95o4?::m02g<722wih?m50;094?6|,=8n6?o6;I60`>N3;81b>4l50;9l73d=831vni5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe0e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vni=?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa5629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe11>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjm986=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa53290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vni=::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe14>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vni=7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa5>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe1b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjm9i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa5d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vni=k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe1e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vni:?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa2629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe61>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjm>86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa23290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vni:::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<=6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe64>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vni:7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa2>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe6b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjm>i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa2d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vni:k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<n6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe6e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vni;?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa3629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe71>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjm?86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa33290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vni;::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe74>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vni;7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa3>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe7b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjm?i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa3d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vni;k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe7e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vni8?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa0629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe41>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjm<86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa03290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vni8::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe44>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vni87:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa0>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe4b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjm9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa0d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vni8k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe4e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vni9?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa1629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe51>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjm=86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa13290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vni9::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe54>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vni97:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa1>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe5b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjm=i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa1d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vni9k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe5e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vni6?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa>629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe:1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjm286=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa>3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vni6::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe:4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vni67:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa>>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe:b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjm2i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa>d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vni6k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe:e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vni7?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa?629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe;1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjm386=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa?3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vni7::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe;4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vni77:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa?>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe;b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjm3i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa?d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vni7k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe;e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnio?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fag629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbec1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjmk86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fag3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnio::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbec4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnio7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fag>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbecb>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjmki6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fagd290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vniok:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbece>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnil?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fad629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe`1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjmh86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fad3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnil::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe`4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnil7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fad>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbe`b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjmhi6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fadd290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnilk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbe`e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnim?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fae629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbea1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjmi86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fae3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnim::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbea4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnim7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fae>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbeab>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjmii6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~faed290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnimk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbeae>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnij?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fab629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbef1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjmn86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fab3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnij::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbef4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnij7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fab>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbefb>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjmni6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fabd290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnijk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbefe>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnik?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fac629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbeg1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjmo86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fac3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnik::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbeg4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnik7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fac>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbegb>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjmoi6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~facd290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnikk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbege>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnih?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa`629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbed1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjml86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa`3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnih::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbed4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnih7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fa`>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbedb>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjmli6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fa`d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnihk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbede>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh>?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`6629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd21>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl:86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`63290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh>::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd24>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh>7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`6>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd2b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl:i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`6d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh>k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd2e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh??:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`7629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd31>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl;86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`73290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh?::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd34>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh?7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`7>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd3b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl;i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`7d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh?k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd3e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`4629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd01>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl886=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`43290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh<::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd04>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh<7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`4>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd0b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl8i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`4d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd0e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh=?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`5629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd11>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl986=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`53290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh=::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd14>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh=7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`5>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd1b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl9i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`5d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh=k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd1e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh:?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`2629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd61>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl>86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`23290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh:::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<=6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd64>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh:7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`2>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd6b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl>i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`2d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh:k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<n6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd6e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh;?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`3629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd71>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl?86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`33290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh;::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd74>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh;7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`3>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd7b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl?i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`3d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh;k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd7e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh8?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`0629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd41>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl<86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`03290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh8::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd44>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh87:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`0>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd4b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`0d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh8k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd4e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh9?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`1629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd51>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl=86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`13290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh9::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd54>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh97:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`1>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd5b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl=i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`1d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh9k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd5e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh6?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`>629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd:1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl286=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`>3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh6::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd:4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh67:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`>>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd:b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl2i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`>d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh6k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd:e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh7?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`?629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd;1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl386=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`?3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh7::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd;4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnh77:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`?>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd;b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjl3i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`?d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnh7k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd;e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnho?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`g629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbdc1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjlk86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`g3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnho::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbdc4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnho7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`g>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbdcb>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjlki6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`gd290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnhok:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbdce>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnhl?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`d629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd`1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjlh86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`d3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnhl::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd`4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnhl7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`d>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbd`b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjlhi6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`dd290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnhlk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbd`e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnhm?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`e629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbda1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjli86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`e3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnhm::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbda4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnhm7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`e>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbdab>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjlii6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`ed290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnhmk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbdae>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnhj?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`b629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbdf1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjln86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`b3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnhj::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbdf4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnhj7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`b>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbdfb>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjlni6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`bd290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnhjk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbdfe>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnhk?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`c629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbdg1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjlo86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`c3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnhk::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbdg4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnhk7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f`c>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbdgb>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjloi6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f`cd290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnhkk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbdge>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnhh?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f``629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbdd1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjll86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f``3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnhh::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbdd4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnhh7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~f``>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbddb>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjlli6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f``d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnhhk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbdde>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk>?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc6629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg21>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo:86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc63290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk>::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg24>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk>7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc6>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg2b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo:i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc6d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk>k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg2e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk??:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc7629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg31>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo;86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc73290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk?::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg34>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk?7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc7>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg3b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo;i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc7d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk?k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg3e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc4629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg01>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo886=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc43290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk<::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg04>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk<7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc4>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg0b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo8i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc4d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg0e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk=?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc5629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg11>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo986=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc53290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk=::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg14>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk=7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc5>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg1b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo9i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc5d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk=k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg1e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk:?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc2629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg61>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo>86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc23290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk:::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<=6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg64>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk:7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc2>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg6b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo>i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc2d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk:k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<n6=4;:183!25m382>6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg6e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk;?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc3629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg71>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo?86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc33290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk;::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg74>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk;7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc3>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg7b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo?i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc3d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk;k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg7e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk8?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc0629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg41>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo<86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc03290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk8::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg44>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk87:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc0>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg4b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc0d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk8k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg4e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk9?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc1629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg51>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo=86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc13290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk9::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg54>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk97:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc1>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg5b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo=i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc1d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk9k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg5e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk6?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc>629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg:1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo286=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc>3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk6::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg:4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk67:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc>>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg:b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo2i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc>d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk6k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg:e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk7?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc?629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg;1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo386=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc?3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk7::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg;4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnk77:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc?>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg;b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjo3i6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc?d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnk7k:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg;e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnko?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fcg629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbgc1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjok86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fcg3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnko::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbgc4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnko7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fcg>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbgcb>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjoki6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fcgd290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnkok:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbgce>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnkl?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fcd629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg`1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjoh86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fcd3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnkl::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg`4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnkl7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fcd>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbg`b>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjohi6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fcdd290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnklk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbg`e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnkm?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fce629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbga1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjoi86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fce3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnkm::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbga4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnkm7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fce>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbgab>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjoii6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fced290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnkmk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbgae>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnkj?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fcb629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbgf1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjon86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fcb3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnkj::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbgf4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnkj7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fcb>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbgfb>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjoni6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fcbd290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnkjk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbgfe>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnkk?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fcc629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbgg1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjoo86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fcc3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnkk::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbgg4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnkk7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fcc>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbggb>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjooi6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fccd290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnkkk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbgge>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnkh?:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc`629026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbgd1>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjol86=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc`3290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnkh::18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbgd4>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vnkh7:187>5<7s->9i7<62:J77a=O<:;0(?o>:39j517=831b=5?50;9j5<1=831d8<850;9~fc`>29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rbgdb>5<3290;w):=e;0:6>N3;m1C8>?4$3c2>7=n9=;1<75f19394?=n90=1<75`40494?=zjoli6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~fc`d290?6=4?{%61a?4>:2B??i5G4238 7g62;1b=9?50;9j5=7=831b=4950;9l040=831vnkhk:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<6F;3e9K067<,;k:6?5f15394?=n91;1<75f18594?=h<8<1<75rbgde>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn<>?0;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg77880;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`2454=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi==><:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<?3:17b:>6;29?j26?3:17pl>01494?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e99:<6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f46703:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo??0883><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th:<=o50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a556e29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb023g?6=<3:1;%0b5?4:6=44i0:2>5<5<5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd689o1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm112e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn<>>0;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg77980;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`2444=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi==?<:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<?3:17b:>6;29?j26?3:17pl>00494?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e99;<6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f46603:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo??1883><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th:<N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a557e29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb022g?6=<3:1;%0b5?4:6=44i0:2>5<5<5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd688o1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm113e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn<>=0;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg77:80;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`2474=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi==<<:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<?3:17b:>6;29?j26?3:17pl>03494?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e998<6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f46503:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo??2883><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th:N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a554e29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb021g?6=<3:1;%0b5?4:6=44i0:2>5<5<i4?:883>5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd68;o1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm110e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn<><0;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg77;80;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`2464=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi===<:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<?3:17b:>6;29?j26?3:17pl>02494?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e999<6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f46403:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo??3883><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th:<>o50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a555e29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb020g?6=<3:1;%0b5?4:6=44i0:2>5<5<5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd68:o1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm111e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn<>;0;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg77<80;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`2414=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi==:<:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<?3:17b:>6;29?j26?3:17pl>05494?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e99><6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f46303:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo??4883><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th:<9o50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a552e29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb027g?6=<3:1;%0b5?4:6=44i0:2>5<5<5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd68=o1<7:50;2x 14b2;397E:6g>4083>>o6080;66g>9683>>i39?0;66sm116e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn<>:0;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg77=80;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`2404=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi==;<:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<87>54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<?3:17b:>6;29?j26?3:17pl>04494?2=83:p(9o6<80;66g>8083>>o61>0;66a;1783>>{e99?<6=46:183!25m3>9o6F;3e9K067<,;k:6>5f8883>>o?i3:17d6m:188m=e=831b=5?50;9j5<4=831b=4950;9l040=831d8<950;9~f46203:187>50z&76`<51;1C8>j4H512?!4f9380e<:>:188m4>62900e<78:188k1712900qo??5883><<729q/8?k543a8L15c3A>8=6*=a080?l>>2900e5o50;9j5;h3;5?6=3`;2>7>5;h3:3?6=3f>::7>5;n623?6=3th:<8o50;694?6|,=8n6?7=;I60`>N3;81/>l?52:k204<722c:4<4?::k2=2<722e?=;4?::a553e29026=4?{%61a?25k2B??i5G4238 7g62:1b444?::k;e?6=3`2i6=44i9a94?=n91;1<75f18094?=n90=1<75`40494?=h<8=1<75rb026g?6=<3:1;%0b5?4:6=44i0:2>5<5<5}#<;o18?m4H51g?M2492.9m<4<;h::>5<>o?k3:17d?71;29?l7>:3:17d?67;29?j26>3:17b:>7;29?xd686g>4083>>o6080;66g>9683>>i39?0;66sm117e>5<>290;w):=e;61g>N3;m1C8>?4$3c2>6=n000;66g7a;29?l>e2900e5m50;9j5=7=831b=4<50;9j5<1=831d8<850;9l041=831vn<>90;290?6=8r.?>h4=939K06b<@=9:7):188m4?02900c9?9:188yg77>80;644?:1y'07c=<;i0D9=k;I605>"5i8087d66:188m=g=831b4o4?::k;g?6=3`;3=7>5;h3:6?6=3`;2;7>5;n622?6=3f>:;7>5;|`2434=83>1<7>t$50f>7?53A>8h6F;309'6d7=:2c:8<4?::k2<4<722c:5:4?::m753<722wi==8<:18:>5<7s->9i7:=c:J77a=O<:;0(?o>:29j<<<722c3m7>5;h:a>5<54;294~"3:l095?5G42f8L1563-8j=7<4i062>5<5<?2wx=lkl:181[77?:16h>951858yv7fmm0;6?uQ1151?8b4;3;2;6s|1`gf>5<5sW;;;<52d2`95<1`6?=90=0q~?nf083>7}Y99{t9hl96=4={_332a=:l=91=494}r3bb6<72;qU==9l;?2wx=lh;:181[77?k16h9;51858yv7fn<0;6?uQ115b?8b3?3;2;6s|1`d5>5<5sW;;;452d5;95<1`1`=90=0q~?nf883>7}Y99==70j:1;3:3>{t9hlj6=4={_3330=:l=n1=494}r3bbg<72;qU==8l;?2wx=lhl:181[77>k16h8951858yv7fnm0;6?uQ11`f?820l3;m:6s|1`df>5<5sW;;ni5246f95c302b=9o90q~?m0083>7}Y99h270:8d;3e5>{t9k:96=4={_33f==:<>n1=k>4}r3a46<72;qU==l8;<64`?7bn2wx=o>;:181[77j?168:j51df8yv7e8<0;6?uQ11`6?820l3;no6s|1c25>5<5sW;;n95246f95`d02b=9l30q~?m0883>7}Y99h:70:8d;3f<>{t9k:j6=4={_33f5=:<>n1=h94}r3a4g<72;qU==m:;<64`?46?2wx=o>l:181[77k=168:j52048yv7e8m0;6?uQ11a0?820l38:96s|1c2f>5<5sW;;o?5246f96423:p5g772909wS??c19>02b=:880q~?m1083>7}Y99hm70:8d;03<>{t9k;96=4={_33fd=:<>n1=kj4}r3a56<72;qU==oi;<64`?7a:2wx=o?;:181[77il168:j51d48yv7e9<0;6?uQ10;f?820l38:=6s|1c35>5<5sW;:5i5246f965`9c9>02b=:9n0q~?m1883>7}Y983270:8d;03g>{t9k;j6=4={_32===:<>n1>=l4}r3a5g<72;qU=<78;<64`?47i2wx=o?l:181[761?168:j521;8yv7e9m0;6?uQ10;6?820l38;;6s|1c3f>5<5sW;:595246f9650939>02b=:9>0q~?m2083>7}Y983:70:8d;037>{t9k896=4={_32=5=:<>n1>=<4}r3a66<72;qU=5<5sW;:m?5246f95cc:4?:3y]54g634>a19>02b=9oh0q~?m2883>7}Y983m70:8d;3ee>{t9k8j6=4={_32=d=:<>n1=k74}r3a6g<72;qU=<6i;<64`?7a02wx=o;3=>0?=55244c904><5=<269<;;<65e?26027?:o4;199>03b=<820198j:53;?821n3>:463;71875==:<>;18<64=551>17?34>;3?h0?=55246`904><5==h69?7;<64`?25=27>9>4;199>102=<82018;::53;?832>3>:463:56875==:=<218<64=47:>17?34?>m7:>8:?61g<3911698m540:8903c2=;370;:e;62<>;2=o0?=552572904><5<<:69?7;<756?26027>:>4;199>132=<820188::53;?831>3>:463:66875==:=?218<64=44:>17?34?=m7:>8:?62g<391169;m540:8900c2=;370;9e;62<>;2>o0?=552562904><5<=:69<:;<7b0?25=27>h:4;249>25g=<;?01;=k:506?80083>99639a28760=:>m<18?;4=62:>14234=8o7:=5:?42c<3:<16;l<5437892b22=8>706?8;611>;?;k0?>85287g9073<51k:69<:;<:g0?25=272<:4;249>=6g=<;?0148k:506?8?f83>99636d28760=:i9<18?;4=`1:>14234k<>7:=5:?beg<3:<16mh:543789g7c2=8>70l:6;611>;e?m0?=552b6g904><5k=m69?7;<`;4?26027i4<4;199>f=4=<8201o6<:53;?8d?<3>:463m84875==:j1<18<64=c:4>17?34h347:>8:?a<<<39116n5o540:89g>e2=;370l7c;62<>;e0m0?=552b9g904><5k2m69?7;<`:4?26027i5<4;199>f<4=<8201o7<:53;?8d><3>:463m94875==:j0<18<64=c;4>17?34h247:>8:?a=<<39116n4o540:89g?e2=;370l6c;62<>;e1m0?=552b8g904><5k3m69?7;<`b4?26027im<4;199>fd4=<8201oo<:53;?8df<3>:463ma4875==:jh<18<64=cc4>17?34hj47:>8:?ae<<39116nlo540:89gge2=;370lnc;62<>;eim0?>852bd3904><5ko969?7;<`f7?26027ii94;199>f`3=<8201ok9:53;?8db?3>:463me9875==:jl318<64=cgb>17?34hnn7:>8:?aaf<39116nhj540:89gcb2=;370ljf;62<>;en90?=552bg3904><5kl969?7;<`e7?26027ij94;199>fc3=<8201oh9:53;?8da?3>:463mf9875==:jo318<64=cdb>17?34hmn7:>8:?abf<39116nkj540:89g`b2=;370lif;62<>;d890?=552c13904><5j:969?7;g53=<8201n>9:53;?8e7?3>:463l09875==:k9318<64=b2b>17?34i;n7:>8:?`4f<39116o=j540:89f6b2=;370m?f;62<>;d990?=552c03904><5j;969?7;g43=<8201n?9:53;?8e6?3>:463l19875==:k8318<64=b3b>17?34i:n7:>8:?`5f<39116of;62<>;d:90?=552c33904><5j8969?7;94;199>g73=<8201n<9:53;?8e5?3>:463l29875==:k;318<64=b0b>17?34i9n7:>8:?`6f<39116o?j540:89f4b2=;370m=f;62<>;d;90?=552c23904><5j9969?7;g63=<8201n=9:53;?8e4?3>:463l39875==:k:318<64=b1b>17?34i8n7:>8:?`7f<39116o>j540:89f5b2=;370m;d=>095n52c6;9669?7;g`c=<8201nki:507?8ea<3>9863lf4875==:ko<18?:4=bd4>14334im47:=4:?`b<<3:=16oko540:89f`e2=;370mic;62<>;dnm0?=552cgg904><5m:269?7;`5e=<8201i>k:53;?8b61382h6s|1c0f>5<30=qU==8n;<663?73927?9l4>409>00c=9=;019;i:062?82183;?=63;6782=7=:42634>=m7?;1:?72g<6<8168;m51538910c28>:70:9e;375>;3>o0:8<524629517<5==:6<:>;<646?73927?;>4>409>022=9=;0199::062?820>3;?=63;768204=:<>21=9?4=55:>42634>;3m80:8<524df9517<5=on6<:>;<6fb?73927?j=4>409>0c7=9=;019h=:062?82a;3;?=63;f58204=:42634>m;7?;1:?7b=<6<8168k75153891`f28>:70:ib;375>;3nj0:8<524gf9517<5=ln6<:>;<6eb?73927><=4>409>157=9=;018>=:062?837;3;?=63:058204=:=9o1=9?4=42e>42634?:<7?;1:?65<<6<8169:70;=3;375>;2;;0:8<525279517<5<9=6<:>;<703?73927>?54>939>16?=908018=n:0;1?834j3;2>63:3b82=7=:=:n1=4<4=41f>4?534?8j7?62:?605<61;1699?518089025283970;;3;3:6>;2<=0:8<525579517<5<>=6<:>;<773?73927>854>409>11?=9=;018:n:062?833j3;?=63:4b8204=:==n1=9?4=46f>42634??j7?;1:?615<6<81698?51538903528>:70;:3;375>;2==0:8<525479517<5;<763?73927>954>409>10?=9=;018;n:062?832j3;?=63:5b8204=:=42634?>j7?;1:?625<6<8169;?51538900528>:70;93;375>;2>=0:8<525779517<5<<=6<:>;<753?73927>:54>409>13?=9=;0188n:062?831j3;?=63:6b8204=:=?n1=9?4=44f>42634?=j7?;1:?635<6<8169:?5180890g3283970;k7;3:6>;18h0:5?5262f95<4<5?=;6<7=;<4b7?7>:27=h;4>939>35?=90801:=l:0;1?811n3;2>638a382=7=:?m?1=4<4=92;>4?53428n7?62:?;2`<61;164l?518089=b32839707?7;3:6>;>;h0:5?5297f95<4<50k;6<7=;<;g7?7>:27j<;4>939>e6?=90801l9=:0;1?8gfj3;2>63ne582=7=:j8n1=4<4=c75>4?534h728>:70l71;375>;e0;0:8<52b919517<5k2?6<:>;<`;1?73927i4;4>409>f=1=9=;01o67:062?8d?13;?=63m8`8204=:j1h1=9?4=c:`>42634h3h7?;1:?a<`<6<816n5h515389g?728>:70l61;375>;e1;0:8<52b819517<5k3?6<:>;<`:1?73927i5;4>409>f<1=9=;01o77:062?8d>13;?=63m9`8204=:j0h1=9?4=c;`>42634h2h7?;1:?a=`<6<816n4h515389gg728>:70ln1;375>;ei;0:8<52b`19517<5kk?6<:>;<`b1?73927im;4>409>fd1=9=;01oo7:062?8df13;?=63ma`8204=:jhh1=9?4=cc`>42634hjh7?62:?aa5<6<816nh?515389gc528>:70lj3;375>;em=0:8<52bd79517<5ko=6<:>;<`f3?73927ii54>409>f`?=9=;01okn:062?8dbj3;?=63meb8204=:jln1=9?4=cgf>42634hnj7?;1:?ab5<6<816nk?515389g`528>:70li3;375>;en=0:8<52bg79517<5kl=6<:>;<`e3?73927ij54>409>fc?=9=;01ohn:062?8daj3;?=63mfb8204=:jon1=9?4=cdf>42634hmj7?;1:?`45<6<816o=?515389f6528>:70m?3;375>;d8=0:8<52c179517<5j:=6<:>;409>g5?=9=;01n>n:062?8e7j3;?=63l0b8204=:k9n1=9?4=b2f>42634i;j7?;1:?`55<6<816o:70m>3;375>;d9=0:8<52c079517<5j;=6<:>;409>g4?=9=;01n?n:062?8e6j3;?=63l1b8204=:k8n1=9?4=b3f>42634i:j7?;1:?`65<6<816o??515389f4528>:70m=3;375>;d:=0:8<52c379517<5j8=6<:>;54>409>g7?=9=;01n42634i9j7?;1:?`75<6<816o>?515389f5528>:70m<3;375>;d;=0:8<52c279517<5j9=6<:>;409>g6?=9=;01n=n:062?8e4j3;?=63l3b8204=:k:n1=9?4=b1f>42634i8j7?;1:?`a0<6<816oh8515389fc028>:70mj8;375>;dm00:8<52cdc9517<5joi6<:>;409>g`c=9=;01nki:062?8ea83;?=63lf08204=:ko81=9?4=bd0>42634im87?;1:?`b0<6<816ok8515389f`028>:70mi8;375>;dn00:8<52cgc9517<5jli6<:>;409>gcc=9=;01i>6:062?8b7i3;?=63k0c8204=:l9i1=9?4=e2g>42634n;i7?;1:?g4c<6<816h;c:o0:5?52d229517<5m9:6<7=;4>939>`62=9=;01i=::0;1?8b4>3;?=63k3682=7=:l:21=9?4=e1:>4?534n8m7?;1:?g7g<61;16h>m515389a5c283970j;c;o0:5?52d529517<5m>:6<7=;4>939>`12=9=;01i:::0;1?8b3>3;?=63k4682=7=:l=21=9?4=e6:>4?534n?m7?;1:?g0g<61;16h9m515389a2c283970j;e;375>;c4>939>`02=9=;01i;::0;1?8b2>3;?=63k5682=7=:l<21=9?4=e7:>4?534n>m7?;1:?g1g<61;16h8m515389a3c283970j:e;375>;c=o0:5?52d729517<5m<:6<7=;4>939>`32=9=;01i8::0;1?8b1>3;?=63k6682=7=:l?21=9?4=e4:>4?534n=m7?;1:?g2g<61;16h;m515389a0c283970j9e;375>;c>o0:5?52d629517<5m=:6<7=;4>939>`22=9=;01i9::0;1?8b0>3;?=63k7682=7=:l>21=9?4=e5:>4?534n;c?o0:5?52d929517<5m2:6<7=;4>939>`=2=9=;01i6::0;1?8b?>3;?=63k8682=7=:l121=9?4=e::>4?534n3m7?;1:?gc283970j7e;375>;c0o0:5?52d829517<5m3:6<7=;4>939>`<2=9=;01i7::0;1?8b>>3;?=63k9682=7=:l021=9?4=e;:>4?534n2m7?;1:?g=g<61;16h4m515389a?c283970j6e;375>;c1o0:5?52d`29517<5mk:6<7=;4>939>`d2=9=;01io::0;1?8bf>3;?=63ka682=7=:lh21=9?4=ec:>4?534njm7?;1:?geg<61;16hlm515389agc283970jne;375>;cio0:5?52dc29517<5mh:6<7=;4>939>`g2=9=;01il::0;1?8be>3;?=63kb682=7=:lk21=9?4=e`:>4?534nim7?;1:?gfg<61;16hom515389adc283970jme;375>;cjo0:5?52db29517<5mi:6<7=;4>939>`f2=9=;01im::0;1?8bd>3;?=63kc682=7=:lj21=9?4=ea:>4?534nhm7?;1:?ggg<61;16hnm515389aec283970jle;375>;cko0:5?52de29517<5mn:6<7=;4>939>`a2=9=;01ij::0;1?8bc>3;?=63kd682=7=:lm21=9?4=ef:>4?534nom7?;1:?g`g<61;16him515389abc283970jke;375>;clo0:5?52dd29517<5mo:6<7=;4>939>``2=9=;01ik::0;1?8bb>3;?=63ke682=7=:ll21=9?4=eg:>4?534nnm7?;1:?gag<61;16hhm515389acc283970jje;375>;cmo0:5?52dg29517<5ml:6<7=;4>939>`c2=9=;01ih::0;1?8ba>3;?=63kf682=7=:lo21=9?4=ed:>4?534nmm7?;1:?gbg<61;16hkm515389a`c283970jie;375>;cno0:5?52e129517<5l::6<7=;4>939>a52=9=;01h>::0;1?8c7>3;?=63j0682=7=:m921=9?4=d2:>4?534o;m7?;1:?f4g<61;16i=m515389`6c283970k?e;375>;b8o0:5?52e029517<5l;:6<7=;4>939>a42=9=;01h?::0;1?8c6>3;?=63j1682=7=:m821=9?4=d3:>4?534o:m7?;1:?f5g<61;16ie;375>;b9o0:5?52e329517<5l8:6<7=;>4>939>a72=9=;01h<::0;1?8c5>3;?=63j2682=7=:m;21=9?4=d0:>4?534o9m7?;1:?f6g<61;16i?m515389`4c283970k=e;375>;b:o0:5?52e229517<5l9:6<7=;4>939>a62=9=;01h=::0;1?8c4>3;?=63j3682=7=:m:21=9?4=d1:>4?534o8m7?;1:?f7g<61;16i>m515389`5c283970k;b;o0:5?52e529517<5l>:6<7=;4>939>a12=9=;01h:::0;1?8c3>3;?=63j4682=7=:m=21=9?4=d6:>4?534o?m7?;1:?f0g<61;16i9m515389`2c283970k;e;375>;b4>939>a02=9=;01h;::0;1?8c2>3;?=63j5682=7=:m<21=9?4=d7:>4?534o>m7?;1:?f1g<61;16i8m515389`3c283970k:e;375>;b=o0:5?52e729517<5l<:6<7=;4>939>a32=9=;01h8::0;1?8c1>3;?=63j6682=7=:m?21=9?4=d4:>4?534o=m7?;1:?f2g<61;16i;m515389`0c283970k9e;375>;b>o0:5?52e629517<5l=:6<7=;4>939>a22=9=;01h9::0;1?8c0>3;?=63j7682=7=:m>21=9?4=d5:>4?534o;b?o0:5?52e929517<5l2:6<7=;4>939>a=2=9=;01h6::0;1?8c?>3;?=63j8682=7=:m121=9?4=d::>4?534o3m7?;1:?fc283970k7e;375>;b0o0:5?52e829517<5l3:6<7=;4>939>a<2=9=;01h7::0;1?8c>>3;?=63j9682=7=:m021=9?4=d;:>4?534o2m7?;1:?f=g<61;16i4m515389`?c283970k6e;375>;b1o0:5?52e`29517<5lk:6<7=;4>939>ad2=9=;01ho::0;1?8cf>3;?=63ja682=7=:mh21=9?4=dc:>4?534ojm7?;1:?feg<61;16ilm515389`gc283970kne;375>;bio0:5?52ec29517<5lh:6<7=;4>939>ag2=9=;01hl::0;1?8ce>3;?=63jb682=7=:mk21=9?4=d`:>4?534oim7?;1:?ffg<61;16iom515389`dc283970kme;375>;bjo0:5?52eb29517<5li:6<7=;4>939>af2=9=;01hm::0;1?8cd>3;?=63jc682=7=:mj21=9?4=da:>4?534ohm7?;1:?fgg<61;16inm515389`ec283970kle;375>;bko0:5?52ee29517<5ln:6<7=;4>939>aa2=9=;01hj::0;1?8cc>3;?=63jd682=7=:mm21=9?4=df:>4?534oom7?;1:?f`g<61;16iim515389`bc283970kke;375>;blo0:5?52ed29517<5lo:6<7=;4>939>a`2=9=;01hk::0;1?8cb>3;?=63je682=7=:ml21=9?4=dg:>4?534onm7?;1:?fag<61;16ihm515389`cc283970kje;375>;bmo0:5?52eg29517<5ll:6<7=;4>939>ac2=9=;01hh::0;1?8ca>3;?=63jf682=7=:mo21=9?4=dd:>4?534omm7?;1:?fbg<61;16ikm515389``c283970kie;375>;bno0:5?52f129517<5o::6<7=;4>939>b52=9=;01k>::0;1?8`7>3;?=63i0682=7=:n921=9?4=g2:>4?534l;m7?;1:?e4g<61;16j=m515389c6c283970h?e;375>;a8o0:5?52f029517<5o;:6<7=;4>939>b42=9=;01k?::0;1?8`6>3;?=63i1682=7=:n821=9?4=g3:>4?534l:m7?;1:?e5g<61;16je;375>;a9o0:5?52f329517<5o8:6<7=;>4>939>b72=9=;01k<::0;1?8`5>3;?=63i2682=7=:n;21=9?4=g0:>4?534l9m7?;1:?e6g<61;16j?m515389c4c283970h=e;375>;a:o0:5?52f229517<5o9:6<7=;4>939>b62=9=;01k=::0;1?8`4>3;?=63i3682=7=:n:21=9?4=g1:>4?534l8m7?;1:?e7g<61;16j>m515389c5c283970h;a;o0:5?52f529517<5o>:6<7=;4>939>b12=9=;01k:::0;1?8`3>3;?=63i4682=7=:n=21=9?4=g6:>4?534l?m7?;1:?e0g<61;16j9m515389c2c283970h;e;375>;a4>939>b02=9=;01k;::0;1?8`2>3;?=63i5682=7=:n<21=9?4=g7:>4?534l>m7?;1:?e1g<61;16j8m515389c3c283970h:e;375>;a=o0:5?52f729517<5o<:6<7=;4>939>b32=9=;01k8::0;1?8`1>3;?=63i6682=7=:n?21=9?4=g4:>4?534l=m7?;1:?e2g<61;16j;m515389c0c283970h9e;375>;a>o0:5?52f629517<5o=:6<7=;4>939>b22=9=;01k9::0;1?8`0>3;?=63i7682=7=:n>21=9?4=g5:>4?534l;a?o0:5?52f929517<5o2:6<7=;4>939>b=2=9=;01k6::0;1?8`?>3;?=63i8682=7=:n121=9?4=g::>4?534l3m7?;1:?ec283970h7e;375>;a0o0:5?52f829517<5o3:6<7=;4>939>b<2=9=;01k7::0;1?8`>>3;?=63i9682=7=:n021=9?4=g;:>4?534l2m7?;1:?e=g<61;16j4m515389c?c283970h6e;375>;a1o0:5?52f`29517<5ok:6<7=;4>939>bd2=9=;01ko::0;1?8`f>3;?=63ia682=7=:nh21=9?4=gc:>4?534ljm7?;1:?eeg<61;16jlm515389cgc283970hne;375>;aio0:5?52fc29517<5oh:6<7=;4>939>bg2=9=;01kl::0;1?8`e>3;?=63ib682=7=:nk21=9?4=g`:>4?534lim7?;1:?efg<61;16jom515389cdc283970hme;375>;ajo0:5?52fb29517<5oi:6<7=;4>939>bf2=9=;01km::0;1?8`d>3;?=63ic682=7=:nj21=9?4=ga:>4?534lhm7?;1:?egg<61;16jnm515389cec283970hle;375>;ako0:5?52fe29517<5on:6<7=;4>939>ba2=9=;01kj::0;1?8`c>3;?=63id682=7=:nm21=9?4=gf:>4?534lom7?;1:?e`g<61;16jim515389cbc283970hke;375>;alo0:5?52fd29517<5oo:6<7=;4>939>b`2=9=;01kk::0;1?8`b>3;?=63ie682=7=:nl21=9?4=gg:>4?534lnm7?;1:?eag<61;16jhm515389ccc283970hje;375>;amo0:5?52fg29517<5ol:6<7=;4>939>bc2=9=;01kh::0;1?8`a>3;?=63if682=7=:no21=9?4=gd:>4?534lmm7?;1:?ebg<61;16jkm515389c`c283970hie;375>;ano0:5?521123>42634;;<<4>939>556528>:70??0282=7=:99:?6<:>;<3340<61;16==>9:062?8778>0:5?52112;>42634;;<44>939>556f28>:70??0c82=7=:99:h6<:>;<334a<61;16==>j:062?8778o0:5?521133>42634;;=<4>939>557528>:70??1282=7=:99;?6<:>;<3350<61;16==?9:062?8779>0:5?52113;>42634;;=44>939>557f28>:70??1c82=7=:99;h6<:>;<335a<61;16==?j:062?8779o0:5?521103>42634;;><4>939>554528>:70??2282=7=:998?6<:>;<3360<61;16==<9:062?877:>0:5?52110;>42634;;>44>939>554f28>:70??2c82=7=:998h6<:>;<336a<61;16==42634;;?<4>939>555528>:70??3282=7=:999?6<:>;<3370<61;16===9:062?877;>0:5?52111;>42634;;?44>939>555f28>:70??3c82=7=:999h6<:>;<337a<61;16===j:062?877;o0:5?521163>42634;;8<4>939>552528>:70??4282=7=:99>?6<:>;<3300<61;16==:9:062?877<>0:5?52116;>42634;;844>939>552f28>:70??4c82=7=:99>h6<:>;<330a<61;16==:j:062?87742634;;9<4>939>553528>:70??5282=7=:99??6<:>;<3310<61;16==;9:062?877=>0:5?52117;>42634;;944>939>553f28>:70??5c82=7=:99?h6<:>;<331a<61;16==;j:062?877=o0:5?521143>42634;;:<4>939>550528>:70??6282=7=:99;|q2f7`=838j4vP>07789130282:70::a;3;5>;3>?0:4;5247495=><5=<=6<68;<652?7?n27?:;4>889>030=91?01989:0:0?821>3;3>63;67824>c34>=;7?76:?722<601168;9519589100282m70:97;3;=>;3>>0:485247595=5<5=<<6<6=;<653?7?i27?::4>8d9>031=91n01986:0:2?821i3;3=63;6c82<4=:4>634>=i7?71:?72c<608168:>519389116282:70:82;3;5>;3?:0:4<5246695=7<5==>6<6>;<642?7?927?;:4>809>02>=91;01996:0:2?820i3;3=63;7c82<4=:<>n1=5?4=5g3>4>634>n=7?71:?7aa<608168hk5193891ca282:70:i0;3;5>;3n80:4<524g095=7<5=l86<6>;<6e0?7?927?j84>809>0c0=91;019h8:0:2?82a03;3=63;f882<4=:4>634>mo7?71:?7ba<608168kk5193891`a282:70;?0;3;5>;2880:4<5251095=7<5<:86<6>;<730?7?927>

809>15`=91;018??:0:2?83613;3=63:1`82<4=:=;81=5?4=400>4>634?8>7?71:?670<608169>8519389050282:70;<8;3;0>;2;10:455252:95=1<5<936<6i;<70?54>8c9>16>=91?018=7:0:0?83403;3m63:3982<`=:=:21=5j4=41:>4>334?857?78:?67<<60>169>7519d8905>282270;<9;3;f>;2;00:485252;95=5<5<926<6n;<70=?7?m27>?44>8e9>16g=91>018=n:0:;?834i3;3;63:3`824>e34?8m7?75:?67d<60:169>o519c8905f282n70;;2;k0:495252`95=><5<9i6<68;<70f?7?n27>?o4>889>16d=91h018=m:0:6?834j3;3?63:3c824>c34?8o7?74:?67f<601169>m51958905d282m70;;2;j0:4o5252a95=3<5<9h6<6<;<70g?7?i27>?n4>8d9>16e=91n018=k:0:7?834l3;3463:3e82<2=:=:n1=5h4=41g>4>>34?8h7?7b:?67a<60<169>j51918905c282j70;;2;m0:4i5252g95=2<5<9n6<67;<70a?7??27>?h4>8g9>16c=913018=j:0:a?834m3;3963:3d82<6=:=:o1=5o4=41f>4>b34?8i7?7d:?67c<60=169>h519:8905a282<70;;2;o0:445252d95=d<5<9m6<6:;<70b?7?;27>?k4>8`9>16`=91o018=i:0:g?83383;3863:4182<==:==:1=594=463>4>a34??<7?79:?605<60k1699>519789027282870;;0;3;e>;2<90:4h5255295=b<5<>:6<6;;<775?7?027>8<4>869>117=91l018:>:0::?83393;3n63:4082<0=:==;1=5=4=462>4>f34??=7?7e:?604<60m1699<519689025282370;;2;3;3>;2<;0:4k5255095=?<5<>96<6m;<776?7?=27>8?4>829>114=91k018:=:0:f?833:3;3h63:4282<1=:==91=564=460>4>034???7?7f:?606<6001699=519`89024282>70;;3;3;7>;2<:0:4l5255195=c<5<>86<6k;<770?7?927>884>809>110=91;018:8:0:2?83303;3=63:4882<4=:==k1=5?4=46a>4>634??o7?71:?60a<6081699k51938902a282:70;:0;3;5>;2=80:4<5254095=7<5;<760?7?927>984>809>100=91;018;8:0:2?83203;3=63:5882<4=:=4>634?>o7?71:?61a<6081698k51938903a282:70;90;3;5>;2>80:4<5257095=7<5<<86<6>;<750?7?927>:84>809>130=91;01888:0:2?83103;3=63:6882<4=:=?k1=5?4=44a>4>634?=o7?71:?62a<608169;k51938900a282:70;80;3;5>;2?80:4<525`695=7<5;<43e?7?927=?i4>809>226=91;01;o<:0:2?80c>3;3=6380882<4=:?:i1=5?4=64e>4>634=j>7?71:?4`0<608164=6519389=5e282:7069e;3;5>;?i80:4<528e695=7<50:<6<6>;<;0e?7?9272:i4>809>=d6=91;014j<:0:2?8g7>3;3=63n3882<4=:i>81=5?4=`ca>4>634kn87?71:?a5a<60816n88519389ggc282:70lj0;3;5>;em80:4<52bd095=7<5ko86<6>;<`f0?7?927ii84>809>f`0=91;01ok8:0:2?8db03;3=63me882<4=:jlk1=5?4=cga>4>634hno7?71:?aaa<60816nhk519389gca282:70li0;3;5>;en80:4<52bg095=7<5kl86<6>;<`e0?7?927ij84>809>fc0=91;01oh8:0:2?8da03;3=63mf882<4=:jok1=5?4=cda>4>634hmo7?71:?aba<60816nkk519389g`a282:70m?0;3;5>;d880:4<52c1095=7<5j:86<6>;809>g50=91;01n>8:0:2?8e703;3=63l0882<4=:k9k1=5?4=b2a>4>634i;o7?71:?`4a<60816o=k519389f6a282:70m>0;3;5>;d980:4<52c0095=7<5j;86<6>;809>g40=91;01n?8:0:2?8e603;3=63l1882<4=:k8k1=5?4=b3a>4>634i:o7?71:?`5a<60816o;d:80:4<52c3095=7<5j886<6>;84>809>g70=91;01n<8:0:2?8e503;3=63l2882<4=:k;k1=5?4=b0a>4>634i9o7?71:?`6a<60816o?k519389f4a282:70m<0;3;5>;d;80:4<52c2095=7<5j986<6>;809>g60=91;01n=8:0:2?8e403;3=63l3882<4=:k:k1=5?4=b1a>4>634i8o7?71:?`7a<60816o>k519389f5a282:70m;b;0:g>;d=;095n52c4196k27h;o4=9b9>g2e=:0i01n9k:3;`?8e0m382o63l7g81=f=:kl?1=5?4=bg5>4>634in;7?71:?`a=<60816oh7519389fcf282:70mjb;62<>;dmj0:4<52cdf95=7<5jon6<6>;809>gc7=91;01nh=:53;?8ea;3;3=63lf582<4=:ko?1=5?4=bd5>4>634im;7?71:?`b=<60816ok7519389f`f282:70mib;3;5>;dnj0:4<52cgf95=7<5jln6<6>;m27o=?4=9d9>`45=:0l01i?;:3;e?8b6=382o63k1781=a=:l8=1>4j4=e3;>7?c34n:57<6c:?g5c<60816h?j519389a4b282:70j=f;3;5>;c;90:4<52d2395=7<5m996<6>;809>`63=91;01i=9:0:2?8b4?3;3=63k3982<4=:l:31=5?4=e1b>4>634n8n7?71:?g7f<60816h>j519389a5b282:70j;c<90:4<52d5395=7<5m>96<6>;809>`13=91;01i:9:0:2?8b3?3;3=63k4982<4=:l=31=5?4=e6b>4>634n?n7?71:?g0f<60816h9j519389a2b282:70j;f;3;5>;c=90:4<52d4395=7<5m?96<6>;809>`03=91;01i;9:0:2?8b2?3;3=63k5982<4=:l<31=5?4=e7b>4>634n>n7?71:?g1f<60816h8j519389a3b282:70j:f;3;5>;c>90:4<52d7395=7<5m<96<6>;809>`33=91;01i89:0:2?8b1?3;3=63k6982<4=:l?31=5?4=e4b>4>634n=n7?71:?g2f<60816h;j519389a0b282:70j9f;3;5>;c?90:4<52d6395=7<5m=96<6>;809>`23=91;01i99:0:2?8b0?3;3=63k7982<4=:l>31=5?4=e5b>4>634n;c090:4<52d9395=7<5m296<6>;809>`=3=91;01i69:0:2?8b??3;3=63k8982<4=:l131=5?4=e:b>4>634n3n7?71:?gb282:70j7f;3;5>;c190:4<52d8395=7<5m396<6>;809>`<3=91;01i79:0:2?8b>?3;3=63k9982<4=:l031=5?4=e;b>4>634n2n7?71:?g=f<60816h4j519389a?b282:70j6f;3;5>;ci90:4<52d`395=7<5mk96<6>;809>`d3=91;01io9:0:2?8bf?3;3=63ka982<4=:lh31=5?4=ecb>4>634njn7?71:?gef<60816hlj519389agb282:70jnf;3;5>;cj90:4<52dc395=7<5mh96<6>;809>`g3=91;01il9:0:2?8be?3;3=63kb982<4=:lk31=5?4=e`b>4>634nih7?71:?gf`<60816i:j519389`1b282:70k8f;3;5>;b090:4<52ed395=7<5lo96<6>;809>a`3=91;01hk9:0:2?8cb?3;3=63je982<4=:ml31=5?4=dgb>4>634onn7?71:?faf<60816ihj519389`cb282:70kjf;3;5>;bn90:4<52eg395=7<5ll96<6>;809>ac3=91;01hh9:0:2?8ca?3;3=63jf982<4=:mo31=5?4=ddb>4>634omn7?71:?fbf<60816ikj519389``b282:70kif;3;5>;a890:4<52f1395=7<5o:96<6>;809>b53=91;01k>9:0:2?8`7?3;3=63i0982<4=:n931=5?4=g2b>4>634l;n7?71:?e4f<60816j=j519389c6b282:70h?f;3;5>;a990:4<52f0395=7<5o;96<6>;809>b43=91;01k?9:0:2?8`6?3;3=63i1982<4=:n831=5?4=g3b>4>634l:n7?71:?e5f<60816jf;3;5>;a:90:4<52f3395=7<5o896<6>;94>809>b73=91;01k<9:0:2?8`5?3;3=63i2982<4=:n;31=5?4=g0b>4>634l9n7?71:?e6f<60816j?j519389c4b282:70h=f;3;5>;a;90:4<52f2395=7<5o996<6>;809>b63=91;01k=9:0:2?8`4?3;3=63i3982<4=:n:31=5?4=g1b>4>634l8n7?71:?e7f<60816j>j519389c5b282:70h;a<90:4<52f5395=7<5o>96<6>;809~w4d483:15vP>ad78913?2=8?70:8d;60e>;d=h095i52c6096k27o=<4=9g9>`42=:h:0q~?m3083>45|V8:2>63;f3875==:17?34>m97:>8:?641<61>16o8l528d89f3d2;k;70m:f;0:g>;d>;095i52c7696g`?=<8201i?n:3c3?8b6k382o63k1e81=c=:l8o1>4k4}r3a77<728:pR<>63:?7ad<3:=168hm518389064283<70m:b;0:a>;d=j095i52c4f96k27h:>4=9b9>g33=:0i01n89:3;`?8b68382o63k1`81=`=:l8h1>4k4=e3`>7?b3ty:n>=50;f41~X68?<0R<8=5:\22726308Z40582T::X6>8n0R<8>c:\224d60;8Z40602T::<94^041g>X6>;h0R<8=a:\227?6358Z405>2T::??4^0422>X6>8?0R<9ie:\23cb7g`8Z41ai2T:;k74^05e<>X6?o<0R<9i5:\23c27g08Z41a92T:;k>4^05fb>X6?lo0R<9jd:\23`d7d;8Z41b02T:;h94^05f2>X6?l?0R<9j4:\23`56P>7d28Z41cn2T:;ik4^05g`>X6?mi0R<9kb:\23ag7e:8Z41c?2T:4==4^0:36>X609;0R<6?0:\23c`7da8Z41b92T:;i84^05g1>X6>ln0R<8jc:\22`d6d:8Z40b?2T::h84^04f1>X6>l>0R<8j3:\22`46d28Z40cn2T::k:4^04e7>X6>o80R<8i1:\22c66dg8Z40b12T::ik4^04g`>X61>o0R<78d:\2=2e96c8Z4?012T:5:64^0;42>X61>?0R<784:\2=256P>9638Z4?082T:5;h4^0;5a>X61?n0R<79b:\2=3g97:8Z4?1?2T:5;84^0;51>X61?>0R<793:\2=3494d8Z4?2m2T:58j4^0;6g>X6146P>9458Z4??;2T:55<4^0;;5>X611:0R<78f:\2=219738Z4?2>2T:58;4=576>7?>34>>57<8e:?71g<5?l1688m51838913c283:70:95;04a>;3>?0?=h5247495=2<5=<=69<=;<652?4e>27?:;4;1g9>030=<8k01989:53`?821>3>:n63;67824=545>17c34>=:7?85:?723<6?:168;85433891012=8870:96;141>;3>?08;>524749727<5=<=6kh4=545>cb<5=<=6km4=545>cd<5=<=6<8l;<652?71i27?:;4>689>030=9?201989:044?821>3;=:63;678220=:40534>=:7?91:?723<6>9168;8514d8910128?n70:96;36`>;3>?0:9n52474950d<5=<=6<;n;<652?72127?:;4>569>030=9<<01989:076?821>3;>863;678216=:43634>=:7?:0:?723<6h70:96;37f>;3>?0:8l52474951?<5=<=6<:7;<652?73?27?:;4>479>030=9=?01989:067?821>3;??63;678237=:41734>=:7?9f:?723<6>l168;8517f8910128<870:96;36<>;3>?0:8i524749514<5=<=6?o8;<652?4f=27?:;4=a29>030=:h801989:002?821>3;:j63;67825`=:47d34>=:7?>b:?723<69h168;8510;89101288j70:96;31=>;3>?0:>5524749571<5=<=6<<9;<652?75=27?:;4>259>030=9;901989:001?821>3;:463;6782ed=:4g034>=:7?n6:?723<6i<168;851`18910128k970:96;3b5>;3>?0:m=5247495<`<5=<=6<7j;<652?7>l27?:;4>9b9>030=90h01989:0;b?821>3;i>63;6782f4=:4=545>4ga34>=:7?ne:?723<6im168;851`a8910128ki70:96;3b0>;3>?0:54524749g1=:524749f7=:031=91>01988:501?821?38i:63;66875c=:17d34>=;7:>b:?722<60k168;95432891002=;o70:97;341>;3>>0:;>524759077<5=<<69<<;<653?50=27?::4<729>031=;>;01988:gd891002on01988:ga891002oh01988:04`?821?3;=m63;66822<=:40034>=;7?96:?722<6><168;951768910028<970:97;355>;3>>0::=52475950`<5=<<6<;j;<653?72l27?::4>5b9>031=9563;668212=:43234>=;7?:4:?722<6=:168;951408910028?:70:97;364>;3>>0:8k52475951c<5=<<6<:l;<653?73j27?::4>4`9>031=9=301988:06;?821?3;?;63;668203=:42334>=;7?;3:?722<6?;168;951638910028=;70:97;35b>;3>>0::h52475953b<5=<<6<8<;<653?72027?::4>4e9>031=9=801988:3c4?821?38j963;6681e6=:l<4=544>44634>=;7?>f:?722<69l168;9510f8910028;h70:97;32f>;3>>0:=l52475954?<5=<<6<299>031=9;=01988:005?821?3;9963;668261=:44534>=;7?>8:?722<6ih168;951`:8910028k<70:97;3b2>;3>>0:m85247595d5<5=<<6a19>031=90l01988:0;f?821?3;2h63;6682=f=:4?f34>=;7?m2:?722<6j8168;951c28910028km70:97;3ba>;3>>0:mi5247595de<5=<<6989>031=k=168;95c39>031=k8168;95c19>031=jo168;95bd9>031=jm168;95bb9>031=jk168;95b`9>031=j1168;95b69>031=j?168;95b49>031=j=168;95b29>031=j;168;95b09>031=j9168;95ag9>031=kj168;95cc9>031=kh168;95c89>031=k1168;95c69>031=k?168;95c49>031=j0168;95ad9>031=9>k01988:05;?821?3;<;63;69813`=:17?34>n97?61:?7a3<618168h6526g891c>2;=n70:jb;04a>;3mj09;h524dd904><5=l;69?7;<6e5?26027><54>909>15c=<82018>i:53;?83613>:463:1`8761=:=;818<64=400>14334?9:7?61:?66<<618169?m51838904c283:70;=e;3:5>;2:o0:5<52525904><5<9369?j;<7027>?54;239>16>=:k<018=7:53e?83403>:m63:39875f=:=:21814734?847?72:?67=<39m169>651678905?28=870;<8;615>;2;10?>>5252:9723<5<936>9>;<70?5416>=;m2018=7:2f4?834039o:63:3980`0=:=:21?i:4=41;>6b434?847=k2:?67=<4l9169>653bd8905?2:in70;<8;1``>;2;108on5252:97fd<5<936>mn;<70?5416>=;j=018=7:2a6?834039h863:3980g6=:=:21?n<4=41;>6e634?847=l0:?67=<4jo169>653cg8905?2:ho70;<8;1ag>;2;108nl5252:97g?<5<936>l7;<70?5416>=;k?018=7:2`7?834039i?63:3980f7=:=:21?o?4=41;>6c734?847=kf:?67=<4ll169>653ef8905?2:nh70;<8;1gf>;2;108h<5252:97f0<5<936>lm;<70?54if:?67=?54ic:?67=?54>6b9>16>=9?k018=7:04:?83403;=463:398222=:=:21=;84=41;>40234?847?94:?67=<6>;169>651738905?28<;70;<8;36b>;2;10:9h5252:950b<5<936<;l;<70?54>5`9>16>=9<3018=7:074?83403;>:63:398210=:=:21=8:4=41;>43434?847?:2:?67=<6=8169>651428905?28>m70;<8;37a>;2;10:8n5252:951d<5<936<:n;<70?54>499>16>=9==018=7:065?83403;?963:398201=:=:21=9=4=41;>41534?847?81:?67=<6?9169>6517d8905?28;2;10::>5252:950><5<936<:k;<70?54=a69>16>=:h?018=7:3c0?834038j>63:398264=:=:21=47b34?847?>d:?67=<69j169>6510`8905?28;j70;<8;32=>;2;10:>l5252:957?<5<936<<7;<70?54>279>16>=9;?018=7:007?83403;9?63:398267=:=:21=<64=41;>f2<5<936n<4=41;>f7<5<936n>4=41;>g`<5<936ok4=41;>gb<5<936om4=41;>gd<5<936oo4=41;>g><5<936o94=41;>g0<5<936o;4=41;>g2<5<936o=4=41;>g4<5<936o?4=41;>g6<5<936lh4=41;>fe<5<936nl4=41;>fg<5<936n74=41;>f><5<936n94=41;>f0<5<936n;4=41;>g?<5<936lk4=41;>41f34?847?88:?67=<6?>169>7540g8905>282=70;<9;616>;2;009n;5252;904`<5<9269?n;<70=?26k27>?44;1c9>16?=<;:018=6:0:1?83413>:h63:388230=:=:31=:=4=41:>14634?857:=3:?67<<4?<169>753638905>2:;2;008h55252;97a1<5<926>j9;<70=?5c=27>?4416?=;m9018=6:2f1?834139o<63:3880gc=:=:31?nk4=41:>6ec34?857=lc:?67<<4kk169>753bc8905>2:i270;<9;1`<>;2;008o:5252;97f3<5<926>m;;<70=?5d;27>?4416?=;j;018=6:2a3?834139ij63:3880f`=:=:31?oj4=41:>6dd34?857=ma:?67<<4j0169>753c:8905>2:h<70;<9;1a2>;2;008n85252;97g2<5<926>l<;<70=?5e:27>?4416?=;l:018=6:2fe?834139oi63:3880`a=:=:31?im4=41:>6be34?857=k1:?67<<4k?169>753c`8905>2:h;70;<9;de?83413lo70;<9;d`?83413li70;<9;35g>;2;00::l5252;953?<5<926<87;<70=?71?27>?44>679>16?=9??018=6:047?83413;=>63:388224=:=:31=;>4=41:>43a34?857?:e:?67<<6=m169>7514a8905>28?i70;<9;36e>;2;00:945252;9501<5<926<;9;<70=?72=27>?44>559>16?=9<9018=6:071?83413;>=63:388215=:=:31=9h4=41:>42b34?857?;c:?67<<67515c8905>28>270;<9;37<>;2;00:8:5252;9510<5<926<::;<70=?73<27>?44>429>16?=9>8018=6:052?83413;<<63:38822c=:=:31=;k4=41:>40c34?857?93:?67<<6=1169>7515f8905>28>970;<9;0b3>;2;009m85252;96d5<5<926?o=;<70=?75927>?44>1g9>16?=98o018=6:03g?83413;:o63:38825g=:=:31=47>34?857?=a:?67<<6:0169>7513:8905>288<70;<9;312>;2;00:>85252;9572<5<926<<<;<70=?75:27>?44>199>16?=k=169>75c39>16?=k8169>75c19>16?=jo169>75bd9>16?=jm169>75bb9>16?=jk169>75b`9>16?=j1169>75b69>16?=j?169>75b49>16?=j=169>75b29>16?=j;169>75b09>16?=j9169>75ag9>16?=kj169>75cc9>16?=kh169>75c89>16?=k1169>75c69>16?=k?169>75c49>16?=j0169>75ad9>16?=9>k018=6:05;?83413;<;63:3`875`=:=:k1=584=41b>14534?8m7o540c8905f2=;h70;;2;h0?>=5252c95=4<5<9j69?k;<70e?70=27>?l4>729>16g=<;;018=n:500?834i39<963:3`8036=:=:k1?:?4=41b>60a34?8m7hi;<70e?`c34?8m7hl;<70e?`e34?8m7?9c:?67d<6>h169>o517;8905f28<370;;2;h0::;5252c9533<5<9j6<8;;<70e?71:27>?l4>609>16g=9?:018=n:07e?834i3;>i63:3`821a=:=:k1=8m4=41b>43e34?8m7?:a:?67d<6=0169>o51458905f28?=70;;2;h0:995252c9505<5<9j6<;=;<70e?72927>?l4>519>16g=9=l018=n:06f?834i3;?o63:3`820g=:=:k1=9o4=41b>42>34?8m7?;8:?67d<6<>169>o51548905f28>>70;;2;h0:8>5252c9524<5<9j6<9>;<70e?70827>?l4>6g9>16g=9?o018=n:04g?834i3;=?63:3`821==:=:k1=9j4=41b>42534?8m7o52`18905f2;k970;;2;h0:=k5252c954c<5<9j6?l4>1c9>16g=98k018=n:03:?834i3;9m63:3`826<=:=:k1=?64=41b>44034?8m7?=6:?67d<6:<169>o51368905f288870;;2;h0:=55252c9g1=:=:k1o?5252c9g4=:=:k1o=5252c9fc=:=:k1nh5252c9fa=:=:k1nn5252c9fg=:=:k1nl5252c9f==:=:k1n:5252c9f3=:=:k1n85252c9f1=:=:k1n>5252c9f7=:=:k1n<5252c9f5=:=:k1mk5252c9gf=:=:k1oo5252c9gd=:=:k1o45252c9g==:=:k1o:5252c9g3=:=:k1o85252c9f<=:=:k1mh5252c952g<5<9j6<97;<70e?70?27>?o4;1d9>16d=91<018=m:501?834j38i:63:3c875c=:=:h1817d34?8n7:>b:?67g<3:9169>l51908905e2=;o70;;2;k0:;>5252`9077<5<9i69<<;<70f?50=27>?o4<729>16d=;>;018=m:24e?834j3lm70;40?34?8n7?97:?67g<6>?169>l51778905e28;2;k0::<5252`9536<5<9i6<;i;<70f?72m27>?o4>5e9>16d=9m63:3c821<=:=:h1=894=41a>43134?8n7?:5:?67g<6==169>l51418905e28?970;;2;k0:9=5252`951`<5<9i6<:j;<70f?73k27>?o4>4c9>16d=9=k018=m:06:?834j3;?463:3c8202=:=:h1=984=41a>42234?8n7?;4:?67g<6<:169>l51608905e28=:70;;2;k0::k5252`953c<5<9i6<8k;<70f?71;27>?o4>599>16d=9=n018=m:061?834j38j;63:3c81e0=:=:h1>l=4=41a>7g534?8n7?=1:?67g<69o169>l510g8905e28;o70;;2;k0:=o5252`954g<5<9i6?o4>289>16d=9;2018=m:004?834j3;9:63:3c8260=:=:h1=?:4=41a>44434?8n7?=2:?67g<691169>l5c59>16d=k;169>l5c09>16d=k9169>l5bg9>16d=jl169>l5be9>16d=jj169>l5bc9>16d=jh169>l5b99>16d=j>169>l5b79>16d=j<169>l5b59>16d=j:169>l5b39>16d=j8169>l5b19>16d=io169>l5cb9>16d=kk169>l5c`9>16d=k0169>l5c99>16d=k>169>l5c79>16d=k<169>l5b89>16d=il169>l516c8905e28=370;;2;j0?=h5252a95=0<5<9h69<=;<70g?4e>27>?n4;1g9>16e=<8k018=l:53`?834k3>:n63:3b8765=:=:i1=5<4=41`>17c34?8o7?85:?67f<6?:169>m54338905d2=8870;;2;j08;>5252a9727<5<9h6>8i;<70g?`a34?8o7hk;<70g?`d34?8o7hm;<70g?71k27>?n4>6`9>16e=9?3018=l:04;?834k3;=;63:3b8223=:=:i1=;;4=41`>40334?8o7?92:?67f<6>8169>m51728905d28?m70;;2;j0:9i5252a950e<5<9h6<;m;<70g?72i27>?n4>589>16e=9<=018=l:075?834k3;>963:3b8211=:=:i1=8=4=41`>43534?8o7?:1:?67f<6=9169>m515d8905d28>n70;;2;j0:8o5252a951g<5<9h6<:6;<70g?73027>?n4>469>16e=9=<018=l:066?834k3;?863:3b8206=:=:i1=:<4=41`>41634?8o7?80:?67f<6>o169>m517g8905d28;2;j0:955252a951b<5<9h6<:=;<70g?4f?27>?n4=a49>16e=:h9018=l:3c1?834k3;9=63:3b825c=:=:i1=47c34?8o7?>c:?67f<69k169>m510c8905d28;270;;2;j0:>45252a957><5<9h6<<8;<70g?75>27>?n4>249>16e=9;>018=l:000?834k3;9>63:3b825==:=:i1o95252a9g7=:=:i1o<5252a9g5=:=:i1nk5252a9f`=:=:i1ni5252a9ff=:=:i1no5252a9fd=:=:i1n55252a9f2=:=:i1n;5252a9f0=:=:i1n95252a9f6=:=:i1n?5252a9f4=:=:i1n=5252a9ec=:=:i1on5252a9gg=:=:i1ol5252a9g<=:=:i1o55252a9g2=:=:i1o;5252a9g0=:=:i1n45252a9e`=:=:i1=:o4=41`>41?34?8o7?87:?67a<39l169>j51948905c2=8970;;2;m0?=k5252f904g<5<9o69?l;<70`?26j27>?i4;219>16b=918018=k:53g?834l3;<963:3e8236=:=:n18??4=41g>14434?8h7=85:?67a<4?:169>j53638905c2:;2;m0::l5252f953?<5<9o6<87;<70`?71?27>?i4>679>16b=9??018=k:047?834l3;=>63:3e8224=:=:n1=;>4=41g>43a34?8h7?:e:?67a<6=m169>j514a8905c28?i70;;2;m0:945252f9501<5<9o6<;9;<70`?72=27>?i4>559>16b=9<9018=k:071?834l3;>=63:3e8215=:=:n1=9h4=41g>42b34?8h7?;c:?67a<6j515c8905c28>270;;2;m0:8:5252f9510<5<9o6<::;<70`?73<27>?i4>429>16b=9>8018=k:052?834l3;<<63:3e822c=:=:n1=;k4=41g>40c34?8h7?93:?67a<6=1169>j515f8905c28>970;;2;m09m85252f96d5<5<9o6?o=;<70`?75927>?i4>1g9>16b=98o018=k:03g?834l3;:o63:3e825g=:=:n1=47>34?8h7?=a:?67a<6:0169>j513:8905c288<70;;2;m0:>85252f9572<5<9o6<<<;<70`?75:27>?i4>199>16b=k=169>j5c39>16b=k8169>j5c19>16b=jo169>j5bd9>16b=jm169>j5bb9>16b=jk169>j5b`9>16b=j1169>j5b69>16b=j?169>j5b49>16b=j=169>j5b29>16b=j;169>j5b09>16b=j9169>j5ag9>16b=kj169>j5cc9>16b=kh169>j5c89>16b=k1169>j5c69>16b=k?169>j5c49>16b=j0169>j5ad9>16b=9>k018=k:05;?834l3;<;63:3d875`=:=:o1=584=41f>14534?8i7k540c8905b2=;h70;;2;l0?>=5252g95=4<5<9n69?k;<70a?70=27>?h4>729>16c=<;;018=j:500?834m39<963:3d8036=:=:o1?:?4=41f>60a34?8i7hi;<70a?`c34?8i7hl;<70a?`e34?8i7?9c:?67`<6>h169>k517;8905b28<370;;2;l0::;5252g9533<5<9n6<8;;<70a?71:27>?h4>609>16c=9?:018=j:07e?834m3;>i63:3d821a=:=:o1=8m4=41f>43e34?8i7?:a:?67`<6=0169>k51458905b28?=70;;2;l0:995252g9505<5<9n6<;=;<70a?72927>?h4>519>16c=9=l018=j:06f?834m3;?o63:3d820g=:=:o1=9o4=41f>42>34?8i7?;8:?67`<6<>169>k51548905b28>>70;;2;l0:8>5252g9524<5<9n6<9>;<70a?70827>?h4>6g9>16c=9?o018=j:04g?834m3;=?63:3d821==:=:o1=9j4=41f>42534?8i7k52`18905b2;k970;;2;l0:=k5252g954c<5<9n6?h4>1c9>16c=98k018=j:03:?834m3;9m63:3d826<=:=:o1=?64=41f>44034?8i7?=6:?67`<6:<169>k51368905b288870;;2;l0:=55252g9g1=:=:o1o?5252g9g4=:=:o1o=5252g9fc=:=:o1nh5252g9fa=:=:o1nn5252g9fg=:=:o1nl5252g9f==:=:o1n:5252g9f3=:=:o1n85252g9f1=:=:o1n>5252g9f7=:=:o1n<5252g9f5=:=:o1mk5252g9gf=:=:o1oo5252g9gd=:=:o1o45252g9g==:=:o1o:5252g9g3=:=:o1o85252g9f<=:=:o1mh5252g952g<5<9n6<97;<70a?70?27>?k4;1d9>16`=91<018=i:501?834n38i:63:3g875c=:=:l1817d34?8j7:>b:?67c<3:9169>h51908905a2=;o70;;2;o0:;>5252d9077<5<9m69<<;<70b?50=27>?k4<729>16`=;>;018=i:24e?834n3lm70;40?34?8j7?97:?67c<6>?169>h51778905a28;2;o0::<5252d9536<5<9m6<;i;<70b?72m27>?k4>5e9>16`=9m63:3g821<=:=:l1=894=41e>43134?8j7?:5:?67c<6==169>h51418905a28?970;;2;o0:9=5252d951`<5<9m6<:j;<70b?73k27>?k4>4c9>16`=9=k018=i:06:?834n3;?463:3g8202=:=:l1=984=41e>42234?8j7?;4:?67c<6<:169>h51608905a28=:70;;2;o0::k5252d953c<5<9m6<8k;<70b?71;27>?k4>599>16`=9=n018=i:061?834n38j;63:3g81e0=:=:l1>l=4=41e>7g534?8j7?=1:?67c<69o169>h510g8905a28;o70;;2;o0:=o5252d954g<5<9m6?k4>289>16`=9;2018=i:004?834n3;9:63:3g8260=:=:l1=?:4=41e>44434?8j7?=2:?67c<691169>h5c59>16`=k;169>h5c09>16`=k9169>h5bg9>16`=jl169>h5be9>16`=jj169>h5bc9>16`=jh169>h5b99>16`=j>169>h5b79>16`=j<169>h5b59>16`=j:169>h5b39>16`=j8169>h5b19>16`=io169>h5cb9>16`=kk169>h5c`9>16`=k0169>h5c99>16`=k>169>h5c79>16`=k<169>h5b89>16`=il169>h516c8905a28=370;;2<90?=h5255295=0<5<>;69<=;<774?4e>27>8=4;1g9>116=<8k018:?:53`?83383>:n63:418765=:==:1=5<4=463>17c34??<7?85:?605<6?:1699>5433890272=8870;;0;141>;2<908;>525529727<5<>;6>8i;<774?`a34??<7hk;<774?`d34??<7hm;<774?71k27>8=4>6`9>116=9?3018:?:04;?83383;=;63:418223=:==:1=;;4=463>40334??<7?92:?605<6>81699>51728902728?m70;;0;36a>;2<90:9i52552950e<5<>;6<;m;<774?72i27>8=4>589>116=9<=018:?:075?83383;>963:418211=:==:1=8=4=463>43534??<7?:1:?605<6=91699>515d8902728>n70;;0;37g>;2<90:8o52552951g<5<>;6<:6;<774?73027>8=4>469>116=9=<018:?:066?83383;?863:418206=:==:1=:<4=463>41634??<7?80:?605<6>o1699>517g8902728;2<90:9552552951b<5<>;6<:=;<774?4f?27>8=4=a49>116=:h9018:?:3c1?83383;9=63:41825c=:==:1=47c34??<7?>c:?605<69k1699>510c8902728;270;;0;31e>;2<90:>452552957><5<>;6<<8;<774?75>27>8=4>249>116=9;>018:?:000?83383;9>63:41825==:==:1o9525529g7=:==:1o<525529g5=:==:1nk525529f`=:==:1ni525529ff=:==:1no525529fd=:==:1n5525529f2=:==:1n;525529f0=:==:1n9525529f6=:==:1n?525529f4=:==:1n=525529ec=:==:1on525529gg=:==:1ol525529g<=:==:1o5525529g2=:==:1o;525529g0=:==:1n4525529e`=:==:1=:o4=463>41?34??<7?87:?604<39l1699?5194890262=8970;;1;0a2>;2<80?=k52553904g<5<>:69?l;<775?26j27>8<4;219>117=918018:>:53g?83393;<963:408236=:==;18??4=462>14434??=7=85:?604<4?:1699?5363890262:;2<80::l52553953?<5<>:6<87;<775?71?27>8<4>679>117=9??018:>:047?83393;=>63:408224=:==;1=;>4=462>43a34??=7?:e:?604<6=m1699?514a8902628?i70;;1;36e>;2<80:94525539501<5<>:6<;9;<775?72=27>8<4>559>117=9<9018:>:071?83393;>=63:408215=:==;1=9h4=462>42b34??=7?;c:?604<6270;;1;37<>;2<80:8:525539510<5<>:6<::;<775?73<27>8<4>429>117=9>8018:>:052?83393;<<63:40822c=:==;1=;k4=462>40c34??=7?93:?604<6=11699?515f8902628>970;;1;0b3>;2<809m85255396d5<5<>:6?o=;<775?75927>8<4>1g9>117=98o018:>:03g?83393;:o63:40825g=:==;1=47>34??=7?=a:?604<6:01699?513:89026288<70;;1;312>;2<80:>8525539572<5<>:6<<<;<775?75:27>8<4>199>117=k=1699?5c39>117=k81699?5c19>117=jo1699?5bd9>117=jm1699?5bb9>117=jk1699?5b`9>117=j11699?5b69>117=j?1699?5b49>117=j=1699?5b29>117=j;1699?5b09>117=j91699?5ag9>117=kj1699?5cc9>117=kh1699?5c89>117=k11699?5c69>117=k?1699?5c49>117=j01699?5ad9>117=9>k018:>:05;?83393;<;63:43875`=:==81=584=461>14534??>7;2<;0?>=5255095=4<5<>969?k;<776?70=27>8?4>729>114=<;;018:=:500?833:39<963:438036=:==81?:?4=461>60a34??>7hi;<776?`c34??>7hl;<776?`e34??>7?9c:?607<6>h1699<517;8902528<370;;2;353>;2<;0::;525509533<5<>96<8;;<776?71:27>8?4>609>114=9?:018:=:07e?833:3;>i63:43821a=:==81=8m4=461>43e34??>7?:a:?607<6=01699<51458902528?=70;;2;361>;2<;0:99525509505<5<>96<;=;<776?72927>8?4>519>114=9=l018:=:06f?833:3;?o63:43820g=:==81=9o4=461>42>34??>7?;8:?607<6<>1699<51548902528>>70;;2;370>;2<;0:8>525509524<5<>96<9>;<776?70827>8?4>6g9>114=9?o018:=:04g?833:3;=?63:43821==:==81=9j4=461>42534??>7;2<;0:=k52550954c<5<>968?4>1c9>114=98k018:=:03:?833:3;9m63:43826<=:==81=?64=461>44034??>7?=6:?607<6:<1699<513689025288870;;2;316>;2<;0:=5525509g1=:==81o?525509g4=:==81o=525509fc=:==81nh525509fa=:==81nn525509fg=:==81nl525509f==:==81n:525509f3=:==81n8525509f1=:==81n>525509f7=:==81n<525509f5=:==81mk525509gf=:==81oo525509gd=:==81o4525509g==:==81o:525509g3=:==81o8525509f<=:==81mh52550952g<5<>96<97;<776?70?27>8>4;1d9>115=91<018:<:501?833;38i:63:42875c=:==91817d34???7:>b:?606<3:91699=5190890242=;o70;;3;341>;2<:0:;>525519077<5<>869<<;<777?50=27>8>4<729>115=;>;018:<:24e?833;3lm70;;3;dg?833;3lh70;;3;da?833;3;=o63:42822d=:==91=;74=460>40?34???7?97:?606<6>?1699=51778902428;2<:0::<525519536<5<>86<;i;<777?72m27>8>4>5e9>115=9m63:42821<=:==91=894=460>43134???7?:5:?606<6==1699=51418902428?970;;3;365>;2<:0:9=52551951`<5<>86<:j;<777?73k27>8>4>4c9>115=9=k018:<:06:?833;3;?463:428202=:==91=984=460>42234???7?;4:?606<6<:1699=51608902428=:70;;3;344>;2<:0::k52551953c<5<>86<8k;<777?71;27>8>4>599>115=9=n018:<:061?833;38j;63:4281e0=:==91>l=4=460>7g534???7?=1:?606<69o1699=510g8902428;o70;;3;32g>;2<:0:=o52551954g<5<>868>4>289>115=9;2018:<:004?833;3;9:63:428260=:==91=?:4=460>44434???7?=2:?606<6911699=5c59>115=k;1699=5c09>115=k91699=5bg9>115=jl1699=5be9>115=jj1699=5bc9>115=jh1699=5b99>115=j>1699=5b79>115=j<1699=5b59>115=j:1699=5b39>115=j81699=5b19>115=io1699=5cb9>115=kk1699=5c`9>115=k01699=5c99>115=k>1699=5c79>115=k<1699=5b89>115=il1699=516c8902428=370;;3;343>;2<=0?=552557904><5<>=69?7;<773?26027>854;199>11?=<82018:n:53;?833j3>:463:4b875==:==n18<64=46f>17?34??j7:>8:?615<3911698?540:890352=;370lk4;3:5>;elo0:5<52c5c95<7<5m8o65l4=e0g>=e<5m8m6574=e0e>=g<5m8m65m4=e12>=g<5m9:65l4=e12>=e<5m986574=e10>=g<5m9865l4=e10>=e<5m9>6574=e16>=g<5m9>65l4=e16>=e<5m9<6574=e14>=g<5m9<65l4=e14>=e<5m926574=e1:>=g<5m9265l4=e1:>=e<5m9i6574=e1a>=g<5m9i65l4=e1a>=e<5m9o6574=e1g>=g<5m9o65l4=e1g>=e<5m9m6574=e1e>=g<5m9m65l4=e1e>=e<5m>:6574=e62>=g<5m>:65l4=e62>=e<5m>86574=e60>=g<5m>865l4=e60>=e<5m>>6574=e66>=g<5m>>65l4=e66>=e<5m><6574=e64>=g<5m><65l4=e64>=e<5m>26574=e6:>=g<5m>265l4=e6:>=e<5m>i6574=e6a>=g<5m>i65l4=e6a>=e<5m>o6574=e6g>=g<5m>o65l4=e6g>=e<5m>m6574=e6e>=g<5m>m65l4=e6e>=e<5m?:6574=e72>=g<5m?:65l4=e72>=e<5m?86574=e70>=d<5m?865m4=e76>=?<5m?>65o4=e76>=d<5m?>65m4=e74>=?<5m?<65o4=e74>=d<5m?<65m4=e7:>=g<5m?265l4=e7:>=e<5m?i6574=e7a>=d<5m?i65m4=e7g>=d<5m?o65m4=e7e>=g<5m?m65l4=e7e>=e<5m<:65o4=e42>=d<5m<:65m4=e40>=g<5m<865l4=e40>=e<5m<>65o4=e46>=d<5m<>65m4=e44>=g<5m<<65l4=e44>=e<5m<265o4=e4:>=d<5m<265m4=e4a>=g<5m=e<5m=d<5m=g<5m=e<5m=:65o4=e52>=d<5m=:65m4=e50>=g<5m=865l4=e50>=e<5m=>65o4=e56>=d<5m=>65m4=e54>=g<5m=<65l4=e54>=e<5m=265o4=e5:>=d<5m=265m4=e5a>=g<5m=i65l4=e5a>=e<5m=o65o4=e5g>=d<5m=o65m4=e5e>=g<5m=m65l4=e5e>=e<5m2:65o4=e:2>=d<5m2:65m4=e:0>=g<5m2865l4=e:0>=e<5m2>65o4=e:6>=d<5m2>65m4=e:4>=g<5m2<65l4=e:4>=e<5m2265o4=e::>=d<5m2265m4=e:a>=g<5m2i65l4=e:a>=e<5m2o65o4=e:g>=d<5m2o65m4=e:e>=g<5m2m65l4=e:e>=e<5m3:65o4=e;2>=d<5m3:65m4=e;0>=g<5m3865l4=e;0>=e<5m3>65o4=e;6>=d<5m3>65m4=e;4>=g<5m3<65l4=e;4>=e<5m3265o4=e;:>=d<5m3265m4=e;a>=g<5m3i65l4=e;a>=e<5m3o65o4=e;g>=d<5m3o65m4=e;e>=g<5m3m65l4=e;e>=e<5mk:65o4=ec2>=d<5mk:65m4=ec0>=g<5mk865l4=ec0>=e<5mk>65o4=ec6>=d<5mk>65m4=ec4>=g<5mk<65l4=ec4>=e<5mk265o4=ec:>=d<5mk265m4=eca>=g<5mki65l4=eca>=e<5mko65o4=ecg>=d<5mko65m4=ece>=g<5mkm65l4=ece>=e<5mh:65o4=e`2>=d<5mh:65m4=e`0>=g<5mh865l4=e`0>=e<5mh>65o4=e`6>=d<5mh>65m4=e`4>=g<5mh<65l4=e`4>=e<5mh265o4=e`:>=d<5mh265m4=e`a>=?<5mhi65o4=e`a>=d<5mhi65m4=e`g>=g<5mho65l4=e`g>=e<5mhm6574=e`e>=g<5mhm65l4=e`e>=e<5mi:6574=ea2>=g<5mi:65l4=ea2>=e<5mi86574=ea0>=g<5mi865l4=ea0>=e<5mi>6574=ea6>=g<5mi>65l4=ea6>=e<5mi<6574=ea4>=g<5mi<65l4=ea4>=e<5mi26574=ea:>=g<5mi265l4=ea:>=e<5mii6574=eaa>=g<5mii65l4=eaa>=e<5mio6574=eag>=g<5mio65l4=eag>=e<5mim6574=eae>=g<5mim65l4=eae>=e<5mn:6574=ef2>=g<5mn:65l4=ef2>=e<5mn86574=ef0>=g<5mn865l4=ef0>=e<5mn>6574=ef6>=g<5mn>65l4=ef6>=e<5mn<6574=ef4>=g<5mn<65l4=ef4>=e<5mn26574=ef:>=g<5mn265l4=ef:>=e<5mni6574=efa>=g<5mni65l4=efa>=e<5mno6574=efg>=g<5mno65l4=efg>=e<5mnm6574=efe>=g<5mnm65l4=efe>=e<5mo:6574=eg2>=g<5mo:65l4=eg2>=e<5mo86574=eg0>=g<5mo865l4=eg0>=e<5mo>6574=eg6>=g<5mo>65l4=eg6>=e<5mo<6574=eg4>=g<5mo<65l4=eg4>=e<5mo26574=eg:>=g<5mo265l4=eg:>=e<5moi6574=ega>=g<5moi65l4=ega>=e<5moo6574=egg>=g<5moo65l4=egg>=e<5mom6574=ege>=g<5mom65l4=ege>=e<5ml:6574=ed2>=g<5ml:65l4=ed2>=e<5ml86574=ed0>=g<5ml865l4=ed0>=e<5ml>6574=ed6>=g<5ml>65l4=ed6>=e<5ml<6574=ed4>=g<5ml<65l4=ed4>=e<5ml26574=ed:>=g<5ml265l4=ed:>=e<5mli6574=eda>=g<5mli65l4=eda>=e<5mlo6574=edg>=g<5mlo65l4=edg>=e<5mlm6574=ede>=g<5mlm65l4=ede>=e<5l::6574=d22>=g<5l::65l4=d22>=e<5l:86574=d20>=g<5l:865l4=d20>=e<5l:>6574=d26>=g<5l:>65l4=d26>=e<5l:<6574=d24>=g<5l:<65l4=d24>=e<5l:26574=d2:>=g<5l:265l4=d2:>=e<5l:i6574=d2a>=g<5l:i65l4=d2a>=e<5l:o6574=d2g>=g<5l:o65l4=d2g>=e<5l:m6574=d2e>=g<5l:m65l4=d2e>=e<5l;:6574=d32>=g<5l;:65l4=d32>=e<5l;86574=d30>=g<5l;865l4=d30>=e<5l;>6574=d36>=g<5l;>65l4=d36>=e<5l;<6574=d34>=g<5l;<65l4=d34>=e<5l;26574=d3:>=g<5l;265l4=d3:>=e<5l;i6574=d3a>=g<5l;i65l4=d3a>=e<5l;o6574=d3g>=d<5l;m6574=d3e>=d<5l8:6574=d02>=d<5l886574=d00>=d<5l8>6574=d06>=d<5l8<6574=d04>=d<5l826574=d0:>=d<5l8i6574=d0a>=d<5l8o6574=d0g>=d<5l8m6574=d0e>=d<5l9:6574=d12>=d<5l986574=d10>=d<5l9>6574=d16>=d<5l9<6574=d14>=d<5l926574=d1:>=d<5l9i6574=d1a>=d<5l9o6574=d1g>=d<5l9m6574=d1e>=d<5l>:6574=d62>=d<5l>86574=d60>=d<5l>>6574=d66>=d<5l><6574=d64>=d<5l>26574=d6:>=d<5l>i6574=d6a>=d<5l>o6574=d6g>=d<5l>m6574=d6e>=d<5l?:6574=d72>=d<5l?86574=d70>=d<5l?>6574=d76>=d<5l?<6574=d74>=d<5l?26574=d7:>=d<5l?i6574=d7a>=d<5l?o6574=d7g>=d<5l?m6574=d7e>=d<5l<:6574=d42>=d<5l<86574=d40>=d<5l<>6574=d46>=d<5l<<6574=d44>=d<5l<26574=d4:>=d<5l=d<5l=d<5l=d<5l=:6574=d52>=d<5l=86574=d50>=d<5l=>6574=d56>=d<5l=<6574=d54>=d<5l=26574=d5:>=d<5l=i6574=d5a>=d<5l=o6574=d5g>=g<5l=m6574=d5e>=g<5l=m65l4=d5e>=e<5l2:6574=d:2>=g<5l2:65l4=d:2>=e<5l286574=d:0>=g<5l2865l4=d:0>=e<5l2>6574=d:6>=g<5l2>65l4=d:6>=e<5l2<6574=d:4>=g<5l2<65l4=d:4>=e<5l226574=d::>=g<5l2265l4=d::>=e<5l2i6574=d:a>=g<5l2i65l4=d:a>=e<5l2o6574=d:g>=g<5l2o65l4=d:g>=e<5l2m6574=d:e>=g<5l2m65l4=d:e>=e<5l3:6574=d;2>=g<5l3:65l4=d;2>=e<5l386574=d;0>=g<5l3865l4=d;0>=e<5l3>6574=d;6>=g<5l3>65l4=d;6>=e<5l3<6574=d;4>=g<5l3<65l4=d;4>=e<5l326574=d;:>=g<5l3265l4=d;:>=e<5l3i6574=d;a>=g<5l3i65l4=d;a>=e<5l3o6574=d;g>=g<5l3o65l4=d;g>=e<5l3m6574=d;e>=g<5l3m65l4=d;e>=e<5lk:6574=dc2>=g<5lk:65l4=dc2>=e<5lk86574=dc0>=g<5lk865l4=dc0>=e<5lk>6574=dc6>=g<5lk>65l4=dc6>=e<5lk<6574=dc4>=g<5lk<65l4=dc4>=e<5lk26574=dc:>=g<5lk265l4=dc:>=e<5lki6574=dca>=g<5lki65l4=dca>=e<5lko6574=dcg>=g<5lko65l4=dcg>=e<5lkm6574=dce>=g<5lkm65l4=dce>=e<5lh:6574=d`2>=g<5lh:65l4=d`2>=e<5lh86574=d`0>=g<5lh865l4=d`0>=e<5lh>6574=d`6>=g<5lh>65l4=d`6>=e<5lh<6574=d`4>=g<5lh<65l4=d`4>=e<5lh26574=d`:>=g<5lh265l4=d`:>=e<5lhi6574=d`a>=g<5lhi65l4=d`a>=e<5lho6574=d`g>=g<5lho65l4=d`g>=e<5lhm6574=d`e>=g<5lhm65l4=d`e>=e<5li:6574=da2>=g<5li:65l4=da2>=e<5li86574=da0>=g<5li865l4=da0>=e<5li>6574=da6>=g<5li>65l4=da6>=e<5li<6574=da4>=g<5li<65l4=da4>=e<5li26574=da:>=g<5li265l4=da:>=e<5lii6574=daa>=g<5lii65l4=daa>=e<5lio6574=dag>=g<5lio65l4=dag>=e<5lim6574=dae>=g<5lim65l4=dae>=e<5ln:6574=df2>=g<5ln:65l4=df2>=e<5ln86574=df0>=g<5ln865l4=df0>=e<5ln>6574=df6>=g<5ln>65l4=df6>=e<5ln<6574=df4>=g<5ln<65l4=df4>=e<5ln26574=df:>=g<5ln265l4=df:>=e<5lni6574=dfa>=g<5lni65l4=dfa>=e<5lno6574=dfg>=g<5lno65l4=dfg>=e<5lnm6574=dfe>=g<5lnm65l4=dfe>=e<5lo:65m4=dg0>=e<5lo>65m4=dg4>=e<5lo265m4=dga>=e<5loo65m4=dge>=e<5ll:65m4=dd0>=e<5ll>65m4=dd4>=e<5ll265m4=dda>=e<5llo65m4=dde>=e<5o::65m4=g20>=e<5o:>65m4=g24>=e<5o:265m4=g2a>=e<5o:o65m4=g2e>=e<5o;:65m4=g30>=e<5o;>65m4=g34>=e<5o;265m4=g3a>=e<5o;o65m4=g3e>=e<5o8:65m4=g00>=e<5o8>65m4=g04>=e<5o8265m4=g0a>=e<5o8o65m4=g0e>=e<5o9:65m4=g10>=e<5o9>65m4=g14>=e<5o9265m4=g1a>=e<5o9o65m4=g1e>=e<5o>:6574=g62>=g<5o>:65l4=g60>=?<5o>865o4=g60>=d<5o>865m4=g66>=?<5o>>65o4=g66>=d<5o>>65m4=g64>=?<5o><65o4=g64>=d<5o><65m4=g6:>=?<5o>265o4=g6:>=d<5o>265m4=g6a>=?<5o>i65o4=g6a>=d<5o>i65m4=g6g>=?<5o>o65o4=g6g>=d<5o>o65m4=g6e>=?<5o>m65o4=g6e>=d<5o>m65m4=g72>=?<5o?:65o4=g72>=d<5o?:65m4=g70>=?<5o?865o4=g70>=d<5o?865m4=g76>=?<5o?>65o4=g76>=d<5o?>65m4=g74>=?<5o?<65o4=g74>=d<5o?<65m4=g7:>=?<5o?265o4=g7:>=d<5o?265m4=g7a>=?<5o?i65o4=g7a>=d<5o?i65m4=g7g>=?<5o?o65o4=g7g>=d<5o?o65m4=g7e>=?<5o?m65o4=g7e>=d<5o?m65m4=g42>=?<5o<:65o4=g42>=d<5o<:65m4=g40>=?<5o<865o4=g40>=d<5o<865m4=g46>=?<5o<>65o4=g46>=d<5o<>65m4=g44>=?<5o<<65o4=g44>=d<5o<<65m4=g4:>=?<5o<265o4=g4:>=d<5o<265m4=g4a>=?<5o=d<5o=?<5o=d<5o=?<5o=d<5o=?<5o=:65o4=g52>=d<5o=:65m4=g50>=?<5o=865o4=g50>=d<5o=865m4=g56>=?<5o=>65o4=g56>=d<5o=>65m4=g54>=?<5o=<65o4=g54>=d<5o=<65m4=g5:>=?<5o=265o4=g5:>=d<5o=265m4=g5a>=?<5o=i65o4=g5a>=d<5o=i65m4=g5g>=?<5o=o65o4=g5g>=d<5o=o65m4=g5e>=?<5o=m65o4=g5e>=d<5o=m65m4=g:2>=?<5o2:65o4=g:2>=d<5o2:65m4=g:0>=?<5o2865o4=g:0>=d<5o2865m4=g:6>=?<5o2>65o4=g:6>=d<5o2>65m4=g:4>=?<5o2<65o4=g:4>=d<5o2<65m4=g::>=?<5o2265o4=g::>=d<5o2265m4=g:a>=?<5o2i65o4=g:a>=d<5o2i65m4=g:g>=?<5o2o65o4=g:g>=d<5o2o65m4=g:e>=?<5o2m65o4=g:e>=d<5o2m65m4=g;2>=?<5o3:65o4=g;2>=d<5o3:65m4=g;0>=?<5o3865o4=g;0>=d<5o3865m4=g;6>=?<5o3>65o4=g;6>=d<5o3>65m4=g;4>=?<5o3<65o4=g;4>=d<5o3<65m4=g;:>=?<5o3265o4=g;:>=d<5o3265m4=g;a>=?<5o3i65o4=g;a>=d<5o3i65m4=g;g>=?<5o3o65o4=g;g>=d<5o3o65m4=g;e>=?<5o3m65o4=g;e>=d<5o3m65m4=gc2>=?<5ok:65o4=gc2>=d<5ok:65m4=gc0>=e<5ok>6574=gc6>=g<5ok>65l4=gc6>=e<5ok<6574=gc4>=g<5ok<65l4=gc4>=e<5ok26574=gc:>=g<5ok265l4=gc:>=e<5oki6574=gca>=g<5oki65l4=gca>=e<5oko6574=gcg>=g<5oko65l4=gcg>=e<5okm6574=gce>=g<5okm65l4=gce>=e<5oh:6574=g`2>=g<5oh:65l4=g`2>=e<5oh86574=g`0>=g<5oh865l4=g`0>=e<5oh>6574=g`6>=g<5oh>65l4=g`6>=e<5oh<6574=g`4>=g<5oh<65l4=g`4>=e<5oh26574=g`:>=g<5oh265l4=g`:>=e<5ohi6574=g`a>=g<5ohi65l4=g`a>=e<5oho6574=g`g>=g<5oho65l4=g`g>=e<5ohm6574=g`e>=g<5ohm65l4=g`e>=e<5oi:6574=ga2>=g<5oi:65l4=ga2>=e<5oi86574=ga0>=g<5oi865l4=ga0>=e<5oi>6574=ga6>=g<5oi>65l4=ga6>=e<5oi<6574=ga4>=g<5oi<65l4=ga4>=e<5oi26574=ga:>=g<5oi265l4=ga:>=e<5oii6574=gaa>=g<5oii65l4=gaa>=e<5oio6574=gag>=g<5oio65l4=gag>=e<5oim6574=gae>=g<5oim65l4=gae>=e<5on:6574=gf2>=g<5on:65l4=gf2>=e<5on86574=gf0>=g<5on865l4=gf0>=e<5on>6574=gf6>=g<5on>65l4=gf6>=e<5on<6574=gf4>=g<5on<65l4=gf4>=e<5on26574=gf:>=g<5on265l4=gf:>=e<5oni6574=gfa>=g<5oni65l4=gfa>=e<5ono6574=gfg>=g<5ono65l4=gfg>=e<5onm6574=gfe>=g<5onm65l4=gfe>=e<5oo:6574=gg2>=g<5oo:65l4=gg2>=e<5oo86574=gg0>=g<5oo865l4=gg0>=e<5oo>6574=gg6>=g<5oo>65l4=gg6>=e<5oo<6574=gg4>=g<5oo<65l4=gg4>=e<5oo26574=gg:>=g<5oo265l4=gg:>=e<5ooi6574=gga>=g<5ooi65l4=gga>=e<5ooo6574=ggg>=g<5ooo65l4=ggg>=e<5oom6574=gge>=g<5oom65l4=gge>=e<5ol:6574=gd2>=g<5ol:65l4=gd2>=e<5ol86574=gd0>=g<5ol865l4=gd0>=e<5ol>6574=gd6>=g<5ol>65l4=gd6>=e<5ol<6574=gd4>=g<5ol<65l4=gd4>=e<5ol26574=gd:>=g<5ol265l4=gd:>=e<5oli6574=gda>=g<5oli65l4=gda>=e<5olo6574=gdg>=g<5olo65l4=gdg>=e<5olm6574=gde>=g<5olm65l4=gde>=e<58:;=766;<3344556621i01<>?3;::?8778:03m63>0119>34;;<847a:?2453=0k16==>::9a89467?32270??068;e>;689=14o521124>=e<58:;5766;<334<556>21i01<>?b;::?8778k03m63>01`9>34;;k:9a89467n32270??0g8;e>;689l14o52112e>=e<58::=766;<3354557621i01<>>3;::?8779:03m63>0019>34;;=847a:?2443=0k16==?::9a89466?32270??168;e>;688=14o521134>=e<58::5766;<335<557>21i01<>>b;::?8779k03m63>00`9>34;;=i47a:?244b=0k16==?k:9a89466n32270??1g8;e>;688l14o52113e>=e<58:9=766;<3364554621i01<>=3;::?877::03m63>0319>34;;>847a:?2473=0k16==<::9a89465?32270??268;e>;68;=14o521104>=e<58:95766;<336<554>21i01<>=b;::?877:k03m63>03`9>34;;>i47a:?247b=0k16==;68;l14o52110e>=e<58:8=766;<3374?58c9>555621i01<><3;::?877;:03m63>0219>34;;?847a:?2463=0k16===::9a89464?32270??368;e>;68:=14o521114>=e<58:85766;<337<758c9>555>21i01<>02`9>34;;?i47a:?246b=0k16===k:9a89464n32270??3g8;e>;68:l14o52111e>=e<58:?=766;<3304552621i01<>;3;::?877<:03m63>0519865m4=0271?>>34;;8847a:?2413=0k16==:::9a89463?32270??468;e>;68==14o521164>=e<58:?5766;<330<552>21i01<>;b;::?87705`9i65m4=027`?>>34;;8i47a:?241b=0k16==:k:9a89463n32270??4g8;e>;68=l14o52116e>=e<58:>=766;<3314553621i01<>:3;::?877=:03m63>0419>34;;9847a:?2403=0k16==;::9a89462?32270??568;e>;68<=14o521174>=e<58:>5766;<331<553>21i01<>:b;::?877=k03m63>04`9>34;;9i47a:?240b=0k16==;k:9a89462n32270??5g8;e>;68=e<58:==766;<3324550621i01<>93;::?877>:03m63>071927hhk4=9e9~w4d4=3:1?vP>2b589f6a2=;=70mm0;0:b>{t9k9=6=4<{_31g3=:k9o18<84=bcf>7?a3ty:n>950;1xZ44d=27hgde=:0l0q~?m3983>6}Y9;i?70m?c;622>;dim095k5rs0`0=?6=;rT:>n=4=b2a>17134ijn7<6f:p5g5f2908wS?=c39>g5g=<8<01no6:3;e?xu6j:h1<7=t^00`5>;d800?=;52c`c96<`6:?`e=<51o1v8:535?8ef>382j6s|1c1f>5<4sW;9ni52c149040<5jk<6?7i;|q2f6`=839pR<uQ13`a?8e7<3>::63la281=c=z{8h?=7>53z\26gg<5j:869?9;n2wx=o:=:180[75j016o=<540489fg52;3m7p}>b5194?5|V88i463l008753=:kh:1>4h4}r3a01<72:qU=?l8;27hm<4=9g9~w4d3=3:1?vP>2c489g`a2=;=70m6f;0:b>{t9k>=6=4<{_31f1=:joo18<84=b;g>7?a3ty:n9950;1xZ44e;27iji4;179>g6}Y9;h970lic;622>;d1j095k5rs0`7=?6=;rT:>o?4=cda>17134i2m7<6f:p5g2f2908wS?=b19>fcg=<8<01n7m:3;e?xu6j=h1<7=t^00bb>;en00?=;52c8;96<`6:?`=2<51o1v0382j6s|1c6f>5<4sW;9mn52bg49040<5j3=6?7i;|q2f1`=839pR<uQ13c:?8da<3>::63l9481=c=z{8h>=7>53z\26d><5kl869?9;n2wx=o;=:180[75i>16nk<540489f?62;3m7p}>b4194?5|V88j:63mf08753=:k081>4h4}r3a11<72:qU=?o:;<`e4?26>27h5=4=9g9~w4d2=3:1?vP>2`689gca2=;=70m7e;0:b>{t9k?=6=4<{_31e6=:jlo18<84=b:e>7?a3ty:n8950;1xZ44f:27iii4;179>g=b=:0l0q~?m5983>6}Y9;k:70ljc;622>;d0k095k5rs0`6=?6=;rT:>l>4=cga>17134i3o7<6f:p5g3f2908wS?=cb9>f`g=<8<01n6n:3;e?xu6j;em00?=;52c9:96<`6:?`<<<51o1v5<4sW;9o552bd49040<5j2>6?7i;|q2f0`=839pR<90;6>uQ13`6?8db<3>::63l8581=c=z{8h==7>53z\26dg<5ko869?9;n2wx=o8=:180[751o16nh<540489f>42;3m7p}>b7194?5|V882i63me08753=:k1;1>4h4}r3a21<72:qU=999;27hhi4=9g9~w4d1=3:1?vP>46789f5c2=;=70mke;0:b>{t9k<=6=4<{_3731=:k:i18<84=bf`>7?a3ty:n;950;1xZ420;27h?o4;179>gag=:0l0q~?m6983>6}Y9==970m;dlk095k5rs0`5=?6=;rT:8:?4=b1:>17134io57<6f:p5g0f2908wS?;719>g6>=<8<01nj8:3;e?xu6j?h1<7=t^065a>;d;>0?=;52ce:96<`6:?``3<51o1v5<4sW;?:o52c269040<5jn>6?7i;|q2f3`=839pR<:9a:?`76<39?16oi=528d8yv7e?90;6>uQ154:?8e4:3>::63ld081=c=z{8h<=7>53z\203><5j9:69?9;n2wx=o9=:180[73>>16o>>540489fb72;3m7p}>b6194?5|V8>=:63l2g8753=:kjo1>4h4}r3a31<72:qU=98:;27hok4=9g9~w4d0=3:1?vP>47189f4c2=;=70mld;0:b>{t9k==6=4<{_3727=:k;i18<84=baa>7?a3ty:n:950;1xZ421927h>o4;179>gfe=:0l0q~?m7983>6}Y9=<;70m=a;622>;dkh095k5rs0`4=?6=;rT:88h4=b0:>17134ih47<6f:p5g1f2908wS?;5d9>g7>=<8<01nm6:3;e?xu6j>h1<7=t^066`>;d:>0?=;52cb596<`6:?`g0<51o1v382j6s|1c5f>5<4sW;?9l52c369040<5ji?6?7i;|q2f2`=839pR<::8:?`66<39?16on<528d8yv7e090;6>uQ1574?8e5:3>::63lc281=c=z{8h3=7>53z\2000<5j8:69?9;n2wx=o6=:180[73=<16o?>540489fda2;3m7p}>b9194?5|V8>>863l1g8753=:kj:1>4h4}r3a<1<72:qU=9;<;27hnh4=9g9~w4d?=3:1?vP>44089f7c2=;=70mmc;0:b>{t9k2=6=4<{_3714=:k8i18<84=b`g>7?a3ty:n5950;1xZ422827h=o4;179>ggd=:0l0q~?m8983>6}Y9=>m70m>a;622>;dj0095k5rs0`;=?6=;rT:8:l4=b3:>17134iim7<6f:p5g>f2908wS?;7`9>g4>=<8<01nl7:3;e?xu6j1h1<7=t^064=>;d9>0?=;52cc496<`6:?`f2<51o1v=01n?::535?8ee=382j6s|1c:f>5<4sW;?:k52c069040<5jh86?7i;|q2f=`=839pR<:94:?`56<39?16oo:528d8yv7e190;6>uQ157:?8e6:3>::63lb381=c=z{8h2=7>53z\201c<5j;:69?9;n2wx=o7=:180[73540489fga2;3m7p}>b8194?708rT:mh74=575>61f34>>57?61:?726<5ih168;;5183891012;k?70:97;0b0>;3m=09ml524d:95<7<5=oi6<7>;<73=<4=a`9>14d=:hk018<9:35f?835n3861734?847=9e:?67=<5i=169>753618905>2:=970;<9;144>;2;008:h5252;96d2<5<9j6>9=;<70e?50827>?l4<6d9>16g=:h>018=m:251?834j39<<63:3c802`=:=:h1>l:4=41`>61534?8o7=80:?67f<4>l169>m52`68905c2:=970;;2;m08:h5252f96d2<5<9n6>9=;<70a?50827>?h4<6d9>16c=:h>018=i:251?834n39<<63:3g802`=:=:l1>l:4=463>61534??<7=80:?605<4>l1699>52`6890262:=970;;1;144>;2<808:h5255396d2<5<>96>9=;<776?50827>8?4<6d9>114=:h>018:<:251?833;39<<63:42802`=:==91>l:4=b6b>71b34n9h766;f34n9j76m;>34n>?76n;>34n>n76n;>34n>h76n;>34n==766;>34n=9766;>34n=5766;>34n=h766;>34n<=766;>34n<9766;>34n<5766;>34n>34n3=766;>34n39766;>34n35766;>34n3h766;>34n2=766;>34n29766;>34n25766;>34n2h766;>34nj=766;>34nj9766;>34nj5766;>34njh766;>34ni=766;>34ni9766;>34ni5766;>34o:h76n;d34o:j76n;d34o9=76n;d34o9?76n;d34o9976n;d34o9;76n;d34o9576n;d34o9n76n;d34o9h76n;d34o9j76n;d34o8=76n;d34o8?76n;d34o8976n;d34o8;76n;d34o8576n;d34o8n76n;d34o8h76n;d34o8j76n;d34o?=76n;d34o??76n;d34o?976n;d34o?;76n;d34o?576n;d34o?n76n;d34o?h76n;d34o?j76n;d34o>=76n;d34o>?76n;d34o>976n;d34o>;76n;d34o>576n;d34o>n76n;d34o>h76n;d34o>j76n;d34o==76n;d34o=?76n;d34o=976n;d34o=;76n;d34o=576n;d34o=n76n;d34o=h76n;d34o=j76n;d34o<=76n;d34od34o<976n;d34o<;76n;d34o<576n;d34od34od34on=766;f34on=76m;>34on?76n;e34on9766;f34on976m;>34on;76n;e34on5766;f34on576m;>34onn76n;e34onh766;f34onh76m;>34onj76n;e34om=766;f34om=76m;>34om?76n;e34om9766;f34om976m;>34om;76n;e34om5766;f34om576m;>34omn76n;e34omh766;f34omh76m;>34omj76n;e34l;=766;f34l;=76m;>34l;?76n;e34l;9766;f34l;976m;>34l;;76n;e34l;5766;f34l;576m;>34l;n76n;e34l;h766;f34l;h76m;>34l;j76n;e34l:=766;f34l:=76m;>34l:?76n;e34l:9766;f34l:976m;>34l:;76n;e34l:5766;f34l:576m;>34l:n76n;e34l:h766;f34l:h76m;>34l:j76n;e34l9=766;f34l9=76m;>34l9?76n;e34l99766;f34l9976m;>34l9;76n;e34l95766;f34l9576m;>34l9n76n;e34l9h766;f34l9h76m;>34l9j76n;e34l8=766;f34l8=76m;>34l8?76n;e34l89766;f34l8976m;>34l8;76n;e34l85766;f34l8576m;>34l8n76n;e34l8h766;f34l8h76m;>34l8j76n;e34l?=76l;>34lj?76n;e3ty:n4:50;0xZ4gb027?;84;179~w4d>=3:1>vP>0868911>2=;=7p}>b8494?4|5=?<6<78;<66::63;59813`=:k4m4=e32>7?c34n:87<6e:p5g??2909w0::8;3:5>;3=008:o5rs0`:=?6=:r7?954<6c9>00d=90;0q~?m9`83>7}:<<318?:4=b6f>60e3ty:n4l50;0x913f283<70::b;0bf>{t9k3h6=4;{<66e?26>27h;?4=9e9>g25=:0o01n9;:3;g?xu6j0n1<714334imj7=9b:p5g?b290?w0::c;04a>;d=8095n52cd79040<5m8:6?7m;|q2f<`=839p19;l:507?822l38jm63l50802g=z{8hj<7>52z?71a<4>k16oh;51858yv7ei80;6?u244g95<1<5j>i6>8m;|q2fd4=83>p19;j:535?821>39<>63;668037=:k=h1>4h4}r3ae6<72;q688h518589f352:b`694?2|5=?m69?9;<652?51n27?::4<6g9>g04=:0o0q~?ma483>7}:60e3ty:nl850;4x91072=;=70:96;144>;3>?08:h524759726<5=<<6>8j;m2wx=oo8:18182193;2=63;65802g=z{8hj47>54z?724<5?l168:m51858911d2=;=70j=0;0:f>{t9kk26=4={<655?25<27o>=4<6c9~w4dfi3:1>v3;60802g=:b`a94?4|5=<969<;;283<7p}>b`d94?4|5=;<651?51j2wx=ol?:187821<384m4=b7:>7?d3ty:no?50;0x91032=8?70m:8;15f>{t9kh96=4={<650?4fj27?:o4>969~w4de;3:1>v3;648761=:k<<1?;l4}r3af1<72;q68;853ec8905e2=:i7p}>bc794?4|5=<=6>j7;<70f?2712wx=ol9:181821>39o;63:3c874==z{8hi;7>52z?723<4l?169>l54158yv7ej10;6?u247497a3<5<9i69>9;|q2fg?=838p1989:2f7?834j3>;96s|1c`b>5<5s4>=:7=k3:?67g<38=1v?08h?5252`9055030=;m:018=m:522?xu6jkn1<76ea34?8n7:?0:p5gdb2909w0:96;1`a>;2;k08jk5rs0`ab?6=:r7?:;416d=;oo0q~?mc183>7}:6`c3ty:nn?50;0x91012:ii70;{t9ki96=4={<652?5di27>?o4v3;6780g<=:=:h1?ko4}r3ag1<72;q68;853b:8905e2:l27p}>bb794?4|5=<=6>m8;<70f?5a02wx=om9:181821>39h963:3c80b3=z{8hh;7>52z?723<4k=169>l53g78yv7ek10;6?u247497f5<5<9i6>h;;|q2ff?=838p1989:2a1?834j39m?6s|1cab>5<5s4>=:7=l1:?67g<4n;1v?08o=5252`97c7030=;kl018=m:2d3?xu6jjn1<76db34?8n7=jf:p5geb2909w0:96;1a`>;2;k08ih5rs0``b?6=:r7?:;416d=;ln0q~?md183>7}:6ce3ty:ni?50;0x91012:h270;{t9kn96=4={<652?5e027>?o4v3;6780f2=:=:h1?h64}r3a`1<72;q68;853c48905e2:o<7p}>be794?4|5=<=6>l:;<70f?5b>2wx=oj9:181821>39i863:3c80a0=z{8ho;7>52z?723<4j:169>l53d68yv7el10;6?u247497g4<5<9i6>k<;|q2fa?=838p1989:2`2?834j39n>6s|1cfb>5<5s4>=:7=j0:?67g<3981v?08hk5252`9046030=;mo018=m:52e?xu6jmn1<76bc34?8n7:?e:p5gbb2909w0:96;1gg>;2;k0?16d=<9i0q~?me183>7}:1653ty:nh?50;0x91012:i=70;{t9ko96=4={<652?5ej27>?o4v3;6780f5=:=:h1?h?4}r3aa1<72:q68;8511f8910028:o70lnd;067>{t9ko>6=4<{<652?77j27?::4>0c9>fdb=:<;0q~?me783>6}:46f34hjh7<:0:p5gc02908w0:96;33=>;3>>0:<452b`f961`030=99201988:02;?8dfl38?i6s|1cg:>5<4s4>=:7??7:?722<68>16nlj525f8yv7emh0;6>u24749550<5=<<6<>9;<`b`?43k2wx=okm:180821>3;;963;668240=:jhn1>9l4}r3aaf<72:q68;851048910028;=70lnd;04f>{t9koo6=4<{<652?76=27?::4>149>fdb=:>k0q~?med83>6}:47334hjh7<89:p5gca2908w0:96;327>;3>>0:=>52b`f962>030=98801988:031?8dfl38<;6s|1cd2>5<4s4>=:7?>1:?722<69816nlj52648yv7en;0;6>u24749546<5=<<63;;j63;66824c=:jhn1>;?4}r3ab1<72:q68;8511g8910028:n70lnd;062>{t9kl>6=4<{<652?77<27?::4>059>fdb=:=k0q~?mf783>7}:7?b3ty:nk950;0x91012:k970mke;0:a>{t9kl36=4={<652?5f927hhn4=9d9~w4da13:1>v3;6780e5=:kmk1>4k4}r3abd<72;q68;8538d89fbe2;3n7p}>bg`94?4|5=<=6>7j;m2wx=ohl:181821>392h63ld681=`=z{8hmh7>52z?723<41k16oi6528g8yv7enl0;6?u2474975<5s4>=:7=68:?``0<51l1v?085:52ce196030=;0<01nj>:3;f?xu6k991<76?234io>7<6e:p5f632909w0:96;1:0>;dl9095h5rs0a31?6=:r7?:;4<929>gfc=:0o0q~?l0783>7}:7?b3ty:o=950;0x91012:3;70mld;0:a>{t9j:36=4={<652?5?n27hoo4=9d9~w4e713:1>v3;6780<`=:kji1>4k4}r3`4d<72;q68;8539f89fef2;3n7p}>c1`94?4|5=<=6>6l;m2wx=n>l:181821>393n63lc881=`=z{8i;h7>52z?723<40h16on9528g8yv7d8l0;6?u247497=?<5ji>6?7j;|q2g5`=838p1989:2:;?8ed>382i6s|1b33>5<5s4>=:7=77:?`g1<51l1v1;296~;3>?084852cb096030=;1>01nm<:3;f?xu6k891<76>434ih=7<6e:p5f732909w0:96;1;6>;djo095h5rs0a21?6=:r7?:;4<809>gf6=:0o0q~?l1783>7}:4=b`f>7?b3ty:o<950;0x91012:=m70mmc;0:a>{t9j;36=4={<652?50m27hni4=9d9~w4e613:1>v3;67803a=:kkh1>4k4}r3`5d<72;q68;8536a89fd>2;3n7p}>c0`94?4|5=<=6>om;m2wx=n?l:181821>39jm63lb981=`=z{8i:h7>52z?723<4i016oo8528g8yv7d9l0;6?u247497d><5jh<6?7j;|q2g4`=838p1989:2c4?8ee=382i6s|1b03>5<5s4>=:7=n6:?`f6<51l1v?085n52cc696?4?:3y>030=;0;01nl=:3;f?xu6k;91<76>134ii=7<6e:p5f432909w0:96;14f>;dio095h5rs0a11?6=0r7?:;4n4:?723m27?:;46d:?fa7<39?1v?02o63je58753=z{8i9;7>52z?723<>j27ni;4;179~w4e503:1>v3;678:e>;bm10?=;5rs0a1=?6=:r7?:;468:?faf<39?1v?02;63jed8753=z{8i9n7>52z?723<>>27nil4;179~w4e5k3:1>v3;678:1>;bn;0?=;5rs0a1`?6=:r7?:;464:?fb1<39?1v?02?63jf18753=z{8i9j7>52z?723<>:27nj54;179~w4e483:1>v3;678:5>;bnh0?=;5rs0a05?6=:r7?:;460:?fb3<39?1v?03j63jfb8753=z{8i8?7>52z?723v3;678bf>;a890?=;5rs0a01?6=:r7?:;4na:?e47<39?1v?0j563i078753=z{8i8;7>52z?723v3;678b3>;a8=0?=;5rs0a0=?6=:r7?:;4n6:?e4f<39?1v?0j963i0d8753=z{8i8n7>52z?723<>127mv3;678;a>;a9;0?=;5rs0a0`?6=:r7?::416g=<9h0q~?l3d83>7}:16>3ty:o>h50;0x91002:n<70;{t9j>;6=4={<653?5c>27>?l4;069~w4e393:1>v3;6680`0=:=:k18=84}r3`07<72;q68;953e68905f2=:>7p}>c5194?4|5=<<6>j<;<70e?27<2wx=n:;:181821?39o>63:3`8746=z{8i?97>52z?722<4l9169>o54138yv7d?;|q2g11=838p1988:2af?834i39mj6s|1b6;>5<5s4>=;7=ld:?67d<4nl1v>08on5252c97cb031=;jh018=n:2d`?xu6k=h1<76ef34?8m7=ib:p5f2d2909w0:97;1`=>;2;h08jl5rs0a7`?6=:r7?::416g=;o30q~?l4d83>7}:6`?3ty:o9h50;0x91002:i>70;{t9j?;6=4={<653?5d<27>?l4v3;6680g6=:=:k1?k:4}r3`17<72;q68;953b08905f2:l87p}>c4194?4|5=<<6>m>;<70e?5a:2wx=n;;:181821?39h<63:3`80b4=z{8i>97>52z?722<4jo169>o53g28yv7d=?0;6?u247597gc<5<9j6>ki;|q2g01=838p1988:2`g?834i39ni6s|1b7;>5<5s4>=;7=mc:?67d<4mm1v>08nl5252c97`d031=;k3018=n:2gb?xu6k6d?34?8m7=j9:p5f3d2909w0:97;1a3>;2;h08i55rs0a6`?6=:r7?::416g=;l=0q~?l5d83>7}:6c13ty:o8h50;0x91002:h?70;{t9j<;6=4={<653?5e;27>?l4v3;6680f7=:=:k1?h=4}r3`27<72;q68;953c38905f2:o97p}>c7194?4|5=<<6>k?;<70e?2692wx=n8;:181821?39oj63:3`8755=z{8i=97>52z?722<4ll169>o541d8yv7d>?0;6?u247597ab<5<9j69>j;|q2g31=838p1988:2f`?834i3>;h6s|1b4;>5<5s4>=;7=kb:?67d<38j1v>08h<5252c9054031=;j<018=n:2d4?xu6k?h1<76de34?8m7=jc:p5f0d2909w0:97;1a4>;2;h08i<5rs0a5`?6=:r7?::4gg6=:0o0q~?l6d83>7}:7?b3ty:o;h50;0x91002:k:70mnc;0:a>{t9j=;6=4={<653?5f827hmi4=9d9~w4e093:1>v3;6680=c=:khh1>4k4}r3`37<72;q68;9538g89fg>2;3n7p}>c6194?4|5=<<6>7k;m2wx=n9;:181821?392n63la981=`=z{8i<97>52z?722<41h16ol8528g8yv7d??0;6?u2475975<5s4>=;7=67:?`e6<51l1v>085;52c`696031=;0?01no=:3;f?xu6k>h1<76?334ij<7<6e:p5f1d2909w0:97;1:7>;di8095h5rs0a4`?6=:r7?::4<939>g<`=:0o0q~?l7d83>7}:4=b;g>7?b3ty:o:h50;0x91002:2m70m6e;0:a>{t9j2;6=4={<653?5?m27h5n4=9d9~w4e?93:1>v3;66804k4}r3`<7<72;q68;9539a89f?e2;3n7p}>c9194?4|5=<<6>6m;m2wx=n6;:181821?393m63l9681=`=z{8i397>52z?722<40016o46528g8yv7d0?0;6?u247597=><5j3=6?7j;|q2g=1=838p1988:2:4?8e><382i6s|1b:;>5<5s4>=;7=75:?`=0<51l1v>084952c8196031=;1901n7>:3;f?xu6k1h1<76>534i2>7<6e:p5f>d2909w0:97;1;5>;d19095h5rs0a;`?6=:r7?::4<819>g=c=:0o0q~?l8d83>7}:7?b3ty:o5h50;0x91002:=n70m7d;0:a>{t9j3;6=4={<653?50l27h4o4=9d9~w4e>93:1>v3;66803f=:k1i1>4k4}r3`=7<72;q68;953``89f>f2;3n7p}>c8194?4|5=<<6>on;m2wx=n7;:181821?39j563l8881=`=z{8i297>52z?722<4i116o59528g8yv7d1?0;6?u247597d1<5j2>6?7j;|q2g<1=838p1988:2c5?8e?>382i6s|1b;;>5<5s4>=;7=6c:?`<1<51l1v>085<52c9096031=;1<01n6<:3;f?xu6k0h1<761e34i3=7<6e:p5f?d2903w0:97;c7?821?3k970:97;c2?821?3k;70:97;;e?821?33n70:97;;g?8`6<3>::6s|1b;g>5<5s4>=;77l;2wx=n7j:181821?33i70h>6;622>{t9j3m6=4={<653??f34l:47:>6:p5fg72909w0:97;;;?8`6i3>::6s|1bc2>5<5s4>=;778;2wx=no=:181821?33=70h=0;622>{t9jk86=4={<653??234l9>7:>6:p5fg32909w0:97;;7?8`6m3>::6s|1bc6>5<5s4>=;77<;2wx=no9:181821?33970h=8;622>{t9jk<6=4={<653??634l987:>6:p5fg?2909w0:97;;3?8`5k3>::6s|1bc:>5<5s4>=;76i;2wx=non:181821?3kh70h=a;622>{t9jki6=4={<653?ge34l8>7:>6:p5fgd2909w0:97;cb?8`4<3>::6s|1bcg>5<5s4>=;7o6;2wx=noj:181821?3k370h<8;622>{t9jkm6=4={<653?g034l8m7:>6:p5fd72909w0:97;c5?8`4>3>::6s|1b`2>5<5s4>=;7o:;2wx=nl=:181821?33270h;0;622>{t9jh86=4={<653?>b34l8o7:>6:p5fd3290=w0:98;3:5>;3?80?=;52c4296l27n;i4>969~w4ee=3:1>v3;698761=:l;;1?;l4}r3`f3<72;q68;652``89115283<7p}>cc594?06s4>=57:>6:?`<4<51j16o5<528a89f>42;3h70m74;0:g>;d0<095n52c9496k27h444=9b9>g=g=:0i01n6m:3;`?8e?k382o63l8e81=f=:k1o1>4m4=b:e>7?d34i2<7<6c:?`=4<51j16o4<528a89f?42;3h70m64;0:g>;d1<095n52c8496k27h544=9b9>gk382o63l9e81=f=:k0o1>4m4=b;e>7?d34ij<7<6c:?`e4<51j16ol<528a89fg42;3h70mn4;0:g>;di<095n52c`496k27hm44=9b9>gdg=:0i01nom:3;`?8efk382o63lae81=f=:kho1>4m4=bce>7?d34ii<7<6c:?`f4<51j16oo<528a89fd42;3h70mm4;0:g>;dj<095n52cc496k27hn44=9b9>ggg=:0i01nlm:3;`?8eek382o63lbe81=f=:kko1>4m4=b`e>7?d34ih<7<6c:?`g4<51j16on<528a89fe42;3h70ml4;0:g>;dk<095n52cb496k27ho44=9b9>gfg=:0i01nmm:3;`?8edk382o63lce81=f=:kjo1>4m4=bae>7?d34io<7<6c:?``4<51j16oi<528a89fb42;3h70mk4;0:g>;dl<095n52ce496k27hh44=9b9>gag=:0i01njm:3;`?8eck382o63lde81=f=:kmo1>4m4=e23>7?d34n9>7<6b:p5fd?290>w0:9c;3:3>;29?09;h5250:95<7<5<;269?9;<703?7>?2wx=nl6:187821k3>::63:16813`=:l;31>4l4=e0g>4?03ty:ooo50;1x910c283<70:9e;3:3>;d`05=90=0q~?lbb83>7}:60e3ty:ooj50;1x910a2=;=70m;d;0:b>;d=909m=5rs0aaa?6=:r7?;=4>969>g06=;?h0q~?lbg83>3}:<>:18<84=b6g>7?c34i?j7<6c:?`15<51l16h<8528g89a3c283<7p}>cb294?4|5==:6<78;:18b820:3>::63l4e81=`=:k=l1>4k4=b73>7?a34i>97<6c:?`30<51m16h<<528a89a742;3o70j>6;0:b>;c:o0:5:5rs0a`6?6=:r7?;>4>969>g02=;?h0q~?lc283>7}:<>918<84=55g>c3022=90=01n:l:24a?xu6kj?1<717134>;em90?=;52c4;96l27h4>4=9e9>g=2=:0n01n6::3;g?8e?>382h63l8681=a=:k121>4j4=b::>7?c34i3m7<6d:?`c2;3o70m7e;0:`>;d0o095i52c8296l27h5>4=9e9>g<2=:0n01n7::3;g?8e>>382h63l9681=a=:k021>4j4=b;:>7?c34i2m7<6d:?`=g<51m16o4m528f89f?c2;3o70m6e;0:`>;d1o095i52c`296l27hm>4=9e9>gd2=:0n01no::3;g?8ef>382h63la681=a=:kh21>4j4=bc:>7?c34ijm7<6d:?`eg<51m16olm528f89fgc2;3o70mne;0:`>;dio095i52cc296l27hn>4=9e9>gg2=:0n01nl::3;g?8ee>382h63lb681=a=:kk21>4j4=b`:>7?c34iim7<6d:?`fg<51m16oom528f89fdc2;3o70mme;0:`>;djo095i52cb296l27ho>4=9e9>gf2=:0n01nm::3;g?8ed>382h63lc681=a=:kj21>4j4=ba:>7?c34ihm7<6d:?`gg<51m16onm528f89fec2;3o70mle;0:`>;dko095i52ce296l27hh>4=9e9>ga2=:0n01nj::3;g?8ec>382h63ld681=a=:km21>4j4=bf:>7?c34iom7<6d:?``g<51m16oim528f89fbc2;3o70mke;0:`>;dlo095n52cd296023=<8201996:53;?8e2?39=n6s|1ba;>5<3s4><:7?67:?`3c<51m16h><540489`1a283<7p}>cb;94?4|5===69?9;<736?7>?2wx=nmn:180820?3;2;63;7`8753=:l:;1=494}r3`gg<72:q68:9540489f2d2;3n70m:4;0:`>{t9jih6=4<{<64?27o`45=:0i0q~?lce83>7}:<>218<84=55g>c?02?=90=01n;6:24a?xu6kjl1<78t=55b>4?034>6:?`0a<51j16o9h528d89f372;3o70m:5;0:a>{t9jn;6=4={<64f?7>?27h9l4<6c9~w4ec93:1?v3;7e8e7>;c8m0?=;52d069602b=n8169?9526g89f2b2;3o70m83;0:g>;dmj0?=;5rs0ag7?6==r7?;i4jf:?66=<5?l16o9k528g89f152;3n70mjd;622>{t9jn?6=4<{<64`?40=27o944>969>a4`=90=0q~?ld483>6}:<>n1>:=4=e7e>4?034o9=7?67:p5fb12908w0:8d;046>;c>80:5:52e0f95<102b=:>;01i8<:0;4?8c5=3;2;6s|1bf;>5<4s4>16i?951858yv7dl00;6>u246f963`<5m<26<78;?2wx=njn:180820l38=i63k6c82=2=:m;h1=494}r3``g<72:q68:j527f89a00283<70k=d;3:3>{t9jnh6=4<{<64`?41j27o:k4>969>a7?=90=0q~?lde83>6}:<>n1>;o4=e52>4?034o9j7?67:p5fbb2908w0:8d;05=>;c>m0:5:52e2395<102b=:?201i9::0;4?8c4;3;2;6s|1bg3>5<4s4>16i>;51858yv7dm80;6>u246f9630<5m=86<78;?2wx=nk=:180820l38=963k7882=2=:m:h1=494}r3`a6<72:q68:j527689a1e283<70k<7;3:3>{t9jo?6=4<{<64`?41;27o;i4>969>a6`=90=0q~?le483>6}:<>n1>;<4=e5e>4?034o?=7?67:p5fc12908w0:8d;054>;c0:0:5:52e2f95<102b=:5<4s4>16i9951858yv7dm00;6>u246f960b<5m226<78;?2wx=nkn:180820l38>o63k8c82=2=:m=31=494}r3`ag<72:q68:j524`89a>0283<70k;b;3:3>{t9joh6=4<{<64`?42i27o4k4>969>a1b=90=0q~?lee83>6}:<>n1>874=e;2>4?034o?j7?67:p5fcb2908w0:8d;06<>;c0m0:5:52e4195<102b=:<=01i7<:0;4?8c2=3;2;6s|1bd3>5<4s4>16i8?51858yv7dn80;6>u246f9602<5m3<6<78;?2wx=nh=:180820l38>?63k9882=2=:m{t9jl?6=4<{<64`?42827o5k4>969>a0`=90=0q~?lf483>6}:<>n1>9h4=e;a>4?034o==7?67:p5f`12908w0:8d;07a>;ci:0:5:52e4f95<102b=:=n01io::0;4?8c1;3;2;6s|1bd;>5<4s4>16i;;51858yv7dn00;6>u246f961d<5mk26<78;?2wx=nhn:180820l38{t9jlh6=4<{<64`?40127omi4>969>a3`=90=0q~?lfe83>6}:<>n1>:64=ece>4?034o=n7?67:p5f`b2908w0:8d;043>;cj80:5:52e6195<102b=:><01il<:0;4?8c0=3;2;6s|1e23>5<4s4>16i:?51858yv7c880;6>u246f9637<5mh26<78;?2wx=i>=:180820l38>:63kb482=2=:m>h1=494}r3g46<72:q68:j525c89adc283<70k87;3:3>{t9m:?6=4={<6f4?7>?27?i94<6c9~w4b7=3:1?v3;e18753=:=8i1>:k4=e3;>7?a3ty:h=850;1x91c6283<70:j3;0bf>;3m=0:5<5rs0f33?6=0`4=90;019h8:0;4?8b5<382n6s|1e2;>5<2s4>n>7<8e:?7b4<61>169=h518589046283:70;=2;622>{t9m:26=4={<6f6?25<27h9h4<6c9~w4b7i3:1>v3;e3802g=:4;04a>;2::0?=;5rs0f3g?6=:r7?i>4;259>g0`=;?h0q~?k0e83>0}::k4=b7g>7?d34in:7:>6:?g66<51k16h?l528`8yv7c8l0;6>u24d79072<5=o=6?on;i:18182b>39=n63le782=2=z{8n:<7>52z?7a2<618168h6537`8yv7c980;6:u24d5962c<5=l969?9;n27h:84=9d9>g30=:0n01i??:3;f?8b6j382h6s|1e31>5<5s4>n;7:=4:?`26<4>k1v3;296~;3m>09mo524g095<10`>=<;>01n8?:24a?xu6l8?1<74?634>no7=9b:p5a712909w0:j9;610>;d>808:o5rs0f23?6=:r7?i44=ac9>0c5=90=0q~?k1983>7}:60e3ty:h<750;:x91cf2;=n70:i4;622>;d=j095h52c71966?7i;m27o==4=9g9>`4d=:0l0q~?k1`83>7}:ll4=5d7>4?03ty:h{t9m;h6=4={<6fg?25<27o>o4<6c9~w4b6l3:1>v3;eb81eg=:d0d94?4|5=oo69?9;<`b`?24i2wx=i53z?7a`<39?1699k518589d5>2=9j7p}>d3094?4|5=om6<78;::63;f782=2=:k

4m4=b7f>7?b34i>j7<6e:?g5d<51j16he;0:g>{t9m8?6=4={<6e4?7>?27h9n4<6c9~w4b5=3:1;v3;f18753=:k

4j4=b7`>7?d34i>i7<6d:?`27<51l16hd3494?4|5=l:69?9;<`b`?ca3ty:h?950;0x91`12=;=70m9a;0:g>{t9m836=4={<6e3?26>27>=?4=7d9~w4b513:19v3;f982=2=:7?d34i<<7<6d:?g52<51l1v::63l6981=f=:l821>4k4=e3g>7?c3ty:h?m50;0x91`>2=;=70m99;0:g>{t9m8o6=4m{<6ee?7>?27>g3>=:0n01n86:3;g?8e1i382i63l6c81=a=:k?i1>4j4=b4g>7?c34i=j7<6d:?g60<51k16h?m528`8yv7c:l0;6?u24g`95<1<5m;o6>8m;|q2`7`=839p19hm:535?8e1l382o63l6d81=f=z{8n8<7>52z?7bf<61>16o;:537`8yv7c;80;65u24ga9040<5jm27h:h4=9d9>g3`=:0i01n9?:3;`?8e09382h63k2781=g=z{8n8>7>52z?7ba<61>16h?:537`8yv7c;:0;6?u24gf9040<5<;o6?9j;|q2`62=838p19hj:0;4?8b6i39=n6s|1e16>5<5s4>mj7?67:?g5f<4>k1v156=<8<01n87:3;f?8e1m382h63k1e81=f=z{8n847>52z?644<61>16o;<537`8yv7c;00;6?u25119040<5<:n6<78;|q2`6g=832p18>;:535?8e2n382h63l6581=a=:k?=1>4m4=e36>7?c34n:m7<6f:?g5a<51l16hu251795<7<5<:36>8m;<73f?7>92wx=i=l:180837=38jm63:0c8761=:k??1?;l4}r3g7a<72;q69=;537`89fc>283<7p}>d2g94?5|5<:=6<7>;<73=?7>927>60e3ty:h9>50;0x90612:{t9m>:6=4<{<733?7>927>909>15d=:hh0q~?k4383>6}:=9=1>lo4=42b>14334n:<7=9b:p5a242909w0;?7;15f>;dm10:5:5rs0f70?6=:r7><54;259>g30=;?h0q~?k4483>0}:=931>:k4=b43>7?c34i==7<6c:?`a2<39?16hl27hi54;179>`46=:0n0q~?k4683>0}:=9h1>:k4=b43>7?b34i==7<6e:?`20<51m16oh754048yv7c<10;6>u251a95<7<5<:o6<7>;<73a?26>2wx=i:6:186837k38l:507?837l38jm63k00802g=z{8n?n7>52z?64a<4>k16oho51858yv7cu251d9040<5<>>6<78;{t9m>n6=4={<724?26>27hil4;199~w4b3n3:1?v3:1082=4=:=891>ll4=43:>4?03ty:h8>50;0x90762:a;3:3>{t9m?:6=4={<726?7>927>=94<6c9~w4b2:3:1>v3:138761=:k?31?;l4}r3g16<72;q69<<537`89074283:7p}>d4694?4|5<;869<;;:7>52z?651<3:=16o;o537`8yv7c=>0;6?u250795<7<5<;=6>8m;|q2`0>=838p18?::35f?8b5i39=n6s|1e7:>5<5s4?:97:=4:?g60<4>k1v140=<;>01n8m:24a?xu6l4?634?:47=9b:p5a3c2909w0;>7;610>;d>j08:o5rs0f6a?6=:r7>=54=7d9>`7>=;?h0q~?k5g83>7}:=8218?:4=e0`>60e3ty:h;>50;1x907f2=;=70;>f;04a>;c9>095k5rs0f55?6=;r7>=o4>909>14e=:hh018<=:0;4?xu6l?81<760e34?9?7?67:p5a042909w0;>c;3:5>;29m08:o5rs0f50?6=:r7>=n4;259>g3c=;?h0q~?k6483>7}:=8n1=4?4=43f>60e3ty:h;850;0x907c2=8?70m9d;15f>{t9m<<6=4={<72a?7>927>=k4<6c9~w4b103:18v3:1d813`=:l9l18<84=e3;>7?d34n9m7<6b:p5a0>2909w0;>e;610>;d>o08:o5rs0f5e?6=:r7>=k4>909>176=;?h0q~?k6c83>7}:=8l18?:4=b53>60e3ty:h;m50;0x9047283:70;=1;15f>{t9m44<6c9~w4b1m3:1>v3:218761=:k>;1?;l4}r3g2c<72=q69??526g89a6b2=;=70j>7;0:g>;c:1095o5rs0f44?6=:r7>><4;259>`70=;?h0q~?k7083>6}:=;>1=4?4=405>60e34?947?61:p5a152908w0;=4;0be>;2:10?>952c60973d4?:3y>172=;?h01nkk:0;4?xu6l>>1<7=t=406>4?634?9;7?61:?66=<5ik1v8m;|q2`20=838p18<::24a?8ebk3;2;6s|1e54>5<5s4?9:7:=4:?`31<4>k1v8m;|q2`2?=838p18<6:24a?8ebn3;2;6s|1e5b>5<4s4?9m7?61:?66g<618169?m52``8yv7c?k0;6>u253c96dg<5<8i69<;;54z?66g<5?l16o9m528a89f122;3h70mje;622>{t9m=n6=4:{<71g?40m27h8n4=9e9>g02=:0i01nki:535?8b7:382o6s|1e5e>5<1s4?9h7<8e:?635<61>165l>5ee9>e50=mm16o:9528a89f`72=;=7p}>d9294?5|5<8o69<;;<71a?4fi27h;:4<6c9~w4b?93:1>v3:2d802g=:ko:1=494}r3g<7<72;q69?h543689f1?2:d9194?5|5<8m6>8m;<704?7>927>?<4>909~w4b?<3:1:v3:31813`=:=?h1=494=84g>`b<50n86hj4=b55>7?b34im=7:>6:p5a>22908w0;<0;610>;2;809ml52c64973d167=;?h01nh>:0;4?xu6l1=1<74?034i<57=9b:p5a>?2909w0;<2;622>;c=k0:5:5rs0f;=?6=;r7>?>4>909>162=90;018=::535?xu6l1k1<7;t=410>71b34?=j7?67:?b7<4;179>`55=:0i0q~?k8c83>6}:=:918?:4=417>7gf34n;?7=9b:p5a>d2909w0;<4;15f>;dn:0:5:5rs0f;`?6==r7>?84>969>g20=:0i01n98:3;g?8e00382o63k5d8753=z{8n3i7>54z?673<61>16ok>543689f`62=;370j:c;622>{t9m2m6=4={<702?26>27hj>4;199~w4b>83:1?v3:368753=:=?o1=494=`1:>c116>=99n018=6:02g?8g4138>?6s|1e;1>5<4s4?847??b:?67<<68k16m>752438yv7c1:0;6>u252:955g<5<926<>n;9h4}r3g=0<72:q69>6511:8905>28:370o<9;07a>{t9m3=6=4<{<70?44>069>e6?=:=n0q~?k9683>6}:=:21==84=41:>46134k857<;c:p5a??2908w0;<8;331>;2;00:<852a2;961d16>=98<018=6:035?8g41385<4s4?847?>5:?67<<69<16m>7526c8yv7c1k0;6>u252:9542<5<926:64}r3g=a<72:q69>651008905>28;970o<9;043>{t9m3n6=4<{<70?44>109>e6?=:><0q~?k9g83>6}:=:21=<>4=41:>47734k857<9c:p5ag72908w0;<8;33b>;2;00:16>=99o018=6:02f?8g4138>:6s|1ec1>5<4s4?847??4:?67<<68=16m>7525c8yv7ci:0;69u252:95dg<5<936de9~w4bf<3:1?v3:3982e2=:0h;1=n<4=84g>4be3ty:hl;50;1x905?28k=706n1;3`5>;>>m0:hl5rs0fb2?6=;r7>?54>a49>4g4342j=7?me:?:2a<6l>1vf93;io6366e82`0=z{8njm7>53z?67=<6i9164l?51c`89<0c28n?7p}>d``94?5|5<936<7i;<:b5?7ei272:i4>d29~w4bfk3:1?v3:3982=`=:0h;1=o74=84g>4b53ty:hlj50;1x905?283o706n1;3a<>;>>m0:h<5rs0fba?6=;r7>?54>9b9>4?e342j=7?m6:?:2a<6ko1vf93;ho6366e82a0=z{8ni>7>53z?67=<6j8164l?51b`89<0c28o?7p}>dc194?5|5<936e29~w4be<3:1?v3:3982ec=:0h;1=n74=84g>4c53ty:ho;50;1x905?28kn706n1;3`<>;>>m0:i<5rs0fa2?6=;r7>?54>ae9>4gd342j=7?l6:?:2a<6lo1vf93;ij6366e82`==z{8nim7>53z?67=<610164l?51c689<0c28io7p}>dc`94?>|5<936l:4=41;>d4<5<936l?4=41;>d6<5<9364h4=41;>4bc3ty:hom50;0x905?20i014o?:0fa?xu6lkn1<7dcd94?4|5<936464=8c3>4b03ty:hn>50;0x905?20=014o?:0f5?xu6lj;1<7<0<50k;6db194?4|5<9364:4=8c3>4b43ty:hn:50;0x905?209014o?:0f1?xu6lj?1<7<4<50k;6;|q2`f0=838p18=7:8389db594?4|5<9364>4=8c3>4ea3ty:hn650;0x905?21l014o?:0af?xu6lj31<7de<50k;6db`94?4|5<936lo4=8c3>4c43ty:hnm50;0x905?2h3014o?:0g1?xu6ljn1<7d><50k;6;|q2`fc=838p18=7:`589dbd94?4|5<936l84=8c3>4ba3ty:hi>50;0x905?2h?014o?:0ff?xu6lm;1<7de194?4|5<9369>m;<70g?5ci2wx=ij;:18183403>;563:3b80`==z{8no97>52z?67=<381169>m53e58yv7cl?0;6?u252:9051<5<9h6>j9;|q2`a1=838p18=7:525?834k39o96s|1ef;>5<5s4?847:?5:?67f<4l=1v16>=<99018=l:2f1?xu6lmh1<716634?8o7=k0:p5abd2909w0;<8;634>;2;j08ok5rs0fg`?6=:r7>?5416e=;jo0q~?kdd83>7}:=:21?kk4=41`>6ec3ty:hih50;0x905?2:lo70;{t9mo;6=4={<70?n4v3:3980bg=:=:i1?no4}r3ga7<72;q69>653gc8905d2:i27p}>dd194?4|5<936>h6;<70g?5d02wx=ik;:181834039m463:3b80g2=z{8nn97>52z?67=<4n?169>m53b78yv7cm?0;6?u252:97c3<5<9h6>m;;|q2``1=838p18=7:2d7?834k39h?6s|1eg;>5<5s4?847=i3:?67f<4k;1v16>=;o;018=l:2a3?xu6llh1<76`734?8o7=mf:p5acd2909w0;<8;1fb>;2;j08nh5rs0ff`?6=:r7>?5416e=;kn0q~?ked83>7}:=:21?hj4=41`>6dd3ty:hhh50;0x905?2:oi70;{t9ml;6=4={<70?n4v3:3980a<=:=:i1?o64}r3gb7<72;q69>653d:8905d2:h<7p}>dg194?4|5<936>k8;<70g?5e>2wx=ih;:181834039n:63:3b80f0=z{8nm97>52z?67=<4m<169>m53c68yv7cn?0;6?u252:97`2<5<9h6>l<;|q2`c1=838p18=7:2g0?834k39i>6s|1ed;>5<5s4?847=j2:?67f<4j81v16>=<8:018=l:2fe?xu6loh1<716a34?8o7=ke:p5a`d2909w0;<8;63a>;2;j08hi5rs0fe`?6=:r7>?54;0e9>16e=;mi0q~?kfd83>7}:=:218=m4=41`>6be3ty:hkh50;0x905?2=:970;{t9l:;6=4={<70?n4v3:3980af=:=:i1?ol4}r3f47<72;q69>653d38905d2:h;7p}>e1194?2|5<926c59>=a5=9mn0q~?j0583>6}:=:31=l94=824>4e5343o?7?kb:p5`622908w0;<9;3b2>;>8>0:o<529e195ag16?=9h?014>8:0a3?8?c;3;o56s|1d24>5<4s4?857?n3:?:42<6jl165i=51e58yv7b810;6>u252;95d4<50:<62wx=h>6:18083413;j=6360682ff=:1m91=i;4}r3f4d<72:q69>751`289<6028hi707k3;3g0>{t9l:i6=4<{<70=?7>n272<:4>b`9>=a5=9m90q~?j0b83>6}:=:31=4k4=824>4d>343o?7?k2:p5`6c2908w0;<9;3:`>;>8>0:n5529e195a716?=90i014>8:0`4?8?c;3;o<6s|1d2e>5<4s4?857?6b:?:42<6j?165i=51bd8yv7b990;6>u252;95:18083413;i>6360682gf=:1m91=h;4}r3f57<72:q69>751c389<6028ii707k3;3f0>{t9l;86=4<{<70=?7e8272<:4>c`9>=a5=9l90q~?j1583>6}:=:31=lh4=824>4e>343o?7?j2:p5`722908w0;<9;3ba>;>8>0:o5529e195`716?=9hn014>8:0a4?8?c;3;n<6s|1d34>5<4s4?857?nc:?:42<6k?165i=51ed8yv7b910;6>u252;95dd<50:<67518;89<6028h?707k3;3``>{t9l;i6=47{<70=?g334?857o=;<70=?g634?857o?;<70=??a34?8577j;<70=??c34k;:7?kd:p5`7d2909w0;<9;;`?8g7>3;on6s|1d3g>5<5s4?8577m;{t9l;m6=4={<70=???34k;:7?k7:p5`472909w0;<9;;4?8g7>3;o:6s|1d02>5<5s4?85779;70o?6;3g0>{t9l886=4={<70=??334k;:7?k3:p5`432909w0;<9;;0?8g7>3;o>6s|1d06>5<5s4?8577=;{t9l8<6=4={<70=??734k;:7?lf:p5`4?2909w0;<9;:e?8g7>3;hi6s|1d0:>5<5s4?857ol;{t9l8i6=4={<70=?gf34k;:7?j3:p5`4d2909w0;<9;c:?8g7>3;n>6s|1d0g>5<5s4?857o7;{t9l8m6=4={<70=?g134k;:7?kf:p5`572909w0;<9;c6?8g7>3;oi6s|1d12>5<5s4?85776;{t9l986=4={<70=?27j27>8=4v3:38874<=:==:1?i64}r3f70<72;q69>7541:890272:n<7p}>e2494?4|5<9269>8;<774?5c>2wx=h=8:18183413>;:63:4180`0=z{8o847>52z?67<<38<1699>53e68yv7b;00;6?u252;9052<5<>;6>j<;|q2a6g=838p18=6:520?833839o>6s|1d1a>5<5s4?857:?1:?605<4l91v16?=;ol018:?:2af?xu6m:o1<76`b34??<7=ld:p5`5a2909w0;<9;1e`>;2<908on5rs0g74?6=:r7>?44116=;jh0q~?j4083>7}:=:31?kl4=463>6ef3ty:i9<50;0x905>2:lj70;;0;1`=>{t9l>86=4={<70=?5a127>8=4v3:3880b==:==:1?n94}r3f00<72;q69>753g4890272:i>7p}>e5494?4|5<926>h:;<774?5d<2wx=h:8:181834139m863:4180g6=z{8o?47>52z?67<<4n:1699>53b08yv7b<00;6?u252;97c4<5<>;6>m>;|q2a1g=838p18=6:2d2?833839h<6s|1d6a>5<5s4?857=i0:?605<4jo1v16?=;lo018:?:2`g?xu6m=o1<76cc34??<7=mc:p5`2a2909w0;<9;1ff>;2<908nl5rs0g64?6=:r7>?44116=;k30q~?j5083>7}:=:31?h74=463>6d?3ty:i8<50;0x905>2:o370;;0;1a3>{t9l?86=4={<70=?5b?27>8=4v3:3880a3=:==:1?o;4}r3f10<72;q69>753d7890272:h?7p}>e4494?4|5<926>k;;<774?5e;2wx=h;8:181834139n?63:4180f7=z{8o>47>52z?67<<4m;1699>53c38yv7b=00;6?u252;9047<5<>;6>k?;|q2a0g=838p18=6:533?833839oj6s|1d7a>5<5s4?857:?f:?605<4ll1v16?=<9n018:?:2f`?xu6m16d34??<7=kb:p5`3a2909w0;<9;636>;2<908h<5rs0g54?6=:r7>?44116=;j<0q~?j6083>7}:=:31?hm4=463>6de3ty:i;<50;0x905>2:o:70;;0;1a4>{t9l<86=4={<70e?5ci27>?k4;0c9~w4c1<3:1>v3:3`80`==:=:l18=74}r3f20<72;q69>o53e58905a2=:37p}>e7494?4|5<9j6>j9;<70b?27?2wx=h88:181834i39o963:3g8743=z{8o=47>52z?67d<4l=169>h54178yv7b>00;6?u252c97a5<5<9m69>;;|q2a3g=838p18=n:2f1?834n3>;?6s|1d4a>5<5s4?8m7=k0:?67c<3881v16g=;jo018=i:2de?xu6m?o1<76ec34?8j7=ie:p5`0a2909w0;;2;o08ji5rs0g44?6=:r7>?l416`=;oi0q~?j7083>7}:=:k1?no4=41e>6`e3ty:i:<50;0x905f2:i270;{t9l=86=4={<70e?5d027>?k4v3:3`80g2=:=:l1?k64}r3f30<72;q69>o53b78905a2:l=7p}>e6494?4|5<9j6>m;;<70b?5a=2wx=h98:181834i39h?63:3g80b1=z{8o<47>52z?67d<4k;169>h53g18yv7b?00;6?u252c97f7<5<9m6>h=;|q2a2g=838p18=n:2a3?834n39m=6s|1d5a>5<5s4?8m7=mf:?67c<4n91v16g=;kn018=i:2gf?xu6m>o1<76dd34?8j7=jd:p5`1a2909w0;;2;o08io5rs0g;4?6=:r7>?l416`=;lk0q~?j8083>7}:=:k1?o64=41e>6c>3ty:i5<50;0x905f2:h<70;{t9l286=4={<70e?5e>27>?k4v3:3`80f0=:=:l1?h84}r3f<0<72;q69>o53c68905a2:o>7p}>e9494?4|5<9j6>l<;<70b?5b<2wx=h68:181834i39i>63:3g80a6=z{8o347>52z?67d<4j8169>h53d08yv7b000;6?u252c97`6<5<9m69?>;|q2a=g=838p18=n:2fe?834n3>:<6s|1d:a>5<5s4?8m7=ke:?67c<38o1v16g=;mi018=i:52g?xu6m1o1<76be34?8j7:?c:p5`>a2909w0;;2;o0??l416`=;o=0q~?j9083>7}:=:k1?ol4=41e>6cd3ty:i4<50;0x905f2:h;70;{t9l386=4<{<70e?77l27>?o4>0e9>f00=:<90q~?j9583>6}:=:k1==l4=41a>46e34h>:7<:1:p5`?22908w0;;2;k0:16g=993018=m:02:?8d2>38?j6s|1d;4>5<4s4?8m7??8:?67g<68116n88525g8yv7b110;6>u252c9551<5<9i6<>8;<`62?43l2wx=h76:180834i3;;:63:3c8243=:j<<1>9m4}r3f=d<72:q69>o51178905e28:>70l:6;07f>{t9l3i6=4<{<70e?76>27>?o4>179>f00=:>h0q~?j9b83>6}:=:k1=<;4=41a>47234h>:7<8a:p5`?c2908w0;;2;k0:=952b44962?16g=989018=m:030?8d2>38<46s|1d;e>5<4s4?8m7?>2:?67g<69;16n8852658yv7bi90;6>u252c9547<5<9i6;<`62?40>2wx=ho>:180834i3;:<63:3c8255=:j<<1>;m4}r3fe7<72:q69>o511d8905e28:m70l:6;055>{t9lk86=4<{<70e?77m27>?o4>0d9>f00=:<<0q~?ja583>6}:=:k1==:4=41a>46334h>:7<;a:p5`g2290?w0;;2;h0:m55256395ab<5k3>6<78;|q2ad0=839p18=n:0c4?83093;on63m9782=2=z{8oj;7>53z?67d<6i?169:?51ec89g?0283<7p}>e`:94?5|5<9j6969~w4cf13:1?v3:3`82e6=:=>;1=i94=c;:>4?03ty:ilo50;1x905f28k970;81;3g2>;e1h0:5:5rs0gbf?6=;r7>?l4>a09>127=9m?01o7m:0;4?xu6mhi1<7=t=41b>4g734?<=7?k4:?a=f<61>1v63m9d82=2=z{8ojj7>53z?67d<61m169:?51e389g?a283<7p}>ec294?5|5<9j6<7l;<745?7c827im=4>969~w4ce93:1?v3:3`82=g=:=>;1=nh4=cc2>4?03ty:io<50;1x905f283j70;81;3`a>;ei;0:5:5rs0ga7?6=;r7>?l4>b39>127=9l?01oo<:0;4?xu6mk>1<7=t=41b>4d634?<=7?j4:?ae1<61>1v6<78;|q2ag0=839p18=n:0ce?83093;n>63ma782=2=z{8oi;7>53z?67d<6il169:?51d389gg0283<7p}>ec:94?5|5<9j6969~w4ce13:1?v3:3`82ef=:=>;1=ih4=cc:>4?03ty:ioo50;1x905f28ki70;81;3ga>;eih0:5:5rs0gaf?6=;r7>?l4>a59>127=9m201oom:0;4?xu6mki1<7=t=41b>4?>34?<=7?ld:?aef<61>1v;2;h0j=63:3`8b4>;2;h02j63:3`8:a>;2;h02h63:a582`a=z{8oii7>52z?67d<>k27>m94>dc9~w4cen3:1>v3:3`8:f>;2i=0:hl5rs0g`4?6=:r7>?l46a:?6e1<6l01v7>52z?67d<>?27>m94>d79~w4cd;3:1>v3:3`8:2>;2i=0:h85rs0g`0?6=:r7>?l465:?6e1<6l=1v52z?67d<>;27>m94>d39~w4cd?3:1>v3:3`8:6>;2i=0:h<5rs0g`?l461:?6e1<6l91v52z?67dm94>cd9~w4cdj3:1>v3:3`8bg>;2i=0:i85rs0g`g?6=:r7>?l4nb:?6e1<6m=1v52z?67dm94>e39~w4cdn3:1>v3:3`8b<>;2i=0:i<5rs0gg4?6=:r7>?l4n7:?6e1<6m91v7>52z?67dm94>dd9~w4cc;3:1>v3:3`8:=>;2i=0:h55rs0gg0?6=:r7>?l47e:?6e1<6km1v16d=;m2018:<:52:?xu6mm=1<76b034???7:?8:p5`b?2909w0;;2<:0?<:5rs0gg=?6=:r7>?o4115=<9<0q~?jd`83>7}:=:h1?i:4=460>1623ty:iil50;0x905e2:n870;;3;630>{t9lnh6=4={<70f?5c:27>8>4;029~w4ccl3:1>v3:3c80`5=:==918=?4}r3f``<72;q69>l53bd890242=:;7p}>eed94?4|5<9i6>mj;<777?5an2wx=hk?:181834j39hh63:4280b`=z{8on=7>52z?67g<4kj1699=53gf8yv7bm;0;6?u252`97fd<5<>86>hl;|q2a`5=838p18=m:2ab?833;39mn6s|1dg7>5<5s4?8n7=l9:?606<4nh1v16d=;j=018:<:2d;?xu6ml=1<76e234???7=i6:p5`c?2909w0;;2<:08j85rs0gf=?6=:r7>?o4115=;o>0q~?je`83>7}:=:h1?n<4=460>6`43ty:ihl50;0x905e2:i:70;;3;1e6>{t9loh6=4={<70f?5d827>8>4v3:3c80fc=:==91?k>4}r3fa`<72;q69>l53cg890242:om7p}>edd94?4|5<9i6>lk;<777?5bm2wx=hh?:181834j39io63:4280aa=z{8om=7>52z?67g<4jh1699=53d`8yv7bn;0;6?u252`97g?<5<>86>kn;|q2ac5=838p18=m:2`;?833;39n56s|1dd7>5<5s4?8n7=m7:?606<4m11v16d=;k?018:<:2g5?xu6mo=1<76d334???7=j5:p5``?2909w0;;2<:08i95rs0ge=?6=:r7>?o4115=;l90q~?jf`83>7}:=:h1?o?4=460>6c53ty:ikl50;0x905e2:o;70;;3;625>{t9llh6=4={<70f?5cn27>8>4;119~w4cal3:1>v3:3c80``=:==918=h4}r3fb`<72;q69>l53ef890242=:n7p}>egd94?4|5<9i6>jl;<777?27l2wx=k>?:181834j39on63:42874f=z{8l;=7>52z?67g<4l81699=54108yv7a8;0;6?u252`97f0<5<>86>h8;|q2b55=838p18=m:2`a?833;39no6s|1g27>5<5s4?8n7=m0:?606<4m81v<5?2wx=k>9:180834j3;j;63:d682`g=:j>o1=494}r3e42<72:q69>l51`4890b028nj70l8f;3:3>{t9o:36=4<{<70f?7f=27>h:4>d89>f=6=90=0q~?i0883>6}:=:h1=l=4=4f4>4b034h3=7?67:p5c6f2908w0;;2l>0:h;52b9095<116d=9h;018j8:0f6?8d?;3;2;6s|1g2`>5<4s4?8n7?n0:?6`2<6l=16n5:51858yv7a8m0;6>u252`95<`<5?2wx=k>j:180834j3;2i63:d682`7=:j1<1=494}r3e4c<72:q69>l518f890b028n:70l77;3:3>{t9o;;6=4<{<70f?7>k27>h:4>d19>f=>=90=0q~?i1083>6}:=:h1=4l4=4f4>4ea34h357?67:p5c752908w0;;2l>0:oh52b9c95<14?:2y>16d=9k8018j8:0g6?8d?j3;2;6s|1g37>5<4s4?8n7?m1:?6`2<6m=16n5m51858yv7a9<0;6>u252`95g6<5?2wx=k?9:180834j3;jj63:d682a7=:j1o1=494}r3e52<72:q69>l51`g890b028o:70l7f;3:3>{t9o;36=4<{<70f?7fl27>h:4>e19>f<6=90=0q~?i1883>6}:=:h1=lm4=4f4>4ba34h2=7?67:p5c7f2908w0;;2l>0:hh52b8095<116d=9h>018j8:0f;?8d>;3;2;6s|1g3`>5<4s4?8n7?69:?6`2<6km16n4:51858yv7a9m0;65u252`9e1=:=:h1m?5252`9e4=:=:h1m=5252`9=c=:=:h15h5252`9=a=:>9k1=ij4}r3e5`<72;q69>l59b9>25g=9mh0q~?i1g83>7}:=:h15o5261c95ag=4?:3y>16d=1h16:=o51e;8yv7a:80;6?u252`9===:>9k1=i94}r3e67<72;q69>l5969>25g=9m<0q~?i2283>7}:=:h15;5261c95a394?:3y>16d=1<16:=o51e68yv7a:<0;6?u252`9=1=:>9k1=i=4}r3e63<72;q69>l5929>25g=9m80q~?i2683>7}:=:h15?5261c95a754?:3y>16d=1816:=o51e28yv7a:00;6?u252`9=5=:>9k1=nh4}r3e6d<72;q69>l58g9>25g=9jo0q~?i2c83>7}:=:h1mn5261c95`3n4?:3y>16d=ik16:=o51d68yv7a:m0;6?u252`9ed=:>9k1=h=4}r3e6`<72;q69>l5a89>25g=9l80q~?i2g83>7}:=:h1m55261c95`716d=i>16:=o51d28yv7a;80;6?u252`9e3=:>9k1=ih4}r3e77<72;q69>l5a49>25g=9mo0q~?i3283>7}:=:h1545261c95a>16d=0l16:=o51bf8yv7a;<0;6>u252a955b<5<>;6<>k;81>8?4}r3e72<72:q69>m511c8902728:j70o82;064>{t9o936=4<{<70g?77127>8=4>089>e24=:=l0q~?i3883>6}:=:i1==64=463>46?34k<>7<;e:p5c5f2908w0;;2<90:<:52a60961b16e=99<018:?:025?8g0:38?o6s|1g1`>5<4s4?8o7??5:?605<68<16m:<525`8yv7a;m0;6>u252a9540<5<>;681>:o4}r3e7c<72:q69>m51068902728;?70o82;04=>{t9o>;6=4<{<70g?76;27>8=4>129>e24=:>20q~?i4083>6}:=:i1=<<4=463>47534k<>7<87:p5c252908w0;;2<90:=<52a6096204?:2y>16e=98:018:?:033?8g0:38=o6s|1g67>5<4s4?8o7??f:?605<68o16m:<52738yv7a<<0;6>u252a955c<5<>;6<>j;2wx=k:9:180834k3;;863:418241=:i>81>9o4}r3e02<72=q69>m51`c8905d28k3709k5;3`0>;?i80:hi5rs0d7?n4>a69>3a3=9j8015o>:0fa?xu6n=31<7=t=41`>4g134=o97?l1:?;e4<6lh1v53z?67f<6i;16;i;51cf89=g628n=7p}>f5f94?5|5<9h6;<5g1?7ek273m<4>d49~w4`3m3:1?v3:3b82e5=:?m?1=ol4=9c2>4b33ty:j9h50;1x905d283m709k5;3ae>;?i80:h>5rs0d64?6=;r7>?n4>9d9>3a3=9k3015o>:0f1?xu6n<;1<7=t=41`>4?c34=o97?m8:?;e4<6l81v87>53z?67f<61h16;i;51c789=g628in7p}>f4794?5|5<9h6e49~w4`2>3:1?v3:3b82f4=:?m?1=nl4=9c2>4c33ty:j8950;1x905d28h;709k5;3`e>;?i80:i>5rs0d6?n4>ag9>3a3=9j3015o>:0g1?xu6n<31<7=t=41`>4gb34=o97?l8:?;e4<6m81vo7>53z?67f<6ik16;i;51b789=g628nn7p}>f4f94?5|5<9h6d99~w4`2m3:1?v3:3b82=<=:?m?1=o:4=9c2>4ec3ty:j8h50;:x905d2h>018=l:`08905d2h;018=l:`28905d20l018=l:8g8905d20n015j;:0fg?xu6n?:1<7f7094?4|5<9h64o4=9f7>4b>3ty:j;=50;0x905d202015j;:0f4?xu6n?>1<7<1<51n?67p}>f7494?4|5<9h64;4=9f7>4b33ty:j;950;0x905d20>015j;:0f0?xu6n?21<7<5<51n?6f7c94?4|5<9h64?4=9f7>4b73ty:j;l50;0x905d20:015j;:0ae?xu6n?i1<7=`<51n?67p}>f7g94?4|5<9h6ll4=9f7>4c33ty:j;h50;0x905d2hk015j;:0g0?xu6n>:1<7d?<51n?6f6094?4|5<9h6l94=9f7>4c73ty:j:=50;0x905d2h<015j;:0fe?xu6n>>1<7d3<51n?6f6494?4|5<9h65k4=9f7>4ec3ty:j:950;0x905d2=:i70;{t9o=36=4={<70g?27127>?i4v3:3b874==:=:n1?i94}r3e3d<72;q69>m54158905c2:n=7p}>f6`94?4|5<9h69>9;<70`?5c=2wx=k9l:181834k3>;963:3e80`1=z{8l52z?67f<38=169>j53e18yv7a?l0;6?u252a9055<5<9o6>j=;|q2b2`=838p18=l:522?834l39o<6s|1g:3>5<5s4?8o7:?0:?67a<4ko1v16e=;oo018=k:2ag?xu6n191<76`c34?8h7=lc:p5c>32909w0;;2;m08oo5rs0d;1?6=:r7>?n416b=;jk0q~?i8783>7}:=:i1?ko4=41g>6e>3ty:j5950;0x905d2:l270;{t9o236=4={<70g?5a027>?i4v3:3b80b3=:=:n1?n;4}r3em53g78905c2:i?7p}>f9`94?4|5<9h6>h;;<70`?5d;2wx=k6l:181834k39m?63:3e80g7=z{8l3h7>52z?67f<4n;169>j53b38yv7a0l0;6?u252a97c7<5<9o6>m?;|q2b=`=838p18=l:2d3?834l39ij6s|1g;3>5<5s4?8o7=jf:?67a<4jl1v16e=;ln018=k:2``?xu6n091<76ce34?8h7=ma:p5c?32909w0;;2;m08n45rs0d:1?6=:r7>?n416b=;k20q~?i9783>7}:=:i1?h64=41g>6d03ty:j4950;0x905d2:o<70;{t9o336=4={<70g?5b>27>?i413:1>v3:3b80a0=:=:n1?o:4}r3e=d<72;q69>m53d68905c2:h87p}>f8`94?4|5<9h6>k<;<70`?5e:2wx=k7l:181834k39n>63:3e80f4=z{8l2h7>52z?67f<398169>j53d28yv7a1l0;6?u252a9046<5<9o6>ji;|q2b<`=838p18=l:52e?834l39oi6s|1gc3>5<5s4?8o7:?e:?67a<4lm1v16e=<9i018=k:2fa?xu6nh91<716534?8h7=k1:p5cg32909w0;;2;m08o;5rs0db1?6=:r7>?n416b=;kh0q~?ia783>7}:=:i1?h?4=41g>6d73ty:jl950;1x905c28:o70;;1;33`>;fik099>5rs0db?i4>0c9>117=99h01lom:372?xu6nh31<7=t=41g>46f34??=7??a:?beg<5=91v53z?67a<68>1699?511589dge2;>o7p}>f`f94?5|5<9o6<>9;<775?77>27jmo4=4b9~w4`fm3:1?v3:3e8240=:==;1==;4=`ca>72e3ty:jlh50;1x905c28;=70;;1;322>;fik09;o5rs0da4?6=;r7>?i4>149>117=98?01lom:35b?xu6nk;1<7=t=41g>47334??=7?>4:?beg<5?01v525539545<5hki6?97;|q2bg5=839p18=k:031?83393;:>63nac8132=z{8li87>53z?67a<6981699?510389dge2;==7p}>fc794?5|5<9o63:1?v3:3e824c=:==;1==h4=`ca>7063ty:jo950;1x905c28:n70;;1;33a>;fik099;5rs0da?i4>059>117=99>01lom:36b?xu6nk31<7:t=41g>4gf34?8h7?n8:?44<<6k=16;i;51ef8yv7ajh0;6>u252f95d1<5>:26j51`78926>28i;709k5;3g=>{t9oho6=4<{<70`?7f;27<<44>bd9>3a3=9m=0q~?ibd83>6}:=:n1=l<4=62:>4dc34=o97?k6:p5cda2908w0;;0800:nn527e795a316b=9h:01:>6:0`a?81c=3;o86s|1ga2>5<4s4?8h7?6f:?44<<6jh16;i;51e18yv7ak;0;6>u252f95:26j518a8926>28h<709k5;3g4>{t9oi>6=4<{<70`?7>j27<<44>b79>3a3=9jl0q~?ic783>6}:=:n1=4o4=62:>4d234=o97?le:p5ce02908w0;;0800:on527e795`316b=9k;01:>6:0aa?81c=3;n86s|1ga:>5<4s4?8h7?m0:?44<<6kh16;i;51d18yv7akh0;6>u252f95d`<5>:26j51`f8926>28i<709k5;3f4>{t9oio6=4<{<70`?7fk27<<44>c79>3a3=9ml0q~?icd83>6}:=:n1=ll4=62:>4e234=o97?ke:p5cea2908w0;;0800:nk527e795a>16b=90301:>6:0`7?81c=3;hh6s|1gf2>5;<70`?g734?8h77i;<70`??b34?8h77k;<:3{t9on86=4={<70`??e342;47?ka:p5cb32909w0;703;o56s|1gf6>5<5s4?8h777;<:3{t9on<6=4={<70`??1342;47?k5:p5cb?2909w0;703;o86s|1gf:>5<5s4?8h77;;<:3{t9oni6=4={<70`??5342;47?k1:p5cbd2909w0;703;o<6s|1gfg>5<5s4?8h77?;<:3{t9onm6=4={<70`?gd342;47?j5:p5cc72909w0;703;n86s|1gg2>5<5s4?8h7on;<:3{t9oo86=4={<70`?g?342;47?j1:p5cc32909w0;703;n<6s|1gg6>5<5s4?8h7o9;<:3706?8;3ga>{t9oo<6=4={<70`??>342;47?k8:p5cc?2909w0;703;hh6s|1gg:>5<5s4?8h7:?b:?67`<4lh1v16b=<92018=j:2f4?xu6nli1<716034?8i7=k6:p5ccc2909w0;;2;l08h85rs0dfa?6=:r7>?i4;049>16c=;m>0q~?ieg83>7}:=:n18=:4=41f>6b43ty:jk>50;0x905c2=:870;{t9ol:6=4={<70`?27927>?h4v3:3e8745=:=:o1?nh4}r3eb6<72;q69>j53gd8905b2:in7p}>fg694?4|5<9o6>hj;<70a?5dl2wx=kh::181834l39mh63:3d80gf=z{8lm:7>52z?67a<4nj169>k53b`8yv7an>0;6?u252f97cd<5<9n6>mn;|q2bc>=838p18=k:2db?834m39h56s|1gd:>5<5s4?8h7=i9:?67`<4k11v16b=;o<018=j:2a6?xu6noi1<76`234?8i7=l4:p5c`c2909w0;;2;l08o>5rs0dea?6=:r7>?i416c=;j80q~?ifg83>7}:=:n1?k<4=41f>6e63ty9<=>50;0x905c2:l:70;{t:9::6=4={<70`?5a827>?h4v3:3e80ac=:=:o1?ok4}r0346<72;q69>j53dg8905b2:ho7p}=01694?4|5<9o6>kk;<70a?5ek2wx>=>::181834l39nn63:3d80fd=z{;:;:7>52z?67a<4mh169>k53c;8yv478>0;6?u252f97`?<5<9n6>l7;|q145>=838p18=k:2g;?834m39i;6s|212:>5<5s4?8h7=j7:?67`<4j?1v?>?a;296~;2;m08i;5252g97g316b=;l?018=j:2`7?xu589i1<76c334?8i7=m3:p656c2909w0;;2;l08n?5rs323a?6=:r7>?i416c=;k;0q~7}:=:n186c73ty9<<>50;0x905c2=;;70;{t:9;:6=4={<70`?27n27>?h4v3:3e874`=:=:o1?ij4}r0356<72;q69>j541f8905b2:nh7p}=00694?4|5<9o69>l;<70a?5cj2wx>=?::181834l3>;>63:3d80`4=z{;:::7>52z?67a<4n>169>k53b48yv479>0;6?u252f97`e<5<9n6>lm;|q144>=838p18=k:2g2?834m39i<6s|213:>5<4s4?8i7??d:?607<68m16mh:52418yv479h0;6>u252g955d<5<>96<>m;=?m:180834m3;;m63:43824d=:il>1>8>4}r035f<72:q69>k511;8902528:270oj4;07b>{t:9;o6=4<{<70a?77027>8?4>099>e`2=:=o0q~6}:=:o1==94=461>46034kn87<;d:p657a2908w0;;2<;0:<;52ad6961e=4?:2y>16c=99?018:=:026?8gb<38?n6s|2102>5<4s4?8i7?>6:?607<69?16mh:526`8yv47:;0;6>u252g9543<5<>96=<<:180834m3;:863:438251=:il>1>:74}r0361<72:q69>k51018902528;870oj4;04<>{t:98>6=4<{<70a?76:27>8?4>139>e`2=:>=0q~6}:=:o1=47634kn87<86:p65402908w0;;2<;0:==52ad6963e54?:2y>16c=99l018:=:02e?8gb<38==6s|210:>5<4s4?8i7??e:?607<68l16mh:52448yv47:h0;6>u252g9552<5<>96<>;;=:n1=n:4=62:>4bc3ty9;0800:ho5rs321`?6=;r7>?h4>a79>26b=9j;01:>6:0fb?xu58;o1<7=t=41f>4g234<8h7?l0:?44<<6l01v?>=f;297~;2;l0:m>5262f95gc<5>:2653z?67`<6i816:>j51ca8926>28n>7p}=02094?5|5<9n6d59~w764;3:1?v3:3d82=c=:>:n1=oo4=62:>4b43ty9<>:50;1x905b283n708;0800:h?5rs3201?6=;r7>?h4>9e9>26b=9k201:>6:0f2?xu58:<1<7=t=41f>4?d34<8h7?m7:?44<<6l91v?><7;297~;2;l0:5o5262f95g0<5>:26=839p18=j:0;b?804l3;i96380882g`=z{;:857>53z?67`<6j;16:>j51ba8926>28o>7p}=02c94?5|5<9n6;<40`?7dj27<<44>e59~w764j3:1?v3:3d82f5=:>:n1=no4=62:>4c43ty9<>m50;1x905b28km708;0800:i?5rs320`?6=;r7>?h4>ad9>26b=9j201:>6:0g2?xu58:o1<7=t=41f>4gc34<8h7?l7:?44<<6m91v?>:2653z?67`<6i=16:>j51cd8926>28n37p}=05094?5|5<9n6<76;<40`?7e<27<<44>ce9~w763;3:14v3:3d8b0>;2;l0j>63:3d8b5>;2;l0j<63:3d8:b>;2;l02i63:3d8:`>;0;j0:hi5rs3270?6=:r7>?h46c:?47f<6lk1v?>;5;296~;2;l02n6383b82`d=z{;:?:7>52z?67`<>i27d89~w763?3:1>v3:3d8:<>;0;j0:h:5rs327?h467:?47f<6l?1v?>;9;296~;2;l02:6383b82`0=z{;:?m7>52z?67`<>=27d59~w763j3:1>v3:3d8:0>;0;j0:h>5rs327g?6=:r7>?h463:?47f<6l;1v?>;d;296~;2;l02>6383b82`4=z{;:?i7>52z?67`<>927d19~w763n3:1>v3:3d8:4>;0;j0:ok5rs3264?6=:r7>?h47f:?47f<6kl1v?>:1;296~;2;l0jo6383b82a0=z{;:>>7>52z?67`e59~w762;3:1>v3:3d8be>;0;j0:i>5rs3260?6=:r7>?h4n9:?47f<6m;1v?>:5;296~;2;l0j46383b82a4=z{;:>:7>52z?67`e19~w762?3:1>v3:3d8b2>;0;j0:hk5rs326?h4n5:?47f<6ll1v?>:9;296~;2;l0256383b82`==z{;:>m7>52z?67`ce9~w762j3:1>v3:3d874g=:=:l1?io4}r031f<72;q69>k541;8905a2:n37p}=04f94?4|5<9n69>7;<70b?5c?2wx>=;j:181834m3>;;63:3g80`3=z{;:>j7>52z?67`<38?169>h53e78yv47>90;6?u252g9053<5<9m6>j;;|q1437=838p18=j:527?834n39o?6s|2141>5<5s4?8i7:?3:?67c<4l;1v?>93;296~;2;l0?<<5252d97a616c=<9:018=i:2ae?xu58??1<76`a34?8j7=le:p65012909w0;;2;o08oi5rs3253?6=:r7>?h416`=;ji0q~7}:=:o1?km4=41e>6ee3ty9<;750;0x905b2:li70;{t:9?k4v3:3d80b<=:=:l1?n64}r032f<72;q69>k53g:8905a2:i<7p}=07f94?4|5<9n6>h9;<70b?5d=2wx>=8j:181834m39m963:3g80g1=z{;:=j7>52z?67`<4n=169>h53b18yv47?90;6?u252g97c5<5<9m6>m=;|q1427=838p18=j:2d1?834n39h=6s|2151>5<5s4?8i7=i1:?67c<4k91v?>83;296~;2;l08j=5252d97g`16c=;ll018=i:2`f?xu58>?1<76cb34?8j7=md:p65112909w0;;2;o08nn5rs3243?6=:r7>?h416`=;kk0q~7}:=:o1?ho4=41e>6d>3ty9<:750;0x905b2:o270;{t:9=j6=4={<70a?5b027>?k4v3:3d80a2=:=:l1?o84}r033f<72;q69>k53d48905a2:h>7p}=06f94?4|5<9n6>k:;<70b?5e<2wx>=9j:181834m39n863:3g80f6=z{;:52z?67`<4m:169>h53c08yv47090;6?u252g97`4<5<9m6>l>;|q14=7=838p18=j:532?834n39n<6s|21:1>5<5s4?8i7:>0:?67c<4lo1v?>73;296~;2;l0?16c=<9o018=i:2fg?xu581?1<716c34?8j7=kc:p65>12909w0;;2;o08ho5rs32;3?6=:r7>?h4;039>16`=;m;0q~7}:=:o1?k94=41e>6e13ty9<5750;0x905b2:oh70;{t:92j6=4={<70a?5b927>?k47343ty9<5m50;1x905a28:i70;;3;33f>;e9m099<5rs32;`?6=;r7>?k4>0`9>115=99k01o?k:373?xu581o1<7=t=41e>46>34???7??9:?a5a<57f;297~;2;o0:<552551955><5k;o6?:j;|q14<6=839p18=i:024?833;3;;;63m1e810a=z{;:2=7>53z?67c<68?1699=511489g7c2;>h7p}=08094?5|5<9m6<>:;<777?77=27i=i4=4c9~w76>;3:1?v3:3g8253=:==91=<84=c3g>71e3ty9<4:50;1x905a28;>70;;3;321>;e9m09;l5rs32:1?6=;r7>?k4>159>115=98>01o?k:35:?xu580<1<7=t=41e>47434???7?>3:?a5a<5?11v?>67;297~;2;o0:=?525519544<5k;o6?98;|q14<>=839p18=i:032?833;3;:=63m1e8133=z{;:257>53z?67c<6991699=510289g7c2;i;<777?77n27i=i4=609~w76>j3:1?v3:3g824`=:==91==k4=c3g>7313ty9<4m50;1x905a28:?70;;3;330>;e9m098l5rs32:`?6=?k4>a`9>16`=9h20189>:0a7?804l3;oh6s|21;f>5<4s4?8j7?n7:?634<6k;16:>j51e`8yv471o0;6>u252d95d0<5<=:6;<40`?7ci2wx>=o?:180834n3;j963:7082g5=:>:n1=i74}r03e4<72:q69>h51`18901628hn708{t:9k96=4<{<70b?7f:27>;<4>be9>26b=9m<0q~6}:=:l1=l?4=452>4dd34<8h7?k5:p65g32908w0;;2?80:no5262f95a216`=90l0189>:0`b?804l3;o?6s|21c5>5<4s4?8j7?6e:?634<6j016:>j51e08yv47i>0;6>u252d95=o7:180834n3;2o63:7082f2=:>:n1=i>4}r03e<<72:q69>h518`8901628h=708{t:9kj6=4<{<70b?7>i27>;<4>b49>26b=9jo0q~6}:=:l1=o<4=452>4ed34<8h7?j5:p65gd2908w0;;2?80:oo5262f95`216`=9k:0189>:0ab?804l3;n?6s|21cf>5<4s4?8j7?nf:?634<6k016:>j51d08yv47io0;6>u252d95dc<5<=:6=l?:180834n3;jh63:7082g2=:>:n1=h>4}r03f4<72:q69>h51`a8901628i=708{t:9h96=4<{<70b?7fj27>;<4>c49>26b=9mo0q~6}:=:l1=l:4=452>4da34<8h7?k8:p65d32908w0;;2?80:n95262f95fb16`=i=169>h5a39>16`=i8169>h5a19>16`=1o169>h59d9>16`=1m16::>51ef8yv47j?0;6?u252d9=f=:>>:1=il4}r03f2<72;q69>h59c9>226=9mk0q~7}:=:l15l5266295a?16`=1116::>51e58yv47jh0;6?u252d9=2=:>>:1=i84}r03fg<72;q69>h5979>226=9m?0q~7}:=:l1585266295a216`=1=16::>51e18yv47jl0;6?u252d9=6=:>>:1=i<4}r03fc<72;q69>h5939>226=9m;0q~7}:=:l15<5266295a616`=1916::>51bd8yv47k;0;6?u252d9>:1=nk4}r03g6<72;q69>h5ab9>226=9l?0q~7}:=:l1mo5266295`216`=ih16::>51d18yv47k?0;6?u252d9e<=:>>:1=h<4}r03g2<72;q69>h5a99>226=9l;0q~7}:=:l1m:5266295`616`=i?16::>51ed8yv47kh0;6?u252d9e0=:>>:1=ik4}r03gg<72;q69>h5989>226=9m20q~7}:=:l14h5266295fb116=9hk018:?:0c;?8>4j3;h86360682`a=z{;:hi7>53z?605<6i>164>l51b089<6028ni7p}=0bd94?5|5<>;6d`9~w76c83:1?v3:4182e0=:0:h1=n>4=824>4b>3ty9;>8>0:h:5rs32g6?6=;r7>8=4>a39><6d=9kn014>8:0f5?xu58m91<7=t=463>4g63428n7?mc:?:42<6l<1v?>k4;297~;2<90:m=5282`95gd<50:<64j3;im6360682`6=z{;:o:7>53z?605<61l164>l51c;89<6028n97p}=0e594?5|5<>;6<7k;<:0f?7e0272<:4>d09~w76c03:1?v3:4182=f=:0:h1=o94=824>4b73ty9;>8>0:ok5rs32ge?6=;r7>8=4>9`9><6d=9k?014>8:0af?xu58mh1<7=t=463>4d53428n7?lc:?:42<6m<1v?>kc;297~;2<90:n<5282`95fd<50:<64j3;hm6360682a6=z{;:oi7>53z?605<6io164>l51b;89<6028o97p}=0ed94?5|5<>;6e09~w76b83:1?v3:4182ea=:0:h1=n94=824>4c73ty9;>8>0:hk5rs32f6?6=;r7>8=4>ac9><6d=9j?014>8:0ff?xu58l91<7=t=463>4g33428n7?mf:?:42<6l11v?>j4;297~;2<90:545282`95g2<50:<6;64m4=81b>4be3ty9;6494=81b>4b13ty9<3<509j6;64=4=81b>4b53ty9<7<509j6;65h4=81b>4eb3ty91<7dd<509j6;6l74=81b>4c53ty9d1<509j6;6l;4=81b>4bb3ty9=c<509j65<5s4??<7:?9:?604<4l11v?>if;296~;2<90?<55255397a1116=<9=018:>:2f5?xu599;1<716134??=7=k5:p64652909w0;;0;631>;2<808h95rs3337?6=:r7>8=4;059>117=;m90q~<>0583>7}:==:18==4=462>6b53ty9==;50;0x90272=::70;;1;1g4>{t:8:=6=4={<774?27827>8<4v3:4180bc=:==;1?nk4}r024=<72;q699>53gg890262:io7p}=11;94?4|5<>;6>hk;<775?5dk2wx><>n:181833839mo63:4080gg=z{;;;n7>52z?605<4nk1699?53bc8yv468j0;6?u255297cg<5<>:6>m6;|q155b=838p18:?:2d:?833939h46s|202f>5<5s4??<7=i8:?604<4k>1v???f;296~;2<908j;5255397f3116=;o?018:>:2a7?xu598;1<76`334??=7=l3:p64752909w0;;0;1e7>;2<808o?5rs3327?6=:r7>8=4117=;j;0q~<>1583>7}:==:1?k?4=462>6e73ty9=<;50;0x90272:l;70;;1;1ab>{t:8;=6=4={<774?5bn27>8<4v3:4180a`=:==;1?oj4}r025=<72;q699>53df890262:hh7p}=10;94?4|5<>;6>km;<775?5ei2wx>52z?605<4m01699?53c:8yv469j0;6?u255297`><5<>:6>l8;|q154b=838p18:?:2g4?833939i:6s|203f>5<5s4??<7=j6:?604<4j<1v??>f;296~;2<908i85255397g2=4?:3y>116=;l>018:>:2`0?xu59;;1<76c434??=7=m2:p64452909w0;;0;1f6>;2<808n<5rs3317?6=:r7>8=4;109>117=;l:0q~<>2583>7}:==:18<>4=462>6ba3ty9=?;50;0x90272=:m70;;1;1ga>{t:88=6=4={<774?27m27>8<4v3:41874a=:==;1?im4}r026=<72;q699>541a890262:ni7p}=13;94?4|5<>;69>=;<775?5c92wx><52z?605<4mj1699?53c`8yv46:j0;6?u255297`7<5<>:6>l?;|q157b=83>p18:>:0cb?83393;j46386g82g1=:0:h1=ij4}r026`<72:q699?51`58920a28i9706{t:88m6=4<{<775?7f>27<:k4>c09><6d=9mk0q~<>3183>6}:==;1=l;4=64e>4e73428n7?k9:p64562908w0;;1;3b7>;0>o0:nh5282`95a1117=9h801:8i:0`g?8>4j3;o:6s|2010>5<4s4??=7?n1:?42c<6jj164>l51e78yv46;=0;6>u255395d6<5><=::18083393;2j6386g82fd=:0:h1=i=4}r0273<72:q699?518g8920a28h2706{t:89<6=4<{<775?7>l27<:k4>b99><6d=9m;0q~<>3983>6}:==;1=4m4=64e>4d03428n7?k0:p645>2908w0;;1;3:f>;0>o0:n;5282`95f`117=90k01:8i:0`6?8>4j3;hi6s|201a>5<4s4??=7?m2:?42c<6kj164>l51d78yv46;j0;6>u255395g7<5><=k:18083393;i<6386g82gd=:0:h1=h=4}r027`<72:q699?51`d8920a28i2706{t:89m6=4<{<775?7fm27<:k4>c99><6d=9l;0q~<>4183>6}:==;1=lj4=64e>4e03428n7?j0:p64262908w0;;1;3bg>;0>o0:o;5282`95a`117=9hh01:8i:0a6?8>4j3;oi6s|2060>5<4s4??=7?n4:?42c<6jo164>l51e:8yv46<=0;6>u255395<:::18;83393k?70;;1;c1?83393k:70;;1;c3?833933m70;;1;;f?833933o7069e;3g`>{t:8>=6=4={<775??d342=i7?kb:p64202909w0;;1;;a?8>1m3;om6s|206;>5<5s4??=77n;<:5a?7c12wx><:6:18183393337069e;3g3>{t:8>j6=4={<775??0342=i7?k6:p642e2909w0;;1;;5?8>1m3;o96s|206`>5<5s4??=77:;<:5a?7c<2wx><:k:181833933?7069e;3g7>{t:8>n6=4={<775??4342=i7?k2:p642a2909w0;;1;;1?8>1m3;o=6s|2073>5<5s4??=77>;<:5a?7c82wx><;>:181833933;7069e;3`b>{t:8?96=4={<775?>a342=i7?le:p64342909w0;;1;c`?8>1m3;n96s|2077>5<5s4??=7om;<:5a?7b<2wx><;::18183393kj7069e;3f7>{t:8?=6=4={<775?g>342=i7?j2:p64302909w0;;1;c;?8>1m3;n=6s|207;>5<5s4??=7o8;<:5a?7b82wx><;6:18183393k=7069e;3gb>{t:8?j6=4={<775?g2342=i7?ke:p643e2909w0;;1;;:?8>1m3;o46s|207`>5<5s4??=76j;<:5a?7dl2wx><;k:18183393>;n63:4380`d=z{;;>i7>52z?604<3801699<53e:8yv46=o0;6?u2553905><5<>96>j8;|q1536=838p18:>:524?833:39o:6s|2042>5<5s4??=7:?6:?607<4l<1v??92;296~;2<80?<85255097a24?:3y>117=<9>018:=:2f0?xu59?>1<716434??>7=k2:p64022909w0;;1;635>;2<;08h=5rs3352?6=:r7>8<4;019>114=;jl0q~<>6683>7}:==;1?kh4=461>6eb3ty9=;650;0x90262:ln70;;2;1``>{t:8<26=4={<775?5al27>8?4v3:4080bf=:==81?nl4}r022g<72;q699?53g`890252:ij7p}=17a94?4|5<>:6>hn;<776?5d12wx><8k:181833939m563:4380g==z{;;=i7>52z?604<4n11699<53b58yv46>o0;6?u255397c0<5<>96>m:;|q1526=838p18:>:2d6?833:39h86s|2052>5<5s4??=7=i4:?607<4k:1v??82;296~;2<808j>5255097f44?:3y>117=;o8018:=:2a2?xu59>>1<76`634??>7=l0:p64122909w0;;1;1e4>;2<;08nk5rs3342?6=:r7>8<4114=;ko0q~<>7683>7}:==;1?hk4=461>6dc3ty9=:650;0x90262:oo70;;2;1ag>{t:8=26=4={<775?5bj27>8?4v3:4080ad=:==81?o74}r023g<72;q699?53d;890252:h37p}=16a94?4|5<>:6>k7;<776?5e?2wx><9k:181833939n;63:4380f3=z{;;52z?604<4m?1699<53c78yv46?o0;6?u255397`3<5<>96>l;;|q15=6=838p18:>:2g7?833:39i?6s|20:2>5<5s4??=7=j3:?607<4j;1v??72;296~;2<808i?5255097g74?:3y>117=<8;018:=:2g3?xu591>1<717734??>7=kf:p64>22909w0;;1;63b>;2<;08hh5rs33;2?6=:r7>8<4;0d9>114=;mn0q~<>8683>7}:==;18=j4=461>6bd3ty9=5650;0x90262=:h70;;2;1gf>{t:8226=4={<775?27:27>8?4v3:4080b2=:==81?n84}r02:6>k>;<776?5e82wx><6k:187833:3;jm63:4382e==:>h91=n:4=64e>4bc3ty9=5k50;1x902528k<708n3;3`6>;0>o0:ho5rs33;b?6=;r7>8?4>a79>2d5=9j;01:8i:0fb?xu590:1<7=t=461>4g234526`195gc<5>53z?607<6i816:l=51ca8920a28n>7p}=18694?5|5<>96d59~w77>=3:1?v3:4382=c=:>h91=oo4=64e>4b43ty9=4850;1x9025283n708n3;3a=>;0>o0:h?5rs33:3?6=;r7>8?4>9e9>2d5=9k201:8i:0f2?xu59021<7=t=461>4?d3453z?607<6j;16:l=51ba8920a28o>7p}=18a94?5|5<>96;<4b7?7dj27<:k4>e59~w77>l3:1?v3:4382f5=:>h91=no4=64e>4c43ty9=4k50;1x902528km708n3;3`=>;0>o0:i?5rs33:b?6=;r7>8?4>ad9>2d5=9j201:8i:0g2?xu59h:1<7=t=461>4gc3453z?607<6i=16:l=51cd8920a28n37p}=1`694?5|5<>96<76;<4b7?7e<27<:k4>ce9~w77f=3:14v3:438b0>;2<;0j>63:438b5>;2<;0j<63:438:b>;2<;02i63:438:`>;0i;0:hi5rs33b2?6=:r7>8?46c:?4e7<6lk1v??n7;296~;2<;02n638a382`d=z{;;j47>52z?607<>i27d89~w77f13:1>v3:438:<>;0i;0:h:5rs33be?6=:r7>8?467:?4e7<6l?1v??nb;296~;2<;02:638a382`0=z{;;jo7>52z?607<>=27d59~w77fl3:1>v3:438:0>;0i;0:h>5rs33ba?6=:r7>8?463:?4e7<6l;1v??nf;296~;2<;02>638a382`4=z{;;i<7>52z?607<>927d19~w77e93:1>v3:438:4>;0i;0:ok5rs33a6?6=:r7>8?47f:?4e7<6kl1v??m3;296~;2<;0jo638a382a0=z{;;i87>52z?607e59~w77e=3:1>v3:438be>;0i;0:i>5rs33a2?6=:r7>8?4n9:?4e7<6m;1v??m7;296~;2<;0j4638a382a4=z{;;i47>52z?607e19~w77e13:1>v3:438b2>;0i;0:hk5rs33ae?6=:r7>8?4n5:?4e7<6ll1v??mb;296~;2<;025638a382`==z{;;io7>52z?607ce9~w77el3:1>v3:43874g=:==91?io4}r02f`<72;q699<541;890242:n37p}=1cd94?4|5<>969>7;<777?5c?2wx>;;63:4280`3=z{;;h=7>52z?607<38?1699=53e78yv46k;0;6?u25509053<5<>86>j;;|q15f5=838p18:=:527?833;39o?6s|20a7>5<5s4??>7:?3:?606<4l;1v??l5;296~;2<;0?<<5255197a6114=<9:018:<:2ae?xu59j=1<76`a34???7=le:p64e?2909w0;;2;1ea>;2<:08oi5rs33`=?6=:r7>8?4115=;ji0q~<>c`83>7}:==81?km4=460>6ee3ty9=nl50;0x90252:li70;;3;1`e>{t:8ih6=4={<776?5ai27>8>4v3:4380b<=:==91?n64}r02g`<72;q699<53g:890242:i<7p}=1bd94?4|5<>96>h9;<777?5d=2wx>52z?607<4n=1699=53b18yv46l;0;6?u255097c5<5<>86>m=;|q15a5=838p18:=:2d1?833;39h=6s|20f7>5<5s4??>7=i1:?606<4k91v??k5;296~;2<;08j=5255197g`114=;ll018:<:2`f?xu59m=1<76cb34???7=md:p64b?2909w0;;2;1f`>;2<:08nn5rs33g=?6=:r7>8?4115=;kk0q~<>d`83>7}:==81?ho4=460>6d>3ty9=il50;0x90252:o270;;3;1a<>{t:8nh6=4={<776?5b027>8>4v3:4380a2=:==91?o84}r02``<72;q699<53d4890242:h>7p}=1ed94?4|5<>96>k:;<777?5e<2wx>52z?607<4m:1699=53c08yv46m;0;6?u255097`4<5<>86>l>;|q15`5=838p18:=:532?833;39n<6s|20g7>5<5s4??>7:>0:?606<4lo1v??j5;296~;2<;0?114=<9o018:<:2fg?xu59l=1<716c34???7=kc:p64c?2909w0;;2;63g>;2<:08ho5rs33f=?6=:r7>8?4;039>115=;m;0q~<>e`83>7}:==81?k94=460>6e13ty9=hl50;0x90252:oh70;;3;1af>{t:8oh6=4={<776?5b927>8>44e334;2l>0:o?526`195ad115=9h<018j8:0a2?80f;3;om6s|20d3>5<4s4???7?n5:?6`2<6k916:l=51e;8yv46n80;6>u255195d5<563:d682fa=:>h91=i84}r02b6<72:q699=51`3890b028hh708n3;3g1>{t:8l?6=4<{<777?7f827>h:4>bc9>2d5=9m>0q~<>f483>6}:==91=4h4=4f4>4df34;2l>0:n4526`195a4115=90n018j8:0`;?80f;3;o=6s|20d;>5<4s4???7?6c:?6`2<6j>16:l=51e28yv46n00;6>u255195h91=nk4}r02bg<72:q699=51c0890b028ih708n3;3f1>{t:8lh6=4<{<777?7e927>h:4>cc9>2d5=9l>0q~<>fe83>6}:==91=o>4=4f4>4ef34;2l>0:o4526`195`4115=9ho018j8:0a;?80f;3;n=6s|2323>5<4s4???7?nd:?6`2<6k>16:l=51d28yv45880;6>u255195de<5?>=:180833;3;jn63:d682g0=:>h91=ik4}r0146<72:q699=51`6890b028hm708n3;3g<>{t:;:?6=4<{<777?7>127>h:4>b59>2d5=9jn0q~<=0483>=}:==91m9525519e7=:==91m<525519e5=:==915k525519=`=:==915i526e495ab115=1j16:i851e`8yv458>0;6?u25519=g=:>m<1=io4}r014=<72;q699=59`9>2a0=9m30q~<=0883>7}:==9155526e495a1115=1>16:i851e48yv458k0;6?u25519=3=:>m<1=i;4}r014f<72;q699=5949>2a0=9m>0q~<=0e83>7}:==9159526e495a5115=1:16:i851e08yv458o0;6?u25519=7=:>m<1=i?4}r0155<72;q699=5909>2a0=9m:0q~<=1083>7}:==915=526e495f`115=0o16:i851bg8yv459:0;6?u25519ef=:>m<1=h;4}r0151<72;q699=5ac9>2a0=9l>0q~<=1483>7}:==91ml526e495`5115=i016:i851d08yv459>0;6?u25519e==:>m<1=h?4}r015=<72;q699=5a69>2a0=9l:0q~<=1883>7}:==91m;526e495a`115=i<16:i851eg8yv459k0;6?u25519=<=:>m<1=i64}r015f<72;q699=58d9>2a0=9jn0q~<=1e83>6}:==>18<84=465>4?034k<>7ki;|q164c=839p18:::535?833?3;2;63n738e5>{t:;;m6=4<{<772?26>27>854>969>edd=mo1v?<=0;297~;2<>0?=;5255;95<1<5hki6k?4}r0164<72:q699654048902f283<70oj4;ge?xu5:;81<7=t=46:>17134??n7?67:?ba1?<<:180833i3>::63:4b82=2=:j8n1ik5rs3010?6=;r7>8o4;179>11b=90=01o?k:g38yv45:<0;6?u255a9040<5k?=6hh4}r0163<72;q699j540489g312o;0q~<=2683>6}:==o18<84=46e>4?034k<>7:;2=90:5:52a``906g44?:2y>106=<8<018;>:0;4?8gb<3>8m6s|230b>5<4s4?>=7:>6:?617<61>16np18;<:0;4?83213>::6393e877<=:>h918>74}r016a<72:q698=5404890162=9270;k7;60=>{t:;8n6=4:{<760?7>?27>9l4;179>226=<:301;j9:51:?8e0j382h6s|230e>5<3s4?>87:>6:?6e1<3;016:=o542;89f1f2;3o7p}=22294?2|56<78;<76f?26>27=;=4jd:?5`3?=>:180832=3>::63:a58f`>;18h0nh6s|2311>5<3s4?>:7?67:?61f<39?16:>j5ee9>2d5=mm1v?<<3;297~;2=?0?=;525639aa=:=m=1ii5rs3000?6=;r7>9:4>969>10b=<8<01o?k:g58yv45;<0;6?u25459040<5k?=6k94}r0173<72:q698651858903b2=;=70l>d;d6?xu5::=1<717134h>:7h:;|q166>=83>p18;6:0;4?83183>::63808877<=:??l18>74}r017<<72;0i;0??452c6a9610d=90=0188;:535?814k3oo709n2;gg?xu5::h1<7:t=47`>4?034?=?7:>6:?44<;2>80?=;52ad69b2=z{;88h7>53z?61`<61>169;<540489dc32o?0q~<=3d83>0}:=171342;47:<9:?;2`<3;016o:j528f8yv45;o0;69u257295<1<5<<369?9;<5g1?241273?o4;389~w74383:1?v3:6082=2=:=?<18<84=`ca>c1134=90=01888:535?8gfj3l>7p}=25094?2|5<<86<78;<75=?26>27?:<:187831<3;2;63:6`8753=:0921ii5287g9aa=z{;8?87>55z?620<61>169;m540489=b32=92707;d?l095i5rs3071?6=;r7>:;4>969>13c=<8<01l9=:g58yv45u257595<1<5<9950;6x900?283<70;9d;622>;?i80??452915906?13?=90=0188m:535?8>f93oo707?7;gg?xu5:=31<7:t=44b>4?034?<<7:>6:?;`1w0;9c;3:3>;>i90??452a14906?<5m9;69?9;?2wx>?:m:186831l3;2;6366e877<=:1m918>74=d:3>17134lj?7?67:p672d2908w0;n4;3`0>;e1<0?=;52ddf95<11d2=9j801o79:535?8ba93;2;6s|236f>5<4s4?j87?l1:?a=2<39?16hk=51858yv45u25`695f6<5k3369?9;?2wx>?;?:18083f<3;ii63m988753=:lo=1=494}r0114<72:q69l:51cf89g?f2=;=70ji9;3:3>{t:;?96=4<{<7b0?7ek27i5o4;179>`c3=90=0q~<=5283>6}:=h>1=ol4=c;`>17134nmh7?67:p67332908w0;n4;3ae>;e1m0?=;52dgd95<11d2=9k301o7j:535?8baj3;2;6s|2375>5<4s4?j87?m8:?a=c<39?16i==51858yv45=>0;6>u25`695g1<5kk;69?9;?2wx>?;7:18083f<3;i:63ma08753=:m9;1=494}r011<<72:q69l:51c789gg52=;=70k?7;3:3>{t:;?j6=4<{<7b0?7dk27im>4;179>a5?=90=0q~<=5c83>6}:=h>1=nl4=cc7>17134o;n7?67:p673d2908w0;n4;3`e>;ei<0?=;52e1f95<11d2=9j301oo9:535?8c693;2;6s|237f>5<4s4?j87?l8:?ae2<39?16i<=51858yv45=o0;6>u25`695f1<5kk369?9;?2wx>?8?:18083f<3;h:63ma88753=:m8=1=494}r0124<72:q69l:51b789ggf2=;=70k>9;3:3>{t:;<96=4<{<7b0?7en27imo4;179>a43=90=0q~<=6283>6}:=h>1=o:4=cc`>17134o:n7?67:p67032908w08?a;3`0>;e?m0?=;52dcd95<125g=9j801o9j:535?8bej3;2;6s|2345>5<4s4<;m7?l1:?a3c<39?16hn=51858yv45>>0;6>u261c95f6<5k2;69?9;?2wx>?87:180807i3;ii63m808753=:lj;1=494}r012<<72:q6:=o51cf89g>52=;=70jl7;3:3>{t:;4;179>`f?=90=0q~<=6c83>6}:>9k1=ol4=c:7>17134nhn7?67:p670d2908w08?a;3ae>;e0<0?=;52dbf95<125g=9k301o69:535?8bc93;2;6s|234f>5<4s4<;m7?m8:?a<2<39?16hi=51858yv45>o0;6>u261c95g1<5k2369?9;?2wx>?9?:180807i3;i:63m888753=:lm=1=494}r0134<72:q6:=o51c789g>f2=;=70jk9;3:3>{t:;=96=4<{<43e?7dk27i4o4;179>`a3=90=0q~<=7283>6}:>9k1=nl4=c:`>17134noh7?67:p67132908w08?a;3`e>;e0m0?=;52ded95<125g=9j301o6j:535?8bcj3;2;6s|2355>5<4s4<;m7?l8:?a0;6>u261c95f1<5k3;69?9;?2wx>?97:180807i3;h:63m908753=:ll;1=494}r013<<72:q6:=o51b789g?52=;=70jj9;3:3>{t:;=j6=4<{<43e?7en27i5>4;179>``d=90=0q~<=7c83>6}:>9k1=o:4=c;7>17134nn;7?67:p671d2908w0880;3`0>;cml0?=;52ec195<1226=9j801ih=:535?8ce93;2;6s|235f>5<4s4<<<7?l1:?gb1<39?16io;51858yv45?o0;6>u266295f6<5ml;69?9;?2wx>?6?:18080083;ii63kf98753=:mk31=494}r01<4<72:q6::>51cf89a`f2=;=70kmb;3:3>{t:;296=4<{<444?7ek27oj;4;179>ag`=90=0q~<=8283>6}:>>:1=ol4=edf>17134oh=7?67:p67>32908w0880;3ae>;b890?=;52ecf95<1226=9k301ihl:535?8cd=3;2;6s|23:5>5<4s4<<<7?m8:?f41<39?16in951858yv450>0;6>u266295g1<5l:=69?9;?2wx>?67:18080083;i:63j038753=:mjh1=494}r01<<<72:q6::>51c789`6?2=;=70kld;3:3>{t:;2j6=4<{<444?7dk27naf?=90=0q~<=8c83>6}:>>:1=nl4=d2`>17134ohj7?67:p67>d2908w0880;3`e>;b8l0?=;52ee395<1226=9j301h?=:535?8cc;3;2;6s|23:f>5<4s4<<<7?l8:?f51<39?16ii;51858yv450o0;6>u266295f1<5l;;69?9;?2wx>?7?:18080083;h:63j198753=:mmh1=494}r01=4<72:q6::>51b789`7f2=;=70kk7;3:3>{t:;396=4<{<444?7en27n=;4;179>aab=90=0q~<=9283>6}:>>:1=o:4=d3`>17134ooj7?67:p67?32908w08k6;3`0>;ck90?=;52e9195<12a0=9j801ill:535?8c?=3;2;6s|23;5>5<4s40;6>u26e495f6<5mi=69?9;?2wx>?77:18080c>3;ii63kc38753=:m1h1=494}r01=<<72:q6:i851cf89ae?2=;=70k77;3:3>{t:;3j6=4<{<4g2?7ek27ool4;179>a=b=90=0q~<=9c83>6}:>m<1=ol4=ea`>17134o3j7?67:p67?d2908w08k6;3ae>;ckl0?=;52e8395<12a0=9k301ij=:535?8c>;3;2;6s|23;f>5<4s4u26e495g1<5mn;69?9;?2wx>?o?:18080c>3;i:63kd98753=:m0?1=494}r01e4<72:q6:i851c789abf2=;=70k6d;3:3>{t:;k96=4<{<4g2?7dk27oh;4;179>a<`=90=0q~<=a283>6}:>m<1=nl4=eff>17134o2n7?67:p67g32908w08k6;3`e>;cm90?=;52e`195<12a0=9j301ijl:535?8cf=3;2;6s|23c5>5<4s40;6>u26e495f1<5mo=69?9;?2wx>?o7:18080c>3;h:63ke38753=:mh31=494}r01e<<72:q6:i851b789acf2=;=70knb;3:3>{t:;kj6=4<{<4g2?7en27oin4;179>adb=90=0q~<=ac83>6}:>m<1=o:4=eg;>17134ojj7?67:p67gd2908w09;bj=0?=;52f6195<136e=9j801hl=:535?8`0?3;2;6s|23cf>5<4s4=8o7?l1:?ff3<39?16j:751858yv45io0;6>u272a95f6<5lh369?9;?2wx>?l?:180814k3;ii63jb`8753=:n>h1=494}r01f4<72:q6;>m51cf89`dd2=;=70h8d;3:3>{t:;h96=4<{<50g?7ek27no=4;179>b2`=90=0q~<=b283>6}:?:i1=ol4=da1>17134l3=7?67:p67d32908w09;bjl0?=;52f9795<136e=9k301hm9:535?8`??3;2;6s|23`5>5<4s4=8o7?m8:?fg=<39?16j5=51858yv45j>0;6>u272a95g1<5li?69?9;?2wx>?l7:180814k3;i:63jcb8753=:n1n1=494}r01f<<72:q6;>m51c789`eb2=;=70h79;3:3>{t:;hj6=4<{<50g?7dk27nol4;179>b<7=90=0q~<=bc83>6}:?:i1=nl4=df3>17134l2?7?67:p67dd2908w09;bl;0?=;52f9d95<136e=9j301hj;:535?8`>=3;2;6s|23`f>5<4s4=8o7?l8:?f`3<39?16j4951858yv45jo0;6>u272a95f1<5lnj69?9;?2wx>?m?:180814k3;h:63jdb8753=:n0h1=494}r01g4<72:q6;>m51b789`b?2=;=70h6f;3:3>{t:;i96=4<{<50g?7en27nhh4;179>bd7=90=0q~<=c283>6}:?:i1=o:4=dg3>17134l2h7?67:p67e32908w09n2;3`0>;b0=0?=;52f5795<13d4=9j801h69:535?8`3;3;2;6s|23a5>5<4s4=j>7?l1:?f<7<39?16j9751858yv45k>0;6>u27`095f6<5l2j69?9;?2wx>?m7:18081f:3;ii63j8b8753=:n==1=494}r01g<<72:q6;l<51cf89`>?2=;=70h;f;3:3>{t:;ij6=4<{<5b6?7ek27n4h4;179>b07=90=0q~<=cc83>6}:?h81=ol4=d;3>17134l?h7?67:p67ed2908w09n2;3ae>;b1;0?=;52f4195<13d4=9k301h7;:535?8`2=3;2;6s|23af>5<4s4=j>7?m8:?f==<39?16j8951858yv45ko0;6>u27`095g1<5l3j69?9;?2wx>?j?:18081f:3;i:63j978753=:n{t:;n96=4<{<5b6?7dk27nm=4;179>b0d=90=0q~<=d283>6}:?h81=nl4=d;`>17134l=?7?67:p67b32908w09n2;3`e>;bi=0?=;52f7795<13d4=9j301ho9:535?8`193;2;6s|23f5>5<4s4=j>7?l8:?fe7<39?16j;751858yv45l>0;6>u27`095f1<5lk369?9;?2wx>?j7:18081f:3;h:63ja`8753=:n?=1=494}r01`<<72:q6;l<51b789`gd2=;=70h9d;3:3>{t:;nj6=4<{<5b6?7en27nmh4;179>b3`=90=0q~<=dc83>6}:?h81=o:4=d`3>17134l<=7?67:p67bd2908w06?8;3`0>;a?=0?=;52fe595<1<5>=9j801k97:535?8`c13;2;6s|23ff>5<4s42;47?l1:?e3d<39?16ji;51858yv45lo0;6>u281:95f6<5o==69?9;?2wx>?k?:1808>703;ii63i7b8753=:nml1=494}r01a4<72:q64=651cf89c1b2=;=70hkb;3:3>{t:;o96=4<{<:3b`7=90=0q~<=e283>6}:0921=ol4=g:1>17134ln?7?67:p67c32908w06?8;3ae>;a0?0?=;52fd795<1<5>=9k301k67:535?8`b?3;2;6s|23g5>5<4s42;47?m8:?e<1<39?16jhl51858yv45m>0;6>u281:95g1<5o2h69?9;?2wx>?k7:1808>703;i:63i8d8753=:nl31=494}r01a<<72:q64=651c789c>f2=;=70hi1;3:3>{t:;oj6=4<{<:3bc5=90=0q~<=ec83>6}:0921=nl4=g;7>17134lnj7?67:p67cd2908w06?8;3`e>;a190?=;52fg595<1<5>=9j301k79:535?8`a13;2;6s|23gf>5<4s42;47?l8:?e==<39?16jk;51858yv45mo0;6>u281:95f1<5o3j69?9;?2wx>?h?:1808>703;h:63i9b8753=:non1=494}r01b4<72:q64=651b789cg72=;=70hif;3:3>{t:;l96=4<{<:35566283<7p}=2g194?5|51:3627:<==51858yv45n=0;6>u287g95f2<5o>=69?9;?2wx>?h::1808>1m3;h>63i458753=:nh31=494}r01b3<72:q64;k51b389c2f2=;=70hnb;3:3>{t:;l<6=4<{<:5a?7d827m8n4;179>bd1=90=0q~<=f983>6}:0?o1=ok4=g6;>17134ljj7?67:p67`>2908w069e;3a`>;a=90?=;52fc395<1<3c=9ki01k;=:535?8`fl3;2;6s|23da>5<4s42=i7?mb:?e0`<39?16jo;51858yv45nj0;6>u287g95gg<5o??69?9;?2wx>?hk:1808>1m3;i563i578753=:nk91=494}r01b`<72:q64;k51c:89c3?2=;=70hm9;3:3>{t:;lm6=4<{<:5a?7e?27m9l4;179>bgd=90=0q~<<0183>6}:0?o1=o84=g7f>17134lih7?67:p66662908w069e;3a1>;a>90?=;52fcd95<1<3c=9ji01k;l:535?8`d;3;2;6s|2220>5<4s42=i7?lb:?e21<39?16jn;51858yv448=0;6>u287g95fg<5o<=69?9;?2wx>>>::1808>1m3;h563i638753=:nj31=494}r0043<72:q64;k51b:89c0f2=;=70hlb;3:3>{t:::<6=4<{<:5a?7d?27m:n4;179>bf1=90=0q~<<0983>6}:0?o1=n84=g4;>17134lhj7?67:p666>2908w069e;3`1>;a>l0?=;52fe395<1<3c=9kl01k9?:535?8`dl3;2;6s|222a>5<4s42=i7?m4:?e37<39?16ji=51858yv448j0;6>u28e695f2<5on369?9;<3372<61>1v?=?d;297~;?l=0:o?52fec9040<58:897?67:p666b2908w06k4;3`5>;al?0?=;52111a>4?03ty9?=h50;1x9=b328i;70hke;622>;68:n1=494}r0055<72:q64i:51cg89cc72=;=70??3882=2=z{;9:=7>53z?;`1<6jm16jim54048946393;2;6s|2231>5<4s42o87?mc:?ea7<39?16==:<:0;4?xu5;891<7=t=9f7>4de34ln87:>6:?246`=90=0q~<<1583>6}:0m>1=oo4=gg5>17134;;8:4>969~w756=3:1?v37d582f<=:nl218<84=027=?7>?2wx>>?9:1808>c<3;i463ieb8753=:99>>6<78;|q1741=839p15j;:0`4?8`bm3>::63>05f95<1b49>bc4=<8<01<>;b;3:3>{t::;j6=4<{<:g0?7dk27mj94;179>5534283<7p}=30`94?5|51n?627:<8;51858yv449j0;6>u28e695fg<5ol369?9;<3314<61>1v?=>d;297~;?l=0:o452fgc9040<58:>57?67:p667b2908w06k4;3`<>;an?0?=;52117a>4?03ty9?;68<=1=494}r0065<72:q64i:51b489c`b2=;=70??5e82=2=z{;99=7>53z?;`1<6k<16==>?:535?877=o0:5:5rs3116?6=;r73h94>bg9>55652=;=70??6082=2=z{;99?7>53z?;`1<6j=16==>;:535?877>:0:5:5rs3110?6=;r72?l4>c59>bd0=<8<01<>?5;3:3>{t::8>6=4<{<;0e?7d:27mml4;179>5560283<7p}=33494?5|509j6;27:<=751858yv44:>0;6>u292c95f6<5ok369?9;<334a<61>1v?==8;297~;>;h0:nh52fc29040<58:;j7?67:p664>2908w07;aj;0?=;52112a>4?03ty9??o50;1x9<5f28hh70hne;622>;68891=494}r006g<72:q65>o51c`89cd12=;=70??1482=2=z{;99o7>53z?:7d<6jh16jo654048946693;2;6s|220g>5<4s438m7?m9:?ef1<39?16==?6:0;4?xu5;;o1<7=t=81b>4d?34lim7:>6:?244d=90=0q~<<2g83>6}:1:k1=o94=g``>17134;;=:4>969~w75483:1?v363`82f3=:nko18<84=022`?7>?2wx>>=>:1808?4i3;i963ic18753=:99;m6<78;|q1764=839p14=n:0a`?8`d<3>::63>03395<14?:2y>=6g=9jh01km9:535?877::0:5:5rs3100?6=;r72?l4>c`9>bf4=<8<01<>=7;3:3>{t::9>6=4<{<;0e?7d127mol4;179>554>283<7p}=32494?5|509j627:0;6>u292c95f1<5oi369?9;<336a<61>1v?=<8;297~;>;h0:o;52fe29040<58:9j7?67:p665>2908w07;al;0?=;52110a>4?03ty9?>o50;1x9<5f28hm70hle;622>;68:;1=494}r007g<72:q65>o51c689cb32=;=70??3282=2=z{;98o7>52z?:2a<6k=16h4>54048yv44;m0;6?u297f95f4<5m3969?9;|q176c=838p148k:0a2?8b?m3>::6s|221e>5<5s43=h7?l0:?g=1<39?1v?=;0;296~;>>m0:nh52d849040=3b=9kn01i77:535?xu5;=81<74dd34n2m7:>6:p66242909w079d;3af>;c1l0?=;5rs3170?6=:r72:i4>b`9>`d6=<8<0q~<<4483>7}:1?n1=o74=e;`>1713ty9?9850;0x9<0c28h370jn4;622>{t::><6=4={<;5`?7e?27om;4;179~w75303:1>v366e82f3=:lh818<84}r000<<72;q65;j51c789agf2=;=7p}=35c94?4|502wx>>:m:1818?1l3;hn63ka98753=z{;9?o7>52z?:2a<6kh16hlk54048yv44::6s|226e>5<5s43=h7?l7:?gf1<39?1v?=:0;296~;>>m0:o;52dc:9040=3b=9j?01iln:535?xu5;<81<74da34ni:7:>6:p66342909w079d;3a0>;cjl0?=;5rs3160?6=:r72m=4>c59>555?2=;=7p}=34794?4|50k;6i90:o<52111`>1713ty9?8950;0x947>52z?:e5<6jl16===n:535?xu5;<31<74dc34;;8?4;179~w752i3:1>v36a182ff=:99>?69?9;|q170d=838p14o?:0`a?877<90?=;5rs316g?6=:r72m=4>b`9>552?2=;=7p}=34f94?4|50k;6i90:n5521165>1713ty9?8h50;0x952z?:e5<6j?16==;?:535?xu5;?;1<74d234;;8n4;179~w751:3:1>v36a182gf=:99??69?9;|q1735=838p14o?:0aa?877=?0?=;5rs3150?6=:r72m=4>c`9>55352=;=7p}=37794?4|50k;6i90:o552117`>1713ty9?;950;0x952z?:e5<6k?16==;j:535?xu5;?31<74e234;;:=4;179~w751i3:1>v36a182fc=:99<969?9;|q173d=838p14o?:0`7?877>=0?=;5rs315g?6=:r72h>4>c59>`0g=<8<0q~<<6e83>7}:1m91=n<4=e43>1713ty9?;k50;0x9{t::v36d282f`=:l?<18<84}r0034<72;q65i=51cf89a0f2=;=7p}=36094?4|50n862wx>>9<:1818?c;3;in63k698753=z{;9<87>52z?:`6<6jh16h:>54048yv44?<0;6?u29e195g?<5m=969?9;|q1720=838p14j<:0`;?8b1m3>::6s|2254>5<5s43o?7?m7:?g33<39?1v?=88;296~;>l:0:n;52d6:9040=a5=9k?01i9;:535?xu5;>k1<74ed34n6:p661e2909w07k3;3`f>;c?j0?=;5rs314g?6=:r72h>4>c`9>`2c=<8<0q~<<7e83>7}:1m91=n74=e:3>1713ty9?:k50;0x9{t::=m6=4={<;g7?7d?27o4;4;179~w75?83:1>v36d282g3=:l1818<84}r00<4<72;q65i=51b789a>f2=;=7p}=39094?4|50n862wx>>6<:1818?c;3;i863k898753=z{;9387>52z?b43<6k=16==>9:535?xu5;1?1<74e534;;<54;179~w75?>3:1>v3n0782g4=:99:j69?9;|q17=1=838p1l>9:0a3?8778l0?=;5rs31;bd9>55772=;=7p}=39;94?4|5h:=61713ty9?5l50;0x9d6128hi70??178753=z{;93o7>52z?b43<6jh16==?=:535?xu5;1n1<74d>34;;=l4;179~w75?m3:1>v3n0782f==:99;h69?9;|q17=`=838p1l>9:0`4?877910?=;5rs31:4?6=:r7j<;4>b79>557b2=;=7p}=38394?4|5h:=61713ty9?4=50;0x9d6128ii70??258753=z{;9287>52z?b43<6kh16==<7:535?xu5;0?1<74e>34;;>l4;179~w75>>3:1>v3n0782g==:998=69?9;|q17<1=838p1l>9:0a4?877:l0?=;5rs31:c79>55572=;=7p}=38;94?4|5h:=61713ty9?4l50;0x9d6128h?70??358753=z{;92o7>53z?b7<<6mo16nlj51dd89a512=;=7p}=38f94?5|5h926m3:1?v3n3882af=:jhn1=hm4=e17>1713ty9?4h50;1x9d5>28oi70lnd;3ff>;c;j0?=;5rs31b4?6=;r7j?44>e`9>fdb=9lk01i=j:535?xu5;h;1<7=t=`1:>4c>34hjh7?j9:?g7d<39?1v?=n2;297~;f;00:i552b`f95`><5m>969?9;|q17d5=839p1l=6:0g4?8dfl3;n;63k458753=z{;9j87>53z?b7<<59>16nlj520589a272=;=7p}=3`794?5|5h926??9;<`b`?46>27o8;4;179~w75f>3:1?v3n388150=:jhn1><;4=e6;>1713ty9?l950;1x9d5>2;;?70lnd;020>;cfdb=:8901i:l:535?xu5;h31<7=t=`1:>77534hjh7<>2:?g15<39?1v?=na;297~;f;009<552b`f965><5m?969?9;|q17dd=839p1l=6:0dg?8dfl3;mh63k4d8753=z{;9jo7>53z?b7<<6n;16nlj51g089a312=;=7p}=3`f94?5|5h92627o954;179~w75fm3:1>v3n388164=:i>81=hh4}r00ec<72;q6m>7520d89d1528oo7p}=3c294?4|5h926??j;>l>:1818g4138:h63n7382ag=z{;9i>7>52z?b7<<59j16m:<51dc8yv44j:0;6?u2a2;964d<5h=965<5s4k857<>9:?b37<6m>1v?=m6;296~;f;0098452a609641e6?=:=201l9=:335?xu5;k21<772034k<>7<>5:p66d>2909w0o<9;072>;f?;09=95rs31ae?6=:r7j?44=449>e24=:890q~<7}:i:31>9:4=`51>7753ty9?om50;0x9d5>2;9j70o82;03<>{t::ho6=4={fe9~w75em3:1>v3n388161=:i>81=k<4}r00fc<72;q6m>7520:89d1528o=7p}=3b294?4|5h=96?<>;>m>:1818g0:38:j63nac82aa=z{;9h>7>52z?b37<59l16mll51da8yv44k:0;6?u2a60964b<5hki65<5s4k<>7<>b:?beg<6m01v?=l6;296~;f?;09=l52a``95`>e24=:8301lom:0g4?xu5;j21<772>34kjn7<>7:p66e>2909w0o82;07<>;fik09=;5rs31`e?6=:r7j;?4=469>edd=:8?0q~<7}:i>81>984=`ca>7733ty9?nm50;0x9d152;>>70onb;027>{t::io6=4={v3n73817d=:ihh1>=64}r00gc<72;q6m:<523d89dge28lo7p}=3e294?4|5h=96?<;;>j>:1818g0:38:463nac82a3=z{;9o>7>52z?beg<5:816mh:51dd8yv44l:0;6?u2a``964`<5ho?65<5s4kjn7<>d:?ba1<6mk1v?=k6;296~;fik09=n52ad695`gedd=:8h01lk;:0g:?xu5;m21<777f34kn87?j8:p66b>2909w0onb;02=>;fm=0:i:5rs31ge?6=:r7jmo4=489>e`2=:8=0q~<7}:ihh1>964=`g7>7713ty9?im50;0x9dge2;><70oj4;021>{t::no6=4={27ji94=159~w75cm3:1>v3nac8100=:il>1><=4}r00`c<72;q6mll525689dc32;;97p}=3d294?4|5hki6?=n;>k>:1818gfj389j63ne582ba=z{;9n>7>52z?beg<5:=16mh:51g08yv44m:0;6?u2a``964><5ho?65<5s4kn87<>f:?a5a<6mm1v?=j6;296~;fm=09=h52b0f95`ee`2=:8n01o?k:0ga?xu5;l21<777d34h:h7?ja:p66c>2909w0oj4;02f>;e9m0:i45rs31fe?6=:r7ji94=1`9>f4b=9l20q~<7}:il>1><74=c3g>4c03ty9?hm50;0x9dc32;>270l>d;023>{t::oo6=4={v3ne58102=:j8n1><;4}r00ac<72;q6mh:525489g7c2;;?7p}=3g294?4|5ho?6?::;<`2`?46;2wx>>h>:1818gb<38?863m1e8157=z{;9m>7>52z?ba1<5;h16n6s|22d6>5<5s4kn87<>8:?a5a<6m?1v?=i6;296~;e9m09><52b4495``f4b=:8l01o;9:0gg?xu5;o21<777b34h>:7?jc:p66`>2909w0l>d;02`>;e=?0:io5rs31ee?6=:r7i=i4=1b9>f00=9lk0q~<7}:j8n1>4c>3ty9?km50;0x9g7c2;;j70l:6;3f<>{t::lo6=4={<`2`?46127i9;4>e69~w75am3:1>v3m1e810<=:j<<1><94}r00bc<72;q6n9>>:1818d6l38?:63m578151=z{;>;>7>52z?a5a<5<<16n8852018yv438:0;6?u2b0f9612<5k?=6??=;|q1052=838p1o?k:31b?8d2>38;46s|2526>5<5s4h:h7<=f:?a13<6nm1v?:?6;296~;e9m09>952b4495c4f4b=:8201o;9:0g5?xu5<921<77>{<`4`?7?927i;h4>809>f2`=91;01o6?:0:2?8d?93;3=63m8382<4=:j191=5?4=c:7>4>634h397?71:?a<3<60816n59519389g>?282:70l79;3;5>;e0h0:4<52b9`95=7<5k2h6<6>;<`;`?7?927i4h4>809>f=`=91;01o7?:0:2?8d>93;3=63m9382<4=:j091=5?4=c;7>4>634h297?71:?a=3<60816n49519389g??282:70l69;3;5>;e1h0:4<52b8`95=7<5k3h6<6>;<`:`?7?927i5h4>809>f<`=91;01oo?:0:2?8df93;3=63ma382<4=:jh91=5?4=cc7>4>634hj97?71:?ae3<60816nl9519389gg?282:70ln9;3;5>;eih0:4<52b``95=7<5kkh6<6>;809>`ge=91;01ili:0:2?8bd83;3=63kc082<4=:lj81=5?4=ea0>4>634nh87?71:?gg0<60816hn8519389ae0282:70jl8;3;5>;ck00:4<52dbc95=7<5mii6<6>;809>`fc=91;01imi:0:2?8bc83;3=63kd082<4=:lm81=5?4=ef0>4>634no87?71:?g`0<60816hi8519389ab0282:70jk8;3;5>;cl00:4<52dec95=7<5mni6<6>;809>`ac=91;01iji:0:2?8bb83;3=63ke082<4=:ll81=5?4=eg0>4>634nn87?71:?ga0<60816hh8519389ac0282:70jj8;3;5>;cm00:4<52ddc95=7<5moi6<6>;809>``c=91;01iki:0:2?8ba83;3=63kf082<4=:lo81=5?4=ed0>4>634nm87?71:?gb0<60816hk8519389a`0282:70ji8;3;5>;cn00:4<52dgc95=7<5mli6<6>;809>`cc=91;01ihi:0:2?8c783;3=63j0082<4=:m981=5?4=d20>4>634o;87?71:?f40<60816i=8519389`60282:70k?8;3;5>;b800:4<52e1c95=7<5l:i6<6>;809>a5c=91;01h>i:0:2?8c683;3=63j1082<4=:m881=5?4=d30>4>634o:87?71:?f50<60816i<8519389`70282:70k>8;3;5>;b900:4<52e0c95=7<5l;i6<6>;9>6:1818dfl3l>70j=e;622>{t:=:j6=4<{<`g0?4fi27ihk4;259>g=6=;?h0q~<;0c83>7}:jm>1?;l4=bd:>4?03ty98=m50;1x9gb2283:70lka;3:5>;elk09mo5rs363`?6=;r7ih84=a`9>fag=<;>01i>;:24a?xu5<9o1<760e34im87?67:p616a2908w0lk6;3:5>;elk0:5<52bea96ddfa0=:hk01ojm:507?8b7=39=n6s|2532>5<5s4ho:7=9b:?`b0<61>1v?:>2;297~;el>0:5<52bea95<7<5kno6?om;|q1045=839p1oj8:3cb?8dck3>9863k07802g=z{;>:87>52z?a`2<4>k16ok851858yv439<0;6>u2be:95<7<5kno6<7>;<`ga?4fj2wx>9?9:1808dc038jm63mde8761=:l9=1?;l4}r0752<72;q6ni6537`89f`0283<7p}=40:94?5|5kn26<7>;<`ga?7>927ihk4=ac9~w72613:1?v3md881ed=:jmo18?:4=e2;>60e3ty982:{t:=;i6=4k{<`ge?40m27h9:4=9d9>g0>=:0n01n6?:3;g?8ecn382i63le181=a=:kl;1>4j4=bg1>7?c34in?7<6d:?`a1<51m16ok:540489a632;3h70j=7;0:f>{t:=;h6=4<{<`gf?40m27hj84;179>`53=:0i0q~<;1e83>6}:jmi1>:k4=bd5>17134n;:7<6c:p617b2908w0lkd;04a>;dn>0?=;52d1596fac=:>o01nh7:535?8b70382o6s|2503>5<4s4hoj7<8e:?`<5<51j16ok754048yv43:80;6>u2bd295<1<5m;m6<78;2wx>9<=:1818db93;2;63l80802g=z{;>9?7>52z?aa7<61>16o5=537`8yv43:=0;6?u2bd195<1<5j296>8m;|q1073=838p1ok;:0;4?8e?<39=n6s|2505>5<5s4hn97?67:?`<3<4>k1v?:=7;296~;em?0:5:52c97973d54?:3y>f`1=90=01n68:24a?xu5<;31<74?034i357=9b:p614f2909w0lj9;3:3>;d0108:o5rs361f?6=:r7iil4>969>g=g=;?h0q~<;2b83>7}:jlh1=494=b:`>60e3ty98?j50;0x9gcd283<70m7b;15f>{t:=8n6=4={<`f`?7>?27h4i4<6c9~w725n3:1>v3med82=2=:k1l1?;l4}r0775<72;q6nhh518589f>b2:9==:1818da93;2;63l93802g=z{;>8?7>52z?ab7<61>16o4?537`8yv43;=0;6?u2bg195<1<5j386>8m;|q1063=838p1oh;:0;4?8e>=39=n6s|2515>5<5s4hm97?67:?`=1<4>k1v?:<7;296~;en?0:5:52c84973dfc1=90=01n77:24a?xu5<:31<74?034i2;7=9b:p615f2909w0li9;3:3>;d1008:o5rs360f?6=:r7ijl4>969>g7}:joh1=494=b;b>60e3ty98>j50;0x9g`d283<70m6c;15f>{t:=9n6=4={<`e`?7>?27h5h4<6c9~w724n3:1>v3mfd82=2=:k0n1?;l4}r0705<72;q6nkh518589f?a2:9:=:1818e793;2;63la1802g=z{;>??7>52z?`47<61>16ol<537`8yv43<=0;6?u2c1195<1<5jk?6>8m;|q1013=838p1n>;:0;4?8ef;39=n6s|2565>5<5s4i;97?67:?`e0<4>k1v?:;7;296~;d8?0:5:52c`5973dg51=90=01no9:24a?xu5<=31<74?034ij47=9b:p612f2909w0m?9;3:3>;dih08:o5rs367f?6=:r7h969>gd?=;?h0q~<;4b83>7}:k9h1=494=bca>60e3ty989j50;0x9f6d283<70mnd;15f>{t:=>n6=4={?27hmn4<6c9~w723n3:1>v3l0d82=2=:kho1?;l4}r0715<72;q6o=h518589fd72:9;=:1818e693;2;63lb0802g=z{;>>?7>52z?`57<61>16oo<537`8yv43==0;6?u2c0195<1<5jh?6>8m;|q1003=838p1n?;:0;4?8ee;39=n6s|2575>5<5s4i:97?67:?`f0<4>k1v?::7;296~;d9?0:5:52cc5973dg41=90=01nl9:24a?xu5<<31<74?034ii47=9b:p613f2909w0m>9;3:3>;djh08:o5rs366f?6=:r7h=l4>969>gg?=;?h0q~<;5b83>7}:k8h1=494=b`a>60e3ty988j50;0x9f7d283<70mmd;15f>{t:=?n6=4={?27hnn4<6c9~w722n3:1>v3l1d82=2=:kko1?;l4}r0725<72;q6o98=:1818e593;2;63lc0802g=z{;>=?7>52z?`67<61>16on=537`8yv43>=0;6?u2c3195<1<5ji96>8m;|q1033=838p1n<;:0;4?8ed<39=n6s|2545>5<5s4i997?67:?`g3<4>k1v?:97;296~;d:?0:5:52cb7973dg71=90=01nm8:24a?xu54?034ih57=9b:p610f2909w0m=9;3:3>;dk108:o5rs365f?6=:r7h>l4>969>gfg=;?h0q~<;6b83>7}:k;h1=494=ba`>60e3ty98;j50;0x9f4d283<70mlb;15f>{t:=?27hoi4<6c9~w721n3:1>v3l2d82=2=:kjl1?;l4}r0735<72;q6o?h518589feb2:99=:1818e493;2;63ld3802g=z{;>52z?`77<61>16oi?537`8yv43?=0;6?u2c2195<1<5jn86>8m;|q1023=838p1n=;:0;4?8ec=39=n6s|2555>5<5s4i897?67:?``1<4>k1v?:87;296~;d;?0:5:52ce4973dg61=90=01nj7:24a?xu5<>31<74?034io;7=9b:p611f2909w0m<9;3:3>;dl008:o5rs364f?6=:r7h?l4>969>gad=;?h0q~<;7b83>7}:k:h1=494=bfb>60e3ty98:j50;0x9f5d283<70mkc;15f>{t:==n6=4={?27hhh4<6c9~w720n3:1>v3l3d82=2=:kmn1?;l4}r07<5<72;q6o>h518589fba2:;6<7>;927h8;4=ac9~w72?:3:1?v3l4181ed=:k=?18?:4=bg7>60e3ty985=50;0x9f272:{t:=2?6=4<{927h8;4>909>g11=:hh0q~<;8483>6}:k=;1>lo4=b65>14334in?7=9b:p61>12909w0m;1;15f>;dnk0:5:5rs36;3?6=;r7h8?4>909>g11=90;01n:7:3ca?xu5<121<7=t=b61>7gf34i?;7:=4:?`a7<4>k1v?:79;296~;d<;08:o52cga95<1g15=90;01n:7:0;2?8e3138jn6s|25:a>5<4s4i??73i7>53z?`01<5ih16o97543689fc72:?6>8m;?2wx>97?:1878e3=381>4m4=bdb>1713ty984?50;6x9f212;=n70m:6;0:`>;dm:095n52cg`9040g11=:>o01n;9:3;f?8eb:382o63lfb8753=z{;>2?7>54z?`0=<5?l16o88528d89fc62;3h70mid;622>{t:=3?6=4;{g`6=:0i01nhj:535?xu5<0?1<714334n9;7=9b:p61?1290?w0m;b;0:`>;d=;095i52c4196::6s|25;;>5<2s4i?i7<6c:?`bc<51j16h=7540489a762;3h70j>4;0:`>{t:=326=4<{l27o`40=:0i0q~<;9`83>7}:k<21>4k4=e3e>1713ty984l50;1x9f002;3o70mjb;622>;c9<095h5rs36:g?6=;r7h;;4=9e9>g2>=:0n01i;;:535?xu5<0n1<7=t=b5:>7?c34im>7:>6:?g5<<51l1v?:6e;2924}:k>h1?;l4=d:2>4>634o3>7?71:?f<6<60816i5:519389`>2282:70k76;3;5>;b0>0:4<52e9:95=7<5l226<6>;809>a=e=91;01h6k:0:2?8c?m3;3=63j8g82<4=:m0:1=5?4=d;2>4>634o2>7?71:?f=6<60816i4:519389`?2282:70k66;3;5>;b1>0:4<52e8:95=7<5l326<6>;809>am3;3=63j9g82<4=:mh:1=5?4=dc2>4>634oj>7?71:?fe6<60816il:519389`g2282:70kn6;3;5>;bi>0:4<52e`:95=7<5lk26<6>;809>ade=91;01hok:0:2?8cfm3;3=63jag82<4=:mk:1=5?4=d`2>4>634oi>7?71:?ff6<60816io:519389`d2282:70km6;3;5>;bj>0:4<52ec:95=7<5lh26<6>;809>age=91;01hlk:0:2?8cem3;3=63jbg82<4=:mj:1=5?4=da2>4>634oh>7?71:?fg6<60816in:519389`e2282:70kl6;3;5>;bk>0:4<52eb:95=7<5li26<6>;809>afe=91;01hmk:0:2?8cdm3;3=63jcg82<4=:mm:1=5?4=df2>4>634oo>7?71:?f`6<60816ii:519389`b2282:70kk6;3;5>;bl>0:4<52ee:95=7<5ln26<6>;809>aae=91;01hjk:0:2?8ccm3;3=63jdg82<4=:ml:1=5?4}r07=c<72?;p1n9l:24a?8`3;3;3=63i4582<4=:n=?1=5?4=g65>4>634l?;7?71:?e0=<60816j97519389c2f282:70h;b;3;5>;an6<6>;809>b07=91;01k;=:0:2?8`2;3;3=63i5582<4=:n4>634l>;7?71:?e1=<60816j87519389c3f282:70h:b;3;5>;a=j0:4<52f4f95=7<5o?n6<6>;809>b37=91;01k8=:0:2?8`1;3;3=63i6582<4=:n??1=5?4=g45>4>634l=;7?71:?e2=<60816j;7519389c0f282:70h9b;3;5>;a>j0:4<52f7f95=7<5o;809>b27=91;01k9=:0:2?8`0;3;3=63i7582<4=:n>?1=5?4=g55>4>634l<;7?71:?e3=<60816j:7519389c1f282:70h8b;3;5>;a?j0:4<52f6f95=7<5o=n6<6>;809>b=7=91;01k6=:0:2?8`?;3;3=63i8582<4=:n1?1=5?4=g:5>4>634l3;7?71:?e<=<60816j57519389c>f282:70h7b;3;5>;a0j0:4<52f9f95=7<5o2n6<6>;809>b<7=91;01k7=:0:2?8`>;3;3=63i9582<4=:n0?1=5?4=g;5>4>634l2;7?71:?e==<60816j47519389c?f282:70h6b;3;5>;a1j0:4<52f8f95=7<5o3n6<6>;809>bd7=91;01ko=:0:2?xu5{809>bd0=91;01ko8:0:2?8`f03;3=63ia882<4=:nhk1=5?4=gca>4>634ljo7?71:?eea<60816jlk519389cga282:70hm0;3;5>;aj80:4<52fc095=7<5oh86<6>;809>bg0=91;01kl8:0:2?8`e03;3=63ib882<4=:nkk1=5?4=g`a>4>634lio7?71:?efa<60816jok519389cda282:70hl0;3;5>;ak80:4<52fb095=7<5oi86<6>;809>bf0=91;01km8:0:2?8`d03;3=63ic882<4=:njk1=5?4=gaa>4>634lho7?71:?ega<60816jnk519389cea282:70hk0;3;5>;al80:4<52fe095=7<5on86<6>;809>ba0=91;01kj8:0:2?8`c03;3=63id882<4=:nmk1=5?4=gfa>4>634loo7?71:?e`a<60816jik519389cba282:70hj0;3;5>;am80:4<52fd095=7<5oo86<6>;809>b`0=91;01kk8:0:2?8`b03;3=63ie882<4=:nlk1=5?4=gga>4>634lno7?71:?eaa<60816jhk519389cca282:70hi0;3;5>;an80:4<52fg095=7<5ol86<6>;809>bc0=91;01kh8:0:2?8`a03;3=63if882<4=:nok1=5?4=gda>4>634lmo7?71:?eba<60816jkk519389c`a282:70??0182<4=:99::6<6>;<3347<60816==><:0:2?8778=0:4<5rs36b5?6=>8q6o:k537`89467=3;3=63>01495=7<58:;;7?71:?245>=91;01<>?9;3;5>;689k1=5?4=023f?7?927:<=m519389467l3;3=63>01g95=7<58:;j7?71:?2446=91;01<>>1;3;5>;68881=5?4=0227?7?927:<<:519389466=3;3=63>00495=7<58::;7?71:?244>=91;01<>>9;3;5>;688k1=5?4=022f?7?927:<00g95=7<58::j7?71:?2476=91;01<>=1;3;5>;68;81=5?4=0217?7?927:03495=7<58:9;7?71:?247>=91;01<>=9;3;5>;68;k1=5?4=021f?7?927:03g95=7<58:9j7?71:?2466=91;01<><1;3;5>;68:81=5?4=0207?7?927:<>:519389464=3;3=63>02495=7<58:8;7?71:?246>=91;01<><9;3;5>;68:k1=5?4=020f?7?927:<>m519389464l3;3=63>02g95=7<58:8j7?71:?2416=91;01<>;1;3;5>;68=81=5?4=0277?7?927:<9:519389463=3;3=63>05495=7<58:?;7?71:?241>=91;01<>;9;3;5>;68=k1=5?4=027f?7?927:<9m519389463l3;3=63>05g95=7<58:?j7?71:?2406=91;01<>:1;3;5>;68<81=5?4=0267?7?927:<8:519389462=3;3=63>04495=7<58:>;7?71:?240>=91;01<>:9;3;5>;6804g95=7<58:>j7?71:?2436=91;01<>91;3;5>;68?81=5?4=0257?7?927:<;:51938yv43i;0;6;?t=b5e>60e34o:h7?71:?f5`<60816i;b:;0:4<52e3195=7<5l8?6<6>;;4>809>a71=91;01h<7:0:2?8c513;3=63j2`82<4=:m;h1=5?4=d0`>4>634o9h7?71:?f6`<60816i?h519389`57282:70k<1;3;5>;b;;0:4<52e2195=7<5l9?6<6>;809>a61=91;01h=7:0:2?8c413;3=63j3`82<4=:m:h1=5?4=d1`>4>634o8h7?71:?f7`<60816i>h519389`27282:70k;1;3;5>;b<;0:4<52e5195=7<5l>?6<6>;809>a11=91;01h:7:0:2?8c313;3=63j4`82<4=:m=h1=5?4=d6`>4>634o?h7?71:?f0`<60816i9h519389`37282:70k:1;3;5>;b=;0:4<52e4195=7<5l??6<6>;809>a01=91;01h;7:0:2?8c213;3=63j5`82<4=:m4>634o>h7?71:?f1`<60816i8h519389`07282:70k91;3;5>;b>;0:4<52e7195=7<5l;809>a31=91;01h87:0:2?8c113;3=63j6`82<4=:m?h1=5?4=d4`>4>634o=h7?71:?f2`<60816i;h519389`17282:70k81;3;5>;b?;0:4<52e6195=7<5l=?6<6>;809>a21=91;01h97:0:2?8c013;3=63j7`82<4=:m>h1=5?4=d5`>4>63ty98l=50;0x9fce283<70j>5;15f>{t:=k?6=4={?27o=44<6c9~w72f=3:1>v3k0882=2=:l8;1?;l4}r07e3<72;q6h=o518589a712:9o7:1808b7j3>::63k1381=a=:l891>4k4}r07e<<72;q6h=m518589a742:9om:1818b7m3;2;63k16802g=z{;>jo7>52z?g4c<61>16h<6537`8yv43im0;6?u2d3f9040<5m8n6<78;|q10dc=838p1i5<5s4n8=7:>6:?g77<61>1v?:m0;296~;c;:0?=;52d2695<1`63=<8<01i=9:0;4?xu517134n847?67:p61d42909w0j<9;622>;c;h0:5:5rs36a0?6=:r7o?o4;179>`6e=90=0q~<;b483>7}:l:n18<84=e1f>4?03ty98o850;0x9a5a2=;=70j;0;3:3>{t:=h<6=4={27o8?4>969~w72e03:1>v3k428753=:l=>1=494}r07f<<72;q6h9;540489a21283<7p}=4cc94?4|5m><69?9;?2wx>9lm:1818b313>::63k4`82=2=z{;>io7>52z?g0g<39?16h9m51858yv43jm0;6?u2d5f9040<5m>n6<78;|q10gc=838p1i:i:535?8b283;2;6s|25`e>5<5s4n>=7:>6:?g17<61>1v?:l0;296~;c=:0?=;52d4695<1`03=<8<01i;9:0;4?xu517134n>47?67:p61e42909w0j:9;622>;c=h0:5:5rs36`0?6=:r7o9o4;179>`0e=90=0q~<;c483>7}:l4?03ty98n850;0x9a3a2=;=70j90;3:3>{t:=i<6=4={27o:?4>969~w72d03:1>v3k628753=:l?>1=494}r07g<<72;q6h;;540489a01283<7p}=4bc94?4|5m<<69?9;?2wx>9mm:1818b113>::63k6`82=2=z{;>ho7>52z?g2g<39?16h;m51858yv43km0;6?u2d7f9040<5m5<5s4n<=7:>6:?g37<61>1v?:k0;296~;c?:0?=;52d6695<1`23=<8<01i99:0;4?xu517134n<47?67:p61b42909w0j89;622>;c?h0:5:5rs36g0?6=:r7o;o4;179>`2e=90=0q~<;d483>7}:l>n18<84=e5f>4?03ty98i850;0x9a1a2=;=70j70;3:3>{t:=n<6=4={27o4?4>969~w72c03:1>v3k828753=:l1>1=494}r07`<<72;q6h5;540489a>1283<7p}=4ec94?4|5m2<69?9;?2wx>9jm:1818b?13>::63k8`82=2=z{;>oo7>52z?g83;2;6s|25fe>5<5s4n2=7:>6:?g=7<61>1v?:j0;296~;c1:0?=;52d8695<1`<3=<8<01i79:0;4?xu517134n247?67:p61c42909w0j69;622>;c1h0:5:5rs36f0?6=:r7o5o4;179>`7}:l0n18<84=e;f>4?03ty98h850;0x9a?a2=;=70jn0;3:3>{t:=o<6=4={27om?4>969~w72b03:1>v3ka28753=:lh>1=494}r07a<<72;q6hl;540489ag1283<7p}=4dc94?4|5mk<69?9;?2wx>9km:1818bf13>::63ka`82=2=z{;>no7>52z?geg<39?16hlm51858yv43mm0;6?u2d`f9040<5mkn6<78;|q10`c=838p1ioi:535?8be83;2;6s|25ge>5<5s4ni=7:>6:?gf7<61>1v?:i0;296~;cj:0?=;52dc695<1`g3=<8<01il9:0;4?xu517134ni47?67:p61`42909w0jm9;622>;cjh0:5:5rs36e0?6=:r7ono4;179>`ge=90=0q~<;f483>7}:lkn18<84=e`f>4?03ty98k850;0x9ada2=;=70jl0;3:3>{t:=l<6=4={27oo?4>969~w72a03:1>v3kc28753=:lj>1=494}r07b<<72;q6hn;540489ae1283<7p}=4gc94?4|5mi<69?9;?2wx>9hm:1818bd13>::63kc`82=2=z{;>mo7>52z?ggg<39?16hnm51858yv43nm0;6?u2dbf9040<5min6<78;|q10cc=838p1imi:535?8bc83;2;6s|25de>5<5s4no=7:>6:?g`7<61>1v?;?0;296~;cl:0?=;52de695<1<<4?:3y>`a3=<8<01ij9:0;4?xu5=981<717134no47?67:p60642909w0jk9;622>;clh0:5:5rs3730?6=:r7oho4;179>`ae=90=0q~<:0483>7}:lmn18<84=eff>4?03ty99=850;0x9aba2=;=70jj0;3:3>{t:<:<6=4={27oi?4>969~w73703:1>v3ke28753=:ll>1=494}r064<<72;q6hh;540489ac1283<7p}=51c94?4|5mo<69?9;?2wx>8>m:1818bb13>::63ke`82=2=z{;?;o7>52z?gag<39?16hhm51858yv428m0;6?u2ddf9040<5mon6<78;|q115c=838p1iki:535?8ba83;2;6s|242e>5<5s4nm=7:>6:?gb7<61>1v?;>0;296~;cn:0?=;52dg695<1=<4?:3y>`c3=<8<01ih9:0;4?xu5=881<717134nm47?67:p60742909w0ji9;622>;cnh0:5:5rs3720?6=:r7ojo4;179>`ce=90=0q~<:1483>7}:lon18<84=edf>4?03ty99<850;0x9a`a2=;=70k?0;3:3>{t:<;<6=4={27n969~w73603:1>v3j028753=:m9>1=494}r065<<72;q6i=;540489`61283<7p}=50c94?4|5l:<69?9;?2wx>8?m:1818c713>::63j0`82=2=z{;?:o7>52z?f4g<39?16i=m51858yv429m0;6?u2e1f9040<5l:n6<78;|q114c=838p1h>i:535?8c683;2;6s|243e>5<5s4o:=7:>6:?f57<61>1v?;=0;296~;b9:0?=;52e0695<1><4?:3y>a43=<8<01h?9:0;4?xu5=;81<717134o:47?67:p60442909w0k>9;622>;b9h0:5:5rs3710?6=:r7n=o4;179>a4e=90=0q~<:2483>7}:m8n18<84=d3f>4?03ty99?850;0x9`7b2=;=70kj5;3:3>{t:<8<6=4={27n>=4>969~w73503:1>v3j218753=:ml;1=494}r066<<72;q6i??540489`45283<7p}=53c94?4|5l8969?9;?2wx>8::63j2582=2=z{;?9o7>52z?f61<39?16ihj51858yv42:m0;6?u2e379040<5l8=6<78;|q117c=838p1h<9:535?8cb?3;2;6s|240e>5<5s4o9;7:>6:?f6=<61>1v?;<0;296~;b:10?=;52ed`95<1?<4?:3y>a7?=<8<01h17134om?7?67:p60542909w0k=b;622>;b:j0:5:5rs3700?6=:r7n>n4;179>a`?=90=0q~<:3483>7}:m;n18<84=d0f>4?03ty99>850;0x9`4b2=;=70ki1;3:3>{t:<9<6=4={27n?=4>969~w73403:1>v3j318753=:mll1=494}r067<<72;q6i>?540489`55283<7p}=52c94?4|5l9969?9;?2wx>8=m:1818c4;3>::63j3582=2=z{;?8o7>52z?f71<39?16ik751858yv42;m0;6?u2e279040<5l9=6<78;|q116c=838p1h=9:535?8ca=3;2;6s|241e>5<5s4o8;7:>6:?f7=<61>1v?;;0;296~;b;10?=;52egd95<18<4?:3y>a6?=<8<01h=n:0;4?xu5==81<717134omn7?67:p60242909w0k;b;j0:5:5rs3770?6=:r7n?n4;179>acb=90=0q~<:4483>7}:m:n18<84=d1f>4?03ty999850;0x9`5b2=;=70h?7;3:3>{t:<><6=4={27n8=4>969~w73303:1>v3j418753=:n9;1=494}r060<<72;q6i9?540489`25283<7p}=55c94?4|5l>969?9;?2wx>8:m:1818c3;3>::63j4582=2=z{;??o7>52z?f01<39?16j=j51858yv42=6<78;|q111c=838p1h:9:535?8`7;3;2;6s|246e>5<5s4o?;7:>6:?f0=<61>1v?;:0;296~;b<10?=;52f1`95<19<4?:3y>a1?=<8<01h:n:0;4?xu5=<81<717134l;57?67:p60342909w0k;b;622>;bb47=90=0q~<:5483>7}:m=n18<84=d6f>4?03ty998850;0x9`2b2=;=70h>3;3:3>{t:27n9=4>969~w73203:1>v3j518753=:n9l1=494}r061<<72;q6i8?540489`35283<7p}=54c94?4|5l?969?9;?2wx>8;m:1818c2;3>::63j5582=2=z{;?>o7>52z?f11<39?16j<;51858yv42=m0;6?u2e479040<5l?=6<78;|q110c=838p1h;9:535?8`6?3;2;6s|247e>5<5s4o>;7:>6:?f1=<61>1v?;90;296~;b=10?=;52f3395<1:<4?:3y>a0?=<8<01h;n:0;4?xu5=?81<717134l:n7?67:p60042909w0k:b;622>;b=j0:5:5rs3750?6=:r7n9n4;179>b4`=90=0q~<:6483>7}:m4?03ty99;850;0x9`3b2=;=70h=7;3:3>{t:<<<6=4={27n:=4>969~w73103:1>v3j618753=:n8n1=494}r062<<72;q6i;?540489`05283<7p}=57c94?4|5l<969?9;?2wx>88m:1818c1;3>::63j6582=2=z{;?=o7>52z?f21<39?16j?=51858yv42>m0;6?u2e779040<5l<=6<78;|q113c=838p1h89:535?8`5j3;2;6s|244e>5<5s4o=;7:>6:?f2=<61>1v?;80;296~;b>10?=;52f3f95<1;<4?:3y>a3?=<8<01h8n:0;4?xu5=>81<717134l957?67:p60142909w0k9b;622>;b>j0:5:5rs3740?6=:r7n:n4;179>b7`=90=0q~<:7483>7}:m?n18<84=d4f>4?03ty99:850;0x9`0b2=;=70h<1;3:3>{t:<=<6=4={27n;=4>969~w73003:1>v3j718753=:n:91=494}r063<<72;q6i:?540489`15283<7p}=56c94?4|5l=969?9;?2wx>89m:1818c0;3>::63j7582=2=z{;?52z?f31<39?16j>951858yv42?m0;6?u2e679040<5l==6<78;|q112c=838p1h99:535?8`413;2;6s|245e>5<5s4o<;7:>6:?f3=<61>1v?;70;296~;b?10?=;52f2`95<14<4?:3y>a2?=<8<01h9n:0;4?xu5=181<717134l8h7?67:p60>42909w0k8b;622>;b?j0:5:5rs37;0?6=:r7n;n4;179>b6`=90=0q~<:8483>7}:m>n18<84=d5f>4?03ty995850;0x9`1a2=;=70k70;3:3>{t:<2<6=4={27n4?4>969~w73?03:1>v3j828753=:m1>1=494}r06<<<72;q6i5;540489`>1283<7p}=59c94?4|5l2<69?9;?2wx>86m:1818c?13>::63j8`82=2=z{;?3o7>52z?f83;2;6s|24:e>5<5s4o2=7:>6:?f=7<61>1v?;60;296~;b1:0?=;52e8695<15<4?:3y>a<3=<8<01h79:0;4?xu5=081<717134o247?67:p60?42909w0k69;622>;b1h0:5:5rs37:0?6=:r7n5o4;179>a7}:m0n18<84=d;f>4?03ty994850;0x9`?a2=;=70kn0;3:3>{t:<3<6=4={27nm?4>969~w73>03:1>v3ja28753=:mh>1=494}r06=<<72;q6il;540489`g1283<7p}=58c94?4|5lk<69?9;?2wx>87m:1818cf13>::63ja`82=2=z{;?2o7>52z?feg<39?16ilm51858yv421m0;6?u2e`f9040<5lkn6<78;|q115<5s4oi=7:>6:?ff7<61>1v?;n0;296~;bj:0?=;52ec695<1m<4?:3y>ag3=<8<01hl9:0;4?xu5=h81<717134oi47?67:p60g42909w0km9;622>;bjh0:5:5rs37b0?6=:r7nno4;179>age=90=0q~<:a483>7}:mkn18<84=d`f>4?03ty99l850;0x9`da2=;=70kl0;3:3>{t:27no?4>969~w73f03:1>v3jc28753=:mj>1=494}r06e<<72;q6in;540489`e1283<7p}=5`c94?4|5li<69?9;?2wx>8om:1818cd13>::63jc`82=2=z{;?jo7>52z?fgg<39?16inm51858yv42im0;6?u2ebf9040<5lin6<78;|q11dc=838p1hmi:535?8cc83;2;6s|24ce>5<5s4oo=7:>6:?f`7<61>1v?;m0;296~;bl:0?=;52ee695<1n<4?:3y>aa3=<8<01hj9:0;4?xu5=k81<717134oo47?67:p60d42909w0kk9;622>;blh0:5:5rs37a0?6=:r7nho4;179>aae=90=0q~<:b483>7}:mmn18<84=dff>4?03ty99o850;0x9`ba2=;=70kj0;3:3>{t:27ni?4>969~w73e03:1>v3je28753=:ml>1=494}r06f<<72;q6ih;540489`c1283<7p}=5cc94?4|5lo<69?9;?2wx>8lm:1818cb13>::63je`82=2=z{;?io7>52z?fag<39?16ihm51858yv42jm0;6?u2edf9040<5lon6<78;|q11gc=838p1hki:535?8ca83;2;6s|24`e>5<5s4om=7:>6:?fb7<61>1v?;l0;296~;bn:0?=;52eg695<1o<4?:3y>ac3=<8<01hh9:0;4?xu5=j81<717134om47?67:p60e42909w0ki9;622>;bnh0:5:5rs37`0?6=:r7njo4;179>ace=90=0q~<:c483>7}:mon18<84=ddf>4?03ty99n850;0x9``a2=;=70h?0;3:3>{t:27m969~w73d03:1>v3i028753=:n9>1=494}r06g<<72;q6j=;540489c61283<7p}=5bc94?4|5o:<69?9;?2wx>8mm:1818`713>::63i0`82=2=z{;?ho7>52z?e4g<39?16j=m51858yv42km0;6?u2f1f9040<5o:n6<78;|q11fc=838p1k>i:535?8`683;2;6s|24ae>5<5s4l:=7:>6:?e57<61>1v?;k0;296~;a9:0?=;52f0695<1h<4?:3y>b43=<8<01k?9:0;4?xu5=m81<717134l:47?67:p60b42909w0h>9;622>;a9h0:5:5rs37g0?6=:r7m=o4;179>b4e=90=0q~<:d483>7}:n8n18<84=g3f>4?03ty99i850;0x9c7a2=;=70h=0;3:3>{t:27m>?4>969~w73c03:1>v3i228753=:n;>1=494}r06`<<72;q6j?;540489c41283<7p}=5ec94?4|5o8<69?9;?2wx>8jm:1818`513>::63i2`82=2=z{;?oo7>52z?e6g<39?16j?m51858yv42lm0;6?u2f3f9040<5o8n6<78;|q11ac=838p1k5<5s4l8=7:>6:?e77<61>1v?;j0;296~;a;:0?=;52f2695<1i<4?:3y>b63=<8<01k=9:0;4?xu5=l81<717134l847?67:p60c42909w0h<9;622>;a;h0:5:5rs37f0?6=:r7m?o4;179>b6e=90=0q~<:e483>7}:n:n18<84=g1f>4?03ty99h850;0x9c5a2=;=70h;0;3:3>{t:27m8?4>969~w73b03:1>v3i428753=:n=>1=494}r06a<<72;q6j9;540489c21283<7p}=5dc94?4|5o><69?9;?2wx>8km:1818`313>::63i4`82=2=z{;?no7>52z?e0g<39?16j9m51858yv42mm0;6?u2f5f9040<5o>n6<78;|q11`c=838p1k:i:535?8`283;2;6s|24ge>5<5s4l>=7:>6:?e17<61>1v?;i0;296~;a=:0?=;52f4695<1j<4?:3y>b03=<8<01k;9:0;4?xu5=o81<717134l>47?67:p60`42909w0h:9;622>;a=h0:5:5rs37e0?6=:r7m9o4;179>b0e=90=0q~<:f483>7}:n4?03ty99k850;0x9c3a2=;=70h90;3:3>{t:27m:?4>969~w73a03:1>v3i628753=:n?>1=494}r06b<<72;q6j;;540489c01283<7p}=5gc94?4|5o<<69?9;?2wx>8hm:1818`113>::63i6`82=2=z{;?mo7>52z?e2g<39?16j;m51858yv42nm0;6?u2f7f9040<5o5<5s4l<=7:>6:?e37<61>1v?8?0;296~;a?:0?=;52f6695<1b23=<8<01k99:0;4?xu5>981<717134l<47?67:p63642909w0h89;622>;a?h0:5:5rs3430?6=:r7m;o4;179>b2e=90=0q~<90483>7}:n>n18<84=g5f>4?03ty9:=850;0x9c1a2=;=70h70;3:3>{t:?:<6=4={27m4?4>969~w70703:1>v3i828753=:n1>1=494}r054<<72;q6j5;540489c>1283<7p}=61c94?4|5o2<69?9;?2wx>;>m:1818`?13>::63i8`82=2=z{;<;o7>52z?e83;2;6s|272e>5<5s4l2=7:>6:?e=7<61>1v?8>0;296~;a1:0?=;52f8695<1b<3=<8<01k79:0;4?xu5>881<717134l247?67:p63742909w0h69;622>;a1h0:5:5rs3420?6=:r7m5o4;179>b7}:n0n18<84=g;f>4?03ty9:<850;0x9c?a2=;=70hn0;3:3>{t:?;<6=4={27mm?4>969~w70603:1>v3ia28753=:nh>1=494}r055<<72;q6jl;540489cg1283<7p}=60c94?4|5ok<69?9;?2wx>;?m:1818`f13>::63ia`82=2=z{;<:o7>52z?eeg<39?16jlm51858yv419m0;6?u2f`f9040<5okn6<78;|q124c=838p1koi:535?8`e83;2;6s|273e>5<5s4li=7:>6:?ef7<61>1v?8=0;296~;aj:0?=;52fc695<1<4?:3y>bg3=<8<01kl9:0;4?xu5>;81<717134li47?67:p63442909w0hm9;622>;ajh0:5:5rs3410?6=:r7mno4;179>bge=90=0q~<92483>7}:nkn18<84=g`f>4?03ty9:?850;0x9cda2=;=70hl0;3:3>{t:?8<6=4={27mo?4>969~w70503:1>v3ic28753=:nj>1=494}r056<<72;q6jn;540489ce1283<7p}=63c94?4|5oi<69?9;?2wx>;::63ic`82=2=z{;<9o7>52z?egg<39?16jnm51858yv41:m0;6?u2fbf9040<5oin6<78;|q127c=838p1kmi:535?8`c83;2;6s|270e>5<5s4lo=7:>6:?e`7<61>1v?8<0;296~;al:0?=;52fe695<1ba3=<8<01kj9:0;4?xu5>:81<717134lo47?67:p63542909w0hk9;622>;alh0:5:5rs3400?6=:r7mho4;179>bae=90=0q~<93483>7}:nmn18<84=gff>4?03ty9:>850;0x9cba2=;=70hj0;3:3>{t:?9<6=4={27mi?4>969~w70403:1>v3ie28753=:nl>1=494}r057<<72;q6jh;540489cc1283<7p}=62c94?4|5oo<69?9;?2wx>;=m:1818`b13>::63ie`82=2=z{;<8o7>52z?eag<39?16jhm51858yv41;m0;6?u2fdf9040<5oon6<78;|q126c=838p1kki:535?8`a83;2;6s|271e>5<5s4lm=7:>6:?eb7<61>1v?8;0;296~;an:0?=;52fg695<1bc3=<8<01kh9:0;4?xu5>=81<717134lm47?67:p63242909w0hi9;622>;anh0:5:5rs3470?6=:r7mjo4;179>bce=90=0q~<94483>7}:non18<84=gdf>4?03ty9:9850;0x9c`a2=;=70??0182=2=z{;52z?2457=<8<01<>?2;3:3>{t:?>36=4={<3346<39?16==>;:0;4?xu5>=31<727:<=851858yv4117134;;<54>969~w703j3:1>v3>01;9040<58:;m7?67:p632d2909w0??0c8753=:99:h6<78;|q121b=838p1<>?d;622>;689o1=494}r050`<72;q6==>i:535?877990:5:5rs347b?6=:r7:<5<5s4;;=>4;179>5573283<7p}=64394?4|58::97:>6:?2440=90=0q~<95383>7}:99;<69?9;<335=<61>1v?8:3;296~;688318<84=022e?7>?2wx>;;;:1818779k0?=;52113`>4?03ty9:8;50;0x9466l3>::63>00g95<1557a2=;=70??2182=2=z{;<>;7>52z?2477=<8<01<>=2;3:3>{t:??36=4={<3366<39?16==<;:0;4?xu5><31<727:17134;;>54>969~w702j3:1>v3>03;9040<58:9m7?67:p633d2909w0??2c8753=:998h6<78;|q120b=838p1<>=d;622>;68;o1=494}r051`<72;q6==?540489464:3;2;6s|2743>5<5s4;;?>4;179>5553283<7p}=67394?4|58:897:>6:?2460=90=0q~<96383>7}:999<69?9;<337=<61>1v?893;296~;68:318<84=020e?7>?2wx>;8;:181877;k0?=;52111`>4?03ty9:;;50;0x9464l3>::63>02g95<1555a2=;=70??4182=2=z{;<=;7>52z?2417=<8<01<>;2;3:3>{t:?<36=4={<3306<39?16==:;:0;4?xu5>?31<727:<9851858yv41>h0;6?u21164>17134;;854>969~w701j3:1>v3>05;9040<58:?m7?67:p630d2909w0??4c8753=:99>h6<78;|q123b=838p1<>;d;622>;68=o1=494}r052`<72;q6==:i:535?877=90:5:5rs345b?6=:r7:<8?540489462:3;2;6s|2753>5<5s4;;9>4;179>5533283<7p}=66394?4|58:>97:>6:?2400=90=0q~<97383>7}:99?<69?9;<331=<61>1v?883;296~;68<318<84=026e?7>?2wx>;9;:181877=k0?=;52117`>4?03ty9::;50;0x9462l3>::63>04g95<1553a2=;=70??6182=2=z{;<<;7>52z?2437=<8<01<>92;3:3>{t:?=36=4={<3326<39?16==8;:0;4?x{t9hoj6=4={_3bad=:<<>1=lkn;%604?4b82wx==8n:181[77>h1688:5114b?!24839;=6s|1146>5<5sW;;:852446955023->8<7=?3:p5dc22909wS?ne49>002=9ho>7):<0;130>{t99396=4={_33=7=:<<>1==7=;%604?53j2wx==7<:181[771:1688:511;0?!24839=86s|11:5>5<5sW;;;952446955133->8<7=95:p55>32909wS??729>002=99=87):<0;0a`>{t99286=4={_3337=:<<>1==9=;%604?4em2wx==6=:181[77?81688:51152?!24838ij6s|11:2>5<5sW;;;=52446955173->8<772909wS??6g9>002=99{t99=m6=4={_332`=:<<>1==8j;%604?4d:2wx==9j:181[77>m1688:5114g?!24838h?6s|11:e>5<5sW;;;n524469551d3->8<7b2909wS??7c9>002=99=i7):<0;0`e>{t992o6=4={_333d=:<<>1==9n;%604?4c=2wx==6l:181[77?01688:5115:?!24838n=6s|11:a>5<5sW;;;5524469551?3->8<7f2909wS??769>002=99=<7):<0;0e3>{t99226=4={_3333=:<<>1==99;%604?4aj2wx==67:181[77?<1688:51156?!24838mo6s|11:4>5<5sW;;:n524469550d3->8<7002=99{t9ho26=4={_3ba<=:<<>1=lk6;%604?4an2wx=lk7:181[7fm11688:51`g;?!24839;<6s|11;7>5<5sW;;5952446955?33->8<7=?2:p54>22909wS??bd9>002=99hn7):<0;0`1>{t98286=4={_33fa=:<<>1==lk;%604?4d>2wx=<6=:181[77jj1688:511``?!24838h;6s|10:2>5<5sW;;no52446955de3->8<7002=99h27):<0;0`=>{t98=n6=4={_33f==:<<>1==l7;%604?4dj2wx=<9k:181[77j>1688:511`4?!24838ho6s|105`>5<5sW;;n;52446955d13->8<7002=99h>7):<0;0`a>{t98=j6=4={_33f1=:<<>1==l;;%604?4dn2wx=<96:181[77j:1688:511`0?!24838o<6s|105;>5<5sW;;n?52446955d53->8<7002=99h:7):<0;0g6>{t98==6=4={_33f5=:<<>1==l?;%604?4c;2wx=<6k:181[77k<1688:511a6?!24838o86s|10:`>5<5sW;;o952446955e33->8<7e2909wS??c29>002=99i87):<0;0g3>{t982j6=4={_33g7=:<<>1==m=;%604?4c02wx=<66:181[77k81688:511a2?!24838o56s|10:;>5<5sW;;o=52446955e73->8<702909wS??bg9>002=99hm7):<0;0gf>{t982=6=4={_33fd=:<<>1==ln;%604?4ck2wx=<6?:181[77io1688:511ce?!24838oh6s|1056>5<5sW;;mh52446955gb3->8<79d9>002=983n7):<0;0gb>{t98h?6=4={_32=a=:<<>1=<7k;%604?4b:2wx=5<5sW;:5o52446954?e3->8<7989>002=98327):<0;0f1>{t98km6=4={_32===:<<>1=<77;%604?4b>2wx=1688:510;4?!24838n;6s|10cg>5<5sW;:5;52446954?13->8<7949>002=983>7):<0;0f=>{t98ki6=4={_32=1=:<<>1=<7;;%604?4bi2wx=5<5sW;:5?52446954?53->8<7909>002=983:7):<0;0fa>{t98k<6=4={_32=5=:<<>1=<7?;%604?4bn2wx=5<5sW;:m952446954g33->8<7a29>002=98k87):<0;0e6>{t98hi6=4={_32e7=:<<>1=5<5sW;:m=52446954g73->8<79g9>002=983m7):<0;0e2>{t98h<6=4={_32=d=:<<>1=<7n;%604?4a02wx=:181[760o1688:510:e?!24838m56s|10c5>5<5sW;:4h52446954>b3->8<7002=9;i<7):<0;131>{t9=>?6=4={_31g3=:<<>1=?m9;%604?57>2wx=9:<:181[75k<1688:513a6?!24839;;6s|1561>5<5sW;9o952446957e33->8<7=?8:p51262909wS?=c29>002=9;i87):<0;13=>{t9=>;6=4={_31g7=:<<>1=?m=;%604?57i2wx=9=i:181[75k81688:513a2?!24839;n6s|151g>5<5sW;9nk52446957da3->8<7=?c:p515d2909wS?=bd9>002=9;hn7):<0;13`>{t9=9i6=4={_31fa=:<<>1=?lk;%604?57m2wx=9=n:181[75jj1688:513``?!24839;j6s|151:>5<5sW;9no52446957de3->8<7=>0:p515?2909wS?=b`9>002=9;hj7):<0;125>{t9=9<6=4={_31f<=:<<>1=?l6;%604?56:2wx=9=9:181[75j11688:513`;?!24839:?6s|1516>5<5sW;9n:52446957d03->8<7=>4:p51532909wS?=b79>002=9;h=7):<0;121>{t9=996=4={_31f1=:<<>1=?l;;%604?56>2wx=9=>:181[75j:1688:513`0?!24839:;6s|1513>5<5sW;9n?52446957d53->8<7=>8:p514a2909wS?=b09>002=9;h:7):<0;12=>{t9=8n6=4={_31f5=:<<>1=?l?;%604?56i2wx=95<5sW;9mh52446957gb3->8<7=>c:p514e2909wS?=ae9>002=9;ko7):<0;12`>{t9=8j6=4={_31ef=:<<>1=?ol;%604?56m2wx=9<6:181[75ik1688:513ca?!24839:j6s|1504>5<5sW;9m452446957g>3->8<7==0:p51412909wS?=a99>002=9;k37):<0;115>{t9=8>6=4={_31e2=:<<>1=?o8;%604?55:2wx=9<;:181[75i?1688:513c5?!248399?6s|1500>5<5sW;9m852446957g23->8<7==4:p51452909wS?=a59>002=9;k?7):<0;111>{t9=8:6=4={_31e6=:<<>1=?o<;%604?55>2wx=95<5sW;9m<52446957g63->8<7==8:p517b2909wS?=a19>002=9;k;7):<0;11=>{t9=>h6=4={_31gf=:<<>1=?ml;%604?55i2wx=9:m:181[75kk1688:513aa?!248399n6s|156b>5<5sW;9ol52446957ef3->8<7==c:p512>2909wS?=c89>002=9;i27):<0;11`>{t9=>36=4={_31g==:<<>1=?m7;%604?55m2wx=9:8:181[75k91688:513a3?!248399j6s|151f>5<5sW;9n852446957d23->8<7=<0:p51542909wS?=a`9>002=9;kj7):<0;105>{t9=836=4={_31=c=:<<>1=?7i;%604?54:2wx=9?k:181[751l1688:513;f?!248398?6s|15c6>5<5sW;?;;52446951113->8<7=<4:p51g42909wS?;749>002=9==>7):<0;101>{t9=k96=4={_3731=:<<>1=99;;%604?54>2wx=9o>:181[73?:1688:51550?!248398;6s|15c3>5<5sW;?;?52446951153->8<7=<8:p51?a2909wS?;709>002=9==:7):<0;10=>{t9=3n6=4={_3735=:<<>1=99?;%604?54i2wx=97l:181[73>l1688:5154f?!248398n6s|15;a>5<5sW;?:i524469510c3->8<7=002=9={t9=326=4={_372g=:<<>1=98m;%604?54m2wx=977:181[73>h1688:5154b?!248398j6s|15;4>5<5sW;?:4524469510>3->8<7=;0:p51?12909wS?;699>002=9=<37):<0;175>{t9=3>6=4={_3722=:<<>1=988;%604?53:2wx=97;:181[73>?1688:51545?!24839??6s|15;0>5<5sW;?:852446951023->8<7=;4:p51?62909wS?;629>002=9=<87):<0;171>{t9=3;6=4={_3727=:<<>1=98=;%604?53>2wx=96i:181[73>81688:51542?!24839?;6s|15:f>5<5sW;?:=52446951073->8<7=;8:p51>c2909wS?;5g9>002=9=?m7):<0;17=>{t9=2h6=4={_371`=:<<>1=9;j;%604?53i2wx=96m:181[73=m1688:5157g?!24839?o6s|15:b>5<5sW;?9n524469513d3->8<7=;d:p51>>2909wS?;5c9>002=9=?i7):<0;17a>{t9=236=4={_371d=:<<>1=9;n;%604?53n2wx=969:181[73=11688:5157;?!24839><6s|15:6>5<5sW;?9:52446951303->8<7=:1:p51>32909wS?;579>002=9=?=7):<0;166>{t9=286=4={_3710=:<<>1=9;:;%604?52;2wx=96=:181[73==1688:51577?!24839>86s|15:2>5<5sW;?9>52446951343->8<7=:5:p51>72909wS?;539>002=9=?97):<0;162>{t9==m6=4={_3714=:<<>1=9;>;%604?52?2wx=99j:181[73=91688:51573?!24839>46s|155g>5<5sW;?8k524469512a3->8<7=:9:p51ge2909wS?;7c9>002=9==i7):<0;16e>{t9=kj6=4={_373d=:<<>1=99n;%604?52j2wx=9o6:181[73?01688:5155:?!24839>o6s|15c;>5<5sW;?;5524469511?3->8<7=:d:p51g02909wS?;769>002=9==<7):<0;16a>{t9=k=6=4={_372c=:<<>1=98i;%604?52n2wx=97k:181[73>=1688:51547?!24839=<6s|15;1>5<5sW;?94524469513>3->8<7=91:p51>02909wS?;4d9>002=9=>n7):<0;156>{t9==h6=4={_370a=:<<>1=9:k;%604?51;2wvb4;74|@=9:7p`655394?5|@=9:7p`655094?4|@=9:7p`655194?4|@=9:7p`655694?4|@=9:7p`655794?4|@=9:7p`655494?4|@=9:7p`655594?4|@=9:7p`655:94?4|@=9:7p`655;94?4|@=9:7p`655c94?4|@=9:7p`655`94?4|@=9:7p`655a94?4|@=9:7p`655f94?4|@=9:7p`655g94?4|@=9:7p`655d94?4|@=9:7p`654294?4|@=9:7p`654394?4|@=9:7p`654094?4|@=9:7p`654194?4|@=9:7p`654694?4|@=9:7p`654794?4|@=9:7p`654494?4|@=9:7p`654594?4|@=9:7p`654:94?4|@=9:7p`654;94?5|@=9:7p`654c94?5|@=9:7p`654`94?5|@=9:7p`654a94?5|@=9:7p`654f94?5|@=9:7p`654g94?5|@=9:7p`654d94?5|@=9:7p`657294?5|@=9:7p`657394?5|@=9:7p`657094?5|@=9:7p`657194?5|@=9:7p`657694?5|@=9:7p`657794?5|@=9:7p`657494?5|@=9:7p`657594?5|@=9:7p`657:94?5|@=9:7p`657;94?5|@=9:7p`657c94?5|@=9:7p`657`94?5|@=9:7p`657a94?5|@=9:7p`657f94?5|@=9:7p`657g94?5|@=9:7p`657d94?5|@=9:7p`656294?5|@=9:7p`656394?5|@=9:7p`656094?5|@=9:7p`656194?5|@=9:7p`656694?5|@=9:7p`656794?5|@=9:7p`656494?5|@=9:7p`656594?5|@=9:7p`656:94?5|@=9:7p`656;94?5|@=9:7p`656c94?5|@=9:7p`656`94?5|@=9:7p`656a94?5|@=9:7p`656f94?5|@=9:7p`656g94?5|@=9:7p`656d94?5|@=9:7p`659294?5|@=9:7p`659394?5|@=9:7p`659094?5|@=9:7p`659194?5|@=9:7p`659694?5|@=9:7p`659794?5|@=9:7p`659494?5|@=9:7p`659594?5|@=9:7p`659:94?5|@=9:7p`659;94?4|@=9:7p`659c94?4|@=9:7p`659`94?4|@=9:7p`659a94?4|@=9:7p`659f94?4|@=9:7p`659g94?4|@=9:7p`659d94?4|@=9:7p`658294?4|@=9:7p`658394?4|@=9:7p`658094?4|@=9:7p`658194?4|@=9:7p`658694?4|@=9:7p`658794?4|@=9:7p`658494?4|@=9:7p`658594?4|@=9:7p`658:94?4|@=9:7p`658;94?4|@=9:7p`658c94?4|@=9:7p`658`94?4|@=9:7p`658a94?4|@=9:7p`658f94?4|@=9:7p`658g94?4|@=9:7p`658d94?4|@=9:7p`65`294?4|@=9:7p`65`394?4|@=9:7p`65`094?4|@=9:7p`65`194?4|@=9:7p`65`694?4|@=9:7p`65`794?4|@=9:7p`65`494?4|@=9:7p`65`594?4|@=9:7p`65`:94?4|@=9:7p`65`;94?4|@=9:7p`65`c94?4|@=9:7p`65``94?4|@=9:7p`65`a94?4|@=9:7p`65`f94?4|@=9:7p`65`g94?4|@=9:7p`65`d94?4|@=9:7p`65c294?4|@=9:7p`65c394?4|@=9:7p`65c094?4|@=9:7p`65c194?4|@=9:7p`65c694?4|@=9:7p`65c794?4|@=9:7p`65c494?4|@=9:7p`65c594?4|@=9:7p`65c:94?4|@=9:7p`65c;94?4|@=9:7p`65cc94?4|@=9:7p`65c`94?4|@=9:7p`65ca94?4|@=9:7p`65cf94?4|@=9:7p`65cg94?4|@=9:7p`65cd94?4|@=9:7p`65b294?4|@=9:7p`65b394?4|@=9:7p`65b094?4|@=9:7p`65b194?4|@=9:7p`65b694?4|@=9:7p`65b794?4|@=9:7p`65b494?4|@=9:7p`65b594?4|@=9:7p`65b:94?4|@=9:7p`65b;94?4|@=9:7p`65bc94?4|@=9:7p`65b`94?4|@=9:7p`65ba94?4|@=9:7p`65bf94?4|@=9:7p`65bg94?4|@=9:7p`65bd94?4|@=9:7p`65e294?4|@=9:7p`65e394?4|@=9:7p`65e094?4|@=9:7p`65e194?4|@=9:7p`65e694?4|@=9:7p`65e794?4|@=9:7p`65e494?4|@=9:7p`65e594?4|@=9:7p`65e:94?4|@=9:7p`65e;94?4|@=9:7p`65ec94?4|@=9:7p`65e`94?4|@=9:7p`65ea94?4|@=9:7p`65ef94?4|@=9:7p`65eg94?4|@=9:7p`65ed94?4|@=9:7p`65d294?4|@=9:7p`65d394?4|@=9:7p`65d094?4|@=9:7p`65d194?4|@=9:7p`65d694?4|@=9:7p`65d794?4|@=9:7p`65d494?4|@=9:7p`79g:94?7|@=9:7p`79g;94?7|@=9:7p`7a4`94?7|@=9:7p`7a4a94?7|@=9:7p`7a4f94?7|@=9:7p`7a4g94?7|@=9:7p`7a4d94?7|@=9:7p`7a7294?7|@=9:7p`7a7394?7|@=9:7p`7a7094?7|@=9:7p`7a7194?7|@=9:7p`7a7694?7|@=9:7p`7a7794?7|@=9:7p`7a7494?7|@=9:7p`7a7594?7|@=9:7p`7a7:94?7|@=9:7p`7a7;94?7|@=9:7p`7a7c94?7|@=9:7p`7a7`94?7|@=9:7p`7a7a94?7|@=9:7p`7a7f94?7|@=9:7p`7a7g94?7|@=9:7p`7a7d94?7|@=9:7p`7a6294?7|@=9:7p`7a6394?7|@=9:7p`7a6094?7|@=9:7p`7a6194?7|@=9:7p`7a6694?7|@=9:7p`7a6794?7|@=9:7p`7a6494?7|@=9:7p`7a6594?7|@=9:7p`7a6:94?7|@=9:7p`7a6;94?7|@=9:7p`7a6c94?7|@=9:7p`7a6`94?7|@=9:7p`7a6a94?7|@=9:7p`7a6f94?7|@=9:7p`7a6g94?7|@=9:7p`7a6d94?7|@=9:7p`7a9294?7|@=9:7p`7a9394?7|@=9:7p`7a9094?7|@=9:7p`7a9194?7|@=9:7p`7a9694?7|@=9:7p`7a9794?7|@=9:7p`7a9494?7|@=9:7p`7a9594?7|@=9:7p`7a9:94?7|@=9:7p`7a9;94?7|@=9:7p`7a9c94?7|@=9:7p`7a9`94?7|@=9:7p`7a9a94?7|@=9:7p`7a9f94?7|@=9:7p`7a9g94?7|@=9:7p`7a9d94?7|@=9:7p`7a8294?7|@=9:7p`7a8394?7|@=9:7p`7a8094?7|@=9:7p`7a8194?7|@=9:7p`7a8694?7|@=9:7p`7a8794?7|@=9:7p`7a8494?7|@=9:7p`7a8594?7|@=9:7p`7a8:94?7|@=9:7p`7a8;94?7|@=9:7p`7a8c94?7|@=9:7p`7a8`94?7|@=9:7p`7a8a94?7|@=9:7p`7a8f94?7|@=9:7p`7a8g94?7|@=9:7p`7a8d94?7|@=9:7p`7a`294?7|@=9:7p`7a`394?7|@=9:7p`7a`094?7|@=9:7p`7a`194?7|@=9:7p`7a`694?7|@=9:7p`7a`794?7|@=9:7p`7a`494?7|@=9:7p`7a`594?7|@=9:7p`7a`:94?7|@=9:7p`7a`;94?4|@=9:7p`7a`c94?4|@=9:7p`7a``94?4|@=9:7p`7a`a94?4|@=9:7p`7a`f94?4|@=9:7p`7a`g94?4|@=9:7p`7a`d94?4|@=9:7p`7ac294?4|@=9:7p`7ac394?4|@=9:7p`7ac094?4|@=9:7p`7ac194?4|@=9:7p`7ac694?4|@=9:7p`7ac794?4|@=9:7p`7ac494?4|@=9:7p`7ac594?4|@=9:7p`7ac:94?4|@=9:7p`7ac;94?4|@=9:7p`7acc94?4|@=9:7p`7ac`94?7|@=9:7p`7aca94?7|@=9:7p`7acf94?7|@=9:7p`7acg94?7|@=9:7p`7acd94?7|@=9:7p`7ab294?7|@=9:7p`7ab394?7|@=9:7p`7ab094?7|@=9:7p`7ab194?7|@=9:7p`7ab694?7|@=9:7p`7ab794?7|@=9:7p`7ab494?7|@=9:7p`7ab594?7|@=9:7p`7ab:94?7|@=9:7p`7ab;94?7|@=9:7p`7abc94?7|@=9:7p`7ab`94?7|@=9:7p`7aba94?7|@=9:7p`7abf94?7|@=9:7p`7abg94?7|@=9:7p`7abd94?7|@=9:7p`7ae294?7|@=9:7p`7ae394?7|@=9:7p`7ae094?7|@=9:7p`7ae194?7|@=9:7p`7ae694?7|@=9:7p`7ae794?7|@=9:7p`7ae494?7|@=9:7p`7ae594?7|@=9:7p`7ae:94?7|@=9:7p`7ae;94?7|@=9:7p`7aec94?7|@=9:7p`7ae`94?7|@=9:7p`7aea94?7|@=9:7p`7aef94?7|@=9:7p`7aeg94?7|@=9:7p`7aed94?7|@=9:7p`7ad294?7|@=9:7p`7ad394?7|@=9:7p`7ad094?7|@=9:7p`7ad194?7|@=9:7p`7ad694?7|@=9:7p`7ad794?7|@=9:7p`7ad494?7|@=9:7p`7ad594?7|@=9:7p`7ad:94?7|@=9:7p`7ad;94?7|@=9:7p`7adc94?7|@=9:7p`7ad`94?7|@=9:7p`7ada94?7|@=9:7p`7adf94?7|@=9:7p`7adg94?7|@=9:7p`7add94?7|@=9:7p`7ag294?7|@=9:7p`7ag394?7|@=9:7p`7ag094?7|@=9:7p`7ag194?7|@=9:7p`7ag694?7|@=9:7p`7ag794?7|@=9:7p`7ag494?7|@=9:7p`7ag594?7|@=9:7p`7ag:94?7|@=9:7p`7ag;94?7|@=9:7p`7agc94?7|@=9:7p`7ag`94?7|@=9:7p`7aga94?7|@=9:7p`7agf94?7|@=9:7p`7agg94?7|@=9:7p`7agd94?7|@=9:7p`7b1294?7|@=9:7p`7b1394?7|@=9:7p`7b1094?7|@=9:7p`7b1194?7|@=9:7p`7b1694?7|@=9:7p`7b1794?7|@=9:7p`7b1494?7|@=9:7p`7b1594?7|@=9:7p`7b1:94?7|@=9:7p`7b1;94?7|@=9:7p`7b1c94?7|@=9:7p`7b1`94?7|@=9:7p`7b1a94?7|@=9:7p`7b1f94?7|@=9:7p`7b1g94?7|@=9:7p`7b1d94?7|@=9:7p`7b0294?7|@=9:7p`7b0394?7|@=9:7p`7b0094?7|@=9:7p`7b0194?7|@=9:7p`7b0694?7|@=9:7p`7b0794?7|@=9:7p`7b0494?7|@=9:7p`7b0594?7|@=9:7p`7b0:94?7|@=9:7p`7b0;94?7|@=9:7p`7b0c94?7|@=9:7p`7b0`94?7|@=9:7p`7b0a94?7|@=9:7p`7b0f94?7|@=9:7p`7b0g94?7|@=9:7p`7b0d94?7|@=9:7p`7b3294?7|@=9:7p`7b3394?7|@=9:7p`7b3094?7|@=9:7p`7b3194?7|@=9:7p`7b3694?7|@=9:7p`7b3794?7|@=9:7p`7b3494?7|@=9:7p`7b3594?7|@=9:7p`7b3:94?7|@=9:7p`7b3;94?7|@=9:7p`7b3c94?7|@=9:7p`7b3`94?7|@=9:7p`7b3a94?7|@=9:7p`7b3f94?7|@=9:7p`7b3g94?7|@=9:7p`7b3d94?7|@=9:7p`7b2294?7|@=9:7p`7b2394?7|@=9:7p`7b2094?7|@=9:7p`7b2194?7|@=9:7p`7b2694?7|@=9:7p`7b2794?7|@=9:7p`7b2494?7|@=9:7p`7b2594?7|@=9:7p`7b2:94?7|@=9:7p`7b2;94?7|@=9:7p`7b2c94?7|@=9:7p`7b2`94?7|@=9:7p`7b2a94?7|@=9:7p`7b2f94?7|@=9:7p`7b2g94?7|@=9:7p`7b2d94?7|@=9:7p`7b5294?7|@=9:7p`7b5394?7|@=9:7p`7b5094?7|@=9:7p`7b5194?7|@=9:7p`7b5694?7|@=9:7p`7b5794?7|@=9:7p`7b5494?7|@=9:7p`7b5594?7|@=9:7p`7b5:94?7|@=9:7p`7b5;94?7|@=9:7p`7b5c94?7|@=9:7p`7b5`94?7|@=9:7p`7b5a94?7|@=9:7p`7b5f94?7|@=9:7p`7b5g94?7|@=9:7p`7b5d94?7|@=9:7p`7b4294?7|@=9:7p`7b4394?7|@=9:7p`7b4094?7|@=9:7p`7b4194?7|@=9:7p`7b4694?7|@=9:7p`7b4794?7|@=9:7p`7b4494?7|@=9:7p`7b4594?7|@=9:7p`7b4:94?7|@=9:7p`7b4;94?7|@=9:7p`7b4c94?7|@=9:7p`7b4`94?7|@=9:7p`7b4a94?7|@=9:7p`7b4f94?7|@=9:7p`7b4g94?7|@=9:7p`7b4d94?7|@=9:7p`7b7294?7|@=9:7p`7b7394?7|@=9:7p`7b7094?7|@=9:7p`7b7194?7|@=9:7p`7b7694?7|@=9:7p`7b7794?7|@=9:7p`7b7494?7|@=9:7p`7b7594?7|@=9:7p`7b7:94?7|@=9:7p`7b7;94?7|@=9:7p`7b7c94?7|@=9:7p`7b7`94?7|@=9:7p`7b7a94?7|@=9:7p`7b7f94?7|@=9:7p`7b7g94?7|@=9:7p`7b7d94?7|@=9:7p`7b6294?7|@=9:7p`7b6394?7|@=9:7p`7b6094?7|@=9:7p`7b6194?7|@=9:7p`7b6694?7|@=9:7p`7b6794?7|@=9:7p`7b6494?7|@=9:7p`7b6594?7|@=9:7p`7b6:94?7|@=9:7p`7b6;94?7|@=9:7p`7b6c94?7|@=9:7p`7b6`94?7|@=9:7p`7b6a94?7|@=9:7p`7b6f94?7|@=9:7p`7b6g94?7|@=9:7p`7b6d94?7|@=9:7p`7b9294?7|@=9:7p`7b9394?7|@=9:7p`7b9094?7|@=9:7p`7b9194?7|@=9:7p`7b9694?7|@=9:7p`7b9794?7|@=9:7p`7b9494?7|@=9:7p`7b9594?7|@=9:7p`7b9:94?4|@=9:7p`7b9;94?7|@=9:7p`7b9c94?4|@=9:7p`7b9`94?7|@=9:7p`7b9a94?7|@=9:7p`7b9f94?7|@=9:7p`7b9g94?7|@=9:7p`7b9d94?7|@=9:7p`7b8294?7|@=9:7p`7b8394?7|@=9:7p`7b8094?4|@=9:7p`7b8194?7|@=9:7p`7b8694?7|@=9:7p`7b8794?7|@=9:7p`7b8494?4|@=9:7p`7b8594?4|@=9:7p`7b8:94?4|@=9:7p`7b8;94?4|@=9:7p`7b8c94?4|@=9:7p`7b8`94?4|@=9:7p`7b8a94?4|@=9:7p`7b8f94?4|@=9:7p`7b8g94?4|@=9:7p`7b8d94?4|@=9:7p`7b`294?4|@=9:7p`7b`394?4|@=9:7p`7b`094?4|@=9:7p`7b`194?4|@=9:7p`7b`694?4|@=9:7p`7b`794?4|@=9:7p`7b`494?4|@=9:7p`7b`594?4|@=9:7p`7b`:94?4|@=9:7p`7b`;94?4|@=9:7p`7b`c94?4|@=9:7p`7b``94?4|@=9:7p`7b`a94?4|@=9:7p`7b`f94?4|@=9:7p`7b`g94?4|@=9:7p`7b`d94?4|@=9:7p`7bc294?4|@=9:7p`7bc394?4|@=9:7p`7bc094?4|@=9:7p`7bc194?4|@=9:7p`7bc694?4|@=9:7p`7bc794?4|@=9:7p`7bc494?4|@=9:7p`7bc594?4|@=9:7p`7bc:94?4|@=9:7p`7bc;94?4|@=9:7p`7bcc94?4|@=9:7p`7bc`94?4|@=9:7p`7bca94?4|@=9:7p`7bcf94?4|@=9:7p`7bcg94?4|@=9:7p`7bcd94?4|@=9:7p`7bb294?4|@=9:7p`7bb394?4|@=9:7p`7bb094?4|@=9:7p`7bb194?4|@=9:7p`7bb694?4|@=9:7p`7bb794?4|@=9:7p`7bb494?4|@=9:7p`7bb594?4|@=9:7p`7bb:94?4|@=9:7p`7bb;94?7|@=9:7p`7bbc94?7|@=9:7p`7bb`94?7|@=9:7p`7bba94?7|@=9:7p`7bbf94?7|@=9:7p`7bbg94?7|@=9:7p`7bbd94?7|@=9:7p`7be294?7|@=9:7p`7be394?7|@=9:7p`7be094?7|@=9:7p`7be194?7|@=9:7p`7be694?7|@=9:7p`7be794?7|@=9:7p`7be494?7|@=9:7p`7be594?7|@=9:7p`7be:94?7|@=9:7p`7be;94?7|@=9:7p`7bec94?7|@=9:7p`7be`94?7|@=9:7p`7bea94?7|@=9:7p`7bef94?7|@=9:7p`7beg94?7|@=9:7p`7bed94?7|@=9:7p`7bd294?7|@=9:7p`7bd394?7|@=9:7p`7bd094?7|@=9:7p`7bd194?7|@:<37E:<1:m52zJ774=zf1hn;7>51zJ774=zf1hn47>51zJ774=zf1hn57>51zJ774=zf1hnm7>51zJ774=zf1hnn7>52zJ774=zf1hno7>51zJ774=zf1hnh7>51zJ774=zf1hni7>51zJ774=zf1hnj7>51zJ774=zf1hm<7>51zJ774=zf1hm=7>51zJ774=zf1hm>7>51zJ774=zf1hm?7>51zJ774=zf1hm87>51zJ774=zf1hm97>51zJ774=zf1hm:7>51zJ774=zf1hm;7>51zJ774=zf1hm47>51zJ774=zf1hm57>51zJ774=zf1hmm7>51zJ774=zf1hmn7>51zJ774=zf1hmo7>51zJ774=zf1hmh7>51zJ774=zf1hmi7>51zJ774=zf1hmj7>51zJ774=zf1i;<7>51zJ774=zf1i;=7>51zJ774=zf1i;>7>51zJ774=zf1i;?7>51zJ774=zf1i;87>51zJ774=zf1i;97>51zJ774=zf1i;:7>51zJ774=zf1i;;7>51zJ774=zf1i;47>51zJ774=zf1i;57>51zJ774=zf1i;m7>51zJ774=zf1i;n7>51zJ774=zf1i;o7>51zJ774=zf1i;h7>51zJ774=zf1i;i7>52zJ02==O<:;0qc6l0g83>4}O<:;0qc6l1183>4}O<:;0qc6l1083>7}O;?20D9=>;|l;g44=83;pD9=>;|l;g45=83;pD9=>;|l;g42=83;pD9=>;|l;g43=83;pD9=>;|l;g40=83;pD9=>;|l;g41=83;pD9=>;|l;g4>=83;pD9=>;|l;g4?=83;pD9=>;|l;g4g=83;pD9=>;|l;g4d=83;pD9=>;|l;g4e=83;pD9=>;|l;g4b=83;pD9=>;|l;g4c=83;pD9=>;|l;g4`=83;pD9=>;|l;g76=83;pD9=>;|l;g77=83;pD9=>;|l;g74=83;pD9=>;|l;g75=83;pD9=>;|l;g72=83;pD9=>;|l;g73=83;pD9=>;|l;g70=83;pD9=>;|l;g71=83;pD9=>;|l;g7>=83;pD9=>;|l;g7?=83;pD9=>;|l;g7g=83;pD9=>;|l;g7d=83;pD9=>;|l;g7e=83;pD9=>;|l;g7b=83;pD9=>;|l;g7c=83;pD9=>;|l;g7`=83;pD9=>;|l;g66=83;pD9=>;|l;g67=83;pD9=>;|l;g64=83;pD9=>;|l;g65=83;pD9=>;|l;g62=83;pD9=>;|l;g63=83;pD9=>;|l;g60=83;pD9=>;|l;g61=83;pD9=>;|l;g6>=83;pD9=>;|l;g6?=83;pD9=>;|l;g6g=83;pD9=>;|l;g6d=83;pD9=>;|l;g6e=83;pD9=>;|l;g6b=83;pD9=>;|l;g6c=83;pD9=>;|l;g6`=83;pD9=>;|l;g16=83;pD9=>;|l;g17=83;pD9=>;|l;g14=83;pD9=>;|l;g15=83;pD9=>;|l;g12=839pD9=>;|l;g13=83;pD9=>;|l;g10=83;pD9=>;|l;g11=83;pD9=>;|l;g1>=83;pD9=>;|l;g1?=839pD9=>;|l;g1g=83;pD9=>;|l;g1d=83;pD9=>;|l;g1e=83;pD9=>;|l;g1b=83;pD9=>;|l;g1c=83;pD9=>;|l;g1`=838pD>87;I605>{i0j?;6=4>{I605>{i0j?:6=4>{I605>{i0j?96=4>{I605>{i0j?86=4>{I605>{i0j??6=4>{I605>{i0j?>6=4={I15<>N3;81vb5m:6;296~N3;81vb5m:7;296~N3;81vb5m:8;296~N3;81vb5m:9;296~N3;81vb5m:a;296~N3;81vb5m:b;296~N3;81vb5m:c;296~N3;81vb5m:d;296~N3;81vb5m:e;296~N3;81vb5m:f;296~N3;81vb5m90;296~N3;81vb5m91;296~N3;81vb5m92;296~N3;81vb5m93;296~N3;81vb5m94;296~N3;81vb5m95;296~N3;81vb5m96;296~N3;81vb5m97;296~N3;81vb5m98;297~N3;81vb5m99;297~N3;81vb5m9a;297~N3;81vb5m9b;297~N3;81vb5m9c;297~N3;81vb5m9d;297~N3;81vb5m9e;297~N3;81vb5m9f;297~N3;81vb5m80;297~N3;81vb5m81;297~N3;81vb5m82;297~N3;81vb5m83;297~N3;81vb5m84;297~N3;81vb5m85;297~N3;81vb5m86;297~N3;81vb5m87;297~N3;81vb5m88;297~N3;81vb5m89;297~N3;81vb5m8a;297~N3;81vb5m8b;297~N3;81vb5m8c;297~N3;81vb5m8d;297~N3;81vb5m8e;297~N3;81vb5m8f;297~N3;81vb5m70;296~N3;81vb5m71;296~N3;81vb5m72;296~N3;81vb5m73;296~N3;81vb5m74;296~N3;81vb5m75;296~N3;81vb5m76;296~N3;81vb5m77;296~N3;81vb5m78;296~N3;81vb5m79;296~N3;81vb5m7a;296~N3;81vb5m7b;296~N3;81vb5m7c;296~N3;81vb5m7d;296~N3;81vb5m7e;296~N3;81vb5m7f;296~N3;81vb5m60;296~N3;81vb5m61;296~N3;81vb5m62;296~N3;81vb5m63;296~N3;81vb5m64;296~N3;81vb5m65;296~N3;81vb5m66;296~N3;81vb5m67;296~N3;81vb5m68;295~N3;81vb5m69;295~N3;81vb5m6a;295~N3;81vb5m6b;295~N3;81vb5m6c;295~N3;81vb5m6d;295~N3;81vb5m6e;295~N3;81vb5m6f;295~N3;81vb5mn0;295~N3;81vb5mn1;295~N3;81vb5mn2;295~N3;81vb5mn3;295~N3;81vb5mn4;295~N3;81vb5mn5;295~N3;81vb5mn6;295~N3;81vb5mn7;295~N3;81vb5mn8;295~N3;81vb5mn9;295~N3;81vb5mna;295~N3;81vb5mnb;295~N3;81vb5mnc;295~N3;81vb5mnd;295~N3;81vb5mne;295~N3;81vb5mnf;295~N3;81vb5mm0;295~N3;81vb5mm1;295~N3;81vb5mm2;295~N3;81vb5mm3;295~N3;81vb5mm4;295~N3;81vb5mm5;295~N3;81vb5mm6;295~N3;81vb5mm7;295~N3;81vb5mm8;295~N3;81vb5mm9;295~N3;81vb5mma;295~N3;81vb5mmb;295~N3;81vb5mmc;295~N3;81vb5mmd;295~N3;81vb5mme;295~N3;81vb5mmf;295~N3;81vb5ml0;295~N3;81vb5ml1;295~N3;81vb5ml2;295~N3;81vb5ml3;295~N3;81vb5ml4;295~N3;81vb5ml5;295~N3;81vb5ml6;295~N3;81vb5ml7;295~N3;81vb5ml8;297~N3;81vb5ml9;297~N3;81vb5mla;297~N3;81vb5mlb;297~N3;81vb5mlc;297~N3;81vb5mld;297~N3;81vb5mle;297~N3;81vb5mlf;297~N3;81vb5mk0;297~N3;81vb5mk1;297~N3;81vb5mk2;297~N3;81vb5mk3;297~N3;81vb5mk4;297~N3;81vb5mk5;297~N3;81vb5mk6;297~N3;81vb5mk7;297~N3;81vb5mk8;297~N3;81vb5mk9;297~N3;81vb5mka;297~N3;81vb5mkb;297~N3;81vb5mkc;297~N3;81vb5mkd;297~N3;81vb5mke;297~N3;81vb5mkf;297~N3;81vb5mj0;296~N3;81vb5mj1;296~N3;81vb5mj2;296~N3;81vb5mj3;296~N3;81vb5mj4;296~N3;81vb5mj5;296~N3;81vb5mj6;296~N3;81vb5mj7;296~N3;81vb5mj8;296~N3;81vb5mj9;296~N3;81vb5mja;296~N3;81vb5mjb;296~N3;81vb5mjc;296~N3;81vb5mjd;296~N3;81vb5mje;296~N3;81vb5mjf;296~N3;81vb5mi0;296~N3;81vb5mi1;296~N3;81vb5mi2;296~N3;81vb5mi3;296~N3;81vb5mi4;296~N3;81vb5mi5;296~N3;81vb5mi6;296~N3;81vb5mi7;296~N3;81vb5mi8;295~N3;81vb5mi9;295~N3;81vb5mia;295~N3;81vb5mib;295~N3;81vb5mic;295~N3;81vb5mid;295~N3;81vb5mie;295~N3;81vb5mif;295~N3;81vb5j?0;295~N3;81vb5j?1;295~N3;81vb5j?2;295~N3;81vb5j?3;295~N3;81vb5j?4;295~N3;81vb5j?5;295~N3;81vb5j?6;295~N3;81vb5j?7;295~N3;81vb5j?8;295~N3;81vb5j?9;295~N3;81vb5j?a;295~N3;81vb5j?b;295~N3;81vb5j?c;295~N3;81vb5j?d;295~N3;81vb5j?e;295~N3;81vb5j?f;295~N3;81vb5j>0;295~N3;81vb5j>1;295~N3;81vb5j>2;295~N3;81vb5j>3;295~N3;81vb5j>4;295~N3;81vb5j>5;295~N3;81vb5j>6;295~N3;81vb5j>7;295~N3;81vb5j>8;295~N3;81vb5j>9;295~N3;81vb5j>a;295~N3;81vb5j>b;295~N3;81vb5j>c;295~N3;81vb5j>d;295~N3;81vb5j>e;295~N3;81vb5j>f;295~N3;81vb5j=0;295~N3;81vb5j=1;295~N3;81vb5j=2;295~N3;81vb5j=3;295~N3;81vb5j=4;295~N3;81vb5j=5;295~N3;81vb5j=6;295~N3;81vb5j=7;295~N3;81vb5j=8;295~N3;81vb5j=9;295~N3;81vb5j=a;295~N3;81vb5j=b;295~N3;81vb5j=c;295~N3;81vb5j=d;295~N3;81vb5j=e;295~N3;81vb5j=f;295~N3;81vb5j<0;295~N3;81vb5j<1;295~N3;81vb5j<2;295~N3;81vb5j<3;295~N3;81vb5j<4;295~N3;81vb5j<5;295~N3;81vb5j<6;295~N3;81vb5j<7;295~N3;81vb5j<8;295~N3;81vb5j<9;295~N3;81vb5j0;297~N3;81vb5k>1;297~N3;81vb5k>2;297~N3;81vb5k>3;297~N3;81vb5k>4;297~N3;81vb5k>5;297~N3;81vb5k>6;297~N3;81vb5k>7;297~N3;81vb5k>8;297~N3;81vb5k>9;297~N3;81vb5k>a;297~N3;81vb5k>b;297~N3;81vb5k>c;297~N3;81vb5k>d;297~N3;81vb5k>e;297~N3;81vb5k>f;297~N3;81vb5k=0;297~N3;81vb5k=1;297~N3;81vb5k=2;297~N3;81vb5k=3;297~N3;81vb5k=4;296~N3;81vb5k=5;296~N3;81vb5k=6;296~N3;81vb5k=7;296~N3;81vb5k=8;296~N3;81vb5k=9;296~N3;81vb5k=a;296~N3;81vb5k=b;296~N3;81vb5k=c;296~N3;81vb5k=d;296~N3;81vb5k=e;296~N3;81vb5k=f;296~N3;81vb5k<0;296~N3;81vb5k<1;296~N3;81vb5k<2;296~N3;81vb5k<3;296~N3;81vb5k<4;296~N3;81vb5k<5;296~N3;81vb5k<6;296~N3;81vb5k<7;296~N3;81vb5k<8;296~N3;81vb5k<9;296~N3;81vb5k0;296~N3;81vb5h>1;296~N3;81vb5h>2;296~N3;81vb5h>3;296~N3;81vb5h>4;296~N3;81vb5h>5;296~N3;81vb5h>6;296~N3;81vb5h>7;296~N3;81vb5h>8;296~N3;81vb5h>9;296~N3;81vb5h>a;296~N3;81vb5h>b;296~N3;81vb5h>c;296~N3;81vb5h>d;296~N3;81vb5h>e;296~N3;81vb5h>f;296~N3;81vb5h=0;295~N3;81vb5h=1;295~N3;81vb5h=2;295~N3;81vb5h=3;295~N3;81vb5h=4;295~N3;81vb5h=5;295~N3;81vb5h=6;295~N3;81vb5h=7;295~N3;81vb5h=8;295~N3;81vb5h=9;295~N3;81vb5h=a;295~N3;81vb5h=b;295~N3;81vb5h=c;295~N3;81vb5h=d;295~N3;81vb5h=e;295~N3;81vb5h=f;295~N3;81vb5h<0;295~N3;81vb5h<1;295~N3;81vb5h<2;295~N3;81vb5h<3;295~N3;81vb5h<4;295~N3;81vb5h<5;295~N3;81vb5h<6;295~N3;81vb5h<7;295~N3;81vb5h<8;295~N3;81vb5h<9;295~N3;81vb5h?0;297~N3;81vb4>?1;297~N3;81vb4>?2;297~N3;81vb4>?3;297~N3;81vb4>?4;297~N3;81vb4>?5;297~N3;81vb4>?6;297~N3;81vb4>?7;297~N3;81vb4>?8;297~N3;81vb4>?9;297~N3;81vb4>?a;296~N3;81vb4>?b;296~N3;81vb4>?c;296~N3;81vb4>?d;296~N3;81vb4>?e;296~N3;81vb4>?f;296~N3;81vb4>>0;296~N3;81vb4>>1;296~N3;81vb4>>2;296~N3;81vb4>>3;296~N3;81vb4>>4;296~N3;81vb4>>5;296~N3;81vb4>>6;296~N3;81vb4>>7;296~N3;81vb4>>8;296~N3;81vb4>>9;296~N3;81vb4>>a;296~N3;81vb4>>b;296~N3;81vb4>>c;296~N3;81vb4>>d;296~N3;81vb4>>e;296~N3;81vb4>>f;296~N3;81vb4>=0;296~N3;81vb4>=1;296~N3;81vb4>=2;295~N3;81vb4>=3;295~N3;81vb4>=4;295~N3;81vb4>=5;295~N3;81vb4>=6;295~N3;81vb4>=7;295~N3;81vb4>=8;295~N3;81vb4>=9;295~N3;81vb4>=a;295~N3;81vb4>=b;295~N3;81vb4>=c;295~N3;81vb4>=d;295~N3;81vb4>=e;295~N3;81vb4>=f;295~N3;81vb4><0;295~N3;81vb4><1;295~N3;81vb4><2;295~N3;81vb4><3;295~N3;81vb4><4;295~N3;81vb4><5;295~N3;81vb4><6;295~N3;81vb4><7;295~N3;81vb4><8;295~N3;81vb4><9;295~N3;81vb4>;0;295~N3;81vb4>;1;295~N3;81vb4>;2;295~N3;81vb4>;3;295~N3;81vb4>;4;295~N3;81vb4>;5;295~N3;81vb4>;6;295~N3;81vb4>;7;295~N3;81vb4>;8;295~N3;81vb4>;9;295~N3;81vb4>;a;295~N3;81vb4>;b;295~N3;81vb4>;c;295~N3;81vb4>;d;295~N3;81vb4>;e;295~N3;81vb4>;f;295~N3;81vb4>:0;295~N3;81vb4>:1;295~N3;81vb4>:2;297~N3;81vb4>:3;297~N3;81vb4>:4;297~N3;81vb4>:5;297~N3;81vb4>:6;297~N3;81vb4>:7;297~N3;81vb4>:8;297~N3;81vb4>:9;297~N3;81vb4>:a;297~N3;81vb4>:b;297~N3;81vb4>:c;297~N3;81vb4>:d;297~N3;81vb4>:e;297~N3;81vb4>:f;297~N3;81vb4>90;297~N3;81vb4>91;297~N3;81vb4>92;297~N3;81vb4>93;297~N3;81vb4>94;297~N3;81vb4>95;297~N3;81vb4>96;297~N3;81vb4>97;297~N3;81vb4>98;297~N3;81vb4>99;297~N3;81vb4>9a;296~N3;81vb4>9b;296~N3;81vb4>9c;296~N3;81vb4>9d;296~N3;81vb4>9e;296~N3;81vb4>9f;296~N3;81vb4>80;296~N3;81vb4>81;296~N3;81vb4>82;296~N3;81vb4>83;296~N3;81vb4>84;296~N3;81vb4>85;296~N3;81vb4>86;296~N3;81vb4>87;296~N3;81vb4>88;296~N3;81vb4>89;296~N3;81vb4>8a;296~N3;81vb4>8b;296~N3;81vb4>8c;296~N3;81vb4>8d;296~N3;81vb4>8e;296~N3;81vb4>8f;296~N3;81vb4>70;296~N3;81vb4>71;296~N3;81vb4>72;295~N3;81vb4>73;295~N3;81vb4>74;295~N3;81vb4>75;295~N3;81vb4>76;295~N3;81vb4>77;295~N3;81vb4>78;295~N3;81vb4>79;295~N3;81vb4>7a;295~N3;81vb4>7b;295~N3;81vb4>7c;295~N3;81vb4>7d;295~N3;81vb4>7e;295~N3;81vb4>7f;295~N3;81vb4>60;295~N3;81vb4>61;295~N3;81vb4>62;295~N3;81vb4>63;295~N3;81vb4>64;295~N3;81vb4>65;295~N3;81vb4>66;295~N3;81vb4>67;295~N3;81vb4>68;295~N3;81vb4>69;295~N3;81vb4>6a;295~N3;81vb4>6b;295~N3;81vb4>6c;295~N3;81vb4>6d;295~N3;81vb4>6e;295~N3;81vb4>6f;295~N3;81vb4>n0;295~N3;81vb4>n1;295~N3;81vb4>n2;295~N3;81vb4>n3;295~N3;81vb4>n4;295~N3;81vb4>n5;295~N3;81vb4>n6;295~N3;81vb4>n7;295~N3;81vb4>n8;295~N3;81vb4>n9;295~N3;81vb4>na;295~N3;81vb4>nb;295~N3;81vb4>nc;295~N3;81vb4>nd;295~N3;81vb4>ne;295~N3;81vb4>nf;295~N3;81vb4>m0;295~N3;81vb4>m1;295~N3;81vb4>m2;297~N3;81vb4>m3;297~N3;81vb4>m4;297~N3;81vb4>m5;297~N3;81vb4>m6;297~N3;81vb4>m7;297~N3;81vb4>m8;297~N3;81vb4>m9;297~N3;81vb4>ma;297~N3;81vb4>mb;297~N3;81vb4>mc;297~N3;81vb4>md;297~N3;81vb4>me;297~N3;81vb4>mf;297~N3;81vb4>l0;297~N3;81vb4>l1;297~N3;81vb4>l2;297~N3;81vb4>l3;297~N3;81vb4>l4;297~N3;81vb4>l5;297~N3;81vb4>l6;297~N3;81vb4>l7;297~N3;81vb4>l8;297~N3;81vb4>l9;297~N3;81vb4>la;296~N3;81vb4>lb;296~N3;81vb4>lc;296~N3;81vb4>ld;296~N3;81vb4>le;296~N3;81vb4>lf;296~N3;81vb4>k0;296~N3;81vb4>k1;296~N3;81vb4>k2;296~N3;81vb4>k3;296~N3;81vb4>k4;296~N3;81vb4>k5;296~N3;81vb4>k6;296~N3;81vb4>k7;296~N3;81vb4>k8;296~N3;81vb4>k9;296~N3;81vb4>ka;296~N3;81vb4>kb;296~N3;81vb4>kc;296~N3;81vb4>kd;296~N3;81vb4>ke;296~N3;81vb4>kf;296~N3;81vb4>j0;296~N3;81vb4>j1;296~N3;81vb4>j2;296~N3;81vb4>j3;296~N3;81vb4>j4;296~N3;81vb4>j5;296~N3;81vb4>j6;296~N3;81vb4>j7;296~N3;81vb4>j8;296~N3;81vb4>j9;296~N3;81vb4>ja;296~N3;81vb4>jb;296~N3;81vb4>jc;296~N3;81vb4>jd;296~N3;81vb4>je;296~N3;81vb4>jf;296~N3;81vb4>i0;296~N3;81vb4>i1;297~N3;81vb4>i2;297~N3;81vb4>i3;297~N3;81vb4>i4;297~N3;81vb4>i5;297~N3;81vb4>i6;297~N3;81vb4>i7;297~N3;81vb4>i8;297~N3;81vb4>i9;296~N3;81vb4>ia;296~N3;81vb4>ib;296~N3;81vb4>ic;296~N3;81vb4>id;297~N3;81vb4>ie;297~N3;81vb4>if;297~N3;81vb4??0;297~N3;81vb4??1;296~N3;81vb4??2;296~N3;81vb4??3;297~N3;81vb4??4;297~N3;81vb4??5;296~N3;81vb4??6;296~N3;81vb4??7;297~N3;81vb4??8;297~N3;81vb4??9;297~N3;81vb4??a;296~N3;81vb4??b;296~N3;81vb4??c;297~N3;81vb4??d;297~N3;81vb4??e;297~N3;81vb4??f;297~N3;81vb4?>0;297~N3;81vb4?>1;296~N3;81vb4?>2;296~N3;81vb4?>3;296~N3;81vb4?>4;296~N3;81vb4?>5;296~N3;81vb4?>6;296~N3;81vb4?>7;296~N3;81vb4?>8;296~N3;81vb4?>9;296~N3;81vb4?>a;296~N3;81vb4?>b;296~N3;81vb4?>c;296~N3;81vb4?>d;296~N3;81vb4?>e;296~N3;81vb4?>f;296~N3;81vb4?=0;296~N3;81vb4?=1;296~N3;81vb4?=2;296~N3;81vb4?=3;296~N3;81vb4?=4;296~N3;81vb4?=5;296~N3;81vb4?=6;296~N3;81vb4?=7;296~N3;81vb4?=8;296~N3;81vb4?=9;296~N3;81vb4?=a;296~N3;81vb4?=b;296~N3;81vb4?=c;296~N3;81vb4?=d;296~N3;81vb4?=e;296~N3;81vb4?=f;296~N3;81vb4?<0;296~N3;81vb4?<1;296~N3;81vb4?<2;296~N3;81vb4?<3;296~N3;81vb4?<4;296~N3;81vb4?<5;296~N3;81vb4?<6;296~N3;81vb4?<7;296~N3;81vb4?<8;296~N3;81vb4?<9;296~N3;81vb4?0;296~N3;81vb4<>1;296~N3;81vb4<>2;296~N3;81vb4<>3;296~N3;81vb4<>4;296~N3;81vb4<>5;296~N3;81vb4<>6;296~N3;81vb4<>7;296~N3;81vb4<>8;296~N3;81vb4<>9;296~N3;81vb4<>a;296~N3;81vb4<>b;296~N3;81vb4<>c;296~N3;81vb4<>d;296~N3;81vb4<>e;296~N3;81vb4<>f;296~N3;81vb4<=0;296~N3;81vb4<=1;296~N3;81vb4<=2;296~N3;81vb4<=3;296~N3;81vb4<=4;296~N3;81vb4<=5;296~N3;81vb4<=6;296~N3;81vb4<=7;296~N3;81vb4<=8;296~N3;81vb4<=9;296~N3;81vb4<=a;296~N3;81vb4<=b;296~N3;81vb4<=c;296~N3;81vb4<=d;296~N3;81vb4<=e;296~N3;81vb4<=f;296~N3;81vb4<<0;296~N3;81vb4<<1;296~N3;81vb4<<2;296~N3;81vb4<<3;296~N3;81vb4<<4;296~N3;81vb4<<5;296~N3;81vb4<<6;296~N3;81vb4<<7;296~N3;81vb4<<8;296~N3;81vb4<<9;296~N3;81vb4<0;295~N3;81vb4=>1;295~N3;81vb4=>2;295~N3;81vb4=>3;295~N3;81vb4=>4;295~N3;81vb4=>5;295~N3;81vb4=>6;295~N3;81vb4=>7;295~N3;81vb4=>8;295~N3;81vb4=>9;295~N3;81vb4=>a;295~N3;81vb4=>b;295~N3;81vb4=>c;295~N3;81vb4=>d;295~N3;81vb4=>e;295~N3;81vb4=>f;295~N3;81vb4==0;295~N3;81vb4==1;295~N3;81vb4==2;295~N3;81vb4==3;295~N3;81vb4==4;295~N3;81vb4==5;295~N3;81vb4==6;295~N3;81vb4==7;295~N3;81vb4==8;295~N3;81vb4==9;295~N3;81vb4==a;295~N3;81vb4==b;295~N3;81vb4==c;295~N3;81vb4==d;295~N3;81vb4==e;295~N3;81vb4==f;295~N3;81vb4=<0;295~N3;81vb4=<1;295~N3;81vb4=<2;295~N3;81vb4=<3;295~N3;81vb4=<4;295~N3;81vb4=<5;295~N3;81vb4=<6;295~N3;81vb4=<7;295~N3;81vb4=<8;295~N3;81vb4=<9;295~N3;81vb4=0;295~N3;81vb4:>1;295~N3;81vb4:>2;295~N3;81vb4:>3;295~N3;81vb4:>4;295~N3;81vb4:>5;295~N3;81vb4:>6;295~N3;81vb4:>7;295~N3;81vb4:>8;295~N3;81vb4:>9;295~N3;81vb4:>a;295~N3;81vb4:>b;295~N3;81vb4:>c;295~N3;81vb4:>d;295~N3;81vb4:>e;295~N3;81vb4:>f;295~N3;81vb4:=0;295~N3;81vb4:=1;295~N3;81vb4:=2;295~N3;81vb4:=3;295~N3;81vb4:=4;295~N3;81vb4:=5;295~N3;81vb4:=6;295~N3;81vb4:=7;295~N3;81vb4:=8;295~N3;81vb4:=9;295~N3;81vb4:=a;295~N3;81vb4:=b;295~N3;81vb4:=c;295~N3;81vb4:=d;295~N3;81vb4:=e;295~N3;81vb4:=f;295~N3;81vb4:<0;295~N3;81vb4:<1;295~N3;81vb4:<2;295~N3;81vb4:<3;295~N3;81vb4:<4;295~N3;81vb4:<5;295~N3;81vb4:<6;295~N3;81vb4:<7;295~N3;81vb4:<8;295~N3;81vb4:<9;295~N3;81vb4:0;295~N3;81vb4;>1;295~N3;81vb4;>2;295~N3;81vb4;>3;295~N3;81vb4;>4;295~N3;81vb4;>5;295~N3;81vb4;>6;295~N3;81vb4;>7;295~N3;81vb4;>8;295~N3;81vb4;>9;295~N3;81vb4;>a;295~N3;81vb4;>b;295~N3;81vb4;>c;295~N3;81vb4;>d;295~N3;81vb4;>e;295~N3;81vb4;>f;295~N3;81vb4;=0;295~N3;81vb4;=1;295~N3;81vb4;=2;295~N3;81vb4;=3;295~N3;81vb4;=4;295~N3;81vb4;=5;295~N3;81vb4;=6;295~N3;81vb4;=7;295~N3;81vb4;=8;295~N3;81vb4;=9;295~N3;81vb4;=a;295~N3;81vb4;=b;295~N3;81vb4;=c;295~N3;81vb4;=d;295~N3;81vb4;=e;295~N3;81vb4;=f;295~N3;81vb4;<0;295~N3;81vb4;<1;295~N3;81vb4;<2;295~N3;81vb4;<3;295~N3;81vb4;<4;295~N3;81vb4;<5;295~N3;81vb4;<6;295~N3;81vb4;<7;295~N3;81vb4;<8;295~N3;81vb4;<9;295~N3;81vb4;?m2;295~N3;81vb>?m3;295~N3;81vb>?m4;295~N3;81vb>?m5;295~N3;81vb>?m6;295~N3;81vb>?m7;295~N3;81vb>?m8;295~N3;81vb>?m9;295~N3;81vb>?ma;295~N3;81vb>?mb;295~N3;81vb>?mc;295~N3;81vb>?md;295~N3;81vb>?me;295~N3;81vb>?mf;295~N3;81vb>?l0;295~N3;81vb>?l1;295~N3;81vb>?l2;295~N3;81vb>?l3;295~N3;81vb>?l4;295~N3;81vb>?l5;295~N3;81vb>?l6;295~N3;81vb>?l7;295~N3;81vb>?l8;295~N3;81vb>?l9;295~N3;81vb>?la;295~N3;81vb>?lb;295~N3;81vb>=n4;295~N3;81vb>=n5;295~N3;81vb>=n6;295~N3;81vb>=n7;295~N3;81vb>=n8;295~N3;81vb>=n9;295~N3;81vb>=na;295~N3;81vb>=nb;295~N3;81vb>=nc;295~N3;81vb>=nd;295~N3;81vb>=ne;295~N3;81vb>=nf;295~N3;81vb>=m0;295~N3;81vb>=m1;295~N3;81vb>=m2;295~N3;81vb>=m3;295~N3;81vb>=m4;295~N3;81vb>=m5;295~N3;81vb>=m6;295~N3;81vb>=m7;295~N3;81vb>=m8;295~N3;81vb>=m9;295~N3;81vb>=ma;295~N3;81vb>=mb;295~N3;81vb>=mc;295~N3;81vb>=md;295~N3;81vb>;66;295~N3;81vb>;67;295~N3;81vb>;68;295~N3;81vb>;69;295~N3;81vb>;6a;295~N3;81vb>;6b;295~N3;81vb>;6c;295~N3;81vb>;6d;295~N3;81vb>;6e;295~N3;81vb>;6f;295~N3;81vb>;n0;295~N3;81vb>;n1;295~N3;81vb>;n2;295~N3;81vb>;n3;295~N3;81vb>;n4;295~N3;81vb>;n5;295~N3;81vb>;n6;295~N3;81vb>;n7;295~N3;81vb>;n8;295~N3;81vb>;n9;295~N3;81vb>;na;295~N3;81vb>;nb;295~N3;81vb>;nc;295~N3;81vb>;nd;295~N3;81vb>;ne;295~N3;81vb>;nf;295~N3;81vb>978;295~N3;81vb>979;295~N3;81vb>97a;295~N3;81vb>97b;295~N3;81vb>97c;295~N3;81vb>97d;295~N3;81vb>97e;295~N3;81vb>97f;295~N3;81vb>960;295~N3;81vb>961;295~N3;81vb>962;295~N3;81vb>963;295~N3;81vb>964;295~N3;81vb>965;295~N3;81vb>966;295~N3;81vb>967;295~N3;81vb>968;295~N3;81vb>969;295~N3;81vb>96a;295~N3;81vb>96b;295~N3;81vb>96c;295~N3;81vb>96d;295~N3;81vb>96e;295~N3;81vb>96f;295~N3;81vb>9n0;295~N3;81vb>9n1;295~N3;81vb>78a;295~N3;81vb>78b;295~N3;81vb>78c;295~N3;81vb>78d;295~N3;81vb>78e;295~N3;81vb>78f;295~N3;81vb>770;295~N3;81vb>771;295~N3;81vb>772;295~N3;81vb>773;295~N3;81vb>774;295~N3;81vb>775;295~N3;81vb>776;295~N3;81vb>777;295~N3;81vb>778;295~N3;81vb>779;295~N3;81vb>77a;295~N3;81vb>77b;295~N3;81vb>77c;295~N3;81vb>77d;295~N3;81vb>77e;295~N3;81vb>77f;295~N3;81vb>760;295~N3;81vb>761;295~N3;81vb>762;295~N3;81vb>763;295~N3;81vb>l9c;295~N3;81vb>l9d;295~N3;81vb>l9e;295~N3;81vb>l9f;295~N3;81vb>l80;295~N3;81vb>l81;295~N3;81vb>l82;295~N3;81vb>l83;295~N3;81vb>l84;295~N3;81vb>l85;295~N3;81vb>l86;295~N3;81vb>l87;295~N3;81vb>l88;295~N3;81vb>l89;295~N3;81vb>l8a;295~N3;81vb>l8b;295~N3;81vb>l8c;295~N3;81vb>l8d;295~N3;81vb>l8e;295~N3;81vb>l8f;295~N3;81vb>l70;295~N3;81vb>l71;295~N3;81vb>l72;295~N3;81vb>l73;295~N3;81vb>l74;295~N3;81vb>l75;295~N3;81vb>j:e;295~N3;81vb>j:f;295~N3;81vb>j90;295~N3;81vb>j91;295~N3;81vb>j92;295~N3;81vb>j93;295~N3;81vb>j94;295~N3;81vb>j95;295~N3;81vb>j96;295~N3;81vb>j97;295~N3;81vb>j98;295~N3;81vb>j99;295~N3;81vb>j9a;295~N3;81vb>j9b;295~N3;81vb>j9c;295~N3;81vb>j9d;295~N3;81vb>j9e;295~N3;81vb>j9f;295~N3;81vb>j80;295~N3;81vb>j81;295~N3;81vb>j82;295~N3;81vb>j83;295~N3;81vb>j84;295~N3;81vb>j85;295~N3;81vb>j86;295~N3;81vb>j87;295~N3;81vb>h:0;295~N3;81vb>h:1;295~N3;81vb>h:2;295~N3;81vb>h:3;295~N3;81vb>h:4;295~N3;81vb>h:5;295~N3;81vb>h:6;295~N3;81vb>h:7;295~N3;81vb>h:8;295~N3;81vb>h:9;295~N3;81vb>h:a;295~N3;81vb>h:b;295~N3;81vb>h:c;295~N3;81vb>h:d;295~N3;81vb>h:e;295~N3;81vb>h:f;295~N3;81vb>h90;295~N3;81vb>h91;295~N3;81vb>h92;295~N3;81vb>h93;295~N3;81vb>h94;295~N3;81vb>h95;295~N3;81vb>h96;295~N3;81vb>h97;295~N3;81vb>h98;295~N3;81vb>h99;295~N3;81vb9?;2;295~N3;81vb9?;3;295~N3;81vb9?;4;295~N3;81vb9?;5;295~N3;81vb9?;6;295~N3;81vb9?;7;295~N3;81vb9?;8;295~N3;81vb9?;9;295~N3;81vb9?;a;295~N3;81vb9?;b;295~N3;81vb9?;c;295~N3;81vb9?;d;295~N3;81vb9?;e;295~N3;81vb9?;f;295~N3;81vb9?:0;295~N3;81vb9?:1;295~N3;81vb9?:2;295~N3;81vb9?:3;295~N3;81vb9?:4;295~N3;81vb9?:5;295~N3;81vb9?:6;295~N3;81vb9?:7;295~N3;81vb9?:8;295~N3;81vb9?:9;295~N3;81vb9?:a;295~N3;81vb9?:b;295~N3;81vb9=<4;295~N3;81vb9=<5;295~N3;81vb9=<6;295~N3;81vb9=<7;295~N3;81vb9=<8;295~N3;81vb9=<9;295~N3;81vb9=8;295~N3;81vb99>9;295~N3;81vb99>a;295~N3;81vb99>b;295~N3;81vb99>c;295~N3;81vb99>d;295~N3;81vb99>e;295~N3;81vb99>f;295~N3;81vb99=0;295~N3;81vb99=1;295~N3;81vb99=2;295~N3;81vb99=3;295~N3;81vb99=4;295~N3;81vb99=5;295~N3;81vb99=6;295~N3;81vb99=7;295~N3;81vb99=8;295~N3;81vb99=9;295~N3;81vb99=a;295~N3;81vb99=b;295~N3;81vb99=c;295~N3;81vb99=d;295~N3;81vb99=e;295~N3;81vb99=f;295~N3;81vb99<0;295~N3;81vb99<1;295~N3;81vb97?a;295~N3;81vb97?b;295~N3;81vb97?c;295~N3;81vb97?d;295~N3;81vb97?e;295~N3;81vb97?f;295~N3;81vb97>0;295~N3;81vb97>1;295~N3;81vb97>2;295~N3;81vb97>3;295~N3;81vb97>4;295~N3;81vb97>5;295~N3;81vb97>6;295~N3;81vb97>7;295~N3;81vb97>8;295~N3;81vb97>9;295~N3;81vb97>a;295~N3;81vb97>b;295~N3;81vb97>c;295~N3;81vb97>d;295~N3;81vb97>e;295~N3;81vb97>f;295~N3;81vb97=0;295~N3;81vb97=1;295~N3;81vb97=2;295~N3;81vb97=3;295~N3;81vb9oic;295~N3;81vb9oid;295~N3;81vb9oie;295~N3;81vb9oif;295~N3;81vb9l?0;295~N3;81vb9l?1;295~N3;81vb9l?2;295~N3;81vb9l?3;295~N3;81vb9l?4;295~N3;81vb9l?5;295~N3;81vb9l?6;295~N3;81vb9l?7;295~N3;81vb9l?8;295~N3;81vb9l?9;295~N3;81vb9l?a;295~N3;81vb9l?b;295~N3;81vb9l?c;295~N3;81vb9l?d;295~N3;81vb9l?e;295~N3;81vb9l?f;295~N3;81vb9l>0;295~N3;81vb9l>1;295~N3;81vb9l>2;295~N3;81vb9l>3;295~N3;81vb9l>4;295~N3;81vb9l>5;295~N3;81vb9mje;295~N3;81vb9mjf;295~N3;81vb9mi0;295~N3;81vb9mi1;295~N3;81vb9mi2;295~N3;81vb9mi3;295~N3;81vb9mi4;295~N3;81vb9mi5;295~N3;81vb9mi6;295~N3;81vb9mi7;295~N3;81vb9mi8;295~N3;81vb9mi9;295~N3;81vb9mia;295~N3;81vb9mib;295~N3;81vb9mic;295~N3;81vb9mid;295~N3;81vb9mie;295~N3;81vb9mif;295~N3;81vb9j?0;295~N3;81vb9j?1;295~N3;81vb9j?2;295~N3;81vb9j?3;295~N3;81vb9j?4;295~N3;81vb9j?5;295~N3;81vb9j?6;295~N3;81vb9j?7;295~N3;81vb9kj0;295~N3;81vb9kj1;295~N3;81vb9kj2;295~N3;81vb9kj3;295~N3;81vb9kj4;295~N3;81vb9kj5;295~N3;81vb9kj6;295~N3;81vb9kj7;295~N3;81vb9kj8;295~N3;81vb9kj9;295~N3;81vb9kja;295~N3;81vb9kjb;295~N3;81vb9kjc;295~N3;81vb9kjd;295~N3;81vb9kje;295~N3;81vb9kjf;295~N3;81vb9ki0;295~N3;81vb9ki1;295~N3;81vb9ki2;295~N3;81vb9ki3;295~N3;81vb9ki4;295~N3;81vb9ki5;295~N3;81vb9ki6;295~N3;81vb9ki7;295~N3;81vb9ki8;295~N3;81vb9ki9;295~N3;81vb8>k2;295~N3;81vb8>k3;295~N3;81vb8>k4;295~N3;81vb8>k5;295~N3;81vb8>k6;295~N3;81vb8>k7;295~N3;81vb8>k8;295~N3;81vb8>k9;295~N3;81vb8>ka;295~N3;81vb8>kb;295~N3;81vb8>kc;295~N3;81vb8>kd;295~N3;81vb8>ke;295~N3;81vb8>kf;295~N3;81vb8>j0;295~N3;81vb8>j1;295~N3;81vb8>j2;295~N3;81vb8>j3;295~N3;81vb8>j4;295~N3;81vb8>j5;295~N3;81vb8>j6;295~N3;81vb8>j7;295~N3;81vb8>j8;295~N3;81vb8>j9;295~N3;81vb8>ja;295~N3;81vb8>jb;295~N3;81vb80;295~N3;81vb8l>1;295~N3;81vb8l>2;295~N3;81vb8l>3;295~N3;81vb8l>4;295~N3;81vb8l>5;295~N3;81vb8l>6;295~N3;81vb8l>7;295~N3;81vb8l>8;295~N3;81vb8l>9;295~N3;81vb8l>a;295~N3;81vb8l>b;295~N3;81vb8l>c;295~N3;81vb8l>d;295~N3;81vb8l>e;295~N3;81vb8l>f;295~N3;81vb8l=0;295~N3;81vb8l=1;295~N3;81vb8l=2;295~N3;81vb8l=3;295~N3;81vb8l=4;295~N3;81vb8l=5;295~N3;81vb8l=6;295~N3;81vb8l=7;295~N3;81vb8l=8;295~N3;81vb8l=9;295~N3;81vb8l=a;295~N3;81vb8l=b;295~N3;81vb8l=c;295~N3;81vb8l=d;295~N3;81vb8l=e;295~N3;81vb8l=f;295~N3;81vb8j76;295~N3;81vb8j77;295~N3;81vb8j78;295~N3;81vb8j79;295~N3;81vb8j7a;295~N3;81vb8j7b;295~N3;81vb8j7c;295~N3;81vb8j7d;295~N3;81vb8j7e;295~N3;81vb8j7f;295~N3;81vb8j60;295~N3;81vb8j61;295~N3;81vb8j62;295~N3;81vb8j63;295~N3;81vb8j64;295~N3;81vb8j65;295~N3;81vb8j66;295~N3;81vb8j67;295~N3;81vb8j68;295~N3;81vb8j69;295~N3;81vb8j6a;295~N3;81vb8j6b;295~N3;81vb8j6c;295~N3;81vb8j6d;295~N3;81vb8j6e;295~N3;81vb8j6f;295~N3;81vb8jn0;295~N3;81vb8jn1;295~N3;81vb8jn2;295~N3;81vb8jn3;295~N3;81vb8jn4;295~N3;81vb8jn5;295~N3;81vb8jn6;295~N3;81vb8jn7;295~N3;81vb8jn8;295~N3;81vb8jn9;295~N3;81vb8jna;295~N3;81vb8jnb;295~N3;81vb;>?2;295~N3;81vb;>?3;295~N3;81vb;>?4;295~N3;81vb;>?5;295~N3;81vb;>?6;295~N3;81vb;>?7;295~N3;81vb;>?8;295~N3;81vb;>?9;295~N3;81vb;>?a;295~N3;81vb;>?b;295~N3;81vb;>?c;295~N3;81vb;>?d;295~N3;81vb;>?e;295~N3;81vb;>?f;295~N3;81vb;>>0;295~N3;81vb;>>1;295~N3;81vb;>>2;295~N3;81vb;>>3;295~N3;81vb;>>4;295~N3;81vb;>>5;295~N3;81vb;>>6;295~N3;81vb;>>7;295~N3;81vb;>>8;295~N3;81vb;>>9;295~N3;81vb;>>a;295~N3;81vb;>>b;295~N3;81vb;>>c;295~N3;81vb;>>d;295~N3;81vb;>>e;295~N3;81vb;>>f;295~N3;81vb;>=0;295~N3;81vb;>=1;295~N3;81vb;>=2;295~N3;81vb;>=3;295~N3;81vb;>=4;295~N3;81vb;>=5;295~N3;81vb;>=6;295~N3;81vb;>=7;295~N3;81vb;<8e;295~N3;81vb;<8f;295~N3;81vb;<70;295~N3;81vb;<71;295~N3;81vb;<72;295~N3;81vb;<73;295~N3;81vb;<74;295~N3;81vb;<75;295~N3;81vb;<76;295~N3;81vb;<77;295~N3;81vb;<78;295~N3;81vb;<79;295~N3;81vb;<7a;295~N3;81vb;<7b;295~N3;81vb;<7c;295~N3;81vb;<7d;295~N3;81vb;<7e;295~N3;81vb;<7f;295~N3;81vb;<60;295~N3;81vb;<61;295~N3;81vb;<62;295~N3;81vb;<63;295~N3;81vb;<64;295~N3;81vb;<65;295~N3;81vb;<66;295~N3;81vb;<67;295~N3;81vb;<68;295~N3;81vb;<69;295~N3;81vb;<6a;295~N3;81vb;<6b;295~N3;81vb;<6c;295~N3;81vb;<6d;295~N3;81vb;<6e;295~N3;81vb;<6f;295~N3;81vb;0;295~N3;81vb;;>1;295~N3;81vb;;>2;295~N3;81vb;;>3;295~N3;81vb;;>4;295~N3;81vb;;>5;295~N3;81vb;;>6;295~N3;81vb;;>7;295~N3;81vb;;>8;295~N3;81vb;;>9;295~N3;81vb;;>a;295~N3;81vb;;>b;295~N3;81vb;;>c;295~N3;81vb;;>d;295~N3;81vb;;>e;295~N3;81vb;;>f;295~N3;81vb;9?a;295~N3;81vb;9?b;295~N3;81vb;9?c;295~N3;81vb;9?d;295~N3;81vb;9?e;295~N3;81vb;9?f;295~N3;81vb;9>0;295~N3;81vb;9>1;295~N3;81vb;9>2;295~N3;81vb;9>3;295~N3;81vb;9>4;295~N3;81vb;9>5;295~N3;81vb;9>6;295~N3;81vb;9>7;295~N3;81vb;9>8;295~N3;81vb;9>9;295~N3;81vb;9>a;295~N3;81vb;9>b;295~N3;81vb;9>c;295~N3;81vb;9>d;295~N3;81vb;o=4;295~N3;81vb;o=5;295~N3;81vb;o=6;295~N3;81vb;o=7;295~N3;81vb;o=8;295~N3;81vb;o=9;295~N3;81vb;o=a;295~N3;81vb;o=b;295~N3;81vb;o=c;295~N3;81vb;o=d;295~N3;81vb;o=e;295~N3;81vb;o=f;295~N3;81vb;o<0;295~N3;81vb;o<1;295~N3;81vb;o<2;295~N3;81vb;o<3;295~N3;81vb;o<4;295~N3;81vb;o<5;295~N3;81vb;o<6;295~N3;81vb;o<7;295~N3;81vqpsO@Byb0cb===_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f1_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ef_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ec_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e9_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e8_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e2_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e2_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000df_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000df_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000dc_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000dc_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000da_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000da_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d6_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d6_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d0_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cf_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ce_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cd_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cc_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cb_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c7_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c6_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c5_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c4_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c3_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c2_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c1_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000bb_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000bb_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b8_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b8_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a4_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a2_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009a_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000099_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000098_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000097_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000096_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000094_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000094_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000092_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000091_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk00000019_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000b_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000b_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000a_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000008_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000008d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000008c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000008b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000008a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000089_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000088_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000087_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000086_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000085_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000084_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000083_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000082_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000081_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000080_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000007f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000007e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000007d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000007c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000007b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000007a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000079_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000078_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000077_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000076_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000075_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000074_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000073_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000072_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000071_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000070_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000006f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000006e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000006d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000006c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000006b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000006a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000069_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000068_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000067_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000066_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000065_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000064_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000063_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000062_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000061_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk00000060_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000005f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002c/blk0000005e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk00000151_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk00000150_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk0000014f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk0000014e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk0000014d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk0000014c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk0000014b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk0000014a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk00000149_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk00000148_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk00000147_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk00000146_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk00000145_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk00000144_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk00000143_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk00000142_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk00000141_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk00000140_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk0000013f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk0000013e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk0000013d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk0000013c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk0000013b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000120/blk0000013a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000184_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000183_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000182_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000181_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000180_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000017f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000017e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000017d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000017c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000017b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000017a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000179_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000178_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000177_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000176_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000175_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000174_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000173_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000172_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000171_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000170_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000016f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000016e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000016d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001b7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001b6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001b5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001b4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001b3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001b2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001b1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001b0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000186/blk000001a0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001ea_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001e9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001e8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001e7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001e6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001e5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001e4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001e3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001e2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b9/blk000001d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000021d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000021c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000021b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000021a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000219_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000218_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000217_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000216_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000215_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000214_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000213_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000212_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000211_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000210_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000020f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000020e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000020d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000020c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000020b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000020a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000209_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000208_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000207_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000206_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk00000250_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk0000024f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk0000024e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk0000024d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk0000024c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk0000024b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk0000024a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk00000249_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk00000248_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk00000247_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk00000246_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk00000245_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk00000244_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk00000243_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk00000242_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk00000241_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk00000240_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk0000023f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk0000023e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk0000023d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk0000023c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk0000023b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk0000023a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000021f/blk00000239_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk00000283_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk00000282_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk00000281_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk00000280_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk0000027f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk0000027e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk0000027d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk0000027c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk0000027b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk0000027a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk00000279_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk00000278_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk00000277_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk00000276_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk00000275_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk00000274_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk00000273_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk00000272_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk00000271_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk00000270_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk0000026f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk0000026e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk0000026d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000252/blk0000026c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002b6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002b5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002b4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002b3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002b2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002b1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002b0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk000002a0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000285/blk0000029f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002e9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002e8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002e7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002e6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002e5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002e4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002e3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002e2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b8/blk000002d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk0000031c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk0000031b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk0000031a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000319_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000318_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000317_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000316_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000315_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000314_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000313_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000312_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000311_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000310_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk0000030f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk0000030e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk0000030d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk0000030c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk0000030b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk0000030a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000309_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000308_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000307_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000306_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002eb/blk00000305_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk0000034f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk0000034e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk0000034d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk0000034c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk0000034b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk0000034a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk00000349_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk00000348_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk00000347_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk00000346_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk00000345_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk00000344_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk00000343_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk00000342_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk00000341_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk00000340_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk0000033f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk0000033e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk0000033d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk0000033c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk0000033b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk0000033a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk00000339_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000031e/blk00000338_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk00000382_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk00000381_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk00000380_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk0000037f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk0000037e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk0000037d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk0000037c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk0000037b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk0000037a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk00000379_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk00000378_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk00000377_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk00000376_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk00000375_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk00000374_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk00000373_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk00000372_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk00000371_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk00000370_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk0000036f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk0000036e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk0000036d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk0000036c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000351/blk0000036b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003b5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003b4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003b3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003b2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003b1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003b0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk000003a0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk0000039f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000384/blk0000039e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003e8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003e7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003e6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003e5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003e4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003e3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003e2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b7/blk000003d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk0000041b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk0000041a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000419_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000418_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000417_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000416_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000415_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000414_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000413_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000412_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000411_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000410_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk0000040f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk0000040e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk0000040d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk0000040c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk0000040b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk0000040a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000409_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000408_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000407_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000406_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000405_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ea/blk00000404_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk0000044e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk0000044d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk0000044c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk0000044b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk0000044a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk00000449_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk00000448_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk00000447_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk00000446_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk00000445_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk00000444_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk00000443_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk00000442_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk00000441_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk00000440_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk0000043f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk0000043e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk0000043d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk0000043c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk0000043b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk0000043a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk00000439_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk00000438_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041d/blk00000437_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk00000481_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk00000480_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk0000047f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk0000047e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk0000047d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk0000047c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk0000047b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk0000047a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk00000479_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk00000478_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk00000477_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk00000476_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk00000475_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk00000474_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk00000473_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk00000472_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk00000471_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk00000470_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk0000046f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk0000046e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk0000046d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk0000046c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk0000046b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000450/blk0000046a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004b4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004b3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004b2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004b1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004b0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk000004a0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk0000049f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk0000049e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000483/blk0000049d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004e7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004e6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004e5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004e4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004e3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004e2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004b6/blk000004d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk0000051a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000519_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000518_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000517_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000516_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000515_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000514_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000513_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000512_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000511_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000510_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk0000050f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk0000050e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk0000050d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk0000050c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk0000050b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk0000050a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000509_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000508_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000507_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000506_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000505_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000504_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e9/blk00000503_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk0000054d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk0000054c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk0000054b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk0000054a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk00000549_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk00000548_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk00000547_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk00000546_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk00000545_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk00000544_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk00000543_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk00000542_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk00000541_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk00000540_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk0000053f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk0000053e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk0000053d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk0000053c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk0000053b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk0000053a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk00000539_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk00000538_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk00000537_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c/blk00000536_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk00000580_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk0000057f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk0000057e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk0000057d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk0000057c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk0000057b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk0000057a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk00000579_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk00000578_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk00000577_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk00000576_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk00000575_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk00000574_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk00000573_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk00000572_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk00000571_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk00000570_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk0000056f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk0000056e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk0000056d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk0000056c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk0000056b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk0000056a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054f/blk00000569_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005b3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005b2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005b1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005b0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk000005a0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk0000059f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk0000059e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk0000059d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582/blk0000059c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005e6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005e5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005e4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005e3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005e2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b5/blk000005cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk0000072a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk00000729_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk00000728_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk00000727_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk00000726_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk00000725_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk00000724_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk00000723_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk00000722_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk00000721_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk00000720_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk0000071f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk0000071e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk0000071d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk0000071c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk0000071b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk0000071a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705/blk00000719_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk00000781_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk00000780_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk0000077f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk0000077e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk0000077d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk0000077c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk0000077b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk0000077a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk00000779_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk00000778_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk00000777_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk00000776_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk00000775_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk00000774_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk00000773_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk00000772_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk00000771_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c/blk00000770_SPO_UNCONNECTED ; + wire [17 : 0] coef_din_0; + wire [23 : 0] din_1_1; + wire [23 : 0] din_2_2; + wire [46 : 0] NlwRenamedSig_OI_dout_1; + wire [46 : 0] NlwRenamedSig_OI_dout_2; + assign + rfd = NlwRenamedSig_OI_rfd, + dout_1[46] = NlwRenamedSig_OI_dout_1[46], + dout_1[45] = NlwRenamedSig_OI_dout_1[45], + dout_1[44] = NlwRenamedSig_OI_dout_1[44], + dout_1[43] = NlwRenamedSig_OI_dout_1[43], + dout_1[42] = NlwRenamedSig_OI_dout_1[42], + dout_1[41] = NlwRenamedSig_OI_dout_1[41], + dout_1[40] = NlwRenamedSig_OI_dout_1[40], + dout_1[39] = NlwRenamedSig_OI_dout_1[39], + dout_1[38] = NlwRenamedSig_OI_dout_1[38], + dout_1[37] = NlwRenamedSig_OI_dout_1[37], + dout_1[36] = NlwRenamedSig_OI_dout_1[36], + dout_1[35] = NlwRenamedSig_OI_dout_1[35], + dout_1[34] = NlwRenamedSig_OI_dout_1[34], + dout_1[33] = NlwRenamedSig_OI_dout_1[33], + dout_1[32] = NlwRenamedSig_OI_dout_1[32], + dout_1[31] = NlwRenamedSig_OI_dout_1[31], + dout_1[30] = NlwRenamedSig_OI_dout_1[30], + dout_1[29] = NlwRenamedSig_OI_dout_1[29], + dout_1[28] = NlwRenamedSig_OI_dout_1[28], + dout_1[27] = NlwRenamedSig_OI_dout_1[27], + dout_1[26] = NlwRenamedSig_OI_dout_1[26], + dout_1[25] = NlwRenamedSig_OI_dout_1[25], + dout_1[24] = NlwRenamedSig_OI_dout_1[24], + dout_1[23] = NlwRenamedSig_OI_dout_1[23], + dout_1[22] = NlwRenamedSig_OI_dout_1[22], + dout_1[21] = NlwRenamedSig_OI_dout_1[21], + dout_1[20] = NlwRenamedSig_OI_dout_1[20], + dout_1[19] = NlwRenamedSig_OI_dout_1[19], + dout_1[18] = NlwRenamedSig_OI_dout_1[18], + dout_1[17] = NlwRenamedSig_OI_dout_1[17], + dout_1[16] = NlwRenamedSig_OI_dout_1[16], + dout_1[15] = NlwRenamedSig_OI_dout_1[15], + dout_1[14] = NlwRenamedSig_OI_dout_1[14], + dout_1[13] = NlwRenamedSig_OI_dout_1[13], + dout_1[12] = NlwRenamedSig_OI_dout_1[12], + dout_1[11] = NlwRenamedSig_OI_dout_1[11], + dout_1[10] = NlwRenamedSig_OI_dout_1[10], + dout_1[9] = NlwRenamedSig_OI_dout_1[9], + dout_1[8] = NlwRenamedSig_OI_dout_1[8], + dout_1[7] = NlwRenamedSig_OI_dout_1[7], + dout_1[6] = NlwRenamedSig_OI_dout_1[6], + dout_1[5] = NlwRenamedSig_OI_dout_1[5], + dout_1[4] = NlwRenamedSig_OI_dout_1[4], + dout_1[3] = NlwRenamedSig_OI_dout_1[3], + dout_1[2] = NlwRenamedSig_OI_dout_1[2], + dout_1[1] = NlwRenamedSig_OI_dout_1[1], + dout_1[0] = NlwRenamedSig_OI_dout_1[0], + dout_2[46] = NlwRenamedSig_OI_dout_2[46], + dout_2[45] = NlwRenamedSig_OI_dout_2[45], + dout_2[44] = NlwRenamedSig_OI_dout_2[44], + dout_2[43] = NlwRenamedSig_OI_dout_2[43], + dout_2[42] = NlwRenamedSig_OI_dout_2[42], + dout_2[41] = NlwRenamedSig_OI_dout_2[41], + dout_2[40] = NlwRenamedSig_OI_dout_2[40], + dout_2[39] = NlwRenamedSig_OI_dout_2[39], + dout_2[38] = NlwRenamedSig_OI_dout_2[38], + dout_2[37] = NlwRenamedSig_OI_dout_2[37], + dout_2[36] = NlwRenamedSig_OI_dout_2[36], + dout_2[35] = NlwRenamedSig_OI_dout_2[35], + dout_2[34] = NlwRenamedSig_OI_dout_2[34], + dout_2[33] = NlwRenamedSig_OI_dout_2[33], + dout_2[32] = NlwRenamedSig_OI_dout_2[32], + dout_2[31] = NlwRenamedSig_OI_dout_2[31], + dout_2[30] = NlwRenamedSig_OI_dout_2[30], + dout_2[29] = NlwRenamedSig_OI_dout_2[29], + dout_2[28] = NlwRenamedSig_OI_dout_2[28], + dout_2[27] = NlwRenamedSig_OI_dout_2[27], + dout_2[26] = NlwRenamedSig_OI_dout_2[26], + dout_2[25] = NlwRenamedSig_OI_dout_2[25], + dout_2[24] = NlwRenamedSig_OI_dout_2[24], + dout_2[23] = NlwRenamedSig_OI_dout_2[23], + dout_2[22] = NlwRenamedSig_OI_dout_2[22], + dout_2[21] = NlwRenamedSig_OI_dout_2[21], + dout_2[20] = NlwRenamedSig_OI_dout_2[20], + dout_2[19] = NlwRenamedSig_OI_dout_2[19], + dout_2[18] = NlwRenamedSig_OI_dout_2[18], + dout_2[17] = NlwRenamedSig_OI_dout_2[17], + dout_2[16] = NlwRenamedSig_OI_dout_2[16], + dout_2[15] = NlwRenamedSig_OI_dout_2[15], + dout_2[14] = NlwRenamedSig_OI_dout_2[14], + dout_2[13] = NlwRenamedSig_OI_dout_2[13], + dout_2[12] = NlwRenamedSig_OI_dout_2[12], + dout_2[11] = NlwRenamedSig_OI_dout_2[11], + dout_2[10] = NlwRenamedSig_OI_dout_2[10], + dout_2[9] = NlwRenamedSig_OI_dout_2[9], + dout_2[8] = NlwRenamedSig_OI_dout_2[8], + dout_2[7] = NlwRenamedSig_OI_dout_2[7], + dout_2[6] = NlwRenamedSig_OI_dout_2[6], + dout_2[5] = NlwRenamedSig_OI_dout_2[5], + dout_2[4] = NlwRenamedSig_OI_dout_2[4], + dout_2[3] = NlwRenamedSig_OI_dout_2[3], + dout_2[2] = NlwRenamedSig_OI_dout_2[2], + dout_2[1] = NlwRenamedSig_OI_dout_2[1], + dout_2[0] = NlwRenamedSig_OI_dout_2[0], + din_1_1[23] = din_1[23], + din_1_1[22] = din_1[22], + din_1_1[21] = din_1[21], + din_1_1[20] = din_1[20], + din_1_1[19] = din_1[19], + din_1_1[18] = din_1[18], + din_1_1[17] = din_1[17], + din_1_1[16] = din_1[16], + din_1_1[15] = din_1[15], + din_1_1[14] = din_1[14], + din_1_1[13] = din_1[13], + din_1_1[12] = din_1[12], + din_1_1[11] = din_1[11], + din_1_1[10] = din_1[10], + din_1_1[9] = din_1[9], + din_1_1[8] = din_1[8], + din_1_1[7] = din_1[7], + din_1_1[6] = din_1[6], + din_1_1[5] = din_1[5], + din_1_1[4] = din_1[4], + din_1_1[3] = din_1[3], + din_1_1[2] = din_1[2], + din_1_1[1] = din_1[1], + din_1_1[0] = din_1[0], + din_2_2[23] = din_2[23], + din_2_2[22] = din_2[22], + din_2_2[21] = din_2[21], + din_2_2[20] = din_2[20], + din_2_2[19] = din_2[19], + din_2_2[18] = din_2[18], + din_2_2[17] = din_2[17], + din_2_2[16] = din_2[16], + din_2_2[15] = din_2[15], + din_2_2[14] = din_2[14], + din_2_2[13] = din_2[13], + din_2_2[12] = din_2[12], + din_2_2[11] = din_2[11], + din_2_2[10] = din_2[10], + din_2_2[9] = din_2[9], + din_2_2[8] = din_2[8], + din_2_2[7] = din_2[7], + din_2_2[6] = din_2[6], + din_2_2[5] = din_2[5], + din_2_2[4] = din_2[4], + din_2_2[3] = din_2[3], + din_2_2[2] = din_2[2], + din_2_2[1] = din_2[1], + din_2_2[0] = din_2[0], + coef_din_0[17] = coef_din[17], + coef_din_0[16] = coef_din[16], + coef_din_0[15] = coef_din[15], + coef_din_0[14] = coef_din[14], + coef_din_0[13] = coef_din[13], + coef_din_0[12] = coef_din[12], + coef_din_0[11] = coef_din[11], + coef_din_0[10] = coef_din[10], + coef_din_0[9] = coef_din[9], + coef_din_0[8] = coef_din[8], + coef_din_0[7] = coef_din[7], + coef_din_0[6] = coef_din[6], + coef_din_0[5] = coef_din[5], + coef_din_0[4] = coef_din[4], + coef_din_0[3] = coef_din[3], + coef_din_0[2] = coef_din[2], + coef_din_0[1] = coef_din[1], + coef_din_0[0] = coef_din[0]; + VCC blk00000001 ( + .P(NLW_blk00000001_P_UNCONNECTED) + ); + GND blk00000002 ( + .G(NLW_blk00000002_G_UNCONNECTED) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c13 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b8e ), + .Q(\blk00000003/sig00000881 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c12 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000839 ), + .Q(\blk00000003/sig00000b8e ), + .Q15(\NLW_blk00000003/blk00000c12_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c11 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b8d ), + .Q(\blk00000003/sig00000880 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c10 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000838 ), + .Q(\blk00000003/sig00000b8d ), + .Q15(\NLW_blk00000003/blk00000c10_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c0f ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b8c ), + .Q(\blk00000003/sig0000087f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c0e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000837 ), + .Q(\blk00000003/sig00000b8c ), + .Q15(\NLW_blk00000003/blk00000c0e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c0d ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b8b ), + .Q(\blk00000003/sig0000087e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c0c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000836 ), + .Q(\blk00000003/sig00000b8b ), + .Q15(\NLW_blk00000003/blk00000c0c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c0b ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b8a ), + .Q(\blk00000003/sig0000087c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c0a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000834 ), + .Q(\blk00000003/sig00000b8a ), + .Q15(\NLW_blk00000003/blk00000c0a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c09 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b89 ), + .Q(\blk00000003/sig0000087b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c08 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000833 ), + .Q(\blk00000003/sig00000b89 ), + .Q15(\NLW_blk00000003/blk00000c08_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c07 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b88 ), + .Q(\blk00000003/sig0000087d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c06 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000835 ), + .Q(\blk00000003/sig00000b88 ), + .Q15(\NLW_blk00000003/blk00000c06_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c05 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b87 ), + .Q(\blk00000003/sig00000879 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c04 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000831 ), + .Q(\blk00000003/sig00000b87 ), + .Q15(\NLW_blk00000003/blk00000c04_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c03 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b86 ), + .Q(\blk00000003/sig00000878 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c02 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000830 ), + .Q(\blk00000003/sig00000b86 ), + .Q15(\NLW_blk00000003/blk00000c02_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c01 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b85 ), + .Q(\blk00000003/sig0000087a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c00 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000832 ), + .Q(\blk00000003/sig00000b85 ), + .Q15(\NLW_blk00000003/blk00000c00_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bff ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b84 ), + .Q(\blk00000003/sig00000876 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bfe ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000082e ), + .Q(\blk00000003/sig00000b84 ), + .Q15(\NLW_blk00000003/blk00000bfe_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bfd ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b83 ), + .Q(\blk00000003/sig00000875 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bfc ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000082d ), + .Q(\blk00000003/sig00000b83 ), + .Q15(\NLW_blk00000003/blk00000bfc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bfb ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b82 ), + .Q(\blk00000003/sig00000877 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bfa ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000082f ), + .Q(\blk00000003/sig00000b82 ), + .Q15(\NLW_blk00000003/blk00000bfa_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bf9 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b81 ), + .Q(\blk00000003/sig00000873 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bf8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000082b ), + .Q(\blk00000003/sig00000b81 ), + .Q15(\NLW_blk00000003/blk00000bf8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bf7 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b80 ), + .Q(\blk00000003/sig00000872 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bf6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000082a ), + .Q(\blk00000003/sig00000b80 ), + .Q15(\NLW_blk00000003/blk00000bf6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bf5 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b7f ), + .Q(\blk00000003/sig00000874 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bf4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000082c ), + .Q(\blk00000003/sig00000b7f ), + .Q15(\NLW_blk00000003/blk00000bf4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bf3 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b7e ), + .Q(\blk00000003/sig00000870 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bf2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000828 ), + .Q(\blk00000003/sig00000b7e ), + .Q15(\NLW_blk00000003/blk00000bf2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bf1 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b7d ), + .Q(\blk00000003/sig0000086f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bf0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000827 ), + .Q(\blk00000003/sig00000b7d ), + .Q15(\NLW_blk00000003/blk00000bf0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bef ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b7c ), + .Q(\blk00000003/sig00000871 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bee ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000829 ), + .Q(\blk00000003/sig00000b7c ), + .Q15(\NLW_blk00000003/blk00000bee_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bed ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b7b ), + .Q(\blk00000003/sig0000086d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bec ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000825 ), + .Q(\blk00000003/sig00000b7b ), + .Q15(\NLW_blk00000003/blk00000bec_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000beb ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b7a ), + .Q(\blk00000003/sig0000086c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bea ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000824 ), + .Q(\blk00000003/sig00000b7a ), + .Q15(\NLW_blk00000003/blk00000bea_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000be9 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b79 ), + .Q(\blk00000003/sig0000086e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000be8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000826 ), + .Q(\blk00000003/sig00000b79 ), + .Q15(\NLW_blk00000003/blk00000be8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000be7 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b78 ), + .Q(\blk00000003/sig0000086a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000be6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000822 ), + .Q(\blk00000003/sig00000b78 ), + .Q15(\NLW_blk00000003/blk00000be6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000be5 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b77 ), + .Q(\blk00000003/sig0000086b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000be4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000823 ), + .Q(\blk00000003/sig00000b77 ), + .Q15(\NLW_blk00000003/blk00000be4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000be3 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b76 ), + .Q(\blk00000003/sig000008b1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000be2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000851 ), + .Q(\blk00000003/sig00000b76 ), + .Q15(\NLW_blk00000003/blk00000be2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000be1 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b75 ), + .Q(\blk00000003/sig000008b0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000be0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000850 ), + .Q(\blk00000003/sig00000b75 ), + .Q15(\NLW_blk00000003/blk00000be0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bdf ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b74 ), + .Q(\blk00000003/sig000008ae ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bde ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000084e ), + .Q(\blk00000003/sig00000b74 ), + .Q15(\NLW_blk00000003/blk00000bde_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bdd ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b73 ), + .Q(\blk00000003/sig000008ad ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bdc ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000084d ), + .Q(\blk00000003/sig00000b73 ), + .Q15(\NLW_blk00000003/blk00000bdc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bdb ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b72 ), + .Q(\blk00000003/sig000008af ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bda ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000084f ), + .Q(\blk00000003/sig00000b72 ), + .Q15(\NLW_blk00000003/blk00000bda_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bd9 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b71 ), + .Q(\blk00000003/sig000008ab ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bd8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000084b ), + .Q(\blk00000003/sig00000b71 ), + .Q15(\NLW_blk00000003/blk00000bd8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bd7 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b70 ), + .Q(\blk00000003/sig000008aa ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bd6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000084a ), + .Q(\blk00000003/sig00000b70 ), + .Q15(\NLW_blk00000003/blk00000bd6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bd5 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b6f ), + .Q(\blk00000003/sig000008ac ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bd4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000084c ), + .Q(\blk00000003/sig00000b6f ), + .Q15(\NLW_blk00000003/blk00000bd4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bd3 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b6e ), + .Q(\blk00000003/sig000008a9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bd2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000849 ), + .Q(\blk00000003/sig00000b6e ), + .Q15(\NLW_blk00000003/blk00000bd2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bd1 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b6d ), + .Q(\blk00000003/sig000008a8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bd0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000848 ), + .Q(\blk00000003/sig00000b6d ), + .Q15(\NLW_blk00000003/blk00000bd0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bcf ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b6c ), + .Q(\blk00000003/sig000008a7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bce ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000847 ), + .Q(\blk00000003/sig00000b6c ), + .Q15(\NLW_blk00000003/blk00000bce_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bcd ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b6b ), + .Q(\blk00000003/sig000008a6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bcc ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000846 ), + .Q(\blk00000003/sig00000b6b ), + .Q15(\NLW_blk00000003/blk00000bcc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bcb ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b6a ), + .Q(\blk00000003/sig000008a4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bca ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000844 ), + .Q(\blk00000003/sig00000b6a ), + .Q15(\NLW_blk00000003/blk00000bca_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bc9 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b69 ), + .Q(\blk00000003/sig000008a3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bc8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000843 ), + .Q(\blk00000003/sig00000b69 ), + .Q15(\NLW_blk00000003/blk00000bc8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bc7 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b68 ), + .Q(\blk00000003/sig000008a5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bc6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000845 ), + .Q(\blk00000003/sig00000b68 ), + .Q15(\NLW_blk00000003/blk00000bc6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bc5 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b67 ), + .Q(\blk00000003/sig000008a1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bc4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000841 ), + .Q(\blk00000003/sig00000b67 ), + .Q15(\NLW_blk00000003/blk00000bc4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bc3 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b66 ), + .Q(\blk00000003/sig000008a0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bc2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000840 ), + .Q(\blk00000003/sig00000b66 ), + .Q15(\NLW_blk00000003/blk00000bc2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bc1 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b65 ), + .Q(\blk00000003/sig000008a2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bc0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig00000842 ), + .Q(\blk00000003/sig00000b65 ), + .Q15(\NLW_blk00000003/blk00000bc0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bbf ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b64 ), + .Q(\blk00000003/sig0000089e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bbe ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000083e ), + .Q(\blk00000003/sig00000b64 ), + .Q15(\NLW_blk00000003/blk00000bbe_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bbd ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b63 ), + .Q(\blk00000003/sig0000089d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bbc ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000083d ), + .Q(\blk00000003/sig00000b63 ), + .Q15(\NLW_blk00000003/blk00000bbc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bbb ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b62 ), + .Q(\blk00000003/sig0000089f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bba ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000083f ), + .Q(\blk00000003/sig00000b62 ), + .Q15(\NLW_blk00000003/blk00000bba_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bb9 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b61 ), + .Q(\blk00000003/sig0000089c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bb8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000083c ), + .Q(\blk00000003/sig00000b61 ), + .Q15(\NLW_blk00000003/blk00000bb8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bb7 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b60 ), + .Q(\blk00000003/sig0000089b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bb6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000083b ), + .Q(\blk00000003/sig00000b60 ), + .Q15(\NLW_blk00000003/blk00000bb6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bb5 ( + .C(clk), + .CE(\blk00000003/sig000009b7 ), + .D(\blk00000003/sig00000b5f ), + .Q(\blk00000003/sig0000089a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bb4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b7 ), + .CLK(clk), + .D(\blk00000003/sig0000083a ), + .Q(\blk00000003/sig00000b5f ), + .Q15(\NLW_blk00000003/blk00000bb4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bb3 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b5e ), + .Q(\blk00000003/sig00000839 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bb2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000809 ), + .Q(\blk00000003/sig00000b5e ), + .Q15(\NLW_blk00000003/blk00000bb2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bb1 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b5d ), + .Q(\blk00000003/sig00000838 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bb0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000808 ), + .Q(\blk00000003/sig00000b5d ), + .Q15(\NLW_blk00000003/blk00000bb0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000baf ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b5c ), + .Q(\blk00000003/sig00000837 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bae ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000807 ), + .Q(\blk00000003/sig00000b5c ), + .Q15(\NLW_blk00000003/blk00000bae_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bad ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b5b ), + .Q(\blk00000003/sig00000836 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bac ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000806 ), + .Q(\blk00000003/sig00000b5b ), + .Q15(\NLW_blk00000003/blk00000bac_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bab ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b5a ), + .Q(\blk00000003/sig00000835 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000baa ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000805 ), + .Q(\blk00000003/sig00000b5a ), + .Q15(\NLW_blk00000003/blk00000baa_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ba9 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b59 ), + .Q(\blk00000003/sig00000833 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ba8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000803 ), + .Q(\blk00000003/sig00000b59 ), + .Q15(\NLW_blk00000003/blk00000ba8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ba7 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b58 ), + .Q(\blk00000003/sig00000832 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ba6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000802 ), + .Q(\blk00000003/sig00000b58 ), + .Q15(\NLW_blk00000003/blk00000ba6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ba5 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b57 ), + .Q(\blk00000003/sig00000834 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ba4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000804 ), + .Q(\blk00000003/sig00000b57 ), + .Q15(\NLW_blk00000003/blk00000ba4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ba3 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b56 ), + .Q(\blk00000003/sig00000830 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ba2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000800 ), + .Q(\blk00000003/sig00000b56 ), + .Q15(\NLW_blk00000003/blk00000ba2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ba1 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b55 ), + .Q(\blk00000003/sig0000082f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ba0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig000007ff ), + .Q(\blk00000003/sig00000b55 ), + .Q15(\NLW_blk00000003/blk00000ba0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b9f ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b54 ), + .Q(\blk00000003/sig00000831 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b9e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000801 ), + .Q(\blk00000003/sig00000b54 ), + .Q15(\NLW_blk00000003/blk00000b9e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b9d ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b53 ), + .Q(\blk00000003/sig0000082d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b9c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig000007fd ), + .Q(\blk00000003/sig00000b53 ), + .Q15(\NLW_blk00000003/blk00000b9c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b9b ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b52 ), + .Q(\blk00000003/sig0000082c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b9a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig000007fc ), + .Q(\blk00000003/sig00000b52 ), + .Q15(\NLW_blk00000003/blk00000b9a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b99 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b51 ), + .Q(\blk00000003/sig0000082e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b98 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig000007fe ), + .Q(\blk00000003/sig00000b51 ), + .Q15(\NLW_blk00000003/blk00000b98_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b97 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b50 ), + .Q(\blk00000003/sig0000082b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b96 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig000007fb ), + .Q(\blk00000003/sig00000b50 ), + .Q15(\NLW_blk00000003/blk00000b96_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b95 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b4f ), + .Q(\blk00000003/sig0000082a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b94 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig000007fa ), + .Q(\blk00000003/sig00000b4f ), + .Q15(\NLW_blk00000003/blk00000b94_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b93 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b4e ), + .Q(\blk00000003/sig00000829 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b92 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig000007f9 ), + .Q(\blk00000003/sig00000b4e ), + .Q15(\NLW_blk00000003/blk00000b92_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b91 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b4d ), + .Q(\blk00000003/sig00000828 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b90 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig000007f8 ), + .Q(\blk00000003/sig00000b4d ), + .Q15(\NLW_blk00000003/blk00000b90_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b8f ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b4c ), + .Q(\blk00000003/sig00000826 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b8e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig000007f6 ), + .Q(\blk00000003/sig00000b4c ), + .Q15(\NLW_blk00000003/blk00000b8e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b8d ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b4b ), + .Q(\blk00000003/sig00000825 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b8c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig000007f5 ), + .Q(\blk00000003/sig00000b4b ), + .Q15(\NLW_blk00000003/blk00000b8c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b8b ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b4a ), + .Q(\blk00000003/sig00000827 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b8a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig000007f7 ), + .Q(\blk00000003/sig00000b4a ), + .Q15(\NLW_blk00000003/blk00000b8a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b89 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b49 ), + .Q(\blk00000003/sig00000823 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b88 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig000007f3 ), + .Q(\blk00000003/sig00000b49 ), + .Q15(\NLW_blk00000003/blk00000b88_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b87 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b48 ), + .Q(\blk00000003/sig00000822 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b86 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig000007f2 ), + .Q(\blk00000003/sig00000b48 ), + .Q15(\NLW_blk00000003/blk00000b86_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b85 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b47 ), + .Q(\blk00000003/sig00000824 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b84 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig000007f4 ), + .Q(\blk00000003/sig00000b47 ), + .Q15(\NLW_blk00000003/blk00000b84_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b83 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b46 ), + .Q(\blk00000003/sig00000851 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b82 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000821 ), + .Q(\blk00000003/sig00000b46 ), + .Q15(\NLW_blk00000003/blk00000b82_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b81 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b45 ), + .Q(\blk00000003/sig0000084f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b80 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig0000081f ), + .Q(\blk00000003/sig00000b45 ), + .Q15(\NLW_blk00000003/blk00000b80_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b7f ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b44 ), + .Q(\blk00000003/sig0000084e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b7e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig0000081e ), + .Q(\blk00000003/sig00000b44 ), + .Q15(\NLW_blk00000003/blk00000b7e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b7d ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b43 ), + .Q(\blk00000003/sig00000850 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b7c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000820 ), + .Q(\blk00000003/sig00000b43 ), + .Q15(\NLW_blk00000003/blk00000b7c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b7b ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b42 ), + .Q(\blk00000003/sig0000084c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b7a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig0000081c ), + .Q(\blk00000003/sig00000b42 ), + .Q15(\NLW_blk00000003/blk00000b7a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b79 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b41 ), + .Q(\blk00000003/sig0000084b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b78 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig0000081b ), + .Q(\blk00000003/sig00000b41 ), + .Q15(\NLW_blk00000003/blk00000b78_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b77 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b40 ), + .Q(\blk00000003/sig0000084d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b76 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig0000081d ), + .Q(\blk00000003/sig00000b40 ), + .Q15(\NLW_blk00000003/blk00000b76_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b75 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b3f ), + .Q(\blk00000003/sig00000849 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b74 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000819 ), + .Q(\blk00000003/sig00000b3f ), + .Q15(\NLW_blk00000003/blk00000b74_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b73 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b3e ), + .Q(\blk00000003/sig00000848 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b72 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000818 ), + .Q(\blk00000003/sig00000b3e ), + .Q15(\NLW_blk00000003/blk00000b72_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b71 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b3d ), + .Q(\blk00000003/sig0000084a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b70 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig0000081a ), + .Q(\blk00000003/sig00000b3d ), + .Q15(\NLW_blk00000003/blk00000b70_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b6f ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b3c ), + .Q(\blk00000003/sig00000847 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b6e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000817 ), + .Q(\blk00000003/sig00000b3c ), + .Q15(\NLW_blk00000003/blk00000b6e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b6d ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b3b ), + .Q(\blk00000003/sig00000846 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b6c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000816 ), + .Q(\blk00000003/sig00000b3b ), + .Q15(\NLW_blk00000003/blk00000b6c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b6b ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b3a ), + .Q(\blk00000003/sig00000845 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b6a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000815 ), + .Q(\blk00000003/sig00000b3a ), + .Q15(\NLW_blk00000003/blk00000b6a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b69 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b39 ), + .Q(\blk00000003/sig00000844 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b68 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000814 ), + .Q(\blk00000003/sig00000b39 ), + .Q15(\NLW_blk00000003/blk00000b68_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b67 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b38 ), + .Q(\blk00000003/sig00000842 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b66 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000812 ), + .Q(\blk00000003/sig00000b38 ), + .Q15(\NLW_blk00000003/blk00000b66_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b65 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b37 ), + .Q(\blk00000003/sig00000841 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b64 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000811 ), + .Q(\blk00000003/sig00000b37 ), + .Q15(\NLW_blk00000003/blk00000b64_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b63 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b36 ), + .Q(\blk00000003/sig00000843 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b62 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000813 ), + .Q(\blk00000003/sig00000b36 ), + .Q15(\NLW_blk00000003/blk00000b62_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b61 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b35 ), + .Q(\blk00000003/sig0000083f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b60 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig0000080f ), + .Q(\blk00000003/sig00000b35 ), + .Q15(\NLW_blk00000003/blk00000b60_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b5f ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b34 ), + .Q(\blk00000003/sig0000083e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b5e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig0000080e ), + .Q(\blk00000003/sig00000b34 ), + .Q15(\NLW_blk00000003/blk00000b5e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b5d ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b33 ), + .Q(\blk00000003/sig00000840 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b5c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig00000810 ), + .Q(\blk00000003/sig00000b33 ), + .Q15(\NLW_blk00000003/blk00000b5c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b5b ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b32 ), + .Q(\blk00000003/sig0000083c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b5a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig0000080c ), + .Q(\blk00000003/sig00000b32 ), + .Q15(\NLW_blk00000003/blk00000b5a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b59 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b31 ), + .Q(\blk00000003/sig0000083b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b58 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig0000080b ), + .Q(\blk00000003/sig00000b31 ), + .Q15(\NLW_blk00000003/blk00000b58_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b57 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b30 ), + .Q(\blk00000003/sig0000083d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b56 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig0000080d ), + .Q(\blk00000003/sig00000b30 ), + .Q15(\NLW_blk00000003/blk00000b56_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b55 ( + .C(clk), + .CE(\blk00000003/sig000009b6 ), + .D(\blk00000003/sig00000b2f ), + .Q(\blk00000003/sig0000083a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b54 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b6 ), + .CLK(clk), + .D(\blk00000003/sig0000080a ), + .Q(\blk00000003/sig00000b2f ), + .Q15(\NLW_blk00000003/blk00000b54_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b53 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000b2e ), + .Q(\blk00000003/sig000009ac ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b52 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000761 ), + .Q(\blk00000003/sig00000b2e ), + .Q15(\NLW_blk00000003/blk00000b52_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b51 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b2d ), + .Q(\blk00000003/sig00000808 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b50 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007d8 ), + .Q(\blk00000003/sig00000b2d ), + .Q15(\NLW_blk00000003/blk00000b50_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b4f ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b2c ), + .Q(\blk00000003/sig00000807 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b4e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007d7 ), + .Q(\blk00000003/sig00000b2c ), + .Q15(\NLW_blk00000003/blk00000b4e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b4d ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b2b ), + .Q(\blk00000003/sig00000809 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b4c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007d9 ), + .Q(\blk00000003/sig00000b2b ), + .Q15(\NLW_blk00000003/blk00000b4c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b4b ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b2a ), + .Q(\blk00000003/sig00000806 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b4a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007d6 ), + .Q(\blk00000003/sig00000b2a ), + .Q15(\NLW_blk00000003/blk00000b4a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b49 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b29 ), + .Q(\blk00000003/sig00000805 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b48 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007d5 ), + .Q(\blk00000003/sig00000b29 ), + .Q15(\NLW_blk00000003/blk00000b48_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b47 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b28 ), + .Q(\blk00000003/sig00000804 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b46 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007d4 ), + .Q(\blk00000003/sig00000b28 ), + .Q15(\NLW_blk00000003/blk00000b46_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b45 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b27 ), + .Q(\blk00000003/sig00000803 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b44 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007d3 ), + .Q(\blk00000003/sig00000b27 ), + .Q15(\NLW_blk00000003/blk00000b44_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b43 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b26 ), + .Q(\blk00000003/sig00000801 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b42 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007d1 ), + .Q(\blk00000003/sig00000b26 ), + .Q15(\NLW_blk00000003/blk00000b42_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b41 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b25 ), + .Q(\blk00000003/sig00000800 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b40 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007d0 ), + .Q(\blk00000003/sig00000b25 ), + .Q15(\NLW_blk00000003/blk00000b40_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b3f ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b24 ), + .Q(\blk00000003/sig00000802 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b3e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007d2 ), + .Q(\blk00000003/sig00000b24 ), + .Q15(\NLW_blk00000003/blk00000b3e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b3d ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b23 ), + .Q(\blk00000003/sig000007fe ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b3c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007ce ), + .Q(\blk00000003/sig00000b23 ), + .Q15(\NLW_blk00000003/blk00000b3c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b3b ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b22 ), + .Q(\blk00000003/sig000007fd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b3a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007cd ), + .Q(\blk00000003/sig00000b22 ), + .Q15(\NLW_blk00000003/blk00000b3a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b39 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b21 ), + .Q(\blk00000003/sig000007ff ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b38 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007cf ), + .Q(\blk00000003/sig00000b21 ), + .Q15(\NLW_blk00000003/blk00000b38_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b37 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b20 ), + .Q(\blk00000003/sig000007fb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b36 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007cb ), + .Q(\blk00000003/sig00000b20 ), + .Q15(\NLW_blk00000003/blk00000b36_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b35 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b1f ), + .Q(\blk00000003/sig000007fa ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b34 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007ca ), + .Q(\blk00000003/sig00000b1f ), + .Q15(\NLW_blk00000003/blk00000b34_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b33 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b1e ), + .Q(\blk00000003/sig000007fc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b32 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007cc ), + .Q(\blk00000003/sig00000b1e ), + .Q15(\NLW_blk00000003/blk00000b32_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b31 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b1d ), + .Q(\blk00000003/sig000007f9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b30 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007c9 ), + .Q(\blk00000003/sig00000b1d ), + .Q15(\NLW_blk00000003/blk00000b30_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b2f ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b1c ), + .Q(\blk00000003/sig000007f8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b2e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007c8 ), + .Q(\blk00000003/sig00000b1c ), + .Q15(\NLW_blk00000003/blk00000b2e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b2d ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b1b ), + .Q(\blk00000003/sig000007f7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b2c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007c7 ), + .Q(\blk00000003/sig00000b1b ), + .Q15(\NLW_blk00000003/blk00000b2c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b2b ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b1a ), + .Q(\blk00000003/sig000007f6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b2a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007c6 ), + .Q(\blk00000003/sig00000b1a ), + .Q15(\NLW_blk00000003/blk00000b2a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b29 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b19 ), + .Q(\blk00000003/sig000007f4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b28 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007c4 ), + .Q(\blk00000003/sig00000b19 ), + .Q15(\NLW_blk00000003/blk00000b28_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b27 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b18 ), + .Q(\blk00000003/sig000007f3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b26 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007c3 ), + .Q(\blk00000003/sig00000b18 ), + .Q15(\NLW_blk00000003/blk00000b26_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b25 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b17 ), + .Q(\blk00000003/sig000007f5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b24 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007c5 ), + .Q(\blk00000003/sig00000b17 ), + .Q15(\NLW_blk00000003/blk00000b24_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b23 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b16 ), + .Q(\blk00000003/sig000007f2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b22 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007c2 ), + .Q(\blk00000003/sig00000b16 ), + .Q15(\NLW_blk00000003/blk00000b22_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b21 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b15 ), + .Q(\blk00000003/sig00000821 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b20 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007f1 ), + .Q(\blk00000003/sig00000b15 ), + .Q15(\NLW_blk00000003/blk00000b20_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b1f ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b14 ), + .Q(\blk00000003/sig00000820 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b1e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007f0 ), + .Q(\blk00000003/sig00000b14 ), + .Q15(\NLW_blk00000003/blk00000b1e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b1d ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b13 ), + .Q(\blk00000003/sig0000081f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b1c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007ef ), + .Q(\blk00000003/sig00000b13 ), + .Q15(\NLW_blk00000003/blk00000b1c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b1b ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b12 ), + .Q(\blk00000003/sig0000081d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b1a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007ed ), + .Q(\blk00000003/sig00000b12 ), + .Q15(\NLW_blk00000003/blk00000b1a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b19 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b11 ), + .Q(\blk00000003/sig0000081c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b18 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007ec ), + .Q(\blk00000003/sig00000b11 ), + .Q15(\NLW_blk00000003/blk00000b18_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b17 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b10 ), + .Q(\blk00000003/sig0000081e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b16 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007ee ), + .Q(\blk00000003/sig00000b10 ), + .Q15(\NLW_blk00000003/blk00000b16_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b15 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b0f ), + .Q(\blk00000003/sig0000081a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b14 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007ea ), + .Q(\blk00000003/sig00000b0f ), + .Q15(\NLW_blk00000003/blk00000b14_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b13 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b0e ), + .Q(\blk00000003/sig00000819 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b12 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007e9 ), + .Q(\blk00000003/sig00000b0e ), + .Q15(\NLW_blk00000003/blk00000b12_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b11 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b0d ), + .Q(\blk00000003/sig0000081b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b10 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007eb ), + .Q(\blk00000003/sig00000b0d ), + .Q15(\NLW_blk00000003/blk00000b10_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b0f ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b0c ), + .Q(\blk00000003/sig00000817 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b0e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007e7 ), + .Q(\blk00000003/sig00000b0c ), + .Q15(\NLW_blk00000003/blk00000b0e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b0d ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b0b ), + .Q(\blk00000003/sig00000816 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b0c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007e6 ), + .Q(\blk00000003/sig00000b0b ), + .Q15(\NLW_blk00000003/blk00000b0c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b0b ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b0a ), + .Q(\blk00000003/sig00000818 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b0a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007e8 ), + .Q(\blk00000003/sig00000b0a ), + .Q15(\NLW_blk00000003/blk00000b0a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b09 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b09 ), + .Q(\blk00000003/sig00000815 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b08 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007e5 ), + .Q(\blk00000003/sig00000b09 ), + .Q15(\NLW_blk00000003/blk00000b08_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b07 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b08 ), + .Q(\blk00000003/sig00000814 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b06 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007e4 ), + .Q(\blk00000003/sig00000b08 ), + .Q15(\NLW_blk00000003/blk00000b06_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b05 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b07 ), + .Q(\blk00000003/sig00000813 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b04 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007e3 ), + .Q(\blk00000003/sig00000b07 ), + .Q15(\NLW_blk00000003/blk00000b04_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b03 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b06 ), + .Q(\blk00000003/sig00000812 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b02 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007e2 ), + .Q(\blk00000003/sig00000b06 ), + .Q15(\NLW_blk00000003/blk00000b02_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b01 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b05 ), + .Q(\blk00000003/sig00000810 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b00 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007e0 ), + .Q(\blk00000003/sig00000b05 ), + .Q15(\NLW_blk00000003/blk00000b00_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aff ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b04 ), + .Q(\blk00000003/sig0000080f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000afe ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007df ), + .Q(\blk00000003/sig00000b04 ), + .Q15(\NLW_blk00000003/blk00000afe_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000afd ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b03 ), + .Q(\blk00000003/sig00000811 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000afc ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007e1 ), + .Q(\blk00000003/sig00000b03 ), + .Q15(\NLW_blk00000003/blk00000afc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000afb ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b02 ), + .Q(\blk00000003/sig0000080d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000afa ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007dd ), + .Q(\blk00000003/sig00000b02 ), + .Q15(\NLW_blk00000003/blk00000afa_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000af9 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b01 ), + .Q(\blk00000003/sig0000080c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000af8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007dc ), + .Q(\blk00000003/sig00000b01 ), + .Q15(\NLW_blk00000003/blk00000af8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000af7 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000b00 ), + .Q(\blk00000003/sig0000080e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000af6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007de ), + .Q(\blk00000003/sig00000b00 ), + .Q15(\NLW_blk00000003/blk00000af6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000af5 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000aff ), + .Q(\blk00000003/sig0000080a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000af4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007da ), + .Q(\blk00000003/sig00000aff ), + .Q15(\NLW_blk00000003/blk00000af4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000af3 ( + .C(clk), + .CE(\blk00000003/sig000009b5 ), + .D(\blk00000003/sig00000afe ), + .Q(\blk00000003/sig0000080b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000af2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b5 ), + .CLK(clk), + .D(\blk00000003/sig000007db ), + .Q(\blk00000003/sig00000afe ), + .Q15(\NLW_blk00000003/blk00000af2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000af1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000afd ), + .Q(\blk00000003/sig00000937 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000af0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000760 ), + .Q(\blk00000003/sig00000afd ), + .Q15(\NLW_blk00000003/blk00000af0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aef ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000afc ), + .Q(\blk00000003/sig000001c8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aee ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a9a ), + .Q(\blk00000003/sig00000afc ), + .Q15(\NLW_blk00000003/blk00000aee_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aed ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000afb ), + .Q(\blk00000003/sig000001c7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aec ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a98 ), + .Q(\blk00000003/sig00000afb ), + .Q15(\NLW_blk00000003/blk00000aec_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aeb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000afa ), + .Q(\blk00000003/sig000001c9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aea ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a96 ), + .Q(\blk00000003/sig00000afa ), + .Q15(\NLW_blk00000003/blk00000aea_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ae9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000af9 ), + .Q(\blk00000003/sig000001c5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ae8 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a94 ), + .Q(\blk00000003/sig00000af9 ), + .Q15(\NLW_blk00000003/blk00000ae8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ae7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000af8 ), + .Q(\blk00000003/sig000001c4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ae6 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a92 ), + .Q(\blk00000003/sig00000af8 ), + .Q15(\NLW_blk00000003/blk00000ae6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ae5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000af7 ), + .Q(\blk00000003/sig000001c6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ae4 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a90 ), + .Q(\blk00000003/sig00000af7 ), + .Q15(\NLW_blk00000003/blk00000ae4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ae3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000af6 ), + .Q(\blk00000003/sig000001c2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ae2 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a8e ), + .Q(\blk00000003/sig00000af6 ), + .Q15(\NLW_blk00000003/blk00000ae2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ae1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000af5 ), + .Q(\blk00000003/sig000001c1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ae0 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a8c ), + .Q(\blk00000003/sig00000af5 ), + .Q15(\NLW_blk00000003/blk00000ae0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000adf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000af4 ), + .Q(\blk00000003/sig000001c3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ade ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a8a ), + .Q(\blk00000003/sig00000af4 ), + .Q15(\NLW_blk00000003/blk00000ade_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000add ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000af3 ), + .Q(\blk00000003/sig000001bf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000adc ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a86 ), + .Q(\blk00000003/sig00000af3 ), + .Q15(\NLW_blk00000003/blk00000adc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000adb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000af2 ), + .Q(\blk00000003/sig000001be ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ada ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a84 ), + .Q(\blk00000003/sig00000af2 ), + .Q15(\NLW_blk00000003/blk00000ada_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ad9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000af1 ), + .Q(\blk00000003/sig000001c0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ad8 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a88 ), + .Q(\blk00000003/sig00000af1 ), + .Q15(\NLW_blk00000003/blk00000ad8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ad7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000af0 ), + .Q(\blk00000003/sig000001bc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ad6 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a7c ), + .Q(\blk00000003/sig00000af0 ), + .Q15(\NLW_blk00000003/blk00000ad6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ad5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000aef ), + .Q(\blk00000003/sig000001bb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ad4 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a80 ), + .Q(\blk00000003/sig00000aef ), + .Q15(\NLW_blk00000003/blk00000ad4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ad3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000aee ), + .Q(\blk00000003/sig000001bd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ad2 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a82 ), + .Q(\blk00000003/sig00000aee ), + .Q15(\NLW_blk00000003/blk00000ad2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ad1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000aed ), + .Q(\blk00000003/sig000001b9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ad0 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a76 ), + .Q(\blk00000003/sig00000aed ), + .Q15(\NLW_blk00000003/blk00000ad0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000acf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000aec ), + .Q(\blk00000003/sig000001b8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ace ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a7a ), + .Q(\blk00000003/sig00000aec ), + .Q15(\NLW_blk00000003/blk00000ace_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000acd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000aeb ), + .Q(\blk00000003/sig000001ba ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000acc ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a7e ), + .Q(\blk00000003/sig00000aeb ), + .Q15(\NLW_blk00000003/blk00000acc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000acb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000aea ), + .Q(\blk00000003/sig000001b7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aca ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a78 ), + .Q(\blk00000003/sig00000aea ), + .Q15(\NLW_blk00000003/blk00000aca_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ac9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ae9 ), + .Q(\blk00000003/sig000001b6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ac8 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a70 ), + .Q(\blk00000003/sig00000ae9 ), + .Q15(\NLW_blk00000003/blk00000ac8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ac7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ae8 ), + .Q(\blk00000003/sig000001b5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ac6 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a74 ), + .Q(\blk00000003/sig00000ae8 ), + .Q15(\NLW_blk00000003/blk00000ac6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ac5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ae7 ), + .Q(\blk00000003/sig000001b4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ac4 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a72 ), + .Q(\blk00000003/sig00000ae7 ), + .Q15(\NLW_blk00000003/blk00000ac4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ac3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ae6 ), + .Q(\blk00000003/sig000001b2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ac2 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a6c ), + .Q(\blk00000003/sig00000ae6 ), + .Q15(\NLW_blk00000003/blk00000ac2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ac1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ae5 ), + .Q(\blk00000003/sig00000152 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ac0 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a6a ), + .Q(\blk00000003/sig00000ae5 ), + .Q15(\NLW_blk00000003/blk00000ac0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000abf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ae4 ), + .Q(\blk00000003/sig000001b3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000abe ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a6e ), + .Q(\blk00000003/sig00000ae4 ), + .Q15(\NLW_blk00000003/blk00000abe_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000abd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ae3 ), + .Q(\blk00000003/sig00000150 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000abc ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a62 ), + .Q(\blk00000003/sig00000ae3 ), + .Q15(\NLW_blk00000003/blk00000abc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000abb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ae2 ), + .Q(\blk00000003/sig0000014f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aba ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a66 ), + .Q(\blk00000003/sig00000ae2 ), + .Q15(\NLW_blk00000003/blk00000aba_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ab9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ae1 ), + .Q(\blk00000003/sig00000151 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ab8 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a68 ), + .Q(\blk00000003/sig00000ae1 ), + .Q15(\NLW_blk00000003/blk00000ab8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ab7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ae0 ), + .Q(\blk00000003/sig0000014d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ab6 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a5c ), + .Q(\blk00000003/sig00000ae0 ), + .Q15(\NLW_blk00000003/blk00000ab6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ab5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000adf ), + .Q(\blk00000003/sig0000014c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ab4 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a60 ), + .Q(\blk00000003/sig00000adf ), + .Q15(\NLW_blk00000003/blk00000ab4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ab3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ade ), + .Q(\blk00000003/sig0000014e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ab2 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a64 ), + .Q(\blk00000003/sig00000ade ), + .Q15(\NLW_blk00000003/blk00000ab2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ab1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000add ), + .Q(\blk00000003/sig0000014b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ab0 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a5e ), + .Q(\blk00000003/sig00000add ), + .Q15(\NLW_blk00000003/blk00000ab0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aaf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000adc ), + .Q(\blk00000003/sig0000014a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aae ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a56 ), + .Q(\blk00000003/sig00000adc ), + .Q15(\NLW_blk00000003/blk00000aae_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aad ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000adb ), + .Q(\blk00000003/sig00000149 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aac ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a5a ), + .Q(\blk00000003/sig00000adb ), + .Q15(\NLW_blk00000003/blk00000aac_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aab ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ada ), + .Q(\blk00000003/sig00000148 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aaa ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a58 ), + .Q(\blk00000003/sig00000ada ), + .Q15(\NLW_blk00000003/blk00000aaa_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aa9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ad9 ), + .Q(\blk00000003/sig00000146 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aa8 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a52 ), + .Q(\blk00000003/sig00000ad9 ), + .Q15(\NLW_blk00000003/blk00000aa8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aa7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ad8 ), + .Q(\blk00000003/sig00000145 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aa6 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a50 ), + .Q(\blk00000003/sig00000ad8 ), + .Q15(\NLW_blk00000003/blk00000aa6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aa5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ad7 ), + .Q(\blk00000003/sig00000147 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aa4 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a54 ), + .Q(\blk00000003/sig00000ad7 ), + .Q15(\NLW_blk00000003/blk00000aa4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aa3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ad6 ), + .Q(\blk00000003/sig00000143 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aa2 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a48 ), + .Q(\blk00000003/sig00000ad6 ), + .Q15(\NLW_blk00000003/blk00000aa2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aa1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ad5 ), + .Q(\blk00000003/sig00000142 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aa0 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a4c ), + .Q(\blk00000003/sig00000ad5 ), + .Q15(\NLW_blk00000003/blk00000aa0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a9f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ad4 ), + .Q(\blk00000003/sig00000144 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a9e ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a4e ), + .Q(\blk00000003/sig00000ad4 ), + .Q15(\NLW_blk00000003/blk00000a9e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a9d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ad3 ), + .Q(\blk00000003/sig00000140 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a9c ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a42 ), + .Q(\blk00000003/sig00000ad3 ), + .Q15(\NLW_blk00000003/blk00000a9c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a9b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ad2 ), + .Q(\blk00000003/sig0000013f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a9a ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a46 ), + .Q(\blk00000003/sig00000ad2 ), + .Q15(\NLW_blk00000003/blk00000a9a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a99 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ad1 ), + .Q(\blk00000003/sig00000141 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a98 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a4a ), + .Q(\blk00000003/sig00000ad1 ), + .Q15(\NLW_blk00000003/blk00000a98_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a97 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ad0 ), + .Q(\blk00000003/sig0000013e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a96 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a44 ), + .Q(\blk00000003/sig00000ad0 ), + .Q15(\NLW_blk00000003/blk00000a96_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a95 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000acf ), + .Q(\blk00000003/sig0000013d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a94 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a3c ), + .Q(\blk00000003/sig00000acf ), + .Q15(\NLW_blk00000003/blk00000a94_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a93 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ace ), + .Q(\blk00000003/sig0000013c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a92 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a40 ), + .Q(\blk00000003/sig00000ace ), + .Q15(\NLW_blk00000003/blk00000a92_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a91 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000acd ), + .Q(\blk00000003/sig0000013b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a90 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a3e ), + .Q(\blk00000003/sig00000acd ), + .Q15(\NLW_blk00000003/blk00000a90_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a8f ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000acc ), + .Q(\blk00000003/sig000007d9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a8e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007a9 ), + .Q(\blk00000003/sig00000acc ), + .Q15(\NLW_blk00000003/blk00000a8e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a8d ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000acb ), + .Q(\blk00000003/sig000007d8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a8c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007a8 ), + .Q(\blk00000003/sig00000acb ), + .Q15(\NLW_blk00000003/blk00000a8c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a8b ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aca ), + .Q(\blk00000003/sig000007d6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a8a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007a6 ), + .Q(\blk00000003/sig00000aca ), + .Q15(\NLW_blk00000003/blk00000a8a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a89 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ac9 ), + .Q(\blk00000003/sig000007d5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a88 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007a5 ), + .Q(\blk00000003/sig00000ac9 ), + .Q15(\NLW_blk00000003/blk00000a88_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a87 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ac8 ), + .Q(\blk00000003/sig000007d7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a86 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007a7 ), + .Q(\blk00000003/sig00000ac8 ), + .Q15(\NLW_blk00000003/blk00000a86_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a85 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ac7 ), + .Q(\blk00000003/sig000007d4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a84 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007a4 ), + .Q(\blk00000003/sig00000ac7 ), + .Q15(\NLW_blk00000003/blk00000a84_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a83 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ac6 ), + .Q(\blk00000003/sig000007d3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a82 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007a3 ), + .Q(\blk00000003/sig00000ac6 ), + .Q15(\NLW_blk00000003/blk00000a82_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a81 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ac5 ), + .Q(\blk00000003/sig000007d2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a80 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007a2 ), + .Q(\blk00000003/sig00000ac5 ), + .Q15(\NLW_blk00000003/blk00000a80_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a7f ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ac4 ), + .Q(\blk00000003/sig000007d1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a7e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007a1 ), + .Q(\blk00000003/sig00000ac4 ), + .Q15(\NLW_blk00000003/blk00000a7e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a7d ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ac3 ), + .Q(\blk00000003/sig000007cf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a7c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig0000079f ), + .Q(\blk00000003/sig00000ac3 ), + .Q15(\NLW_blk00000003/blk00000a7c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a7b ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ac2 ), + .Q(\blk00000003/sig000007ce ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a7a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig0000079e ), + .Q(\blk00000003/sig00000ac2 ), + .Q15(\NLW_blk00000003/blk00000a7a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a79 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ac1 ), + .Q(\blk00000003/sig000007d0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a78 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007a0 ), + .Q(\blk00000003/sig00000ac1 ), + .Q15(\NLW_blk00000003/blk00000a78_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a77 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ac0 ), + .Q(\blk00000003/sig000007cc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a76 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig0000079c ), + .Q(\blk00000003/sig00000ac0 ), + .Q15(\NLW_blk00000003/blk00000a76_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a75 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000abf ), + .Q(\blk00000003/sig000007cb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a74 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig0000079b ), + .Q(\blk00000003/sig00000abf ), + .Q15(\NLW_blk00000003/blk00000a74_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a73 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000abe ), + .Q(\blk00000003/sig000007cd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a72 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig0000079d ), + .Q(\blk00000003/sig00000abe ), + .Q15(\NLW_blk00000003/blk00000a72_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a71 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000abd ), + .Q(\blk00000003/sig000007c9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a70 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig00000799 ), + .Q(\blk00000003/sig00000abd ), + .Q15(\NLW_blk00000003/blk00000a70_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a6f ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000abc ), + .Q(\blk00000003/sig000007c8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a6e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig00000798 ), + .Q(\blk00000003/sig00000abc ), + .Q15(\NLW_blk00000003/blk00000a6e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a6d ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000abb ), + .Q(\blk00000003/sig000007ca ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a6c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig0000079a ), + .Q(\blk00000003/sig00000abb ), + .Q15(\NLW_blk00000003/blk00000a6c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a6b ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aba ), + .Q(\blk00000003/sig000007c7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a6a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig00000797 ), + .Q(\blk00000003/sig00000aba ), + .Q15(\NLW_blk00000003/blk00000a6a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a69 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ab9 ), + .Q(\blk00000003/sig000007c6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a68 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig00000796 ), + .Q(\blk00000003/sig00000ab9 ), + .Q15(\NLW_blk00000003/blk00000a68_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a67 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ab8 ), + .Q(\blk00000003/sig000007c5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a66 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig00000795 ), + .Q(\blk00000003/sig00000ab8 ), + .Q15(\NLW_blk00000003/blk00000a66_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a65 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ab7 ), + .Q(\blk00000003/sig000007c4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a64 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig00000794 ), + .Q(\blk00000003/sig00000ab7 ), + .Q15(\NLW_blk00000003/blk00000a64_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a63 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ab6 ), + .Q(\blk00000003/sig000007c2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a62 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig00000792 ), + .Q(\blk00000003/sig00000ab6 ), + .Q15(\NLW_blk00000003/blk00000a62_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a61 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ab5 ), + .Q(\blk00000003/sig000007c3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a60 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig00000793 ), + .Q(\blk00000003/sig00000ab5 ), + .Q15(\NLW_blk00000003/blk00000a60_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a5f ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ab4 ), + .Q(\blk00000003/sig000007f1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a5e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007c1 ), + .Q(\blk00000003/sig00000ab4 ), + .Q15(\NLW_blk00000003/blk00000a5e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a5d ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ab3 ), + .Q(\blk00000003/sig000007f0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a5c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007c0 ), + .Q(\blk00000003/sig00000ab3 ), + .Q15(\NLW_blk00000003/blk00000a5c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a5b ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ab2 ), + .Q(\blk00000003/sig000007ef ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a5a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007bf ), + .Q(\blk00000003/sig00000ab2 ), + .Q15(\NLW_blk00000003/blk00000a5a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a59 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ab1 ), + .Q(\blk00000003/sig000007ee ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a58 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007be ), + .Q(\blk00000003/sig00000ab1 ), + .Q15(\NLW_blk00000003/blk00000a58_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a57 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000ab0 ), + .Q(\blk00000003/sig000007ed ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a56 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007bd ), + .Q(\blk00000003/sig00000ab0 ), + .Q15(\NLW_blk00000003/blk00000a56_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a55 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aaf ), + .Q(\blk00000003/sig000007eb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a54 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007bb ), + .Q(\blk00000003/sig00000aaf ), + .Q15(\NLW_blk00000003/blk00000a54_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a53 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aae ), + .Q(\blk00000003/sig000007ea ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a52 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007ba ), + .Q(\blk00000003/sig00000aae ), + .Q15(\NLW_blk00000003/blk00000a52_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a51 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aad ), + .Q(\blk00000003/sig000007ec ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a50 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007bc ), + .Q(\blk00000003/sig00000aad ), + .Q15(\NLW_blk00000003/blk00000a50_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a4f ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aac ), + .Q(\blk00000003/sig000007e8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a4e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007b8 ), + .Q(\blk00000003/sig00000aac ), + .Q15(\NLW_blk00000003/blk00000a4e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a4d ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aab ), + .Q(\blk00000003/sig000007e7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a4c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007b7 ), + .Q(\blk00000003/sig00000aab ), + .Q15(\NLW_blk00000003/blk00000a4c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a4b ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aaa ), + .Q(\blk00000003/sig000007e9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a4a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007b9 ), + .Q(\blk00000003/sig00000aaa ), + .Q15(\NLW_blk00000003/blk00000a4a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a49 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aa9 ), + .Q(\blk00000003/sig000007e5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a48 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007b5 ), + .Q(\blk00000003/sig00000aa9 ), + .Q15(\NLW_blk00000003/blk00000a48_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a47 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aa8 ), + .Q(\blk00000003/sig000007e4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a46 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007b4 ), + .Q(\blk00000003/sig00000aa8 ), + .Q15(\NLW_blk00000003/blk00000a46_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a45 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aa7 ), + .Q(\blk00000003/sig000007e6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a44 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007b6 ), + .Q(\blk00000003/sig00000aa7 ), + .Q15(\NLW_blk00000003/blk00000a44_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a43 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aa6 ), + .Q(\blk00000003/sig000007e3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a42 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007b3 ), + .Q(\blk00000003/sig00000aa6 ), + .Q15(\NLW_blk00000003/blk00000a42_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a41 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aa5 ), + .Q(\blk00000003/sig000007e2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a40 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007b2 ), + .Q(\blk00000003/sig00000aa5 ), + .Q15(\NLW_blk00000003/blk00000a40_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a3f ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aa4 ), + .Q(\blk00000003/sig000007e1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a3e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007b1 ), + .Q(\blk00000003/sig00000aa4 ), + .Q15(\NLW_blk00000003/blk00000a3e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a3d ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aa3 ), + .Q(\blk00000003/sig000007e0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a3c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007b0 ), + .Q(\blk00000003/sig00000aa3 ), + .Q15(\NLW_blk00000003/blk00000a3c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a3b ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aa2 ), + .Q(\blk00000003/sig000007de ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a3a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007ae ), + .Q(\blk00000003/sig00000aa2 ), + .Q15(\NLW_blk00000003/blk00000a3a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a39 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aa1 ), + .Q(\blk00000003/sig000007dd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a38 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007ad ), + .Q(\blk00000003/sig00000aa1 ), + .Q15(\NLW_blk00000003/blk00000a38_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a37 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000aa0 ), + .Q(\blk00000003/sig000007df ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a36 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007af ), + .Q(\blk00000003/sig00000aa0 ), + .Q15(\NLW_blk00000003/blk00000a36_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a35 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000a9f ), + .Q(\blk00000003/sig000007db ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a34 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007ab ), + .Q(\blk00000003/sig00000a9f ), + .Q15(\NLW_blk00000003/blk00000a34_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a33 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000a9e ), + .Q(\blk00000003/sig000007da ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a32 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007aa ), + .Q(\blk00000003/sig00000a9e ), + .Q15(\NLW_blk00000003/blk00000a32_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a31 ( + .C(clk), + .CE(\blk00000003/sig000009b4 ), + .D(\blk00000003/sig00000a9d ), + .Q(\blk00000003/sig000007dc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a30 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig000009b4 ), + .CLK(clk), + .D(\blk00000003/sig000007ac ), + .Q(\blk00000003/sig00000a9d ), + .Q15(\NLW_blk00000003/blk00000a30_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a2f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a9c ), + .Q(\blk00000003/sig00000761 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a2e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001de ), + .Q(\blk00000003/sig00000a9c ), + .Q15(\NLW_blk00000003/blk00000a2e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a2d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a9b ), + .Q(\blk00000003/sig000009ad ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a2c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(NlwRenamedSig_OI_rfd), + .A3(NlwRenamedSig_OI_rfd), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ca ), + .Q(\blk00000003/sig00000a9b ), + .Q15(\NLW_blk00000003/blk00000a2c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a2b ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a99 ), + .Q(\blk00000003/sig00000a9a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a2a ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000218 ), + .Q(\blk00000003/sig00000a99 ), + .Q15(\NLW_blk00000003/blk00000a2a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a29 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a97 ), + .Q(\blk00000003/sig00000a98 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a28 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000217 ), + .Q(\blk00000003/sig00000a97 ), + .Q15(\NLW_blk00000003/blk00000a28_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a27 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a95 ), + .Q(\blk00000003/sig00000a96 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a26 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000219 ), + .Q(\blk00000003/sig00000a95 ), + .Q15(\NLW_blk00000003/blk00000a26_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a25 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a93 ), + .Q(\blk00000003/sig00000a94 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a24 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000215 ), + .Q(\blk00000003/sig00000a93 ), + .Q15(\NLW_blk00000003/blk00000a24_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a23 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a91 ), + .Q(\blk00000003/sig00000a92 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a22 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000214 ), + .Q(\blk00000003/sig00000a91 ), + .Q15(\NLW_blk00000003/blk00000a22_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a21 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a8f ), + .Q(\blk00000003/sig00000a90 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a20 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000216 ), + .Q(\blk00000003/sig00000a8f ), + .Q15(\NLW_blk00000003/blk00000a20_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a1f ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a8d ), + .Q(\blk00000003/sig00000a8e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a1e ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000212 ), + .Q(\blk00000003/sig00000a8d ), + .Q15(\NLW_blk00000003/blk00000a1e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a1d ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a8b ), + .Q(\blk00000003/sig00000a8c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a1c ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000211 ), + .Q(\blk00000003/sig00000a8b ), + .Q15(\NLW_blk00000003/blk00000a1c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a1b ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a89 ), + .Q(\blk00000003/sig00000a8a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a1a ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000213 ), + .Q(\blk00000003/sig00000a89 ), + .Q15(\NLW_blk00000003/blk00000a1a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a19 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a87 ), + .Q(\blk00000003/sig00000a88 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a18 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000210 ), + .Q(\blk00000003/sig00000a87 ), + .Q15(\NLW_blk00000003/blk00000a18_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a17 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a85 ), + .Q(\blk00000003/sig00000a86 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a16 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig0000020f ), + .Q(\blk00000003/sig00000a85 ), + .Q15(\NLW_blk00000003/blk00000a16_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a15 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a83 ), + .Q(\blk00000003/sig00000a84 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a14 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig0000020e ), + .Q(\blk00000003/sig00000a83 ), + .Q15(\NLW_blk00000003/blk00000a14_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a13 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a81 ), + .Q(\blk00000003/sig00000a82 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a12 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig0000020d ), + .Q(\blk00000003/sig00000a81 ), + .Q15(\NLW_blk00000003/blk00000a12_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a11 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a7f ), + .Q(\blk00000003/sig00000a80 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a10 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig0000020b ), + .Q(\blk00000003/sig00000a7f ), + .Q15(\NLW_blk00000003/blk00000a10_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a0f ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a7d ), + .Q(\blk00000003/sig00000a7e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a0e ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig0000020a ), + .Q(\blk00000003/sig00000a7d ), + .Q15(\NLW_blk00000003/blk00000a0e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a0d ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a7b ), + .Q(\blk00000003/sig00000a7c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a0c ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig0000020c ), + .Q(\blk00000003/sig00000a7b ), + .Q15(\NLW_blk00000003/blk00000a0c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a0b ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a79 ), + .Q(\blk00000003/sig00000a7a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a0a ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000208 ), + .Q(\blk00000003/sig00000a79 ), + .Q15(\NLW_blk00000003/blk00000a0a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a09 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a77 ), + .Q(\blk00000003/sig00000a78 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a08 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000207 ), + .Q(\blk00000003/sig00000a77 ), + .Q15(\NLW_blk00000003/blk00000a08_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a07 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a75 ), + .Q(\blk00000003/sig00000a76 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a06 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000209 ), + .Q(\blk00000003/sig00000a75 ), + .Q15(\NLW_blk00000003/blk00000a06_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a05 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a73 ), + .Q(\blk00000003/sig00000a74 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a04 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000205 ), + .Q(\blk00000003/sig00000a73 ), + .Q15(\NLW_blk00000003/blk00000a04_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a03 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a71 ), + .Q(\blk00000003/sig00000a72 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a02 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000204 ), + .Q(\blk00000003/sig00000a71 ), + .Q15(\NLW_blk00000003/blk00000a02_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a01 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a6f ), + .Q(\blk00000003/sig00000a70 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a00 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000206 ), + .Q(\blk00000003/sig00000a6f ), + .Q15(\NLW_blk00000003/blk00000a00_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ff ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a6d ), + .Q(\blk00000003/sig00000a6e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009fe ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000203 ), + .Q(\blk00000003/sig00000a6d ), + .Q15(\NLW_blk00000003/blk000009fe_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009fd ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a6b ), + .Q(\blk00000003/sig00000a6c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009fc ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000202 ), + .Q(\blk00000003/sig00000a6b ), + .Q15(\NLW_blk00000003/blk000009fc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009fb ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a69 ), + .Q(\blk00000003/sig00000a6a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009fa ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000201 ), + .Q(\blk00000003/sig00000a69 ), + .Q15(\NLW_blk00000003/blk000009fa_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009f9 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a67 ), + .Q(\blk00000003/sig00000a68 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009f8 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig00000200 ), + .Q(\blk00000003/sig00000a67 ), + .Q15(\NLW_blk00000003/blk000009f8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009f7 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a65 ), + .Q(\blk00000003/sig00000a66 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009f6 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001fe ), + .Q(\blk00000003/sig00000a65 ), + .Q15(\NLW_blk00000003/blk000009f6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009f5 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a63 ), + .Q(\blk00000003/sig00000a64 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009f4 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001fd ), + .Q(\blk00000003/sig00000a63 ), + .Q15(\NLW_blk00000003/blk000009f4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009f3 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a61 ), + .Q(\blk00000003/sig00000a62 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009f2 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001ff ), + .Q(\blk00000003/sig00000a61 ), + .Q15(\NLW_blk00000003/blk000009f2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009f1 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a5f ), + .Q(\blk00000003/sig00000a60 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009f0 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001fb ), + .Q(\blk00000003/sig00000a5f ), + .Q15(\NLW_blk00000003/blk000009f0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ef ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a5d ), + .Q(\blk00000003/sig00000a5e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ee ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001fa ), + .Q(\blk00000003/sig00000a5d ), + .Q15(\NLW_blk00000003/blk000009ee_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ed ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a5b ), + .Q(\blk00000003/sig00000a5c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ec ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001fc ), + .Q(\blk00000003/sig00000a5b ), + .Q15(\NLW_blk00000003/blk000009ec_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009eb ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a59 ), + .Q(\blk00000003/sig00000a5a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ea ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001f8 ), + .Q(\blk00000003/sig00000a59 ), + .Q15(\NLW_blk00000003/blk000009ea_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009e9 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a57 ), + .Q(\blk00000003/sig00000a58 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009e8 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001f7 ), + .Q(\blk00000003/sig00000a57 ), + .Q15(\NLW_blk00000003/blk000009e8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009e7 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a55 ), + .Q(\blk00000003/sig00000a56 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009e6 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001f9 ), + .Q(\blk00000003/sig00000a55 ), + .Q15(\NLW_blk00000003/blk000009e6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009e5 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a53 ), + .Q(\blk00000003/sig00000a54 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009e4 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001f6 ), + .Q(\blk00000003/sig00000a53 ), + .Q15(\NLW_blk00000003/blk000009e4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009e3 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a51 ), + .Q(\blk00000003/sig00000a52 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009e2 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001f5 ), + .Q(\blk00000003/sig00000a51 ), + .Q15(\NLW_blk00000003/blk000009e2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009e1 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a4f ), + .Q(\blk00000003/sig00000a50 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009e0 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001f4 ), + .Q(\blk00000003/sig00000a4f ), + .Q15(\NLW_blk00000003/blk000009e0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009df ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a4d ), + .Q(\blk00000003/sig00000a4e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009de ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001f3 ), + .Q(\blk00000003/sig00000a4d ), + .Q15(\NLW_blk00000003/blk000009de_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009dd ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a4b ), + .Q(\blk00000003/sig00000a4c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009dc ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001f1 ), + .Q(\blk00000003/sig00000a4b ), + .Q15(\NLW_blk00000003/blk000009dc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009db ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a49 ), + .Q(\blk00000003/sig00000a4a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009da ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001f0 ), + .Q(\blk00000003/sig00000a49 ), + .Q15(\NLW_blk00000003/blk000009da_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009d9 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a47 ), + .Q(\blk00000003/sig00000a48 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009d8 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001f2 ), + .Q(\blk00000003/sig00000a47 ), + .Q15(\NLW_blk00000003/blk000009d8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009d7 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a45 ), + .Q(\blk00000003/sig00000a46 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009d6 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001ee ), + .Q(\blk00000003/sig00000a45 ), + .Q15(\NLW_blk00000003/blk000009d6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009d5 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a43 ), + .Q(\blk00000003/sig00000a44 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009d4 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001ed ), + .Q(\blk00000003/sig00000a43 ), + .Q15(\NLW_blk00000003/blk000009d4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009d3 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a41 ), + .Q(\blk00000003/sig00000a42 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009d2 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001ef ), + .Q(\blk00000003/sig00000a41 ), + .Q15(\NLW_blk00000003/blk000009d2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009d1 ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a3f ), + .Q(\blk00000003/sig00000a40 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009d0 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001eb ), + .Q(\blk00000003/sig00000a3f ), + .Q15(\NLW_blk00000003/blk000009d0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009cf ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a3d ), + .Q(\blk00000003/sig00000a3e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ce ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001ea ), + .Q(\blk00000003/sig00000a3d ), + .Q15(\NLW_blk00000003/blk000009ce_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009cd ( + .C(clk), + .CE(\blk00000003/sig000009b8 ), + .D(\blk00000003/sig00000a3b ), + .Q(\blk00000003/sig00000a3c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009cc ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig000009b8 ), + .CLK(clk), + .D(\blk00000003/sig000001ec ), + .Q(\blk00000003/sig00000a3b ), + .Q15(\NLW_blk00000003/blk000009cc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009cb ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a3a ), + .Q(\blk00000003/sig000007a9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ca ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000779 ), + .Q(\blk00000003/sig00000a3a ), + .Q15(\NLW_blk00000003/blk000009ca_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009c9 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a39 ), + .Q(\blk00000003/sig000007a7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009c8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000777 ), + .Q(\blk00000003/sig00000a39 ), + .Q15(\NLW_blk00000003/blk000009c8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009c7 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a38 ), + .Q(\blk00000003/sig000007a6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009c6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000776 ), + .Q(\blk00000003/sig00000a38 ), + .Q15(\NLW_blk00000003/blk000009c6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009c5 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a37 ), + .Q(\blk00000003/sig000007a8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009c4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000778 ), + .Q(\blk00000003/sig00000a37 ), + .Q15(\NLW_blk00000003/blk000009c4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009c3 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a36 ), + .Q(\blk00000003/sig000007a4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009c2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000774 ), + .Q(\blk00000003/sig00000a36 ), + .Q15(\NLW_blk00000003/blk000009c2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009c1 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a35 ), + .Q(\blk00000003/sig000007a3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009c0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000773 ), + .Q(\blk00000003/sig00000a35 ), + .Q15(\NLW_blk00000003/blk000009c0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009bf ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a34 ), + .Q(\blk00000003/sig000007a5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009be ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000775 ), + .Q(\blk00000003/sig00000a34 ), + .Q15(\NLW_blk00000003/blk000009be_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009bd ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a33 ), + .Q(\blk00000003/sig000007a2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009bc ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000772 ), + .Q(\blk00000003/sig00000a33 ), + .Q15(\NLW_blk00000003/blk000009bc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009bb ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a32 ), + .Q(\blk00000003/sig000007a1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ba ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000771 ), + .Q(\blk00000003/sig00000a32 ), + .Q15(\NLW_blk00000003/blk000009ba_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009b9 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a31 ), + .Q(\blk00000003/sig000007a0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009b8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000770 ), + .Q(\blk00000003/sig00000a31 ), + .Q15(\NLW_blk00000003/blk000009b8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009b7 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a30 ), + .Q(\blk00000003/sig0000079f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009b6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000076f ), + .Q(\blk00000003/sig00000a30 ), + .Q15(\NLW_blk00000003/blk000009b6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009b5 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a2f ), + .Q(\blk00000003/sig0000079d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009b4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000076d ), + .Q(\blk00000003/sig00000a2f ), + .Q15(\NLW_blk00000003/blk000009b4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009b3 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a2e ), + .Q(\blk00000003/sig0000079c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009b2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000076c ), + .Q(\blk00000003/sig00000a2e ), + .Q15(\NLW_blk00000003/blk000009b2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009b1 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a2d ), + .Q(\blk00000003/sig0000079e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009b0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000076e ), + .Q(\blk00000003/sig00000a2d ), + .Q15(\NLW_blk00000003/blk000009b0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009af ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a2c ), + .Q(\blk00000003/sig0000079a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ae ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000076a ), + .Q(\blk00000003/sig00000a2c ), + .Q15(\NLW_blk00000003/blk000009ae_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ad ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a2b ), + .Q(\blk00000003/sig00000799 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ac ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000769 ), + .Q(\blk00000003/sig00000a2b ), + .Q15(\NLW_blk00000003/blk000009ac_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ab ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a2a ), + .Q(\blk00000003/sig0000079b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009aa ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000076b ), + .Q(\blk00000003/sig00000a2a ), + .Q15(\NLW_blk00000003/blk000009aa_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009a9 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a29 ), + .Q(\blk00000003/sig00000797 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009a8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000767 ), + .Q(\blk00000003/sig00000a29 ), + .Q15(\NLW_blk00000003/blk000009a8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009a7 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a28 ), + .Q(\blk00000003/sig00000796 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009a6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000766 ), + .Q(\blk00000003/sig00000a28 ), + .Q15(\NLW_blk00000003/blk000009a6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009a5 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a27 ), + .Q(\blk00000003/sig00000798 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009a4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000768 ), + .Q(\blk00000003/sig00000a27 ), + .Q15(\NLW_blk00000003/blk000009a4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009a3 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a26 ), + .Q(\blk00000003/sig00000794 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009a2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000764 ), + .Q(\blk00000003/sig00000a26 ), + .Q15(\NLW_blk00000003/blk000009a2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009a1 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a25 ), + .Q(\blk00000003/sig00000793 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009a0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000763 ), + .Q(\blk00000003/sig00000a25 ), + .Q15(\NLW_blk00000003/blk000009a0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000099f ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a24 ), + .Q(\blk00000003/sig00000795 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000099e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000765 ), + .Q(\blk00000003/sig00000a24 ), + .Q15(\NLW_blk00000003/blk0000099e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000099d ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a23 ), + .Q(\blk00000003/sig00000792 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000099c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000762 ), + .Q(\blk00000003/sig00000a23 ), + .Q15(\NLW_blk00000003/blk0000099c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000099b ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a22 ), + .Q(\blk00000003/sig000007c0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000099a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000790 ), + .Q(\blk00000003/sig00000a22 ), + .Q15(\NLW_blk00000003/blk0000099a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000999 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a21 ), + .Q(\blk00000003/sig000007bf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000998 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000078f ), + .Q(\blk00000003/sig00000a21 ), + .Q15(\NLW_blk00000003/blk00000998_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000997 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a20 ), + .Q(\blk00000003/sig000007c1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000996 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000791 ), + .Q(\blk00000003/sig00000a20 ), + .Q15(\NLW_blk00000003/blk00000996_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000995 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a1f ), + .Q(\blk00000003/sig000007bd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000994 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000078d ), + .Q(\blk00000003/sig00000a1f ), + .Q15(\NLW_blk00000003/blk00000994_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000993 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a1e ), + .Q(\blk00000003/sig000007bc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000992 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000078c ), + .Q(\blk00000003/sig00000a1e ), + .Q15(\NLW_blk00000003/blk00000992_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000991 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a1d ), + .Q(\blk00000003/sig000007be ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000990 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000078e ), + .Q(\blk00000003/sig00000a1d ), + .Q15(\NLW_blk00000003/blk00000990_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000098f ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a1c ), + .Q(\blk00000003/sig000007ba ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000098e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000078a ), + .Q(\blk00000003/sig00000a1c ), + .Q15(\NLW_blk00000003/blk0000098e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000098d ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a1b ), + .Q(\blk00000003/sig000007b9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000098c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000789 ), + .Q(\blk00000003/sig00000a1b ), + .Q15(\NLW_blk00000003/blk0000098c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000098b ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a1a ), + .Q(\blk00000003/sig000007bb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000098a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000078b ), + .Q(\blk00000003/sig00000a1a ), + .Q15(\NLW_blk00000003/blk0000098a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000989 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a19 ), + .Q(\blk00000003/sig000007b7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000988 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000787 ), + .Q(\blk00000003/sig00000a19 ), + .Q15(\NLW_blk00000003/blk00000988_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000987 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a18 ), + .Q(\blk00000003/sig000007b6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000986 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000786 ), + .Q(\blk00000003/sig00000a18 ), + .Q15(\NLW_blk00000003/blk00000986_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000985 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a17 ), + .Q(\blk00000003/sig000007b8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000984 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000788 ), + .Q(\blk00000003/sig00000a17 ), + .Q15(\NLW_blk00000003/blk00000984_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000983 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a16 ), + .Q(\blk00000003/sig000007b4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000982 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000784 ), + .Q(\blk00000003/sig00000a16 ), + .Q15(\NLW_blk00000003/blk00000982_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000981 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a15 ), + .Q(\blk00000003/sig000007b3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000980 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000783 ), + .Q(\blk00000003/sig00000a15 ), + .Q15(\NLW_blk00000003/blk00000980_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000097f ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a14 ), + .Q(\blk00000003/sig000007b5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000097e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000785 ), + .Q(\blk00000003/sig00000a14 ), + .Q15(\NLW_blk00000003/blk0000097e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000097d ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a13 ), + .Q(\blk00000003/sig000007b2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000097c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000782 ), + .Q(\blk00000003/sig00000a13 ), + .Q15(\NLW_blk00000003/blk0000097c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000097b ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a12 ), + .Q(\blk00000003/sig000007b1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000097a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000781 ), + .Q(\blk00000003/sig00000a12 ), + .Q15(\NLW_blk00000003/blk0000097a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000979 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a11 ), + .Q(\blk00000003/sig000007b0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000978 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig00000780 ), + .Q(\blk00000003/sig00000a11 ), + .Q15(\NLW_blk00000003/blk00000978_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000977 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a10 ), + .Q(\blk00000003/sig000007af ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000976 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000077f ), + .Q(\blk00000003/sig00000a10 ), + .Q15(\NLW_blk00000003/blk00000976_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000975 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a0f ), + .Q(\blk00000003/sig000007ad ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000974 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000077d ), + .Q(\blk00000003/sig00000a0f ), + .Q15(\NLW_blk00000003/blk00000974_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000973 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a0e ), + .Q(\blk00000003/sig000007ac ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000972 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000077c ), + .Q(\blk00000003/sig00000a0e ), + .Q15(\NLW_blk00000003/blk00000972_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000971 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a0d ), + .Q(\blk00000003/sig000007ae ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000970 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000077e ), + .Q(\blk00000003/sig00000a0d ), + .Q15(\NLW_blk00000003/blk00000970_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000096f ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a0c ), + .Q(\blk00000003/sig000007aa ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000096e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000077a ), + .Q(\blk00000003/sig00000a0c ), + .Q15(\NLW_blk00000003/blk0000096e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000096d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a0b ), + .Q(\blk00000003/sig00000869 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000096c ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000219 ), + .Q(\blk00000003/sig00000a0b ), + .Q15(\NLW_blk00000003/blk0000096c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000096b ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000a0a ), + .Q(\blk00000003/sig000007ab ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000096a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig0000091e ), + .CLK(clk), + .D(\blk00000003/sig0000077b ), + .Q(\blk00000003/sig00000a0a ), + .Q15(\NLW_blk00000003/blk0000096a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000969 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a09 ), + .Q(\blk00000003/sig00000867 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000968 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000217 ), + .Q(\blk00000003/sig00000a09 ), + .Q15(\NLW_blk00000003/blk00000968_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000967 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a08 ), + .Q(\blk00000003/sig00000866 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000966 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000216 ), + .Q(\blk00000003/sig00000a08 ), + .Q15(\NLW_blk00000003/blk00000966_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000965 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a07 ), + .Q(\blk00000003/sig00000868 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000964 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000218 ), + .Q(\blk00000003/sig00000a07 ), + .Q15(\NLW_blk00000003/blk00000964_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000963 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a06 ), + .Q(\blk00000003/sig00000865 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000962 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000215 ), + .Q(\blk00000003/sig00000a06 ), + .Q15(\NLW_blk00000003/blk00000962_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000961 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a05 ), + .Q(\blk00000003/sig00000864 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000960 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000214 ), + .Q(\blk00000003/sig00000a05 ), + .Q15(\NLW_blk00000003/blk00000960_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000095f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a04 ), + .Q(\blk00000003/sig00000863 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000095e ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000213 ), + .Q(\blk00000003/sig00000a04 ), + .Q15(\NLW_blk00000003/blk0000095e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000095d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a03 ), + .Q(\blk00000003/sig00000862 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000095c ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000212 ), + .Q(\blk00000003/sig00000a03 ), + .Q15(\NLW_blk00000003/blk0000095c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000095b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a02 ), + .Q(\blk00000003/sig00000860 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000095a ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000210 ), + .Q(\blk00000003/sig00000a02 ), + .Q15(\NLW_blk00000003/blk0000095a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000959 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a01 ), + .Q(\blk00000003/sig0000085f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000958 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020f ), + .Q(\blk00000003/sig00000a01 ), + .Q15(\NLW_blk00000003/blk00000958_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000957 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a00 ), + .Q(\blk00000003/sig00000861 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000956 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000211 ), + .Q(\blk00000003/sig00000a00 ), + .Q15(\NLW_blk00000003/blk00000956_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000955 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ff ), + .Q(\blk00000003/sig0000085d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000954 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020d ), + .Q(\blk00000003/sig000009ff ), + .Q15(\NLW_blk00000003/blk00000954_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000953 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009fe ), + .Q(\blk00000003/sig0000085c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000952 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020c ), + .Q(\blk00000003/sig000009fe ), + .Q15(\NLW_blk00000003/blk00000952_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000951 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009fd ), + .Q(\blk00000003/sig0000085e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000950 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020e ), + .Q(\blk00000003/sig000009fd ), + .Q15(\NLW_blk00000003/blk00000950_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000094f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009fc ), + .Q(\blk00000003/sig0000085a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000094e ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020a ), + .Q(\blk00000003/sig000009fc ), + .Q15(\NLW_blk00000003/blk0000094e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000094d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009fb ), + .Q(\blk00000003/sig00000859 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000094c ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000209 ), + .Q(\blk00000003/sig000009fb ), + .Q15(\NLW_blk00000003/blk0000094c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000094b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009fa ), + .Q(\blk00000003/sig0000085b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000094a ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020b ), + .Q(\blk00000003/sig000009fa ), + .Q15(\NLW_blk00000003/blk0000094a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000949 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f9 ), + .Q(\blk00000003/sig00000858 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000948 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000208 ), + .Q(\blk00000003/sig000009f9 ), + .Q15(\NLW_blk00000003/blk00000948_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000947 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f8 ), + .Q(\blk00000003/sig00000857 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000946 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000207 ), + .Q(\blk00000003/sig000009f8 ), + .Q15(\NLW_blk00000003/blk00000946_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000945 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f7 ), + .Q(\blk00000003/sig00000856 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000944 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000206 ), + .Q(\blk00000003/sig000009f7 ), + .Q15(\NLW_blk00000003/blk00000944_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000943 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f6 ), + .Q(\blk00000003/sig00000855 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000942 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000205 ), + .Q(\blk00000003/sig000009f6 ), + .Q15(\NLW_blk00000003/blk00000942_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000941 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f5 ), + .Q(\blk00000003/sig00000853 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000940 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000203 ), + .Q(\blk00000003/sig000009f5 ), + .Q15(\NLW_blk00000003/blk00000940_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000093f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f4 ), + .Q(\blk00000003/sig00000852 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000093e ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000202 ), + .Q(\blk00000003/sig000009f4 ), + .Q15(\NLW_blk00000003/blk0000093e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000093d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f3 ), + .Q(\blk00000003/sig00000854 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000093c ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000204 ), + .Q(\blk00000003/sig000009f3 ), + .Q15(\NLW_blk00000003/blk0000093c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000093b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f2 ), + .Q(\blk00000003/sig00000898 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000093a ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000200 ), + .Q(\blk00000003/sig000009f2 ), + .Q15(\NLW_blk00000003/blk0000093a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000939 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f1 ), + .Q(\blk00000003/sig00000897 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000938 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ff ), + .Q(\blk00000003/sig000009f1 ), + .Q15(\NLW_blk00000003/blk00000938_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000937 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f0 ), + .Q(\blk00000003/sig00000899 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000936 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000201 ), + .Q(\blk00000003/sig000009f0 ), + .Q15(\NLW_blk00000003/blk00000936_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000935 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ef ), + .Q(\blk00000003/sig00000895 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000934 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fd ), + .Q(\blk00000003/sig000009ef ), + .Q15(\NLW_blk00000003/blk00000934_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000933 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ee ), + .Q(\blk00000003/sig00000894 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000932 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fc ), + .Q(\blk00000003/sig000009ee ), + .Q15(\NLW_blk00000003/blk00000932_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000931 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ed ), + .Q(\blk00000003/sig00000896 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000930 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fe ), + .Q(\blk00000003/sig000009ed ), + .Q15(\NLW_blk00000003/blk00000930_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000092f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ec ), + .Q(\blk00000003/sig00000893 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000092e ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fb ), + .Q(\blk00000003/sig000009ec ), + .Q15(\NLW_blk00000003/blk0000092e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000092d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009eb ), + .Q(\blk00000003/sig00000892 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000092c ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fa ), + .Q(\blk00000003/sig000009eb ), + .Q15(\NLW_blk00000003/blk0000092c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000092b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ea ), + .Q(\blk00000003/sig00000891 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000092a ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f9 ), + .Q(\blk00000003/sig000009ea ), + .Q15(\NLW_blk00000003/blk0000092a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000929 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009e9 ), + .Q(\blk00000003/sig00000890 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000928 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f8 ), + .Q(\blk00000003/sig000009e9 ), + .Q15(\NLW_blk00000003/blk00000928_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000927 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009e8 ), + .Q(\blk00000003/sig0000088e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000926 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f6 ), + .Q(\blk00000003/sig000009e8 ), + .Q15(\NLW_blk00000003/blk00000926_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000925 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009e7 ), + .Q(\blk00000003/sig0000088d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000924 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f5 ), + .Q(\blk00000003/sig000009e7 ), + .Q15(\NLW_blk00000003/blk00000924_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000923 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009e6 ), + .Q(\blk00000003/sig0000088f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000922 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f7 ), + .Q(\blk00000003/sig000009e6 ), + .Q15(\NLW_blk00000003/blk00000922_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000921 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009e5 ), + .Q(\blk00000003/sig0000088b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000920 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f3 ), + .Q(\blk00000003/sig000009e5 ), + .Q15(\NLW_blk00000003/blk00000920_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000091f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009e4 ), + .Q(\blk00000003/sig0000088a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000091e ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f2 ), + .Q(\blk00000003/sig000009e4 ), + .Q15(\NLW_blk00000003/blk0000091e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000091d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009e3 ), + .Q(\blk00000003/sig0000088c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000091c ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f4 ), + .Q(\blk00000003/sig000009e3 ), + .Q15(\NLW_blk00000003/blk0000091c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000091b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009e2 ), + .Q(\blk00000003/sig00000888 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000091a ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f0 ), + .Q(\blk00000003/sig000009e2 ), + .Q15(\NLW_blk00000003/blk0000091a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000919 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009e1 ), + .Q(\blk00000003/sig00000887 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000918 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ef ), + .Q(\blk00000003/sig000009e1 ), + .Q15(\NLW_blk00000003/blk00000918_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000917 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009e0 ), + .Q(\blk00000003/sig00000889 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000916 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f1 ), + .Q(\blk00000003/sig000009e0 ), + .Q15(\NLW_blk00000003/blk00000916_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000915 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009df ), + .Q(\blk00000003/sig00000886 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000914 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ee ), + .Q(\blk00000003/sig000009df ), + .Q15(\NLW_blk00000003/blk00000914_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000913 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009de ), + .Q(\blk00000003/sig00000885 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000912 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ed ), + .Q(\blk00000003/sig000009de ), + .Q15(\NLW_blk00000003/blk00000912_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000911 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009dd ), + .Q(\blk00000003/sig00000884 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000910 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ec ), + .Q(\blk00000003/sig000009dd ), + .Q15(\NLW_blk00000003/blk00000910_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000090f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009dc ), + .Q(\blk00000003/sig00000883 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000090e ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001eb ), + .Q(\blk00000003/sig000009dc ), + .Q15(\NLW_blk00000003/blk0000090e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000090d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009db ), + .Q(\blk00000003/sig000002a3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000090c ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001d5 ), + .Q(\blk00000003/sig000009db ), + .Q15(\NLW_blk00000003/blk0000090c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000090b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009da ), + .Q(\blk00000003/sig000002a4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000090a ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000029e ), + .Q(\blk00000003/sig000009da ), + .Q15(\NLW_blk00000003/blk0000090a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000909 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009d9 ), + .Q(\blk00000003/sig00000882 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000908 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ea ), + .Q(\blk00000003/sig000009d9 ), + .Q15(\NLW_blk00000003/blk00000908_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000907 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009d8 ), + .Q(\blk00000003/sig000008c3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000906 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[0]), + .Q(\blk00000003/sig000009d8 ), + .Q15(\NLW_blk00000003/blk00000906_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000905 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009d7 ), + .Q(\blk00000003/sig000008c2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000904 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[1]), + .Q(\blk00000003/sig000009d7 ), + .Q15(\NLW_blk00000003/blk00000904_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000903 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009d6 ), + .Q(\blk00000003/sig000009b2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000902 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001d1 ), + .Q(\blk00000003/sig000009d6 ), + .Q15(\NLW_blk00000003/blk00000902_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000901 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009d5 ), + .Q(\blk00000003/sig000008c0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000900 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[3]), + .Q(\blk00000003/sig000009d5 ), + .Q15(\NLW_blk00000003/blk00000900_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009d4 ), + .Q(\blk00000003/sig000008bf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008fe ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[4]), + .Q(\blk00000003/sig000009d4 ), + .Q15(\NLW_blk00000003/blk000008fe_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009d3 ), + .Q(\blk00000003/sig000008c1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008fc ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[2]), + .Q(\blk00000003/sig000009d3 ), + .Q15(\NLW_blk00000003/blk000008fc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009d2 ), + .Q(\blk00000003/sig000008be ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008fa ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[5]), + .Q(\blk00000003/sig000009d2 ), + .Q15(\NLW_blk00000003/blk000008fa_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009d1 ), + .Q(\blk00000003/sig000008bd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008f8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[6]), + .Q(\blk00000003/sig000009d1 ), + .Q15(\NLW_blk00000003/blk000008f8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009d0 ), + .Q(\blk00000003/sig000008bc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008f6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[7]), + .Q(\blk00000003/sig000009d0 ), + .Q15(\NLW_blk00000003/blk000008f6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009cf ), + .Q(\blk00000003/sig000008bb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008f4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[8]), + .Q(\blk00000003/sig000009cf ), + .Q15(\NLW_blk00000003/blk000008f4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ce ), + .Q(\blk00000003/sig000008b9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008f2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[10]), + .Q(\blk00000003/sig000009ce ), + .Q15(\NLW_blk00000003/blk000008f2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009cd ), + .Q(\blk00000003/sig000008b8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008f0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[11]), + .Q(\blk00000003/sig000009cd ), + .Q15(\NLW_blk00000003/blk000008f0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009cc ), + .Q(\blk00000003/sig000008ba ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008ee ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[9]), + .Q(\blk00000003/sig000009cc ), + .Q15(\NLW_blk00000003/blk000008ee_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009cb ), + .Q(\blk00000003/sig000008b6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008ec ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[13]), + .Q(\blk00000003/sig000009cb ), + .Q15(\NLW_blk00000003/blk000008ec_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ca ), + .Q(\blk00000003/sig000008b5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008ea ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[14]), + .Q(\blk00000003/sig000009ca ), + .Q15(\NLW_blk00000003/blk000008ea_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009c9 ), + .Q(\blk00000003/sig000008b7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008e8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[12]), + .Q(\blk00000003/sig000009c9 ), + .Q15(\NLW_blk00000003/blk000008e8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009c8 ), + .Q(\blk00000003/sig000008b3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008e6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[16]), + .Q(\blk00000003/sig000009c8 ), + .Q15(\NLW_blk00000003/blk000008e6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009c7 ), + .Q(\blk00000003/sig000008b2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008e4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[17]), + .Q(\blk00000003/sig000009c7 ), + .Q15(\NLW_blk00000003/blk000008e4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009c6 ), + .Q(\blk00000003/sig000008b4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008e2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[15]), + .Q(\blk00000003/sig000009c6 ), + .Q15(\NLW_blk00000003/blk000008e2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009c5 ), + .Q(\blk00000003/sig000001de ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008e0 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001e0 ), + .Q(\blk00000003/sig000009c5 ), + .Q15(\NLW_blk00000003/blk000008e0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008df ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009c4 ), + .Q(\blk00000003/sig00000760 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008de ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001d7 ), + .Q(\blk00000003/sig000009c4 ), + .Q15(\NLW_blk00000003/blk000008de_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008dd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009c3 ), + .Q(\blk00000003/sig0000091f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000008dc ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001cf ), + .Q(\blk00000003/sig000009c3 ), + .Q15(\NLW_blk00000003/blk000008dc_Q15_UNCONNECTED ) + ); + INV \blk00000003/blk000008db ( + .I(\blk00000003/sig00000243 ), + .O(\blk00000003/sig00000275 ) + ); + INV \blk00000003/blk000008da ( + .I(\blk00000003/sig00000223 ), + .O(\blk00000003/sig00000232 ) + ); + INV \blk00000003/blk000008d9 ( + .I(\blk00000003/sig0000027e ), + .O(\blk00000003/sig0000026e ) + ); + INV \blk00000003/blk000008d8 ( + .I(\blk00000003/sig000001cf ), + .O(\blk00000003/sig00000283 ) + ); + INV \blk00000003/blk000008d7 ( + .I(\blk00000003/sig00000285 ), + .O(\blk00000003/sig00000274 ) + ); + INV \blk00000003/blk000008d6 ( + .I(\blk00000003/sig00000931 ), + .O(\blk00000003/sig000009ab ) + ); + INV \blk00000003/blk000008d5 ( + .I(\blk00000003/sig00000247 ), + .O(\blk00000003/sig00000286 ) + ); + INV \blk00000003/blk000008d4 ( + .I(\blk00000003/sig00000243 ), + .O(\blk00000003/sig0000026f ) + ); + INV \blk00000003/blk000008d3 ( + .I(\blk00000003/sig0000021d ), + .O(\blk00000003/sig00000248 ) + ); + INV \blk00000003/blk000008d2 ( + .I(\blk00000003/sig00000223 ), + .O(\blk00000003/sig00000231 ) + ); + INV \blk00000003/blk000008d1 ( + .I(\blk00000003/sig000001cd ), + .O(\blk00000003/sig000000c1 ) + ); + INV \blk00000003/blk000008d0 ( + .I(\blk00000003/sig000000b4 ), + .O(\blk00000003/sig000001cb ) + ); + INV \blk00000003/blk000008cf ( + .I(\blk00000003/sig000000be ), + .O(\blk00000003/sig000000bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000937 ), + .Q(\blk00000003/sig000009b0 ) + ); + LUT3 #( + .INIT ( 8'h20 )) + \blk00000003/blk000008cd ( + .I0(\blk00000003/sig00000239 ), + .I1(\blk00000003/sig00000250 ), + .I2(coef_ld), + .O(\blk00000003/sig0000024c ) + ); + LUT5 #( + .INIT ( 32'h4F444444 )) + \blk00000003/blk000008cc ( + .I0(\blk00000003/sig0000024d ), + .I1(\blk00000003/sig00000241 ), + .I2(\blk00000003/sig00000250 ), + .I3(coef_ld), + .I4(\blk00000003/sig00000239 ), + .O(\blk00000003/sig00000244 ) + ); + LUT4 #( + .INIT ( 16'h1000 )) + \blk00000003/blk000008cb ( + .I0(coef_ld), + .I1(\blk00000003/sig0000023b ), + .I2(coef_we), + .I3(\blk00000003/sig00000239 ), + .O(\blk00000003/sig0000024b ) + ); + LUT4 #( + .INIT ( 16'hEAAA )) + \blk00000003/blk000008ca ( + .I0(\blk00000003/sig0000025b ), + .I1(\blk00000003/sig00000227 ), + .I2(coef_we), + .I3(\blk00000003/sig0000022f ), + .O(\blk00000003/sig00000255 ) + ); + LUT5 #( + .INIT ( 32'h20AA2020 )) + \blk00000003/blk000008c9 ( + .I0(\blk00000003/sig00000239 ), + .I1(\blk00000003/sig0000023b ), + .I2(coef_we), + .I3(\blk00000003/sig00000250 ), + .I4(coef_ld), + .O(\blk00000003/sig0000024a ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk000008c8 ( + .I0(ce), + .I1(sclr), + .I2(\blk00000003/sig000009b3 ), + .O(\blk00000003/sig000009ba ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk000008c7 ( + .I0(\blk00000003/sig0000027e ), + .I1(ce), + .I2(\blk00000003/sig00000241 ), + .I3(\blk00000003/sig0000021b ), + .O(\blk00000003/sig000009c2 ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk000008c6 ( + .I0(\blk00000003/sig00000285 ), + .I1(ce), + .I2(\blk00000003/sig0000023f ), + .I3(\blk00000003/sig00000276 ), + .O(\blk00000003/sig000009c1 ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk000008c5 ( + .I0(\blk00000003/sig000009af ), + .I1(ce), + .I2(\blk00000003/sig000001d5 ), + .I3(\blk00000003/sig000001d7 ), + .O(\blk00000003/sig000009bc ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk000008c4 ( + .I0(ce), + .I1(\blk00000003/sig00000250 ), + .I2(\blk00000003/sig000009b1 ), + .O(\blk00000003/sig000009b9 ) + ); + LUT5 #( + .INIT ( 32'h6AAAAAAA )) + \blk00000003/blk000008c3 ( + .I0(\blk00000003/sig000001e7 ), + .I1(\blk00000003/sig000009ae ), + .I2(\blk00000003/sig000000ad ), + .I3(ce), + .I4(nd), + .O(\blk00000003/sig000009c0 ) + ); + LUT4 #( + .INIT ( 16'h6AAA )) + \blk00000003/blk000008c2 ( + .I0(\blk00000003/sig000001e2 ), + .I1(\blk00000003/sig000001d7 ), + .I2(\blk00000003/sig000009be ), + .I3(ce), + .O(\blk00000003/sig000009bf ) + ); + LUT3 #( + .INIT ( 8'h6C )) + \blk00000003/blk000008c1 ( + .I0(\blk00000003/sig000001d7 ), + .I1(\blk00000003/sig000009be ), + .I2(ce), + .O(\blk00000003/sig000009bd ) + ); + LUT4 #( + .INIT ( 16'h6AAA )) + \blk00000003/blk000008c0 ( + .I0(\blk00000003/sig000009ae ), + .I1(\blk00000003/sig000000ad ), + .I2(ce), + .I3(nd), + .O(\blk00000003/sig000009bb ) + ); + LUT4 #( + .INIT ( 16'hECCC )) + \blk00000003/blk000008bf ( + .I0(coef_we), + .I1(\blk00000003/sig0000025c ), + .I2(\blk00000003/sig00000227 ), + .I3(\blk00000003/sig0000022f ), + .O(\blk00000003/sig00000258 ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk000008be ( + .C(clk), + .D(\blk00000003/sig000009c2 ), + .Q(\blk00000003/sig0000027e ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk000008bd ( + .C(clk), + .D(\blk00000003/sig000009c1 ), + .Q(\blk00000003/sig00000285 ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk000008bc ( + .C(clk), + .D(\blk00000003/sig000009c0 ), + .R(sclr), + .Q(\blk00000003/sig000001e7 ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk000008bb ( + .C(clk), + .D(\blk00000003/sig000009bf ), + .R(sclr), + .Q(\blk00000003/sig000001e2 ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk000008ba ( + .C(clk), + .D(\blk00000003/sig000009bd ), + .R(sclr), + .Q(\blk00000003/sig000009be ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk000008b9 ( + .C(clk), + .D(\blk00000003/sig000009bc ), + .R(sclr), + .Q(\blk00000003/sig000009af ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk000008b8 ( + .C(clk), + .D(\blk00000003/sig000009bb ), + .R(sclr), + .Q(\blk00000003/sig000009ae ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000008b7 ( + .I0(\blk00000003/sig00000935 ), + .O(\blk00000003/sig0000092f ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000008b6 ( + .I0(\blk00000003/sig00000934 ), + .O(\blk00000003/sig0000092c ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000008b5 ( + .I0(\blk00000003/sig00000933 ), + .O(\blk00000003/sig00000929 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000008b4 ( + .I0(\blk00000003/sig00000932 ), + .O(\blk00000003/sig00000926 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000008b3 ( + .I0(\blk00000003/sig00000931 ), + .O(\blk00000003/sig00000923 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000008b2 ( + .I0(\blk00000003/sig000002a0 ), + .O(\blk00000003/sig000002a1 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000008b1 ( + .I0(\blk00000003/sig00000294 ), + .O(\blk00000003/sig0000028e ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000008b0 ( + .I0(\blk00000003/sig0000025f ), + .O(\blk00000003/sig00000260 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000008af ( + .I0(\blk00000003/sig000001cd ), + .O(\blk00000003/sig000000c2 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000008ae ( + .I0(\blk00000003/sig000009ae ), + .O(\blk00000003/sig000000b3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009a5 ), + .R(sclr), + .Q(\blk00000003/sig000009aa ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009a2 ), + .R(sclr), + .Q(\blk00000003/sig000009a9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000099f ), + .R(sclr), + .Q(\blk00000003/sig000009a8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000099c ), + .R(sclr), + .Q(\blk00000003/sig000009a7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000999 ), + .R(sclr), + .Q(\blk00000003/sig000009a6 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000008a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000921 ), + .S(sclr), + .Q(\blk00000003/sig00000936 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000008a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000930 ), + .S(sclr), + .Q(\blk00000003/sig00000935 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000008a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000092d ), + .S(sclr), + .Q(\blk00000003/sig00000934 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000008a5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000092a ), + .S(sclr), + .Q(\blk00000003/sig00000933 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000927 ), + .R(sclr), + .Q(\blk00000003/sig00000932 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000008a3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000924 ), + .S(sclr), + .Q(\blk00000003/sig00000931 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a2 ), + .R(\blk00000003/sig000002a5 ), + .Q(\blk00000003/sig000002a0 ) + ); + FDR \blk00000003/blk000008a1 ( + .C(clk), + .D(\blk00000003/sig000009ba ), + .R(ce), + .Q(\blk00000003/sig000009b3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029c ), + .R(\blk00000003/sig000002a4 ), + .Q(\blk00000003/sig0000029a ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk0000089f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000297 ), + .S(\blk00000003/sig000002a4 ), + .Q(\blk00000003/sig00000295 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk0000089e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000028f ), + .S(sclr), + .Q(\blk00000003/sig00000294 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000089d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000292 ), + .R(sclr), + .Q(\blk00000003/sig00000293 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000089c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000289 ), + .R(sclr), + .Q(\blk00000003/sig000001e9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000089b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000028c ), + .R(sclr), + .Q(\blk00000003/sig000001e8 ) + ); + FDR \blk00000003/blk0000089a ( + .C(clk), + .D(\blk00000003/sig000009b9 ), + .R(ce), + .Q(\blk00000003/sig000009b1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000899 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000261 ), + .R(\blk00000003/sig00000264 ), + .Q(\blk00000003/sig0000025f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000898 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000253 ), + .R(coef_ld), + .Q(\blk00000003/sig0000025d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000897 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000259 ), + .R(coef_ld), + .Q(\blk00000003/sig0000025c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000896 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000256 ), + .R(coef_ld), + .Q(\blk00000003/sig0000025b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000895 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000225 ), + .R(coef_ld), + .Q(\blk00000003/sig00000223 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000894 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000000b6 ), + .R(sclr), + .Q(\blk00000003/sig000000b4 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk00000893 ( + .I0(\blk00000003/sig000009a6 ), + .I1(\blk00000003/sig00000931 ), + .O(\blk00000003/sig00000998 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk00000892 ( + .I0(\blk00000003/sig000009a7 ), + .I1(\blk00000003/sig00000931 ), + .O(\blk00000003/sig0000099b ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk00000891 ( + .I0(\blk00000003/sig000009a8 ), + .I1(\blk00000003/sig00000931 ), + .O(\blk00000003/sig0000099e ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk00000890 ( + .I0(\blk00000003/sig000009a9 ), + .I1(\blk00000003/sig00000931 ), + .O(\blk00000003/sig000009a1 ) + ); + LUT3 #( + .INIT ( 8'hDE )) + \blk00000003/blk0000088f ( + .I0(\blk00000003/sig000009aa ), + .I1(\blk00000003/sig00000931 ), + .I2(\blk00000003/sig000001dc ), + .O(\blk00000003/sig000009a4 ) + ); + LUT3 #( + .INIT ( 8'h04 )) + \blk00000003/blk0000088e ( + .I0(\blk00000003/sig000001dc ), + .I1(\blk00000003/sig0000004a ), + .I2(\blk00000003/sig00000931 ), + .O(\blk00000003/sig00000996 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000088d ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000010d ), + .I3(NlwRenamedSig_OI_dout_2[45]), + .O(\blk00000003/sig00000994 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000088c ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000010c ), + .I3(NlwRenamedSig_OI_dout_2[46]), + .O(\blk00000003/sig00000995 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000088b ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000010e ), + .I3(NlwRenamedSig_OI_dout_2[44]), + .O(\blk00000003/sig00000993 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000088a ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000110 ), + .I3(NlwRenamedSig_OI_dout_2[42]), + .O(\blk00000003/sig00000991 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000889 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000010f ), + .I3(NlwRenamedSig_OI_dout_2[43]), + .O(\blk00000003/sig00000992 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000888 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000111 ), + .I3(NlwRenamedSig_OI_dout_2[41]), + .O(\blk00000003/sig00000990 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000887 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000113 ), + .I3(NlwRenamedSig_OI_dout_2[39]), + .O(\blk00000003/sig0000098e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000886 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000112 ), + .I3(NlwRenamedSig_OI_dout_2[40]), + .O(\blk00000003/sig0000098f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000885 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000114 ), + .I3(NlwRenamedSig_OI_dout_2[38]), + .O(\blk00000003/sig0000098d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000884 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000116 ), + .I3(NlwRenamedSig_OI_dout_2[36]), + .O(\blk00000003/sig0000098b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000883 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000115 ), + .I3(NlwRenamedSig_OI_dout_2[37]), + .O(\blk00000003/sig0000098c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000882 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000117 ), + .I3(NlwRenamedSig_OI_dout_2[35]), + .O(\blk00000003/sig0000098a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000881 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000119 ), + .I3(NlwRenamedSig_OI_dout_2[33]), + .O(\blk00000003/sig00000988 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000880 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000118 ), + .I3(NlwRenamedSig_OI_dout_2[34]), + .O(\blk00000003/sig00000989 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000087f ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000011a ), + .I3(NlwRenamedSig_OI_dout_2[32]), + .O(\blk00000003/sig00000987 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000087e ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000011c ), + .I3(NlwRenamedSig_OI_dout_2[30]), + .O(\blk00000003/sig00000985 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000087d ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000011b ), + .I3(NlwRenamedSig_OI_dout_2[31]), + .O(\blk00000003/sig00000986 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000087c ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000011d ), + .I3(NlwRenamedSig_OI_dout_2[29]), + .O(\blk00000003/sig00000984 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000087b ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000011f ), + .I3(NlwRenamedSig_OI_dout_2[27]), + .O(\blk00000003/sig00000982 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000087a ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000011e ), + .I3(NlwRenamedSig_OI_dout_2[28]), + .O(\blk00000003/sig00000983 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000879 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000120 ), + .I3(NlwRenamedSig_OI_dout_2[26]), + .O(\blk00000003/sig00000981 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000878 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000122 ), + .I3(NlwRenamedSig_OI_dout_2[24]), + .O(\blk00000003/sig0000097f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000877 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000121 ), + .I3(NlwRenamedSig_OI_dout_2[25]), + .O(\blk00000003/sig00000980 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000876 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000123 ), + .I3(NlwRenamedSig_OI_dout_2[23]), + .O(\blk00000003/sig0000097e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000875 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000125 ), + .I3(NlwRenamedSig_OI_dout_2[21]), + .O(\blk00000003/sig0000097c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000874 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000124 ), + .I3(NlwRenamedSig_OI_dout_2[22]), + .O(\blk00000003/sig0000097d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000873 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000126 ), + .I3(NlwRenamedSig_OI_dout_2[20]), + .O(\blk00000003/sig0000097b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000872 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000128 ), + .I3(NlwRenamedSig_OI_dout_2[18]), + .O(\blk00000003/sig00000979 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000871 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000127 ), + .I3(NlwRenamedSig_OI_dout_2[19]), + .O(\blk00000003/sig0000097a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000870 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000129 ), + .I3(NlwRenamedSig_OI_dout_2[17]), + .O(\blk00000003/sig00000978 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000086f ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000012b ), + .I3(NlwRenamedSig_OI_dout_2[15]), + .O(\blk00000003/sig00000976 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000086e ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000012a ), + .I3(NlwRenamedSig_OI_dout_2[16]), + .O(\blk00000003/sig00000977 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000086d ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000012c ), + .I3(NlwRenamedSig_OI_dout_2[14]), + .O(\blk00000003/sig00000975 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000086c ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000012e ), + .I3(NlwRenamedSig_OI_dout_2[12]), + .O(\blk00000003/sig00000973 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000086b ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000012d ), + .I3(NlwRenamedSig_OI_dout_2[13]), + .O(\blk00000003/sig00000974 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000086a ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000012f ), + .I3(NlwRenamedSig_OI_dout_2[11]), + .O(\blk00000003/sig00000972 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000869 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000131 ), + .I3(NlwRenamedSig_OI_dout_2[9]), + .O(\blk00000003/sig00000970 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000868 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000130 ), + .I3(NlwRenamedSig_OI_dout_2[10]), + .O(\blk00000003/sig00000971 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000867 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000132 ), + .I3(NlwRenamedSig_OI_dout_2[8]), + .O(\blk00000003/sig0000096f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000866 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000134 ), + .I3(NlwRenamedSig_OI_dout_2[6]), + .O(\blk00000003/sig0000096d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000865 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000133 ), + .I3(NlwRenamedSig_OI_dout_2[7]), + .O(\blk00000003/sig0000096e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000864 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000135 ), + .I3(NlwRenamedSig_OI_dout_2[5]), + .O(\blk00000003/sig0000096c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000863 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000137 ), + .I3(NlwRenamedSig_OI_dout_2[3]), + .O(\blk00000003/sig0000096a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000862 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000136 ), + .I3(NlwRenamedSig_OI_dout_2[4]), + .O(\blk00000003/sig0000096b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000861 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000138 ), + .I3(NlwRenamedSig_OI_dout_2[2]), + .O(\blk00000003/sig00000969 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000860 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000139 ), + .I3(NlwRenamedSig_OI_dout_2[1]), + .O(\blk00000003/sig00000968 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000085f ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000183 ), + .I3(NlwRenamedSig_OI_dout_1[46]), + .O(\blk00000003/sig00000966 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000085e ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000013a ), + .I3(NlwRenamedSig_OI_dout_2[0]), + .O(\blk00000003/sig00000967 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000085d ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000184 ), + .I3(NlwRenamedSig_OI_dout_1[45]), + .O(\blk00000003/sig00000965 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000085c ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000186 ), + .I3(NlwRenamedSig_OI_dout_1[43]), + .O(\blk00000003/sig00000963 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000085b ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000185 ), + .I3(NlwRenamedSig_OI_dout_1[44]), + .O(\blk00000003/sig00000964 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000085a ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000187 ), + .I3(NlwRenamedSig_OI_dout_1[42]), + .O(\blk00000003/sig00000962 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000859 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000189 ), + .I3(NlwRenamedSig_OI_dout_1[40]), + .O(\blk00000003/sig00000960 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000858 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000188 ), + .I3(NlwRenamedSig_OI_dout_1[41]), + .O(\blk00000003/sig00000961 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000857 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000018a ), + .I3(NlwRenamedSig_OI_dout_1[39]), + .O(\blk00000003/sig0000095f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000856 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000018c ), + .I3(NlwRenamedSig_OI_dout_1[37]), + .O(\blk00000003/sig0000095d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000855 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000018b ), + .I3(NlwRenamedSig_OI_dout_1[38]), + .O(\blk00000003/sig0000095e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000854 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000018d ), + .I3(NlwRenamedSig_OI_dout_1[36]), + .O(\blk00000003/sig0000095c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000853 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000018f ), + .I3(NlwRenamedSig_OI_dout_1[34]), + .O(\blk00000003/sig0000095a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000852 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000018e ), + .I3(NlwRenamedSig_OI_dout_1[35]), + .O(\blk00000003/sig0000095b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000851 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000190 ), + .I3(NlwRenamedSig_OI_dout_1[33]), + .O(\blk00000003/sig00000959 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000850 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000192 ), + .I3(NlwRenamedSig_OI_dout_1[31]), + .O(\blk00000003/sig00000957 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000084f ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000191 ), + .I3(NlwRenamedSig_OI_dout_1[32]), + .O(\blk00000003/sig00000958 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000084e ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000193 ), + .I3(NlwRenamedSig_OI_dout_1[30]), + .O(\blk00000003/sig00000956 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000084d ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000195 ), + .I3(NlwRenamedSig_OI_dout_1[28]), + .O(\blk00000003/sig00000954 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000084c ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000194 ), + .I3(NlwRenamedSig_OI_dout_1[29]), + .O(\blk00000003/sig00000955 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000084b ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000196 ), + .I3(NlwRenamedSig_OI_dout_1[27]), + .O(\blk00000003/sig00000953 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000084a ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000198 ), + .I3(NlwRenamedSig_OI_dout_1[25]), + .O(\blk00000003/sig00000951 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000849 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000197 ), + .I3(NlwRenamedSig_OI_dout_1[26]), + .O(\blk00000003/sig00000952 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000848 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig00000199 ), + .I3(NlwRenamedSig_OI_dout_1[24]), + .O(\blk00000003/sig00000950 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000847 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000019b ), + .I3(NlwRenamedSig_OI_dout_1[22]), + .O(\blk00000003/sig0000094e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000846 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000019a ), + .I3(NlwRenamedSig_OI_dout_1[23]), + .O(\blk00000003/sig0000094f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000845 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000019c ), + .I3(NlwRenamedSig_OI_dout_1[21]), + .O(\blk00000003/sig0000094d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000844 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000019e ), + .I3(NlwRenamedSig_OI_dout_1[19]), + .O(\blk00000003/sig0000094b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000843 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000019d ), + .I3(NlwRenamedSig_OI_dout_1[20]), + .O(\blk00000003/sig0000094c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000842 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig0000019f ), + .I3(NlwRenamedSig_OI_dout_1[18]), + .O(\blk00000003/sig0000094a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000841 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001a1 ), + .I3(NlwRenamedSig_OI_dout_1[16]), + .O(\blk00000003/sig00000948 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000840 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001a0 ), + .I3(NlwRenamedSig_OI_dout_1[17]), + .O(\blk00000003/sig00000949 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000083f ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001a2 ), + .I3(NlwRenamedSig_OI_dout_1[15]), + .O(\blk00000003/sig00000947 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000083e ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001a4 ), + .I3(NlwRenamedSig_OI_dout_1[13]), + .O(\blk00000003/sig00000945 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000083d ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001a3 ), + .I3(NlwRenamedSig_OI_dout_1[14]), + .O(\blk00000003/sig00000946 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000083c ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001a5 ), + .I3(NlwRenamedSig_OI_dout_1[12]), + .O(\blk00000003/sig00000944 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000083b ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001a7 ), + .I3(NlwRenamedSig_OI_dout_1[10]), + .O(\blk00000003/sig00000942 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000083a ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001a6 ), + .I3(NlwRenamedSig_OI_dout_1[11]), + .O(\blk00000003/sig00000943 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000839 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001a8 ), + .I3(NlwRenamedSig_OI_dout_1[9]), + .O(\blk00000003/sig00000941 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000838 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001aa ), + .I3(NlwRenamedSig_OI_dout_1[7]), + .O(\blk00000003/sig0000093f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000837 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001a9 ), + .I3(NlwRenamedSig_OI_dout_1[8]), + .O(\blk00000003/sig00000940 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000836 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001ab ), + .I3(NlwRenamedSig_OI_dout_1[6]), + .O(\blk00000003/sig0000093e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000835 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001ad ), + .I3(NlwRenamedSig_OI_dout_1[4]), + .O(\blk00000003/sig0000093c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000834 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001ac ), + .I3(NlwRenamedSig_OI_dout_1[5]), + .O(\blk00000003/sig0000093d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000833 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001ae ), + .I3(NlwRenamedSig_OI_dout_1[3]), + .O(\blk00000003/sig0000093b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000832 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001b0 ), + .I3(NlwRenamedSig_OI_dout_1[1]), + .O(\blk00000003/sig00000939 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000831 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001af ), + .I3(NlwRenamedSig_OI_dout_1[2]), + .O(\blk00000003/sig0000093a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000830 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001dc ), + .I2(\blk00000003/sig000001b1 ), + .I3(NlwRenamedSig_OI_dout_1[0]), + .O(\blk00000003/sig00000938 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk0000082f ( + .I0(\blk00000003/sig00000936 ), + .I1(\blk00000003/sig00000931 ), + .O(\blk00000003/sig00000920 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000082e ( + .I0(ce), + .I1(\blk00000003/sig000001de ), + .O(\blk00000003/sig000009b8 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000082d ( + .I0(ce), + .I1(\blk00000003/sig0000075a ), + .O(\blk00000003/sig000009b7 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000082c ( + .I0(ce), + .I1(\blk00000003/sig00000754 ), + .O(\blk00000003/sig000009b6 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000082b ( + .I0(ce), + .I1(\blk00000003/sig0000074f ), + .O(\blk00000003/sig000009b5 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000082a ( + .I0(ce), + .I1(\blk00000003/sig00000744 ), + .O(\blk00000003/sig000009b4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000829 ( + .I0(ce), + .I1(\blk00000003/sig00000745 ), + .O(\blk00000003/sig0000091e ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk00000828 ( + .I0(sclr), + .I1(\blk00000003/sig000009b3 ), + .O(\blk00000003/sig0000029d ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000827 ( + .I0(\blk00000003/sig000002a3 ), + .I1(\blk00000003/sig000009b2 ), + .O(\blk00000003/sig00000298 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000826 ( + .I0(\blk00000003/sig00000295 ), + .I1(\blk00000003/sig000002a3 ), + .O(\blk00000003/sig00000296 ) + ); + LUT3 #( + .INIT ( 8'hDA )) + \blk00000003/blk00000825 ( + .I0(\blk00000003/sig000002a3 ), + .I1(\blk00000003/sig000009b2 ), + .I2(\blk00000003/sig0000029a ), + .O(\blk00000003/sig0000029b ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000824 ( + .I0(\blk00000003/sig00000293 ), + .I1(\blk00000003/sig000001d7 ), + .O(\blk00000003/sig00000291 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000823 ( + .I0(nd), + .I1(\blk00000003/sig000000b2 ), + .O(\blk00000003/sig0000028d ) + ); + LUT3 #( + .INIT ( 8'hEA )) + \blk00000003/blk00000822 ( + .I0(\blk00000003/sig000001e8 ), + .I1(nd), + .I2(\blk00000003/sig000000b2 ), + .O(\blk00000003/sig0000028b ) + ); + LUT3 #( + .INIT ( 8'hDA )) + \blk00000003/blk00000821 ( + .I0(nd), + .I1(\blk00000003/sig000000b2 ), + .I2(\blk00000003/sig000001e9 ), + .O(\blk00000003/sig00000288 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000820 ( + .I0(\blk00000003/sig00000240 ), + .I1(\blk00000003/sig00000247 ), + .O(\blk00000003/sig00000284 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000081f ( + .I0(\blk00000003/sig00000247 ), + .I1(\blk00000003/sig0000023f ), + .O(\blk00000003/sig00000281 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000081e ( + .I0(\blk00000003/sig00000247 ), + .I1(\blk00000003/sig00000243 ), + .O(\blk00000003/sig0000027f ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk0000081d ( + .I0(\blk00000003/sig00000245 ), + .I1(\blk00000003/sig0000024d ), + .I2(\blk00000003/sig00000247 ), + .O(\blk00000003/sig0000027a ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk0000081c ( + .I0(\blk00000003/sig00000245 ), + .I1(\blk00000003/sig00000243 ), + .I2(\blk00000003/sig00000247 ), + .O(\blk00000003/sig0000027c ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000081b ( + .I0(\blk00000003/sig00000240 ), + .I1(\blk00000003/sig00000243 ), + .O(\blk00000003/sig00000273 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000081a ( + .I0(\blk00000003/sig0000023f ), + .I1(\blk00000003/sig00000243 ), + .O(\blk00000003/sig00000271 ) + ); + LUT3 #( + .INIT ( 8'hDF )) + \blk00000003/blk00000819 ( + .I0(\blk00000003/sig0000023d ), + .I1(\blk00000003/sig00000247 ), + .I2(\blk00000003/sig00000243 ), + .O(\blk00000003/sig0000026c ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000818 ( + .I0(\blk00000003/sig00000242 ), + .I1(\blk00000003/sig00000243 ), + .O(\blk00000003/sig00000268 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk00000817 ( + .I0(\blk00000003/sig00000241 ), + .I1(\blk00000003/sig00000243 ), + .I2(\blk00000003/sig0000024d ), + .O(\blk00000003/sig0000026a ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk00000816 ( + .I0(\blk00000003/sig00000250 ), + .I1(\blk00000003/sig000009b1 ), + .O(\blk00000003/sig00000263 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk00000815 ( + .I0(coef_we), + .I1(\blk00000003/sig00000227 ), + .I2(\blk00000003/sig0000022f ), + .O(\blk00000003/sig0000025a ) + ); + LUT4 #( + .INIT ( 16'hE6CC )) + \blk00000003/blk00000814 ( + .I0(coef_we), + .I1(\blk00000003/sig0000025d ), + .I2(\blk00000003/sig00000227 ), + .I3(\blk00000003/sig0000022f ), + .O(\blk00000003/sig00000252 ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000813 ( + .I0(coef_ld), + .I1(\blk00000003/sig00000250 ), + .O(\blk00000003/sig00000246 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000812 ( + .I0(coef_we), + .I1(\blk00000003/sig0000022f ), + .O(\blk00000003/sig00000228 ) + ); + LUT3 #( + .INIT ( 8'h20 )) + \blk00000003/blk00000811 ( + .I0(coef_we), + .I1(coef_ld), + .I2(\blk00000003/sig0000023b ), + .O(\blk00000003/sig0000024e ) + ); + LUT3 #( + .INIT ( 8'h20 )) + \blk00000003/blk00000810 ( + .I0(\blk00000003/sig0000025b ), + .I1(\blk00000003/sig0000025c ), + .I2(\blk00000003/sig0000025d ), + .O(\blk00000003/sig0000022c ) + ); + LUT3 #( + .INIT ( 8'h04 )) + \blk00000003/blk0000080f ( + .I0(\blk00000003/sig0000025c ), + .I1(\blk00000003/sig0000025b ), + .I2(\blk00000003/sig0000025d ), + .O(\blk00000003/sig0000022a ) + ); + LUT3 #( + .INIT ( 8'hDF )) + \blk00000003/blk0000080e ( + .I0(coef_ld), + .I1(\blk00000003/sig00000250 ), + .I2(\blk00000003/sig00000239 ), + .O(\blk00000003/sig00000222 ) + ); + LUT3 #( + .INIT ( 8'hDF )) + \blk00000003/blk0000080d ( + .I0(coef_we), + .I1(\blk00000003/sig0000023b ), + .I2(\blk00000003/sig00000239 ), + .O(\blk00000003/sig0000021f ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk0000080c ( + .I0(\blk00000003/sig00000223 ), + .I1(coef_we), + .O(\blk00000003/sig00000224 ) + ); + LUT5 #( + .INIT ( 32'hFFFF2AAA )) + \blk00000003/blk0000080b ( + .I0(\blk00000003/sig0000023b ), + .I1(coef_we), + .I2(\blk00000003/sig0000022f ), + .I3(\blk00000003/sig00000227 ), + .I4(coef_ld), + .O(\blk00000003/sig0000023a ) + ); + LUT4 #( + .INIT ( 16'hFF8A )) + \blk00000003/blk0000080a ( + .I0(\blk00000003/sig00000239 ), + .I1(\blk00000003/sig0000023b ), + .I2(coef_we), + .I3(coef_ld), + .O(\blk00000003/sig00000238 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000809 ( + .I0(\blk00000003/sig000000ad ), + .I1(nd), + .O(\blk00000003/sig000001e6 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000808 ( + .I0(\blk00000003/sig000000c6 ), + .I1(\blk00000003/sig000001dc ), + .O(\blk00000003/sig000001e4 ) + ); + LUT3 #( + .INIT ( 8'h10 )) + \blk00000003/blk00000807 ( + .I0(\blk00000003/sig000000c6 ), + .I1(\blk00000003/sig00000931 ), + .I2(\blk00000003/sig000009b0 ), + .O(\blk00000003/sig000000c7 ) + ); + LUT3 #( + .INIT ( 8'hEA )) + \blk00000003/blk00000806 ( + .I0(sclr), + .I1(ce), + .I2(\blk00000003/sig00000931 ), + .O(\blk00000003/sig000001dd ) + ); + LUT5 #( + .INIT ( 32'h00002000 )) + \blk00000003/blk00000805 ( + .I0(\blk00000003/sig000009a6 ), + .I1(\blk00000003/sig000009a7 ), + .I2(\blk00000003/sig000009a8 ), + .I3(\blk00000003/sig000009a9 ), + .I4(\blk00000003/sig000009aa ), + .O(\blk00000003/sig000000c9 ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk00000804 ( + .I0(\blk00000003/sig000001d7 ), + .I1(\blk00000003/sig000001ca ), + .I2(\blk00000003/sig000001e5 ), + .O(\blk00000003/sig000001d6 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000803 ( + .I0(\blk00000003/sig00000294 ), + .I1(\blk00000003/sig000001e1 ), + .O(\blk00000003/sig000001d8 ) + ); + LUT3 #( + .INIT ( 8'hD8 )) + \blk00000003/blk00000802 ( + .I0(ce), + .I1(\blk00000003/sig000009ac ), + .I2(\blk00000003/sig000000bc ), + .O(\blk00000003/sig000000bb ) + ); + LUT3 #( + .INIT ( 8'h72 )) + \blk00000003/blk00000801 ( + .I0(ce), + .I1(\blk00000003/sig000009ac ), + .I2(\blk00000003/sig000000ba ), + .O(\blk00000003/sig000000b9 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000800 ( + .I0(\blk00000003/sig000000b4 ), + .I1(\blk00000003/sig000001ca ), + .O(\blk00000003/sig000000b5 ) + ); + LUT5 #( + .INIT ( 32'hCEEE8AAA )) + \blk00000003/blk000007ff ( + .I0(\blk00000003/sig000001ca ), + .I1(\blk00000003/sig000001e5 ), + .I2(\blk00000003/sig000001d5 ), + .I3(\blk00000003/sig000001d7 ), + .I4(\blk00000003/sig000001d3 ), + .O(\blk00000003/sig000001d4 ) + ); + LUT4 #( + .INIT ( 16'h8808 )) + \blk00000003/blk000007fe ( + .I0(\blk00000003/sig000001d5 ), + .I1(\blk00000003/sig000009af ), + .I2(\blk00000003/sig000001d7 ), + .I3(\blk00000003/sig000001e5 ), + .O(\blk00000003/sig000001d0 ) + ); + LUT3 #( + .INIT ( 8'h09 )) + \blk00000003/blk000007fd ( + .I0(\blk00000003/sig000009ae ), + .I1(\blk00000003/sig000001e8 ), + .I2(\blk00000003/sig000001e9 ), + .O(\blk00000003/sig000000b0 ) + ); + LUT4 #( + .INIT ( 16'h5540 )) + \blk00000003/blk000007fc ( + .I0(\blk00000003/sig000001e5 ), + .I1(\blk00000003/sig000001d5 ), + .I2(\blk00000003/sig000001d7 ), + .I3(\blk00000003/sig000001d3 ), + .O(\blk00000003/sig000001d2 ) + ); + LUT3 #( + .INIT ( 8'h9A )) + \blk00000003/blk000007fb ( + .I0(\blk00000003/sig00000293 ), + .I1(\blk00000003/sig00000294 ), + .I2(\blk00000003/sig000001e1 ), + .O(\blk00000003/sig000001da ) + ); + LUT4 #( + .INIT ( 16'hFDA8 )) + \blk00000003/blk000007fa ( + .I0(ce), + .I1(\blk00000003/sig000009ac ), + .I2(\blk00000003/sig000009ad ), + .I3(\blk00000003/sig000000b8 ), + .O(\blk00000003/sig000000b7 ) + ); + MUXCY \blk00000003/blk000007f9 ( + .CI(\blk00000003/sig00000049 ), + .DI(NlwRenamedSig_OI_rfd), + .S(\blk00000003/sig000009ab ), + .O(\blk00000003/sig000009a3 ) + ); + MUXCY_L \blk00000003/blk000007f8 ( + .CI(\blk00000003/sig000009a3 ), + .DI(\blk00000003/sig000009aa ), + .S(\blk00000003/sig000009a4 ), + .LO(\blk00000003/sig000009a0 ) + ); + MUXCY_L \blk00000003/blk000007f7 ( + .CI(\blk00000003/sig000009a0 ), + .DI(\blk00000003/sig000009a9 ), + .S(\blk00000003/sig000009a1 ), + .LO(\blk00000003/sig0000099d ) + ); + MUXCY_L \blk00000003/blk000007f6 ( + .CI(\blk00000003/sig0000099d ), + .DI(\blk00000003/sig000009a8 ), + .S(\blk00000003/sig0000099e ), + .LO(\blk00000003/sig0000099a ) + ); + MUXCY_L \blk00000003/blk000007f5 ( + .CI(\blk00000003/sig0000099a ), + .DI(\blk00000003/sig000009a7 ), + .S(\blk00000003/sig0000099b ), + .LO(\blk00000003/sig00000997 ) + ); + MUXCY_D \blk00000003/blk000007f4 ( + .CI(\blk00000003/sig00000997 ), + .DI(\blk00000003/sig000009a6 ), + .S(\blk00000003/sig00000998 ), + .O(\NLW_blk00000003/blk000007f4_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000007f4_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000007f3 ( + .CI(\blk00000003/sig000009a3 ), + .LI(\blk00000003/sig000009a4 ), + .O(\blk00000003/sig000009a5 ) + ); + XORCY \blk00000003/blk000007f2 ( + .CI(\blk00000003/sig000009a0 ), + .LI(\blk00000003/sig000009a1 ), + .O(\blk00000003/sig000009a2 ) + ); + XORCY \blk00000003/blk000007f1 ( + .CI(\blk00000003/sig0000099d ), + .LI(\blk00000003/sig0000099e ), + .O(\blk00000003/sig0000099f ) + ); + XORCY \blk00000003/blk000007f0 ( + .CI(\blk00000003/sig0000099a ), + .LI(\blk00000003/sig0000099b ), + .O(\blk00000003/sig0000099c ) + ); + XORCY \blk00000003/blk000007ef ( + .CI(\blk00000003/sig00000997 ), + .LI(\blk00000003/sig00000998 ), + .O(\blk00000003/sig00000999 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000996 ), + .R(sclr), + .Q(\blk00000003/sig0000004a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000995 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[46]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000994 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[45]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000993 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[44]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000992 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[43]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000991 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[42]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000990 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[41]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000098f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[40]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000098e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[39]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000098d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[38]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000098c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[37]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000098b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[36]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000098a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[35]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000989 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[34]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000988 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[33]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007df ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000987 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[32]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007de ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000986 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[31]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007dd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000985 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[30]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000984 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[29]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007db ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000983 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[28]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007da ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000982 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[27]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000981 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[26]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000980 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[25]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000097f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[24]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000097e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[23]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000097d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[22]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000097c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[21]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000097b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[20]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000097a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[19]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000979 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[18]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000978 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[17]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000977 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[16]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000976 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[15]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000975 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[14]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000974 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[13]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000973 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[12]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000972 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[11]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000971 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[10]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000970 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[9]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000096f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[8]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000096e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[7]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000096d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[6]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000096c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[5]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000096b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[4]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000096a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[3]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000969 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[2]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000968 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[1]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000967 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[0]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007be ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000966 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[46]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000965 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[45]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000964 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[44]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000963 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[43]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000962 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[42]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000961 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[41]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000960 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[40]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000095f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[39]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000095e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[38]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000095d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[37]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000095c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[36]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000095b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[35]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000095a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[34]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000959 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[33]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000958 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[32]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007af ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000957 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[31]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000956 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[30]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000955 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[29]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000954 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[28]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000953 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[27]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000952 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[26]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000951 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[25]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000950 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[24]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000094f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[23]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000094e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[22]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000094d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[21]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000094c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[20]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000094b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[19]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000094a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[18]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000949 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[17]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000948 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[16]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000947 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[15]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000946 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[14]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000945 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[13]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000944 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[12]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000943 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[11]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000942 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[10]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000799 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000941 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[9]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000798 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000940 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[8]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000797 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000093f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[7]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000796 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000093e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[6]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000795 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000093d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[5]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000794 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000093c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[4]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000793 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000093b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[3]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000792 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000093a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[2]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000791 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000939 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[1]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000790 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000938 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[0]) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000078f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000937 ), + .Q(\blk00000003/sig000001dc ) + ); + MUXCY_L \blk00000003/blk0000078e ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000936 ), + .S(\blk00000003/sig00000920 ), + .LO(\blk00000003/sig0000092e ) + ); + MUXCY_L \blk00000003/blk0000078d ( + .CI(\blk00000003/sig0000092e ), + .DI(\blk00000003/sig00000935 ), + .S(\blk00000003/sig0000092f ), + .LO(\blk00000003/sig0000092b ) + ); + MUXCY_L \blk00000003/blk0000078c ( + .CI(\blk00000003/sig0000092b ), + .DI(\blk00000003/sig00000934 ), + .S(\blk00000003/sig0000092c ), + .LO(\blk00000003/sig00000928 ) + ); + MUXCY_L \blk00000003/blk0000078b ( + .CI(\blk00000003/sig00000928 ), + .DI(\blk00000003/sig00000933 ), + .S(\blk00000003/sig00000929 ), + .LO(\blk00000003/sig00000925 ) + ); + MUXCY_L \blk00000003/blk0000078a ( + .CI(\blk00000003/sig00000925 ), + .DI(\blk00000003/sig00000932 ), + .S(\blk00000003/sig00000926 ), + .LO(\blk00000003/sig00000922 ) + ); + MUXCY_D \blk00000003/blk00000789 ( + .CI(\blk00000003/sig00000922 ), + .DI(\blk00000003/sig00000931 ), + .S(\blk00000003/sig00000923 ), + .O(\NLW_blk00000003/blk00000789_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk00000789_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000788 ( + .CI(\blk00000003/sig0000092e ), + .LI(\blk00000003/sig0000092f ), + .O(\blk00000003/sig00000930 ) + ); + XORCY \blk00000003/blk00000787 ( + .CI(\blk00000003/sig0000092b ), + .LI(\blk00000003/sig0000092c ), + .O(\blk00000003/sig0000092d ) + ); + XORCY \blk00000003/blk00000786 ( + .CI(\blk00000003/sig00000928 ), + .LI(\blk00000003/sig00000929 ), + .O(\blk00000003/sig0000092a ) + ); + XORCY \blk00000003/blk00000785 ( + .CI(\blk00000003/sig00000925 ), + .LI(\blk00000003/sig00000926 ), + .O(\blk00000003/sig00000927 ) + ); + XORCY \blk00000003/blk00000784 ( + .CI(\blk00000003/sig00000922 ), + .LI(\blk00000003/sig00000923 ), + .O(\blk00000003/sig00000924 ) + ); + XORCY \blk00000003/blk00000783 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000920 ), + .O(\blk00000003/sig00000921 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075b ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003d2 ), + .R(sclr), + .Q(\blk00000003/sig00000779 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075a ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003d1 ), + .R(sclr), + .Q(\blk00000003/sig00000778 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000759 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003d0 ), + .R(sclr), + .Q(\blk00000003/sig00000777 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003cf ), + .R(sclr), + .Q(\blk00000003/sig00000776 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000757 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003ce ), + .R(sclr), + .Q(\blk00000003/sig00000775 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000756 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003cd ), + .R(sclr), + .Q(\blk00000003/sig00000774 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000755 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003cc ), + .R(sclr), + .Q(\blk00000003/sig00000773 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000754 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003cb ), + .R(sclr), + .Q(\blk00000003/sig00000772 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000753 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003ca ), + .R(sclr), + .Q(\blk00000003/sig00000771 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000752 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003c9 ), + .R(sclr), + .Q(\blk00000003/sig00000770 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000751 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003c8 ), + .R(sclr), + .Q(\blk00000003/sig0000076f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000750 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003c7 ), + .R(sclr), + .Q(\blk00000003/sig0000076e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000074f ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003c6 ), + .R(sclr), + .Q(\blk00000003/sig0000076d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000074e ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003c5 ), + .R(sclr), + .Q(\blk00000003/sig0000076c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000074d ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003c4 ), + .R(sclr), + .Q(\blk00000003/sig0000076b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000074c ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003c3 ), + .R(sclr), + .Q(\blk00000003/sig0000076a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000074b ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003c2 ), + .R(sclr), + .Q(\blk00000003/sig00000769 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000074a ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003c1 ), + .R(sclr), + .Q(\blk00000003/sig00000768 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000749 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003c0 ), + .R(sclr), + .Q(\blk00000003/sig00000767 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000748 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003bf ), + .R(sclr), + .Q(\blk00000003/sig00000766 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000747 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003be ), + .R(sclr), + .Q(\blk00000003/sig00000765 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000746 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003bd ), + .R(sclr), + .Q(\blk00000003/sig00000764 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000745 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003bc ), + .R(sclr), + .Q(\blk00000003/sig00000763 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000744 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig000003bb ), + .R(sclr), + .Q(\blk00000003/sig00000762 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000743 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000432 ), + .R(sclr), + .Q(\blk00000003/sig00000791 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000742 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000431 ), + .R(sclr), + .Q(\blk00000003/sig00000790 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000741 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000430 ), + .R(sclr), + .Q(\blk00000003/sig0000078f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000740 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig0000042f ), + .R(sclr), + .Q(\blk00000003/sig0000078e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000073f ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig0000042e ), + .R(sclr), + .Q(\blk00000003/sig0000078d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000073e ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig0000042d ), + .R(sclr), + .Q(\blk00000003/sig0000078c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000073d ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig0000042c ), + .R(sclr), + .Q(\blk00000003/sig0000078b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000073c ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig0000042b ), + .R(sclr), + .Q(\blk00000003/sig0000078a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000073b ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig0000042a ), + .R(sclr), + .Q(\blk00000003/sig00000789 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000073a ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000429 ), + .R(sclr), + .Q(\blk00000003/sig00000788 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000739 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000428 ), + .R(sclr), + .Q(\blk00000003/sig00000787 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000738 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000427 ), + .R(sclr), + .Q(\blk00000003/sig00000786 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000737 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000426 ), + .R(sclr), + .Q(\blk00000003/sig00000785 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000736 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000425 ), + .R(sclr), + .Q(\blk00000003/sig00000784 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000735 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000424 ), + .R(sclr), + .Q(\blk00000003/sig00000783 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000734 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000423 ), + .R(sclr), + .Q(\blk00000003/sig00000782 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000733 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000422 ), + .R(sclr), + .Q(\blk00000003/sig00000781 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000421 ), + .R(sclr), + .Q(\blk00000003/sig00000780 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000731 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig00000420 ), + .R(sclr), + .Q(\blk00000003/sig0000077f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000730 ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig0000041f ), + .R(sclr), + .Q(\blk00000003/sig0000077e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000072f ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig0000041e ), + .R(sclr), + .Q(\blk00000003/sig0000077d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000072e ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig0000041d ), + .R(sclr), + .Q(\blk00000003/sig0000077c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000072d ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig0000041c ), + .R(sclr), + .Q(\blk00000003/sig0000077b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000072c ( + .C(clk), + .CE(\blk00000003/sig0000091e ), + .D(\blk00000003/sig0000041b ), + .R(sclr), + .Q(\blk00000003/sig0000077a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000295 ), + .R(sclr), + .Q(\blk00000003/sig0000075f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a0 ), + .R(sclr), + .Q(\blk00000003/sig0000075c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a6 ), + .R(sclr), + .Q(\blk00000003/sig0000075b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000761 ), + .R(sclr), + .Q(\blk00000003/sig0000075d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000760 ), + .R(sclr), + .Q(\blk00000003/sig0000075a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029a ), + .R(sclr), + .Q(\blk00000003/sig0000075e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000075f ), + .R(sclr), + .Q(\blk00000003/sig00000759 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000118 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000075e ), + .R(sclr), + .Q(\blk00000003/sig00000758 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000075d ), + .R(sclr), + .Q(\blk00000003/sig00000755 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000116 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000075c ), + .R(sclr), + .Q(\blk00000003/sig00000757 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000115 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000075b ), + .R(sclr), + .Q(\blk00000003/sig00000756 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000114 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000075a ), + .R(sclr), + .Q(\blk00000003/sig00000754 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000113 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000759 ), + .R(sclr), + .Q(\blk00000003/sig00000750 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000112 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000758 ), + .R(sclr), + .Q(\blk00000003/sig00000751 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000111 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000757 ), + .R(sclr), + .Q(\blk00000003/sig00000753 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000110 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000756 ), + .R(sclr), + .Q(\blk00000003/sig00000752 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000755 ), + .R(sclr), + .Q(\blk00000003/sig0000074e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000754 ), + .R(sclr), + .Q(\blk00000003/sig0000074f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000753 ), + .R(sclr), + .Q(\blk00000003/sig0000074c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000752 ), + .R(sclr), + .Q(\blk00000003/sig0000074a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000751 ), + .R(sclr), + .Q(\blk00000003/sig00000748 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000750 ), + .R(sclr), + .Q(\blk00000003/sig00000746 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000109 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000074f ), + .R(sclr), + .Q(\blk00000003/sig00000744 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000108 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000074e ), + .R(sclr), + .Q(\blk00000003/sig00000742 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000107 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000074c ), + .R(sclr), + .Q(\blk00000003/sig0000074d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000106 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000074a ), + .R(sclr), + .Q(\blk00000003/sig0000074b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000105 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000748 ), + .R(sclr), + .Q(\blk00000003/sig00000749 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000104 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000746 ), + .R(sclr), + .Q(\blk00000003/sig00000747 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000103 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000744 ), + .R(sclr), + .Q(\blk00000003/sig00000745 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000102 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000742 ), + .R(sclr), + .Q(\blk00000003/sig00000743 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000101 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000740 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000741 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000100 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000073f ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000740 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000073e ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000073f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000073d ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000073e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000237 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000073d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000073a ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000073c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000739 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000073b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000738 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000073a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000737 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000739 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000736 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000738 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000735 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000737 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000734 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000736 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000733 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000735 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000262 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000734 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000025f ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000733 ) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000f2 ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000f2_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000f2_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000f2_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000f2_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000f2_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000f2_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000f2_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .PCIN({\blk00000003/sig000006d3 , \blk00000003/sig000006d4 , \blk00000003/sig000006d5 , \blk00000003/sig000006d6 , \blk00000003/sig000006d7 , +\blk00000003/sig000006d8 , \blk00000003/sig000006d9 , \blk00000003/sig000006da , \blk00000003/sig000006db , \blk00000003/sig000006dc , +\blk00000003/sig000006dd , \blk00000003/sig000006de , \blk00000003/sig000006df , \blk00000003/sig000006e0 , \blk00000003/sig000006e1 , +\blk00000003/sig000006e2 , \blk00000003/sig000006e3 , \blk00000003/sig000006e4 , \blk00000003/sig000006e5 , \blk00000003/sig000006e6 , +\blk00000003/sig000006e7 , \blk00000003/sig000006e8 , \blk00000003/sig000006e9 , \blk00000003/sig000006ea , \blk00000003/sig000006eb , +\blk00000003/sig000006ec , \blk00000003/sig000006ed , \blk00000003/sig000006ee , \blk00000003/sig000006ef , \blk00000003/sig000006f0 , +\blk00000003/sig000006f1 , \blk00000003/sig000006f2 , \blk00000003/sig000006f3 , \blk00000003/sig000006f4 , \blk00000003/sig000006f5 , +\blk00000003/sig000006f6 , \blk00000003/sig000006f7 , \blk00000003/sig000006f8 , \blk00000003/sig000006f9 , \blk00000003/sig000006fa , +\blk00000003/sig000006fb , \blk00000003/sig000006fc , \blk00000003/sig000006fd , \blk00000003/sig000006fe , \blk00000003/sig000006ff , +\blk00000003/sig00000700 , \blk00000003/sig00000701 , \blk00000003/sig00000702 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000f2_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f2_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f2_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000005a1 , \blk00000003/sig000005a2 , \blk00000003/sig000005a3 , \blk00000003/sig000005a4 , \blk00000003/sig000005a5 , +\blk00000003/sig000005a6 , \blk00000003/sig000005a7 , \blk00000003/sig000005a8 , \blk00000003/sig000005a9 , \blk00000003/sig000005aa , +\blk00000003/sig000005ab , \blk00000003/sig000005ac , \blk00000003/sig000005ad , \blk00000003/sig000005ae , \blk00000003/sig000005af , +\blk00000003/sig000005b0 , \blk00000003/sig000005b1 , \blk00000003/sig000005b2 }), + .BCOUT({\NLW_blk00000003/blk000000f2_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f2_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f2_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f2_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f2_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f2_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f2_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f2_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f2_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f2_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig00000703 , \blk00000003/sig00000703 , \blk00000003/sig00000704 , \blk00000003/sig00000705 , \blk00000003/sig00000706 , +\blk00000003/sig00000707 , \blk00000003/sig00000708 , \blk00000003/sig00000709 , \blk00000003/sig0000070a , \blk00000003/sig0000070b , +\blk00000003/sig0000070c , \blk00000003/sig0000070d , \blk00000003/sig0000070e , \blk00000003/sig0000070f , \blk00000003/sig00000710 , +\blk00000003/sig00000711 , \blk00000003/sig00000712 , \blk00000003/sig00000713 , \blk00000003/sig00000714 , \blk00000003/sig00000715 , +\blk00000003/sig00000716 , \blk00000003/sig00000717 , \blk00000003/sig00000718 , \blk00000003/sig00000719 , \blk00000003/sig0000071a }), + .P({\NLW_blk00000003/blk000000f2_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f2_P<0>_UNCONNECTED }), + .A({\blk00000003/sig0000071b , \blk00000003/sig0000071b , \blk00000003/sig0000071b , \blk00000003/sig0000071b , \blk00000003/sig0000071b , +\blk00000003/sig0000071b , \blk00000003/sig0000071b , \blk00000003/sig0000071c , \blk00000003/sig0000071d , \blk00000003/sig0000071e , +\blk00000003/sig0000071f , \blk00000003/sig00000720 , \blk00000003/sig00000721 , \blk00000003/sig00000722 , \blk00000003/sig00000723 , +\blk00000003/sig00000724 , \blk00000003/sig00000725 , \blk00000003/sig00000726 , \blk00000003/sig00000727 , \blk00000003/sig00000728 , +\blk00000003/sig00000729 , \blk00000003/sig0000072a , \blk00000003/sig0000072b , \blk00000003/sig0000072c , \blk00000003/sig0000072d , +\blk00000003/sig0000072e , \blk00000003/sig0000072f , \blk00000003/sig00000730 , \blk00000003/sig00000731 , \blk00000003/sig00000732 }), + .PCOUT({\blk00000003/sig000003eb , \blk00000003/sig000003ec , \blk00000003/sig000003ed , \blk00000003/sig000003ee , \blk00000003/sig000003ef , +\blk00000003/sig000003f0 , \blk00000003/sig000003f1 , \blk00000003/sig000003f2 , \blk00000003/sig000003f3 , \blk00000003/sig000003f4 , +\blk00000003/sig000003f5 , \blk00000003/sig000003f6 , \blk00000003/sig000003f7 , \blk00000003/sig000003f8 , \blk00000003/sig000003f9 , +\blk00000003/sig000003fa , \blk00000003/sig000003fb , \blk00000003/sig000003fc , \blk00000003/sig000003fd , \blk00000003/sig000003fe , +\blk00000003/sig000003ff , \blk00000003/sig00000400 , \blk00000003/sig00000401 , \blk00000003/sig00000402 , \blk00000003/sig00000403 , +\blk00000003/sig00000404 , \blk00000003/sig00000405 , \blk00000003/sig00000406 , \blk00000003/sig00000407 , \blk00000003/sig00000408 , +\blk00000003/sig00000409 , \blk00000003/sig0000040a , \blk00000003/sig0000040b , \blk00000003/sig0000040c , \blk00000003/sig0000040d , +\blk00000003/sig0000040e , \blk00000003/sig0000040f , \blk00000003/sig00000410 , \blk00000003/sig00000411 , \blk00000003/sig00000412 , +\blk00000003/sig00000413 , \blk00000003/sig00000414 , \blk00000003/sig00000415 , \blk00000003/sig00000416 , \blk00000003/sig00000417 , +\blk00000003/sig00000418 , \blk00000003/sig00000419 , \blk00000003/sig0000041a }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000f1 ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000f1_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000f1_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000f1_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000f1_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000f1_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000f1_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000f1_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .PCIN({\blk00000003/sig00000673 , \blk00000003/sig00000674 , \blk00000003/sig00000675 , \blk00000003/sig00000676 , \blk00000003/sig00000677 , +\blk00000003/sig00000678 , \blk00000003/sig00000679 , \blk00000003/sig0000067a , \blk00000003/sig0000067b , \blk00000003/sig0000067c , +\blk00000003/sig0000067d , \blk00000003/sig0000067e , \blk00000003/sig0000067f , \blk00000003/sig00000680 , \blk00000003/sig00000681 , +\blk00000003/sig00000682 , \blk00000003/sig00000683 , \blk00000003/sig00000684 , \blk00000003/sig00000685 , \blk00000003/sig00000686 , +\blk00000003/sig00000687 , \blk00000003/sig00000688 , \blk00000003/sig00000689 , \blk00000003/sig0000068a , \blk00000003/sig0000068b , +\blk00000003/sig0000068c , \blk00000003/sig0000068d , \blk00000003/sig0000068e , \blk00000003/sig0000068f , \blk00000003/sig00000690 , +\blk00000003/sig00000691 , \blk00000003/sig00000692 , \blk00000003/sig00000693 , \blk00000003/sig00000694 , \blk00000003/sig00000695 , +\blk00000003/sig00000696 , \blk00000003/sig00000697 , \blk00000003/sig00000698 , \blk00000003/sig00000699 , \blk00000003/sig0000069a , +\blk00000003/sig0000069b , \blk00000003/sig0000069c , \blk00000003/sig0000069d , \blk00000003/sig0000069e , \blk00000003/sig0000069f , +\blk00000003/sig000006a0 , \blk00000003/sig000006a1 , \blk00000003/sig000006a2 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000f1_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f1_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f1_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig0000052f , \blk00000003/sig00000530 , \blk00000003/sig00000531 , \blk00000003/sig00000532 , \blk00000003/sig00000533 , +\blk00000003/sig00000534 , \blk00000003/sig00000535 , \blk00000003/sig00000536 , \blk00000003/sig00000537 , \blk00000003/sig00000538 , +\blk00000003/sig00000539 , \blk00000003/sig0000053a , \blk00000003/sig0000053b , \blk00000003/sig0000053c , \blk00000003/sig0000053d , +\blk00000003/sig0000053e , \blk00000003/sig0000053f , \blk00000003/sig00000540 }), + .BCOUT({\NLW_blk00000003/blk000000f1_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f1_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f1_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f1_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f1_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f1_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f1_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f1_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f1_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f1_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig000006a3 , \blk00000003/sig000006a3 , \blk00000003/sig000006a4 , \blk00000003/sig000006a5 , \blk00000003/sig000006a6 , +\blk00000003/sig000006a7 , \blk00000003/sig000006a8 , \blk00000003/sig000006a9 , \blk00000003/sig000006aa , \blk00000003/sig000006ab , +\blk00000003/sig000006ac , \blk00000003/sig000006ad , \blk00000003/sig000006ae , \blk00000003/sig000006af , \blk00000003/sig000006b0 , +\blk00000003/sig000006b1 , \blk00000003/sig000006b2 , \blk00000003/sig000006b3 , \blk00000003/sig000006b4 , \blk00000003/sig000006b5 , +\blk00000003/sig000006b6 , \blk00000003/sig000006b7 , \blk00000003/sig000006b8 , \blk00000003/sig000006b9 , \blk00000003/sig000006ba }), + .P({\NLW_blk00000003/blk000000f1_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f1_P<0>_UNCONNECTED }), + .A({\blk00000003/sig000006bb , \blk00000003/sig000006bb , \blk00000003/sig000006bb , \blk00000003/sig000006bb , \blk00000003/sig000006bb , +\blk00000003/sig000006bb , \blk00000003/sig000006bb , \blk00000003/sig000006bc , \blk00000003/sig000006bd , \blk00000003/sig000006be , +\blk00000003/sig000006bf , \blk00000003/sig000006c0 , \blk00000003/sig000006c1 , \blk00000003/sig000006c2 , \blk00000003/sig000006c3 , +\blk00000003/sig000006c4 , \blk00000003/sig000006c5 , \blk00000003/sig000006c6 , \blk00000003/sig000006c7 , \blk00000003/sig000006c8 , +\blk00000003/sig000006c9 , \blk00000003/sig000006ca , \blk00000003/sig000006cb , \blk00000003/sig000006cc , \blk00000003/sig000006cd , +\blk00000003/sig000006ce , \blk00000003/sig000006cf , \blk00000003/sig000006d0 , \blk00000003/sig000006d1 , \blk00000003/sig000006d2 }), + .PCOUT({\blk00000003/sig000006d3 , \blk00000003/sig000006d4 , \blk00000003/sig000006d5 , \blk00000003/sig000006d6 , \blk00000003/sig000006d7 , +\blk00000003/sig000006d8 , \blk00000003/sig000006d9 , \blk00000003/sig000006da , \blk00000003/sig000006db , \blk00000003/sig000006dc , +\blk00000003/sig000006dd , \blk00000003/sig000006de , \blk00000003/sig000006df , \blk00000003/sig000006e0 , \blk00000003/sig000006e1 , +\blk00000003/sig000006e2 , \blk00000003/sig000006e3 , \blk00000003/sig000006e4 , \blk00000003/sig000006e5 , \blk00000003/sig000006e6 , +\blk00000003/sig000006e7 , \blk00000003/sig000006e8 , \blk00000003/sig000006e9 , \blk00000003/sig000006ea , \blk00000003/sig000006eb , +\blk00000003/sig000006ec , \blk00000003/sig000006ed , \blk00000003/sig000006ee , \blk00000003/sig000006ef , \blk00000003/sig000006f0 , +\blk00000003/sig000006f1 , \blk00000003/sig000006f2 , \blk00000003/sig000006f3 , \blk00000003/sig000006f4 , \blk00000003/sig000006f5 , +\blk00000003/sig000006f6 , \blk00000003/sig000006f7 , \blk00000003/sig000006f8 , \blk00000003/sig000006f9 , \blk00000003/sig000006fa , +\blk00000003/sig000006fb , \blk00000003/sig000006fc , \blk00000003/sig000006fd , \blk00000003/sig000006fe , \blk00000003/sig000006ff , +\blk00000003/sig00000700 , \blk00000003/sig00000701 , \blk00000003/sig00000702 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000f0 ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000f0_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000f0_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000f0_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000f0_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000f0_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000f0_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000f0_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .PCIN({\blk00000003/sig00000613 , \blk00000003/sig00000614 , \blk00000003/sig00000615 , \blk00000003/sig00000616 , \blk00000003/sig00000617 , +\blk00000003/sig00000618 , \blk00000003/sig00000619 , \blk00000003/sig0000061a , \blk00000003/sig0000061b , \blk00000003/sig0000061c , +\blk00000003/sig0000061d , \blk00000003/sig0000061e , \blk00000003/sig0000061f , \blk00000003/sig00000620 , \blk00000003/sig00000621 , +\blk00000003/sig00000622 , \blk00000003/sig00000623 , \blk00000003/sig00000624 , \blk00000003/sig00000625 , \blk00000003/sig00000626 , +\blk00000003/sig00000627 , \blk00000003/sig00000628 , \blk00000003/sig00000629 , \blk00000003/sig0000062a , \blk00000003/sig0000062b , +\blk00000003/sig0000062c , \blk00000003/sig0000062d , \blk00000003/sig0000062e , \blk00000003/sig0000062f , \blk00000003/sig00000630 , +\blk00000003/sig00000631 , \blk00000003/sig00000632 , \blk00000003/sig00000633 , \blk00000003/sig00000634 , \blk00000003/sig00000635 , +\blk00000003/sig00000636 , \blk00000003/sig00000637 , \blk00000003/sig00000638 , \blk00000003/sig00000639 , \blk00000003/sig0000063a , +\blk00000003/sig0000063b , \blk00000003/sig0000063c , \blk00000003/sig0000063d , \blk00000003/sig0000063e , \blk00000003/sig0000063f , +\blk00000003/sig00000640 , \blk00000003/sig00000641 , \blk00000003/sig00000642 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000f0_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f0_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f0_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000004bd , \blk00000003/sig000004be , \blk00000003/sig000004bf , \blk00000003/sig000004c0 , \blk00000003/sig000004c1 , +\blk00000003/sig000004c2 , \blk00000003/sig000004c3 , \blk00000003/sig000004c4 , \blk00000003/sig000004c5 , \blk00000003/sig000004c6 , +\blk00000003/sig000004c7 , \blk00000003/sig000004c8 , \blk00000003/sig000004c9 , \blk00000003/sig000004ca , \blk00000003/sig000004cb , +\blk00000003/sig000004cc , \blk00000003/sig000004cd , \blk00000003/sig000004ce }), + .BCOUT({\NLW_blk00000003/blk000000f0_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f0_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f0_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f0_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f0_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f0_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f0_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f0_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f0_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f0_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig00000643 , \blk00000003/sig00000643 , \blk00000003/sig00000644 , \blk00000003/sig00000645 , \blk00000003/sig00000646 , +\blk00000003/sig00000647 , \blk00000003/sig00000648 , \blk00000003/sig00000649 , \blk00000003/sig0000064a , \blk00000003/sig0000064b , +\blk00000003/sig0000064c , \blk00000003/sig0000064d , \blk00000003/sig0000064e , \blk00000003/sig0000064f , \blk00000003/sig00000650 , +\blk00000003/sig00000651 , \blk00000003/sig00000652 , \blk00000003/sig00000653 , \blk00000003/sig00000654 , \blk00000003/sig00000655 , +\blk00000003/sig00000656 , \blk00000003/sig00000657 , \blk00000003/sig00000658 , \blk00000003/sig00000659 , \blk00000003/sig0000065a }), + .P({\NLW_blk00000003/blk000000f0_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f0_P<0>_UNCONNECTED }), + .A({\blk00000003/sig0000065b , \blk00000003/sig0000065b , \blk00000003/sig0000065b , \blk00000003/sig0000065b , \blk00000003/sig0000065b , +\blk00000003/sig0000065b , \blk00000003/sig0000065b , \blk00000003/sig0000065c , \blk00000003/sig0000065d , \blk00000003/sig0000065e , +\blk00000003/sig0000065f , \blk00000003/sig00000660 , \blk00000003/sig00000661 , \blk00000003/sig00000662 , \blk00000003/sig00000663 , +\blk00000003/sig00000664 , \blk00000003/sig00000665 , \blk00000003/sig00000666 , \blk00000003/sig00000667 , \blk00000003/sig00000668 , +\blk00000003/sig00000669 , \blk00000003/sig0000066a , \blk00000003/sig0000066b , \blk00000003/sig0000066c , \blk00000003/sig0000066d , +\blk00000003/sig0000066e , \blk00000003/sig0000066f , \blk00000003/sig00000670 , \blk00000003/sig00000671 , \blk00000003/sig00000672 }), + .PCOUT({\blk00000003/sig00000673 , \blk00000003/sig00000674 , \blk00000003/sig00000675 , \blk00000003/sig00000676 , \blk00000003/sig00000677 , +\blk00000003/sig00000678 , \blk00000003/sig00000679 , \blk00000003/sig0000067a , \blk00000003/sig0000067b , \blk00000003/sig0000067c , +\blk00000003/sig0000067d , \blk00000003/sig0000067e , \blk00000003/sig0000067f , \blk00000003/sig00000680 , \blk00000003/sig00000681 , +\blk00000003/sig00000682 , \blk00000003/sig00000683 , \blk00000003/sig00000684 , \blk00000003/sig00000685 , \blk00000003/sig00000686 , +\blk00000003/sig00000687 , \blk00000003/sig00000688 , \blk00000003/sig00000689 , \blk00000003/sig0000068a , \blk00000003/sig0000068b , +\blk00000003/sig0000068c , \blk00000003/sig0000068d , \blk00000003/sig0000068e , \blk00000003/sig0000068f , \blk00000003/sig00000690 , +\blk00000003/sig00000691 , \blk00000003/sig00000692 , \blk00000003/sig00000693 , \blk00000003/sig00000694 , \blk00000003/sig00000695 , +\blk00000003/sig00000696 , \blk00000003/sig00000697 , \blk00000003/sig00000698 , \blk00000003/sig00000699 , \blk00000003/sig0000069a , +\blk00000003/sig0000069b , \blk00000003/sig0000069c , \blk00000003/sig0000069d , \blk00000003/sig0000069e , \blk00000003/sig0000069f , +\blk00000003/sig000006a0 , \blk00000003/sig000006a1 , \blk00000003/sig000006a2 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000ef ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000ef_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000ef_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000ef_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000ef_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000ef_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000ef_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000ef_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .PCIN({\blk00000003/sig00000349 , \blk00000003/sig0000034a , \blk00000003/sig0000034b , \blk00000003/sig0000034c , \blk00000003/sig0000034d , +\blk00000003/sig0000034e , \blk00000003/sig0000034f , \blk00000003/sig00000350 , \blk00000003/sig00000351 , \blk00000003/sig00000352 , +\blk00000003/sig00000353 , \blk00000003/sig00000354 , \blk00000003/sig00000355 , \blk00000003/sig00000356 , \blk00000003/sig00000357 , +\blk00000003/sig00000358 , \blk00000003/sig00000359 , \blk00000003/sig0000035a , \blk00000003/sig0000035b , \blk00000003/sig0000035c , +\blk00000003/sig0000035d , \blk00000003/sig0000035e , \blk00000003/sig0000035f , \blk00000003/sig00000360 , \blk00000003/sig00000361 , +\blk00000003/sig00000362 , \blk00000003/sig00000363 , \blk00000003/sig00000364 , \blk00000003/sig00000365 , \blk00000003/sig00000366 , +\blk00000003/sig00000367 , \blk00000003/sig00000368 , \blk00000003/sig00000369 , \blk00000003/sig0000036a , \blk00000003/sig0000036b , +\blk00000003/sig0000036c , \blk00000003/sig0000036d , \blk00000003/sig0000036e , \blk00000003/sig0000036f , \blk00000003/sig00000370 , +\blk00000003/sig00000371 , \blk00000003/sig00000372 , \blk00000003/sig00000373 , \blk00000003/sig00000374 , \blk00000003/sig00000375 , +\blk00000003/sig00000376 , \blk00000003/sig00000377 , \blk00000003/sig00000378 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000ef_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ef_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ef_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig0000044b , \blk00000003/sig0000044c , \blk00000003/sig0000044d , \blk00000003/sig0000044e , \blk00000003/sig0000044f , +\blk00000003/sig00000450 , \blk00000003/sig00000451 , \blk00000003/sig00000452 , \blk00000003/sig00000453 , \blk00000003/sig00000454 , +\blk00000003/sig00000455 , \blk00000003/sig00000456 , \blk00000003/sig00000457 , \blk00000003/sig00000458 , \blk00000003/sig00000459 , +\blk00000003/sig0000045a , \blk00000003/sig0000045b , \blk00000003/sig0000045c }), + .BCOUT({\NLW_blk00000003/blk000000ef_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ef_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ef_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ef_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ef_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ef_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ef_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ef_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ef_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ef_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig000005e3 , \blk00000003/sig000005e3 , \blk00000003/sig000005e4 , \blk00000003/sig000005e5 , \blk00000003/sig000005e6 , +\blk00000003/sig000005e7 , \blk00000003/sig000005e8 , \blk00000003/sig000005e9 , \blk00000003/sig000005ea , \blk00000003/sig000005eb , +\blk00000003/sig000005ec , \blk00000003/sig000005ed , \blk00000003/sig000005ee , \blk00000003/sig000005ef , \blk00000003/sig000005f0 , +\blk00000003/sig000005f1 , \blk00000003/sig000005f2 , \blk00000003/sig000005f3 , \blk00000003/sig000005f4 , \blk00000003/sig000005f5 , +\blk00000003/sig000005f6 , \blk00000003/sig000005f7 , \blk00000003/sig000005f8 , \blk00000003/sig000005f9 , \blk00000003/sig000005fa }), + .P({\NLW_blk00000003/blk000000ef_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000ef_P<0>_UNCONNECTED }), + .A({\blk00000003/sig000005fb , \blk00000003/sig000005fb , \blk00000003/sig000005fb , \blk00000003/sig000005fb , \blk00000003/sig000005fb , +\blk00000003/sig000005fb , \blk00000003/sig000005fb , \blk00000003/sig000005fc , \blk00000003/sig000005fd , \blk00000003/sig000005fe , +\blk00000003/sig000005ff , \blk00000003/sig00000600 , \blk00000003/sig00000601 , \blk00000003/sig00000602 , \blk00000003/sig00000603 , +\blk00000003/sig00000604 , \blk00000003/sig00000605 , \blk00000003/sig00000606 , \blk00000003/sig00000607 , \blk00000003/sig00000608 , +\blk00000003/sig00000609 , \blk00000003/sig0000060a , \blk00000003/sig0000060b , \blk00000003/sig0000060c , \blk00000003/sig0000060d , +\blk00000003/sig0000060e , \blk00000003/sig0000060f , \blk00000003/sig00000610 , \blk00000003/sig00000611 , \blk00000003/sig00000612 }), + .PCOUT({\blk00000003/sig00000613 , \blk00000003/sig00000614 , \blk00000003/sig00000615 , \blk00000003/sig00000616 , \blk00000003/sig00000617 , +\blk00000003/sig00000618 , \blk00000003/sig00000619 , \blk00000003/sig0000061a , \blk00000003/sig0000061b , \blk00000003/sig0000061c , +\blk00000003/sig0000061d , \blk00000003/sig0000061e , \blk00000003/sig0000061f , \blk00000003/sig00000620 , \blk00000003/sig00000621 , +\blk00000003/sig00000622 , \blk00000003/sig00000623 , \blk00000003/sig00000624 , \blk00000003/sig00000625 , \blk00000003/sig00000626 , +\blk00000003/sig00000627 , \blk00000003/sig00000628 , \blk00000003/sig00000629 , \blk00000003/sig0000062a , \blk00000003/sig0000062b , +\blk00000003/sig0000062c , \blk00000003/sig0000062d , \blk00000003/sig0000062e , \blk00000003/sig0000062f , \blk00000003/sig00000630 , +\blk00000003/sig00000631 , \blk00000003/sig00000632 , \blk00000003/sig00000633 , \blk00000003/sig00000634 , \blk00000003/sig00000635 , +\blk00000003/sig00000636 , \blk00000003/sig00000637 , \blk00000003/sig00000638 , \blk00000003/sig00000639 , \blk00000003/sig0000063a , +\blk00000003/sig0000063b , \blk00000003/sig0000063c , \blk00000003/sig0000063d , \blk00000003/sig0000063e , \blk00000003/sig0000063f , +\blk00000003/sig00000640 , \blk00000003/sig00000641 , \blk00000003/sig00000642 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000ee ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000ee_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000ee_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000ee_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000ee_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000ee_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000ee_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000ee_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .PCIN({\blk00000003/sig00000571 , \blk00000003/sig00000572 , \blk00000003/sig00000573 , \blk00000003/sig00000574 , \blk00000003/sig00000575 , +\blk00000003/sig00000576 , \blk00000003/sig00000577 , \blk00000003/sig00000578 , \blk00000003/sig00000579 , \blk00000003/sig0000057a , +\blk00000003/sig0000057b , \blk00000003/sig0000057c , \blk00000003/sig0000057d , \blk00000003/sig0000057e , \blk00000003/sig0000057f , +\blk00000003/sig00000580 , \blk00000003/sig00000581 , \blk00000003/sig00000582 , \blk00000003/sig00000583 , \blk00000003/sig00000584 , +\blk00000003/sig00000585 , \blk00000003/sig00000586 , \blk00000003/sig00000587 , \blk00000003/sig00000588 , \blk00000003/sig00000589 , +\blk00000003/sig0000058a , \blk00000003/sig0000058b , \blk00000003/sig0000058c , \blk00000003/sig0000058d , \blk00000003/sig0000058e , +\blk00000003/sig0000058f , \blk00000003/sig00000590 , \blk00000003/sig00000591 , \blk00000003/sig00000592 , \blk00000003/sig00000593 , +\blk00000003/sig00000594 , \blk00000003/sig00000595 , \blk00000003/sig00000596 , \blk00000003/sig00000597 , \blk00000003/sig00000598 , +\blk00000003/sig00000599 , \blk00000003/sig0000059a , \blk00000003/sig0000059b , \blk00000003/sig0000059c , \blk00000003/sig0000059d , +\blk00000003/sig0000059e , \blk00000003/sig0000059f , \blk00000003/sig000005a0 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000ee_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ee_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ee_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000005a1 , \blk00000003/sig000005a2 , \blk00000003/sig000005a3 , \blk00000003/sig000005a4 , \blk00000003/sig000005a5 , +\blk00000003/sig000005a6 , \blk00000003/sig000005a7 , \blk00000003/sig000005a8 , \blk00000003/sig000005a9 , \blk00000003/sig000005aa , +\blk00000003/sig000005ab , \blk00000003/sig000005ac , \blk00000003/sig000005ad , \blk00000003/sig000005ae , \blk00000003/sig000005af , +\blk00000003/sig000005b0 , \blk00000003/sig000005b1 , \blk00000003/sig000005b2 }), + .BCOUT({\NLW_blk00000003/blk000000ee_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ee_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ee_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ee_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ee_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ee_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ee_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ee_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ee_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ee_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig000005b3 , \blk00000003/sig000005b3 , \blk00000003/sig000005b4 , \blk00000003/sig000005b5 , \blk00000003/sig000005b6 , +\blk00000003/sig000005b7 , \blk00000003/sig000005b8 , \blk00000003/sig000005b9 , \blk00000003/sig000005ba , \blk00000003/sig000005bb , +\blk00000003/sig000005bc , \blk00000003/sig000005bd , \blk00000003/sig000005be , \blk00000003/sig000005bf , \blk00000003/sig000005c0 , +\blk00000003/sig000005c1 , \blk00000003/sig000005c2 , \blk00000003/sig000005c3 , \blk00000003/sig000005c4 , \blk00000003/sig000005c5 , +\blk00000003/sig000005c6 , \blk00000003/sig000005c7 , \blk00000003/sig000005c8 , \blk00000003/sig000005c9 , \blk00000003/sig000005ca }), + .P({\NLW_blk00000003/blk000000ee_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000ee_P<0>_UNCONNECTED }), + .A({\blk00000003/sig000005cb , \blk00000003/sig000005cb , \blk00000003/sig000005cb , \blk00000003/sig000005cb , \blk00000003/sig000005cb , +\blk00000003/sig000005cb , \blk00000003/sig000005cb , \blk00000003/sig000005cc , \blk00000003/sig000005cd , \blk00000003/sig000005ce , +\blk00000003/sig000005cf , \blk00000003/sig000005d0 , \blk00000003/sig000005d1 , \blk00000003/sig000005d2 , \blk00000003/sig000005d3 , +\blk00000003/sig000005d4 , \blk00000003/sig000005d5 , \blk00000003/sig000005d6 , \blk00000003/sig000005d7 , \blk00000003/sig000005d8 , +\blk00000003/sig000005d9 , \blk00000003/sig000005da , \blk00000003/sig000005db , \blk00000003/sig000005dc , \blk00000003/sig000005dd , +\blk00000003/sig000005de , \blk00000003/sig000005df , \blk00000003/sig000005e0 , \blk00000003/sig000005e1 , \blk00000003/sig000005e2 }), + .PCOUT({\blk00000003/sig00000379 , \blk00000003/sig0000037a , \blk00000003/sig0000037b , \blk00000003/sig0000037c , \blk00000003/sig0000037d , +\blk00000003/sig0000037e , \blk00000003/sig0000037f , \blk00000003/sig00000380 , \blk00000003/sig00000381 , \blk00000003/sig00000382 , +\blk00000003/sig00000383 , \blk00000003/sig00000384 , \blk00000003/sig00000385 , \blk00000003/sig00000386 , \blk00000003/sig00000387 , +\blk00000003/sig00000388 , \blk00000003/sig00000389 , \blk00000003/sig0000038a , \blk00000003/sig0000038b , \blk00000003/sig0000038c , +\blk00000003/sig0000038d , \blk00000003/sig0000038e , \blk00000003/sig0000038f , \blk00000003/sig00000390 , \blk00000003/sig00000391 , +\blk00000003/sig00000392 , \blk00000003/sig00000393 , \blk00000003/sig00000394 , \blk00000003/sig00000395 , \blk00000003/sig00000396 , +\blk00000003/sig00000397 , \blk00000003/sig00000398 , \blk00000003/sig00000399 , \blk00000003/sig0000039a , \blk00000003/sig0000039b , +\blk00000003/sig0000039c , \blk00000003/sig0000039d , \blk00000003/sig0000039e , \blk00000003/sig0000039f , \blk00000003/sig000003a0 , +\blk00000003/sig000003a1 , \blk00000003/sig000003a2 , \blk00000003/sig000003a3 , \blk00000003/sig000003a4 , \blk00000003/sig000003a5 , +\blk00000003/sig000003a6 , \blk00000003/sig000003a7 , \blk00000003/sig000003a8 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000ed ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000ed_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000ed_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000ed_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000ed_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000ed_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000ed_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000ed_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .PCIN({\blk00000003/sig000004ff , \blk00000003/sig00000500 , \blk00000003/sig00000501 , \blk00000003/sig00000502 , \blk00000003/sig00000503 , +\blk00000003/sig00000504 , \blk00000003/sig00000505 , \blk00000003/sig00000506 , \blk00000003/sig00000507 , \blk00000003/sig00000508 , +\blk00000003/sig00000509 , \blk00000003/sig0000050a , \blk00000003/sig0000050b , \blk00000003/sig0000050c , \blk00000003/sig0000050d , +\blk00000003/sig0000050e , \blk00000003/sig0000050f , \blk00000003/sig00000510 , \blk00000003/sig00000511 , \blk00000003/sig00000512 , +\blk00000003/sig00000513 , \blk00000003/sig00000514 , \blk00000003/sig00000515 , \blk00000003/sig00000516 , \blk00000003/sig00000517 , +\blk00000003/sig00000518 , \blk00000003/sig00000519 , \blk00000003/sig0000051a , \blk00000003/sig0000051b , \blk00000003/sig0000051c , +\blk00000003/sig0000051d , \blk00000003/sig0000051e , \blk00000003/sig0000051f , \blk00000003/sig00000520 , \blk00000003/sig00000521 , +\blk00000003/sig00000522 , \blk00000003/sig00000523 , \blk00000003/sig00000524 , \blk00000003/sig00000525 , \blk00000003/sig00000526 , +\blk00000003/sig00000527 , \blk00000003/sig00000528 , \blk00000003/sig00000529 , \blk00000003/sig0000052a , \blk00000003/sig0000052b , +\blk00000003/sig0000052c , \blk00000003/sig0000052d , \blk00000003/sig0000052e }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000ed_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ed_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ed_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig0000052f , \blk00000003/sig00000530 , \blk00000003/sig00000531 , \blk00000003/sig00000532 , \blk00000003/sig00000533 , +\blk00000003/sig00000534 , \blk00000003/sig00000535 , \blk00000003/sig00000536 , \blk00000003/sig00000537 , \blk00000003/sig00000538 , +\blk00000003/sig00000539 , \blk00000003/sig0000053a , \blk00000003/sig0000053b , \blk00000003/sig0000053c , \blk00000003/sig0000053d , +\blk00000003/sig0000053e , \blk00000003/sig0000053f , \blk00000003/sig00000540 }), + .BCOUT({\NLW_blk00000003/blk000000ed_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ed_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ed_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ed_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ed_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ed_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ed_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ed_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ed_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ed_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig00000541 , \blk00000003/sig00000541 , \blk00000003/sig00000542 , \blk00000003/sig00000543 , \blk00000003/sig00000544 , +\blk00000003/sig00000545 , \blk00000003/sig00000546 , \blk00000003/sig00000547 , \blk00000003/sig00000548 , \blk00000003/sig00000549 , +\blk00000003/sig0000054a , \blk00000003/sig0000054b , \blk00000003/sig0000054c , \blk00000003/sig0000054d , \blk00000003/sig0000054e , +\blk00000003/sig0000054f , \blk00000003/sig00000550 , \blk00000003/sig00000551 , \blk00000003/sig00000552 , \blk00000003/sig00000553 , +\blk00000003/sig00000554 , \blk00000003/sig00000555 , \blk00000003/sig00000556 , \blk00000003/sig00000557 , \blk00000003/sig00000558 }), + .P({\NLW_blk00000003/blk000000ed_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000ed_P<0>_UNCONNECTED }), + .A({\blk00000003/sig00000559 , \blk00000003/sig00000559 , \blk00000003/sig00000559 , \blk00000003/sig00000559 , \blk00000003/sig00000559 , +\blk00000003/sig00000559 , \blk00000003/sig00000559 , \blk00000003/sig0000055a , \blk00000003/sig0000055b , \blk00000003/sig0000055c , +\blk00000003/sig0000055d , \blk00000003/sig0000055e , \blk00000003/sig0000055f , \blk00000003/sig00000560 , \blk00000003/sig00000561 , +\blk00000003/sig00000562 , \blk00000003/sig00000563 , \blk00000003/sig00000564 , \blk00000003/sig00000565 , \blk00000003/sig00000566 , +\blk00000003/sig00000567 , \blk00000003/sig00000568 , \blk00000003/sig00000569 , \blk00000003/sig0000056a , \blk00000003/sig0000056b , +\blk00000003/sig0000056c , \blk00000003/sig0000056d , \blk00000003/sig0000056e , \blk00000003/sig0000056f , \blk00000003/sig00000570 }), + .PCOUT({\blk00000003/sig00000571 , \blk00000003/sig00000572 , \blk00000003/sig00000573 , \blk00000003/sig00000574 , \blk00000003/sig00000575 , +\blk00000003/sig00000576 , \blk00000003/sig00000577 , \blk00000003/sig00000578 , \blk00000003/sig00000579 , \blk00000003/sig0000057a , +\blk00000003/sig0000057b , \blk00000003/sig0000057c , \blk00000003/sig0000057d , \blk00000003/sig0000057e , \blk00000003/sig0000057f , +\blk00000003/sig00000580 , \blk00000003/sig00000581 , \blk00000003/sig00000582 , \blk00000003/sig00000583 , \blk00000003/sig00000584 , +\blk00000003/sig00000585 , \blk00000003/sig00000586 , \blk00000003/sig00000587 , \blk00000003/sig00000588 , \blk00000003/sig00000589 , +\blk00000003/sig0000058a , \blk00000003/sig0000058b , \blk00000003/sig0000058c , \blk00000003/sig0000058d , \blk00000003/sig0000058e , +\blk00000003/sig0000058f , \blk00000003/sig00000590 , \blk00000003/sig00000591 , \blk00000003/sig00000592 , \blk00000003/sig00000593 , +\blk00000003/sig00000594 , \blk00000003/sig00000595 , \blk00000003/sig00000596 , \blk00000003/sig00000597 , \blk00000003/sig00000598 , +\blk00000003/sig00000599 , \blk00000003/sig0000059a , \blk00000003/sig0000059b , \blk00000003/sig0000059c , \blk00000003/sig0000059d , +\blk00000003/sig0000059e , \blk00000003/sig0000059f , \blk00000003/sig000005a0 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000ec ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000ec_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000ec_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000ec_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000ec_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000ec_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000ec_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000ec_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .PCIN({\blk00000003/sig0000048d , \blk00000003/sig0000048e , \blk00000003/sig0000048f , \blk00000003/sig00000490 , \blk00000003/sig00000491 , +\blk00000003/sig00000492 , \blk00000003/sig00000493 , \blk00000003/sig00000494 , \blk00000003/sig00000495 , \blk00000003/sig00000496 , +\blk00000003/sig00000497 , \blk00000003/sig00000498 , \blk00000003/sig00000499 , \blk00000003/sig0000049a , \blk00000003/sig0000049b , +\blk00000003/sig0000049c , \blk00000003/sig0000049d , \blk00000003/sig0000049e , \blk00000003/sig0000049f , \blk00000003/sig000004a0 , +\blk00000003/sig000004a1 , \blk00000003/sig000004a2 , \blk00000003/sig000004a3 , \blk00000003/sig000004a4 , \blk00000003/sig000004a5 , +\blk00000003/sig000004a6 , \blk00000003/sig000004a7 , \blk00000003/sig000004a8 , \blk00000003/sig000004a9 , \blk00000003/sig000004aa , +\blk00000003/sig000004ab , \blk00000003/sig000004ac , \blk00000003/sig000004ad , \blk00000003/sig000004ae , \blk00000003/sig000004af , +\blk00000003/sig000004b0 , \blk00000003/sig000004b1 , \blk00000003/sig000004b2 , \blk00000003/sig000004b3 , \blk00000003/sig000004b4 , +\blk00000003/sig000004b5 , \blk00000003/sig000004b6 , \blk00000003/sig000004b7 , \blk00000003/sig000004b8 , \blk00000003/sig000004b9 , +\blk00000003/sig000004ba , \blk00000003/sig000004bb , \blk00000003/sig000004bc }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000ec_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ec_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ec_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000004bd , \blk00000003/sig000004be , \blk00000003/sig000004bf , \blk00000003/sig000004c0 , \blk00000003/sig000004c1 , +\blk00000003/sig000004c2 , \blk00000003/sig000004c3 , \blk00000003/sig000004c4 , \blk00000003/sig000004c5 , \blk00000003/sig000004c6 , +\blk00000003/sig000004c7 , \blk00000003/sig000004c8 , \blk00000003/sig000004c9 , \blk00000003/sig000004ca , \blk00000003/sig000004cb , +\blk00000003/sig000004cc , \blk00000003/sig000004cd , \blk00000003/sig000004ce }), + .BCOUT({\NLW_blk00000003/blk000000ec_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ec_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ec_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ec_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ec_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ec_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ec_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ec_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ec_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ec_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig000004cf , \blk00000003/sig000004cf , \blk00000003/sig000004d0 , \blk00000003/sig000004d1 , \blk00000003/sig000004d2 , +\blk00000003/sig000004d3 , \blk00000003/sig000004d4 , \blk00000003/sig000004d5 , \blk00000003/sig000004d6 , \blk00000003/sig000004d7 , +\blk00000003/sig000004d8 , \blk00000003/sig000004d9 , \blk00000003/sig000004da , \blk00000003/sig000004db , \blk00000003/sig000004dc , +\blk00000003/sig000004dd , \blk00000003/sig000004de , \blk00000003/sig000004df , \blk00000003/sig000004e0 , \blk00000003/sig000004e1 , +\blk00000003/sig000004e2 , \blk00000003/sig000004e3 , \blk00000003/sig000004e4 , \blk00000003/sig000004e5 , \blk00000003/sig000004e6 }), + .P({\NLW_blk00000003/blk000000ec_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000ec_P<0>_UNCONNECTED }), + .A({\blk00000003/sig000004e7 , \blk00000003/sig000004e7 , \blk00000003/sig000004e7 , \blk00000003/sig000004e7 , \blk00000003/sig000004e7 , +\blk00000003/sig000004e7 , \blk00000003/sig000004e7 , \blk00000003/sig000004e8 , \blk00000003/sig000004e9 , \blk00000003/sig000004ea , +\blk00000003/sig000004eb , \blk00000003/sig000004ec , \blk00000003/sig000004ed , \blk00000003/sig000004ee , \blk00000003/sig000004ef , +\blk00000003/sig000004f0 , \blk00000003/sig000004f1 , \blk00000003/sig000004f2 , \blk00000003/sig000004f3 , \blk00000003/sig000004f4 , +\blk00000003/sig000004f5 , \blk00000003/sig000004f6 , \blk00000003/sig000004f7 , \blk00000003/sig000004f8 , \blk00000003/sig000004f9 , +\blk00000003/sig000004fa , \blk00000003/sig000004fb , \blk00000003/sig000004fc , \blk00000003/sig000004fd , \blk00000003/sig000004fe }), + .PCOUT({\blk00000003/sig000004ff , \blk00000003/sig00000500 , \blk00000003/sig00000501 , \blk00000003/sig00000502 , \blk00000003/sig00000503 , +\blk00000003/sig00000504 , \blk00000003/sig00000505 , \blk00000003/sig00000506 , \blk00000003/sig00000507 , \blk00000003/sig00000508 , +\blk00000003/sig00000509 , \blk00000003/sig0000050a , \blk00000003/sig0000050b , \blk00000003/sig0000050c , \blk00000003/sig0000050d , +\blk00000003/sig0000050e , \blk00000003/sig0000050f , \blk00000003/sig00000510 , \blk00000003/sig00000511 , \blk00000003/sig00000512 , +\blk00000003/sig00000513 , \blk00000003/sig00000514 , \blk00000003/sig00000515 , \blk00000003/sig00000516 , \blk00000003/sig00000517 , +\blk00000003/sig00000518 , \blk00000003/sig00000519 , \blk00000003/sig0000051a , \blk00000003/sig0000051b , \blk00000003/sig0000051c , +\blk00000003/sig0000051d , \blk00000003/sig0000051e , \blk00000003/sig0000051f , \blk00000003/sig00000520 , \blk00000003/sig00000521 , +\blk00000003/sig00000522 , \blk00000003/sig00000523 , \blk00000003/sig00000524 , \blk00000003/sig00000525 , \blk00000003/sig00000526 , +\blk00000003/sig00000527 , \blk00000003/sig00000528 , \blk00000003/sig00000529 , \blk00000003/sig0000052a , \blk00000003/sig0000052b , +\blk00000003/sig0000052c , \blk00000003/sig0000052d , \blk00000003/sig0000052e }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000eb ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000eb_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000eb_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000eb_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000eb_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000eb_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000eb_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000eb_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .PCIN({\blk00000003/sig000002e9 , \blk00000003/sig000002ea , \blk00000003/sig000002eb , \blk00000003/sig000002ec , \blk00000003/sig000002ed , +\blk00000003/sig000002ee , \blk00000003/sig000002ef , \blk00000003/sig000002f0 , \blk00000003/sig000002f1 , \blk00000003/sig000002f2 , +\blk00000003/sig000002f3 , \blk00000003/sig000002f4 , \blk00000003/sig000002f5 , \blk00000003/sig000002f6 , \blk00000003/sig000002f7 , +\blk00000003/sig000002f8 , \blk00000003/sig000002f9 , \blk00000003/sig000002fa , \blk00000003/sig000002fb , \blk00000003/sig000002fc , +\blk00000003/sig000002fd , \blk00000003/sig000002fe , \blk00000003/sig000002ff , \blk00000003/sig00000300 , \blk00000003/sig00000301 , +\blk00000003/sig00000302 , \blk00000003/sig00000303 , \blk00000003/sig00000304 , \blk00000003/sig00000305 , \blk00000003/sig00000306 , +\blk00000003/sig00000307 , \blk00000003/sig00000308 , \blk00000003/sig00000309 , \blk00000003/sig0000030a , \blk00000003/sig0000030b , +\blk00000003/sig0000030c , \blk00000003/sig0000030d , \blk00000003/sig0000030e , \blk00000003/sig0000030f , \blk00000003/sig00000310 , +\blk00000003/sig00000311 , \blk00000003/sig00000312 , \blk00000003/sig00000313 , \blk00000003/sig00000314 , \blk00000003/sig00000315 , +\blk00000003/sig00000316 , \blk00000003/sig00000317 , \blk00000003/sig00000318 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000eb_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000eb_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000eb_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig0000044b , \blk00000003/sig0000044c , \blk00000003/sig0000044d , \blk00000003/sig0000044e , \blk00000003/sig0000044f , +\blk00000003/sig00000450 , \blk00000003/sig00000451 , \blk00000003/sig00000452 , \blk00000003/sig00000453 , \blk00000003/sig00000454 , +\blk00000003/sig00000455 , \blk00000003/sig00000456 , \blk00000003/sig00000457 , \blk00000003/sig00000458 , \blk00000003/sig00000459 , +\blk00000003/sig0000045a , \blk00000003/sig0000045b , \blk00000003/sig0000045c }), + .BCOUT({\NLW_blk00000003/blk000000eb_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000eb_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000eb_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000eb_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000eb_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000eb_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000eb_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000eb_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000eb_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000eb_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig0000045d , \blk00000003/sig0000045d , \blk00000003/sig0000045e , \blk00000003/sig0000045f , \blk00000003/sig00000460 , +\blk00000003/sig00000461 , \blk00000003/sig00000462 , \blk00000003/sig00000463 , \blk00000003/sig00000464 , \blk00000003/sig00000465 , +\blk00000003/sig00000466 , \blk00000003/sig00000467 , \blk00000003/sig00000468 , \blk00000003/sig00000469 , \blk00000003/sig0000046a , +\blk00000003/sig0000046b , \blk00000003/sig0000046c , \blk00000003/sig0000046d , \blk00000003/sig0000046e , \blk00000003/sig0000046f , +\blk00000003/sig00000470 , \blk00000003/sig00000471 , \blk00000003/sig00000472 , \blk00000003/sig00000473 , \blk00000003/sig00000474 }), + .P({\NLW_blk00000003/blk000000eb_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000eb_P<0>_UNCONNECTED }), + .A({\blk00000003/sig00000475 , \blk00000003/sig00000475 , \blk00000003/sig00000475 , \blk00000003/sig00000475 , \blk00000003/sig00000475 , +\blk00000003/sig00000475 , \blk00000003/sig00000475 , \blk00000003/sig00000476 , \blk00000003/sig00000477 , \blk00000003/sig00000478 , +\blk00000003/sig00000479 , \blk00000003/sig0000047a , \blk00000003/sig0000047b , \blk00000003/sig0000047c , \blk00000003/sig0000047d , +\blk00000003/sig0000047e , \blk00000003/sig0000047f , \blk00000003/sig00000480 , \blk00000003/sig00000481 , \blk00000003/sig00000482 , +\blk00000003/sig00000483 , \blk00000003/sig00000484 , \blk00000003/sig00000485 , \blk00000003/sig00000486 , \blk00000003/sig00000487 , +\blk00000003/sig00000488 , \blk00000003/sig00000489 , \blk00000003/sig0000048a , \blk00000003/sig0000048b , \blk00000003/sig0000048c }), + .PCOUT({\blk00000003/sig0000048d , \blk00000003/sig0000048e , \blk00000003/sig0000048f , \blk00000003/sig00000490 , \blk00000003/sig00000491 , +\blk00000003/sig00000492 , \blk00000003/sig00000493 , \blk00000003/sig00000494 , \blk00000003/sig00000495 , \blk00000003/sig00000496 , +\blk00000003/sig00000497 , \blk00000003/sig00000498 , \blk00000003/sig00000499 , \blk00000003/sig0000049a , \blk00000003/sig0000049b , +\blk00000003/sig0000049c , \blk00000003/sig0000049d , \blk00000003/sig0000049e , \blk00000003/sig0000049f , \blk00000003/sig000004a0 , +\blk00000003/sig000004a1 , \blk00000003/sig000004a2 , \blk00000003/sig000004a3 , \blk00000003/sig000004a4 , \blk00000003/sig000004a5 , +\blk00000003/sig000004a6 , \blk00000003/sig000004a7 , \blk00000003/sig000004a8 , \blk00000003/sig000004a9 , \blk00000003/sig000004aa , +\blk00000003/sig000004ab , \blk00000003/sig000004ac , \blk00000003/sig000004ad , \blk00000003/sig000004ae , \blk00000003/sig000004af , +\blk00000003/sig000004b0 , \blk00000003/sig000004b1 , \blk00000003/sig000004b2 , \blk00000003/sig000004b3 , \blk00000003/sig000004b4 , +\blk00000003/sig000004b5 , \blk00000003/sig000004b6 , \blk00000003/sig000004b7 , \blk00000003/sig000004b8 , \blk00000003/sig000004b9 , +\blk00000003/sig000004ba , \blk00000003/sig000004bb , \blk00000003/sig000004bc }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000ea ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000ea_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000ea_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000ea_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000ea_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000ea_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000ea_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000ea_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .PCIN({\blk00000003/sig000003eb , \blk00000003/sig000003ec , \blk00000003/sig000003ed , \blk00000003/sig000003ee , \blk00000003/sig000003ef , +\blk00000003/sig000003f0 , \blk00000003/sig000003f1 , \blk00000003/sig000003f2 , \blk00000003/sig000003f3 , \blk00000003/sig000003f4 , +\blk00000003/sig000003f5 , \blk00000003/sig000003f6 , \blk00000003/sig000003f7 , \blk00000003/sig000003f8 , \blk00000003/sig000003f9 , +\blk00000003/sig000003fa , \blk00000003/sig000003fb , \blk00000003/sig000003fc , \blk00000003/sig000003fd , \blk00000003/sig000003fe , +\blk00000003/sig000003ff , \blk00000003/sig00000400 , \blk00000003/sig00000401 , \blk00000003/sig00000402 , \blk00000003/sig00000403 , +\blk00000003/sig00000404 , \blk00000003/sig00000405 , \blk00000003/sig00000406 , \blk00000003/sig00000407 , \blk00000003/sig00000408 , +\blk00000003/sig00000409 , \blk00000003/sig0000040a , \blk00000003/sig0000040b , \blk00000003/sig0000040c , \blk00000003/sig0000040d , +\blk00000003/sig0000040e , \blk00000003/sig0000040f , \blk00000003/sig00000410 , \blk00000003/sig00000411 , \blk00000003/sig00000412 , +\blk00000003/sig00000413 , \blk00000003/sig00000414 , \blk00000003/sig00000415 , \blk00000003/sig00000416 , \blk00000003/sig00000417 , +\blk00000003/sig00000418 , \blk00000003/sig00000419 , \blk00000003/sig0000041a }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000ea_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ea_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ea_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000003a9 , \blk00000003/sig000003aa , \blk00000003/sig000003ab , \blk00000003/sig000003ac , \blk00000003/sig000003ad , +\blk00000003/sig000003ae , \blk00000003/sig000003af , \blk00000003/sig000003b0 , \blk00000003/sig000003b1 , \blk00000003/sig000003b2 , +\blk00000003/sig000003b3 , \blk00000003/sig000003b4 , \blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 , +\blk00000003/sig000003b8 , \blk00000003/sig000003b9 , \blk00000003/sig000003ba }), + .BCOUT({\NLW_blk00000003/blk000000ea_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ea_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ea_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ea_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ea_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ea_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ea_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ea_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ea_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ea_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig0000041b , \blk00000003/sig0000041b , \blk00000003/sig0000041c , \blk00000003/sig0000041d , \blk00000003/sig0000041e , +\blk00000003/sig0000041f , \blk00000003/sig00000420 , \blk00000003/sig00000421 , \blk00000003/sig00000422 , \blk00000003/sig00000423 , +\blk00000003/sig00000424 , \blk00000003/sig00000425 , \blk00000003/sig00000426 , \blk00000003/sig00000427 , \blk00000003/sig00000428 , +\blk00000003/sig00000429 , \blk00000003/sig0000042a , \blk00000003/sig0000042b , \blk00000003/sig0000042c , \blk00000003/sig0000042d , +\blk00000003/sig0000042e , \blk00000003/sig0000042f , \blk00000003/sig00000430 , \blk00000003/sig00000431 , \blk00000003/sig00000432 }), + .P({\NLW_blk00000003/blk000000ea_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000ea_P<0>_UNCONNECTED }), + .A({\blk00000003/sig00000433 , \blk00000003/sig00000433 , \blk00000003/sig00000433 , \blk00000003/sig00000433 , \blk00000003/sig00000433 , +\blk00000003/sig00000433 , \blk00000003/sig00000433 , \blk00000003/sig00000434 , \blk00000003/sig00000435 , \blk00000003/sig00000436 , +\blk00000003/sig00000437 , \blk00000003/sig00000438 , \blk00000003/sig00000439 , \blk00000003/sig0000043a , \blk00000003/sig0000043b , +\blk00000003/sig0000043c , \blk00000003/sig0000043d , \blk00000003/sig0000043e , \blk00000003/sig0000043f , \blk00000003/sig00000440 , +\blk00000003/sig00000441 , \blk00000003/sig00000442 , \blk00000003/sig00000443 , \blk00000003/sig00000444 , \blk00000003/sig00000445 , +\blk00000003/sig00000446 , \blk00000003/sig00000447 , \blk00000003/sig00000448 , \blk00000003/sig00000449 , \blk00000003/sig0000044a }), + .PCOUT({\blk00000003/sig000000ca , \blk00000003/sig000000cb , \blk00000003/sig000000cc , \blk00000003/sig000000cd , \blk00000003/sig000000ce , +\blk00000003/sig000000cf , \blk00000003/sig000000d0 , \blk00000003/sig000000d1 , \blk00000003/sig000000d2 , \blk00000003/sig000000d3 , +\blk00000003/sig000000d4 , \blk00000003/sig000000d5 , \blk00000003/sig000000d6 , \blk00000003/sig000000d7 , \blk00000003/sig000000d8 , +\blk00000003/sig000000d9 , \blk00000003/sig000000da , \blk00000003/sig000000db , \blk00000003/sig000000dc , \blk00000003/sig000000dd , +\blk00000003/sig000000de , \blk00000003/sig000000df , \blk00000003/sig000000e0 , \blk00000003/sig000000e1 , \blk00000003/sig000000e2 , +\blk00000003/sig000000e3 , \blk00000003/sig000000e4 , \blk00000003/sig000000e5 , \blk00000003/sig000000e6 , \blk00000003/sig000000e7 , +\blk00000003/sig000000e8 , \blk00000003/sig000000e9 , \blk00000003/sig000000ea , \blk00000003/sig000000eb , \blk00000003/sig000000ec , +\blk00000003/sig000000ed , \blk00000003/sig000000ee , \blk00000003/sig000000ef , \blk00000003/sig000000f0 , \blk00000003/sig000000f1 , +\blk00000003/sig000000f2 , \blk00000003/sig000000f3 , \blk00000003/sig000000f4 , \blk00000003/sig000000f5 , \blk00000003/sig000000f6 , +\blk00000003/sig000000f7 , \blk00000003/sig000000f8 , \blk00000003/sig000000f9 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000e9 ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000e9_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000e9_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000e9_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000e9_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000e9_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000e9_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000e9_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .PCIN({\blk00000003/sig00000379 , \blk00000003/sig0000037a , \blk00000003/sig0000037b , \blk00000003/sig0000037c , \blk00000003/sig0000037d , +\blk00000003/sig0000037e , \blk00000003/sig0000037f , \blk00000003/sig00000380 , \blk00000003/sig00000381 , \blk00000003/sig00000382 , +\blk00000003/sig00000383 , \blk00000003/sig00000384 , \blk00000003/sig00000385 , \blk00000003/sig00000386 , \blk00000003/sig00000387 , +\blk00000003/sig00000388 , \blk00000003/sig00000389 , \blk00000003/sig0000038a , \blk00000003/sig0000038b , \blk00000003/sig0000038c , +\blk00000003/sig0000038d , \blk00000003/sig0000038e , \blk00000003/sig0000038f , \blk00000003/sig00000390 , \blk00000003/sig00000391 , +\blk00000003/sig00000392 , \blk00000003/sig00000393 , \blk00000003/sig00000394 , \blk00000003/sig00000395 , \blk00000003/sig00000396 , +\blk00000003/sig00000397 , \blk00000003/sig00000398 , \blk00000003/sig00000399 , \blk00000003/sig0000039a , \blk00000003/sig0000039b , +\blk00000003/sig0000039c , \blk00000003/sig0000039d , \blk00000003/sig0000039e , \blk00000003/sig0000039f , \blk00000003/sig000003a0 , +\blk00000003/sig000003a1 , \blk00000003/sig000003a2 , \blk00000003/sig000003a3 , \blk00000003/sig000003a4 , \blk00000003/sig000003a5 , +\blk00000003/sig000003a6 , \blk00000003/sig000003a7 , \blk00000003/sig000003a8 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000e9_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000e9_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000e9_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000003a9 , \blk00000003/sig000003aa , \blk00000003/sig000003ab , \blk00000003/sig000003ac , \blk00000003/sig000003ad , +\blk00000003/sig000003ae , \blk00000003/sig000003af , \blk00000003/sig000003b0 , \blk00000003/sig000003b1 , \blk00000003/sig000003b2 , +\blk00000003/sig000003b3 , \blk00000003/sig000003b4 , \blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 , +\blk00000003/sig000003b8 , \blk00000003/sig000003b9 , \blk00000003/sig000003ba }), + .BCOUT({\NLW_blk00000003/blk000000e9_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000e9_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000e9_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000e9_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000e9_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000e9_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000e9_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000e9_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000e9_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000e9_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig000003bb , \blk00000003/sig000003bb , \blk00000003/sig000003bc , \blk00000003/sig000003bd , \blk00000003/sig000003be , +\blk00000003/sig000003bf , \blk00000003/sig000003c0 , \blk00000003/sig000003c1 , \blk00000003/sig000003c2 , \blk00000003/sig000003c3 , +\blk00000003/sig000003c4 , \blk00000003/sig000003c5 , \blk00000003/sig000003c6 , \blk00000003/sig000003c7 , \blk00000003/sig000003c8 , +\blk00000003/sig000003c9 , \blk00000003/sig000003ca , \blk00000003/sig000003cb , \blk00000003/sig000003cc , \blk00000003/sig000003cd , +\blk00000003/sig000003ce , \blk00000003/sig000003cf , \blk00000003/sig000003d0 , \blk00000003/sig000003d1 , \blk00000003/sig000003d2 }), + .P({\NLW_blk00000003/blk000000e9_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000e9_P<0>_UNCONNECTED }), + .A({\blk00000003/sig000003d3 , \blk00000003/sig000003d3 , \blk00000003/sig000003d3 , \blk00000003/sig000003d3 , \blk00000003/sig000003d3 , +\blk00000003/sig000003d3 , \blk00000003/sig000003d3 , \blk00000003/sig000003d4 , \blk00000003/sig000003d5 , \blk00000003/sig000003d6 , +\blk00000003/sig000003d7 , \blk00000003/sig000003d8 , \blk00000003/sig000003d9 , \blk00000003/sig000003da , \blk00000003/sig000003db , +\blk00000003/sig000003dc , \blk00000003/sig000003dd , \blk00000003/sig000003de , \blk00000003/sig000003df , \blk00000003/sig000003e0 , +\blk00000003/sig000003e1 , \blk00000003/sig000003e2 , \blk00000003/sig000003e3 , \blk00000003/sig000003e4 , \blk00000003/sig000003e5 , +\blk00000003/sig000003e6 , \blk00000003/sig000003e7 , \blk00000003/sig000003e8 , \blk00000003/sig000003e9 , \blk00000003/sig000003ea }), + .PCOUT({\blk00000003/sig00000153 , \blk00000003/sig00000154 , \blk00000003/sig00000155 , \blk00000003/sig00000156 , \blk00000003/sig00000157 , +\blk00000003/sig00000158 , \blk00000003/sig00000159 , \blk00000003/sig0000015a , \blk00000003/sig0000015b , \blk00000003/sig0000015c , +\blk00000003/sig0000015d , \blk00000003/sig0000015e , \blk00000003/sig0000015f , \blk00000003/sig00000160 , \blk00000003/sig00000161 , +\blk00000003/sig00000162 , \blk00000003/sig00000163 , \blk00000003/sig00000164 , \blk00000003/sig00000165 , \blk00000003/sig00000166 , +\blk00000003/sig00000167 , \blk00000003/sig00000168 , \blk00000003/sig00000169 , \blk00000003/sig0000016a , \blk00000003/sig0000016b , +\blk00000003/sig0000016c , \blk00000003/sig0000016d , \blk00000003/sig0000016e , \blk00000003/sig0000016f , \blk00000003/sig00000170 , +\blk00000003/sig00000171 , \blk00000003/sig00000172 , \blk00000003/sig00000173 , \blk00000003/sig00000174 , \blk00000003/sig00000175 , +\blk00000003/sig00000176 , \blk00000003/sig00000177 , \blk00000003/sig00000178 , \blk00000003/sig00000179 , \blk00000003/sig0000017a , +\blk00000003/sig0000017b , \blk00000003/sig0000017c , \blk00000003/sig0000017d , \blk00000003/sig0000017e , \blk00000003/sig0000017f , +\blk00000003/sig00000180 , \blk00000003/sig00000181 , \blk00000003/sig00000182 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000e8 ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000e8_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000e8_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000e8_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000e8_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000e8_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000e8_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000e8_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000e8_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000e8_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000e8_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000002a7 , \blk00000003/sig000002a8 , \blk00000003/sig000002a9 , \blk00000003/sig000002aa , \blk00000003/sig000002ab , +\blk00000003/sig000002ac , \blk00000003/sig000002ad , \blk00000003/sig000002ae , \blk00000003/sig000002af , \blk00000003/sig000002b0 , +\blk00000003/sig000002b1 , \blk00000003/sig000002b2 , \blk00000003/sig000002b3 , \blk00000003/sig000002b4 , \blk00000003/sig000002b5 , +\blk00000003/sig000002b6 , \blk00000003/sig000002b7 , \blk00000003/sig000002b8 }), + .BCOUT({\NLW_blk00000003/blk000000e8_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000e8_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000e8_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000e8_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000e8_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000e8_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000e8_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000e8_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000e8_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000e8_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig00000319 , \blk00000003/sig00000319 , \blk00000003/sig0000031a , \blk00000003/sig0000031b , \blk00000003/sig0000031c , +\blk00000003/sig0000031d , \blk00000003/sig0000031e , \blk00000003/sig0000031f , \blk00000003/sig00000320 , \blk00000003/sig00000321 , +\blk00000003/sig00000322 , \blk00000003/sig00000323 , \blk00000003/sig00000324 , \blk00000003/sig00000325 , \blk00000003/sig00000326 , +\blk00000003/sig00000327 , \blk00000003/sig00000328 , \blk00000003/sig00000329 , \blk00000003/sig0000032a , \blk00000003/sig0000032b , +\blk00000003/sig0000032c , \blk00000003/sig0000032d , \blk00000003/sig0000032e , \blk00000003/sig0000032f , \blk00000003/sig00000330 }), + .P({\NLW_blk00000003/blk000000e8_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000e8_P<0>_UNCONNECTED }), + .A({\blk00000003/sig00000331 , \blk00000003/sig00000331 , \blk00000003/sig00000331 , \blk00000003/sig00000331 , \blk00000003/sig00000331 , +\blk00000003/sig00000331 , \blk00000003/sig00000331 , \blk00000003/sig00000332 , \blk00000003/sig00000333 , \blk00000003/sig00000334 , +\blk00000003/sig00000335 , \blk00000003/sig00000336 , \blk00000003/sig00000337 , \blk00000003/sig00000338 , \blk00000003/sig00000339 , +\blk00000003/sig0000033a , \blk00000003/sig0000033b , \blk00000003/sig0000033c , \blk00000003/sig0000033d , \blk00000003/sig0000033e , +\blk00000003/sig0000033f , \blk00000003/sig00000340 , \blk00000003/sig00000341 , \blk00000003/sig00000342 , \blk00000003/sig00000343 , +\blk00000003/sig00000344 , \blk00000003/sig00000345 , \blk00000003/sig00000346 , \blk00000003/sig00000347 , \blk00000003/sig00000348 }), + .PCOUT({\blk00000003/sig00000349 , \blk00000003/sig0000034a , \blk00000003/sig0000034b , \blk00000003/sig0000034c , \blk00000003/sig0000034d , +\blk00000003/sig0000034e , \blk00000003/sig0000034f , \blk00000003/sig00000350 , \blk00000003/sig00000351 , \blk00000003/sig00000352 , +\blk00000003/sig00000353 , \blk00000003/sig00000354 , \blk00000003/sig00000355 , \blk00000003/sig00000356 , \blk00000003/sig00000357 , +\blk00000003/sig00000358 , \blk00000003/sig00000359 , \blk00000003/sig0000035a , \blk00000003/sig0000035b , \blk00000003/sig0000035c , +\blk00000003/sig0000035d , \blk00000003/sig0000035e , \blk00000003/sig0000035f , \blk00000003/sig00000360 , \blk00000003/sig00000361 , +\blk00000003/sig00000362 , \blk00000003/sig00000363 , \blk00000003/sig00000364 , \blk00000003/sig00000365 , \blk00000003/sig00000366 , +\blk00000003/sig00000367 , \blk00000003/sig00000368 , \blk00000003/sig00000369 , \blk00000003/sig0000036a , \blk00000003/sig0000036b , +\blk00000003/sig0000036c , \blk00000003/sig0000036d , \blk00000003/sig0000036e , \blk00000003/sig0000036f , \blk00000003/sig00000370 , +\blk00000003/sig00000371 , \blk00000003/sig00000372 , \blk00000003/sig00000373 , \blk00000003/sig00000374 , \blk00000003/sig00000375 , +\blk00000003/sig00000376 , \blk00000003/sig00000377 , \blk00000003/sig00000378 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000e7 ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000e7_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000e7_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000e7_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000e7_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000e7_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000e7_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000e7_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000e7_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000e7_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000e7_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000002a7 , \blk00000003/sig000002a8 , \blk00000003/sig000002a9 , \blk00000003/sig000002aa , \blk00000003/sig000002ab , +\blk00000003/sig000002ac , \blk00000003/sig000002ad , \blk00000003/sig000002ae , \blk00000003/sig000002af , \blk00000003/sig000002b0 , +\blk00000003/sig000002b1 , \blk00000003/sig000002b2 , \blk00000003/sig000002b3 , \blk00000003/sig000002b4 , \blk00000003/sig000002b5 , +\blk00000003/sig000002b6 , \blk00000003/sig000002b7 , \blk00000003/sig000002b8 }), + .BCOUT({\NLW_blk00000003/blk000000e7_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000e7_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000e7_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000e7_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000e7_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000e7_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000e7_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000e7_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000e7_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000e7_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig000002b9 , \blk00000003/sig000002b9 , \blk00000003/sig000002ba , \blk00000003/sig000002bb , \blk00000003/sig000002bc , +\blk00000003/sig000002bd , \blk00000003/sig000002be , \blk00000003/sig000002bf , \blk00000003/sig000002c0 , \blk00000003/sig000002c1 , +\blk00000003/sig000002c2 , \blk00000003/sig000002c3 , \blk00000003/sig000002c4 , \blk00000003/sig000002c5 , \blk00000003/sig000002c6 , +\blk00000003/sig000002c7 , \blk00000003/sig000002c8 , \blk00000003/sig000002c9 , \blk00000003/sig000002ca , \blk00000003/sig000002cb , +\blk00000003/sig000002cc , \blk00000003/sig000002cd , \blk00000003/sig000002ce , \blk00000003/sig000002cf , \blk00000003/sig000002d0 }), + .P({\NLW_blk00000003/blk000000e7_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000e7_P<0>_UNCONNECTED }), + .A({\blk00000003/sig000002d1 , \blk00000003/sig000002d1 , \blk00000003/sig000002d1 , \blk00000003/sig000002d1 , \blk00000003/sig000002d1 , +\blk00000003/sig000002d1 , \blk00000003/sig000002d1 , \blk00000003/sig000002d2 , \blk00000003/sig000002d3 , \blk00000003/sig000002d4 , +\blk00000003/sig000002d5 , \blk00000003/sig000002d6 , \blk00000003/sig000002d7 , \blk00000003/sig000002d8 , \blk00000003/sig000002d9 , +\blk00000003/sig000002da , \blk00000003/sig000002db , \blk00000003/sig000002dc , \blk00000003/sig000002dd , \blk00000003/sig000002de , +\blk00000003/sig000002df , \blk00000003/sig000002e0 , \blk00000003/sig000002e1 , \blk00000003/sig000002e2 , \blk00000003/sig000002e3 , +\blk00000003/sig000002e4 , \blk00000003/sig000002e5 , \blk00000003/sig000002e6 , \blk00000003/sig000002e7 , \blk00000003/sig000002e8 }), + .PCOUT({\blk00000003/sig000002e9 , \blk00000003/sig000002ea , \blk00000003/sig000002eb , \blk00000003/sig000002ec , \blk00000003/sig000002ed , +\blk00000003/sig000002ee , \blk00000003/sig000002ef , \blk00000003/sig000002f0 , \blk00000003/sig000002f1 , \blk00000003/sig000002f2 , +\blk00000003/sig000002f3 , \blk00000003/sig000002f4 , \blk00000003/sig000002f5 , \blk00000003/sig000002f6 , \blk00000003/sig000002f7 , +\blk00000003/sig000002f8 , \blk00000003/sig000002f9 , \blk00000003/sig000002fa , \blk00000003/sig000002fb , \blk00000003/sig000002fc , +\blk00000003/sig000002fd , \blk00000003/sig000002fe , \blk00000003/sig000002ff , \blk00000003/sig00000300 , \blk00000003/sig00000301 , +\blk00000003/sig00000302 , \blk00000003/sig00000303 , \blk00000003/sig00000304 , \blk00000003/sig00000305 , \blk00000003/sig00000306 , +\blk00000003/sig00000307 , \blk00000003/sig00000308 , \blk00000003/sig00000309 , \blk00000003/sig0000030a , \blk00000003/sig0000030b , +\blk00000003/sig0000030c , \blk00000003/sig0000030d , \blk00000003/sig0000030e , \blk00000003/sig0000030f , \blk00000003/sig00000310 , +\blk00000003/sig00000311 , \blk00000003/sig00000312 , \blk00000003/sig00000313 , \blk00000003/sig00000314 , \blk00000003/sig00000315 , +\blk00000003/sig00000316 , \blk00000003/sig00000317 , \blk00000003/sig00000318 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001ce ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002a6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a4 ), + .Q(\blk00000003/sig000002a5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a3 ), + .Q(\blk00000003/sig0000029f ) + ); + XORCY \blk00000003/blk000000e3 ( + .CI(\blk00000003/sig0000029f ), + .LI(\blk00000003/sig000002a1 ), + .O(\blk00000003/sig000002a2 ) + ); + MUXCY_D \blk00000003/blk000000e2 ( + .CI(\blk00000003/sig0000029f ), + .DI(\blk00000003/sig000002a0 ), + .S(\blk00000003/sig000002a1 ), + .O(\NLW_blk00000003/blk000000e2_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000e2_LO_UNCONNECTED ) + ); + FDE \blk00000003/blk000000e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029d ), + .Q(\blk00000003/sig0000029e ) + ); + XORCY \blk00000003/blk000000e0 ( + .CI(\blk00000003/sig00000299 ), + .LI(\blk00000003/sig0000029b ), + .O(\blk00000003/sig0000029c ) + ); + MUXCY_D \blk00000003/blk000000df ( + .CI(\blk00000003/sig00000299 ), + .DI(\blk00000003/sig0000029a ), + .S(\blk00000003/sig0000029b ), + .O(\NLW_blk00000003/blk000000df_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000df_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000de ( + .CI(\blk00000003/sig00000049 ), + .DI(NlwRenamedSig_OI_rfd), + .S(\blk00000003/sig00000298 ), + .O(\blk00000003/sig00000299 ) + ); + XORCY \blk00000003/blk000000dd ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000296 ), + .O(\blk00000003/sig00000297 ) + ); + MUXCY_D \blk00000003/blk000000dc ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000295 ), + .S(\blk00000003/sig00000296 ), + .O(\NLW_blk00000003/blk000000dc_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000dc_LO_UNCONNECTED ) + ); + MUXCY_L \blk00000003/blk000000db ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000294 ), + .S(\blk00000003/sig0000028e ), + .LO(\blk00000003/sig00000290 ) + ); + MUXCY_D \blk00000003/blk000000da ( + .CI(\blk00000003/sig00000290 ), + .DI(\blk00000003/sig00000293 ), + .S(\blk00000003/sig00000291 ), + .O(\NLW_blk00000003/blk000000da_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000da_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000d9 ( + .CI(\blk00000003/sig00000290 ), + .LI(\blk00000003/sig00000291 ), + .O(\blk00000003/sig00000292 ) + ); + XORCY \blk00000003/blk000000d8 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig0000028e ), + .O(\blk00000003/sig0000028f ) + ); + MUXCY_L \blk00000003/blk000000d7 ( + .CI(\blk00000003/sig00000287 ), + .DI(\blk00000003/sig000001e9 ), + .S(\blk00000003/sig00000288 ), + .LO(\blk00000003/sig0000028a ) + ); + MUXCY_D \blk00000003/blk000000d6 ( + .CI(\blk00000003/sig0000028a ), + .DI(\blk00000003/sig000001e8 ), + .S(\blk00000003/sig0000028b ), + .O(\NLW_blk00000003/blk000000d6_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000d6_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000d5 ( + .CI(\blk00000003/sig00000049 ), + .DI(NlwRenamedSig_OI_rfd), + .S(\blk00000003/sig0000028d ), + .O(\blk00000003/sig00000287 ) + ); + XORCY \blk00000003/blk000000d4 ( + .CI(\blk00000003/sig0000028a ), + .LI(\blk00000003/sig0000028b ), + .O(\blk00000003/sig0000028c ) + ); + XORCY \blk00000003/blk000000d3 ( + .CI(\blk00000003/sig00000287 ), + .LI(\blk00000003/sig00000288 ), + .O(\blk00000003/sig00000289 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000000d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000278 ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000221 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000277 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000021e ) + ); + MUXCY_D \blk00000003/blk000000d0 ( + .CI(\blk00000003/sig0000021e ), + .DI(\blk00000003/sig00000285 ), + .S(\blk00000003/sig00000286 ), + .O(\blk00000003/sig00000282 ), + .LO(\NLW_blk00000003/blk000000d0_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000cf ( + .CI(\blk00000003/sig00000282 ), + .DI(\blk00000003/sig00000283 ), + .S(\blk00000003/sig00000284 ), + .O(\blk00000003/sig00000280 ), + .LO(\NLW_blk00000003/blk000000cf_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000ce ( + .CI(\blk00000003/sig00000280 ), + .DI(\blk00000003/sig00000276 ), + .S(\blk00000003/sig00000281 ), + .O(\blk00000003/sig0000027d ), + .LO(\NLW_blk00000003/blk000000ce_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000cd ( + .CI(\blk00000003/sig0000027d ), + .DI(\blk00000003/sig0000027e ), + .S(\blk00000003/sig0000027f ), + .O(\blk00000003/sig0000027b ), + .LO(\NLW_blk00000003/blk000000cd_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000cc ( + .CI(\blk00000003/sig0000027b ), + .DI(\blk00000003/sig00000249 ), + .S(\blk00000003/sig0000027c ), + .O(\blk00000003/sig00000279 ), + .LO(\NLW_blk00000003/blk000000cc_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000cb ( + .CI(\blk00000003/sig00000279 ), + .DI(\blk00000003/sig0000021b ), + .S(\blk00000003/sig0000027a ), + .O(\NLW_blk00000003/blk000000cb_O_UNCONNECTED ), + .LO(\blk00000003/sig00000277 ) + ); + XORCY \blk00000003/blk000000ca ( + .CI(\blk00000003/sig00000277 ), + .LI(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig00000278 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000000c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000266 ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000276 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000265 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000001ce ) + ); + MUXCY_D \blk00000003/blk000000c7 ( + .CI(\blk00000003/sig000001ce ), + .DI(\blk00000003/sig00000274 ), + .S(\blk00000003/sig00000275 ), + .O(\blk00000003/sig00000272 ), + .LO(\NLW_blk00000003/blk000000c7_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000c6 ( + .CI(\blk00000003/sig00000272 ), + .DI(\blk00000003/sig000001cf ), + .S(\blk00000003/sig00000273 ), + .O(\blk00000003/sig00000270 ), + .LO(\NLW_blk00000003/blk000000c6_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000c5 ( + .CI(\blk00000003/sig00000270 ), + .DI(\blk00000003/sig000001ce ), + .S(\blk00000003/sig00000271 ), + .O(\blk00000003/sig0000026d ), + .LO(\NLW_blk00000003/blk000000c5_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000c4 ( + .CI(\blk00000003/sig0000026d ), + .DI(\blk00000003/sig0000026e ), + .S(\blk00000003/sig0000026f ), + .O(\blk00000003/sig0000026b ), + .LO(\NLW_blk00000003/blk000000c4_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000c3 ( + .CI(\blk00000003/sig0000026b ), + .DI(\blk00000003/sig00000221 ), + .S(\blk00000003/sig0000026c ), + .O(\blk00000003/sig00000267 ), + .LO(\NLW_blk00000003/blk000000c3_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000c2 ( + .CI(\blk00000003/sig00000269 ), + .DI(\blk00000003/sig00000221 ), + .S(\blk00000003/sig0000026a ), + .O(\NLW_blk00000003/blk000000c2_O_UNCONNECTED ), + .LO(\blk00000003/sig00000265 ) + ); + MUXCY_D \blk00000003/blk000000c1 ( + .CI(\blk00000003/sig00000267 ), + .DI(\blk00000003/sig0000023e ), + .S(\blk00000003/sig00000268 ), + .O(\blk00000003/sig00000269 ), + .LO(\NLW_blk00000003/blk000000c1_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000c0 ( + .CI(\blk00000003/sig00000265 ), + .LI(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig00000266 ) + ); + FDE \blk00000003/blk000000bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000263 ), + .Q(\blk00000003/sig00000264 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000be ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000021e ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000262 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000024f ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000025e ) + ); + XORCY \blk00000003/blk000000bc ( + .CI(\blk00000003/sig0000025e ), + .LI(\blk00000003/sig00000260 ), + .O(\blk00000003/sig00000261 ) + ); + MUXCY_D \blk00000003/blk000000bb ( + .CI(\blk00000003/sig0000025e ), + .DI(\blk00000003/sig0000025f ), + .S(\blk00000003/sig00000260 ), + .O(\NLW_blk00000003/blk000000bb_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000bb_LO_UNCONNECTED ) + ); + MUXCY_L \blk00000003/blk000000ba ( + .CI(\blk00000003/sig00000251 ), + .DI(\blk00000003/sig0000025d ), + .S(\blk00000003/sig00000252 ), + .LO(\blk00000003/sig00000257 ) + ); + MUXCY_L \blk00000003/blk000000b9 ( + .CI(\blk00000003/sig00000257 ), + .DI(\blk00000003/sig0000025c ), + .S(\blk00000003/sig00000258 ), + .LO(\blk00000003/sig00000254 ) + ); + MUXCY_D \blk00000003/blk000000b8 ( + .CI(\blk00000003/sig00000254 ), + .DI(\blk00000003/sig0000025b ), + .S(\blk00000003/sig00000255 ), + .O(\NLW_blk00000003/blk000000b8_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000b8_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000b7 ( + .CI(\blk00000003/sig00000049 ), + .DI(NlwRenamedSig_OI_rfd), + .S(\blk00000003/sig0000025a ), + .O(\blk00000003/sig00000251 ) + ); + XORCY \blk00000003/blk000000b6 ( + .CI(\blk00000003/sig00000257 ), + .LI(\blk00000003/sig00000258 ), + .O(\blk00000003/sig00000259 ) + ); + XORCY \blk00000003/blk000000b5 ( + .CI(\blk00000003/sig00000254 ), + .LI(\blk00000003/sig00000255 ), + .O(\blk00000003/sig00000256 ) + ); + XORCY \blk00000003/blk000000b4 ( + .CI(\blk00000003/sig00000251 ), + .LI(\blk00000003/sig00000252 ), + .O(\blk00000003/sig00000253 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b3 ( + .C(clk), + .CE(ce), + .D(coef_ld), + .Q(\blk00000003/sig00000250 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b2 ( + .C(clk), + .CE(ce), + .D(coef_we), + .Q(\blk00000003/sig0000024f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001df ), + .Q(\blk00000003/sig00000243 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000024e ), + .Q(\blk00000003/sig00000236 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000af ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000024c ), + .Q(\blk00000003/sig0000024d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000024b ), + .Q(\blk00000003/sig00000234 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000024a ), + .Q(\blk00000003/sig00000241 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000248 ), + .Q(\blk00000003/sig00000249 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000246 ), + .Q(\blk00000003/sig00000247 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000244 ), + .Q(\blk00000003/sig00000245 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000243 ), + .Q(\blk00000003/sig0000023f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000241 ), + .Q(\blk00000003/sig00000242 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000023f ), + .Q(\blk00000003/sig00000240 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000021d ), + .Q(\blk00000003/sig0000023e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000239 ), + .Q(\blk00000003/sig0000023d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000233 ), + .R(coef_ld), + .Q(\NLW_blk00000003/blk000000a4_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000230 ), + .R(coef_ld), + .Q(\blk00000003/sig0000022f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000022d ), + .R(coef_ld), + .Q(\NLW_blk00000003/blk000000a2_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000229 ), + .R(coef_ld), + .Q(\blk00000003/sig00000227 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000021e ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000023c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000023a ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000023b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000238 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000239 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000236 ), + .Q(\blk00000003/sig00000237 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000234 ), + .Q(\blk00000003/sig00000235 ) + ); + MUXCY_D \blk00000003/blk0000009b ( + .CI(coef_we), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000232 ), + .O(\blk00000003/sig0000022b ), + .LO(\blk00000003/sig00000233 ) + ); + MUXCY_D \blk00000003/blk0000009a ( + .CI(NlwRenamedSig_OI_rfd), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000231 ), + .O(\blk00000003/sig0000022e ), + .LO(\NLW_blk00000003/blk0000009a_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk00000099 ( + .CI(\blk00000003/sig0000022e ), + .DI(\blk00000003/sig0000022f ), + .S(coef_we), + .O(\NLW_blk00000003/blk00000099_O_UNCONNECTED ), + .LO(\blk00000003/sig00000230 ) + ); + MUXCY_D \blk00000003/blk00000098 ( + .CI(\blk00000003/sig0000022b ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig0000022c ), + .O(\NLW_blk00000003/blk00000098_O_UNCONNECTED ), + .LO(\blk00000003/sig0000022d ) + ); + MUXCY_D \blk00000003/blk00000097 ( + .CI(NlwRenamedSig_OI_rfd), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig0000022a ), + .O(\blk00000003/sig00000226 ), + .LO(\NLW_blk00000003/blk00000097_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk00000096 ( + .CI(\blk00000003/sig00000226 ), + .DI(\blk00000003/sig00000227 ), + .S(\blk00000003/sig00000228 ), + .O(\NLW_blk00000003/blk00000096_O_UNCONNECTED ), + .LO(\blk00000003/sig00000229 ) + ); + XORCY \blk00000003/blk00000095 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000224 ), + .O(\blk00000003/sig00000225 ) + ); + MUXCY_D \blk00000003/blk00000094 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000223 ), + .S(\blk00000003/sig00000224 ), + .O(\NLW_blk00000003/blk00000094_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk00000094_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000093 ( + .CI(\blk00000003/sig0000021c ), + .LI(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig0000021a ) + ); + MUXCY_D \blk00000003/blk00000092 ( + .CI(\blk00000003/sig00000220 ), + .DI(\blk00000003/sig00000221 ), + .S(\blk00000003/sig00000222 ), + .O(\NLW_blk00000003/blk00000092_O_UNCONNECTED ), + .LO(\blk00000003/sig0000021c ) + ); + MUXCY_D \blk00000003/blk00000091 ( + .CI(\blk00000003/sig0000021d ), + .DI(\blk00000003/sig0000021e ), + .S(\blk00000003/sig0000021f ), + .O(\blk00000003/sig00000220 ), + .LO(\NLW_blk00000003/blk00000091_LO_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000090 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000021c ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000021d ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk0000008f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000021a ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000021b ) + ); + FDR #( + .INIT ( 1'b1 )) + \blk00000003/blk0000002b ( + .C(clk), + .D(\blk00000003/sig000000be ), + .R(sclr), + .Q(\blk00000003/sig000000be ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e6 ), + .R(sclr), + .Q(\blk00000003/sig000001e5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000029 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e5 ), + .R(sclr), + .Q(\blk00000003/sig000001e0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000028 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e4 ), + .R(\blk00000003/sig000001dd ), + .Q(data_valid) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000027 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e2 ), + .R(sclr), + .Q(\blk00000003/sig000001e3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000026 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e0 ), + .R(sclr), + .Q(\blk00000003/sig000001e1 ) + ); + FDRE \blk00000003/blk00000025 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001de ), + .R(sclr), + .Q(\blk00000003/sig000001df ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000024 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001dc ), + .R(\blk00000003/sig000001dd ), + .Q(rdy) + ); + FDRE \blk00000003/blk00000023 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001da ), + .R(sclr), + .Q(\blk00000003/sig000001db ) + ); + FDSE \blk00000003/blk00000022 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d8 ), + .S(sclr), + .Q(\blk00000003/sig000001d9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000021 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001cc ), + .R(sclr), + .Q(\blk00000003/sig000001d7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000020 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d6 ), + .R(sclr), + .Q(\blk00000003/sig000001ca ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d4 ), + .R(sclr), + .Q(\blk00000003/sig000001d5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d2 ), + .R(sclr), + .Q(\blk00000003/sig000001d3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d0 ), + .R(sclr), + .Q(\NLW_blk00000003/blk0000001d_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d0 ), + .R(sclr), + .Q(\blk00000003/sig000001d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001ce ), + .Q(\blk00000003/sig000001cf ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000000c8 ), + .R(sclr), + .Q(\blk00000003/sig000000c6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000019 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000000c3 ), + .R(sclr), + .Q(\NLW_blk00000003/blk00000019_Q_UNCONNECTED ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000018 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000000c4 ), + .S(sclr), + .Q(\blk00000003/sig000001cd ) + ); + MUXCY_D \blk00000003/blk00000017 ( + .CI(\blk00000003/sig000001ca ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000001cb ), + .O(\NLW_blk00000003/blk00000017_O_UNCONNECTED ), + .LO(\blk00000003/sig000001cc ) + ); + DSP48E1 #( + .ACASCREG ( 2 ), + .ADREG ( 0 ), + .ALUMODEREG ( 1 ), + .AREG ( 2 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 2 ), + .BREG ( 2 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 0 ), + .INMODEREG ( 0 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "FALSE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk00000016 ( + .PATTERNBDETECT(\NLW_blk00000003/blk00000016_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(ce), + .CEAD(\blk00000003/sig00000049 ), + .MULTSIGNOUT(\NLW_blk00000003/blk00000016_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk00000016_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk00000016_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk00000016_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(\blk00000003/sig00000049 ), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(ce), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk00000016_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk00000016_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000016_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000016_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000016_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000016_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000016_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000016_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000016_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000016_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000016_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000016_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000016_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000016_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000016_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000016_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000b8 , \blk00000003/sig00000049 , \blk00000003/sig000000bc , +\blk00000003/sig000000ba , \blk00000003/sig000000bc }), + .PCIN({\blk00000003/sig00000153 , \blk00000003/sig00000154 , \blk00000003/sig00000155 , \blk00000003/sig00000156 , \blk00000003/sig00000157 , +\blk00000003/sig00000158 , \blk00000003/sig00000159 , \blk00000003/sig0000015a , \blk00000003/sig0000015b , \blk00000003/sig0000015c , +\blk00000003/sig0000015d , \blk00000003/sig0000015e , \blk00000003/sig0000015f , \blk00000003/sig00000160 , \blk00000003/sig00000161 , +\blk00000003/sig00000162 , \blk00000003/sig00000163 , \blk00000003/sig00000164 , \blk00000003/sig00000165 , \blk00000003/sig00000166 , +\blk00000003/sig00000167 , \blk00000003/sig00000168 , \blk00000003/sig00000169 , \blk00000003/sig0000016a , \blk00000003/sig0000016b , +\blk00000003/sig0000016c , \blk00000003/sig0000016d , \blk00000003/sig0000016e , \blk00000003/sig0000016f , \blk00000003/sig00000170 , +\blk00000003/sig00000171 , \blk00000003/sig00000172 , \blk00000003/sig00000173 , \blk00000003/sig00000174 , \blk00000003/sig00000175 , +\blk00000003/sig00000176 , \blk00000003/sig00000177 , \blk00000003/sig00000178 , \blk00000003/sig00000179 , \blk00000003/sig0000017a , +\blk00000003/sig0000017b , \blk00000003/sig0000017c , \blk00000003/sig0000017d , \blk00000003/sig0000017e , \blk00000003/sig0000017f , +\blk00000003/sig00000180 , \blk00000003/sig00000181 , \blk00000003/sig00000182 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk00000016_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000016_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000016_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000016_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000000fa , \blk00000003/sig000000fb , \blk00000003/sig000000fc , \blk00000003/sig000000fd , \blk00000003/sig000000fe , +\blk00000003/sig000000ff , \blk00000003/sig00000100 , \blk00000003/sig00000101 , \blk00000003/sig00000102 , \blk00000003/sig00000103 , +\blk00000003/sig00000104 , \blk00000003/sig00000105 , \blk00000003/sig00000106 , \blk00000003/sig00000107 , \blk00000003/sig00000108 , +\blk00000003/sig00000109 , \blk00000003/sig0000010a , \blk00000003/sig0000010b }), + .BCOUT({\NLW_blk00000003/blk00000016_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk00000016_P<47>_UNCONNECTED , \blk00000003/sig00000183 , \blk00000003/sig00000184 , \blk00000003/sig00000185 , +\blk00000003/sig00000186 , \blk00000003/sig00000187 , \blk00000003/sig00000188 , \blk00000003/sig00000189 , \blk00000003/sig0000018a , +\blk00000003/sig0000018b , \blk00000003/sig0000018c , \blk00000003/sig0000018d , \blk00000003/sig0000018e , \blk00000003/sig0000018f , +\blk00000003/sig00000190 , \blk00000003/sig00000191 , \blk00000003/sig00000192 , \blk00000003/sig00000193 , \blk00000003/sig00000194 , +\blk00000003/sig00000195 , \blk00000003/sig00000196 , \blk00000003/sig00000197 , \blk00000003/sig00000198 , \blk00000003/sig00000199 , +\blk00000003/sig0000019a , \blk00000003/sig0000019b , \blk00000003/sig0000019c , \blk00000003/sig0000019d , \blk00000003/sig0000019e , +\blk00000003/sig0000019f , \blk00000003/sig000001a0 , \blk00000003/sig000001a1 , \blk00000003/sig000001a2 , \blk00000003/sig000001a3 , +\blk00000003/sig000001a4 , \blk00000003/sig000001a5 , \blk00000003/sig000001a6 , \blk00000003/sig000001a7 , \blk00000003/sig000001a8 , +\blk00000003/sig000001a9 , \blk00000003/sig000001aa , \blk00000003/sig000001ab , \blk00000003/sig000001ac , \blk00000003/sig000001ad , +\blk00000003/sig000001ae , \blk00000003/sig000001af , \blk00000003/sig000001b0 , \blk00000003/sig000001b1 }), + .A({\blk00000003/sig000001b2 , \blk00000003/sig000001b2 , \blk00000003/sig000001b2 , \blk00000003/sig000001b2 , \blk00000003/sig000001b2 , +\blk00000003/sig000001b2 , \blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , \blk00000003/sig000001b5 , +\blk00000003/sig000001b6 , \blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 , \blk00000003/sig000001ba , +\blk00000003/sig000001bb , \blk00000003/sig000001bc , \blk00000003/sig000001bd , \blk00000003/sig000001be , \blk00000003/sig000001bf , +\blk00000003/sig000001c0 , \blk00000003/sig000001c1 , \blk00000003/sig000001c2 , \blk00000003/sig000001c3 , \blk00000003/sig000001c4 , +\blk00000003/sig000001c5 , \blk00000003/sig000001c6 , \blk00000003/sig000001c7 , \blk00000003/sig000001c8 , \blk00000003/sig000001c9 }), + .PCOUT({\NLW_blk00000003/blk00000016_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<0>_UNCONNECTED }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 2 ), + .ADREG ( 0 ), + .ALUMODEREG ( 1 ), + .AREG ( 2 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 2 ), + .BREG ( 2 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 0 ), + .INMODEREG ( 0 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "FALSE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk00000015 ( + .PATTERNBDETECT(\NLW_blk00000003/blk00000015_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(ce), + .CEAD(\blk00000003/sig00000049 ), + .MULTSIGNOUT(\NLW_blk00000003/blk00000015_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk00000015_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk00000015_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk00000015_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(\blk00000003/sig00000049 ), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(ce), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk00000015_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk00000015_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000015_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000015_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000015_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000015_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000015_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000015_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000015_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000015_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000015_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000015_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000015_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000015_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000015_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000015_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000b8 , \blk00000003/sig00000049 , \blk00000003/sig000000bc , +\blk00000003/sig000000ba , \blk00000003/sig000000bc }), + .PCIN({\blk00000003/sig000000ca , \blk00000003/sig000000cb , \blk00000003/sig000000cc , \blk00000003/sig000000cd , \blk00000003/sig000000ce , +\blk00000003/sig000000cf , \blk00000003/sig000000d0 , \blk00000003/sig000000d1 , \blk00000003/sig000000d2 , \blk00000003/sig000000d3 , +\blk00000003/sig000000d4 , \blk00000003/sig000000d5 , \blk00000003/sig000000d6 , \blk00000003/sig000000d7 , \blk00000003/sig000000d8 , +\blk00000003/sig000000d9 , \blk00000003/sig000000da , \blk00000003/sig000000db , \blk00000003/sig000000dc , \blk00000003/sig000000dd , +\blk00000003/sig000000de , \blk00000003/sig000000df , \blk00000003/sig000000e0 , \blk00000003/sig000000e1 , \blk00000003/sig000000e2 , +\blk00000003/sig000000e3 , \blk00000003/sig000000e4 , \blk00000003/sig000000e5 , \blk00000003/sig000000e6 , \blk00000003/sig000000e7 , +\blk00000003/sig000000e8 , \blk00000003/sig000000e9 , \blk00000003/sig000000ea , \blk00000003/sig000000eb , \blk00000003/sig000000ec , +\blk00000003/sig000000ed , \blk00000003/sig000000ee , \blk00000003/sig000000ef , \blk00000003/sig000000f0 , \blk00000003/sig000000f1 , +\blk00000003/sig000000f2 , \blk00000003/sig000000f3 , \blk00000003/sig000000f4 , \blk00000003/sig000000f5 , \blk00000003/sig000000f6 , +\blk00000003/sig000000f7 , \blk00000003/sig000000f8 , \blk00000003/sig000000f9 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk00000015_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000015_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000015_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000015_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000000fa , \blk00000003/sig000000fb , \blk00000003/sig000000fc , \blk00000003/sig000000fd , \blk00000003/sig000000fe , +\blk00000003/sig000000ff , \blk00000003/sig00000100 , \blk00000003/sig00000101 , \blk00000003/sig00000102 , \blk00000003/sig00000103 , +\blk00000003/sig00000104 , \blk00000003/sig00000105 , \blk00000003/sig00000106 , \blk00000003/sig00000107 , \blk00000003/sig00000108 , +\blk00000003/sig00000109 , \blk00000003/sig0000010a , \blk00000003/sig0000010b }), + .BCOUT({\NLW_blk00000003/blk00000015_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk00000015_P<47>_UNCONNECTED , \blk00000003/sig0000010c , \blk00000003/sig0000010d , \blk00000003/sig0000010e , +\blk00000003/sig0000010f , \blk00000003/sig00000110 , \blk00000003/sig00000111 , \blk00000003/sig00000112 , \blk00000003/sig00000113 , +\blk00000003/sig00000114 , \blk00000003/sig00000115 , \blk00000003/sig00000116 , \blk00000003/sig00000117 , \blk00000003/sig00000118 , +\blk00000003/sig00000119 , \blk00000003/sig0000011a , \blk00000003/sig0000011b , \blk00000003/sig0000011c , \blk00000003/sig0000011d , +\blk00000003/sig0000011e , \blk00000003/sig0000011f , \blk00000003/sig00000120 , \blk00000003/sig00000121 , \blk00000003/sig00000122 , +\blk00000003/sig00000123 , \blk00000003/sig00000124 , \blk00000003/sig00000125 , \blk00000003/sig00000126 , \blk00000003/sig00000127 , +\blk00000003/sig00000128 , \blk00000003/sig00000129 , \blk00000003/sig0000012a , \blk00000003/sig0000012b , \blk00000003/sig0000012c , +\blk00000003/sig0000012d , \blk00000003/sig0000012e , \blk00000003/sig0000012f , \blk00000003/sig00000130 , \blk00000003/sig00000131 , +\blk00000003/sig00000132 , \blk00000003/sig00000133 , \blk00000003/sig00000134 , \blk00000003/sig00000135 , \blk00000003/sig00000136 , +\blk00000003/sig00000137 , \blk00000003/sig00000138 , \blk00000003/sig00000139 , \blk00000003/sig0000013a }), + .A({\blk00000003/sig0000013b , \blk00000003/sig0000013b , \blk00000003/sig0000013b , \blk00000003/sig0000013b , \blk00000003/sig0000013b , +\blk00000003/sig0000013b , \blk00000003/sig0000013b , \blk00000003/sig0000013c , \blk00000003/sig0000013d , \blk00000003/sig0000013e , +\blk00000003/sig0000013f , \blk00000003/sig00000140 , \blk00000003/sig00000141 , \blk00000003/sig00000142 , \blk00000003/sig00000143 , +\blk00000003/sig00000144 , \blk00000003/sig00000145 , \blk00000003/sig00000146 , \blk00000003/sig00000147 , \blk00000003/sig00000148 , +\blk00000003/sig00000149 , \blk00000003/sig0000014a , \blk00000003/sig0000014b , \blk00000003/sig0000014c , \blk00000003/sig0000014d , +\blk00000003/sig0000014e , \blk00000003/sig0000014f , \blk00000003/sig00000150 , \blk00000003/sig00000151 , \blk00000003/sig00000152 }), + .PCOUT({\NLW_blk00000003/blk00000015_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<0>_UNCONNECTED }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + MUXCY_D \blk00000003/blk00000014 ( + .CI(NlwRenamedSig_OI_rfd), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000c9 ), + .O(\blk00000003/sig000000c5 ), + .LO(\NLW_blk00000003/blk00000014_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk00000013 ( + .CI(\blk00000003/sig000000c5 ), + .DI(\blk00000003/sig000000c6 ), + .S(\blk00000003/sig000000c7 ), + .O(\blk00000003/sig000000bd ), + .LO(\blk00000003/sig000000c8 ) + ); + XORCY \blk00000003/blk00000012 ( + .CI(\blk00000003/sig000000c3 ), + .LI(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig000000c4 ) + ); + MUXCY_D \blk00000003/blk00000011 ( + .CI(\blk00000003/sig000000c0 ), + .DI(\blk00000003/sig000000c1 ), + .S(\blk00000003/sig000000c2 ), + .O(\NLW_blk00000003/blk00000011_O_UNCONNECTED ), + .LO(\blk00000003/sig000000c3 ) + ); + MUXCY_D \blk00000003/blk00000010 ( + .CI(\blk00000003/sig000000bd ), + .DI(\blk00000003/sig000000be ), + .S(\blk00000003/sig000000bf ), + .O(\blk00000003/sig000000c0 ), + .LO(\NLW_blk00000003/blk00000010_LO_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk0000000f ( + .C(clk), + .D(\blk00000003/sig000000bb ), + .Q(\blk00000003/sig000000bc ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk0000000e ( + .C(clk), + .D(\blk00000003/sig000000b9 ), + .Q(\blk00000003/sig000000ba ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk0000000d ( + .C(clk), + .D(\blk00000003/sig000000b7 ), + .Q(\blk00000003/sig000000b8 ) + ); + XORCY \blk00000003/blk0000000c ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig000000b5 ), + .O(\blk00000003/sig000000b6 ) + ); + MUXCY_D \blk00000003/blk0000000b ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000b4 ), + .S(\blk00000003/sig000000b5 ), + .O(\NLW_blk00000003/blk0000000b_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk0000000b_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk0000000a ( + .CI(\blk00000003/sig000000af ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000b3 ), + .O(\NLW_blk00000003/blk0000000a_O_UNCONNECTED ), + .LO(\blk00000003/sig000000b1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000009 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000000b1 ), + .R(sclr), + .Q(\blk00000003/sig000000b2 ) + ); + MUXCY_D \blk00000003/blk00000008 ( + .CI(NlwRenamedSig_OI_rfd), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000b0 ), + .O(\blk00000003/sig000000ae ), + .LO(\NLW_blk00000003/blk00000008_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk00000007 ( + .CI(\blk00000003/sig000000ae ), + .DI(\blk00000003/sig000000ad ), + .S(nd), + .O(\blk00000003/sig000000af ), + .LO(\blk00000003/sig000000ac ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000006 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000000ac ), + .R(sclr), + .Q(\blk00000003/sig000000ad ) + ); + VCC \blk00000003/blk00000005 ( + .P(NlwRenamedSig_OI_rfd) + ); + GND \blk00000003/blk00000004 ( + .G(\blk00000003/sig00000049 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000002c/blk0000008e ( + .I0(nd), + .I1(ce), + .O(\blk00000003/blk0000002c/sig00000c29 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000008d ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[22]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000008d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c27 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000008c ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[21]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000008c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c26 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000008b ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[23]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000008b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c28 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000008a ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[19]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000008a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c24 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000089 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[18]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000089_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c23 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000088 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[20]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000088_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c25 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000087 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[16]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000087_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c21 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000086 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[15]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000086_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c20 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000085 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[17]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000085_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c22 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000084 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[13]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000084_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c1e ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000083 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[12]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000083_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c1d ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000082 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[14]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000082_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c1f ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000081 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[10]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000081_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c1b ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000080 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[9]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000080_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c1a ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000007f ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[11]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000007f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c1c ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000007e ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[7]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000007e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c18 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000007d ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[6]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000007d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c17 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000007c ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[8]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000007c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c19 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000007b ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[4]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000007b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c15 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000007a ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[3]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000007a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c14 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000079 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[5]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000079_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c16 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000078 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[1]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000078_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c12 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000077 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[0]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000077_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c11 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000076 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_2_2[2]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000076_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c13 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000075 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[22]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000075_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c0f ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000074 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[21]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000074_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c0e ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000073 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[23]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000073_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c10 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000072 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[19]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000072_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c0c ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000071 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[18]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000071_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c0b ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000070 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[20]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000070_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c0d ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000006f ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[16]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000006f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c09 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000006e ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[15]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000006e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c08 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000006d ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[17]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000006d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c0a ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000006c ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[13]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000006c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c06 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000006b ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[12]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000006b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c05 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000006a ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[14]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000006a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c07 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000069 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[10]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000069_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c03 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000068 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[9]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000068_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c02 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000067 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[11]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000067_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c04 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000066 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[7]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000066_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c00 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000065 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[6]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000065_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000bff ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000064 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[8]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000064_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000c01 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000063 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[4]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000063_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000bfd ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000062 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[3]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000062_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000bfc ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000061 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[5]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000061_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000bfe ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk00000060 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[1]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk00000060_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000bfa ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000005f ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[0]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000005f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000bf9 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002c/blk0000005e ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/sig000001e7 ), + .A3(\blk00000003/blk0000002c/sig00000bf8 ), + .A4(\blk00000003/blk0000002c/sig00000bf8 ), + .D(din_1_1[2]), + .DPRA0(\blk00000003/sig000001d9 ), + .DPRA1(\blk00000003/sig000001db ), + .DPRA2(\blk00000003/sig000001e3 ), + .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ), + .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ), + .WCLK(clk), + .WE(\blk00000003/blk0000002c/sig00000c29 ), + .SPO(\NLW_blk00000003/blk0000002c/blk0000005e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002c/sig00000bfb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000005d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c28 ), + .Q(\blk00000003/sig000001ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000005c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c27 ), + .Q(\blk00000003/sig000001eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000005b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c26 ), + .Q(\blk00000003/sig000001ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000005a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c25 ), + .Q(\blk00000003/sig000001ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000059 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c24 ), + .Q(\blk00000003/sig000001ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000058 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c23 ), + .Q(\blk00000003/sig000001ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000057 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c22 ), + .Q(\blk00000003/sig000001f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000056 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c21 ), + .Q(\blk00000003/sig000001f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000055 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c20 ), + .Q(\blk00000003/sig000001f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000054 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c1f ), + .Q(\blk00000003/sig000001f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000053 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c1e ), + .Q(\blk00000003/sig000001f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000052 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c1d ), + .Q(\blk00000003/sig000001f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000051 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c1c ), + .Q(\blk00000003/sig000001f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000050 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c1b ), + .Q(\blk00000003/sig000001f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000004f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c1a ), + .Q(\blk00000003/sig000001f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000004e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c19 ), + .Q(\blk00000003/sig000001f9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000004d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c18 ), + .Q(\blk00000003/sig000001fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000004c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c17 ), + .Q(\blk00000003/sig000001fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000004b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c16 ), + .Q(\blk00000003/sig000001fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000004a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c15 ), + .Q(\blk00000003/sig000001fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000049 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c14 ), + .Q(\blk00000003/sig000001fe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000048 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c13 ), + .Q(\blk00000003/sig000001ff ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000047 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c12 ), + .Q(\blk00000003/sig00000200 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000046 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c11 ), + .Q(\blk00000003/sig00000201 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000045 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c10 ), + .Q(\blk00000003/sig00000202 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000044 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c0f ), + .Q(\blk00000003/sig00000203 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000043 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c0e ), + .Q(\blk00000003/sig00000204 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000042 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c0d ), + .Q(\blk00000003/sig00000205 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000041 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c0c ), + .Q(\blk00000003/sig00000206 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000040 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c0b ), + .Q(\blk00000003/sig00000207 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000003f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c0a ), + .Q(\blk00000003/sig00000208 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000003e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c09 ), + .Q(\blk00000003/sig00000209 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000003d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c08 ), + .Q(\blk00000003/sig0000020a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000003c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c07 ), + .Q(\blk00000003/sig0000020b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000003b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c06 ), + .Q(\blk00000003/sig0000020c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000003a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c05 ), + .Q(\blk00000003/sig0000020d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000039 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c04 ), + .Q(\blk00000003/sig0000020e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000038 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c03 ), + .Q(\blk00000003/sig0000020f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000037 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c02 ), + .Q(\blk00000003/sig00000210 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000036 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c01 ), + .Q(\blk00000003/sig00000211 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000035 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000c00 ), + .Q(\blk00000003/sig00000212 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000034 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000bff ), + .Q(\blk00000003/sig00000213 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000033 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000bfe ), + .Q(\blk00000003/sig00000214 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000032 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000bfd ), + .Q(\blk00000003/sig00000215 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000031 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000bfc ), + .Q(\blk00000003/sig00000216 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk00000030 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000bfb ), + .Q(\blk00000003/sig00000217 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000002f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000bfa ), + .Q(\blk00000003/sig00000218 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002c/blk0000002e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002c/sig00000bf9 ), + .Q(\blk00000003/sig00000219 ) + ); + GND \blk00000003/blk0000002c/blk0000002d ( + .G(\blk00000003/blk0000002c/sig00000bf8 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000120/blk00000152 ( + .I0(ce), + .I1(\blk00000003/sig00000743 ), + .O(\blk00000003/blk00000120/sig00000c77 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk00000151 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005b4 ), + .Q(\blk00000003/blk00000120/sig00000c75 ), + .Q15(\NLW_blk00000003/blk00000120/blk00000151_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk00000150 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005b5 ), + .Q(\blk00000003/blk00000120/sig00000c74 ), + .Q15(\NLW_blk00000003/blk00000120/blk00000150_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk0000014f ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005b3 ), + .Q(\blk00000003/blk00000120/sig00000c76 ), + .Q15(\NLW_blk00000003/blk00000120/blk0000014f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk0000014e ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005b7 ), + .Q(\blk00000003/blk00000120/sig00000c72 ), + .Q15(\NLW_blk00000003/blk00000120/blk0000014e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk0000014d ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005b8 ), + .Q(\blk00000003/blk00000120/sig00000c71 ), + .Q15(\NLW_blk00000003/blk00000120/blk0000014d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk0000014c ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005b6 ), + .Q(\blk00000003/blk00000120/sig00000c73 ), + .Q15(\NLW_blk00000003/blk00000120/blk0000014c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk0000014b ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005ba ), + .Q(\blk00000003/blk00000120/sig00000c6f ), + .Q15(\NLW_blk00000003/blk00000120/blk0000014b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk0000014a ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005bb ), + .Q(\blk00000003/blk00000120/sig00000c6e ), + .Q15(\NLW_blk00000003/blk00000120/blk0000014a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk00000149 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005b9 ), + .Q(\blk00000003/blk00000120/sig00000c70 ), + .Q15(\NLW_blk00000003/blk00000120/blk00000149_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk00000148 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005bd ), + .Q(\blk00000003/blk00000120/sig00000c6c ), + .Q15(\NLW_blk00000003/blk00000120/blk00000148_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk00000147 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005be ), + .Q(\blk00000003/blk00000120/sig00000c6b ), + .Q15(\NLW_blk00000003/blk00000120/blk00000147_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk00000146 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005bc ), + .Q(\blk00000003/blk00000120/sig00000c6d ), + .Q15(\NLW_blk00000003/blk00000120/blk00000146_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk00000145 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005c0 ), + .Q(\blk00000003/blk00000120/sig00000c69 ), + .Q15(\NLW_blk00000003/blk00000120/blk00000145_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk00000144 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005c1 ), + .Q(\blk00000003/blk00000120/sig00000c68 ), + .Q15(\NLW_blk00000003/blk00000120/blk00000144_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk00000143 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005bf ), + .Q(\blk00000003/blk00000120/sig00000c6a ), + .Q15(\NLW_blk00000003/blk00000120/blk00000143_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk00000142 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005c3 ), + .Q(\blk00000003/blk00000120/sig00000c66 ), + .Q15(\NLW_blk00000003/blk00000120/blk00000142_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk00000141 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005c4 ), + .Q(\blk00000003/blk00000120/sig00000c65 ), + .Q15(\NLW_blk00000003/blk00000120/blk00000141_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk00000140 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005c2 ), + .Q(\blk00000003/blk00000120/sig00000c67 ), + .Q15(\NLW_blk00000003/blk00000120/blk00000140_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk0000013f ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005c6 ), + .Q(\blk00000003/blk00000120/sig00000c63 ), + .Q15(\NLW_blk00000003/blk00000120/blk0000013f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk0000013e ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005c7 ), + .Q(\blk00000003/blk00000120/sig00000c62 ), + .Q15(\NLW_blk00000003/blk00000120/blk0000013e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk0000013d ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005c5 ), + .Q(\blk00000003/blk00000120/sig00000c64 ), + .Q15(\NLW_blk00000003/blk00000120/blk0000013d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk0000013c ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005c9 ), + .Q(\blk00000003/blk00000120/sig00000c60 ), + .Q15(\NLW_blk00000003/blk00000120/blk0000013c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk0000013b ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005ca ), + .Q(\blk00000003/blk00000120/sig00000c5f ), + .Q15(\NLW_blk00000003/blk00000120/blk0000013b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000120/blk0000013a ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000120/sig00000c5e ), + .A2(\blk00000003/blk00000120/sig00000c5e ), + .A3(\blk00000003/blk00000120/sig00000c5e ), + .CE(\blk00000003/blk00000120/sig00000c77 ), + .CLK(clk), + .D(\blk00000003/sig000005c8 ), + .Q(\blk00000003/blk00000120/sig00000c61 ), + .Q15(\NLW_blk00000003/blk00000120/blk0000013a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000139 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c76 ), + .Q(\blk00000003/sig000003bb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000138 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c75 ), + .Q(\blk00000003/sig000003bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000137 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c74 ), + .Q(\blk00000003/sig000003bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000136 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c73 ), + .Q(\blk00000003/sig000003be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000135 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c72 ), + .Q(\blk00000003/sig000003bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000134 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c71 ), + .Q(\blk00000003/sig000003c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000133 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c70 ), + .Q(\blk00000003/sig000003c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000132 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c6f ), + .Q(\blk00000003/sig000003c2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000131 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c6e ), + .Q(\blk00000003/sig000003c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000130 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c6d ), + .Q(\blk00000003/sig000003c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk0000012f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c6c ), + .Q(\blk00000003/sig000003c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk0000012e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c6b ), + .Q(\blk00000003/sig000003c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk0000012d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c6a ), + .Q(\blk00000003/sig000003c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk0000012c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c69 ), + .Q(\blk00000003/sig000003c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk0000012b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c68 ), + .Q(\blk00000003/sig000003c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk0000012a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c67 ), + .Q(\blk00000003/sig000003ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000129 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c66 ), + .Q(\blk00000003/sig000003cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000128 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c65 ), + .Q(\blk00000003/sig000003cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000127 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c64 ), + .Q(\blk00000003/sig000003cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000126 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c63 ), + .Q(\blk00000003/sig000003ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000125 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c62 ), + .Q(\blk00000003/sig000003cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000124 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c61 ), + .Q(\blk00000003/sig000003d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000123 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c60 ), + .Q(\blk00000003/sig000003d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120/blk00000122 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000120/sig00000c5f ), + .Q(\blk00000003/sig000003d2 ) + ); + GND \blk00000003/blk00000120/blk00000121 ( + .G(\blk00000003/blk00000120/sig00000c5e ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000153/blk00000185 ( + .I0(ce), + .I1(\blk00000003/sig00000745 ), + .O(\blk00000003/blk00000153/sig00000cc5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000184 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000763 ), + .Q(\blk00000003/blk00000153/sig00000cc3 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000184_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000183 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000764 ), + .Q(\blk00000003/blk00000153/sig00000cc2 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000183_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000182 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000762 ), + .Q(\blk00000003/blk00000153/sig00000cc4 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000182_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000181 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000766 ), + .Q(\blk00000003/blk00000153/sig00000cc0 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000181_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000180 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000767 ), + .Q(\blk00000003/blk00000153/sig00000cbf ), + .Q15(\NLW_blk00000003/blk00000153/blk00000180_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000017f ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000765 ), + .Q(\blk00000003/blk00000153/sig00000cc1 ), + .Q15(\NLW_blk00000003/blk00000153/blk0000017f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000017e ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000769 ), + .Q(\blk00000003/blk00000153/sig00000cbd ), + .Q15(\NLW_blk00000003/blk00000153/blk0000017e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000017d ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig0000076a ), + .Q(\blk00000003/blk00000153/sig00000cbc ), + .Q15(\NLW_blk00000003/blk00000153/blk0000017d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000017c ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000768 ), + .Q(\blk00000003/blk00000153/sig00000cbe ), + .Q15(\NLW_blk00000003/blk00000153/blk0000017c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000017b ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig0000076c ), + .Q(\blk00000003/blk00000153/sig00000cba ), + .Q15(\NLW_blk00000003/blk00000153/blk0000017b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000017a ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig0000076d ), + .Q(\blk00000003/blk00000153/sig00000cb9 ), + .Q15(\NLW_blk00000003/blk00000153/blk0000017a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000179 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig0000076b ), + .Q(\blk00000003/blk00000153/sig00000cbb ), + .Q15(\NLW_blk00000003/blk00000153/blk00000179_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000178 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig0000076f ), + .Q(\blk00000003/blk00000153/sig00000cb7 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000178_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000177 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000770 ), + .Q(\blk00000003/blk00000153/sig00000cb6 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000177_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000176 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig0000076e ), + .Q(\blk00000003/blk00000153/sig00000cb8 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000176_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000175 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000772 ), + .Q(\blk00000003/blk00000153/sig00000cb4 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000175_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000174 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000773 ), + .Q(\blk00000003/blk00000153/sig00000cb3 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000174_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000173 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000771 ), + .Q(\blk00000003/blk00000153/sig00000cb5 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000173_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000172 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000775 ), + .Q(\blk00000003/blk00000153/sig00000cb1 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000172_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000171 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000776 ), + .Q(\blk00000003/blk00000153/sig00000cb0 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000171_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000170 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000774 ), + .Q(\blk00000003/blk00000153/sig00000cb2 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000170_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000016f ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000778 ), + .Q(\blk00000003/blk00000153/sig00000cae ), + .Q15(\NLW_blk00000003/blk00000153/blk0000016f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000016e ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000779 ), + .Q(\blk00000003/blk00000153/sig00000cad ), + .Q15(\NLW_blk00000003/blk00000153/blk0000016e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000016d ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk00000153/sig00000cac ), + .A2(\blk00000003/blk00000153/sig00000cac ), + .A3(\blk00000003/blk00000153/sig00000cac ), + .CE(\blk00000003/blk00000153/sig00000cc5 ), + .CLK(clk), + .D(\blk00000003/sig00000777 ), + .Q(\blk00000003/blk00000153/sig00000caf ), + .Q15(\NLW_blk00000003/blk00000153/blk0000016d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000016c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cc4 ), + .Q(\blk00000003/sig000003d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000016b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cc3 ), + .Q(\blk00000003/sig000003d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000016a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cc2 ), + .Q(\blk00000003/sig000003d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000169 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cc1 ), + .Q(\blk00000003/sig000003d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000168 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cc0 ), + .Q(\blk00000003/sig000003d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000167 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cbf ), + .Q(\blk00000003/sig000003d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000166 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cbe ), + .Q(\blk00000003/sig000003d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000165 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cbd ), + .Q(\blk00000003/sig000003da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000164 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cbc ), + .Q(\blk00000003/sig000003db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000163 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cbb ), + .Q(\blk00000003/sig000003dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000162 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cba ), + .Q(\blk00000003/sig000003dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000161 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cb9 ), + .Q(\blk00000003/sig000003de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000160 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cb8 ), + .Q(\blk00000003/sig000003df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cb7 ), + .Q(\blk00000003/sig000003e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cb6 ), + .Q(\blk00000003/sig000003e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cb5 ), + .Q(\blk00000003/sig000003e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cb4 ), + .Q(\blk00000003/sig000003e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cb3 ), + .Q(\blk00000003/sig000003e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cb2 ), + .Q(\blk00000003/sig000003e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000159 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cb1 ), + .Q(\blk00000003/sig000003e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000158 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cb0 ), + .Q(\blk00000003/sig000003e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000157 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000caf ), + .Q(\blk00000003/sig000003e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000156 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cae ), + .Q(\blk00000003/sig000003e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000155 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000cad ), + .Q(\blk00000003/sig000003ea ) + ); + GND \blk00000003/blk00000153/blk00000154 ( + .G(\blk00000003/blk00000153/sig00000cac ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000186/blk000001b8 ( + .I0(ce), + .I1(\blk00000003/sig00000743 ), + .O(\blk00000003/blk00000186/sig00000d13 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001b7 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000704 ), + .Q(\blk00000003/blk00000186/sig00000d11 ), + .Q15(\NLW_blk00000003/blk00000186/blk000001b7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001b6 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000705 ), + .Q(\blk00000003/blk00000186/sig00000d10 ), + .Q15(\NLW_blk00000003/blk00000186/blk000001b6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001b5 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000703 ), + .Q(\blk00000003/blk00000186/sig00000d12 ), + .Q15(\NLW_blk00000003/blk00000186/blk000001b5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001b4 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000707 ), + .Q(\blk00000003/blk00000186/sig00000d0e ), + .Q15(\NLW_blk00000003/blk00000186/blk000001b4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001b3 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000708 ), + .Q(\blk00000003/blk00000186/sig00000d0d ), + .Q15(\NLW_blk00000003/blk00000186/blk000001b3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001b2 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000706 ), + .Q(\blk00000003/blk00000186/sig00000d0f ), + .Q15(\NLW_blk00000003/blk00000186/blk000001b2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001b1 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig0000070a ), + .Q(\blk00000003/blk00000186/sig00000d0b ), + .Q15(\NLW_blk00000003/blk00000186/blk000001b1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001b0 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig0000070b ), + .Q(\blk00000003/blk00000186/sig00000d0a ), + .Q15(\NLW_blk00000003/blk00000186/blk000001b0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001af ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000709 ), + .Q(\blk00000003/blk00000186/sig00000d0c ), + .Q15(\NLW_blk00000003/blk00000186/blk000001af_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001ae ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig0000070d ), + .Q(\blk00000003/blk00000186/sig00000d08 ), + .Q15(\NLW_blk00000003/blk00000186/blk000001ae_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001ad ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig0000070e ), + .Q(\blk00000003/blk00000186/sig00000d07 ), + .Q15(\NLW_blk00000003/blk00000186/blk000001ad_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001ac ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig0000070c ), + .Q(\blk00000003/blk00000186/sig00000d09 ), + .Q15(\NLW_blk00000003/blk00000186/blk000001ac_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001ab ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000710 ), + .Q(\blk00000003/blk00000186/sig00000d05 ), + .Q15(\NLW_blk00000003/blk00000186/blk000001ab_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001aa ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000711 ), + .Q(\blk00000003/blk00000186/sig00000d04 ), + .Q15(\NLW_blk00000003/blk00000186/blk000001aa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001a9 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig0000070f ), + .Q(\blk00000003/blk00000186/sig00000d06 ), + .Q15(\NLW_blk00000003/blk00000186/blk000001a9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001a8 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000713 ), + .Q(\blk00000003/blk00000186/sig00000d02 ), + .Q15(\NLW_blk00000003/blk00000186/blk000001a8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001a7 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000714 ), + .Q(\blk00000003/blk00000186/sig00000d01 ), + .Q15(\NLW_blk00000003/blk00000186/blk000001a7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001a6 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000712 ), + .Q(\blk00000003/blk00000186/sig00000d03 ), + .Q15(\NLW_blk00000003/blk00000186/blk000001a6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001a5 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000716 ), + .Q(\blk00000003/blk00000186/sig00000cff ), + .Q15(\NLW_blk00000003/blk00000186/blk000001a5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001a4 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000717 ), + .Q(\blk00000003/blk00000186/sig00000cfe ), + .Q15(\NLW_blk00000003/blk00000186/blk000001a4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001a3 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000715 ), + .Q(\blk00000003/blk00000186/sig00000d00 ), + .Q15(\NLW_blk00000003/blk00000186/blk000001a3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001a2 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000719 ), + .Q(\blk00000003/blk00000186/sig00000cfc ), + .Q15(\NLW_blk00000003/blk00000186/blk000001a2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001a1 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig0000071a ), + .Q(\blk00000003/blk00000186/sig00000cfb ), + .Q15(\NLW_blk00000003/blk00000186/blk000001a1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000186/blk000001a0 ( + .A0(\blk00000003/sig00000749 ), + .A1(\blk00000003/blk00000186/sig00000cfa ), + .A2(\blk00000003/blk00000186/sig00000cfa ), + .A3(\blk00000003/blk00000186/sig00000cfa ), + .CE(\blk00000003/blk00000186/sig00000d13 ), + .CLK(clk), + .D(\blk00000003/sig00000718 ), + .Q(\blk00000003/blk00000186/sig00000cfd ), + .Q15(\NLW_blk00000003/blk00000186/blk000001a0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk0000019f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d12 ), + .Q(\blk00000003/sig0000041b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk0000019e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d11 ), + .Q(\blk00000003/sig0000041c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk0000019d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d10 ), + .Q(\blk00000003/sig0000041d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk0000019c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d0f ), + .Q(\blk00000003/sig0000041e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk0000019b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d0e ), + .Q(\blk00000003/sig0000041f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk0000019a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d0d ), + .Q(\blk00000003/sig00000420 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk00000199 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d0c ), + .Q(\blk00000003/sig00000421 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk00000198 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d0b ), + .Q(\blk00000003/sig00000422 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk00000197 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d0a ), + .Q(\blk00000003/sig00000423 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk00000196 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d09 ), + .Q(\blk00000003/sig00000424 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk00000195 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d08 ), + .Q(\blk00000003/sig00000425 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk00000194 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d07 ), + .Q(\blk00000003/sig00000426 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk00000193 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d06 ), + .Q(\blk00000003/sig00000427 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk00000192 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d05 ), + .Q(\blk00000003/sig00000428 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk00000191 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d04 ), + .Q(\blk00000003/sig00000429 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk00000190 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d03 ), + .Q(\blk00000003/sig0000042a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk0000018f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d02 ), + .Q(\blk00000003/sig0000042b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk0000018e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d01 ), + .Q(\blk00000003/sig0000042c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk0000018d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000d00 ), + .Q(\blk00000003/sig0000042d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk0000018c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000cff ), + .Q(\blk00000003/sig0000042e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk0000018b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000cfe ), + .Q(\blk00000003/sig0000042f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk0000018a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000cfd ), + .Q(\blk00000003/sig00000430 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk00000189 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000cfc ), + .Q(\blk00000003/sig00000431 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000186/blk00000188 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000186/sig00000cfb ), + .Q(\blk00000003/sig00000432 ) + ); + GND \blk00000003/blk00000186/blk00000187 ( + .G(\blk00000003/blk00000186/sig00000cfa ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000001b9/blk000001eb ( + .I0(ce), + .I1(\blk00000003/sig00000745 ), + .O(\blk00000003/blk000001b9/sig00000d61 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001ea ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig0000077b ), + .Q(\blk00000003/blk000001b9/sig00000d5f ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001ea_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001e9 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig0000077c ), + .Q(\blk00000003/blk000001b9/sig00000d5e ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001e9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001e8 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig0000077a ), + .Q(\blk00000003/blk000001b9/sig00000d60 ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001e8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001e7 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig0000077e ), + .Q(\blk00000003/blk000001b9/sig00000d5c ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001e7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001e6 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig0000077f ), + .Q(\blk00000003/blk000001b9/sig00000d5b ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001e6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001e5 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig0000077d ), + .Q(\blk00000003/blk000001b9/sig00000d5d ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001e5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001e4 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig00000781 ), + .Q(\blk00000003/blk000001b9/sig00000d59 ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001e4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001e3 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig00000782 ), + .Q(\blk00000003/blk000001b9/sig00000d58 ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001e3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001e2 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig00000780 ), + .Q(\blk00000003/blk000001b9/sig00000d5a ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001e2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001e1 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig00000784 ), + .Q(\blk00000003/blk000001b9/sig00000d56 ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001e1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001e0 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig00000785 ), + .Q(\blk00000003/blk000001b9/sig00000d55 ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001e0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001df ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig00000783 ), + .Q(\blk00000003/blk000001b9/sig00000d57 ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001df_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001de ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig00000787 ), + .Q(\blk00000003/blk000001b9/sig00000d53 ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001de_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001dd ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig00000788 ), + .Q(\blk00000003/blk000001b9/sig00000d52 ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001dd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001dc ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig00000786 ), + .Q(\blk00000003/blk000001b9/sig00000d54 ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001dc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001db ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig0000078a ), + .Q(\blk00000003/blk000001b9/sig00000d50 ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001db_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001da ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig0000078b ), + .Q(\blk00000003/blk000001b9/sig00000d4f ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001da_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001d9 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig00000789 ), + .Q(\blk00000003/blk000001b9/sig00000d51 ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001d9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001d8 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig0000078d ), + .Q(\blk00000003/blk000001b9/sig00000d4d ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001d8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001d7 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig0000078e ), + .Q(\blk00000003/blk000001b9/sig00000d4c ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001d7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001d6 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig0000078c ), + .Q(\blk00000003/blk000001b9/sig00000d4e ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001d6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001d5 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig00000790 ), + .Q(\blk00000003/blk000001b9/sig00000d4a ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001d5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001d4 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig00000791 ), + .Q(\blk00000003/blk000001b9/sig00000d49 ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001d4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b9/blk000001d3 ( + .A0(\blk00000003/sig00000747 ), + .A1(\blk00000003/blk000001b9/sig00000d48 ), + .A2(\blk00000003/blk000001b9/sig00000d48 ), + .A3(\blk00000003/blk000001b9/sig00000d48 ), + .CE(\blk00000003/blk000001b9/sig00000d61 ), + .CLK(clk), + .D(\blk00000003/sig0000078f ), + .Q(\blk00000003/blk000001b9/sig00000d4b ), + .Q15(\NLW_blk00000003/blk000001b9/blk000001d3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d60 ), + .Q(\blk00000003/sig00000433 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d5f ), + .Q(\blk00000003/sig00000434 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d5e ), + .Q(\blk00000003/sig00000435 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d5d ), + .Q(\blk00000003/sig00000436 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d5c ), + .Q(\blk00000003/sig00000437 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d5b ), + .Q(\blk00000003/sig00000438 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d5a ), + .Q(\blk00000003/sig00000439 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d59 ), + .Q(\blk00000003/sig0000043a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d58 ), + .Q(\blk00000003/sig0000043b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d57 ), + .Q(\blk00000003/sig0000043c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d56 ), + .Q(\blk00000003/sig0000043d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d55 ), + .Q(\blk00000003/sig0000043e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d54 ), + .Q(\blk00000003/sig0000043f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d53 ), + .Q(\blk00000003/sig00000440 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d52 ), + .Q(\blk00000003/sig00000441 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d51 ), + .Q(\blk00000003/sig00000442 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d50 ), + .Q(\blk00000003/sig00000443 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d4f ), + .Q(\blk00000003/sig00000444 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d4e ), + .Q(\blk00000003/sig00000445 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d4d ), + .Q(\blk00000003/sig00000446 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d4c ), + .Q(\blk00000003/sig00000447 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d4b ), + .Q(\blk00000003/sig00000448 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d4a ), + .Q(\blk00000003/sig00000449 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b9/blk000001bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b9/sig00000d49 ), + .Q(\blk00000003/sig0000044a ) + ); + GND \blk00000003/blk000001b9/blk000001ba ( + .G(\blk00000003/blk000001b9/sig00000d48 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000001ec/blk0000021e ( + .I0(ce), + .I1(\blk00000003/sig00000742 ), + .O(\blk00000003/blk000001ec/sig00000daf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000021d ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000542 ), + .Q(\blk00000003/blk000001ec/sig00000dad ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000021d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000021c ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000543 ), + .Q(\blk00000003/blk000001ec/sig00000dac ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000021c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000021b ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000541 ), + .Q(\blk00000003/blk000001ec/sig00000dae ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000021b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000021a ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000545 ), + .Q(\blk00000003/blk000001ec/sig00000daa ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000021a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000219 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000546 ), + .Q(\blk00000003/blk000001ec/sig00000da9 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000219_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000218 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000544 ), + .Q(\blk00000003/blk000001ec/sig00000dab ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000218_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000217 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000548 ), + .Q(\blk00000003/blk000001ec/sig00000da7 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000217_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000216 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000549 ), + .Q(\blk00000003/blk000001ec/sig00000da6 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000216_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000215 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000547 ), + .Q(\blk00000003/blk000001ec/sig00000da8 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000215_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000214 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig0000054b ), + .Q(\blk00000003/blk000001ec/sig00000da4 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000214_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000213 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig0000054c ), + .Q(\blk00000003/blk000001ec/sig00000da3 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000213_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000212 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig0000054a ), + .Q(\blk00000003/blk000001ec/sig00000da5 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000212_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000211 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig0000054e ), + .Q(\blk00000003/blk000001ec/sig00000da1 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000211_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000210 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig0000054f ), + .Q(\blk00000003/blk000001ec/sig00000da0 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000210_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000020f ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig0000054d ), + .Q(\blk00000003/blk000001ec/sig00000da2 ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000020f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000020e ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000551 ), + .Q(\blk00000003/blk000001ec/sig00000d9e ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000020e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000020d ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000552 ), + .Q(\blk00000003/blk000001ec/sig00000d9d ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000020d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000020c ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000550 ), + .Q(\blk00000003/blk000001ec/sig00000d9f ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000020c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000020b ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000554 ), + .Q(\blk00000003/blk000001ec/sig00000d9b ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000020b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000020a ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000555 ), + .Q(\blk00000003/blk000001ec/sig00000d9a ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000020a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000209 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000553 ), + .Q(\blk00000003/blk000001ec/sig00000d9c ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000209_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000208 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000557 ), + .Q(\blk00000003/blk000001ec/sig00000d98 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000208_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000207 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000558 ), + .Q(\blk00000003/blk000001ec/sig00000d97 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000207_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000206 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk000001ec/sig00000d96 ), + .A2(\blk00000003/blk000001ec/sig00000d96 ), + .A3(\blk00000003/blk000001ec/sig00000d96 ), + .CE(\blk00000003/blk000001ec/sig00000daf ), + .CLK(clk), + .D(\blk00000003/sig00000556 ), + .Q(\blk00000003/blk000001ec/sig00000d99 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000206_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk00000205 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000dae ), + .Q(\blk00000003/sig000005b3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk00000204 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000dad ), + .Q(\blk00000003/sig000005b4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk00000203 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000dac ), + .Q(\blk00000003/sig000005b5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk00000202 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000dab ), + .Q(\blk00000003/sig000005b6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk00000201 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000daa ), + .Q(\blk00000003/sig000005b7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk00000200 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000da9 ), + .Q(\blk00000003/sig000005b8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000da8 ), + .Q(\blk00000003/sig000005b9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000da7 ), + .Q(\blk00000003/sig000005ba ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000da6 ), + .Q(\blk00000003/sig000005bb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000da5 ), + .Q(\blk00000003/sig000005bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000da4 ), + .Q(\blk00000003/sig000005bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000da3 ), + .Q(\blk00000003/sig000005be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000da2 ), + .Q(\blk00000003/sig000005bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000da1 ), + .Q(\blk00000003/sig000005c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000da0 ), + .Q(\blk00000003/sig000005c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000d9f ), + .Q(\blk00000003/sig000005c2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000d9e ), + .Q(\blk00000003/sig000005c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000d9d ), + .Q(\blk00000003/sig000005c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000d9c ), + .Q(\blk00000003/sig000005c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000d9b ), + .Q(\blk00000003/sig000005c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000d9a ), + .Q(\blk00000003/sig000005c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000d99 ), + .Q(\blk00000003/sig000005c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000d98 ), + .Q(\blk00000003/sig000005c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00000d97 ), + .Q(\blk00000003/sig000005ca ) + ); + GND \blk00000003/blk000001ec/blk000001ed ( + .G(\blk00000003/blk000001ec/sig00000d96 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000021f/blk00000251 ( + .I0(ce), + .I1(\blk00000003/sig00000744 ), + .O(\blk00000003/blk0000021f/sig00000dfd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk00000250 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig00000793 ), + .Q(\blk00000003/blk0000021f/sig00000dfb ), + .Q15(\NLW_blk00000003/blk0000021f/blk00000250_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk0000024f ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig00000794 ), + .Q(\blk00000003/blk0000021f/sig00000dfa ), + .Q15(\NLW_blk00000003/blk0000021f/blk0000024f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk0000024e ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig00000792 ), + .Q(\blk00000003/blk0000021f/sig00000dfc ), + .Q15(\NLW_blk00000003/blk0000021f/blk0000024e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk0000024d ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig00000796 ), + .Q(\blk00000003/blk0000021f/sig00000df8 ), + .Q15(\NLW_blk00000003/blk0000021f/blk0000024d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk0000024c ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig00000797 ), + .Q(\blk00000003/blk0000021f/sig00000df7 ), + .Q15(\NLW_blk00000003/blk0000021f/blk0000024c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk0000024b ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig00000795 ), + .Q(\blk00000003/blk0000021f/sig00000df9 ), + .Q15(\NLW_blk00000003/blk0000021f/blk0000024b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk0000024a ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig00000799 ), + .Q(\blk00000003/blk0000021f/sig00000df5 ), + .Q15(\NLW_blk00000003/blk0000021f/blk0000024a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk00000249 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig0000079a ), + .Q(\blk00000003/blk0000021f/sig00000df4 ), + .Q15(\NLW_blk00000003/blk0000021f/blk00000249_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk00000248 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig00000798 ), + .Q(\blk00000003/blk0000021f/sig00000df6 ), + .Q15(\NLW_blk00000003/blk0000021f/blk00000248_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk00000247 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig0000079c ), + .Q(\blk00000003/blk0000021f/sig00000df2 ), + .Q15(\NLW_blk00000003/blk0000021f/blk00000247_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk00000246 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig0000079d ), + .Q(\blk00000003/blk0000021f/sig00000df1 ), + .Q15(\NLW_blk00000003/blk0000021f/blk00000246_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk00000245 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig0000079b ), + .Q(\blk00000003/blk0000021f/sig00000df3 ), + .Q15(\NLW_blk00000003/blk0000021f/blk00000245_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk00000244 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig0000079f ), + .Q(\blk00000003/blk0000021f/sig00000def ), + .Q15(\NLW_blk00000003/blk0000021f/blk00000244_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk00000243 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig000007a0 ), + .Q(\blk00000003/blk0000021f/sig00000dee ), + .Q15(\NLW_blk00000003/blk0000021f/blk00000243_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk00000242 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig0000079e ), + .Q(\blk00000003/blk0000021f/sig00000df0 ), + .Q15(\NLW_blk00000003/blk0000021f/blk00000242_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk00000241 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig000007a2 ), + .Q(\blk00000003/blk0000021f/sig00000dec ), + .Q15(\NLW_blk00000003/blk0000021f/blk00000241_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk00000240 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig000007a3 ), + .Q(\blk00000003/blk0000021f/sig00000deb ), + .Q15(\NLW_blk00000003/blk0000021f/blk00000240_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk0000023f ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig000007a1 ), + .Q(\blk00000003/blk0000021f/sig00000ded ), + .Q15(\NLW_blk00000003/blk0000021f/blk0000023f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk0000023e ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig000007a5 ), + .Q(\blk00000003/blk0000021f/sig00000de9 ), + .Q15(\NLW_blk00000003/blk0000021f/blk0000023e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk0000023d ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig000007a6 ), + .Q(\blk00000003/blk0000021f/sig00000de8 ), + .Q15(\NLW_blk00000003/blk0000021f/blk0000023d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk0000023c ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig000007a4 ), + .Q(\blk00000003/blk0000021f/sig00000dea ), + .Q15(\NLW_blk00000003/blk0000021f/blk0000023c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk0000023b ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig000007a8 ), + .Q(\blk00000003/blk0000021f/sig00000de6 ), + .Q15(\NLW_blk00000003/blk0000021f/blk0000023b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk0000023a ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig000007a9 ), + .Q(\blk00000003/blk0000021f/sig00000de5 ), + .Q15(\NLW_blk00000003/blk0000021f/blk0000023a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000021f/blk00000239 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk0000021f/sig00000de4 ), + .A2(\blk00000003/blk0000021f/sig00000de4 ), + .A3(\blk00000003/blk0000021f/sig00000de4 ), + .CE(\blk00000003/blk0000021f/sig00000dfd ), + .CLK(clk), + .D(\blk00000003/sig000007a7 ), + .Q(\blk00000003/blk0000021f/sig00000de7 ), + .Q15(\NLW_blk00000003/blk0000021f/blk00000239_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000238 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000dfc ), + .Q(\blk00000003/sig000005cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000237 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000dfb ), + .Q(\blk00000003/sig000005cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000236 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000dfa ), + .Q(\blk00000003/sig000005cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000235 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000df9 ), + .Q(\blk00000003/sig000005ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000234 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000df8 ), + .Q(\blk00000003/sig000005cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000233 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000df7 ), + .Q(\blk00000003/sig000005d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000232 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000df6 ), + .Q(\blk00000003/sig000005d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000231 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000df5 ), + .Q(\blk00000003/sig000005d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000230 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000df4 ), + .Q(\blk00000003/sig000005d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk0000022f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000df3 ), + .Q(\blk00000003/sig000005d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk0000022e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000df2 ), + .Q(\blk00000003/sig000005d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk0000022d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000df1 ), + .Q(\blk00000003/sig000005d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk0000022c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000df0 ), + .Q(\blk00000003/sig000005d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk0000022b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000def ), + .Q(\blk00000003/sig000005d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk0000022a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000dee ), + .Q(\blk00000003/sig000005d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000229 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000ded ), + .Q(\blk00000003/sig000005da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000228 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000dec ), + .Q(\blk00000003/sig000005db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000227 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000deb ), + .Q(\blk00000003/sig000005dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000226 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000dea ), + .Q(\blk00000003/sig000005dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000225 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000de9 ), + .Q(\blk00000003/sig000005de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000224 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000de8 ), + .Q(\blk00000003/sig000005df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000223 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000de7 ), + .Q(\blk00000003/sig000005e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000222 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000de6 ), + .Q(\blk00000003/sig000005e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000021f/blk00000221 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000021f/sig00000de5 ), + .Q(\blk00000003/sig000005e2 ) + ); + GND \blk00000003/blk0000021f/blk00000220 ( + .G(\blk00000003/blk0000021f/sig00000de4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000252/blk00000284 ( + .I0(ce), + .I1(\blk00000003/sig00000742 ), + .O(\blk00000003/blk00000252/sig00000e4b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk00000283 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006a4 ), + .Q(\blk00000003/blk00000252/sig00000e49 ), + .Q15(\NLW_blk00000003/blk00000252/blk00000283_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk00000282 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006a5 ), + .Q(\blk00000003/blk00000252/sig00000e48 ), + .Q15(\NLW_blk00000003/blk00000252/blk00000282_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk00000281 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006a3 ), + .Q(\blk00000003/blk00000252/sig00000e4a ), + .Q15(\NLW_blk00000003/blk00000252/blk00000281_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk00000280 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006a7 ), + .Q(\blk00000003/blk00000252/sig00000e46 ), + .Q15(\NLW_blk00000003/blk00000252/blk00000280_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk0000027f ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006a8 ), + .Q(\blk00000003/blk00000252/sig00000e45 ), + .Q15(\NLW_blk00000003/blk00000252/blk0000027f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk0000027e ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006a6 ), + .Q(\blk00000003/blk00000252/sig00000e47 ), + .Q15(\NLW_blk00000003/blk00000252/blk0000027e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk0000027d ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006aa ), + .Q(\blk00000003/blk00000252/sig00000e43 ), + .Q15(\NLW_blk00000003/blk00000252/blk0000027d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk0000027c ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006ab ), + .Q(\blk00000003/blk00000252/sig00000e42 ), + .Q15(\NLW_blk00000003/blk00000252/blk0000027c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk0000027b ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006a9 ), + .Q(\blk00000003/blk00000252/sig00000e44 ), + .Q15(\NLW_blk00000003/blk00000252/blk0000027b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk0000027a ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006ad ), + .Q(\blk00000003/blk00000252/sig00000e40 ), + .Q15(\NLW_blk00000003/blk00000252/blk0000027a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk00000279 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006ae ), + .Q(\blk00000003/blk00000252/sig00000e3f ), + .Q15(\NLW_blk00000003/blk00000252/blk00000279_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk00000278 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006ac ), + .Q(\blk00000003/blk00000252/sig00000e41 ), + .Q15(\NLW_blk00000003/blk00000252/blk00000278_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk00000277 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006b0 ), + .Q(\blk00000003/blk00000252/sig00000e3d ), + .Q15(\NLW_blk00000003/blk00000252/blk00000277_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk00000276 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006b1 ), + .Q(\blk00000003/blk00000252/sig00000e3c ), + .Q15(\NLW_blk00000003/blk00000252/blk00000276_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk00000275 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006af ), + .Q(\blk00000003/blk00000252/sig00000e3e ), + .Q15(\NLW_blk00000003/blk00000252/blk00000275_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk00000274 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006b3 ), + .Q(\blk00000003/blk00000252/sig00000e3a ), + .Q15(\NLW_blk00000003/blk00000252/blk00000274_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk00000273 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006b4 ), + .Q(\blk00000003/blk00000252/sig00000e39 ), + .Q15(\NLW_blk00000003/blk00000252/blk00000273_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk00000272 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006b2 ), + .Q(\blk00000003/blk00000252/sig00000e3b ), + .Q15(\NLW_blk00000003/blk00000252/blk00000272_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk00000271 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006b6 ), + .Q(\blk00000003/blk00000252/sig00000e37 ), + .Q15(\NLW_blk00000003/blk00000252/blk00000271_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk00000270 ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006b7 ), + .Q(\blk00000003/blk00000252/sig00000e36 ), + .Q15(\NLW_blk00000003/blk00000252/blk00000270_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk0000026f ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006b5 ), + .Q(\blk00000003/blk00000252/sig00000e38 ), + .Q15(\NLW_blk00000003/blk00000252/blk0000026f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk0000026e ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006b9 ), + .Q(\blk00000003/blk00000252/sig00000e34 ), + .Q15(\NLW_blk00000003/blk00000252/blk0000026e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk0000026d ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006ba ), + .Q(\blk00000003/blk00000252/sig00000e33 ), + .Q15(\NLW_blk00000003/blk00000252/blk0000026d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000252/blk0000026c ( + .A0(\blk00000003/sig00000748 ), + .A1(\blk00000003/blk00000252/sig00000e32 ), + .A2(\blk00000003/blk00000252/sig00000e32 ), + .A3(\blk00000003/blk00000252/sig00000e32 ), + .CE(\blk00000003/blk00000252/sig00000e4b ), + .CLK(clk), + .D(\blk00000003/sig000006b8 ), + .Q(\blk00000003/blk00000252/sig00000e35 ), + .Q15(\NLW_blk00000003/blk00000252/blk0000026c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk0000026b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e4a ), + .Q(\blk00000003/sig00000703 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk0000026a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e49 ), + .Q(\blk00000003/sig00000704 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000269 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e48 ), + .Q(\blk00000003/sig00000705 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000268 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e47 ), + .Q(\blk00000003/sig00000706 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000267 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e46 ), + .Q(\blk00000003/sig00000707 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000266 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e45 ), + .Q(\blk00000003/sig00000708 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000265 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e44 ), + .Q(\blk00000003/sig00000709 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000264 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e43 ), + .Q(\blk00000003/sig0000070a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000263 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e42 ), + .Q(\blk00000003/sig0000070b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000262 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e41 ), + .Q(\blk00000003/sig0000070c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000261 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e40 ), + .Q(\blk00000003/sig0000070d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000260 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e3f ), + .Q(\blk00000003/sig0000070e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk0000025f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e3e ), + .Q(\blk00000003/sig0000070f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk0000025e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e3d ), + .Q(\blk00000003/sig00000710 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk0000025d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e3c ), + .Q(\blk00000003/sig00000711 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk0000025c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e3b ), + .Q(\blk00000003/sig00000712 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk0000025b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e3a ), + .Q(\blk00000003/sig00000713 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk0000025a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e39 ), + .Q(\blk00000003/sig00000714 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000259 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e38 ), + .Q(\blk00000003/sig00000715 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000258 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e37 ), + .Q(\blk00000003/sig00000716 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000257 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e36 ), + .Q(\blk00000003/sig00000717 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000256 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e35 ), + .Q(\blk00000003/sig00000718 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000255 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e34 ), + .Q(\blk00000003/sig00000719 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000252/blk00000254 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000252/sig00000e33 ), + .Q(\blk00000003/sig0000071a ) + ); + GND \blk00000003/blk00000252/blk00000253 ( + .G(\blk00000003/blk00000252/sig00000e32 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000285/blk000002b7 ( + .I0(ce), + .I1(\blk00000003/sig00000744 ), + .O(\blk00000003/blk00000285/sig00000e99 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002b6 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007ab ), + .Q(\blk00000003/blk00000285/sig00000e97 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002b6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002b5 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007ac ), + .Q(\blk00000003/blk00000285/sig00000e96 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002b5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002b4 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007aa ), + .Q(\blk00000003/blk00000285/sig00000e98 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002b4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002b3 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007ae ), + .Q(\blk00000003/blk00000285/sig00000e94 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002b3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002b2 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007af ), + .Q(\blk00000003/blk00000285/sig00000e93 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002b2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002b1 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007ad ), + .Q(\blk00000003/blk00000285/sig00000e95 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002b1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002b0 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007b1 ), + .Q(\blk00000003/blk00000285/sig00000e91 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002b0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002af ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007b2 ), + .Q(\blk00000003/blk00000285/sig00000e90 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002af_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002ae ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007b0 ), + .Q(\blk00000003/blk00000285/sig00000e92 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002ae_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002ad ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007b4 ), + .Q(\blk00000003/blk00000285/sig00000e8e ), + .Q15(\NLW_blk00000003/blk00000285/blk000002ad_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002ac ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007b5 ), + .Q(\blk00000003/blk00000285/sig00000e8d ), + .Q15(\NLW_blk00000003/blk00000285/blk000002ac_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002ab ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007b3 ), + .Q(\blk00000003/blk00000285/sig00000e8f ), + .Q15(\NLW_blk00000003/blk00000285/blk000002ab_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002aa ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007b7 ), + .Q(\blk00000003/blk00000285/sig00000e8b ), + .Q15(\NLW_blk00000003/blk00000285/blk000002aa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002a9 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007b8 ), + .Q(\blk00000003/blk00000285/sig00000e8a ), + .Q15(\NLW_blk00000003/blk00000285/blk000002a9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002a8 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007b6 ), + .Q(\blk00000003/blk00000285/sig00000e8c ), + .Q15(\NLW_blk00000003/blk00000285/blk000002a8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002a7 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007ba ), + .Q(\blk00000003/blk00000285/sig00000e88 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002a7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002a6 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007bb ), + .Q(\blk00000003/blk00000285/sig00000e87 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002a6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002a5 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007b9 ), + .Q(\blk00000003/blk00000285/sig00000e89 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002a5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002a4 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007bd ), + .Q(\blk00000003/blk00000285/sig00000e85 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002a4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002a3 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007be ), + .Q(\blk00000003/blk00000285/sig00000e84 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002a3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002a2 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007bc ), + .Q(\blk00000003/blk00000285/sig00000e86 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002a2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002a1 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007c0 ), + .Q(\blk00000003/blk00000285/sig00000e82 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002a1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk000002a0 ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007c1 ), + .Q(\blk00000003/blk00000285/sig00000e81 ), + .Q15(\NLW_blk00000003/blk00000285/blk000002a0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000285/blk0000029f ( + .A0(\blk00000003/sig00000746 ), + .A1(\blk00000003/blk00000285/sig00000e80 ), + .A2(\blk00000003/blk00000285/sig00000e80 ), + .A3(\blk00000003/blk00000285/sig00000e80 ), + .CE(\blk00000003/blk00000285/sig00000e99 ), + .CLK(clk), + .D(\blk00000003/sig000007bf ), + .Q(\blk00000003/blk00000285/sig00000e83 ), + .Q15(\NLW_blk00000003/blk00000285/blk0000029f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk0000029e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e98 ), + .Q(\blk00000003/sig0000071b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk0000029d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e97 ), + .Q(\blk00000003/sig0000071c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk0000029c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e96 ), + .Q(\blk00000003/sig0000071d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk0000029b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e95 ), + .Q(\blk00000003/sig0000071e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk0000029a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e94 ), + .Q(\blk00000003/sig0000071f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk00000299 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e93 ), + .Q(\blk00000003/sig00000720 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk00000298 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e92 ), + .Q(\blk00000003/sig00000721 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk00000297 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e91 ), + .Q(\blk00000003/sig00000722 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk00000296 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e90 ), + .Q(\blk00000003/sig00000723 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk00000295 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e8f ), + .Q(\blk00000003/sig00000724 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk00000294 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e8e ), + .Q(\blk00000003/sig00000725 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk00000293 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e8d ), + .Q(\blk00000003/sig00000726 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk00000292 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e8c ), + .Q(\blk00000003/sig00000727 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk00000291 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e8b ), + .Q(\blk00000003/sig00000728 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk00000290 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e8a ), + .Q(\blk00000003/sig00000729 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk0000028f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e89 ), + .Q(\blk00000003/sig0000072a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk0000028e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e88 ), + .Q(\blk00000003/sig0000072b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk0000028d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e87 ), + .Q(\blk00000003/sig0000072c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk0000028c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e86 ), + .Q(\blk00000003/sig0000072d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk0000028b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e85 ), + .Q(\blk00000003/sig0000072e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk0000028a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e84 ), + .Q(\blk00000003/sig0000072f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk00000289 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e83 ), + .Q(\blk00000003/sig00000730 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk00000288 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e82 ), + .Q(\blk00000003/sig00000731 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000285/blk00000287 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000285/sig00000e81 ), + .Q(\blk00000003/sig00000732 ) + ); + GND \blk00000003/blk00000285/blk00000286 ( + .G(\blk00000003/blk00000285/sig00000e80 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000002b8/blk000002ea ( + .I0(ce), + .I1(\blk00000003/sig0000074e ), + .O(\blk00000003/blk000002b8/sig00000ee7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002e9 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004d0 ), + .Q(\blk00000003/blk000002b8/sig00000ee5 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002e9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002e8 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004d1 ), + .Q(\blk00000003/blk000002b8/sig00000ee4 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002e8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002e7 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004cf ), + .Q(\blk00000003/blk000002b8/sig00000ee6 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002e7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002e6 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004d3 ), + .Q(\blk00000003/blk000002b8/sig00000ee2 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002e6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002e5 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004d4 ), + .Q(\blk00000003/blk000002b8/sig00000ee1 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002e5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002e4 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004d2 ), + .Q(\blk00000003/blk000002b8/sig00000ee3 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002e4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002e3 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004d6 ), + .Q(\blk00000003/blk000002b8/sig00000edf ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002e3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002e2 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004d7 ), + .Q(\blk00000003/blk000002b8/sig00000ede ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002e2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002e1 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004d5 ), + .Q(\blk00000003/blk000002b8/sig00000ee0 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002e1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002e0 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004d9 ), + .Q(\blk00000003/blk000002b8/sig00000edc ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002e0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002df ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004da ), + .Q(\blk00000003/blk000002b8/sig00000edb ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002df_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002de ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004d8 ), + .Q(\blk00000003/blk000002b8/sig00000edd ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002de_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002dd ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004dc ), + .Q(\blk00000003/blk000002b8/sig00000ed9 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002dd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002dc ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004dd ), + .Q(\blk00000003/blk000002b8/sig00000ed8 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002dc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002db ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004db ), + .Q(\blk00000003/blk000002b8/sig00000eda ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002db_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002da ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004df ), + .Q(\blk00000003/blk000002b8/sig00000ed6 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002da_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002d9 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004e0 ), + .Q(\blk00000003/blk000002b8/sig00000ed5 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002d9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002d8 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004de ), + .Q(\blk00000003/blk000002b8/sig00000ed7 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002d8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002d7 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004e2 ), + .Q(\blk00000003/blk000002b8/sig00000ed3 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002d7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002d6 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004e3 ), + .Q(\blk00000003/blk000002b8/sig00000ed2 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002d6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002d5 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004e1 ), + .Q(\blk00000003/blk000002b8/sig00000ed4 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002d5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002d4 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004e5 ), + .Q(\blk00000003/blk000002b8/sig00000ed0 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002d4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002d3 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004e6 ), + .Q(\blk00000003/blk000002b8/sig00000ecf ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002d3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b8/blk000002d2 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk000002b8/sig00000ece ), + .A2(\blk00000003/blk000002b8/sig00000ece ), + .A3(\blk00000003/blk000002b8/sig00000ece ), + .CE(\blk00000003/blk000002b8/sig00000ee7 ), + .CLK(clk), + .D(\blk00000003/sig000004e4 ), + .Q(\blk00000003/blk000002b8/sig00000ed1 ), + .Q15(\NLW_blk00000003/blk000002b8/blk000002d2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ee6 ), + .Q(\blk00000003/sig00000541 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ee5 ), + .Q(\blk00000003/sig00000542 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ee4 ), + .Q(\blk00000003/sig00000543 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ee3 ), + .Q(\blk00000003/sig00000544 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ee2 ), + .Q(\blk00000003/sig00000545 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ee1 ), + .Q(\blk00000003/sig00000546 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ee0 ), + .Q(\blk00000003/sig00000547 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000edf ), + .Q(\blk00000003/sig00000548 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ede ), + .Q(\blk00000003/sig00000549 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000edd ), + .Q(\blk00000003/sig0000054a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000edc ), + .Q(\blk00000003/sig0000054b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000edb ), + .Q(\blk00000003/sig0000054c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000eda ), + .Q(\blk00000003/sig0000054d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ed9 ), + .Q(\blk00000003/sig0000054e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ed8 ), + .Q(\blk00000003/sig0000054f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ed7 ), + .Q(\blk00000003/sig00000550 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ed6 ), + .Q(\blk00000003/sig00000551 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ed5 ), + .Q(\blk00000003/sig00000552 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ed4 ), + .Q(\blk00000003/sig00000553 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ed3 ), + .Q(\blk00000003/sig00000554 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ed2 ), + .Q(\blk00000003/sig00000555 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ed1 ), + .Q(\blk00000003/sig00000556 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ed0 ), + .Q(\blk00000003/sig00000557 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b8/blk000002ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b8/sig00000ecf ), + .Q(\blk00000003/sig00000558 ) + ); + GND \blk00000003/blk000002b8/blk000002b9 ( + .G(\blk00000003/blk000002b8/sig00000ece ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000002eb/blk0000031d ( + .I0(ce), + .I1(\blk00000003/sig0000074f ), + .O(\blk00000003/blk000002eb/sig00000f35 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk0000031c ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007c3 ), + .Q(\blk00000003/blk000002eb/sig00000f33 ), + .Q15(\NLW_blk00000003/blk000002eb/blk0000031c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk0000031b ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007c4 ), + .Q(\blk00000003/blk000002eb/sig00000f32 ), + .Q15(\NLW_blk00000003/blk000002eb/blk0000031b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk0000031a ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007c2 ), + .Q(\blk00000003/blk000002eb/sig00000f34 ), + .Q15(\NLW_blk00000003/blk000002eb/blk0000031a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000319 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007c6 ), + .Q(\blk00000003/blk000002eb/sig00000f30 ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000319_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000318 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007c7 ), + .Q(\blk00000003/blk000002eb/sig00000f2f ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000318_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000317 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007c5 ), + .Q(\blk00000003/blk000002eb/sig00000f31 ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000317_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000316 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007c9 ), + .Q(\blk00000003/blk000002eb/sig00000f2d ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000316_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000315 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007ca ), + .Q(\blk00000003/blk000002eb/sig00000f2c ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000315_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000314 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007c8 ), + .Q(\blk00000003/blk000002eb/sig00000f2e ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000314_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000313 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007cc ), + .Q(\blk00000003/blk000002eb/sig00000f2a ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000313_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000312 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007cd ), + .Q(\blk00000003/blk000002eb/sig00000f29 ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000312_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000311 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007cb ), + .Q(\blk00000003/blk000002eb/sig00000f2b ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000311_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000310 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007cf ), + .Q(\blk00000003/blk000002eb/sig00000f27 ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000310_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk0000030f ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007d0 ), + .Q(\blk00000003/blk000002eb/sig00000f26 ), + .Q15(\NLW_blk00000003/blk000002eb/blk0000030f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk0000030e ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007ce ), + .Q(\blk00000003/blk000002eb/sig00000f28 ), + .Q15(\NLW_blk00000003/blk000002eb/blk0000030e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk0000030d ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007d2 ), + .Q(\blk00000003/blk000002eb/sig00000f24 ), + .Q15(\NLW_blk00000003/blk000002eb/blk0000030d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk0000030c ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007d3 ), + .Q(\blk00000003/blk000002eb/sig00000f23 ), + .Q15(\NLW_blk00000003/blk000002eb/blk0000030c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk0000030b ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007d1 ), + .Q(\blk00000003/blk000002eb/sig00000f25 ), + .Q15(\NLW_blk00000003/blk000002eb/blk0000030b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk0000030a ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007d5 ), + .Q(\blk00000003/blk000002eb/sig00000f21 ), + .Q15(\NLW_blk00000003/blk000002eb/blk0000030a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000309 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007d6 ), + .Q(\blk00000003/blk000002eb/sig00000f20 ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000309_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000308 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007d4 ), + .Q(\blk00000003/blk000002eb/sig00000f22 ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000308_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000307 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007d8 ), + .Q(\blk00000003/blk000002eb/sig00000f1e ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000307_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000306 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007d9 ), + .Q(\blk00000003/blk000002eb/sig00000f1d ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000306_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002eb/blk00000305 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk000002eb/sig00000f1c ), + .A2(\blk00000003/blk000002eb/sig00000f1c ), + .A3(\blk00000003/blk000002eb/sig00000f1c ), + .CE(\blk00000003/blk000002eb/sig00000f35 ), + .CLK(clk), + .D(\blk00000003/sig000007d7 ), + .Q(\blk00000003/blk000002eb/sig00000f1f ), + .Q15(\NLW_blk00000003/blk000002eb/blk00000305_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk00000304 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f34 ), + .Q(\blk00000003/sig00000559 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk00000303 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f33 ), + .Q(\blk00000003/sig0000055a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk00000302 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f32 ), + .Q(\blk00000003/sig0000055b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk00000301 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f31 ), + .Q(\blk00000003/sig0000055c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk00000300 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f30 ), + .Q(\blk00000003/sig0000055d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f2f ), + .Q(\blk00000003/sig0000055e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f2e ), + .Q(\blk00000003/sig0000055f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f2d ), + .Q(\blk00000003/sig00000560 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f2c ), + .Q(\blk00000003/sig00000561 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f2b ), + .Q(\blk00000003/sig00000562 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f2a ), + .Q(\blk00000003/sig00000563 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f29 ), + .Q(\blk00000003/sig00000564 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f28 ), + .Q(\blk00000003/sig00000565 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f27 ), + .Q(\blk00000003/sig00000566 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f26 ), + .Q(\blk00000003/sig00000567 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f25 ), + .Q(\blk00000003/sig00000568 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f24 ), + .Q(\blk00000003/sig00000569 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f23 ), + .Q(\blk00000003/sig0000056a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f22 ), + .Q(\blk00000003/sig0000056b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f21 ), + .Q(\blk00000003/sig0000056c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f20 ), + .Q(\blk00000003/sig0000056d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f1f ), + .Q(\blk00000003/sig0000056e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f1e ), + .Q(\blk00000003/sig0000056f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002eb/blk000002ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002eb/sig00000f1d ), + .Q(\blk00000003/sig00000570 ) + ); + GND \blk00000003/blk000002eb/blk000002ec ( + .G(\blk00000003/blk000002eb/sig00000f1c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000031e/blk00000350 ( + .I0(ce), + .I1(\blk00000003/sig0000074e ), + .O(\blk00000003/blk0000031e/sig00000f83 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk0000034f ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000644 ), + .Q(\blk00000003/blk0000031e/sig00000f81 ), + .Q15(\NLW_blk00000003/blk0000031e/blk0000034f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk0000034e ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000645 ), + .Q(\blk00000003/blk0000031e/sig00000f80 ), + .Q15(\NLW_blk00000003/blk0000031e/blk0000034e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk0000034d ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000643 ), + .Q(\blk00000003/blk0000031e/sig00000f82 ), + .Q15(\NLW_blk00000003/blk0000031e/blk0000034d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk0000034c ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000647 ), + .Q(\blk00000003/blk0000031e/sig00000f7e ), + .Q15(\NLW_blk00000003/blk0000031e/blk0000034c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk0000034b ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000648 ), + .Q(\blk00000003/blk0000031e/sig00000f7d ), + .Q15(\NLW_blk00000003/blk0000031e/blk0000034b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk0000034a ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000646 ), + .Q(\blk00000003/blk0000031e/sig00000f7f ), + .Q15(\NLW_blk00000003/blk0000031e/blk0000034a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk00000349 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig0000064a ), + .Q(\blk00000003/blk0000031e/sig00000f7b ), + .Q15(\NLW_blk00000003/blk0000031e/blk00000349_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk00000348 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig0000064b ), + .Q(\blk00000003/blk0000031e/sig00000f7a ), + .Q15(\NLW_blk00000003/blk0000031e/blk00000348_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk00000347 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000649 ), + .Q(\blk00000003/blk0000031e/sig00000f7c ), + .Q15(\NLW_blk00000003/blk0000031e/blk00000347_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk00000346 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig0000064d ), + .Q(\blk00000003/blk0000031e/sig00000f78 ), + .Q15(\NLW_blk00000003/blk0000031e/blk00000346_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk00000345 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig0000064e ), + .Q(\blk00000003/blk0000031e/sig00000f77 ), + .Q15(\NLW_blk00000003/blk0000031e/blk00000345_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk00000344 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig0000064c ), + .Q(\blk00000003/blk0000031e/sig00000f79 ), + .Q15(\NLW_blk00000003/blk0000031e/blk00000344_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk00000343 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000650 ), + .Q(\blk00000003/blk0000031e/sig00000f75 ), + .Q15(\NLW_blk00000003/blk0000031e/blk00000343_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk00000342 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000651 ), + .Q(\blk00000003/blk0000031e/sig00000f74 ), + .Q15(\NLW_blk00000003/blk0000031e/blk00000342_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk00000341 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig0000064f ), + .Q(\blk00000003/blk0000031e/sig00000f76 ), + .Q15(\NLW_blk00000003/blk0000031e/blk00000341_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk00000340 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000653 ), + .Q(\blk00000003/blk0000031e/sig00000f72 ), + .Q15(\NLW_blk00000003/blk0000031e/blk00000340_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk0000033f ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000654 ), + .Q(\blk00000003/blk0000031e/sig00000f71 ), + .Q15(\NLW_blk00000003/blk0000031e/blk0000033f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk0000033e ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000652 ), + .Q(\blk00000003/blk0000031e/sig00000f73 ), + .Q15(\NLW_blk00000003/blk0000031e/blk0000033e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk0000033d ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000656 ), + .Q(\blk00000003/blk0000031e/sig00000f6f ), + .Q15(\NLW_blk00000003/blk0000031e/blk0000033d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk0000033c ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000657 ), + .Q(\blk00000003/blk0000031e/sig00000f6e ), + .Q15(\NLW_blk00000003/blk0000031e/blk0000033c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk0000033b ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000655 ), + .Q(\blk00000003/blk0000031e/sig00000f70 ), + .Q15(\NLW_blk00000003/blk0000031e/blk0000033b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk0000033a ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000659 ), + .Q(\blk00000003/blk0000031e/sig00000f6c ), + .Q15(\NLW_blk00000003/blk0000031e/blk0000033a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk00000339 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig0000065a ), + .Q(\blk00000003/blk0000031e/sig00000f6b ), + .Q15(\NLW_blk00000003/blk0000031e/blk00000339_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000031e/blk00000338 ( + .A0(\blk00000003/sig00000751 ), + .A1(\blk00000003/blk0000031e/sig00000f6a ), + .A2(\blk00000003/blk0000031e/sig00000f6a ), + .A3(\blk00000003/blk0000031e/sig00000f6a ), + .CE(\blk00000003/blk0000031e/sig00000f83 ), + .CLK(clk), + .D(\blk00000003/sig00000658 ), + .Q(\blk00000003/blk0000031e/sig00000f6d ), + .Q15(\NLW_blk00000003/blk0000031e/blk00000338_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000337 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f82 ), + .Q(\blk00000003/sig000006a3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000336 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f81 ), + .Q(\blk00000003/sig000006a4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000335 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f80 ), + .Q(\blk00000003/sig000006a5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000334 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f7f ), + .Q(\blk00000003/sig000006a6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000333 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f7e ), + .Q(\blk00000003/sig000006a7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000332 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f7d ), + .Q(\blk00000003/sig000006a8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000331 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f7c ), + .Q(\blk00000003/sig000006a9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000330 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f7b ), + .Q(\blk00000003/sig000006aa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk0000032f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f7a ), + .Q(\blk00000003/sig000006ab ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk0000032e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f79 ), + .Q(\blk00000003/sig000006ac ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk0000032d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f78 ), + .Q(\blk00000003/sig000006ad ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk0000032c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f77 ), + .Q(\blk00000003/sig000006ae ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk0000032b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f76 ), + .Q(\blk00000003/sig000006af ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk0000032a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f75 ), + .Q(\blk00000003/sig000006b0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000329 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f74 ), + .Q(\blk00000003/sig000006b1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000328 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f73 ), + .Q(\blk00000003/sig000006b2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000327 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f72 ), + .Q(\blk00000003/sig000006b3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000326 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f71 ), + .Q(\blk00000003/sig000006b4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000325 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f70 ), + .Q(\blk00000003/sig000006b5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000324 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f6f ), + .Q(\blk00000003/sig000006b6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000323 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f6e ), + .Q(\blk00000003/sig000006b7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000322 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f6d ), + .Q(\blk00000003/sig000006b8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000321 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f6c ), + .Q(\blk00000003/sig000006b9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e/blk00000320 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000031e/sig00000f6b ), + .Q(\blk00000003/sig000006ba ) + ); + GND \blk00000003/blk0000031e/blk0000031f ( + .G(\blk00000003/blk0000031e/sig00000f6a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000351/blk00000383 ( + .I0(ce), + .I1(\blk00000003/sig0000074f ), + .O(\blk00000003/blk00000351/sig00000fd1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk00000382 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007db ), + .Q(\blk00000003/blk00000351/sig00000fcf ), + .Q15(\NLW_blk00000003/blk00000351/blk00000382_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk00000381 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007dc ), + .Q(\blk00000003/blk00000351/sig00000fce ), + .Q15(\NLW_blk00000003/blk00000351/blk00000381_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk00000380 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007da ), + .Q(\blk00000003/blk00000351/sig00000fd0 ), + .Q15(\NLW_blk00000003/blk00000351/blk00000380_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk0000037f ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007de ), + .Q(\blk00000003/blk00000351/sig00000fcc ), + .Q15(\NLW_blk00000003/blk00000351/blk0000037f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk0000037e ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007df ), + .Q(\blk00000003/blk00000351/sig00000fcb ), + .Q15(\NLW_blk00000003/blk00000351/blk0000037e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk0000037d ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007dd ), + .Q(\blk00000003/blk00000351/sig00000fcd ), + .Q15(\NLW_blk00000003/blk00000351/blk0000037d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk0000037c ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007e1 ), + .Q(\blk00000003/blk00000351/sig00000fc9 ), + .Q15(\NLW_blk00000003/blk00000351/blk0000037c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk0000037b ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007e2 ), + .Q(\blk00000003/blk00000351/sig00000fc8 ), + .Q15(\NLW_blk00000003/blk00000351/blk0000037b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk0000037a ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007e0 ), + .Q(\blk00000003/blk00000351/sig00000fca ), + .Q15(\NLW_blk00000003/blk00000351/blk0000037a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk00000379 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007e4 ), + .Q(\blk00000003/blk00000351/sig00000fc6 ), + .Q15(\NLW_blk00000003/blk00000351/blk00000379_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk00000378 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007e5 ), + .Q(\blk00000003/blk00000351/sig00000fc5 ), + .Q15(\NLW_blk00000003/blk00000351/blk00000378_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk00000377 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007e3 ), + .Q(\blk00000003/blk00000351/sig00000fc7 ), + .Q15(\NLW_blk00000003/blk00000351/blk00000377_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk00000376 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007e7 ), + .Q(\blk00000003/blk00000351/sig00000fc3 ), + .Q15(\NLW_blk00000003/blk00000351/blk00000376_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk00000375 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007e8 ), + .Q(\blk00000003/blk00000351/sig00000fc2 ), + .Q15(\NLW_blk00000003/blk00000351/blk00000375_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk00000374 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007e6 ), + .Q(\blk00000003/blk00000351/sig00000fc4 ), + .Q15(\NLW_blk00000003/blk00000351/blk00000374_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk00000373 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007ea ), + .Q(\blk00000003/blk00000351/sig00000fc0 ), + .Q15(\NLW_blk00000003/blk00000351/blk00000373_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk00000372 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007eb ), + .Q(\blk00000003/blk00000351/sig00000fbf ), + .Q15(\NLW_blk00000003/blk00000351/blk00000372_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk00000371 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007e9 ), + .Q(\blk00000003/blk00000351/sig00000fc1 ), + .Q15(\NLW_blk00000003/blk00000351/blk00000371_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk00000370 ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007ed ), + .Q(\blk00000003/blk00000351/sig00000fbd ), + .Q15(\NLW_blk00000003/blk00000351/blk00000370_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk0000036f ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007ee ), + .Q(\blk00000003/blk00000351/sig00000fbc ), + .Q15(\NLW_blk00000003/blk00000351/blk0000036f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk0000036e ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007ec ), + .Q(\blk00000003/blk00000351/sig00000fbe ), + .Q15(\NLW_blk00000003/blk00000351/blk0000036e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk0000036d ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007f0 ), + .Q(\blk00000003/blk00000351/sig00000fba ), + .Q15(\NLW_blk00000003/blk00000351/blk0000036d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk0000036c ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007f1 ), + .Q(\blk00000003/blk00000351/sig00000fb9 ), + .Q15(\NLW_blk00000003/blk00000351/blk0000036c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000351/blk0000036b ( + .A0(\blk00000003/sig00000750 ), + .A1(\blk00000003/blk00000351/sig00000fb8 ), + .A2(\blk00000003/blk00000351/sig00000fb8 ), + .A3(\blk00000003/blk00000351/sig00000fb8 ), + .CE(\blk00000003/blk00000351/sig00000fd1 ), + .CLK(clk), + .D(\blk00000003/sig000007ef ), + .Q(\blk00000003/blk00000351/sig00000fbb ), + .Q15(\NLW_blk00000003/blk00000351/blk0000036b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk0000036a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fd0 ), + .Q(\blk00000003/sig000006bb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000369 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fcf ), + .Q(\blk00000003/sig000006bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000368 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fce ), + .Q(\blk00000003/sig000006bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000367 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fcd ), + .Q(\blk00000003/sig000006be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000366 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fcc ), + .Q(\blk00000003/sig000006bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000365 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fcb ), + .Q(\blk00000003/sig000006c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000364 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fca ), + .Q(\blk00000003/sig000006c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000363 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fc9 ), + .Q(\blk00000003/sig000006c2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000362 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fc8 ), + .Q(\blk00000003/sig000006c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000361 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fc7 ), + .Q(\blk00000003/sig000006c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000360 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fc6 ), + .Q(\blk00000003/sig000006c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk0000035f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fc5 ), + .Q(\blk00000003/sig000006c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk0000035e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fc4 ), + .Q(\blk00000003/sig000006c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk0000035d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fc3 ), + .Q(\blk00000003/sig000006c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk0000035c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fc2 ), + .Q(\blk00000003/sig000006c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk0000035b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fc1 ), + .Q(\blk00000003/sig000006ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk0000035a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fc0 ), + .Q(\blk00000003/sig000006cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000359 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fbf ), + .Q(\blk00000003/sig000006cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000358 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fbe ), + .Q(\blk00000003/sig000006cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000357 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fbd ), + .Q(\blk00000003/sig000006ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000356 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fbc ), + .Q(\blk00000003/sig000006cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000355 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fbb ), + .Q(\blk00000003/sig000006d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000354 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fba ), + .Q(\blk00000003/sig000006d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351/blk00000353 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000351/sig00000fb9 ), + .Q(\blk00000003/sig000006d2 ) + ); + GND \blk00000003/blk00000351/blk00000352 ( + .G(\blk00000003/blk00000351/sig00000fb8 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000384/blk000003b6 ( + .I0(ce), + .I1(\blk00000003/sig00000755 ), + .O(\blk00000003/blk00000384/sig0000101f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003b5 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig0000045e ), + .Q(\blk00000003/blk00000384/sig0000101d ), + .Q15(\NLW_blk00000003/blk00000384/blk000003b5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003b4 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig0000045f ), + .Q(\blk00000003/blk00000384/sig0000101c ), + .Q15(\NLW_blk00000003/blk00000384/blk000003b4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003b3 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig0000045d ), + .Q(\blk00000003/blk00000384/sig0000101e ), + .Q15(\NLW_blk00000003/blk00000384/blk000003b3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003b2 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000461 ), + .Q(\blk00000003/blk00000384/sig0000101a ), + .Q15(\NLW_blk00000003/blk00000384/blk000003b2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003b1 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000462 ), + .Q(\blk00000003/blk00000384/sig00001019 ), + .Q15(\NLW_blk00000003/blk00000384/blk000003b1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003b0 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000460 ), + .Q(\blk00000003/blk00000384/sig0000101b ), + .Q15(\NLW_blk00000003/blk00000384/blk000003b0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003af ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000464 ), + .Q(\blk00000003/blk00000384/sig00001017 ), + .Q15(\NLW_blk00000003/blk00000384/blk000003af_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003ae ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000465 ), + .Q(\blk00000003/blk00000384/sig00001016 ), + .Q15(\NLW_blk00000003/blk00000384/blk000003ae_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003ad ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000463 ), + .Q(\blk00000003/blk00000384/sig00001018 ), + .Q15(\NLW_blk00000003/blk00000384/blk000003ad_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003ac ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000467 ), + .Q(\blk00000003/blk00000384/sig00001014 ), + .Q15(\NLW_blk00000003/blk00000384/blk000003ac_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003ab ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000468 ), + .Q(\blk00000003/blk00000384/sig00001013 ), + .Q15(\NLW_blk00000003/blk00000384/blk000003ab_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003aa ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000466 ), + .Q(\blk00000003/blk00000384/sig00001015 ), + .Q15(\NLW_blk00000003/blk00000384/blk000003aa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003a9 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig0000046a ), + .Q(\blk00000003/blk00000384/sig00001011 ), + .Q15(\NLW_blk00000003/blk00000384/blk000003a9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003a8 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig0000046b ), + .Q(\blk00000003/blk00000384/sig00001010 ), + .Q15(\NLW_blk00000003/blk00000384/blk000003a8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003a7 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000469 ), + .Q(\blk00000003/blk00000384/sig00001012 ), + .Q15(\NLW_blk00000003/blk00000384/blk000003a7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003a6 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig0000046d ), + .Q(\blk00000003/blk00000384/sig0000100e ), + .Q15(\NLW_blk00000003/blk00000384/blk000003a6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003a5 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig0000046e ), + .Q(\blk00000003/blk00000384/sig0000100d ), + .Q15(\NLW_blk00000003/blk00000384/blk000003a5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003a4 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig0000046c ), + .Q(\blk00000003/blk00000384/sig0000100f ), + .Q15(\NLW_blk00000003/blk00000384/blk000003a4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003a3 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000470 ), + .Q(\blk00000003/blk00000384/sig0000100b ), + .Q15(\NLW_blk00000003/blk00000384/blk000003a3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003a2 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000471 ), + .Q(\blk00000003/blk00000384/sig0000100a ), + .Q15(\NLW_blk00000003/blk00000384/blk000003a2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003a1 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig0000046f ), + .Q(\blk00000003/blk00000384/sig0000100c ), + .Q15(\NLW_blk00000003/blk00000384/blk000003a1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk000003a0 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000473 ), + .Q(\blk00000003/blk00000384/sig00001008 ), + .Q15(\NLW_blk00000003/blk00000384/blk000003a0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk0000039f ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000474 ), + .Q(\blk00000003/blk00000384/sig00001007 ), + .Q15(\NLW_blk00000003/blk00000384/blk0000039f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000384/blk0000039e ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk00000384/sig00001006 ), + .A2(\blk00000003/blk00000384/sig00001006 ), + .A3(\blk00000003/blk00000384/sig00001006 ), + .CE(\blk00000003/blk00000384/sig0000101f ), + .CLK(clk), + .D(\blk00000003/sig00000472 ), + .Q(\blk00000003/blk00000384/sig00001009 ), + .Q15(\NLW_blk00000003/blk00000384/blk0000039e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk0000039d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig0000101e ), + .Q(\blk00000003/sig000004cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk0000039c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig0000101d ), + .Q(\blk00000003/sig000004d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk0000039b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig0000101c ), + .Q(\blk00000003/sig000004d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk0000039a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig0000101b ), + .Q(\blk00000003/sig000004d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk00000399 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig0000101a ), + .Q(\blk00000003/sig000004d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk00000398 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig00001019 ), + .Q(\blk00000003/sig000004d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk00000397 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig00001018 ), + .Q(\blk00000003/sig000004d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk00000396 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig00001017 ), + .Q(\blk00000003/sig000004d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk00000395 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig00001016 ), + .Q(\blk00000003/sig000004d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk00000394 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig00001015 ), + .Q(\blk00000003/sig000004d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk00000393 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig00001014 ), + .Q(\blk00000003/sig000004d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk00000392 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig00001013 ), + .Q(\blk00000003/sig000004da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk00000391 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig00001012 ), + .Q(\blk00000003/sig000004db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk00000390 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig00001011 ), + .Q(\blk00000003/sig000004dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk0000038f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig00001010 ), + .Q(\blk00000003/sig000004dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk0000038e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig0000100f ), + .Q(\blk00000003/sig000004de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk0000038d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig0000100e ), + .Q(\blk00000003/sig000004df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk0000038c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig0000100d ), + .Q(\blk00000003/sig000004e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk0000038b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig0000100c ), + .Q(\blk00000003/sig000004e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk0000038a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig0000100b ), + .Q(\blk00000003/sig000004e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk00000389 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig0000100a ), + .Q(\blk00000003/sig000004e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk00000388 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig00001009 ), + .Q(\blk00000003/sig000004e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk00000387 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig00001008 ), + .Q(\blk00000003/sig000004e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384/blk00000386 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000384/sig00001007 ), + .Q(\blk00000003/sig000004e6 ) + ); + GND \blk00000003/blk00000384/blk00000385 ( + .G(\blk00000003/blk00000384/sig00001006 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003b7/blk000003e9 ( + .I0(ce), + .I1(\blk00000003/sig00000754 ), + .O(\blk00000003/blk000003b7/sig0000106d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003e8 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig000007f3 ), + .Q(\blk00000003/blk000003b7/sig0000106b ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003e8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003e7 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig000007f4 ), + .Q(\blk00000003/blk000003b7/sig0000106a ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003e7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003e6 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig000007f2 ), + .Q(\blk00000003/blk000003b7/sig0000106c ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003e6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003e5 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig000007f6 ), + .Q(\blk00000003/blk000003b7/sig00001068 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003e5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003e4 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig000007f7 ), + .Q(\blk00000003/blk000003b7/sig00001067 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003e4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003e3 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig000007f5 ), + .Q(\blk00000003/blk000003b7/sig00001069 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003e3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003e2 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig000007f9 ), + .Q(\blk00000003/blk000003b7/sig00001065 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003e2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003e1 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig000007fa ), + .Q(\blk00000003/blk000003b7/sig00001064 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003e1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003e0 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig000007f8 ), + .Q(\blk00000003/blk000003b7/sig00001066 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003e0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003df ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig000007fc ), + .Q(\blk00000003/blk000003b7/sig00001062 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003df_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003de ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig000007fd ), + .Q(\blk00000003/blk000003b7/sig00001061 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003de_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003dd ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig000007fb ), + .Q(\blk00000003/blk000003b7/sig00001063 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003dd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003dc ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig000007ff ), + .Q(\blk00000003/blk000003b7/sig0000105f ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003dc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003db ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000800 ), + .Q(\blk00000003/blk000003b7/sig0000105e ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003db_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003da ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig000007fe ), + .Q(\blk00000003/blk000003b7/sig00001060 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003da_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003d9 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000802 ), + .Q(\blk00000003/blk000003b7/sig0000105c ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003d9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003d8 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000803 ), + .Q(\blk00000003/blk000003b7/sig0000105b ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003d8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003d7 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000801 ), + .Q(\blk00000003/blk000003b7/sig0000105d ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003d7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003d6 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000805 ), + .Q(\blk00000003/blk000003b7/sig00001059 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003d6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003d5 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000806 ), + .Q(\blk00000003/blk000003b7/sig00001058 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003d5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003d4 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000804 ), + .Q(\blk00000003/blk000003b7/sig0000105a ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003d4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003d3 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000808 ), + .Q(\blk00000003/blk000003b7/sig00001056 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003d3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003d2 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000809 ), + .Q(\blk00000003/blk000003b7/sig00001055 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003d2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b7/blk000003d1 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk000003b7/sig00001054 ), + .A2(\blk00000003/blk000003b7/sig00001054 ), + .A3(\blk00000003/blk000003b7/sig00001054 ), + .CE(\blk00000003/blk000003b7/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000807 ), + .Q(\blk00000003/blk000003b7/sig00001057 ), + .Q15(\NLW_blk00000003/blk000003b7/blk000003d1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig0000106c ), + .Q(\blk00000003/sig000004e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig0000106b ), + .Q(\blk00000003/sig000004e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig0000106a ), + .Q(\blk00000003/sig000004e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001069 ), + .Q(\blk00000003/sig000004ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001068 ), + .Q(\blk00000003/sig000004eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001067 ), + .Q(\blk00000003/sig000004ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001066 ), + .Q(\blk00000003/sig000004ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001065 ), + .Q(\blk00000003/sig000004ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001064 ), + .Q(\blk00000003/sig000004ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001063 ), + .Q(\blk00000003/sig000004f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001062 ), + .Q(\blk00000003/sig000004f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001061 ), + .Q(\blk00000003/sig000004f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001060 ), + .Q(\blk00000003/sig000004f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig0000105f ), + .Q(\blk00000003/sig000004f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig0000105e ), + .Q(\blk00000003/sig000004f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig0000105d ), + .Q(\blk00000003/sig000004f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig0000105c ), + .Q(\blk00000003/sig000004f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig0000105b ), + .Q(\blk00000003/sig000004f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig0000105a ), + .Q(\blk00000003/sig000004f9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001059 ), + .Q(\blk00000003/sig000004fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001058 ), + .Q(\blk00000003/sig000004fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001057 ), + .Q(\blk00000003/sig000004fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001056 ), + .Q(\blk00000003/sig000004fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7/blk000003b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b7/sig00001055 ), + .Q(\blk00000003/sig000004fe ) + ); + GND \blk00000003/blk000003b7/blk000003b8 ( + .G(\blk00000003/blk000003b7/sig00001054 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003ea/blk0000041c ( + .I0(ce), + .I1(\blk00000003/sig00000755 ), + .O(\blk00000003/blk000003ea/sig000010bb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk0000041b ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005e4 ), + .Q(\blk00000003/blk000003ea/sig000010b9 ), + .Q15(\NLW_blk00000003/blk000003ea/blk0000041b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk0000041a ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005e5 ), + .Q(\blk00000003/blk000003ea/sig000010b8 ), + .Q15(\NLW_blk00000003/blk000003ea/blk0000041a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000419 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005e3 ), + .Q(\blk00000003/blk000003ea/sig000010ba ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000419_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000418 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005e7 ), + .Q(\blk00000003/blk000003ea/sig000010b6 ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000418_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000417 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005e8 ), + .Q(\blk00000003/blk000003ea/sig000010b5 ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000417_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000416 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005e6 ), + .Q(\blk00000003/blk000003ea/sig000010b7 ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000416_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000415 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005ea ), + .Q(\blk00000003/blk000003ea/sig000010b3 ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000415_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000414 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005eb ), + .Q(\blk00000003/blk000003ea/sig000010b2 ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000414_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000413 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005e9 ), + .Q(\blk00000003/blk000003ea/sig000010b4 ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000413_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000412 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005ed ), + .Q(\blk00000003/blk000003ea/sig000010b0 ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000412_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000411 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005ee ), + .Q(\blk00000003/blk000003ea/sig000010af ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000411_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000410 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005ec ), + .Q(\blk00000003/blk000003ea/sig000010b1 ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000410_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk0000040f ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005f0 ), + .Q(\blk00000003/blk000003ea/sig000010ad ), + .Q15(\NLW_blk00000003/blk000003ea/blk0000040f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk0000040e ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005f1 ), + .Q(\blk00000003/blk000003ea/sig000010ac ), + .Q15(\NLW_blk00000003/blk000003ea/blk0000040e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk0000040d ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005ef ), + .Q(\blk00000003/blk000003ea/sig000010ae ), + .Q15(\NLW_blk00000003/blk000003ea/blk0000040d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk0000040c ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005f3 ), + .Q(\blk00000003/blk000003ea/sig000010aa ), + .Q15(\NLW_blk00000003/blk000003ea/blk0000040c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk0000040b ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005f4 ), + .Q(\blk00000003/blk000003ea/sig000010a9 ), + .Q15(\NLW_blk00000003/blk000003ea/blk0000040b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk0000040a ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005f2 ), + .Q(\blk00000003/blk000003ea/sig000010ab ), + .Q15(\NLW_blk00000003/blk000003ea/blk0000040a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000409 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005f6 ), + .Q(\blk00000003/blk000003ea/sig000010a7 ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000409_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000408 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005f7 ), + .Q(\blk00000003/blk000003ea/sig000010a6 ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000408_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000407 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005f5 ), + .Q(\blk00000003/blk000003ea/sig000010a8 ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000407_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000406 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005f9 ), + .Q(\blk00000003/blk000003ea/sig000010a4 ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000406_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000405 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005fa ), + .Q(\blk00000003/blk000003ea/sig000010a3 ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000405_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ea/blk00000404 ( + .A0(\blk00000003/sig00000758 ), + .A1(\blk00000003/blk000003ea/sig000010a2 ), + .A2(\blk00000003/blk000003ea/sig000010a2 ), + .A3(\blk00000003/blk000003ea/sig000010a2 ), + .CE(\blk00000003/blk000003ea/sig000010bb ), + .CLK(clk), + .D(\blk00000003/sig000005f8 ), + .Q(\blk00000003/blk000003ea/sig000010a5 ), + .Q15(\NLW_blk00000003/blk000003ea/blk00000404_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk00000403 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010ba ), + .Q(\blk00000003/sig00000643 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk00000402 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010b9 ), + .Q(\blk00000003/sig00000644 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk00000401 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010b8 ), + .Q(\blk00000003/sig00000645 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk00000400 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010b7 ), + .Q(\blk00000003/sig00000646 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010b6 ), + .Q(\blk00000003/sig00000647 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010b5 ), + .Q(\blk00000003/sig00000648 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010b4 ), + .Q(\blk00000003/sig00000649 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010b3 ), + .Q(\blk00000003/sig0000064a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010b2 ), + .Q(\blk00000003/sig0000064b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010b1 ), + .Q(\blk00000003/sig0000064c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010b0 ), + .Q(\blk00000003/sig0000064d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010af ), + .Q(\blk00000003/sig0000064e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010ae ), + .Q(\blk00000003/sig0000064f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010ad ), + .Q(\blk00000003/sig00000650 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010ac ), + .Q(\blk00000003/sig00000651 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010ab ), + .Q(\blk00000003/sig00000652 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010aa ), + .Q(\blk00000003/sig00000653 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010a9 ), + .Q(\blk00000003/sig00000654 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010a8 ), + .Q(\blk00000003/sig00000655 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010a7 ), + .Q(\blk00000003/sig00000656 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010a6 ), + .Q(\blk00000003/sig00000657 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010a5 ), + .Q(\blk00000003/sig00000658 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010a4 ), + .Q(\blk00000003/sig00000659 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea/blk000003ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ea/sig000010a3 ), + .Q(\blk00000003/sig0000065a ) + ); + GND \blk00000003/blk000003ea/blk000003eb ( + .G(\blk00000003/blk000003ea/sig000010a2 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000041d/blk0000044f ( + .I0(ce), + .I1(\blk00000003/sig00000754 ), + .O(\blk00000003/blk0000041d/sig00001109 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk0000044e ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig0000080b ), + .Q(\blk00000003/blk0000041d/sig00001107 ), + .Q15(\NLW_blk00000003/blk0000041d/blk0000044e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk0000044d ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig0000080c ), + .Q(\blk00000003/blk0000041d/sig00001106 ), + .Q15(\NLW_blk00000003/blk0000041d/blk0000044d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk0000044c ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig0000080a ), + .Q(\blk00000003/blk0000041d/sig00001108 ), + .Q15(\NLW_blk00000003/blk0000041d/blk0000044c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk0000044b ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig0000080e ), + .Q(\blk00000003/blk0000041d/sig00001104 ), + .Q15(\NLW_blk00000003/blk0000041d/blk0000044b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk0000044a ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig0000080f ), + .Q(\blk00000003/blk0000041d/sig00001103 ), + .Q15(\NLW_blk00000003/blk0000041d/blk0000044a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk00000449 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig0000080d ), + .Q(\blk00000003/blk0000041d/sig00001105 ), + .Q15(\NLW_blk00000003/blk0000041d/blk00000449_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk00000448 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig00000811 ), + .Q(\blk00000003/blk0000041d/sig00001101 ), + .Q15(\NLW_blk00000003/blk0000041d/blk00000448_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk00000447 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig00000812 ), + .Q(\blk00000003/blk0000041d/sig00001100 ), + .Q15(\NLW_blk00000003/blk0000041d/blk00000447_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk00000446 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig00000810 ), + .Q(\blk00000003/blk0000041d/sig00001102 ), + .Q15(\NLW_blk00000003/blk0000041d/blk00000446_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk00000445 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig00000814 ), + .Q(\blk00000003/blk0000041d/sig000010fe ), + .Q15(\NLW_blk00000003/blk0000041d/blk00000445_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk00000444 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig00000815 ), + .Q(\blk00000003/blk0000041d/sig000010fd ), + .Q15(\NLW_blk00000003/blk0000041d/blk00000444_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk00000443 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig00000813 ), + .Q(\blk00000003/blk0000041d/sig000010ff ), + .Q15(\NLW_blk00000003/blk0000041d/blk00000443_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk00000442 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig00000817 ), + .Q(\blk00000003/blk0000041d/sig000010fb ), + .Q15(\NLW_blk00000003/blk0000041d/blk00000442_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk00000441 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig00000818 ), + .Q(\blk00000003/blk0000041d/sig000010fa ), + .Q15(\NLW_blk00000003/blk0000041d/blk00000441_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk00000440 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig00000816 ), + .Q(\blk00000003/blk0000041d/sig000010fc ), + .Q15(\NLW_blk00000003/blk0000041d/blk00000440_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk0000043f ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig0000081a ), + .Q(\blk00000003/blk0000041d/sig000010f8 ), + .Q15(\NLW_blk00000003/blk0000041d/blk0000043f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk0000043e ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig0000081b ), + .Q(\blk00000003/blk0000041d/sig000010f7 ), + .Q15(\NLW_blk00000003/blk0000041d/blk0000043e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk0000043d ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig00000819 ), + .Q(\blk00000003/blk0000041d/sig000010f9 ), + .Q15(\NLW_blk00000003/blk0000041d/blk0000043d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk0000043c ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig0000081d ), + .Q(\blk00000003/blk0000041d/sig000010f5 ), + .Q15(\NLW_blk00000003/blk0000041d/blk0000043c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk0000043b ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig0000081e ), + .Q(\blk00000003/blk0000041d/sig000010f4 ), + .Q15(\NLW_blk00000003/blk0000041d/blk0000043b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk0000043a ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig0000081c ), + .Q(\blk00000003/blk0000041d/sig000010f6 ), + .Q15(\NLW_blk00000003/blk0000041d/blk0000043a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk00000439 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig00000820 ), + .Q(\blk00000003/blk0000041d/sig000010f2 ), + .Q15(\NLW_blk00000003/blk0000041d/blk00000439_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk00000438 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig00000821 ), + .Q(\blk00000003/blk0000041d/sig000010f1 ), + .Q15(\NLW_blk00000003/blk0000041d/blk00000438_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041d/blk00000437 ( + .A0(\blk00000003/sig00000759 ), + .A1(\blk00000003/blk0000041d/sig000010f0 ), + .A2(\blk00000003/blk0000041d/sig000010f0 ), + .A3(\blk00000003/blk0000041d/sig000010f0 ), + .CE(\blk00000003/blk0000041d/sig00001109 ), + .CLK(clk), + .D(\blk00000003/sig0000081f ), + .Q(\blk00000003/blk0000041d/sig000010f3 ), + .Q15(\NLW_blk00000003/blk0000041d/blk00000437_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000436 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig00001108 ), + .Q(\blk00000003/sig0000065b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000435 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig00001107 ), + .Q(\blk00000003/sig0000065c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000434 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig00001106 ), + .Q(\blk00000003/sig0000065d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000433 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig00001105 ), + .Q(\blk00000003/sig0000065e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000432 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig00001104 ), + .Q(\blk00000003/sig0000065f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000431 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig00001103 ), + .Q(\blk00000003/sig00000660 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000430 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig00001102 ), + .Q(\blk00000003/sig00000661 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk0000042f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig00001101 ), + .Q(\blk00000003/sig00000662 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk0000042e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig00001100 ), + .Q(\blk00000003/sig00000663 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk0000042d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010ff ), + .Q(\blk00000003/sig00000664 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk0000042c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010fe ), + .Q(\blk00000003/sig00000665 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk0000042b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010fd ), + .Q(\blk00000003/sig00000666 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk0000042a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010fc ), + .Q(\blk00000003/sig00000667 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000429 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010fb ), + .Q(\blk00000003/sig00000668 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000428 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010fa ), + .Q(\blk00000003/sig00000669 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000427 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010f9 ), + .Q(\blk00000003/sig0000066a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000426 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010f8 ), + .Q(\blk00000003/sig0000066b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000425 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010f7 ), + .Q(\blk00000003/sig0000066c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000424 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010f6 ), + .Q(\blk00000003/sig0000066d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000423 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010f5 ), + .Q(\blk00000003/sig0000066e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000422 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010f4 ), + .Q(\blk00000003/sig0000066f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000421 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010f3 ), + .Q(\blk00000003/sig00000670 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk00000420 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010f2 ), + .Q(\blk00000003/sig00000671 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d/blk0000041f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041d/sig000010f1 ), + .Q(\blk00000003/sig00000672 ) + ); + GND \blk00000003/blk0000041d/blk0000041e ( + .G(\blk00000003/blk0000041d/sig000010f0 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000450/blk00000482 ( + .I0(ce), + .I1(\blk00000003/sig0000075d ), + .O(\blk00000003/blk00000450/sig00001157 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk00000481 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002ba ), + .Q(\blk00000003/blk00000450/sig00001155 ), + .Q15(\NLW_blk00000003/blk00000450/blk00000481_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk00000480 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002bb ), + .Q(\blk00000003/blk00000450/sig00001154 ), + .Q15(\NLW_blk00000003/blk00000450/blk00000480_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk0000047f ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002b9 ), + .Q(\blk00000003/blk00000450/sig00001156 ), + .Q15(\NLW_blk00000003/blk00000450/blk0000047f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk0000047e ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002bd ), + .Q(\blk00000003/blk00000450/sig00001152 ), + .Q15(\NLW_blk00000003/blk00000450/blk0000047e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk0000047d ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002be ), + .Q(\blk00000003/blk00000450/sig00001151 ), + .Q15(\NLW_blk00000003/blk00000450/blk0000047d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk0000047c ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002bc ), + .Q(\blk00000003/blk00000450/sig00001153 ), + .Q15(\NLW_blk00000003/blk00000450/blk0000047c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk0000047b ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002c0 ), + .Q(\blk00000003/blk00000450/sig0000114f ), + .Q15(\NLW_blk00000003/blk00000450/blk0000047b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk0000047a ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002c1 ), + .Q(\blk00000003/blk00000450/sig0000114e ), + .Q15(\NLW_blk00000003/blk00000450/blk0000047a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk00000479 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002bf ), + .Q(\blk00000003/blk00000450/sig00001150 ), + .Q15(\NLW_blk00000003/blk00000450/blk00000479_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk00000478 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002c3 ), + .Q(\blk00000003/blk00000450/sig0000114c ), + .Q15(\NLW_blk00000003/blk00000450/blk00000478_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk00000477 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002c4 ), + .Q(\blk00000003/blk00000450/sig0000114b ), + .Q15(\NLW_blk00000003/blk00000450/blk00000477_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk00000476 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002c2 ), + .Q(\blk00000003/blk00000450/sig0000114d ), + .Q15(\NLW_blk00000003/blk00000450/blk00000476_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk00000475 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002c6 ), + .Q(\blk00000003/blk00000450/sig00001149 ), + .Q15(\NLW_blk00000003/blk00000450/blk00000475_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk00000474 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002c7 ), + .Q(\blk00000003/blk00000450/sig00001148 ), + .Q15(\NLW_blk00000003/blk00000450/blk00000474_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk00000473 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002c5 ), + .Q(\blk00000003/blk00000450/sig0000114a ), + .Q15(\NLW_blk00000003/blk00000450/blk00000473_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk00000472 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002c9 ), + .Q(\blk00000003/blk00000450/sig00001146 ), + .Q15(\NLW_blk00000003/blk00000450/blk00000472_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk00000471 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002ca ), + .Q(\blk00000003/blk00000450/sig00001145 ), + .Q15(\NLW_blk00000003/blk00000450/blk00000471_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk00000470 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002c8 ), + .Q(\blk00000003/blk00000450/sig00001147 ), + .Q15(\NLW_blk00000003/blk00000450/blk00000470_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk0000046f ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002cc ), + .Q(\blk00000003/blk00000450/sig00001143 ), + .Q15(\NLW_blk00000003/blk00000450/blk0000046f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk0000046e ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002cd ), + .Q(\blk00000003/blk00000450/sig00001142 ), + .Q15(\NLW_blk00000003/blk00000450/blk0000046e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk0000046d ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002cb ), + .Q(\blk00000003/blk00000450/sig00001144 ), + .Q15(\NLW_blk00000003/blk00000450/blk0000046d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk0000046c ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002cf ), + .Q(\blk00000003/blk00000450/sig00001140 ), + .Q15(\NLW_blk00000003/blk00000450/blk0000046c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk0000046b ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002d0 ), + .Q(\blk00000003/blk00000450/sig0000113f ), + .Q15(\NLW_blk00000003/blk00000450/blk0000046b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000450/blk0000046a ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk00000450/sig0000113e ), + .A2(\blk00000003/blk00000450/sig0000113e ), + .A3(\blk00000003/blk00000450/sig0000113e ), + .CE(\blk00000003/blk00000450/sig00001157 ), + .CLK(clk), + .D(\blk00000003/sig000002ce ), + .Q(\blk00000003/blk00000450/sig00001141 ), + .Q15(\NLW_blk00000003/blk00000450/blk0000046a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000469 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001156 ), + .Q(\blk00000003/sig0000045d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000468 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001155 ), + .Q(\blk00000003/sig0000045e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000467 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001154 ), + .Q(\blk00000003/sig0000045f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000466 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001153 ), + .Q(\blk00000003/sig00000460 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000465 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001152 ), + .Q(\blk00000003/sig00000461 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000464 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001151 ), + .Q(\blk00000003/sig00000462 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000463 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001150 ), + .Q(\blk00000003/sig00000463 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000462 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig0000114f ), + .Q(\blk00000003/sig00000464 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000461 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig0000114e ), + .Q(\blk00000003/sig00000465 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000460 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig0000114d ), + .Q(\blk00000003/sig00000466 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk0000045f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig0000114c ), + .Q(\blk00000003/sig00000467 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk0000045e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig0000114b ), + .Q(\blk00000003/sig00000468 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk0000045d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig0000114a ), + .Q(\blk00000003/sig00000469 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk0000045c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001149 ), + .Q(\blk00000003/sig0000046a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk0000045b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001148 ), + .Q(\blk00000003/sig0000046b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk0000045a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001147 ), + .Q(\blk00000003/sig0000046c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000459 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001146 ), + .Q(\blk00000003/sig0000046d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000458 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001145 ), + .Q(\blk00000003/sig0000046e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000457 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001144 ), + .Q(\blk00000003/sig0000046f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000456 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001143 ), + .Q(\blk00000003/sig00000470 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000455 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001142 ), + .Q(\blk00000003/sig00000471 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000454 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001141 ), + .Q(\blk00000003/sig00000472 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000453 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig00001140 ), + .Q(\blk00000003/sig00000473 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000450/blk00000452 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000450/sig0000113f ), + .Q(\blk00000003/sig00000474 ) + ); + GND \blk00000003/blk00000450/blk00000451 ( + .G(\blk00000003/blk00000450/sig0000113e ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000483/blk000004b5 ( + .I0(ce), + .I1(\blk00000003/sig0000075a ), + .O(\blk00000003/blk00000483/sig000011a5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004b4 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000823 ), + .Q(\blk00000003/blk00000483/sig000011a3 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004b4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004b3 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000824 ), + .Q(\blk00000003/blk00000483/sig000011a2 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004b3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004b2 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000822 ), + .Q(\blk00000003/blk00000483/sig000011a4 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004b2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004b1 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000826 ), + .Q(\blk00000003/blk00000483/sig000011a0 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004b1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004b0 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000827 ), + .Q(\blk00000003/blk00000483/sig0000119f ), + .Q15(\NLW_blk00000003/blk00000483/blk000004b0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004af ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000825 ), + .Q(\blk00000003/blk00000483/sig000011a1 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004af_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004ae ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000829 ), + .Q(\blk00000003/blk00000483/sig0000119d ), + .Q15(\NLW_blk00000003/blk00000483/blk000004ae_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004ad ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig0000082a ), + .Q(\blk00000003/blk00000483/sig0000119c ), + .Q15(\NLW_blk00000003/blk00000483/blk000004ad_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004ac ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000828 ), + .Q(\blk00000003/blk00000483/sig0000119e ), + .Q15(\NLW_blk00000003/blk00000483/blk000004ac_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004ab ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig0000082c ), + .Q(\blk00000003/blk00000483/sig0000119a ), + .Q15(\NLW_blk00000003/blk00000483/blk000004ab_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004aa ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig0000082d ), + .Q(\blk00000003/blk00000483/sig00001199 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004aa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004a9 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig0000082b ), + .Q(\blk00000003/blk00000483/sig0000119b ), + .Q15(\NLW_blk00000003/blk00000483/blk000004a9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004a8 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig0000082f ), + .Q(\blk00000003/blk00000483/sig00001197 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004a8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004a7 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000830 ), + .Q(\blk00000003/blk00000483/sig00001196 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004a7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004a6 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig0000082e ), + .Q(\blk00000003/blk00000483/sig00001198 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004a6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004a5 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000832 ), + .Q(\blk00000003/blk00000483/sig00001194 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004a5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004a4 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000833 ), + .Q(\blk00000003/blk00000483/sig00001193 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004a4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004a3 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000831 ), + .Q(\blk00000003/blk00000483/sig00001195 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004a3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004a2 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000835 ), + .Q(\blk00000003/blk00000483/sig00001191 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004a2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004a1 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000836 ), + .Q(\blk00000003/blk00000483/sig00001190 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004a1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk000004a0 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000834 ), + .Q(\blk00000003/blk00000483/sig00001192 ), + .Q15(\NLW_blk00000003/blk00000483/blk000004a0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk0000049f ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000838 ), + .Q(\blk00000003/blk00000483/sig0000118e ), + .Q15(\NLW_blk00000003/blk00000483/blk0000049f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk0000049e ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000839 ), + .Q(\blk00000003/blk00000483/sig0000118d ), + .Q15(\NLW_blk00000003/blk00000483/blk0000049e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000483/blk0000049d ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk00000483/sig0000118c ), + .A2(\blk00000003/blk00000483/sig0000118c ), + .A3(\blk00000003/blk00000483/sig0000118c ), + .CE(\blk00000003/blk00000483/sig000011a5 ), + .CLK(clk), + .D(\blk00000003/sig00000837 ), + .Q(\blk00000003/blk00000483/sig0000118f ), + .Q15(\NLW_blk00000003/blk00000483/blk0000049d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk0000049c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig000011a4 ), + .Q(\blk00000003/sig00000475 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk0000049b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig000011a3 ), + .Q(\blk00000003/sig00000476 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk0000049a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig000011a2 ), + .Q(\blk00000003/sig00000477 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000499 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig000011a1 ), + .Q(\blk00000003/sig00000478 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000498 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig000011a0 ), + .Q(\blk00000003/sig00000479 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000497 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig0000119f ), + .Q(\blk00000003/sig0000047a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000496 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig0000119e ), + .Q(\blk00000003/sig0000047b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000495 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig0000119d ), + .Q(\blk00000003/sig0000047c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000494 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig0000119c ), + .Q(\blk00000003/sig0000047d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000493 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig0000119b ), + .Q(\blk00000003/sig0000047e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000492 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig0000119a ), + .Q(\blk00000003/sig0000047f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000491 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig00001199 ), + .Q(\blk00000003/sig00000480 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000490 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig00001198 ), + .Q(\blk00000003/sig00000481 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk0000048f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig00001197 ), + .Q(\blk00000003/sig00000482 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk0000048e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig00001196 ), + .Q(\blk00000003/sig00000483 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk0000048d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig00001195 ), + .Q(\blk00000003/sig00000484 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk0000048c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig00001194 ), + .Q(\blk00000003/sig00000485 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk0000048b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig00001193 ), + .Q(\blk00000003/sig00000486 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk0000048a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig00001192 ), + .Q(\blk00000003/sig00000487 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000489 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig00001191 ), + .Q(\blk00000003/sig00000488 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000488 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig00001190 ), + .Q(\blk00000003/sig00000489 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000487 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig0000118f ), + .Q(\blk00000003/sig0000048a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000486 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig0000118e ), + .Q(\blk00000003/sig0000048b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483/blk00000485 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000483/sig0000118d ), + .Q(\blk00000003/sig0000048c ) + ); + GND \blk00000003/blk00000483/blk00000484 ( + .G(\blk00000003/blk00000483/sig0000118c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000004b6/blk000004e8 ( + .I0(ce), + .I1(\blk00000003/sig0000075d ), + .O(\blk00000003/blk000004b6/sig000011f3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004e7 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig0000031a ), + .Q(\blk00000003/blk000004b6/sig000011f1 ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004e7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004e6 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig0000031b ), + .Q(\blk00000003/blk000004b6/sig000011f0 ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004e6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004e5 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig00000319 ), + .Q(\blk00000003/blk000004b6/sig000011f2 ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004e5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004e4 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig0000031d ), + .Q(\blk00000003/blk000004b6/sig000011ee ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004e4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004e3 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig0000031e ), + .Q(\blk00000003/blk000004b6/sig000011ed ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004e3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004e2 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig0000031c ), + .Q(\blk00000003/blk000004b6/sig000011ef ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004e2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004e1 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig00000320 ), + .Q(\blk00000003/blk000004b6/sig000011eb ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004e1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004e0 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig00000321 ), + .Q(\blk00000003/blk000004b6/sig000011ea ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004e0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004df ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig0000031f ), + .Q(\blk00000003/blk000004b6/sig000011ec ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004df_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004de ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig00000323 ), + .Q(\blk00000003/blk000004b6/sig000011e8 ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004de_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004dd ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig00000324 ), + .Q(\blk00000003/blk000004b6/sig000011e7 ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004dd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004dc ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig00000322 ), + .Q(\blk00000003/blk000004b6/sig000011e9 ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004dc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004db ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig00000326 ), + .Q(\blk00000003/blk000004b6/sig000011e5 ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004db_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004da ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig00000327 ), + .Q(\blk00000003/blk000004b6/sig000011e4 ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004da_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004d9 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig00000325 ), + .Q(\blk00000003/blk000004b6/sig000011e6 ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004d9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004d8 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig00000329 ), + .Q(\blk00000003/blk000004b6/sig000011e2 ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004d8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004d7 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig0000032a ), + .Q(\blk00000003/blk000004b6/sig000011e1 ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004d7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004d6 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig00000328 ), + .Q(\blk00000003/blk000004b6/sig000011e3 ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004d6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004d5 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig0000032c ), + .Q(\blk00000003/blk000004b6/sig000011df ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004d5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004d4 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig0000032d ), + .Q(\blk00000003/blk000004b6/sig000011de ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004d4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004d3 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig0000032b ), + .Q(\blk00000003/blk000004b6/sig000011e0 ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004d3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004d2 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig0000032f ), + .Q(\blk00000003/blk000004b6/sig000011dc ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004d2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004d1 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig00000330 ), + .Q(\blk00000003/blk000004b6/sig000011db ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004d1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004b6/blk000004d0 ( + .A0(\blk00000003/sig0000075e ), + .A1(\blk00000003/blk000004b6/sig000011da ), + .A2(\blk00000003/blk000004b6/sig000011da ), + .A3(\blk00000003/blk000004b6/sig000011da ), + .CE(\blk00000003/blk000004b6/sig000011f3 ), + .CLK(clk), + .D(\blk00000003/sig0000032e ), + .Q(\blk00000003/blk000004b6/sig000011dd ), + .Q15(\NLW_blk00000003/blk000004b6/blk000004d0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011f2 ), + .Q(\blk00000003/sig000005e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011f1 ), + .Q(\blk00000003/sig000005e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011f0 ), + .Q(\blk00000003/sig000005e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011ef ), + .Q(\blk00000003/sig000005e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011ee ), + .Q(\blk00000003/sig000005e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011ed ), + .Q(\blk00000003/sig000005e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011ec ), + .Q(\blk00000003/sig000005e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011eb ), + .Q(\blk00000003/sig000005ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011ea ), + .Q(\blk00000003/sig000005eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011e9 ), + .Q(\blk00000003/sig000005ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011e8 ), + .Q(\blk00000003/sig000005ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011e7 ), + .Q(\blk00000003/sig000005ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011e6 ), + .Q(\blk00000003/sig000005ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011e5 ), + .Q(\blk00000003/sig000005f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011e4 ), + .Q(\blk00000003/sig000005f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011e3 ), + .Q(\blk00000003/sig000005f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011e2 ), + .Q(\blk00000003/sig000005f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011e1 ), + .Q(\blk00000003/sig000005f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011e0 ), + .Q(\blk00000003/sig000005f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011df ), + .Q(\blk00000003/sig000005f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011de ), + .Q(\blk00000003/sig000005f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011dd ), + .Q(\blk00000003/sig000005f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011dc ), + .Q(\blk00000003/sig000005f9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6/blk000004b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004b6/sig000011db ), + .Q(\blk00000003/sig000005fa ) + ); + GND \blk00000003/blk000004b6/blk000004b7 ( + .G(\blk00000003/blk000004b6/sig000011da ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000004e9/blk0000051b ( + .I0(ce), + .I1(\blk00000003/sig0000075a ), + .O(\blk00000003/blk000004e9/sig00001241 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk0000051a ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig0000083b ), + .Q(\blk00000003/blk000004e9/sig0000123f ), + .Q15(\NLW_blk00000003/blk000004e9/blk0000051a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000519 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig0000083c ), + .Q(\blk00000003/blk000004e9/sig0000123e ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000519_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000518 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig0000083a ), + .Q(\blk00000003/blk000004e9/sig00001240 ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000518_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000517 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig0000083e ), + .Q(\blk00000003/blk000004e9/sig0000123c ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000517_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000516 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig0000083f ), + .Q(\blk00000003/blk000004e9/sig0000123b ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000516_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000515 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig0000083d ), + .Q(\blk00000003/blk000004e9/sig0000123d ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000515_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000514 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig00000841 ), + .Q(\blk00000003/blk000004e9/sig00001239 ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000514_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000513 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig00000842 ), + .Q(\blk00000003/blk000004e9/sig00001238 ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000513_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000512 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig00000840 ), + .Q(\blk00000003/blk000004e9/sig0000123a ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000512_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000511 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig00000844 ), + .Q(\blk00000003/blk000004e9/sig00001236 ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000511_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000510 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig00000845 ), + .Q(\blk00000003/blk000004e9/sig00001235 ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000510_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk0000050f ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig00000843 ), + .Q(\blk00000003/blk000004e9/sig00001237 ), + .Q15(\NLW_blk00000003/blk000004e9/blk0000050f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk0000050e ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig00000847 ), + .Q(\blk00000003/blk000004e9/sig00001233 ), + .Q15(\NLW_blk00000003/blk000004e9/blk0000050e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk0000050d ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig00000848 ), + .Q(\blk00000003/blk000004e9/sig00001232 ), + .Q15(\NLW_blk00000003/blk000004e9/blk0000050d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk0000050c ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig00000846 ), + .Q(\blk00000003/blk000004e9/sig00001234 ), + .Q15(\NLW_blk00000003/blk000004e9/blk0000050c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk0000050b ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig0000084a ), + .Q(\blk00000003/blk000004e9/sig00001230 ), + .Q15(\NLW_blk00000003/blk000004e9/blk0000050b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk0000050a ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig0000084b ), + .Q(\blk00000003/blk000004e9/sig0000122f ), + .Q15(\NLW_blk00000003/blk000004e9/blk0000050a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000509 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig00000849 ), + .Q(\blk00000003/blk000004e9/sig00001231 ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000509_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000508 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig0000084d ), + .Q(\blk00000003/blk000004e9/sig0000122d ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000508_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000507 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig0000084e ), + .Q(\blk00000003/blk000004e9/sig0000122c ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000507_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000506 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig0000084c ), + .Q(\blk00000003/blk000004e9/sig0000122e ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000506_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000505 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig00000850 ), + .Q(\blk00000003/blk000004e9/sig0000122a ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000505_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000504 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig00000851 ), + .Q(\blk00000003/blk000004e9/sig00001229 ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000504_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e9/blk00000503 ( + .A0(\blk00000003/sig0000075f ), + .A1(\blk00000003/blk000004e9/sig00001228 ), + .A2(\blk00000003/blk000004e9/sig00001228 ), + .A3(\blk00000003/blk000004e9/sig00001228 ), + .CE(\blk00000003/blk000004e9/sig00001241 ), + .CLK(clk), + .D(\blk00000003/sig0000084f ), + .Q(\blk00000003/blk000004e9/sig0000122b ), + .Q15(\NLW_blk00000003/blk000004e9/blk00000503_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk00000502 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig00001240 ), + .Q(\blk00000003/sig000005fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk00000501 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig0000123f ), + .Q(\blk00000003/sig000005fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk00000500 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig0000123e ), + .Q(\blk00000003/sig000005fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig0000123d ), + .Q(\blk00000003/sig000005fe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig0000123c ), + .Q(\blk00000003/sig000005ff ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig0000123b ), + .Q(\blk00000003/sig00000600 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig0000123a ), + .Q(\blk00000003/sig00000601 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig00001239 ), + .Q(\blk00000003/sig00000602 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig00001238 ), + .Q(\blk00000003/sig00000603 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig00001237 ), + .Q(\blk00000003/sig00000604 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig00001236 ), + .Q(\blk00000003/sig00000605 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig00001235 ), + .Q(\blk00000003/sig00000606 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig00001234 ), + .Q(\blk00000003/sig00000607 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig00001233 ), + .Q(\blk00000003/sig00000608 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig00001232 ), + .Q(\blk00000003/sig00000609 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig00001231 ), + .Q(\blk00000003/sig0000060a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig00001230 ), + .Q(\blk00000003/sig0000060b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig0000122f ), + .Q(\blk00000003/sig0000060c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig0000122e ), + .Q(\blk00000003/sig0000060d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig0000122d ), + .Q(\blk00000003/sig0000060e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig0000122c ), + .Q(\blk00000003/sig0000060f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig0000122b ), + .Q(\blk00000003/sig00000610 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig0000122a ), + .Q(\blk00000003/sig00000611 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9/blk000004eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e9/sig00001229 ), + .Q(\blk00000003/sig00000612 ) + ); + GND \blk00000003/blk000004e9/blk000004ea ( + .G(\blk00000003/blk000004e9/sig00001228 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000051c/blk0000054e ( + .I0(ce), + .I1(\blk00000003/sig00000761 ), + .O(\blk00000003/blk0000051c/sig0000128f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk0000054d ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000853 ), + .Q(\blk00000003/blk0000051c/sig0000128d ), + .Q15(\NLW_blk00000003/blk0000051c/blk0000054d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk0000054c ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000854 ), + .Q(\blk00000003/blk0000051c/sig0000128c ), + .Q15(\NLW_blk00000003/blk0000051c/blk0000054c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk0000054b ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000852 ), + .Q(\blk00000003/blk0000051c/sig0000128e ), + .Q15(\NLW_blk00000003/blk0000051c/blk0000054b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk0000054a ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000856 ), + .Q(\blk00000003/blk0000051c/sig0000128a ), + .Q15(\NLW_blk00000003/blk0000051c/blk0000054a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk00000549 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000857 ), + .Q(\blk00000003/blk0000051c/sig00001289 ), + .Q15(\NLW_blk00000003/blk0000051c/blk00000549_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk00000548 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000855 ), + .Q(\blk00000003/blk0000051c/sig0000128b ), + .Q15(\NLW_blk00000003/blk0000051c/blk00000548_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk00000547 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000859 ), + .Q(\blk00000003/blk0000051c/sig00001287 ), + .Q15(\NLW_blk00000003/blk0000051c/blk00000547_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk00000546 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig0000085a ), + .Q(\blk00000003/blk0000051c/sig00001286 ), + .Q15(\NLW_blk00000003/blk0000051c/blk00000546_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk00000545 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000858 ), + .Q(\blk00000003/blk0000051c/sig00001288 ), + .Q15(\NLW_blk00000003/blk0000051c/blk00000545_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk00000544 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig0000085c ), + .Q(\blk00000003/blk0000051c/sig00001284 ), + .Q15(\NLW_blk00000003/blk0000051c/blk00000544_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk00000543 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig0000085d ), + .Q(\blk00000003/blk0000051c/sig00001283 ), + .Q15(\NLW_blk00000003/blk0000051c/blk00000543_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk00000542 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig0000085b ), + .Q(\blk00000003/blk0000051c/sig00001285 ), + .Q15(\NLW_blk00000003/blk0000051c/blk00000542_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk00000541 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig0000085f ), + .Q(\blk00000003/blk0000051c/sig00001281 ), + .Q15(\NLW_blk00000003/blk0000051c/blk00000541_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk00000540 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000860 ), + .Q(\blk00000003/blk0000051c/sig00001280 ), + .Q15(\NLW_blk00000003/blk0000051c/blk00000540_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk0000053f ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig0000085e ), + .Q(\blk00000003/blk0000051c/sig00001282 ), + .Q15(\NLW_blk00000003/blk0000051c/blk0000053f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk0000053e ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000862 ), + .Q(\blk00000003/blk0000051c/sig0000127e ), + .Q15(\NLW_blk00000003/blk0000051c/blk0000053e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk0000053d ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000863 ), + .Q(\blk00000003/blk0000051c/sig0000127d ), + .Q15(\NLW_blk00000003/blk0000051c/blk0000053d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk0000053c ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000861 ), + .Q(\blk00000003/blk0000051c/sig0000127f ), + .Q15(\NLW_blk00000003/blk0000051c/blk0000053c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk0000053b ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000865 ), + .Q(\blk00000003/blk0000051c/sig0000127b ), + .Q15(\NLW_blk00000003/blk0000051c/blk0000053b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk0000053a ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000866 ), + .Q(\blk00000003/blk0000051c/sig0000127a ), + .Q15(\NLW_blk00000003/blk0000051c/blk0000053a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk00000539 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000864 ), + .Q(\blk00000003/blk0000051c/sig0000127c ), + .Q15(\NLW_blk00000003/blk0000051c/blk00000539_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk00000538 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000868 ), + .Q(\blk00000003/blk0000051c/sig00001278 ), + .Q15(\NLW_blk00000003/blk0000051c/blk00000538_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk00000537 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000869 ), + .Q(\blk00000003/blk0000051c/sig00001277 ), + .Q15(\NLW_blk00000003/blk0000051c/blk00000537_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c/blk00000536 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk0000051c/sig00001276 ), + .A2(\blk00000003/blk0000051c/sig00001276 ), + .A3(\blk00000003/blk0000051c/sig00001276 ), + .CE(\blk00000003/blk0000051c/sig0000128f ), + .CLK(clk), + .D(\blk00000003/sig00000867 ), + .Q(\blk00000003/blk0000051c/sig00001279 ), + .Q15(\NLW_blk00000003/blk0000051c/blk00000536_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000535 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig0000128e ), + .Q(\blk00000003/sig000002b9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000534 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig0000128d ), + .Q(\blk00000003/sig000002ba ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000533 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig0000128c ), + .Q(\blk00000003/sig000002bb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000532 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig0000128b ), + .Q(\blk00000003/sig000002bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000531 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig0000128a ), + .Q(\blk00000003/sig000002bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000530 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig00001289 ), + .Q(\blk00000003/sig000002be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk0000052f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig00001288 ), + .Q(\blk00000003/sig000002bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk0000052e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig00001287 ), + .Q(\blk00000003/sig000002c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk0000052d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig00001286 ), + .Q(\blk00000003/sig000002c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk0000052c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig00001285 ), + .Q(\blk00000003/sig000002c2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk0000052b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig00001284 ), + .Q(\blk00000003/sig000002c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk0000052a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig00001283 ), + .Q(\blk00000003/sig000002c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000529 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig00001282 ), + .Q(\blk00000003/sig000002c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000528 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig00001281 ), + .Q(\blk00000003/sig000002c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000527 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig00001280 ), + .Q(\blk00000003/sig000002c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000526 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig0000127f ), + .Q(\blk00000003/sig000002c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000525 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig0000127e ), + .Q(\blk00000003/sig000002c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000524 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig0000127d ), + .Q(\blk00000003/sig000002ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000523 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig0000127c ), + .Q(\blk00000003/sig000002cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000522 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig0000127b ), + .Q(\blk00000003/sig000002cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000521 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig0000127a ), + .Q(\blk00000003/sig000002cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk00000520 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig00001279 ), + .Q(\blk00000003/sig000002ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk0000051f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig00001278 ), + .Q(\blk00000003/sig000002cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c/blk0000051e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000051c/sig00001277 ), + .Q(\blk00000003/sig000002d0 ) + ); + GND \blk00000003/blk0000051c/blk0000051d ( + .G(\blk00000003/blk0000051c/sig00001276 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000054f/blk00000581 ( + .I0(ce), + .I1(\blk00000003/sig00000760 ), + .O(\blk00000003/blk0000054f/sig000012dd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk00000580 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig0000086b ), + .Q(\blk00000003/blk0000054f/sig000012db ), + .Q15(\NLW_blk00000003/blk0000054f/blk00000580_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk0000057f ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig0000086c ), + .Q(\blk00000003/blk0000054f/sig000012da ), + .Q15(\NLW_blk00000003/blk0000054f/blk0000057f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk0000057e ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig0000086a ), + .Q(\blk00000003/blk0000054f/sig000012dc ), + .Q15(\NLW_blk00000003/blk0000054f/blk0000057e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk0000057d ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig0000086e ), + .Q(\blk00000003/blk0000054f/sig000012d8 ), + .Q15(\NLW_blk00000003/blk0000054f/blk0000057d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk0000057c ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig0000086f ), + .Q(\blk00000003/blk0000054f/sig000012d7 ), + .Q15(\NLW_blk00000003/blk0000054f/blk0000057c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk0000057b ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig0000086d ), + .Q(\blk00000003/blk0000054f/sig000012d9 ), + .Q15(\NLW_blk00000003/blk0000054f/blk0000057b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk0000057a ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig00000871 ), + .Q(\blk00000003/blk0000054f/sig000012d5 ), + .Q15(\NLW_blk00000003/blk0000054f/blk0000057a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk00000579 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig00000872 ), + .Q(\blk00000003/blk0000054f/sig000012d4 ), + .Q15(\NLW_blk00000003/blk0000054f/blk00000579_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk00000578 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig00000870 ), + .Q(\blk00000003/blk0000054f/sig000012d6 ), + .Q15(\NLW_blk00000003/blk0000054f/blk00000578_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk00000577 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig00000874 ), + .Q(\blk00000003/blk0000054f/sig000012d2 ), + .Q15(\NLW_blk00000003/blk0000054f/blk00000577_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk00000576 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig00000875 ), + .Q(\blk00000003/blk0000054f/sig000012d1 ), + .Q15(\NLW_blk00000003/blk0000054f/blk00000576_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk00000575 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig00000873 ), + .Q(\blk00000003/blk0000054f/sig000012d3 ), + .Q15(\NLW_blk00000003/blk0000054f/blk00000575_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk00000574 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig00000877 ), + .Q(\blk00000003/blk0000054f/sig000012cf ), + .Q15(\NLW_blk00000003/blk0000054f/blk00000574_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk00000573 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig00000878 ), + .Q(\blk00000003/blk0000054f/sig000012ce ), + .Q15(\NLW_blk00000003/blk0000054f/blk00000573_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk00000572 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig00000876 ), + .Q(\blk00000003/blk0000054f/sig000012d0 ), + .Q15(\NLW_blk00000003/blk0000054f/blk00000572_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk00000571 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig0000087a ), + .Q(\blk00000003/blk0000054f/sig000012cc ), + .Q15(\NLW_blk00000003/blk0000054f/blk00000571_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk00000570 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig0000087b ), + .Q(\blk00000003/blk0000054f/sig000012cb ), + .Q15(\NLW_blk00000003/blk0000054f/blk00000570_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk0000056f ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig00000879 ), + .Q(\blk00000003/blk0000054f/sig000012cd ), + .Q15(\NLW_blk00000003/blk0000054f/blk0000056f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk0000056e ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig0000087d ), + .Q(\blk00000003/blk0000054f/sig000012c9 ), + .Q15(\NLW_blk00000003/blk0000054f/blk0000056e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk0000056d ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig0000087e ), + .Q(\blk00000003/blk0000054f/sig000012c8 ), + .Q15(\NLW_blk00000003/blk0000054f/blk0000056d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk0000056c ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig0000087c ), + .Q(\blk00000003/blk0000054f/sig000012ca ), + .Q15(\NLW_blk00000003/blk0000054f/blk0000056c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk0000056b ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig00000880 ), + .Q(\blk00000003/blk0000054f/sig000012c6 ), + .Q15(\NLW_blk00000003/blk0000054f/blk0000056b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk0000056a ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig00000881 ), + .Q(\blk00000003/blk0000054f/sig000012c5 ), + .Q15(\NLW_blk00000003/blk0000054f/blk0000056a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054f/blk00000569 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk0000054f/sig000012c4 ), + .A2(\blk00000003/blk0000054f/sig000012c4 ), + .A3(\blk00000003/blk0000054f/sig000012c4 ), + .CE(\blk00000003/blk0000054f/sig000012dd ), + .CLK(clk), + .D(\blk00000003/sig0000087f ), + .Q(\blk00000003/blk0000054f/sig000012c7 ), + .Q15(\NLW_blk00000003/blk0000054f/blk00000569_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000568 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012dc ), + .Q(\blk00000003/sig000002d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000567 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012db ), + .Q(\blk00000003/sig000002d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000566 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012da ), + .Q(\blk00000003/sig000002d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000565 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012d9 ), + .Q(\blk00000003/sig000002d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000564 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012d8 ), + .Q(\blk00000003/sig000002d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000563 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012d7 ), + .Q(\blk00000003/sig000002d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000562 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012d6 ), + .Q(\blk00000003/sig000002d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000561 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012d5 ), + .Q(\blk00000003/sig000002d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000560 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012d4 ), + .Q(\blk00000003/sig000002d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk0000055f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012d3 ), + .Q(\blk00000003/sig000002da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk0000055e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012d2 ), + .Q(\blk00000003/sig000002db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk0000055d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012d1 ), + .Q(\blk00000003/sig000002dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk0000055c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012d0 ), + .Q(\blk00000003/sig000002dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk0000055b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012cf ), + .Q(\blk00000003/sig000002de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk0000055a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012ce ), + .Q(\blk00000003/sig000002df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000559 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012cd ), + .Q(\blk00000003/sig000002e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000558 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012cc ), + .Q(\blk00000003/sig000002e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000557 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012cb ), + .Q(\blk00000003/sig000002e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000556 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012ca ), + .Q(\blk00000003/sig000002e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000555 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012c9 ), + .Q(\blk00000003/sig000002e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000554 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012c8 ), + .Q(\blk00000003/sig000002e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000553 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012c7 ), + .Q(\blk00000003/sig000002e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000552 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012c6 ), + .Q(\blk00000003/sig000002e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f/blk00000551 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000054f/sig000012c5 ), + .Q(\blk00000003/sig000002e8 ) + ); + GND \blk00000003/blk0000054f/blk00000550 ( + .G(\blk00000003/blk0000054f/sig000012c4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000582/blk000005b4 ( + .I0(ce), + .I1(\blk00000003/sig00000761 ), + .O(\blk00000003/blk00000582/sig0000132b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005b3 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000883 ), + .Q(\blk00000003/blk00000582/sig00001329 ), + .Q15(\NLW_blk00000003/blk00000582/blk000005b3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005b2 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000884 ), + .Q(\blk00000003/blk00000582/sig00001328 ), + .Q15(\NLW_blk00000003/blk00000582/blk000005b2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005b1 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000882 ), + .Q(\blk00000003/blk00000582/sig0000132a ), + .Q15(\NLW_blk00000003/blk00000582/blk000005b1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005b0 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000886 ), + .Q(\blk00000003/blk00000582/sig00001326 ), + .Q15(\NLW_blk00000003/blk00000582/blk000005b0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005af ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000887 ), + .Q(\blk00000003/blk00000582/sig00001325 ), + .Q15(\NLW_blk00000003/blk00000582/blk000005af_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005ae ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000885 ), + .Q(\blk00000003/blk00000582/sig00001327 ), + .Q15(\NLW_blk00000003/blk00000582/blk000005ae_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005ad ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000889 ), + .Q(\blk00000003/blk00000582/sig00001323 ), + .Q15(\NLW_blk00000003/blk00000582/blk000005ad_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005ac ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000088a ), + .Q(\blk00000003/blk00000582/sig00001322 ), + .Q15(\NLW_blk00000003/blk00000582/blk000005ac_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005ab ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000888 ), + .Q(\blk00000003/blk00000582/sig00001324 ), + .Q15(\NLW_blk00000003/blk00000582/blk000005ab_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005aa ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000088c ), + .Q(\blk00000003/blk00000582/sig00001320 ), + .Q15(\NLW_blk00000003/blk00000582/blk000005aa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005a9 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000088d ), + .Q(\blk00000003/blk00000582/sig0000131f ), + .Q15(\NLW_blk00000003/blk00000582/blk000005a9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005a8 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000088b ), + .Q(\blk00000003/blk00000582/sig00001321 ), + .Q15(\NLW_blk00000003/blk00000582/blk000005a8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005a7 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000088f ), + .Q(\blk00000003/blk00000582/sig0000131d ), + .Q15(\NLW_blk00000003/blk00000582/blk000005a7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005a6 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000890 ), + .Q(\blk00000003/blk00000582/sig0000131c ), + .Q15(\NLW_blk00000003/blk00000582/blk000005a6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005a5 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000088e ), + .Q(\blk00000003/blk00000582/sig0000131e ), + .Q15(\NLW_blk00000003/blk00000582/blk000005a5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005a4 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000892 ), + .Q(\blk00000003/blk00000582/sig0000131a ), + .Q15(\NLW_blk00000003/blk00000582/blk000005a4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005a3 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000893 ), + .Q(\blk00000003/blk00000582/sig00001319 ), + .Q15(\NLW_blk00000003/blk00000582/blk000005a3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005a2 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000891 ), + .Q(\blk00000003/blk00000582/sig0000131b ), + .Q15(\NLW_blk00000003/blk00000582/blk000005a2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005a1 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000895 ), + .Q(\blk00000003/blk00000582/sig00001317 ), + .Q15(\NLW_blk00000003/blk00000582/blk000005a1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk000005a0 ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000896 ), + .Q(\blk00000003/blk00000582/sig00001316 ), + .Q15(\NLW_blk00000003/blk00000582/blk000005a0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk0000059f ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000894 ), + .Q(\blk00000003/blk00000582/sig00001318 ), + .Q15(\NLW_blk00000003/blk00000582/blk0000059f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk0000059e ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000898 ), + .Q(\blk00000003/blk00000582/sig00001314 ), + .Q15(\NLW_blk00000003/blk00000582/blk0000059e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk0000059d ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000899 ), + .Q(\blk00000003/blk00000582/sig00001313 ), + .Q15(\NLW_blk00000003/blk00000582/blk0000059d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582/blk0000059c ( + .A0(\blk00000003/sig0000029a ), + .A1(\blk00000003/blk00000582/sig00001312 ), + .A2(\blk00000003/blk00000582/sig00001312 ), + .A3(\blk00000003/blk00000582/sig00001312 ), + .CE(\blk00000003/blk00000582/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000897 ), + .Q(\blk00000003/blk00000582/sig00001315 ), + .Q15(\NLW_blk00000003/blk00000582/blk0000059c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk0000059b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig0000132a ), + .Q(\blk00000003/sig00000319 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk0000059a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001329 ), + .Q(\blk00000003/sig0000031a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000599 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001328 ), + .Q(\blk00000003/sig0000031b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000598 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001327 ), + .Q(\blk00000003/sig0000031c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000597 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001326 ), + .Q(\blk00000003/sig0000031d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000596 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001325 ), + .Q(\blk00000003/sig0000031e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000595 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001324 ), + .Q(\blk00000003/sig0000031f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000594 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001323 ), + .Q(\blk00000003/sig00000320 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000593 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001322 ), + .Q(\blk00000003/sig00000321 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000592 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001321 ), + .Q(\blk00000003/sig00000322 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000591 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001320 ), + .Q(\blk00000003/sig00000323 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000590 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig0000131f ), + .Q(\blk00000003/sig00000324 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk0000058f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig0000131e ), + .Q(\blk00000003/sig00000325 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk0000058e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig0000131d ), + .Q(\blk00000003/sig00000326 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk0000058d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig0000131c ), + .Q(\blk00000003/sig00000327 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk0000058c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig0000131b ), + .Q(\blk00000003/sig00000328 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk0000058b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig0000131a ), + .Q(\blk00000003/sig00000329 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk0000058a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001319 ), + .Q(\blk00000003/sig0000032a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000589 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001318 ), + .Q(\blk00000003/sig0000032b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000588 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001317 ), + .Q(\blk00000003/sig0000032c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000587 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001316 ), + .Q(\blk00000003/sig0000032d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000586 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001315 ), + .Q(\blk00000003/sig0000032e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000585 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001314 ), + .Q(\blk00000003/sig0000032f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000582/blk00000584 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000582/sig00001313 ), + .Q(\blk00000003/sig00000330 ) + ); + GND \blk00000003/blk00000582/blk00000583 ( + .G(\blk00000003/blk00000582/sig00001312 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005b5/blk000005e7 ( + .I0(ce), + .I1(\blk00000003/sig00000760 ), + .O(\blk00000003/blk000005b5/sig00001379 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005e6 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig0000089b ), + .Q(\blk00000003/blk000005b5/sig00001377 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005e6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005e5 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig0000089c ), + .Q(\blk00000003/blk000005b5/sig00001376 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005e5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005e4 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig0000089a ), + .Q(\blk00000003/blk000005b5/sig00001378 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005e4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005e3 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig0000089e ), + .Q(\blk00000003/blk000005b5/sig00001374 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005e3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005e2 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig0000089f ), + .Q(\blk00000003/blk000005b5/sig00001373 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005e2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005e1 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig0000089d ), + .Q(\blk00000003/blk000005b5/sig00001375 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005e1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005e0 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008a1 ), + .Q(\blk00000003/blk000005b5/sig00001371 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005e0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005df ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008a2 ), + .Q(\blk00000003/blk000005b5/sig00001370 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005df_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005de ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008a0 ), + .Q(\blk00000003/blk000005b5/sig00001372 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005de_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005dd ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008a4 ), + .Q(\blk00000003/blk000005b5/sig0000136e ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005dd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005dc ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008a5 ), + .Q(\blk00000003/blk000005b5/sig0000136d ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005dc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005db ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008a3 ), + .Q(\blk00000003/blk000005b5/sig0000136f ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005db_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005da ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008a7 ), + .Q(\blk00000003/blk000005b5/sig0000136b ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005da_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005d9 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008a8 ), + .Q(\blk00000003/blk000005b5/sig0000136a ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005d9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005d8 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008a6 ), + .Q(\blk00000003/blk000005b5/sig0000136c ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005d8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005d7 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008aa ), + .Q(\blk00000003/blk000005b5/sig00001368 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005d7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005d6 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008ab ), + .Q(\blk00000003/blk000005b5/sig00001367 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005d6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005d5 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008a9 ), + .Q(\blk00000003/blk000005b5/sig00001369 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005d5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005d4 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008ad ), + .Q(\blk00000003/blk000005b5/sig00001365 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005d4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005d3 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008ae ), + .Q(\blk00000003/blk000005b5/sig00001364 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005d3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005d2 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008ac ), + .Q(\blk00000003/blk000005b5/sig00001366 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005d2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005d1 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008b0 ), + .Q(\blk00000003/blk000005b5/sig00001362 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005d1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005d0 ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008b1 ), + .Q(\blk00000003/blk000005b5/sig00001361 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005d0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b5/blk000005cf ( + .A0(\blk00000003/sig00000295 ), + .A1(\blk00000003/blk000005b5/sig00001360 ), + .A2(\blk00000003/blk000005b5/sig00001360 ), + .A3(\blk00000003/blk000005b5/sig00001360 ), + .CE(\blk00000003/blk000005b5/sig00001379 ), + .CLK(clk), + .D(\blk00000003/sig000008af ), + .Q(\blk00000003/blk000005b5/sig00001363 ), + .Q15(\NLW_blk00000003/blk000005b5/blk000005cf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001378 ), + .Q(\blk00000003/sig00000331 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001377 ), + .Q(\blk00000003/sig00000332 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001376 ), + .Q(\blk00000003/sig00000333 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001375 ), + .Q(\blk00000003/sig00000334 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001374 ), + .Q(\blk00000003/sig00000335 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001373 ), + .Q(\blk00000003/sig00000336 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001372 ), + .Q(\blk00000003/sig00000337 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001371 ), + .Q(\blk00000003/sig00000338 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001370 ), + .Q(\blk00000003/sig00000339 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig0000136f ), + .Q(\blk00000003/sig0000033a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig0000136e ), + .Q(\blk00000003/sig0000033b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig0000136d ), + .Q(\blk00000003/sig0000033c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig0000136c ), + .Q(\blk00000003/sig0000033d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig0000136b ), + .Q(\blk00000003/sig0000033e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig0000136a ), + .Q(\blk00000003/sig0000033f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001369 ), + .Q(\blk00000003/sig00000340 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001368 ), + .Q(\blk00000003/sig00000341 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001367 ), + .Q(\blk00000003/sig00000342 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001366 ), + .Q(\blk00000003/sig00000343 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001365 ), + .Q(\blk00000003/sig00000344 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001364 ), + .Q(\blk00000003/sig00000345 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001363 ), + .Q(\blk00000003/sig00000346 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001362 ), + .Q(\blk00000003/sig00000347 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5/blk000005b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005b5/sig00001361 ), + .Q(\blk00000003/sig00000348 ) + ); + GND \blk00000003/blk000005b5/blk000005b6 ( + .G(\blk00000003/blk000005b5/sig00001360 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005e8/blk00000620 ( + .I0(ce), + .I1(\blk00000003/sig00000237 ), + .O(\blk00000003/blk000005e8/sig000013dc ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000005e8/blk0000061f ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008b2 ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013c9 ), + .DPO(\blk00000003/blk000005e8/sig000013db ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000005e8/blk0000061e ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008b3 ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013c8 ), + .DPO(\blk00000003/blk000005e8/sig000013da ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000005e8/blk0000061d ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008b4 ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013c7 ), + .DPO(\blk00000003/blk000005e8/sig000013d9 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000005e8/blk0000061c ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008b5 ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013c6 ), + .DPO(\blk00000003/blk000005e8/sig000013d8 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000005e8/blk0000061b ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008b6 ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013c5 ), + .DPO(\blk00000003/blk000005e8/sig000013d7 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000005e8/blk0000061a ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008b7 ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013c4 ), + .DPO(\blk00000003/blk000005e8/sig000013d6 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000005e8/blk00000619 ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008b9 ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013c2 ), + .DPO(\blk00000003/blk000005e8/sig000013d4 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000005e8/blk00000618 ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008ba ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013c1 ), + .DPO(\blk00000003/blk000005e8/sig000013d3 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000005e8/blk00000617 ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008b8 ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013c3 ), + .DPO(\blk00000003/blk000005e8/sig000013d5 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000005e8/blk00000616 ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008bb ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013c0 ), + .DPO(\blk00000003/blk000005e8/sig000013d2 ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk000005e8/blk00000615 ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008bc ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013bf ), + .DPO(\blk00000003/blk000005e8/sig000013d1 ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk000005e8/blk00000614 ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008bd ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013be ), + .DPO(\blk00000003/blk000005e8/sig000013d0 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk000005e8/blk00000613 ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008be ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013bd ), + .DPO(\blk00000003/blk000005e8/sig000013cf ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk000005e8/blk00000612 ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008bf ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013bc ), + .DPO(\blk00000003/blk000005e8/sig000013ce ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk000005e8/blk00000611 ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008c0 ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013bb ), + .DPO(\blk00000003/blk000005e8/sig000013cd ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk000005e8/blk00000610 ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008c2 ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013b9 ), + .DPO(\blk00000003/blk000005e8/sig000013cb ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk000005e8/blk0000060f ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008c3 ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013b8 ), + .DPO(\blk00000003/blk000005e8/sig000013ca ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk000005e8/blk0000060e ( + .A0(\blk00000003/sig0000025f ), + .A1(\blk00000003/sig00000262 ), + .A2(\blk00000003/blk000005e8/sig000013b7 ), + .A3(\blk00000003/blk000005e8/sig000013b7 ), + .A4(\blk00000003/blk000005e8/sig000013b7 ), + .D(\blk00000003/sig000008c1 ), + .DPRA0(\blk00000003/sig000002a0 ), + .DPRA1(\blk00000003/sig000002a6 ), + .DPRA2(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA3(\blk00000003/blk000005e8/sig000013b7 ), + .DPRA4(\blk00000003/blk000005e8/sig000013b7 ), + .WCLK(clk), + .WE(\blk00000003/blk000005e8/sig000013dc ), + .SPO(\blk00000003/blk000005e8/sig000013ba ), + .DPO(\blk00000003/blk000005e8/sig000013cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk0000060d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013db ), + .Q(\blk00000003/sig000002a7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk0000060c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013da ), + .Q(\blk00000003/sig000002a8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk0000060b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013d9 ), + .Q(\blk00000003/sig000002a9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk0000060a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013d8 ), + .Q(\blk00000003/sig000002aa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk00000609 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013d7 ), + .Q(\blk00000003/sig000002ab ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk00000608 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013d6 ), + .Q(\blk00000003/sig000002ac ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk00000607 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013d5 ), + .Q(\blk00000003/sig000002ad ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk00000606 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013d4 ), + .Q(\blk00000003/sig000002ae ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk00000605 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013d3 ), + .Q(\blk00000003/sig000002af ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk00000604 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013d2 ), + .Q(\blk00000003/sig000002b0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk00000603 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013d1 ), + .Q(\blk00000003/sig000002b1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk00000602 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013d0 ), + .Q(\blk00000003/sig000002b2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk00000601 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013cf ), + .Q(\blk00000003/sig000002b3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk00000600 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013ce ), + .Q(\blk00000003/sig000002b4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013cd ), + .Q(\blk00000003/sig000002b5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013cc ), + .Q(\blk00000003/sig000002b6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013cb ), + .Q(\blk00000003/sig000002b7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013ca ), + .Q(\blk00000003/sig000002b8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013c9 ), + .Q(\blk00000003/sig000008c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013c8 ), + .Q(\blk00000003/sig000008c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013c7 ), + .Q(\blk00000003/sig000008c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013c6 ), + .Q(\blk00000003/sig000008c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013c5 ), + .Q(\blk00000003/sig000008c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013c4 ), + .Q(\blk00000003/sig000008c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013c3 ), + .Q(\blk00000003/sig000008ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013c2 ), + .Q(\blk00000003/sig000008cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013c1 ), + .Q(\blk00000003/sig000008cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013c0 ), + .Q(\blk00000003/sig000008cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013bf ), + .Q(\blk00000003/sig000008ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013be ), + .Q(\blk00000003/sig000008cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013bd ), + .Q(\blk00000003/sig000008d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013bc ), + .Q(\blk00000003/sig000008d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013bb ), + .Q(\blk00000003/sig000008d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013ba ), + .Q(\blk00000003/sig000008d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013b9 ), + .Q(\blk00000003/sig000008d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8/blk000005ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005e8/sig000013b8 ), + .Q(\blk00000003/sig000008d5 ) + ); + GND \blk00000003/blk000005e8/blk000005e9 ( + .G(\blk00000003/blk000005e8/sig000013b7 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000621/blk00000659 ( + .I0(ce), + .I1(\blk00000003/sig0000073d ), + .O(\blk00000003/blk00000621/sig0000143f ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000621/blk00000658 ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008c4 ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig0000142c ), + .DPO(\blk00000003/blk00000621/sig0000143e ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000621/blk00000657 ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008c5 ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig0000142b ), + .DPO(\blk00000003/blk00000621/sig0000143d ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000621/blk00000656 ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008c6 ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig0000142a ), + .DPO(\blk00000003/blk00000621/sig0000143c ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000621/blk00000655 ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008c7 ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig00001429 ), + .DPO(\blk00000003/blk00000621/sig0000143b ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000621/blk00000654 ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008c8 ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig00001428 ), + .DPO(\blk00000003/blk00000621/sig0000143a ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000621/blk00000653 ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008c9 ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig00001427 ), + .DPO(\blk00000003/blk00000621/sig00001439 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000621/blk00000652 ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008cb ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig00001425 ), + .DPO(\blk00000003/blk00000621/sig00001437 ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk00000621/blk00000651 ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008cc ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig00001424 ), + .DPO(\blk00000003/blk00000621/sig00001436 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000621/blk00000650 ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008ca ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig00001426 ), + .DPO(\blk00000003/blk00000621/sig00001438 ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk00000621/blk0000064f ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008cd ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig00001423 ), + .DPO(\blk00000003/blk00000621/sig00001435 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk00000621/blk0000064e ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008ce ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig00001422 ), + .DPO(\blk00000003/blk00000621/sig00001434 ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk00000621/blk0000064d ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008cf ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig00001421 ), + .DPO(\blk00000003/blk00000621/sig00001433 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk00000621/blk0000064c ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008d0 ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig00001420 ), + .DPO(\blk00000003/blk00000621/sig00001432 ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk00000621/blk0000064b ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008d1 ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig0000141f ), + .DPO(\blk00000003/blk00000621/sig00001431 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000621/blk0000064a ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008d2 ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig0000141e ), + .DPO(\blk00000003/blk00000621/sig00001430 ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk00000621/blk00000649 ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008d4 ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig0000141c ), + .DPO(\blk00000003/blk00000621/sig0000142e ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk00000621/blk00000648 ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008d5 ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig0000141b ), + .DPO(\blk00000003/blk00000621/sig0000142d ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk00000621/blk00000647 ( + .A0(\blk00000003/sig00000733 ), + .A1(\blk00000003/sig00000734 ), + .A2(\blk00000003/blk00000621/sig0000141a ), + .A3(\blk00000003/blk00000621/sig0000141a ), + .A4(\blk00000003/blk00000621/sig0000141a ), + .D(\blk00000003/sig000008d3 ), + .DPRA0(\blk00000003/sig0000075c ), + .DPRA1(\blk00000003/sig0000075b ), + .DPRA2(\blk00000003/blk00000621/sig0000141a ), + .DPRA3(\blk00000003/blk00000621/sig0000141a ), + .DPRA4(\blk00000003/blk00000621/sig0000141a ), + .WCLK(clk), + .WE(\blk00000003/blk00000621/sig0000143f ), + .SPO(\blk00000003/blk00000621/sig0000141d ), + .DPO(\blk00000003/blk00000621/sig0000142f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000646 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000143e ), + .Q(\blk00000003/sig0000044b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000645 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000143d ), + .Q(\blk00000003/sig0000044c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000644 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000143c ), + .Q(\blk00000003/sig0000044d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000643 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000143b ), + .Q(\blk00000003/sig0000044e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000642 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000143a ), + .Q(\blk00000003/sig0000044f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000641 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001439 ), + .Q(\blk00000003/sig00000450 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000640 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001438 ), + .Q(\blk00000003/sig00000451 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk0000063f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001437 ), + .Q(\blk00000003/sig00000452 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk0000063e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001436 ), + .Q(\blk00000003/sig00000453 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk0000063d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001435 ), + .Q(\blk00000003/sig00000454 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk0000063c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001434 ), + .Q(\blk00000003/sig00000455 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk0000063b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001433 ), + .Q(\blk00000003/sig00000456 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk0000063a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001432 ), + .Q(\blk00000003/sig00000457 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000639 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001431 ), + .Q(\blk00000003/sig00000458 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000638 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001430 ), + .Q(\blk00000003/sig00000459 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000637 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000142f ), + .Q(\blk00000003/sig0000045a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000636 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000142e ), + .Q(\blk00000003/sig0000045b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000635 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000142d ), + .Q(\blk00000003/sig0000045c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000634 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000142c ), + .Q(\blk00000003/sig000008d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000633 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000142b ), + .Q(\blk00000003/sig000008d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000632 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000142a ), + .Q(\blk00000003/sig000008d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000631 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001429 ), + .Q(\blk00000003/sig000008d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000630 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001428 ), + .Q(\blk00000003/sig000008da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk0000062f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001427 ), + .Q(\blk00000003/sig000008db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk0000062e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001426 ), + .Q(\blk00000003/sig000008dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk0000062d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001425 ), + .Q(\blk00000003/sig000008dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk0000062c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001424 ), + .Q(\blk00000003/sig000008de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk0000062b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001423 ), + .Q(\blk00000003/sig000008df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk0000062a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001422 ), + .Q(\blk00000003/sig000008e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000629 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001421 ), + .Q(\blk00000003/sig000008e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000628 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig00001420 ), + .Q(\blk00000003/sig000008e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000627 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000141f ), + .Q(\blk00000003/sig000008e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000626 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000141e ), + .Q(\blk00000003/sig000008e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000625 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000141d ), + .Q(\blk00000003/sig000008e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000624 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000141c ), + .Q(\blk00000003/sig000008e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621/blk00000623 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000621/sig0000141b ), + .Q(\blk00000003/sig000008e7 ) + ); + GND \blk00000003/blk00000621/blk00000622 ( + .G(\blk00000003/blk00000621/sig0000141a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000065a/blk00000692 ( + .I0(ce), + .I1(\blk00000003/sig0000073e ), + .O(\blk00000003/blk0000065a/sig000014a2 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000065a/blk00000691 ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008d6 ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig0000148f ), + .DPO(\blk00000003/blk0000065a/sig000014a1 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000065a/blk00000690 ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008d7 ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig0000148e ), + .DPO(\blk00000003/blk0000065a/sig000014a0 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000065a/blk0000068f ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008d8 ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig0000148d ), + .DPO(\blk00000003/blk0000065a/sig0000149f ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000065a/blk0000068e ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008d9 ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig0000148c ), + .DPO(\blk00000003/blk0000065a/sig0000149e ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000065a/blk0000068d ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008da ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig0000148b ), + .DPO(\blk00000003/blk0000065a/sig0000149d ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000065a/blk0000068c ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008db ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig0000148a ), + .DPO(\blk00000003/blk0000065a/sig0000149c ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000065a/blk0000068b ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008dd ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig00001488 ), + .DPO(\blk00000003/blk0000065a/sig0000149a ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000065a/blk0000068a ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008de ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig00001487 ), + .DPO(\blk00000003/blk0000065a/sig00001499 ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk0000065a/blk00000689 ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008dc ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig00001489 ), + .DPO(\blk00000003/blk0000065a/sig0000149b ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk0000065a/blk00000688 ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008df ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig00001486 ), + .DPO(\blk00000003/blk0000065a/sig00001498 ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk0000065a/blk00000687 ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008e0 ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig00001485 ), + .DPO(\blk00000003/blk0000065a/sig00001497 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000065a/blk00000686 ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008e1 ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig00001484 ), + .DPO(\blk00000003/blk0000065a/sig00001496 ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk0000065a/blk00000685 ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008e2 ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig00001483 ), + .DPO(\blk00000003/blk0000065a/sig00001495 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000065a/blk00000684 ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008e3 ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig00001482 ), + .DPO(\blk00000003/blk0000065a/sig00001494 ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk0000065a/blk00000683 ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008e4 ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig00001481 ), + .DPO(\blk00000003/blk0000065a/sig00001493 ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk0000065a/blk00000682 ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008e6 ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig0000147f ), + .DPO(\blk00000003/blk0000065a/sig00001491 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000065a/blk00000681 ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008e7 ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig0000147e ), + .DPO(\blk00000003/blk0000065a/sig00001490 ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk0000065a/blk00000680 ( + .A0(\blk00000003/sig00000735 ), + .A1(\blk00000003/sig00000736 ), + .A2(\blk00000003/blk0000065a/sig0000147d ), + .A3(\blk00000003/blk0000065a/sig0000147d ), + .A4(\blk00000003/blk0000065a/sig0000147d ), + .D(\blk00000003/sig000008e5 ), + .DPRA0(\blk00000003/sig00000757 ), + .DPRA1(\blk00000003/sig00000756 ), + .DPRA2(\blk00000003/blk0000065a/sig0000147d ), + .DPRA3(\blk00000003/blk0000065a/sig0000147d ), + .DPRA4(\blk00000003/blk0000065a/sig0000147d ), + .WCLK(clk), + .WE(\blk00000003/blk0000065a/sig000014a2 ), + .SPO(\blk00000003/blk0000065a/sig00001480 ), + .DPO(\blk00000003/blk0000065a/sig00001492 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000067f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig000014a1 ), + .Q(\blk00000003/sig000004bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000067e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig000014a0 ), + .Q(\blk00000003/sig000004be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000067d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig0000149f ), + .Q(\blk00000003/sig000004bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000067c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig0000149e ), + .Q(\blk00000003/sig000004c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000067b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig0000149d ), + .Q(\blk00000003/sig000004c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000067a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig0000149c ), + .Q(\blk00000003/sig000004c2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000679 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig0000149b ), + .Q(\blk00000003/sig000004c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000678 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig0000149a ), + .Q(\blk00000003/sig000004c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000677 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001499 ), + .Q(\blk00000003/sig000004c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000676 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001498 ), + .Q(\blk00000003/sig000004c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000675 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001497 ), + .Q(\blk00000003/sig000004c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000674 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001496 ), + .Q(\blk00000003/sig000004c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000673 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001495 ), + .Q(\blk00000003/sig000004c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000672 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001494 ), + .Q(\blk00000003/sig000004ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000671 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001493 ), + .Q(\blk00000003/sig000004cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000670 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001492 ), + .Q(\blk00000003/sig000004cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000066f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001491 ), + .Q(\blk00000003/sig000004cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000066e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001490 ), + .Q(\blk00000003/sig000004ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000066d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig0000148f ), + .Q(\blk00000003/sig000008e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000066c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig0000148e ), + .Q(\blk00000003/sig000008e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000066b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig0000148d ), + .Q(\blk00000003/sig000008ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000066a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig0000148c ), + .Q(\blk00000003/sig000008eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000669 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig0000148b ), + .Q(\blk00000003/sig000008ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000668 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig0000148a ), + .Q(\blk00000003/sig000008ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000667 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001489 ), + .Q(\blk00000003/sig000008ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000666 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001488 ), + .Q(\blk00000003/sig000008ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000665 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001487 ), + .Q(\blk00000003/sig000008f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000664 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001486 ), + .Q(\blk00000003/sig000008f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000663 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001485 ), + .Q(\blk00000003/sig000008f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000662 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001484 ), + .Q(\blk00000003/sig000008f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000661 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001483 ), + .Q(\blk00000003/sig000008f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk00000660 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001482 ), + .Q(\blk00000003/sig000008f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000065f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001481 ), + .Q(\blk00000003/sig000008f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000065e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig00001480 ), + .Q(\blk00000003/sig000008f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000065d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig0000147f ), + .Q(\blk00000003/sig000008f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065a/blk0000065c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000065a/sig0000147e ), + .Q(\blk00000003/sig000008f9 ) + ); + GND \blk00000003/blk0000065a/blk0000065b ( + .G(\blk00000003/blk0000065a/sig0000147d ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000693/blk000006cb ( + .I0(ce), + .I1(\blk00000003/sig0000073f ), + .O(\blk00000003/blk00000693/sig00001505 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000693/blk000006ca ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008e8 ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014f2 ), + .DPO(\blk00000003/blk00000693/sig00001504 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000693/blk000006c9 ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008e9 ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014f1 ), + .DPO(\blk00000003/blk00000693/sig00001503 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000693/blk000006c8 ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008ea ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014f0 ), + .DPO(\blk00000003/blk00000693/sig00001502 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000693/blk000006c7 ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008eb ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014ef ), + .DPO(\blk00000003/blk00000693/sig00001501 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000693/blk000006c6 ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008ec ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014ee ), + .DPO(\blk00000003/blk00000693/sig00001500 ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk00000693/blk000006c5 ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008ed ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014ed ), + .DPO(\blk00000003/blk00000693/sig000014ff ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk00000693/blk000006c4 ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008ef ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014eb ), + .DPO(\blk00000003/blk00000693/sig000014fd ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk00000693/blk000006c3 ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008f0 ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014ea ), + .DPO(\blk00000003/blk00000693/sig000014fc ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk00000693/blk000006c2 ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008ee ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014ec ), + .DPO(\blk00000003/blk00000693/sig000014fe ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk00000693/blk000006c1 ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008f1 ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014e9 ), + .DPO(\blk00000003/blk00000693/sig000014fb ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000693/blk000006c0 ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008f2 ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014e8 ), + .DPO(\blk00000003/blk00000693/sig000014fa ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk00000693/blk000006bf ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008f3 ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014e7 ), + .DPO(\blk00000003/blk00000693/sig000014f9 ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk00000693/blk000006be ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008f4 ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014e6 ), + .DPO(\blk00000003/blk00000693/sig000014f8 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk00000693/blk000006bd ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008f5 ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014e5 ), + .DPO(\blk00000003/blk00000693/sig000014f7 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk00000693/blk000006bc ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008f6 ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014e4 ), + .DPO(\blk00000003/blk00000693/sig000014f6 ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk00000693/blk000006bb ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008f8 ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014e2 ), + .DPO(\blk00000003/blk00000693/sig000014f4 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk00000693/blk000006ba ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008f9 ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014e1 ), + .DPO(\blk00000003/blk00000693/sig000014f3 ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk00000693/blk000006b9 ( + .A0(\blk00000003/sig00000737 ), + .A1(\blk00000003/sig00000738 ), + .A2(\blk00000003/blk00000693/sig000014e0 ), + .A3(\blk00000003/blk00000693/sig000014e0 ), + .A4(\blk00000003/blk00000693/sig000014e0 ), + .D(\blk00000003/sig000008f7 ), + .DPRA0(\blk00000003/sig00000753 ), + .DPRA1(\blk00000003/sig00000752 ), + .DPRA2(\blk00000003/blk00000693/sig000014e0 ), + .DPRA3(\blk00000003/blk00000693/sig000014e0 ), + .DPRA4(\blk00000003/blk00000693/sig000014e0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000693/sig00001505 ), + .SPO(\blk00000003/blk00000693/sig000014e3 ), + .DPO(\blk00000003/blk00000693/sig000014f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig00001504 ), + .Q(\blk00000003/sig0000052f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig00001503 ), + .Q(\blk00000003/sig00000530 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig00001502 ), + .Q(\blk00000003/sig00000531 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig00001501 ), + .Q(\blk00000003/sig00000532 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig00001500 ), + .Q(\blk00000003/sig00000533 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014ff ), + .Q(\blk00000003/sig00000534 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014fe ), + .Q(\blk00000003/sig00000535 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014fd ), + .Q(\blk00000003/sig00000536 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014fc ), + .Q(\blk00000003/sig00000537 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006af ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014fb ), + .Q(\blk00000003/sig00000538 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014fa ), + .Q(\blk00000003/sig00000539 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014f9 ), + .Q(\blk00000003/sig0000053a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014f8 ), + .Q(\blk00000003/sig0000053b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014f7 ), + .Q(\blk00000003/sig0000053c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014f6 ), + .Q(\blk00000003/sig0000053d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014f5 ), + .Q(\blk00000003/sig0000053e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014f4 ), + .Q(\blk00000003/sig0000053f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014f3 ), + .Q(\blk00000003/sig00000540 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014f2 ), + .Q(\blk00000003/sig000008fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006a5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014f1 ), + .Q(\blk00000003/sig000008fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014f0 ), + .Q(\blk00000003/sig000008fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006a3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014ef ), + .Q(\blk00000003/sig000008fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014ee ), + .Q(\blk00000003/sig000008fe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006a1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014ed ), + .Q(\blk00000003/sig000008ff ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk000006a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014ec ), + .Q(\blk00000003/sig00000900 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk0000069f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014eb ), + .Q(\blk00000003/sig00000901 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk0000069e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014ea ), + .Q(\blk00000003/sig00000902 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk0000069d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014e9 ), + .Q(\blk00000003/sig00000903 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk0000069c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014e8 ), + .Q(\blk00000003/sig00000904 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk0000069b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014e7 ), + .Q(\blk00000003/sig00000905 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk0000069a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014e6 ), + .Q(\blk00000003/sig00000906 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk00000699 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014e5 ), + .Q(\blk00000003/sig00000907 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk00000698 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014e4 ), + .Q(\blk00000003/sig00000908 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk00000697 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014e3 ), + .Q(\blk00000003/sig00000909 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk00000696 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014e2 ), + .Q(\blk00000003/sig0000090a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693/blk00000695 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000693/sig000014e1 ), + .Q(\blk00000003/sig0000090b ) + ); + GND \blk00000003/blk00000693/blk00000694 ( + .G(\blk00000003/blk00000693/sig000014e0 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000006cc/blk00000704 ( + .I0(ce), + .I1(\blk00000003/sig00000740 ), + .O(\blk00000003/blk000006cc/sig00001568 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000006cc/blk00000703 ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig000008fa ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig00001555 ), + .DPO(\blk00000003/blk000006cc/sig00001567 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000006cc/blk00000702 ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig000008fb ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig00001554 ), + .DPO(\blk00000003/blk000006cc/sig00001566 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000006cc/blk00000701 ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig000008fc ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig00001553 ), + .DPO(\blk00000003/blk000006cc/sig00001565 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000006cc/blk00000700 ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig000008fd ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig00001552 ), + .DPO(\blk00000003/blk000006cc/sig00001564 ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk000006cc/blk000006ff ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig000008fe ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig00001551 ), + .DPO(\blk00000003/blk000006cc/sig00001563 ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk000006cc/blk000006fe ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig000008ff ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig00001550 ), + .DPO(\blk00000003/blk000006cc/sig00001562 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000006cc/blk000006fd ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig00000901 ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig0000154e ), + .DPO(\blk00000003/blk000006cc/sig00001560 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk000006cc/blk000006fc ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig00000902 ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig0000154d ), + .DPO(\blk00000003/blk000006cc/sig0000155f ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk000006cc/blk000006fb ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig00000900 ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig0000154f ), + .DPO(\blk00000003/blk000006cc/sig00001561 ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk000006cc/blk000006fa ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig00000903 ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig0000154c ), + .DPO(\blk00000003/blk000006cc/sig0000155e ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk000006cc/blk000006f9 ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig00000904 ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig0000154b ), + .DPO(\blk00000003/blk000006cc/sig0000155d ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk000006cc/blk000006f8 ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig00000905 ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig0000154a ), + .DPO(\blk00000003/blk000006cc/sig0000155c ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk000006cc/blk000006f7 ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig00000906 ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig00001549 ), + .DPO(\blk00000003/blk000006cc/sig0000155b ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000006cc/blk000006f6 ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig00000907 ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig00001548 ), + .DPO(\blk00000003/blk000006cc/sig0000155a ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk000006cc/blk000006f5 ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig00000908 ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig00001547 ), + .DPO(\blk00000003/blk000006cc/sig00001559 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk000006cc/blk000006f4 ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig0000090a ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig00001545 ), + .DPO(\blk00000003/blk000006cc/sig00001557 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000006cc/blk000006f3 ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig0000090b ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig00001544 ), + .DPO(\blk00000003/blk000006cc/sig00001556 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000006cc/blk000006f2 ( + .A0(\blk00000003/sig00000739 ), + .A1(\blk00000003/sig0000073a ), + .A2(\blk00000003/blk000006cc/sig00001543 ), + .A3(\blk00000003/blk000006cc/sig00001543 ), + .A4(\blk00000003/blk000006cc/sig00001543 ), + .D(\blk00000003/sig00000909 ), + .DPRA0(\blk00000003/sig0000074c ), + .DPRA1(\blk00000003/sig0000074a ), + .DPRA2(\blk00000003/blk000006cc/sig00001543 ), + .DPRA3(\blk00000003/blk000006cc/sig00001543 ), + .DPRA4(\blk00000003/blk000006cc/sig00001543 ), + .WCLK(clk), + .WE(\blk00000003/blk000006cc/sig00001568 ), + .SPO(\blk00000003/blk000006cc/sig00001546 ), + .DPO(\blk00000003/blk000006cc/sig00001558 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001567 ), + .Q(\blk00000003/sig000005a1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001566 ), + .Q(\blk00000003/sig000005a2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001565 ), + .Q(\blk00000003/sig000005a3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001564 ), + .Q(\blk00000003/sig000005a4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001563 ), + .Q(\blk00000003/sig000005a5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001562 ), + .Q(\blk00000003/sig000005a6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001561 ), + .Q(\blk00000003/sig000005a7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001560 ), + .Q(\blk00000003/sig000005a8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig0000155f ), + .Q(\blk00000003/sig000005a9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig0000155e ), + .Q(\blk00000003/sig000005aa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig0000155d ), + .Q(\blk00000003/sig000005ab ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig0000155c ), + .Q(\blk00000003/sig000005ac ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig0000155b ), + .Q(\blk00000003/sig000005ad ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig0000155a ), + .Q(\blk00000003/sig000005ae ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001559 ), + .Q(\blk00000003/sig000005af ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001558 ), + .Q(\blk00000003/sig000005b0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001557 ), + .Q(\blk00000003/sig000005b1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006e0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001556 ), + .Q(\blk00000003/sig000005b2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006df ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001555 ), + .Q(\blk00000003/sig0000090c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006de ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001554 ), + .Q(\blk00000003/sig0000090d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006dd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001553 ), + .Q(\blk00000003/sig0000090e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001552 ), + .Q(\blk00000003/sig0000090f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006db ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001551 ), + .Q(\blk00000003/sig00000910 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006da ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001550 ), + .Q(\blk00000003/sig00000911 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig0000154f ), + .Q(\blk00000003/sig00000912 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig0000154e ), + .Q(\blk00000003/sig00000913 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006d7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig0000154d ), + .Q(\blk00000003/sig00000914 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig0000154c ), + .Q(\blk00000003/sig00000915 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig0000154b ), + .Q(\blk00000003/sig00000916 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig0000154a ), + .Q(\blk00000003/sig00000917 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006d3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001549 ), + .Q(\blk00000003/sig00000918 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001548 ), + .Q(\blk00000003/sig00000919 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001547 ), + .Q(\blk00000003/sig0000091a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001546 ), + .Q(\blk00000003/sig0000091b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001545 ), + .Q(\blk00000003/sig0000091c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc/blk000006ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006cc/sig00001544 ), + .Q(\blk00000003/sig0000091d ) + ); + GND \blk00000003/blk000006cc/blk000006cd ( + .G(\blk00000003/blk000006cc/sig00001543 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000705/blk0000072b ( + .I0(ce), + .I1(\blk00000003/sig00000741 ), + .O(\blk00000003/blk00000705/sig000015a7 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000705/blk0000072a ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig0000090c ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk0000072a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig000015a6 ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk00000705/blk00000729 ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig0000090d ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk00000729_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig000015a5 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000705/blk00000728 ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig0000090e ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk00000728_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig000015a4 ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk00000705/blk00000727 ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig0000090f ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk00000727_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig000015a3 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk00000705/blk00000726 ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig00000910 ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk00000726_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig000015a2 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000705/blk00000725 ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig00000911 ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk00000725_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig000015a1 ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk00000705/blk00000724 ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig00000913 ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk00000724_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig0000159f ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk00000705/blk00000723 ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig00000914 ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk00000723_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig0000159e ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000705/blk00000722 ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig00000912 ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk00000722_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig000015a0 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk00000705/blk00000721 ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig00000915 ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk00000721_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig0000159d ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk00000705/blk00000720 ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig00000916 ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk00000720_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig0000159c ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk00000705/blk0000071f ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig00000917 ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk0000071f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig0000159b ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk00000705/blk0000071e ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig00000918 ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk0000071e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig0000159a ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000705/blk0000071d ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig00000919 ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk0000071d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig00001599 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000705/blk0000071c ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig0000091a ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk0000071c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig00001598 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk00000705/blk0000071b ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig0000091c ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk0000071b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig00001596 ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk00000705/blk0000071a ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig0000091d ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk0000071a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig00001595 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk00000705/blk00000719 ( + .A0(\blk00000003/sig0000073b ), + .A1(\blk00000003/sig0000073c ), + .A2(\blk00000003/blk00000705/sig00001594 ), + .A3(\blk00000003/blk00000705/sig00001594 ), + .A4(\blk00000003/blk00000705/sig00001594 ), + .D(\blk00000003/sig0000091b ), + .DPRA0(\blk00000003/sig0000074d ), + .DPRA1(\blk00000003/sig0000074b ), + .DPRA2(\blk00000003/blk00000705/sig00001594 ), + .DPRA3(\blk00000003/blk00000705/sig00001594 ), + .DPRA4(\blk00000003/blk00000705/sig00001594 ), + .WCLK(clk), + .WE(\blk00000003/blk00000705/sig000015a7 ), + .SPO(\NLW_blk00000003/blk00000705/blk00000719_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000705/sig00001597 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk00000718 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig000015a6 ), + .Q(\blk00000003/sig000003a9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk00000717 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig000015a5 ), + .Q(\blk00000003/sig000003aa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk00000716 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig000015a4 ), + .Q(\blk00000003/sig000003ab ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk00000715 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig000015a3 ), + .Q(\blk00000003/sig000003ac ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk00000714 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig000015a2 ), + .Q(\blk00000003/sig000003ad ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk00000713 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig000015a1 ), + .Q(\blk00000003/sig000003ae ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk00000712 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig000015a0 ), + .Q(\blk00000003/sig000003af ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk00000711 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig0000159f ), + .Q(\blk00000003/sig000003b0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk00000710 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig0000159e ), + .Q(\blk00000003/sig000003b1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk0000070f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig0000159d ), + .Q(\blk00000003/sig000003b2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk0000070e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig0000159c ), + .Q(\blk00000003/sig000003b3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk0000070d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig0000159b ), + .Q(\blk00000003/sig000003b4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk0000070c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig0000159a ), + .Q(\blk00000003/sig000003b5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk0000070b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig00001599 ), + .Q(\blk00000003/sig000003b6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk0000070a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig00001598 ), + .Q(\blk00000003/sig000003b7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk00000709 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig00001597 ), + .Q(\blk00000003/sig000003b8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk00000708 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig00001596 ), + .Q(\blk00000003/sig000003b9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705/blk00000707 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000705/sig00001595 ), + .Q(\blk00000003/sig000003ba ) + ); + GND \blk00000003/blk00000705/blk00000706 ( + .G(\blk00000003/blk00000705/sig00001594 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000075c/blk00000782 ( + .I0(ce), + .I1(\blk00000003/sig00000235 ), + .O(\blk00000003/blk0000075c/sig000015e4 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000075c/blk00000781 ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008b2 ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk00000781_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015e3 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk00000780 ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008b3 ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk00000780_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015e2 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk0000077f ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008b4 ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk0000077f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015e1 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk0000077e ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008b5 ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk0000077e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015e0 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk0000077d ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008b6 ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk0000077d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015df ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk0000077c ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008b7 ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk0000077c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015de ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk0000077b ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008b9 ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk0000077b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015dc ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk0000077a ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008ba ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk0000077a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015db ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk00000779 ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008b8 ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk00000779_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015dd ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk00000778 ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008bb ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk00000778_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015da ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk00000777 ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008bc ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk00000777_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015d9 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk00000776 ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008bd ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk00000776_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015d8 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk00000775 ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008be ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk00000775_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015d7 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk00000774 ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008bf ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk00000774_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015d6 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk00000773 ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008c0 ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk00000773_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015d5 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk00000772 ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008c2 ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk00000772_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015d3 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk00000771 ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008c3 ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk00000771_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015d2 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000075c/blk00000770 ( + .A0(\blk00000003/sig0000023c ), + .A1(\blk00000003/blk0000075c/sig000015d1 ), + .A2(\blk00000003/blk0000075c/sig000015d1 ), + .A3(\blk00000003/blk0000075c/sig000015d1 ), + .A4(\blk00000003/blk0000075c/sig000015d1 ), + .D(\blk00000003/sig000008c1 ), + .DPRA0(\blk00000003/sig0000091f ), + .DPRA1(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA2(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA3(\blk00000003/blk0000075c/sig000015d1 ), + .DPRA4(\blk00000003/blk0000075c/sig000015d1 ), + .WCLK(clk), + .WE(\blk00000003/blk0000075c/sig000015e4 ), + .SPO(\NLW_blk00000003/blk0000075c/blk00000770_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000075c/sig000015d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk0000076f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015e3 ), + .Q(\blk00000003/sig000000fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk0000076e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015e2 ), + .Q(\blk00000003/sig000000fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk0000076d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015e1 ), + .Q(\blk00000003/sig000000fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk0000076c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015e0 ), + .Q(\blk00000003/sig000000fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk0000076b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015df ), + .Q(\blk00000003/sig000000fe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk0000076a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015de ), + .Q(\blk00000003/sig000000ff ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk00000769 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015dd ), + .Q(\blk00000003/sig00000100 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk00000768 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015dc ), + .Q(\blk00000003/sig00000101 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk00000767 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015db ), + .Q(\blk00000003/sig00000102 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk00000766 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015da ), + .Q(\blk00000003/sig00000103 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk00000765 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015d9 ), + .Q(\blk00000003/sig00000104 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk00000764 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015d8 ), + .Q(\blk00000003/sig00000105 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk00000763 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015d7 ), + .Q(\blk00000003/sig00000106 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk00000762 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015d6 ), + .Q(\blk00000003/sig00000107 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk00000761 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015d5 ), + .Q(\blk00000003/sig00000108 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk00000760 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015d4 ), + .Q(\blk00000003/sig00000109 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk0000075f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015d3 ), + .Q(\blk00000003/sig0000010a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c/blk0000075e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000075c/sig000015d2 ), + .Q(\blk00000003/sig0000010b ) + ); + GND \blk00000003/blk0000075c/blk0000075d ( + .G(\blk00000003/blk0000075c/sig000015d1 ) + ); + +// synthesis translate_on + +endmodule + +// synthesis translate_off + +`ifndef GLBL +`define GLBL + +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (weak1, weak0) GSR = GSR_int; + assign (weak1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + +endmodule + +`endif + +// synthesis translate_on diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec1.veo b/fpga/usrp3/top/x300/coregen_dsp/hbdec1.veo new file mode 100644 index 000000000..eb615e1ee --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec1.veo @@ -0,0 +1,79 @@ +/******************************************************************************* +* (c) Copyright 1995 - 2010 Xilinx, Inc. All rights reserved. * +* * +* This file contains confidential and proprietary information * +* of Xilinx, Inc. and is protected under U.S. and * +* international copyright and other intellectual property * +* laws. * +* * +* DISCLAIMER * +* This disclaimer is not a license and does not grant any * +* rights to the materials distributed herewith. Except as * +* otherwise provided in a valid license issued to you by * +* Xilinx, and to the maximum extent permitted by applicable * +* law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND * +* WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES * +* AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING * +* BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- * +* INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and * +* (2) Xilinx shall not be liable (whether in contract or tort, * +* including negligence, or under any other theory of * +* liability) for any loss or damage of any kind or nature * +* related to, arising under or in connection with these * +* materials, including for any direct, or any indirect, * +* special, incidental, or consequential loss or damage * +* (including loss of data, profits, goodwill, or any type of * +* loss or damage suffered as a result of any action brought * +* by a third party) even if such damage or loss was * +* reasonably foreseeable or Xilinx had been advised of the * +* possibility of the same. * +* * +* CRITICAL APPLICATIONS * +* Xilinx products are not designed or intended to be fail- * +* safe, or for use in any application requiring fail-safe * +* performance, such as life-support or safety devices or * +* systems, Class III medical devices, nuclear facilities, * +* applications related to the deployment of airbags, or any * +* other applications that could lead to death, personal * +* injury, or severe property or environmental damage * +* (individually and collectively, "Critical * +* Applications"). Customer assumes the sole risk and * +* liability of any use of Xilinx products in Critical * +* Applications, subject only to applicable laws and * +* regulations governing limitations on product liability. * +* * +* THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS * +* PART OF THIS FILE AT ALL TIMES. * +*******************************************************************************/ + +// Generated from component ID: xilinx.com:ip:fir_compiler:5.0 + + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +hbdec1 YourInstanceName ( + .sclr(sclr), // input sclr + .clk(clk), // input clk + .ce(ce), // input ce + .nd(nd), // input nd + .coef_ld(coef_ld), // input coef_ld + .coef_we(coef_we), // input coef_we + .coef_din(coef_din), // input [17 : 0] coef_din + .rfd(rfd), // output rfd + .rdy(rdy), // output rdy + .data_valid(data_valid), // output data_valid + .din_1(din_1), // input [23 : 0] din_1 + .din_2(din_2), // input [23 : 0] din_2 + .dout_1(dout_1), // output [46 : 0] dout_1 + .dout_2(dout_2)); // output [46 : 0] dout_2 + +// INST_TAG_END ------ End INSTANTIATION Template --------- + +// You must compile the wrapper file hbdec1.v when simulating +// the core, hbdec1. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec1.xco b/fpga/usrp3/top/x300/coregen_dsp/hbdec1.xco new file mode 100644 index 000000000..efcc206f5 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec1.xco @@ -0,0 +1,102 @@ +############################################################## +# +# Xilinx Core Generator version 14.4 +# Date: Wed Dec 4 21:34:29 2013 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:fir_compiler:5.0 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc7k325t +SET devicefamily = kintex7 +SET flowvendor = Other +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = ffg900 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -2 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT FIR_Compiler family Xilinx,_Inc. 5.0 +# END Select +# BEGIN Parameters +CSET allow_rounding_approximation=false +CSET bestprecision=false +CSET chan_in_adv=0 +CSET clock_frequency=200.0 +CSET coefficient_buffer_type=Automatic +CSET coefficient_file=./hb47.coe +CSET coefficient_fractional_bits=0 +CSET coefficient_reload=true +CSET coefficient_sets=1 +CSET coefficient_sign=Signed +CSET coefficient_structure=Half_Band +CSET coefficient_width=18 +CSET coefficientsource=COE_File +CSET coefficientvector=6,0,-4,-3,5,6,-6,-13,7,44,64,44,7,-13,-6,6,5,-3,-4,0,6 +CSET columnconfig=7 +CSET component_name=hbdec1 +CSET data_buffer_type=Automatic +CSET data_fractional_bits=0 +CSET data_sign=Signed +CSET data_width=24 +CSET decimation_rate=2 +CSET displayreloadorder=false +CSET filter_architecture=Systolic_Multiply_Accumulate +CSET filter_selection=1 +CSET filter_type=Decimation +CSET gui_behaviour=Coregen +CSET hardwareoversamplingrate=1 +CSET has_ce=true +CSET has_data_valid=true +CSET has_nd=true +CSET has_sclr=true +CSET input_buffer_type=Automatic +CSET inter_column_pipe_length=4 +CSET interpolation_rate=1 +CSET multi_column_support=Disabled +CSET number_channels=1 +CSET number_paths=2 +CSET optimization_goal=Area +CSET output_buffer_type=Automatic +CSET output_rounding_mode=Full_Precision +CSET output_width=47 +CSET passband_max=0.5 +CSET passband_min=0.0 +CSET preference_for_other_storage=Automatic +CSET quantization=Integer_Coefficients +CSET rate_change_type=Integer +CSET ratespecification=Frequency_Specification +CSET registered_output=true +CSET sample_frequency=200 +CSET sampleperiod=1 +CSET sclr_deterministic=true +CSET stopband_max=1.0 +CSET stopband_min=0.5 +CSET usechan_in_adv=false +CSET zero_pack_factor=1 +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2012-12-18T05:23:34Z +# END Extra information +GENERATE +# CRC: 8b63d7c7 diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec1COEFF_auto0_0.mif b/fpga/usrp3/top/x300/coregen_dsp/hbdec1COEFF_auto0_0.mif new file mode 100644 index 000000000..f19636daf --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec1COEFF_auto0_0.mif @@ -0,0 +1,5 @@ +111111111111000010 +000000000011000010 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec1COEFF_auto0_1.mif b/fpga/usrp3/top/x300/coregen_dsp/hbdec1COEFF_auto0_1.mif new file mode 100644 index 000000000..07e316dd3 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec1COEFF_auto0_1.mif @@ -0,0 +1,5 @@ +111111111001001000 +000000001101010111 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec1COEFF_auto0_2.mif b/fpga/usrp3/top/x300/coregen_dsp/hbdec1COEFF_auto0_2.mif new file mode 100644 index 000000000..da84300d6 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec1COEFF_auto0_2.mif @@ -0,0 +1,5 @@ +111111101000011111 +000000100110101110 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec1COEFF_auto0_3.mif b/fpga/usrp3/top/x300/coregen_dsp/hbdec1COEFF_auto0_3.mif new file mode 100644 index 000000000..ea92ca6c2 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec1COEFF_auto0_3.mif @@ -0,0 +1,5 @@ +111111000011000100 +000001011101100110 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec1COEFF_auto0_4.mif b/fpga/usrp3/top/x300/coregen_dsp/hbdec1COEFF_auto0_4.mif new file mode 100644 index 000000000..9e9303211 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec1COEFF_auto0_4.mif @@ -0,0 +1,5 @@ +111101110000011101 +000011100100101000 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec1COEFF_auto0_5.mif b/fpga/usrp3/top/x300/coregen_dsp/hbdec1COEFF_auto0_5.mif new file mode 100644 index 000000000..e0c3f05fe --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec1COEFF_auto0_5.mif @@ -0,0 +1,5 @@ +111001100001011000 +010100010001000001 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec1COEFF_auto_HALFBAND_CENTRE0.mif b/fpga/usrp3/top/x300/coregen_dsp/hbdec1COEFF_auto_HALFBAND_CENTRE0.mif new file mode 100644 index 000000000..ca072e0fc --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec1COEFF_auto_HALFBAND_CENTRE0.mif @@ -0,0 +1,3 @@ +011111111111111111 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec1_flist.txt b/fpga/usrp3/top/x300/coregen_dsp/hbdec1_flist.txt new file mode 100644 index 000000000..2bd535f92 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec1_flist.txt @@ -0,0 +1,22 @@ +# Output products list for +hbdec1.asy +hbdec1.gise +hbdec1.mif +hbdec1.ngc +hbdec1.v +hbdec1.veo +hbdec1.xco +hbdec1.xise +hbdec1COEFF_auto0_0.mif +hbdec1COEFF_auto0_1.mif +hbdec1COEFF_auto0_2.mif +hbdec1COEFF_auto0_3.mif +hbdec1COEFF_auto0_4.mif +hbdec1COEFF_auto0_5.mif +hbdec1COEFF_auto_HALFBAND_CENTRE0.mif +hbdec1_flist.txt +hbdec1_readme.txt +hbdec1_reload_addrfilt_decode_rom.mif +hbdec1_reload_order.txt +hbdec1_xmdf.tcl +hbdec1filt_decode_rom.mif diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec1_readme.txt b/fpga/usrp3/top/x300/coregen_dsp/hbdec1_readme.txt new file mode 100644 index 000000000..3ca791f11 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec1_readme.txt @@ -0,0 +1,67 @@ +The following files were generated for 'hbdec1' in directory +/home/matt/fpgadev/usrp3/top/x300/coregen_dsp/ + +Opens the IP Customization GUI: + Allows the user to customize or recustomize the IP instance. + + * hbdec1.mif + * hbdec1_reload_order.txt + +XCO file generator: + Generate an XCO file for compatibility with legacy flows. + + * hbdec1.xco + +Creates an implementation netlist: + Creates an implementation netlist for the IP. + + * hbdec1.ngc + * hbdec1.v + * hbdec1.veo + * hbdec1COEFF_auto0_0.mif + * hbdec1COEFF_auto0_1.mif + * hbdec1COEFF_auto0_2.mif + * hbdec1COEFF_auto0_3.mif + * hbdec1COEFF_auto0_4.mif + * hbdec1COEFF_auto0_5.mif + * hbdec1COEFF_auto_HALFBAND_CENTRE0.mif + * hbdec1_reload_addrfilt_decode_rom.mif + * hbdec1filt_decode_rom.mif + +Creates an HDL instantiation template: + Creates an HDL instantiation template for the IP. + + * hbdec1.veo + +IP Symbol Generator: + Generate an IP symbol based on the current project options'. + + * hbdec1.asy + * hbdec1.mif + * hbdec1_reload_order.txt + +Generate ISE metadata: + Create a metadata file for use when including this core in ISE designs + + * hbdec1_xmdf.tcl + +Generate ISE subproject: + Create an ISE subproject for use when including this core in ISE designs + + * hbdec1.gise + * hbdec1.xise + +Deliver Readme: + Readme file for the IP. + + * hbdec1_readme.txt + +Generate FLIST file: + Text file listing all of the output files produced when a customized core was + generated in the CORE Generator. + + * hbdec1_flist.txt + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec1_reload_addrfilt_decode_rom.mif b/fpga/usrp3/top/x300/coregen_dsp/hbdec1_reload_addrfilt_decode_rom.mif new file mode 100644 index 000000000..32d689cd7 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec1_reload_addrfilt_decode_rom.mif @@ -0,0 +1,17 @@ +00 +10 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec1_reload_order.txt b/fpga/usrp3/top/x300/coregen_dsp/hbdec1_reload_order.txt new file mode 100644 index 000000000..11f12084f --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec1_reload_order.txt @@ -0,0 +1,25 @@ +Reload index 0 = Index 20 +Reload index 1 = Index 22 +Reload index 2 = Index 16 +Reload index 3 = Index 18 +Reload index 4 = Index 12 +Reload index 5 = Index 14 +Reload index 6 = Index 8 +Reload index 7 = Index 10 +Reload index 8 = Index 4 +Reload index 9 = Index 6 +Reload index 10 = Index 0 +Reload index 11 = Index 2 +Reload index 12 = Index 23 +Reload index 13 = Index 0 +Reload index 14 = Index 0 +Reload index 15 = Index 0 +Reload index 16 = Index 0 +Reload index 17 = Index 0 +Reload index 18 = Index 0 +Reload index 19 = Index 0 +Reload index 20 = Index 0 +Reload index 21 = Index 0 +Reload index 22 = Index 0 +Reload index 23 = Index 0 + diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec1_xmdf.tcl b/fpga/usrp3/top/x300/coregen_dsp/hbdec1_xmdf.tcl new file mode 100644 index 000000000..7aa8f91c3 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec1_xmdf.tcl @@ -0,0 +1,111 @@ +# The package naming convention is _xmdf +package provide hbdec1_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::hbdec1_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::hbdec1_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name hbdec1 +} +# ::hbdec1_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::hbdec1_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1COEFF_auto0_0.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1COEFF_auto0_1.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1COEFF_auto0_2.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1COEFF_auto0_3.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1COEFF_auto0_4.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1COEFF_auto0_5.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1COEFF_auto_HALFBAND_CENTRE0.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1_reload_addrfilt_decode_rom.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1_reload_order.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type text +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1filt_decode_rom.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module hbdec1 +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec1filt_decode_rom.mif b/fpga/usrp3/top/x300/coregen_dsp/hbdec1filt_decode_rom.mif new file mode 100644 index 000000000..32d689cd7 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec1filt_decode_rom.mif @@ -0,0 +1,17 @@ +00 +10 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec2.asy b/fpga/usrp3/top/x300/coregen_dsp/hbdec2.asy new file mode 100644 index 000000000..e9bd5ec37 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec2.asy @@ -0,0 +1,61 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 hbdec2 +RECTANGLE Normal 32 32 544 2048 +LINE Normal 0 144 32 144 +PIN 0 144 LEFT 36 +PINATTR PinName nd +PINATTR Polarity IN +LINE Wide 0 240 32 240 +PIN 0 240 LEFT 36 +PINATTR PinName din_1[23:0] +PINATTR Polarity IN +LINE Wide 0 272 32 272 +PIN 0 272 LEFT 36 +PINATTR PinName din_2[23:0] +PINATTR Polarity IN +LINE Normal 0 848 32 848 +PIN 0 848 LEFT 36 +PINATTR PinName coef_ld +PINATTR Polarity IN +LINE Normal 0 880 32 880 +PIN 0 880 LEFT 36 +PINATTR PinName coef_we +PINATTR Polarity IN +LINE Wide 0 912 32 912 +PIN 0 912 LEFT 36 +PINATTR PinName coef_din[17:0] +PINATTR Polarity IN +LINE Normal 0 1008 32 1008 +PIN 0 1008 LEFT 36 +PINATTR PinName clk +PINATTR Polarity IN +LINE Normal 0 1040 32 1040 +PIN 0 1040 LEFT 36 +PINATTR PinName ce +PINATTR Polarity IN +LINE Normal 0 1072 32 1072 +PIN 0 1072 LEFT 36 +PINATTR PinName sclr +PINATTR Polarity IN +LINE Wide 576 240 544 240 +PIN 576 240 RIGHT 36 +PINATTR PinName dout_1[46:0] +PINATTR Polarity OUT +LINE Wide 576 272 544 272 +PIN 576 272 RIGHT 36 +PINATTR PinName dout_2[46:0] +PINATTR Polarity OUT +LINE Normal 576 1840 544 1840 +PIN 576 1840 RIGHT 36 +PINATTR PinName rfd +PINATTR Polarity OUT +LINE Normal 576 1872 544 1872 +PIN 576 1872 RIGHT 36 +PINATTR PinName rdy +PINATTR Polarity OUT +LINE Normal 576 1904 544 1904 +PIN 576 1904 RIGHT 36 +PINATTR PinName data_valid +PINATTR Polarity OUT + diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec2.mif b/fpga/usrp3/top/x300/coregen_dsp/hbdec2.mif new file mode 100644 index 000000000..78d2dd901 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec2.mif @@ -0,0 +1,47 @@ +111111111111000010 +000000000000000000 +000000000011000010 +000000000000000000 +111111111001001000 +000000000000000000 +000000001101010111 +000000000000000000 +111111101000011111 +000000000000000000 +000000100110101110 +000000000000000000 +111111000011000100 +000000000000000000 +000001011101100110 +000000000000000000 +111101110000011101 +000000000000000000 +000011100100101000 +000000000000000000 +111001100001011000 +000000000000000000 +010100010001000001 +011111111111111111 +010100010001000001 +000000000000000000 +111001100001011000 +000000000000000000 +000011100100101000 +000000000000000000 +111101110000011101 +000000000000000000 +000001011101100110 +000000000000000000 +111111000011000100 +000000000000000000 +000000100110101110 +000000000000000000 +111111101000011111 +000000000000000000 +000000001101010111 +000000000000000000 +111111111001001000 +000000000000000000 +000000000011000010 +000000000000000000 +111111111111000010 diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc b/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc new file mode 100644 index 000000000..e01cbfd43 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$3155~753-Xnzd}foo8#5+421).97 ?>;168456702:;<=>?01a8456789:;<=>?8:2345678820<=>?0121<>6789:;<>640123456302:;<=>?04:8456789:=46>?012342><89:;<=>78:2345678020<=>?012B<>6789:;?0E:8456789:M46>?0103454<8=80<4?41292*54<99>0==>?11927?2@D[YY4MCHL?52<768>0=54FNQWW>AGXAG6:;7>116927<65OTVSQQ=G\^[YY4KA^MVP970294::6?7:NWWTPR=LKUDYY2>7;2=57=62@D[YY4NDEPB85<76880=7GAPTV9EABUJ5:1<3?l;08JJUSS2jenjRhflugq[vck490;2<941;KMTPR=n`fiQ|em>3>586=2;1CXZ_UU8`ldhXag6;6=0>6:39KPRW]]0hdl`Potv?4?6992837?>NA321440<:=0BB][[:ECWEZOI4;91<3?=;369MKVR\3nbb1<<:1<21>432@D[YY4kio]24944294:96<;:HLSQQ432@D[YY4kio]26944294:96<;:HLSQQ432@D[YY4kio]20944294:96<;:HLSQQ432@D[YY4kio]22944294:86<;:HLSQQ0>94FNQWW>aoiW:69?7>115910?OIX\^1hd`P4=00>586<28?6D@_UU8gmkY24;91<3?;;369MKVR\3nbbR832283:42<:=0BB][[:ekm[2:5;3:5=95=4;KMTPR=l`dT41<<:1<20>432@D[YY4kio]:875=87;37?:5OTVSQQ<3194;7>3;>1CXZ_UU8gkprXaV;90?=50?3:?72=G\^[YY4kotv\mZ744;91<3?6;369KPRW]]0ocxzPi^37875=87;27?:5OTVSQQ3;>1CXZ_UU8gkprXaV;=0?=50?3;?72=G\^[YY4kotv\mZ7;::0;2<64258LQQVR\3ndyyQf_3>17?69911987AZTQWW>air|VcT?1<<:1<2<>432F__\XZ5dnww[lY34;91<3?7;369KPRW]]0ocxzPi^7?66<76820>94@UURVP?bh}}UbS;2=3;2=5==5<3E^X][[:emvpZoX?5886=0>8:07>JSSX\^1hb{{_h];875=87;37?:5OTVSQQ10?6998196D@_UU8B@AT;93:5=?5=:HLSQQ0086?OIX\^1MIJ]B=394;773::1EC^ZT;C?6<<76890?=4FNQWW>DENF5826=0>5:13>JSSX\^1MNAZT=0:>586:291EC^ZT;CG@WG;:3:5=?5<:HLSQQ0587?OIX\^1OL\]YHLPAI:5294979>>4:64>JSSX\^1hb{{<5494;703==1CXZ_UU8gkprX996?:7>116973?IR\Y__6iazt^32810=87;<7995OTVSQQ72?699>1?;7AZTQWW>air|V;>09850?34?11=G\^[YY4kotv\53:3>3:5=;5;7;MVPUSS2me~xR?34783:40<<>0DYY^ZT;flqqY54=<1<3?9;559KPRW]]0ocxzP3=65>586>2><6B[[PTV9`jssW=6?:7>117973?IR\Y__6iazt^7?03<768<08:4@UURVP?bh}}U=09850?35?11=G\^[YY4kotv\3921294::6:8:NWWTPR=lfS52;6;2=53=3?3E^X][[:emvpZ?;3==1CXZ_UU8gkprXyV;;09850?3:?11=G\^[YY4kotv\uZ764=<1<3?6;559KPRW]]0ocxzPq^31810=87;27995OTVSQQ3==1CXZ_UU8gkprXyV;?09850?3:?11=G\^[YY4kotv\uZ724=<1<3?6;559KPRW]]0ocxzPq^35810=87;37995OTVSQQ<<>0DYY^ZT;flqqYvW;6?:7>119973?IR\Y__6iazt^s\7921294:46:8:NWWTPR=lfS|Q;<5494;7?3==1CXZ_UU8gkprXyV?78;4?>0:8023:5=55;7;MVPUSS2me~xRP7=65>58602><6B[[PTV9`jssWxU309850?3;?11=G\^[YY4kotv\uZ?;4498JJUSS2J6?;7>11597586;2>36D@_UU8SGLH;<>0;2<84498LQQVR\3NJSB[[<5594;713=21CXZ_UU8GFZIR\5><6=0>1:6;>JSSX\^1\1:8:1<21>2?2F__\XZ5PBMVP920294:86:5IORVP?GK]DEOI1=50?35?17>5863?20:LONA@CB5>153>8:?695IORVP?IWEFNN0;4?>394B`=0c:8>xkhh912.64=?:22;86670968?:23J>6O?2:C26>G5:2K8>6O;4:C?4;3G;9;4>7L2>3?78E9736<1J0<;15:C?53823H6:;3;4A=3;:0=F483586O31?78E9476<1J0??15:C?67823H69?3;4A=07:0=F4;?596O327<6?D:5?7?0M1<7>69B87?=87?0M1<6>59B87833H68295N<5<7?D:26=1J0;0;;@>4:1=F414?7L26>99BGDUDZLM<7LMFN=2=<>GDAG6:<364ABKM847902KHEC2>2?:8EFOI489546OLIO>20;>720MNGA<05=<>GDAG6:4364ABKM84?9?2KHEC2>>99BGLH;:9437LMFN=02:==FK@D7>?07;@AJJ944611JOD@325<;?DENF58>255NCHL?638?3HIBB1<8>99BGLH;:14j7LMFN=0:>58?3HIBB1<6>69BGLH;:7=0MNGA<2<4?DENF5>5;6OLIO>6:2=FK@D7:394ABKM82803HIBB1617:C@MK:>611JOB[[<1<:?DEH]]6:<374ABMVP976601JOB[[<00==>GDG\^7=>06;@ALQQ:6<730MNAZT=36:<=FKF__0<819:C@KPR;9>427LM@UU>2<;?99BGJSS48427LM@UU>14;?>89BGJSS4;8556OLOTV?668>3HIDYY2=4?;8EFIR\58>245NCNWW870912KHCXZ326<:?DEH]]6943l4ABMVP94>29427LM@UU>1=;>GDG\^79364ABMVP90902KHCXZ37?:8EFIR\52546OLOTV?=;11:d=FLMXI0=4?>99B@ATE494j7LJKRC>2>58?3HNO^O2>>`9B@ATE4;0;255NDEPA87823HNYIJo4AMWNKAC;87k0MA[BOEG?5;g1a:COQHICM595m6OCULMGAWC@<2KYIJ??;@WWKWCTM]U[MYJJT69B[LHW]]>0N1>15:@?55823K6:=3;4B=31:0=E489596L315<6?G:6=7?0N1?9>69A841=87?0N1?8>59A84833K69295M<2<7?G:36=1I080;;C>5:1=E4>4?7O27>59A8<853KI37OMNSBPFC2=EK@D7<364BBKM846902HHEC2>1?:8FFOI488546LLIO>27;>DDAG6:;7>18:@@MK:6?7=0NNGA<0<4?GENF585;6LLIO>0:2=EK@D78394BBKM80803KIBB1817:@@MK:06>1IOD@38?58FFOI40437OM@UU>3:<=EKF__0<>19:@@KPR;98427OM@UU>26;?89AGJSS48>556LLOTV?508>3KIDYY2>6?`8FFIR\5;<6=06;CALQQ:6?720NNAZT=3=<>DDG\^7>364BBMVP95902HHCXZ34?:8FFIR\5?546LLOTV?2;>DUMN20NX]PIODL3>DXAGZ^X<5L4:A?4;3E;9;4>7N2>3?78G9736<1H0<;15:A?53823J6:;3;4C=3;:0=D483586M31?78G9476<1H0??15:A?67823J69?3;4C=07:0=D4;?596M327<6?F:5?7?0O1<7>49@87?9<2I7>3;4C=13:0=D4:;596M333<6?F:4;7?0O1=;>49@8639=2I7?;0:;B>03;3E;;7?0O1:?>49@8179=2I78?0:;B>77;3?285L<57=1>E;E;<>4?7N2;>59@80833J6=295L<6<7?F:?6=1H040m;BCQV\EF[JCEo6MNRS[@EVEH]]=0OL\]YHLb?FGUZPCE^HIk;BCQV\OI[LF7<3j4C@PQ]LHTME6:2k5LASPZMKUBD581<3j4C@PQ]LHTME692i5LASPZMKUBD[OLn6MNRS[LQQ:76k1HM_\VOTV?5;d0=;BG7?FCF9=1HIL<;;BGB@<=DMHF^ABJJ4:AFF42EBL11HIHK7A@C;?FCNFDEOI>5LEL18G@V6:2ICINEPLHAFJVCX\PZN>6MF3:AOO<=DGDGBXYKK159@KWCXOLDN^LZFOO]JJCI03JXNMYKK4:AQAB7>49G8449=2N7=>0:;E>20;3285K<04=1>B;9>4>7I2>8?78@97>6=1O0<0:;E>14;3B;::4<7I2=4;2=1>B;:=4?7I2=>59G86833M6?295K<4<7?A:16=1O0:0;;E>;:1=C404j7IO[A^KM858e3MK_MRGA<02=f>BF\HUBB1?>>c9GEQGXAG6:>3l4D@VB[LH;9:4i7IO[A^KM8429j2NJXLQFN=36:g=CI]KTEC2>6?`8@DRFW@D7=:0m;ECWEZOI4825n6JNT@]JJ97>6h1OMYOPIO>2:g=CI]KTEC2=0?`8@DRFW@D7><0m;ECWEZOI4;85h6JNT@]JJ944294i7IO[A^KM8759i2NJXLQFN=0=e>BF\HUBB1=1a:FBPDYNF5>5m6JNT@]JJ939i2NJXLQFN=4=e>BF\HUBB191a:FBPDYNF525m6JNT@]JJ9?9j2NJXLQ@UU>3:f=CI]KTCXZ311<`?AGSIVE^X1?>>b9GEQGXG\^7=?0l;ECWEZIR\5;82n5KAUC\KPR;9=4h7IO[A^MVP9726j1OMYOPOTV?538d3MK_MRAZT=34:f=CI]KTCXZ319<`?AGSIVE^X1?6>c9GEQGXG\^7=3m4D@VB[JSS4;:5o6JNT@]LQQ:597i0HLZN_NWW8749m2NJXLQ@UU>17?69k2NJXLQ@UU>17;dBF\HUDYY27>c9GEQGXG\^75364D@]JJ96912NJSD@311<:?AGXAG6:=374D@]JJ975601OMRGA<01==>BFW@D7=906;EC\MK:6=730HLQFN=35:g=CIVCE0<950?;8@DYNF5;<245KA^KM84>912NJSD@318<;?AGXAG6:245KA^KM876912NJSD@320<:?AGXAG69>374D@]JJ944601OMRGA<36==>BFW@D7>806;EC\MK:5>730HLQFN=04:<=CIVCE0?619:FB[LH;:0437IOPIO>1:<=CIVCE0>>19:FB[LH;;8427IOPIO>06;?89GEZOI4:>556JN_HL?708>3MKTEC2<6?;8@DYNF59<245KA^KM86>912NJSD@338<;?AGXAG68245KA^KM816912NJSD@340<:?AGXAG6?>374D@]JJ924601OMRGA<56==>BFW@D78806;EC\MK:3>7h0HLQFN=64>58>3MKTEC2;7?:8@DYNF5>546JN_HL?1;>BFW@D75374D@]LQQ:76h1OMRAZT=33:d=CIVE^X1?>>`9GEZIR\5;92l5KA^MVP9746h1OMRAZT=37:d=CIVE^X1?:>`9GEZIR\5;=2n5KA^MVP970294j7IOPOTV?528f3MKTCXZ31914;g3o4D@]LQQ:5;7k0HLQ@UU>10;g1<;g`9GEZIR\5982l5KA^MVP9536h1OMRAZT=16:d=CIVE^X1=9>`9GEZIR\59<2l5KA^MVP95?6h1OMRAZT=1::<=CIVE^X1=1a:FB[JSS4=:5m6JN_NWW8179i2NJSB[[<50=e>BFWF__09=1a:FB[JSS4=>5m6JN_NWW8139i2NJSB[[<54=g>BFWF__09950?c8@DYH]]6?;374D@]LQQ:3601OMRAZT=7==>BFWF__0;06;EC\KPR;?730HLQ@UU>;:<=CIVE^X1719:FA[JSS494j7ILPOTV?558f3MHTCXZ3100;2l5KB^MVP9706h1ONRAZT=3;:d=CJVE^X1?6>89GFZIR\5;5m6JM_NWW8769i2NISB[[<33=e>BEWF__0?<1a:FA[JSS4;95m6JM_NWW8729i2NISB[[<37=e>BEWF__0?81a:FA[JSS4;=5m6JM_NWW87>9i2NISB[[<3;==>BEWF__0?0n;E@\KPR;;94j7ILPOTV?748f3MHTCXZ3330n;E@\KPR;;=4j7ILPOTV?708f3MHTCXZ3373MHTCXZ33?c8@GYH]]6?<3o4DC]LQQ:397k0HOQ@UU>76;g72;eBEWF__09919:FA[JSS4=427ILPOTV?1;?89GFZIR\5=556JM_NWW8=8>3MHTCXZ39?08@@40HKO?2:FJ2>BNZLI_?6J_O49GTWG7=2N[^L?:;ERQE73BUMN=0H^^;8D32?@26KN4:GB6D26H;5:DBHVC53ON?7KJN829E@@5O6:2C9>6G<2:K7=>OIA]Y_MYK6;HLNKAC;8730ECC@DD>2:<=NFDEOI1<19:KMIJBB4:4i7D@BOEG?0?6912CEABJJ<5<:?LHJGMOYIJ=4IOT1?IO53EE=7AANDDF4?II@AJKG86BZT068HPR5<2F^X>:4LTV70>JR\<>0AL]D4:OQAB>1FYUMV_Ma8Idlhz_oydaac:OjjjtQm{ybcc=4N020?K76;2D:>>5A1218J4243G;>?6@>629M52286@>7768J410<2D:;5:4N05:7>H60=1E=5>;;O3;51=I918?7C?7359M5=233G;3995A1947?K7??=1E=56;;O3;=6=I90>0B<7?4:L2=429268J4?3<2D:58:4N0;50>H61>>0B<774:L2=<4;;O0341=I:9;?7C=1E>=9;;O03<1=I:9387C<>4:L1552H59?>0B??84:L15=25A2418J7043G83?6@=939M76=I;980B9<4N468J03><2D>:=:4N4420>H2>;>0B88<4:L621286@:6768J000<2D>:5:4N44:0>H2?9>0B89>4:L6372;;:4N4540>H2?1>0B8964:L6<524<2D>49:4N4:60>H20?>0B8684:L6<=25?:4N4;00>H21=>0B87:4:L6=32<2D=<=:4N7220>H18;>0B;><4:L541286@97668J31?<2D=;4:4N7:30>H108>0B;6=4:L5<621<2D=4::4N7:;0>H100>0B;7?4:L5=42H11>>0B;774:L5=<4>0B:>?4:L4442:986@80268J263<2D<<8:4N6250>H08>>0B:>74:L44<2;;86@81068J275<2D<=>:4N6370>H09<>0B:?94:L4522;386@81868J247<2D<><:4N6010>H0::80B5<4N8d8JGYE]ZZBBR^]OQQ4?KCS_FX@;6@@MDPDA<=IGVZJXIK[8:LL[WCTM];0C?5@K49LJ@2?12E[ABJJ<1<:?JVJGMO7=374OQOL@@:5601D\@AKE=1==>IWEFNN0906;NRNKAC;=7h0C]C@DD>5>58>3FZFCIK36?;8KUKHLLXNK<94OQVJIL\BWYXBADZFVDQ\JBE?3F\N^KB@W`9Lfcstkh~d~<5_4:R?4;3V;9;4>7]2>3?78T9736<1[0<;15:R?53823Y6:;3;4P=3;:0=W483586^31?78T9476<1[0??15:R?67823Y69?3;4P=07:0=W4;?596^327<6?U:5?7?0\1<7>49S87?9<2Z7>3;4P=13:0=W4:;596^333<6?U:4;7?0\1=;>49S8639=2Z7?;0:;Q>03;3V;;7?0\1:?>49S8179=2Z78?0:;Q>77;3?285_<57=1>V;V;<>4?7]2;>59S80833Y6=295_<6<7?U:?6=1[040;;QCQP2=WI]^N^Ck4P@VWAWHELL^NOYj4P@VWAWHCM]OHX:5_CHL?4;>18:R@MK:69720\NGA<00=<>VDAG6:?364PBKM842902ZHEC2>5?:8TFOI48<546^LIO>23;>99SGLH;::437]MFN=07:==WK@D7>807;QAJJ941611[OD@326<;?UENF583255_CHL?6<803YIBB1<18:R@MK:48720\NGA<23=<>VDAG68>364PBKM865902ZHEC2<4?:8TFOI4:?546^LIO>02;>918:R@MK:40720\NGA<2;=3>VDAG68255_CHL?058?3YIBB1:>>99SGLH;<;437]MFN=60:==WK@D78907;QAJJ922611[OD@347<6=07;QAJJ9206>1[OD@34?58TFOI4<4<7]MFN=4=3>VDAG6<2:5_CHL?<;1VDG\^7=<06;QALQQ:6:730\NAZT=30:<=WKF__0<:19:R@KPR;9<427]M@UU>22;?89SGJSS482556^LOTV?5<8?3YIDYY2>>89SGJSS4;:556^LOTV?648>3YIDYY2=2?;8TFIR\588245_CNWW872912ZHCXZ324<:?UEH]]69:374PBMVP940601[OB[[<3:==>VDG\^7>407;QALQQ:5601[OB[[<22==>VDG\^7?<06;QALQQ:4:730\NAZT=10:<=WKF__0>:19:R@KPR;;<427]M@UU>02;?89SGJSS4:2556^LOTV?7<8?3YIDYY2<>89SGJSS4=:556^LOTV?048>3YIDYY2;2?;8TFIR\5>8245_CNWW812912ZHCXZ344<:?UEH]]6?:3l4PBMVP92029427]M@UU>73;>VDG\^7;364PBMVP9>902ZHCXZ39?c8TLHXJ\YBHUl4PHL\FPUIIDO27]EPHMWWJH2T?3[KF??V>D59QWQGc3[Y_MABLASPZMKgU33ZIG^55\EM]NEVMe3ZOGS]O[TDPM54=T@[OLSHV[R@AW[KADm2YC^HIPMHL\WL\B>2YDY_MJ3:QSK2=TZEI::H:4TSWF0>R^XL30YCJJRGNLSd=R[LXTMAGNSb9VW@TX^@YBNAK6;TQF[AVHZ]20Y^KPMTNW57=R[LU[MYZJRO]GAQCD\11^_HQ\ILF0?SED12\BIZQ[YQG7?REKC;1\I>5XE@3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH78\JTDQ?1S_YBFBc9[[FIUMVCEJB84Xe`\Ma`5Ver:3?ZYXPEDFSRQ?_^]V4*aXehi%alm fhp\w`jbk}%licQgamdaekbXllibalzfoo,emw)`mgUyiaand/pfhjgcWjd~a!}emmb`ZciikfnSkco`f\lgYbfhhgiRA]_8]l5f3S!gdl\`drfWzsfSno|c/efjZhhzd$omyoPsxo\gdudWmfr#NKPWD]BJAY6>>Ud=8j4_^][HKKXWV;:SRQZ0.e\ide)ehi$jd|Psdnfgq)`mgUcmahmaof\``eneh~bcc iis-p}hYcmekr#NKPWD]BJAY61=Ud=;<4_^][HKKXWV;TSR[?/f]nef(jij%meQ|emg`p*abfVbj`klnne]gafoji}cdb#hfr.efjZusgyUnmgcy.Onq}YumnUbb}{{105b?ZYXPEDFSRQ=_^]V4*aXehi%alm fhp\w`jbk}%licQgamdaekbXllibalzfoo,emw)`mgUyiaand/pfhjgcWjd~a!}emmb`ZeheyfnxhQLODD\HAYHZV;;Sb?88:]\[]JIEVUT?RQPU1-d[hgd&dkh#kg}_rgoafr(oldTdlbib`lg[acdadkeb`!fhp,c`hXjekegR|jg.efjZdkigaT~hi|[1_-figicVxnkRv rde\mkvr|8=?7RQPXMLN[ZY3WVU^<"iPm`a-ide(n`xThbjcu-dakYoielimcjPddajidrngg$me!heo]qba)`mgUxx{lt/efjZhhzd$hby|c/Lov|ZtboVce|xz>1728[ZY_DGGTSR;P_^W3+bYjij$fmn!iis]paicd|&mnbRfnlg`bjaYcmjcfmyg`n/djv*Tb{l~TC_QKrhtfvDHCKeehgH`nbmg21d=XWVRGB@QP_7]\[P6(oVgjo#cnc.djvZubdli#jka_icobggilVnnodcnthmm*cou'fzhcikPcovqhZbkq5;5Sha16:8[ZY_DGGTSR9P_^W3+bYjij$fmn!iis]paicd|&mnbRfnlg`bjaYcmjcfmyg`n/djv*abfVxn`bok.sgokdbXkg~y`"M@EG]O@ZehmoUghRjcy^CM@Z740Ve::h5P_^ZOJHYXW1UTSX> g^obg+kfk&lb~R}jldaw+bciWakgjooad^ffglkf|`ee"kg}/fgm[fci|{oTxl~Pd`vb[igskaoo#NKPWD]BJAY61?Ud=n:4_^][HKKXWV3TSR[?/f]nef(jij%meQ|emg`p*abfVbj`klnne]gafoji}cdb#hfr.efjZ`nd}oySob`cj-dakYnfmo{icjnnu]`ki]6U'mnbR}lhv\gjj\8T$licQ{aqqX6X(`mgUomyoPsxo\gdud&noeSca}m/fbpdYtqdUhm~mPdm{,G@YPMVKEHR?97^m2f>dkc9:;<=>?1c9ahn6789:;?01231g=edb:;<=>?07`8fim789:;<=9m;cnh456789:3n6lck12345671k1i`f>?01234dd?01`a?gjl89:;<=>lb:`oo56789:;ho5mlj2345678lh0nae?012345`e3kf`<=>?0133f>dkc9:;<=>>1c9ahn6789:;=?l4bmi34567889i7obd01234573j2hgg=>?01221g=edb:;<=>?17`8fim789:;<<9m;cnh456789;3n6lck12345661k1i`f>?01235dd?00`a?gjl89:;<=?lb:`oo56789::ho5mlj2345679lh0nae?012344`e3kf`<=>?0103f>dkc9:;<=>=1c9ahn6789:;>?l4bmi345678;9i7obd01234543j2hgg=>?01211g=edb:;<=>?27`8fim789:;?01236dd?03`a?gjl89:;<=?0113f>dkc9:;<=><1c9ahn6789:;??l4bmi345678:9i7obd01234553j2hgg=>?01201g=edb:;<=>?37`8fim789:;<>9m;cnh45678993n6lck12345641k1i`f>?01237dd?02`a?gjl89:;<==lb:`oo56789:8ho5mlj234567;lh0nae?012346`e3kf`<=>?0163f>dkc9:;<=>;1c9ahn6789:;8?l4bmi345678=9i7obd01234523j2hgg=>?01271g=edb:;<=>?47`8fim789:;<99m;cnh456789>3n6lck12345631k1i`f>?01230dd?05`a?gjl89:;<=:lb:`oo56789:?ho5mlj234567?0173f>dkc9:;<=>:1c9ahn6789:;9?l4bmi345678<9i7obd01234533j2hgg=>?01261g=edb:;<=>?57`8fim789:;<89m;cnh456789?3n6lck12345621k1i`f>?01231dd?04`a?gjl89:;<=;lb:`oo56789:>ho5mlj234567=lh0nae?012340`e3kf`<=>?0143f>dkc9:;<=>91c9ahn6789:;:?l4bmi345678?9i7obd01234503j2hgg=>?01251g=edb:;<=>?67`8fim789:;<;9m;cnh456789<3n6lck12345611k1i`f>?01232dd?07`a?gjl89:;<=8lb:`oo56789:=ho5mlj234567>lh0nae?012343`e3kf`<=>?0153f>dkc9:;<=>81c9ahn6789:;;?l4bmi345678>9i7obd01234513j2hgg=>?01241g=edb:;<=>?77`8fim789:;<:9m;cnh456789=3n6lck12345601k1i`f>?01233dd?06`a?gjl89:;<=9lb:`oo56789:?01:3f>dkc9:;<=>71c9ahn6789:;4?l4bmi34567819i7obd012345>3j2hgg=>?012;1g=edb:;<=>?87`8fim789:;<59m;cnh45678923n6lck123456?1k1i`f>?0123?09`a?gjl89:;<=6lb:`oo56789:3ho5mlj2345670lh0nae?01234=`e3kf`<=>?01;3f>dkc9:;<=>61c9ahn6789:;5?l4bmi34567809i7obd012345?3j2hgg=>?012:1g=edb:;<=>?97`8fim789:;<49m;cnh45678933n6lck123456>1k1i`f>?0123=dd?08`a?gjl89:;<=7lb:`oo56789:2ho5mlj2345671lh0nae?01234<`e3kf`<=>?01c3f>dkc9:;<=>n1c9ahn6789:;m?l4bmi345678h9i7obd012345g3j2hgg=>?012b1g=edb:;<=>?a7`8fim789:;?0123edd?0``a?gjl89:;<=olb:`oo56789:jho5mlj234567ilh0nae?01234d`e3kf`<=>?01`3f>dkc9:;<=>m1c9ahn6789:;n?l4bmi345678k9i7obd012345d3j2hgg=>?012a1g=edb:;<=>?b7`8fim789:;?0123fdd?0c`a?gjl89:;<=llb:`oo56789:iho5mlj234567jlh0nae?01234g`e3kf`<=>?01a3f>dkc9:;<=>l1c9ahn6789:;o?l4bmi345678j9i7obd012345e3j2hgg=>?012`1g=edb:;<=>?c7`8fim789:;?0123gdd?0b`a?gjl89:;<=mlb:`oo56789:hho5mlj234567klh0nae?01234f`e3kf`<=>?01f3f>dkc9:;<=>k1c9ahn6789:;h?l4bmi345678m9i7obd012345b3j2hgg=>?012g1g=edb:;<=>?d7`8fim789:;?0123`dd?0e`a?gjl89:;<=jlb:`oo56789:oho5mlj234567llh0nae?01234a`e3kf`<=>?01g3f>dkc9:;<=>j1c9ahn6789:;i?l4bmi345678l9i7obd012345c3j2hgg=>?012f1g=edb:;<=>?e7`8fim789:;?0123add?0d`a?gjl89:;<=klb:`oo56789:nho5mlj234567mlh0nae?01234``e3kf`<=>?01d3f>dkc9:;<=>i1c9ahn6789:;j?l4bmi345678o9i7obd012345`3j2hgg=>?012e1g=edb:;<=>?f7`8fim789:;?0123bdd?0g`a?gjl89:;<=hlb:`oo56789:mho5mlj234567nlh0nae?01234c`e3kf`<=>?0023f>dkc9:;<=??1c9ahn6789::?01331g=edb:;<=>>07`8fim789:;==9m;cnh456788:3n6lck12345771k1i`f>?01224dd?11`a?gjl89:;<<>lb:`oo56789;;ho5mlj2345668lh0nae?012355`e3kf`<=>?0033f>dkc9:;<=?>1c9ahn6789::=?l4bmi34567989i7obd01234473j2hgg=>?01321g=edb:;<=>>17`8fim789:;=<9m;cnh456788;3n6lck12345761k1i`f>?01225dd?10`a?gjl89:;<?0003f>dkc9:;<=?=1c9ahn6789::>?l4bmi345679;9i7obd01234443j2hgg=>?01311g=edb:;<=>>27`8fim789:;=?9m;cnh45678883n6lck12345751k1i`f>?01226dd?13`a?gjl89:;<<?0013f>dkc9:;<=?<1c9ahn6789::??l4bmi345679:9i7obd01234453j2hgg=>?01301g=edb:;<=>>37`8fim789:;=>9m;cnh45678893n6lck12345741k1i`f>?01227dd?12`a?gjl89:;<<=lb:`oo56789;8ho5mlj234566;lh0nae?012356`e3kf`<=>?0063f>dkc9:;<=?;1c9ahn6789::8?l4bmi345679=9i7obd01234423j2hgg=>?01371g=edb:;<=>>47`8fim789:;=99m;cnh456788>3n6lck12345731k1i`f>?01220dd?15`a?gjl89:;<<:lb:`oo56789;?ho5mlj234566?0073f>dkc9:;<=?:1c9ahn6789::9?l4bmi345679<9i7obd01234433j2hgg=>?01361g=edb:;<=>>57`8fim789:;=89m;cnh456788?3n6lck12345721k1i`f>?01221dd?14`a?gjl89:;<<;lb:`oo56789;>ho5mlj234566=lh0nae?012350`e3kf`<=>?0043f>dkc9:;<=?91c9ahn6789:::?l4bmi345679?9i7obd01234403j2hgg=>?01351g=edb:;<=>>67`8fim789:;=;9m;cnh456788<3n6lck12345711k1i`f>?01222dd?17`a?gjl89:;<<8lb:`oo56789;=ho5mlj234566>lh0nae?012353`e3kf`<=>?0053f>dkc9:;<=?81c9ahn6789::;?l4bmi345679>9i7obd01234413j2hgg=>?01341g=edb:;<=>>77`8fim789:;=:9m;cnh456788=3n6lck12345701k1i`f>?01223dd?16`a?gjl89:;<<9lb:`oo56789;?00:3f>dkc9:;<=?71c9ahn6789::4?l4bmi34567919i7obd012344>3j2hgg=>?013;1g=edb:;<=>>87`8fim789:;=59m;cnh45678823n6lck123457?1k1i`f>?0122?19`a?gjl89:;<<6lb:`oo56789;3ho5mlj2345660lh0nae?01235=`e3kf`<=>?00;3f>dkc9:;<=?61c9ahn6789::5?l4bmi34567909i7obd012344?3j2hgg=>?013:1g=edb:;<=>>97`8fim789:;=49m;cnh45678833n6lck123457>1k1i`f>?0122=dd?18`a?gjl89:;<<7lb:`oo56789;2ho5mlj2345661lh0nae?01235<`e3kf`<=>?00c3f>dkc9:;<=?n1c9ahn6789::m?l4bmi345679h9i7obd012344g3j2hgg=>?013b1g=edb:;<=>>a7`8fim789:;=l9m;cnh456788k3n6lck123457f1k1i`f>?0122edd?1``a?gjl89:;<?00`3f>dkc9:;<=?m1c9ahn6789::n?l4bmi345679k9i7obd012344d3j2hgg=>?013a1g=edb:;<=>>b7`8fim789:;=o9m;cnh456788h3n6lck123457e1k1i`f>?0122fdd?1c`a?gjl89:;<?00a3f>dkc9:;<=?l1c9ahn6789::o?l4bmi345679j9i7obd012344e3j2hgg=>?013`1g=edb:;<=>>c7`8fim789:;=n9m;cnh456788i3n6lck123457d1k1i`f>?0122gdd?1b`a?gjl89:;<?00f3f>dkc9:;<=?k1c9ahn6789::h?l4bmi345679m9i7obd012344b3j2hgg=>?013g1g=edb:;<=>>d7`8fim789:;=i9m;cnh456788n3n6lck123457c1k1i`f>?0122`dd?1e`a?gjl89:;<?00g3f>dkc9:;<=?j1c9ahn6789::i?l4bmi345679l9i7obd012344c3j2hgg=>?013f1g=edb:;<=>>e7`8fim789:;=h9m;cnh456788o3n6lck123457b1k1i`f>?0122add?1d`a?gjl89:;<?00d3f>dkc9:;<=?i1c9ahn6789::j?l4bmi345679o9i7obd012344`3j2hgg=>?013e1g=edb:;<=>>f7`8fim789:;=k9m;cnh456788l3n6lck123457a1k1i`f>?0122bdd?1g`a?gjl89:;<?0323f>dkc9:;<=?01031g=edb:;<=>=07`8fim789:;>=9m;cnh45678;:3n6lck12345471k1i`f>?01214dd?21`a?gjl89:;lb:`oo567898;ho5mlj2345658lh0nae?012365`e3kf`<=>?0333f>dkc9:;<=<>1c9ahn6789:9=?l4bmi34567:89i7obd01234773j2hgg=>?01021g=edb:;<=>=17`8fim789:;><9m;cnh45678;;3n6lck12345461k1i`f>?01215dd?20`a?gjl89:;?0303f>dkc9:;<=<=1c9ahn6789:9>?l4bmi34567:;9i7obd01234743j2hgg=>?01011g=edb:;<=>=27`8fim789:;>?9m;cnh45678;83n6lck12345451k1i`f>?01216dd?23`a?gjl89:;?0313f>dkc9:;<=<<1c9ahn6789:9??l4bmi34567::9i7obd01234753j2hgg=>?01001g=edb:;<=>=37`8fim789:;>>9m;cnh45678;93n6lck12345441k1i`f>?01217dd?22`a?gjl89:;?0363f>dkc9:;<=<;1c9ahn6789:98?l4bmi34567:=9i7obd01234723j2hgg=>?01071g=edb:;<=>=47`8fim789:;>99m;cnh45678;>3n6lck12345431k1i`f>?01210dd?25`a?gjl89:;?0373f>dkc9:;<=<:1c9ahn6789:99?l4bmi34567:<9i7obd01234733j2hgg=>?01061g=edb:;<=>=57`8fim789:;>89m;cnh45678;?3n6lck12345421k1i`f>?01211dd?24`a?gjl89:;ho5mlj234565=lh0nae?012360`e3kf`<=>?0343f>dkc9:;<=<91c9ahn6789:9:?l4bmi34567:?9i7obd01234703j2hgg=>?01051g=edb:;<=>=67`8fim789:;>;9m;cnh45678;<3n6lck12345411k1i`f>?01212dd?27`a?gjl89:;lh0nae?012363`e3kf`<=>?0353f>dkc9:;<=<81c9ahn6789:9;?l4bmi34567:>9i7obd01234713j2hgg=>?01041g=edb:;<=>=77`8fim789:;>:9m;cnh45678;=3n6lck12345401k1i`f>?01213dd?26`a?gjl89:;?03:3f>dkc9:;<=<71c9ahn6789:94?l4bmi34567:19i7obd012347>3j2hgg=>?010;1g=edb:;<=>=87`8fim789:;>59m;cnh45678;23n6lck123454?1k1i`f>?0121?29`a?gjl89:;?03;3f>dkc9:;<=<61c9ahn6789:95?l4bmi34567:09i7obd012347?3j2hgg=>?010:1g=edb:;<=>=97`8fim789:;>49m;cnh45678;33n6lck123454>1k1i`f>?0121=dd?28`a?gjl89:;?03c3f>dkc9:;<=?010b1g=edb:;<=>=a7`8fim789:;>l9m;cnh45678;k3n6lck123454f1k1i`f>?0121edd?2``a?gjl89:;?03`3f>dkc9:;<=?010a1g=edb:;<=>=b7`8fim789:;>o9m;cnh45678;h3n6lck123454e1k1i`f>?0121fdd?2c`a?gjl89:;?03a3f>dkc9:;<=?010`1g=edb:;<=>=c7`8fim789:;>n9m;cnh45678;i3n6lck123454d1k1i`f>?0121gdd?2b`a?gjl89:;?03f3f>dkc9:;<=?010g1g=edb:;<=>=d7`8fim789:;>i9m;cnh45678;n3n6lck123454c1k1i`f>?0121`dd?2e`a?gjl89:;?03g3f>dkc9:;<=?010f1g=edb:;<=>=e7`8fim789:;>h9m;cnh45678;o3n6lck123454b1k1i`f>?0121add?2d`a?gjl89:;?03d3f>dkc9:;<=?010e1g=edb:;<=>=f7`8fim789:;>k9m;cnh45678;l3n6lck123454a1k1i`f>?0121bdd?2g`a?gjl89:;?0223f>dkc9:;<==?1c9ahn6789:8?01131g=edb:;<=><07`8fim789:;?=9m;cnh45678::3n6lck12345571k1i`f>?01204dd?31`a?gjl89:;<>>lb:`oo567899;ho5mlj2345648lh0nae?012375`e3kf`<=>?0233f>dkc9:;<==>1c9ahn6789:8=?l4bmi34567;89i7obd01234673j2hgg=>?01121g=edb:;<=><17`8fim789:;?<9m;cnh45678:;3n6lck12345561k1i`f>?01205dd?30`a?gjl89:;<>?lb:`oo567899:ho5mlj2345649lh0nae?012374`e3kf`<=>?0203f>dkc9:;<===1c9ahn6789:8>?l4bmi34567;;9i7obd01234643j2hgg=>?01111g=edb:;<=><27`8fim789:;??9m;cnh45678:83n6lck12345551k1i`f>?01206dd?33`a?gjl89:;<>?0213f>dkc9:;<==<1c9ahn6789:8??l4bmi34567;:9i7obd01234653j2hgg=>?01101g=edb:;<=><37`8fim789:;?>9m;cnh45678:93n6lck12345541k1i`f>?01207dd?32`a?gjl89:;<>=lb:`oo5678998ho5mlj234564;lh0nae?012376`e3kf`<=>?0263f>dkc9:;<==;1c9ahn6789:88?l4bmi34567;=9i7obd01234623j2hgg=>?01171g=edb:;<=><47`8fim789:;?99m;cnh45678:>3n6lck12345531k1i`f>?01200dd?35`a?gjl89:;<>:lb:`oo567899?ho5mlj234564?0273f>dkc9:;<==:1c9ahn6789:89?l4bmi34567;<9i7obd01234633j2hgg=>?01161g=edb:;<=><57`8fim789:;?89m;cnh45678:?3n6lck12345521k1i`f>?01201dd?34`a?gjl89:;<>;lb:`oo567899>ho5mlj234564=lh0nae?012370`e3kf`<=>?0243f>dkc9:;<==91c9ahn6789:8:?l4bmi34567;?9i7obd01234603j2hgg=>?01151g=edb:;<=><67`8fim789:;?;9m;cnh45678:<3n6lck12345511k1i`f>?01202dd?37`a?gjl89:;<>8lb:`oo567899=ho5mlj234564>lh0nae?012373`e3kf`<=>?0253f>dkc9:;<==81c9ahn6789:8;?l4bmi34567;>9i7obd01234613j2hgg=>?01141g=edb:;<=><77`8fim789:;?:9m;cnh45678:=3n6lck12345501k1i`f>?01203dd?36`a?gjl89:;<>9lb:`oo567899?02:3f>dkc9:;<==71c9ahn6789:84?l4bmi34567;19i7obd012346>3j2hgg=>?011;1g=edb:;<=><87`8fim789:;?59m;cnh45678:23n6lck123455?1k1i`f>?0120?39`a?gjl89:;<>6lb:`oo5678993ho5mlj2345640lh0nae?01237=`e3kf`<=>?02;3f>dkc9:;<==61c9ahn6789:85?l4bmi34567;09i7obd012346?3j2hgg=>?011:1g=edb:;<=><97`8fim789:;?49m;cnh45678:33n6lck123455>1k1i`f>?0120=dd?38`a?gjl89:;<>7lb:`oo5678992ho5mlj2345641lh0nae?01237<`e3kf`<=>?02c3f>dkc9:;<==n1c9ahn6789:8m?l4bmi34567;h9i7obd012346g3j2hgg=>?011b1g=edb:;<=>?0120edd?3``a?gjl89:;<>olb:`oo567899jho5mlj234564ilh0nae?01237d`e3kf`<=>?02`3f>dkc9:;<==m1c9ahn6789:8n?l4bmi34567;k9i7obd012346d3j2hgg=>?011a1g=edb:;<=>?0120fdd?3c`a?gjl89:;<>llb:`oo567899iho5mlj234564jlh0nae?01237g`e3kf`<=>?02a3f>dkc9:;<==l1c9ahn6789:8o?l4bmi34567;j9i7obd012346e3j2hgg=>?011`1g=edb:;<=>?0120gdd?3b`a?gjl89:;<>mlb:`oo567899hho5mlj234564klh0nae?01237f`e3kf`<=>?02f3f>dkc9:;<==k1c9ahn6789:8h?l4bmi34567;m9i7obd012346b3j2hgg=>?011g1g=edb:;<=>?0120`dd?3e`a?gjl89:;<>jlb:`oo567899oho5mlj234564llh0nae?01237a`e3kf`<=>?02g3f>dkc9:;<==j1c9ahn6789:8i?l4bmi34567;l9i7obd012346c3j2hgg=>?011f1g=edb:;<=>?0120add?3d`a?gjl89:;<>klb:`oo567899nho5mlj234564mlh0nae?01237``e3kf`<=>?02d3f>dkc9:;<==i1c9ahn6789:8j?l4bmi34567;o9i7obd012346`3j2hgg=>?011e1g=edb:;<=>?0120bdd?3g`a?gjl89:;<>hlb:`oo567899mho5mlj234564nlh0nae?01237c`e3kf`<=>?0523f>dkc9:;<=:?1c9ahn6789:??01631g=edb:;<=>;07`8fim789:;8=9m;cnh45678=:3n6lck12345271k1i`f>?01274dd?41`a?gjl89:;<9>lb:`oo56789>;ho5mlj2345638lh0nae?012305`e3kf`<=>?0533f>dkc9:;<=:>1c9ahn6789:?=?l4bmi34567<89i7obd01234173j2hgg=>?01621g=edb:;<=>;17`8fim789:;8<9m;cnh45678=;3n6lck12345261k1i`f>?01275dd?40`a?gjl89:;<9?lb:`oo56789>:ho5mlj2345639lh0nae?012304`e3kf`<=>?0503f>dkc9:;<=:=1c9ahn6789:?>?l4bmi34567<;9i7obd01234143j2hgg=>?01611g=edb:;<=>;27`8fim789:;8?9m;cnh45678=83n6lck12345251k1i`f>?01276dd?43`a?gjl89:;<99ho5mlj234563:lh0nae?012307`e3kf`<=>?0513f>dkc9:;<=:<1c9ahn6789:???l4bmi34567<:9i7obd01234153j2hgg=>?01601g=edb:;<=>;37`8fim789:;8>9m;cnh45678=93n6lck12345241k1i`f>?01277dd?42`a?gjl89:;<9=lb:`oo56789>8ho5mlj234563;lh0nae?012306`e3kf`<=>?0563f>dkc9:;<=:;1c9ahn6789:?8?l4bmi34567<=9i7obd01234123j2hgg=>?01671g=edb:;<=>;47`8fim789:;899m;cnh45678=>3n6lck12345231k1i`f>?01270dd?45`a?gjl89:;<9:lb:`oo56789>?ho5mlj234563?0573f>dkc9:;<=::1c9ahn6789:?9?l4bmi34567<<9i7obd01234133j2hgg=>?01661g=edb:;<=>;57`8fim789:;889m;cnh45678=?3n6lck12345221k1i`f>?01271dd?44`a?gjl89:;<9;lb:`oo56789>>ho5mlj234563=lh0nae?012300`e3kf`<=>?0543f>dkc9:;<=:91c9ahn6789:?:?l4bmi34567?01651g=edb:;<=>;67`8fim789:;8;9m;cnh45678=<3n6lck12345211k1i`f>?01272dd?47`a?gjl89:;<98lb:`oo56789>=ho5mlj234563>lh0nae?012303`e3kf`<=>?0553f>dkc9:;<=:81c9ahn6789:?;?l4bmi34567<>9i7obd01234113j2hgg=>?01641g=edb:;<=>;77`8fim789:;8:9m;cnh45678==3n6lck12345201k1i`f>?01273dd?46`a?gjl89:;<99lb:`oo56789>?05:3f>dkc9:;<=:71c9ahn6789:?4?l4bmi34567<19i7obd012341>3j2hgg=>?016;1g=edb:;<=>;87`8fim789:;859m;cnh45678=23n6lck123452?1k1i`f>?0127?49`a?gjl89:;<96lb:`oo56789>3ho5mlj2345630lh0nae?01230=`e3kf`<=>?05;3f>dkc9:;<=:61c9ahn6789:?5?l4bmi34567<09i7obd012341?3j2hgg=>?016:1g=edb:;<=>;97`8fim789:;849m;cnh45678=33n6lck123452>1k1i`f>?0127=dd?48`a?gjl89:;<97lb:`oo56789>2ho5mlj2345631lh0nae?01230<`e3kf`<=>?05c3f>dkc9:;<=:n1c9ahn6789:?m?l4bmi34567?016b1g=edb:;<=>;a7`8fim789:;8l9m;cnh45678=k3n6lck123452f1k1i`f>?0127edd?4``a?gjl89:;<9olb:`oo56789>jho5mlj234563ilh0nae?01230d`e3kf`<=>?05`3f>dkc9:;<=:m1c9ahn6789:?n?l4bmi34567?016a1g=edb:;<=>;b7`8fim789:;8o9m;cnh45678=h3n6lck123452e1k1i`f>?0127fdd?4c`a?gjl89:;<9llb:`oo56789>iho5mlj234563jlh0nae?01230g`e3kf`<=>?05a3f>dkc9:;<=:l1c9ahn6789:?o?l4bmi34567?016`1g=edb:;<=>;c7`8fim789:;8n9m;cnh45678=i3n6lck123452d1k1i`f>?0127gdd?4b`a?gjl89:;<9mlb:`oo56789>hho5mlj234563klh0nae?01230f`e3kf`<=>?05f3f>dkc9:;<=:k1c9ahn6789:?h?l4bmi34567?016g1g=edb:;<=>;d7`8fim789:;8i9m;cnh45678=n3n6lck123452c1k1i`f>?0127`dd?4e`a?gjl89:;<9jlb:`oo56789>oho5mlj234563llh0nae?01230a`e3kf`<=>?05g3f>dkc9:;<=:j1c9ahn6789:?i?l4bmi34567?016f1g=edb:;<=>;e7`8fim789:;8h9m;cnh45678=o3n6lck123452b1k1i`f>?0127add?4d`a?gjl89:;<9klb:`oo56789>nho5mlj234563mlh0nae?01230``e3kf`<=>?05d3f>dkc9:;<=:i1c9ahn6789:?j?l4bmi34567?016e1g=edb:;<=>;f7`8fim789:;8k9m;cnh45678=l3n6lck123452a1k1i`f>?0127bdd?4g`a?gjl89:;<9hlb:`oo56789>mho5mlj234563nlh0nae?01230c`e3kf`<=>?0423f>dkc9:;<=;?1c9ahn6789:>?01731g=edb:;<=>:07`8fim789:;9=9m;cnh45678<:3n6lck12345371k1i`f>?01264dd?51`a?gjl89:;<8>lb:`oo56789?;ho5mlj2345628lh0nae?012315`e3kf`<=>?0433f>dkc9:;<=;>1c9ahn6789:>=?l4bmi34567=89i7obd01234073j2hgg=>?01721g=edb:;<=>:17`8fim789:;9<9m;cnh45678<;3n6lck12345361k1i`f>?01265dd?50`a?gjl89:;<8?lb:`oo56789?:ho5mlj2345629lh0nae?012314`e3kf`<=>?0403f>dkc9:;<=;=1c9ahn6789:>>?l4bmi34567=;9i7obd01234043j2hgg=>?01711g=edb:;<=>:27`8fim789:;9?9m;cnh45678<83n6lck12345351k1i`f>?01266dd?53`a?gjl89:;<8?0413f>dkc9:;<=;<1c9ahn6789:>??l4bmi34567=:9i7obd01234053j2hgg=>?01701g=edb:;<=>:37`8fim789:;9>9m;cnh45678<93n6lck12345341k1i`f>?01267dd?52`a?gjl89:;<8=lb:`oo56789?8ho5mlj234562;lh0nae?012316`e3kf`<=>?0463f>dkc9:;<=;;1c9ahn6789:>8?l4bmi34567==9i7obd01234023j2hgg=>?01771g=edb:;<=>:47`8fim789:;999m;cnh45678<>3n6lck12345331k1i`f>?01260dd?55`a?gjl89:;<8:lb:`oo56789??ho5mlj234562?0473f>dkc9:;<=;:1c9ahn6789:>9?l4bmi34567=<9i7obd01234033j2hgg=>?01761g=edb:;<=>:57`8fim789:;989m;cnh45678?01261dd?54`a?gjl89:;<8;lb:`oo56789?>ho5mlj234562=lh0nae?012310`e3kf`<=>?0443f>dkc9:;<=;91c9ahn6789:>:?l4bmi34567=?9i7obd01234003j2hgg=>?01751g=edb:;<=>:67`8fim789:;9;9m;cnh45678<<3n6lck12345311k1i`f>?01262dd?57`a?gjl89:;<88lb:`oo56789?=ho5mlj234562>lh0nae?012313`e3kf`<=>?0453f>dkc9:;<=;81c9ahn6789:>;?l4bmi34567=>9i7obd01234013j2hgg=>?01741g=edb:;<=>:77`8fim789:;9:9m;cnh45678<=3n6lck12345301k1i`f>?01263dd?56`a?gjl89:;<89lb:`oo56789??04:3f>dkc9:;<=;71c9ahn6789:>4?l4bmi34567=19i7obd012340>3j2hgg=>?017;1g=edb:;<=>:87`8fim789:;959m;cnh45678<23n6lck123453?1k1i`f>?0126?59`a?gjl89:;<86lb:`oo56789?3ho5mlj2345620lh0nae?01231=`e3kf`<=>?04;3f>dkc9:;<=;61c9ahn6789:>5?l4bmi34567=09i7obd012340?3j2hgg=>?017:1g=edb:;<=>:97`8fim789:;949m;cnh45678<33n6lck123453>1k1i`f>?0126=dd?58`a?gjl89:;<87lb:`oo56789?2ho5mlj2345621lh0nae?01231<`e3kf`<=>?04c3f>dkc9:;<=;n1c9ahn6789:>m?l4bmi34567=h9i7obd012340g3j2hgg=>?017b1g=edb:;<=>:a7`8fim789:;9l9m;cnh45678?0126edd?5``a?gjl89:;<8olb:`oo56789?jho5mlj234562ilh0nae?01231d`e3kf`<=>?04`3f>dkc9:;<=;m1c9ahn6789:>n?l4bmi34567=k9i7obd012340d3j2hgg=>?017a1g=edb:;<=>:b7`8fim789:;9o9m;cnh45678?0126fdd?5c`a?gjl89:;<8llb:`oo56789?iho5mlj234562jlh0nae?01231g`e3kf`<=>?04a3f>dkc9:;<=;l1c9ahn6789:>o?l4bmi34567=j9i7obd012340e3j2hgg=>?017`1g=edb:;<=>:c7`8fim789:;9n9m;cnh45678?0126gdd?5b`a?gjl89:;<8mlb:`oo56789?hho5mlj234562klh0nae?01231f`e3kf`<=>?04f3f>dkc9:;<=;k1c9ahn6789:>h?l4bmi34567=m9i7obd012340b3j2hgg=>?017g1g=edb:;<=>:d7`8fim789:;9i9m;cnh45678?0126`dd?5e`a?gjl89:;<8jlb:`oo56789?oho5mlj234562llh0nae?01231a`e3kf`<=>?04g3f>dkc9:;<=;j1c9ahn6789:>i?l4bmi34567=l9i7obd012340c3j2hgg=>?017f1g=edb:;<=>:e7`8fim789:;9h9m;cnh45678?0126add?5d`a?gjl89:;<8klb:`oo56789?nho5mlj234562mlh0nae?01231``e3kf`<=>?04d3f>dkc9:;<=;i1c9ahn6789:>j?l4bmi34567=o9i7obd012340`3j2hgg=>?017e1g=edb:;<=>:f7`8fim789:;9k9m;cnh45678?0126bdd?5g`a?gjl89:;<8hlb:`oo56789?mho5mlj234562nlh0nae?01231c`e3kf`<=>?0723f>dkc9:;<=8?1c9ahn6789:=99i7obd01234363j2hgg=>?01431g=edb:;<=>907`8fim789:;:=9m;cnh45678?:3n6lck12345071k1i`f>?01254dd?61`a?gjl89:;<;>lb:`oo56789<;ho5mlj2345618lh0nae?012325`e3kf`<=>?0733f>dkc9:;<=8>1c9ahn6789:==?l4bmi34567>89i7obd01234373j2hgg=>?01421g=edb:;<=>917`8fim789:;:<9m;cnh45678?;3n6lck12345061k1i`f>?01255dd?60`a?gjl89:;<;?lb:`oo56789<:ho5mlj2345619lh0nae?012324`e3kf`<=>?0703f>dkc9:;<=8=1c9ahn6789:=>?l4bmi34567>;9i7obd01234343j2hgg=>?01411g=edb:;<=>927`8fim789:;:?9m;cnh45678?83n6lck12345051k1i`f>?01256dd?63`a?gjl89:;<;?0713f>dkc9:;<=8<1c9ahn6789:=??l4bmi34567>:9i7obd01234353j2hgg=>?01401g=edb:;<=>937`8fim789:;:>9m;cnh45678?93n6lck12345041k1i`f>?01257dd?62`a?gjl89:;<;=lb:`oo56789<8ho5mlj234561;lh0nae?012326`e3kf`<=>?0763f>dkc9:;<=8;1c9ahn6789:=8?l4bmi34567>=9i7obd01234323j2hgg=>?01471g=edb:;<=>947`8fim789:;:99m;cnh45678?>3n6lck12345031k1i`f>?01250dd?65`a?gjl89:;<;:lb:`oo56789?0773f>dkc9:;<=8:1c9ahn6789:=9?l4bmi34567><9i7obd01234333j2hgg=>?01461g=edb:;<=>957`8fim789:;:89m;cnh45678??3n6lck12345021k1i`f>?01251dd?64`a?gjl89:;<;;lb:`oo56789<>ho5mlj234561=lh0nae?012320`e3kf`<=>?0743f>dkc9:;<=891c9ahn6789:=:?l4bmi34567>?9i7obd01234303j2hgg=>?01451g=edb:;<=>967`8fim789:;:;9m;cnh45678?<3n6lck12345011k1i`f>?01252dd?67`a?gjl89:;<;8lb:`oo56789<=ho5mlj234561>lh0nae?012323`e3kf`<=>?0753f>dkc9:;<=881c9ahn6789:=;?l4bmi34567>>9i7obd01234313j2hgg=>?01441g=edb:;<=>977`8fim789:;::9m;cnh45678?=3n6lck12345001k1i`f>?01253dd?66`a?gjl89:;<;9lb:`oo56789<?07:3f>dkc9:;<=871c9ahn6789:=4?l4bmi34567>19i7obd012343>3j2hgg=>?014;1g=edb:;<=>987`8fim789:;:59m;cnh45678?23n6lck123450?1k1i`f>?0125?69`a?gjl89:;<;6lb:`oo56789<3ho5mlj2345610lh0nae?01232=`e3kf`<=>?07;3f>dkc9:;<=861c9ahn6789:=5?l4bmi34567>09i7obd012343?3j2hgg=>?014:1g=edb:;<=>997`8fim789:;:49m;cnh45678?33n6lck123450>1k1i`f>?0125=dd?68`a?gjl89:;<;7lb:`oo56789<2ho5mlj2345611lh0nae?01232<`e3kf`<=>?07c3f>dkc9:;<=8n1c9ahn6789:=m?l4bmi34567>h9i7obd012343g3j2hgg=>?014b1g=edb:;<=>9a7`8fim789:;:l9m;cnh45678?k3n6lck123450f1k1i`f>?0125edd?6``a?gjl89:;<;olb:`oo56789?07`3f>dkc9:;<=8m1c9ahn6789:=n?l4bmi34567>k9i7obd012343d3j2hgg=>?014a1g=edb:;<=>9b7`8fim789:;:o9m;cnh45678?h3n6lck123450e1k1i`f>?0125fdd?6c`a?gjl89:;<;llb:`oo56789?07a3f>dkc9:;<=8l1c9ahn6789:=o?l4bmi34567>j9i7obd012343e3j2hgg=>?014`1g=edb:;<=>9c7`8fim789:;:n9m;cnh45678?i3n6lck123450d1k1i`f>?0125gdd?6b`a?gjl89:;<;mlb:`oo56789?07f3f>dkc9:;<=8k1c9ahn6789:=h?l4bmi34567>m9i7obd012343b3j2hgg=>?014g1g=edb:;<=>9d7`8fim789:;:i9m;cnh45678?n3n6lck123450c1k1i`f>?0125`dd?6e`a?gjl89:;<;jlb:`oo56789?07g3f>dkc9:;<=8j1c9ahn6789:=i?l4bmi34567>l9i7obd012343c3j2hgg=>?014f1g=edb:;<=>9e7`8fim789:;:h9m;cnh45678?o3n6lck123450b1k1i`f>?0125add?6d`a?gjl89:;<;klb:`oo56789?07d3f>dkc9:;<=8i1c9ahn6789:=j?l4bmi34567>o9i7obd012343`3j2hgg=>?014e1g=edb:;<=>9f7`8fim789:;:k9m;cnh45678?l3n6lck123450a1k1i`f>?0125bdd?6g`a?gjl89:;<;hlb:`oo56789?0623f>dkc9:;<=9?1c9ahn6789:<?01531g=edb:;<=>807`8fim789:;;=9m;cnh45678>:3n6lck12345171k1i`f>?01244dd?71`a?gjl89:;<:>lb:`oo56789=;ho5mlj2345608lh0nae?012335`e3kf`<=>?0633f>dkc9:;<=9>1c9ahn6789:<=?l4bmi34567?89i7obd01234273j2hgg=>?01521g=edb:;<=>817`8fim789:;;<9m;cnh45678>;3n6lck12345161k1i`f>?01245dd?70`a?gjl89:;<:?lb:`oo56789=:ho5mlj2345609lh0nae?012334`e3kf`<=>?0603f>dkc9:;<=9=1c9ahn6789:<>?l4bmi34567?;9i7obd01234243j2hgg=>?01511g=edb:;<=>827`8fim789:;;?9m;cnh45678>83n6lck12345151k1i`f>?01246dd?73`a?gjl89:;<:?0613f>dkc9:;<=9<1c9ahn6789:?01501g=edb:;<=>837`8fim789:;;>9m;cnh45678>93n6lck12345141k1i`f>?01247dd?72`a?gjl89:;<:=lb:`oo56789=8ho5mlj234560;lh0nae?012336`e3kf`<=>?0663f>dkc9:;<=9;1c9ahn6789:<8?l4bmi34567?=9i7obd01234223j2hgg=>?01571g=edb:;<=>847`8fim789:;;99m;cnh45678>>3n6lck12345131k1i`f>?01240dd?75`a?gjl89:;<::lb:`oo56789=?ho5mlj234560?0673f>dkc9:;<=9:1c9ahn6789:<9?l4bmi34567?<9i7obd01234233j2hgg=>?01561g=edb:;<=>857`8fim789:;;89m;cnh45678>?3n6lck12345121k1i`f>?01241dd?74`a?gjl89:;<:;lb:`oo56789=>ho5mlj234560=lh0nae?012330`e3kf`<=>?0643f>dkc9:;<=991c9ahn6789:<:?l4bmi34567??9i7obd01234203j2hgg=>?01551g=edb:;<=>867`8fim789:;;;9m;cnh45678><3n6lck12345111k1i`f>?01242dd?77`a?gjl89:;<:8lb:`oo56789==ho5mlj234560>lh0nae?012333`e3kf`<=>?0653f>dkc9:;<=981c9ahn6789:<;?l4bmi34567?>9i7obd01234213j2hgg=>?01541g=edb:;<=>877`8fim789:;;:9m;cnh45678>=3n6lck12345101k1i`f>?01243dd?76`a?gjl89:;<:9lb:`oo56789=?06:3f>dkc9:;<=971c9ahn6789:<4?l4bmi34567?1997nkn;bjbjZoi 9#h7nfnn^km85<76k1hdl`Potv+4,behmoUoec&>0(a8gjcaWmce$$m4cnge[aoi 89"o6m`eg]gmk.6< i0obki_ekm,43.k2idikQkio*22,eehmoUoec&=)c9`k``Xl`d#?$l4cnge[aoi =#i7najf^fjj-3.j2idikQkio*5-g=dgllThd`'7(`8gjcaWmce$5'm;bmfbZbnf!3"n6m`eg]gmk:76j1hchhPdhl?558d3jenjRjfn=32:f=dgllThd`313<`?fibnVnbb1?<>b9`k``Xl`d7=90l;bmfbZbnf5;>2n5lodd\`lh;9?4n7najf^fjj970294h7najf^fjj9706k1hchhPdhl?5;dehmoUoec27>c9`k``Xl`d753?=;bmfbZ`nd}oyS~kc(1+20>ehmoUmeazjr^qfh96=87=0obki_mf4?fibnV}nm6jnt`]ueioc>2nbb%>&6:fjj-7.?2nbb%??)69gmk.69 =0hd`'13+4?aoi 89";6jfn)37-2=cag":9$94dhl+53/03mce$<9&7:fjj-7?!>1oec&>9(48`lh/: =0hd`'21+4?aoi ;;";6jfn)01-2=cag"9?$84dhl+7,0>4?>99gmkY6 9#37iga_0*2-<=cagU:$<>&9:fjjZ7/98#27iga_0*26,?"56jfn^3+50/>3mceS<&>6(;8`lhX9!;<%45kio]2,4>.12nbbR?'18+;?aoiW8"9%45kio]2,76.12nbbR?'20+:?aoiW8"9>$74dhl\5-44!11oecQ>(2+;?aoiW8"?%55kio]2,0/?3mceS<&9)99gmkY6 >#37iga_0*;-==cagU:$4'6;ekm[46/8 30hd`P11*2-d=cagU:<%??)`9gmkY68!;:%l5kio]24-75!h1oecQ>0)30-d=cagU:<%?;)`9gmkY68!;>%l5kio]24-71!h1oecQ>0)34-d=cagU:<%?7)`9gmkY68!;2%45kio]24-4.i2nbbR??(32*e>bnfV;;$??&a:fjjZ77 ;8"m6jfn^33,75.12nbbR??(2+:?aoiW8:#8$74dhl\55.2!01oecQ>0)4*=>bnfV;;$:'6;ekm[46/0 30hd`P11*:-f=cagU:<1<<:1<:?aoiW8;#<$74dhl\54.6!h1oecQ>1)33-d=cagU:=%?>)`9gmkY69!;9%l5kio]25-74!h1oecQ>1)37-d=cagU:=%?:)`9gmkY69!;=%l5kio]25-70!h1oecQ>1)3;-d=cagU:=%?6)89gmkY69!8"m6jfn^32,76.i2nbbR?>(33*e>bnfV;:$?<&a:fjjZ76 ;9"56jfn^32,6/>3mceS%45kio]25-0.12nbbR?>(6+:?aoiW8;#4$74dhl\54.>!j1oecQ>1=00>58>3mceS<<'0(;8`lhX9;":%l5kio]26-77!h1oecQ>2)32-d=cagU:>%?=)`9gmkY6:!;8%l5kio]26-73!h1oecQ>2)36-d=cagU:>%?9)`9gmkY6:!;<%l5kio]26-7?!h1oecQ>2)3:-<=cagU:>%<&a:fjjZ75 ;:"m6jfn^31,77.i2nbbR?=(30*e>bnfV;9$?=&9:fjjZ75 :#27iga_00+0,?3mceS<<'8(;8`lhX9;"2%n5kio]2694429427iga_01+4,?&>)`9gmkY6;!;;%l5kio]27-76!h1oecQ>3)31-d=cagU:?%?<)`9gmkY6;!;?%l5kio]27-72!h1oecQ>3)35-d=cagU:?%?8)`9gmkY6;!;3%l5kio]27-7>!01oecQ>3)0*e>bnfV;8$?>&a:fjjZ74 ;;"m6jfn^30,74.i2nbbR?<(31*=>bnfV;8$>'6;ekm[45/< 30hd`P12*6-<=cagU:?%8&9:fjjZ74 >#27iga_01+<,?&6)b9gmkY6;5886=06;ekm[42/8 30hd`P15*2-d=cagU:8%??)`9gmkY64)30-d=cagU:8%?;)`9gmkY6%l5kio]20-71!h1oecQ>4)34-d=cagU:8%?7)`9gmkY6bnfV;?$??&a:fjjZ73 ;8"m6jfn^37,75.12nbbR?;(2+:?aoiW8>#8$74dhl\51.2!01oecQ>4)4*=>bnfV;?$:'6;ekm[42/0 30hd`P15*:-f=cagU:81<<:1<:?aoiW8?#<$74dhl\50.6!h1oecQ>5)33-d=cagU:9%?>)`9gmkY6=!;9%l5kio]21-74!h1oecQ>5)37-d=cagU:9%?:)`9gmkY6=!;=%l5kio]21-70!h1oecQ>5)3;-d=cagU:9%?6)89gmkY6=!8"m6jfn^36,76.i2nbbR?:(33*e>bnfV;>$?<&a:fjjZ72 ;9"56jfn^36,6/>3mceS<;'4(;8`lhX9<">%45kio]21-0.12nbbR?:(6+:?aoiW8?#4$74dhl\50.>!j1oecQ>5=00>58>3mceS<8'0(;8`lhX9?":%l5kio]22-77!h1oecQ>6)32-d=cagU::%?=)`9gmkY6>!;8%l5kio]22-73!h1oecQ>6)36-d=cagU::%?9)`9gmkY6>!;<%l5kio]22-7?!h1oecQ>6)3:-<=cagU::%<&a:fjjZ71 ;:"m6jfn^35,77.i2nbbR?9(30*e>bnfV;=$?=&9:fjjZ71 :#27iga_04+0,?!<"56jfn^35,2/>3mceS<8'8(;8`lhX9?"2%n5kio]2294429437iga_0>3:<=cagU:0<>19:fjjZ7;98427iga_0>26;?89gmkY648>556jfn^3?508>3mceS<2>6?;8`lhX95;<245kio]284>912nbbR?318<;?aoiW86:245kio]2876912nbbR?320<:?aoiW869>3l4dhl\594429427iga_0>17;>bnfV;79364dhl\590902nbbR?37?:8`lhX952546jfn^3?=;>%>&8:fjjZ4/9 30hd`P2)33-<=cagU9$%?;)89gmkY5 8?"56jfn^0+53/>3mceS?&>7(;8`lhX:!;3%45kio]1,4?.02nbbR<'2(;8`lhX:!8;%45kio]1,77.12nbbR<'23+:?aoiW;"9?$64dhl\6-5.02nbbR<'4(:8`lhX:!?"46jfn^0+2,>%9&8:fjjZ4/0 20hd`P2);*<>bnfV87<374dhl\6977601oecQ=<03==>bnfV87=?06;ekm[7:6;730hd`P2=37:<=cagU90<;19:fjjZ4;9?427iga_3>23;?1?7>89gmkY5483546jfn^0?5;?189gmkY54;;556jfn^0?678e3mceS?2=3;2==>bnfV87>>07;ekm[7:5611oecQ=<2<;?aoiW;6?255kio]1808?3mceS?29>99gmkY54>437iga_3>;:==cagU90407;ekm[6.7!11oecQ<(0+:?aoiW:":<$74dhl\7-76!01oecQ<(00*=>bnfV9#=>'6;ekm[6.6< 30hd`P3)36-<=cagU8$<8&9:fjjZ5/9>#27iga_2*2<,?)89gmkY4 ;8"56jfn^1+66/?3mceS>&<)99gmkY4 =#37iga_2*6-==cagU8$;'7;ekm[6.0!11oecQ<(9+;?aoiW:"2%o5kio]0875=8720hd`P4)2*<>bnfV>#=$74dhl\0-77!01oecQ;(03*=>bnfV>#=?'6;ekm[1.6; 30hd`P4)37-<=cagU?$<;&9:fjjZ2/9?#27iga_5*23,?3mceS9&=3(:8`lhX 20hd`P4)5*<>bnfV>#4$64dhl\0-?.j2nbbR:32283:==cagU>$='7;ekm[0.6!01oecQ:(02*=>bnfV?#=<'6;ekm[0.6: 30hd`P5)30-<=cagU>$<:&9:fjjZ3/9<#27iga_4*22,?3mceS8&=2(;8`lhX=!88%55kio]6,6/?3mceS8&;)99gmkY2 <#37iga_4*5-==cagU>$:'7;ekm[0.?!11oecQ:(8+a?aoiW<69?7>18:fjjZ0/8 20hd`P6)3*=>bnfV<#=='6;ekm[3.69 30hd`P6)31-<=cagU=$<=&9:fjjZ0/9=#27iga_7*21,?3mceS;&=1(;8`lhX>!89%45kio]5,75.02nbbR8'3(:8`lhX>!>"46jfn^4+1,>bnfV<#5$l4dhl\294429437iga_6*3-==cagU<$<'6;ekm[2.68 30hd`P7)32-<=cagU<$<<&9:fjjZ1/9:#27iga_6*20,?3mceS:&>8(;8`lhX?!;2%55kio]4,7/>3mceS:&=0(;8`lhX?!8:%45kio]4,74.12nbbR9'22+;?aoiW>"8%55kio]4,1/?3mceS:&:)99gmkY0 ?#37iga_6*4-==cagU<$5'7;ekm[2.>!k1oecQ8<3194;>&8:fjjZ>/9 30hd`P8)33-<=cagU3$/9;#27iga_9*27,?3mceS5&>7(;8`lhX0!;3%45kio];,4?.02nbbR6'2(;8`lhX0!8;%45kio];,77.12nbbR6'23+:?aoiW1"9?$64dhl\<-5.02nbbR6'4(:8`lhX0!?"46jfn^:+2,>/0 20hd`P8);*f>bnfV27>>4?>99gmkY> 9#37iga_8*2-<=cagU2$<>&9:fjjZ?/98#27iga_8*26,? 8>"56jfn^;+50/>3mceS4&>6(;8`lhX1!;<%45kio]:,4>.12nbbR7'18+;?aoiW0"9%45kio]:,76.12nbbR7'20+:?aoiW0"9>$74dhl\=-44!11oecQ6(2+;?aoiW0"?%55kio]:,0/?3mceS4&9)99gmkY> >#37iga_8*;-==cagU2$4'm;ekm[<:5;3:5;6j`uu*3-2=cg|~#=$64dnww,46.02ndyy&>1(:8`jss 88"46j`uu*27,>bh}}":;$64dnww,4>.02ndyy&>9(58`jss ;#37iazt)03-==cg|~#><'7;emvp-45!11ocxz'22+;?air|!8?%55kotv+60/?3me~x%<9)99gkpr/:>#37iazt)0;-==cg|~#>4'8;emvp-5.02ndyy&<0(:8`jss :;"46j`uu*06,>=&8:flqq.4< 20hb{{(27*<>bh}}"8:$64dnww,61.02ndyy&<8(:8`jss :3";6j`uu*7-==cg|~#8='7;emvp-26!11ocxz'43+;?air|!>8%55kotv+01/?3me~x%::)99gkpr/bh}}"=%:5kotv+3,1bh}}U:$='6;emvpZ7/9 k0hb{{_0*24,g1(c8`jssW8":>$o4dnww[4.6; k0hb{{_0*20,g5(c8`jssW8"::$o4dnww[4.6? k0hb{{_0*2<,g9(;8`jssW8"9%l5kotv\5-47!h1ocxzP1)02-d=cg|~T=%<=)`9gkprX9!88%l5kotv\5-43!h1ocxzP1)06-d=cg|~T=%<9)`9gkprX9!8<%l5kotv\5-4?!h1ocxzP1)0:-<=cg|~T=%=&a:flqqY6 ::"m6j`uu]2,67.i2ndyyQ>(20*e>bh}}U:$>=&a:flqqY6 :>"m6j`uu]2,63.i2ndyyQ>(24*e>bh}}U:$>9&a:flqqY6 :2"m6j`uu]2,6?.12ndyyQ>(5+b?air|V;#8='n;emvpZ7/<8#j7iazt^3+07/f3me~xR?'42+b?air|V;#89'n;emvpZ7/<<#j7iazt^3+03/>3me~xR?'5(;8`jssW8"=%45kotv\5-1.12ndyyQ>(9+:?air|V;#5$o4dnww[46/8 k0hb{{_02+5,d'11+a?air|V;;$bh}}U:<%?9)c9gkprX99":;$l4dnww[46/91#i7iazt^33,4?.i2ndyyQ>0)0*f>bh}}U:<%0)07-g=cg|~T==&=5(`8`jssW8:#>;'m;emvpZ77 ;="n6j`uu]24-4?!k1ocxzP11*1=,g'3(`8`jssW8:#?='m;emvpZ77 :;"n6j`uu]24-55!k1ocxzP11*07,d'35+a?air|V;;$>;&b:flqqY68!9=%o5kotv\55.4? h0hb{{_02+7=/e3me~xR??(2;*e>bh}}U:<%:&b:flqqY68!>;%o5kotv\55.39 h0hb{{_02+07/e3me~xR??(51*f>bh}}U:<%:;)c9gkprX99"?9$l4dnww[46/1)31-g=cg|~T=<&>3(`8`jssW8;#=9'm;emvpZ76 8?"n6j`uu]25-71!k1ocxzP10*23,d(35*f>bh}}U:=%<7)c9gkprX98"95$o4dnww[47/; h0hb{{_03+75/e3me~xR?>(23*f>bh}}U:=%==)c9gkprX98"8?$l4dnww[47/;=#i7iazt^32,63.j2ndyyQ>1)15-g=cg|~T=<&<7(`8`jssW8;#?5'm;emvpZ76 :3"m6j`uu]25-2.j2ndyyQ>1)63-g=cg|~T=<&;1(`8`jssW8;#8?'m;emvpZ76 =9"n6j`uu]25-23!k1ocxzP10*71,d(9+b?air|V;:$4'k;emvpZ764=<1<3o4dnww[44/8 k0hb{{_00+5,dbh}}U:>%?9)c9gkprX9;":;$l4dnww[44/91#i7iazt^31,4?.i2ndyyQ>2)0*f>bh}}U:>%2)07-g=cg|~T=?&=5(`8`jssW88#>;'m;emvpZ75 ;="n6j`uu]26-4?!k1ocxzP13*1=,g;&b:flqqY6:!9=%o5kotv\57.4? h0hb{{_00+7=/e3me~xR?=(2;*e>bh}}U:>%:&b:flqqY6:!>;%o5kotv\57.39 h0hb{{_00+07/e3me~xR?=(51*f>bh}}U:>%:;)c9gkprX9;"?9$l4dnww[44/3)31-g=cg|~T=>&>3(`8`jssW89#=9'm;emvpZ74 8?"n6j`uu]27-71!k1ocxzP12*23,dbh}}U:?%<7)c9gkprX9:"95$o4dnww[45/; h0hb{{_01+75/e3me~xR?<(23*f>bh}}U:?%==)c9gkprX9:"8?$l4dnww[45/;=#i7iazt^30,63.j2ndyyQ>3)15-g=cg|~T=>&<7(`8`jssW89#?5'm;emvpZ74 :3"m6j`uu]27-2.j2ndyyQ>3)63-g=cg|~T=>&;1(`8`jssW89#8?'m;emvpZ74 =9"n6j`uu]27-23!k1ocxzP12*71,dbh}}U:8%?9)c9gkprX9=":;$l4dnww[42/91#i7iazt^37,4?.i2ndyyQ>4)0*f>bh}}U:8%4)07-g=cg|~T=9&=5(`8`jssW8>#>;'m;emvpZ73 ;="n6j`uu]20-4?!k1ocxzP15*1=,g#?='m;emvpZ73 :;"n6j`uu]20-55!k1ocxzP15*07,d;&b:flqqY6bh}}U:8%:&b:flqqY6;%o5kotv\51.39 h0hb{{_06+07/e3me~xR?;(51*f>bh}}U:8%:;)c9gkprX9="?9$l4dnww[42/5)31-g=cg|~T=8&>3(`8`jssW8?#=9'm;emvpZ72 8?"n6j`uu]21-71!k1ocxzP14*23,d$<7&a:flqqY6=!8"n6j`uu]21-47!k1ocxzP14*15,d$?=&b:flqqY6=!8?%o5kotv\50.5= h0hb{{_07+63/e3me~xR?:(35*f>bh}}U:9%<7)c9gkprX9<"95$o4dnww[43/; h0hb{{_07+75/e3me~xR?:(23*f>bh}}U:9%==)c9gkprX9<"8?$l4dnww[43/;=#i7iazt^36,63.j2ndyyQ>5)15-g=cg|~T=8&<7(`8`jssW8?#?5'm;emvpZ72 :3"m6j`uu]21-2.j2ndyyQ>5)63-g=cg|~T=8&;1(`8`jssW8?#8?'m;emvpZ72 =9"n6j`uu]21-23!k1ocxzP14*71,d$8'n;emvpZ72 ?#j7iazt^36,2/f3me~xR?:(9+b?air|V;>$4'k;emvpZ724=<1<3o4dnww[40/8 k0hb{{_04+5,d!;9%o5kotv\53.6; h0hb{{_04+51/e3me~xR?9(07*f>bh}}U::%?9)c9gkprX9?":;$l4dnww[40/91#i7iazt^35,4?.i2ndyyQ>6)0*f>bh}}U::%6)07-g=cg|~T=;&=5(`8`jssW8<#>;'m;emvpZ71 ;="n6j`uu]22-4?!k1ocxzP17*1=,g;&b:flqqY6>!9=%o5kotv\53.4? h0hb{{_04+7=/e3me~xR?9(2;*e>bh}}U::%:&b:flqqY6>!>;%o5kotv\53.39 h0hb{{_04+07/e3me~xR?9(51*f>bh}}U::%:;)c9gkprX9?"?9$l4dnww[40/`9gkprX95;;2l5kotv\59766h1ocxzP1=31:d=cg|~T=1?<>`9gkprX95;?2l5kotv\59726h1ocxzP1=35:d=cg|~T=1?8>`9gkprX95;32l5kotv\597>601ocxzP1=3=e>bh}}U:0?>1a:flqqY64;;5m6j`uu]28749i2ndyyQ><31=e>bh}}U:0?:1a:flqqY64;?5m6j`uu]28709i2ndyyQ><35=e>bh}}U:0?61a:flqqY64;3556j`uu]2878f3me~xR?33174;g3o4dnww[4:3;7k0hb{{_0>70;g1a:flqqY64=<556j`uu]2818>3me~xR?35?;8`jssW86=245kotv\591912ndyyQ><9<:?air|V;75374dnww[7.7!01ocxzP2)3*e>bh}}U9$<>&a:flqqY5 8;"m6j`uu]1,44.i2ndyyQ=(01*e>bh}}U9$<:&a:flqqY5 8?"m6j`uu]1,40.i2ndyyQ=(05*e>bh}}U9$<6&a:flqqY5 83"56j`uu]1,7/f3me~xR<'21+b?air|V8#><'n;emvpZ4/:;#j7iazt^0+66/f3me~xR<'25+b?air|V8#>8'n;emvpZ4/:?#j7iazt^0+62/f3me~xR<'29+b?air|V8#>4'6;emvpZ4/; k0hb{{_3*04,g$o4dnww[7.4; k0hb{{_3*00,g%:=)`9gkprX:!>8%l5kotv\6-23!h1ocxzP2)66-d=cg|~T>%:9)89gkprX:!?"56j`uu]1,3/>3me~xR<'7(;8`jssW;"3%45kotv\6-?.12ndyyQ=<117;g7k0hb{{_3>13;g1=>>`9gkprX:5992l5kotv\69546h1ocxzP2=17:d=cg|~T>1=:>`9gkprX:59=2l5kotv\69506h1ocxzP2=1;:d=cg|~T>1=6>89gkprX:595m6j`uu]18169i2ndyyQ=<53=e>bh}}U909<1a:flqqY54=95m6j`uu]18129i2ndyyQ=<57=g>bh}}U909850?c8`jssW;6?:374dnww[7:3601ocxzP2=7==>bh}}U90;06;emvpZ4;?730hb{{_3>;:<=cg|~T>1719:flqqY4 9#27iazt^1+5,g&>0(c8`jssW:":=$o4dnww[6.6: k0hb{{_2*27,g&>4(c8`jssW:":9$o4dnww[6.6> k0hb{{_2*23,g&>8(c8`jssW:":5$74dnww[6.5!h1ocxzP3)03-d=cg|~T?%<>)`9gkprX;!89%l5kotv\7-44!h1ocxzP3)07-d=cg|~T?%<:)`9gkprX;!8=%l5kotv\7-40!h1ocxzP3)0;-d=cg|~T?%<6)89gkprX;!9"m6j`uu]0,66.i2ndyyQ<(23*e>bh}}U8$><&a:flqqY4 :9"m6j`uu]0,62.i2ndyyQ<(27*e>bh}}U8$>8&a:flqqY4 :="m6j`uu]0,6>.i2ndyyQ<(2;*=>bh}}U8$9'n;emvpZ5/<9#j7iazt^1+04/f3me~xR='43+b?air|V9#8>'n;emvpZ5/<=#j7iazt^1+00/f3me~xR='47+:?air|V9#9$74dnww[6.1!01ocxzP3)5*=>bh}}U8$5'6;emvpZ5/1 i0hb{{_2>72?6912ndyyQ;(1+:?air|V>#=$o4dnww[1.68 k0hb{{_5*25,g2(c8`jssW=":?$o4dnww[1.6< k0hb{{_5*21,g6(c8`jssW=":;$o4dnww[1.60 k0hb{{_5*2=,?!01ocxzP4)1*e>bh}}U?$>>&a:flqqY3 :;"m6j`uu]7,64.i2ndyyQ;(21*e>bh}}U?$>:&a:flqqY3 :?"m6j`uu]7,60.i2ndyyQ;(25*e>bh}}U?$>6&a:flqqY3 :3"56j`uu]7,1/f3me~xR:'41+b?air|V>#8<'n;emvpZ2/<;#j7iazt^6+06/f3me~xR:'45+b?air|V>#88'n;emvpZ2/3me~xR:'9(a8`jssW=6?:7>19:flqqY2 9#27iazt^7+5,g0(c8`jssW<":=$o4dnww[0.6: k0hb{{_4*27,g4(c8`jssW<":9$o4dnww[0.6> k0hb{{_4*23,g8(c8`jssW<":5$74dnww[0.5!h1ocxzP5)03-d=cg|~T9%<>)`9gkprX=!89%l5kotv\1-44!h1ocxzP5)07-d=cg|~T9%<:)`9gkprX=!8=%l5kotv\1-40!h1ocxzP5)0;-d=cg|~T9%<6)89gkprX=!9"m6j`uu]6,66.i2ndyyQ:(23*e>bh}}U>$><&a:flqqY2 :9"m6j`uu]6,62.i2ndyyQ:(27*e>bh}}U>$>8&a:flqqY2 :="m6j`uu]6,6>.i2ndyyQ:(2;*=>bh}}U>$9'n;emvpZ3/<9#j7iazt^7+04/f3me~xR;'43+b?air|V?#8>'n;emvpZ3/<=#j7iazt^7+00/f3me~xR;'47+:?air|V?#9$74dnww[0.1!01ocxzP5)5*=>bh}}U>$5'6;emvpZ3/1 i0hb{{_4>72?6912ndyyQ9(1+:?air|V<#=$o4dnww[3.68 k0hb{{_7*25,g2(c8`jssW?":?$o4dnww[3.6< k0hb{{_7*21,g6(c8`jssW?":;$o4dnww[3.60 k0hb{{_7*2=,?!8;%l5kotv\2-46!h1ocxzP6)01-d=cg|~T:%<<)`9gkprX>!8?%l5kotv\2-42!h1ocxzP6)05-d=cg|~T:%<8)`9gkprX>!83%l5kotv\2-4>!01ocxzP6)1*e>bh}}U=$>>&a:flqqY1 :;"m6j`uu]5,64.i2ndyyQ9(21*e>bh}}U=$>:&a:flqqY1 :?"m6j`uu]5,60.i2ndyyQ9(25*e>bh}}U=$>6&a:flqqY1 :3"56j`uu]5,1/f3me~xR8'41+b?air|V<#8<'n;emvpZ0/<;#j7iazt^4+06/f3me~xR8'45+b?air|V<#88'n;emvpZ0/!="56j`uu]5,=/>3me~xR8'9(a8`jssW?6?:7>19:flqqY0 9#27iazt^5+5,g0(c8`jssW>":=$o4dnww[2.6: k0hb{{_6*27,g4(c8`jssW>":9$o4dnww[2.6> k0hb{{_6*23,g8(c8`jssW>":5$74dnww[2.5!h1ocxzP7)03-d=cg|~T;%<>)`9gkprX?!89%l5kotv\3-44!h1ocxzP7)07-d=cg|~T;%<:)`9gkprX?!8=%l5kotv\3-40!h1ocxzP7)0;-d=cg|~T;%<6)89gkprX?!9"m6j`uu]4,66.i2ndyyQ8(23*e>bh}}U<$><&a:flqqY0 :9"m6j`uu]4,62.i2ndyyQ8(27*e>bh}}U<$>8&a:flqqY0 :="m6j`uu]4,6>.i2ndyyQ8(2;*=>bh}}U<$9'n;emvpZ1/<9#j7iazt^5+04/f3me~xR9'43+b?air|V=#8>'n;emvpZ1/<=#j7iazt^5+00/f3me~xR9'47+:?air|V=#9$74dnww[2.1!01ocxzP7)5*=>bh}}U<$5'6;emvpZ1/1 i0hb{{_6>72?6912ndyyQ7(1+:?air|V2#=$o4dnww[=.68 k0hb{{_9*25,g2(c8`jssW1":?$o4dnww[=.6< k0hb{{_9*21,g6(c8`jssW1":;$o4dnww[=.60 k0hb{{_9*2=,?!01ocxzP8)1*e>bh}}U3$>>&a:flqqY? :;"m6j`uu];,64.i2ndyyQ7(21*e>bh}}U3$>:&a:flqqY? :?"m6j`uu];,60.i2ndyyQ7(25*e>bh}}U3$>6&a:flqqY? :3"56j`uu];,1/f3me~xR6'41+b?air|V2#8<'n;emvpZ>/<;#j7iazt^:+06/f3me~xR6'45+b?air|V2#88'n;emvpZ>/3me~xR6'9(a8`jssW16?:7>19:flqqY> 9#27iazt^;+5,g0(c8`jssW0":=$o4dnww[<.6: k0hb{{_8*27,g4(c8`jssW0":9$o4dnww[<.6> k0hb{{_8*23,g8(c8`jssW0":5$74dnww[<.5!h1ocxzP9)03-d=cg|~T5%<>)`9gkprX1!89%l5kotv\=-44!h1ocxzP9)07-d=cg|~T5%<:)`9gkprX1!8=%l5kotv\=-40!h1ocxzP9)0;-d=cg|~T5%<6)89gkprX1!9"m6j`uu]:,66.i2ndyyQ6(23*e>bh}}U2$><&a:flqqY> :9"m6j`uu]:,62.i2ndyyQ6(27*e>bh}}U2$>8&a:flqqY> :="m6j`uu]:,6>.i2ndyyQ6(2;*=>bh}}U2$9'n;emvpZ?/<9#j7iazt^;+04/f3me~xR7'43+b?air|V3#8>'n;emvpZ?/<=#j7iazt^;+00/f3me~xR7'47+:?air|V3#9$74dnww[<.1!01ocxzP9)5*=>bh}}U2$5'6;emvpZ?/1 i0hb{{_8>72?6912ndyyQf(1+:?air|Vc#=$o4dnww[l.68 k0hb{{_h*25,g2(c8`jssW`":?$o4dnww[l.6< k0hb{{_h*21,g6(c8`jssW`":;$o4dnww[l.60 k0hb{{_h*2=,?3me~xRg'5(;8`jssW`"=%45kotv\m-1.12ndyyQf(9+:?air|Vc#5$m4dnww[l:5;3:5n6j`uu]j[4.7!k1ocxzPi^3+5,e(02*g>bh}}UbS<&>1(a8`jssW`U:$<<&c:flqqYnW8":?$m4dnww[lY6 8>"o6j`uu]j[4.6= i0hb{{_h]2,40.k2ndyyQf_0*23,e(0:*g>bh}}UbS<&>9(`8`jssW`U:$?'l;emvpZoX9!8;%n5kotv\mZ7/:8#h7iazt^k\5-45!j1ocxzPi^3+66/e3me~xRgP1)1*f>bh}}UbS<&;)c9gkprXaV;#9$l4dnww[lY6 ?#i7iazt^k\5-1.j2ndyyQf_0*;-g=cg|~TeR?'9(a8`jssW`U:<%>&c:flqqYnW8:#=$j4dnww[lY68!;;%i5kotv\mZ77 8;"h6j`uu]j[46/9;#o7iazt^k\55.6; n0hb{{_h]24-73!m1ocxzPi^33,43.l2ndyyQf_02+53/c3me~xRgP11*23,b0)3;-a=cg|~TeR??(0;*g>bh}}UbS<>'2(f8`jssW`U:<%?'k;emvpZoX99"9?$m4dnww[lY68!9"o6j`uu]j[46/< i0hb{{_h]24-3.k2ndyyQf_02+2,e0)5*g>bh}}UbS<>'8(a8`jssW`U:<%7&f:flqqYnW8:7>>4?>b9gkprXaV;:$='l;emvpZoX98":%i5kotv\mZ76 8:"h6j`uu]j[47/98#o7iazt^k\54.6: n0hb{{_h]25-74!m1ocxzPi^32,42.l2ndyyQf_03+50/c3me~xRgP10*22,b1)34-a=cg|~TeR?>(0:*`>bh}}UbS&d:flqqYnW8;#><'k;emvpZoX98"9>$j4dnww[lY69!88%n5kotv\mZ76 :#h7iazt^k\54.3!j1ocxzPi^32,0/d3me~xRgP10*5-f=cg|~TeR?>(6+`?air|VcT=<&7)b9gkprXaV;:$4'i;emvpZoX9869?7>1c:flqqYnW88#<$m4dnww[lY6:!;"h6j`uu]j[44/99#o7iazt^k\57.69 n0hb{{_h]26-75!m1ocxzPi^31,45.l2ndyyQf_00+51/c3me~xRgP13*21,b2)35-a=cg|~TeR?=(05*`>bh}}UbS<<'19+g?air|VcT=?&>9(a8`jssW`U:>%<&d:flqqYnW88#>='k;emvpZoX9;"9=$j4dnww[lY6:!89%i5kotv\mZ75 ;9"o6j`uu]j[44/; i0hb{{_h]26-2.k2ndyyQf_00+1,e2)4*g>bh}}UbS<<'7(a8`jssW`U:>%6&c:flqqYnW88#5$h4dnww[lY6:5886=0l;emvpZoX9:";%n5kotv\mZ74 8#o7iazt^k\56.68 n0hb{{_h]27-76!m1ocxzPi^30,44.l2ndyyQf_01+56/c3me~xRgP12*20,b3)36-a=cg|~TeR?<(04*`>bh}}UbS<='16+g?air|VcT=>&>8(f8`jssW`U:?%?6)b9gkprXaV;8$?'k;emvpZoX9:"9<$j4dnww[lY6;!8:%i5kotv\mZ74 ;8"h6j`uu]j[45/::#h7iazt^k\56.4!j1ocxzPi^30,1/d3me~xRgP12*6-f=cg|~TeR?<(7+`?air|VcT=>&8)b9gkprXaV;8$5'l;emvpZoX9:"2%k5kotv\mZ744;91<3m4dnww[lY64)37-a=cg|~TeR?;(07*`>bh}}UbS<:'17+g?air|VcT=9&>7(f8`jssW`U:8%?7)e9gkprXaV;?$<7&c:flqqYnW8>#>$j4dnww[lY64)7*g>bh}}UbS<:'6(a8`jssW`U:8%9&c:flqqYnW8>#4$m4dnww[lY65)30-a=cg|~TeR?:(06*`>bh}}UbS<;'14+g?air|VcT=8&>6(f8`jssW`U:9%?8)e9gkprXaV;>$<6&d:flqqYnW8?#=4'l;emvpZoX9<"9%i5kotv\mZ72 ;:"h6j`uu]j[43/:8#o7iazt^k\50.5: n0hb{{_h]21-44!j1ocxzPi^36,6/d3me~xRgP14*7-f=cg|~TeR?:(4+`?air|VcT=8&9)b9gkprXaV;>$:'l;emvpZoX9<"3%n5kotv\mZ72 0#m7iazt^k\50:5;3:5o6j`uu]j[40/8 i0hb{{_h]22-7.l2ndyyQf_04+55/c3me~xRgP17*25,b6)31-a=cg|~TeR?9(01*`>bh}}UbS<8'15+g?air|VcT=;&>5(f8`jssW`U::%?9)e9gkprXaV;=$<9&d:flqqYnW8<#=5'k;emvpZoX9?":5$m4dnww[lY6>!8"h6j`uu]j[40/:9#o7iazt^k\53.59 n0hb{{_h]22-45!m1ocxzPi^35,75.k2ndyyQf_04+7,e6)6*g>bh}}UbS<8'5(a8`jssW`U::%8&c:flqqYnW8<#;$m4dnww[lY6>!2"o6j`uu]j[40/1 l0hb{{_h]22944294n7iazt^k\5944294i7iazt^k\6-6.j2ndyyQf_3*2-f=cg|~TeR<'11+`?air|VcT>%?>)b9gkprXaV8#=?'l;emvpZoX:!;8%n5kotv\mZ4/9=#h7iazt^k\6-72!j1ocxzPi^0+53/d3me~xRgP2)34-f=cg|~TeR<'19+`?air|VcT>%?6)c9gkprXaV8#>$m4dnww[lY5 ;:"o6j`uu]j[7.59 i0hb{{_h]1,74.k2ndyyQf_3*17,d%:&b:flqqYnW;">%o5kotv\mZ4/> h0hb{{_h]1,2/e3me~xRgP2):*f>bh}}UbS?&6)d9gkprXaV87>>4?>c9gkprXaV9#<$l4dnww[lY4 8#h7iazt^k\7-77!j1ocxzPi^1+54/d3me~xRgP3)31-f=cg|~TeR='12+`?air|VcT?%?;)b9gkprXaV9#=8'l;emvpZoX;!;=%n5kotv\mZ5/9>#h7iazt^k\7-7?!j1ocxzPi^1+5bh}}UbS>&=0(a8`jssW`U8$??&c:flqqYnW:"9>$m4dnww[lY4 ;9"n6j`uu]j[6.4!k1ocxzPi^1+0,d58e3me~xRgP4)2*f>bh}}UbS9&>)b9gkprXaV>#=='l;emvpZoX#=5'l;emvpZoXbh}}UbS9&=3(`8`jssW`U?$>'m;emvpZoX"n6j`uu]j[1.2!k1ocxzPi^6+2,d'l;emvpZoX=!;?%n5kotv\mZ3/9<#h7iazt^k\1-71!j1ocxzPi^7+52/d3me~xRgP5)3;-f=cg|~TeR;'18+a?air|VcT9%<&c:flqqYnW<"9<$m4dnww[lY2 ;;"o6j`uu]j[0.5: i0hb{{_h]6,75.j2ndyyQf_4*0-g=cg|~TeR;'4(`8`jssW`U>$8'm;emvpZoX=!<"n6j`uu]j[0.0!k1ocxzPi^7+<,d&b:flqqYnW?":%n5kotv\mZ0/99#h7iazt^k\2-76!j1ocxzPi^4+57/d3me~xRgP6)30-f=cg|~TeR8'15+`?air|VcT:%?:)b9gkprXaV<#=;'l;emvpZoX>!;<%n5kotv\mZ0/91#h7iazt^k\2-7>!k1ocxzPi^4+6,ebh}}UbS;&=1(a8`jssW`U=$?<&c:flqqYnW?"9?$l4dnww[lY1 :#i7iazt^k\2-2.j2ndyyQf_7*6-g=cg|~TeR8'6(`8`jssW`U=$:'m;emvpZoX>!2"n6j`uu]j[3.>!l1ocxzPi^4?66<76k1ocxzPi^5+4,dbh}}UbS:&<)c9gkprXaV=#8$l4dnww[lY0 <#i7iazt^k\3-0.j2ndyyQf_6*4-g=cg|~TeR9'8(`8`jssW`U<$4'j;emvpZoX?5886=0m;emvpZoX0!:"n6j`uu]j[=.6!j1ocxzPi^:+55/d3me~xRgP8)32-f=cg|~TeR6'13+`?air|VcT4%?<)b9gkprXaV2#=9'l;emvpZoX0!;>%n5kotv\mZ>/9?#h7iazt^k\<-70!j1ocxzPi^:+5=/d3me~xRgP8)3:-g=cg|~TeR6'2(a8`jssW`U3$?>&c:flqqYnW1"9=$m4dnww[lY? ;8"o6j`uu]j[=.5; h0hb{{_h];,6/e3me~xRgP8)6*f>bh}}UbS5&:)c9gkprXaV2#:$l4dnww[lY? >#i7iazt^k\<->.j2ndyyQf_9*:-`=cg|~TeR632283:g=cg|~TeR7'0(`8`jssW`U2$<'l;emvpZoX1!;;%n5kotv\mZ?/98#h7iazt^k\=-75!j1ocxzPi^;+56/d3me~xRgP9)37-f=cg|~TeR7'14+`?air|VcT5%?9)b9gkprXaV3#=:'l;emvpZoX1!;3%n5kotv\mZ?/90#i7iazt^k\=-4.k2ndyyQf_8*14,ebh}}UbS4&=2(a8`jssW`U2$?=&b:flqqYnW0"8%o5kotv\mZ?/< h0hb{{_h]:,0/e3me~xRgP9)4*f>bh}}UbS4&8)c9gkprXaV3#4$l4dnww[lY> 0#n7iazt^k\=94429427iazt^s+4,?)`9gkprXy!;;%l5kotv\u-76!h1ocxzPq)31-d=cg|~T}%?<)`9gkprXy!;?%l5kotv\u-72!h1ocxzPq)35-d=cg|~T}%?8)`9gkprXy!;3%l5kotv\u-7>!01ocxzPq)0*e>bh}}Uz$?>&a:flqqYv ;;"m6j`uu]r,74.i2ndyyQ~(31*e>bh}}Uz$?:&a:flqqYv ;?"m6j`uu]r,70.i2ndyyQ~(35*e>bh}}Uz$?6&a:flqqYv ;3"56j`uu]r,6/f3me~xR'31+b?air|V{#?<'n;emvpZw/;;#j7iazt^s+76/f3me~xR'35+b?air|V{#?8'n;emvpZw/;?#j7iazt^s+72/f3me~xR'39+b?air|V{#?4'6;emvpZw/< k0hb{{_p*74,g$o4dnww[t.3; k0hb{{_p*70,gbh}}Uz$:'6;emvpZw/0 30hb{{_p*:-f=cg|~T}1:9:1&b:flqqYvW8":%n5kotv\uZ7/99#h7iazt^s\5-76!j1ocxzPq^3+57/d3me~xRP1)30-f=cg|~T}R?'15+`?air|V{T=%?:)b9gkprXyV;#=;'l;emvpZwX9!;<%n5kotv\uZ7/91#h7iazt^s\5-7>!k1ocxzPq^3+6,e(32*g>bh}}UzS<&=1(a8`jssWxU:$?<&c:flqqYvW8"9?$m4dnww[tY6 ;>"o6j`uu]r[4.5= i0hb{{_p]2,70.k2ndyyQ~_0*13,e(3:*g>bh}}UzS<&=9(`8`jssWxU:$>'l;emvpZwX9!9;%n5kotv\uZ7/;8#h7iazt^s\5-55!j1ocxzPq^3+76/d3me~xRP1)17-f=cg|~T}R?'34+`?air|V{T=%=9)b9gkprXyV;#?:'l;emvpZwX9!93%n5kotv\uZ7/;0#i7iazt^s\5-2.k2ndyyQ~_0*74,e(53*g>bh}}UzS<&;2(a8`jssWxU:$9=&c:flqqYvW8"?8$m4dnww[tY6 =?"o6j`uu]r[4.3> h0hb{{_p]2,0/e3me~xRP1)4*f>bh}}UzS<&8)c9gkprXyV;#4$l4dnww[tY6 0#h7iazt^s\55.7!j1ocxzPq^33,4/c3me~xRP11*24,b0)32-a=cg|~T}R??(00*`>bh}}UzS<>'12+g?air|V{T==&>4(f8`jssWxU:<%?:)e9gkprXyV;;$<8&d:flqqYvW8:#=:'k;emvpZwX99":4$j4dnww[tY68!;2%n5kotv\uZ77 ;#o7iazt^s\55.58 n0hb{{_p]24-46!m1ocxzPq^33,74.l2ndyyQ~_02+66/c3me~xRP11*10,b0)06-a=cg|~T}R??(34*`>bh}}UzS<>'26+g?air|V{T==&=8(f8`jssWxU:<%<6)b9gkprXyV;;$>'k;emvpZwX99"8<$j4dnww[tY68!9:%i5kotv\uZ77 :8"h6j`uu]r[46/;:#o7iazt^s\55.4< n0hb{{_p]24-52!m1ocxzPq^33,60.l2ndyyQ~_02+72/c3me~xRP11*0<,b0)1:-f=cg|~T}R??(5+g?air|V{T==&;0(f8`jssWxU:<%:>)e9gkprXyV;;$9<&d:flqqYvW8:#8>'k;emvpZwX99"?8$j4dnww[tY68!>>%i5kotv\uZ77 =<"o6j`uu]r[46/= i0hb{{_p]24-0.k2ndyyQ~_02+3,e0):*g>bh}}UzS<>'9(d8`jssWxU:<1:9:1<`?air|V{T=<&?)b9gkprXyV;:$<'k;emvpZwX98":<$j4dnww[tY69!;:%i5kotv\uZ76 88"h6j`uu]r[47/9:#o7iazt^s\54.6< n0hb{{_p]25-72!m1ocxzPq^32,40.l2ndyyQ~_03+52/c3me~xRP10*2<,b1)3:-f=cg|~T}R?>(3+g?air|V{T=<&=0(f8`jssWxU:=%<>)e9gkprXyV;:$?<&d:flqqYvW8;#>>'k;emvpZwX98"98$j4dnww[tY69!8>%i5kotv\uZ76 ;<"h6j`uu]r[47/:>#o7iazt^s\54.50 n0hb{{_p]25-4>!j1ocxzPq^32,6/c3me~xRP10*04,b1)12-a=cg|~T}R?>(20*`>bh}}UzS8&d:flqqYvW8;#?:'k;emvpZwX98"84$j4dnww[tY69!92%n5kotv\uZ76 =#o7iazt^s\54.38 n0hb{{_p]25-26!m1ocxzPq^32,14.l2ndyyQ~_03+06/c3me~xRP10*70,b1)66-a=cg|~T}R?>(54*g>bh}}UzS2)32-a=cg|~T}R?=(00*`>bh}}UzS<<'12+g?air|V{T=?&>4(f8`jssWxU:>%?:)e9gkprXyV;9$<8&d:flqqYvW88#=:'k;emvpZwX9;":4$j4dnww[tY6:!;2%n5kotv\uZ75 ;#o7iazt^s\57.58 n0hb{{_p]26-46!m1ocxzPq^31,74.l2ndyyQ~_00+66/c3me~xRP13*10,b2)06-a=cg|~T}R?=(34*`>bh}}UzS<<'26+g?air|V{T=?&=8(f8`jssWxU:>%<6)b9gkprXyV;9$>'k;emvpZwX9;"8<$j4dnww[tY6:!9:%i5kotv\uZ75 :8"h6j`uu]r[44/;:#o7iazt^s\57.4< n0hb{{_p]26-52!m1ocxzPq^31,60.l2ndyyQ~_00+72/c3me~xRP13*0<,b2)1:-f=cg|~T}R?=(5+g?air|V{T=?&;0(f8`jssWxU:>%:>)e9gkprXyV;9$9<&d:flqqYvW88#8>'k;emvpZwX9;"?8$j4dnww[tY6:!>>%i5kotv\uZ75 =<"o6j`uu]r[44/= i0hb{{_p]26-0.k2ndyyQ~_00+3,e2):*g>bh}}UzS<<'9(d8`jssWxU:>1:9:1<`?air|V{T=>&?)b9gkprXyV;8$<'k;emvpZwX9:":<$j4dnww[tY6;!;:%i5kotv\uZ74 88"h6j`uu]r[45/9:#o7iazt^s\56.6< n0hb{{_p]27-72!m1ocxzPq^30,40.l2ndyyQ~_01+52/c3me~xRP12*2<,b3)3:-f=cg|~T}R?<(3+g?air|V{T=>&=0(f8`jssWxU:?%<>)e9gkprXyV;8$?<&d:flqqYvW89#>>'k;emvpZwX9:"98$j4dnww[tY6;!8>%i5kotv\uZ74 ;<"h6j`uu]r[45/:>#o7iazt^s\56.50 n0hb{{_p]27-4>!j1ocxzPq^30,6/c3me~xRP12*04,b3)12-a=cg|~T}R?<(20*`>bh}}UzS<='32+g?air|V{T=>&<4(f8`jssWxU:?%=:)e9gkprXyV;8$>8&d:flqqYvW89#?:'k;emvpZwX9:"84$j4dnww[tY6;!92%n5kotv\uZ74 =#o7iazt^s\56.38 n0hb{{_p]27-26!m1ocxzPq^30,14.l2ndyyQ~_01+06/c3me~xRP12*70,b3)66-a=cg|~T}R?<(54*g>bh}}UzS<='5(a8`jssWxU:?%8&c:flqqYvW89#;$m4dnww[tY6;!2"o6j`uu]r[45/1 l0hb{{_p]27921294h7iazt^s\51.7!j1ocxzPq^37,4/c3me~xRP15*24,b4)32-a=cg|~T}R?;(00*`>bh}}UzS<:'12+g?air|V{T=9&>4(f8`jssWxU:8%?:)e9gkprXyV;?$<8&d:flqqYvW8>#=:'k;emvpZwX9=":4$j4dnww[tY64)06-a=cg|~T}R?;(34*`>bh}}UzS<:'26+g?air|V{T=9&=8(f8`jssWxU:8%<6)b9gkprXyV;?$>'k;emvpZwX9="8<$j4dnww[tY64)1:-f=cg|~T}R?;(5+g?air|V{T=9&;0(f8`jssWxU:8%:>)e9gkprXyV;?$9<&d:flqqYvW8>#8>'k;emvpZwX9="?8$j4dnww[tY6>%i5kotv\uZ73 =<"o6j`uu]r[42/= i0hb{{_p]20-0.k2ndyyQ~_06+3,e4):*g>bh}}UzS<:'9(d8`jssWxU:81:9:1<`?air|V{T=8&?)b9gkprXyV;>$<'k;emvpZwX9<":<$j4dnww[tY6=!;:%i5kotv\uZ72 88"h6j`uu]r[43/9:#o7iazt^s\50.6< n0hb{{_p]21-72!m1ocxzPq^36,40.l2ndyyQ~_07+52/c3me~xRP14*2<,b5)3:-f=cg|~T}R?:(3+g?air|V{T=8&=0(f8`jssWxU:9%<>)e9gkprXyV;>$?<&d:flqqYvW8?#>>'k;emvpZwX9<"98$j4dnww[tY6=!8>%i5kotv\uZ72 ;<"h6j`uu]r[43/:>#o7iazt^s\50.50 n0hb{{_p]21-4>!j1ocxzPq^36,6/c3me~xRP14*04,b5)12-a=cg|~T}R?:(20*`>bh}}UzS<;'32+g?air|V{T=8&<4(f8`jssWxU:9%=:)e9gkprXyV;>$>8&d:flqqYvW8?#?:'k;emvpZwX9<"84$j4dnww[tY6=!92%n5kotv\uZ72 =#o7iazt^s\50.38 n0hb{{_p]21-26!m1ocxzPq^36,14.l2ndyyQ~_07+06/c3me~xRP14*70,b5)66-a=cg|~T}R?:(54*g>bh}}UzS<;'5(a8`jssWxU:9%8&c:flqqYvW8?#;$m4dnww[tY6=!2"o6j`uu]r[43/1 l0hb{{_p]21921294h7iazt^s\53.7!j1ocxzPq^35,4/c3me~xRP17*24,b6)32-a=cg|~T}R?9(00*`>bh}}UzS<8'12+g?air|V{T=;&>4(f8`jssWxU::%?:)e9gkprXyV;=$<8&d:flqqYvW8<#=:'k;emvpZwX9?":4$j4dnww[tY6>!;2%n5kotv\uZ71 ;#o7iazt^s\53.58 n0hb{{_p]22-46!m1ocxzPq^35,74.l2ndyyQ~_04+66/c3me~xRP17*10,b6)06-a=cg|~T}R?9(34*`>bh}}UzS<8'26+g?air|V{T=;&=8(f8`jssWxU::%<6)b9gkprXyV;=$>'k;emvpZwX9?"8<$j4dnww[tY6>!9:%i5kotv\uZ71 :8"h6j`uu]r[40/;:#o7iazt^s\53.4< n0hb{{_p]22-52!m1ocxzPq^35,60.l2ndyyQ~_04+72/c3me~xRP17*0<,b6)1:-f=cg|~T}R?9(5+g?air|V{T=;&;0(f8`jssWxU::%:>)e9gkprXyV;=$9<&d:flqqYvW8<#8>'k;emvpZwX9?"?8$j4dnww[tY6>!>>%i5kotv\uZ71 =<"o6j`uu]r[40/= i0hb{{_p]22-0.k2ndyyQ~_04+3,e6):*g>bh}}UzS<8'9(d8`jssWxU::1:9:1%>&b:flqqYvW;":%n5kotv\uZ4/99#h7iazt^s\6-76!j1ocxzPq^0+57/d3me~xRP2)30-f=cg|~T}R<'15+`?air|V{T>%?:)b9gkprXyV8#=;'l;emvpZwX:!;<%n5kotv\uZ4/91#h7iazt^s\6-7>!k1ocxzPq^0+6,ebh}}UzS?&=1(a8`jssWxU9$?<&c:flqqYvW;"9?$m4dnww[tY5 ;>"o6j`uu]r[7.5= i0hb{{_p]1,70.k2ndyyQ~_3*13,ebh}}UzS?&=9(`8`jssWxU9$>'l;emvpZwX:!9;%n5kotv\uZ4/;8#h7iazt^s\6-55!j1ocxzPq^0+76/d3me~xRP2)17-f=cg|~T}R<'34+`?air|V{T>%=9)b9gkprXyV8#?:'l;emvpZwX:!93%n5kotv\uZ4/;0#i7iazt^s\6-2.k2ndyyQ~_3*74,ebh}}UzS?&;2(a8`jssWxU9$9=&c:flqqYvW;"?8$m4dnww[tY5 =?"o6j`uu]r[7.3> h0hb{{_p]1,0/e3me~xRP2)4*f>bh}}UzS?&8)c9gkprXyV8#4$l4dnww[tY5 0#n7iazt^s\6921294i7iazt^s\7-6.j2ndyyQ~_2*2-f=cg|~T}R='11+`?air|V{T?%?>)b9gkprXyV9#=?'l;emvpZwX;!;8%n5kotv\uZ5/9=#h7iazt^s\7-72!j1ocxzPq^1+53/d3me~xRP3)34-f=cg|~T}R='19+`?air|V{T?%?6)c9gkprXyV9#>$m4dnww[tY4 ;:"o6j`uu]r[6.59 i0hb{{_p]0,74.k2ndyyQ~_2*17,ebh}}UzS>&=5(a8`jssWxU8$?8&c:flqqYvW:"9;$m4dnww[tY4 ;2"o6j`uu]r[6.51 h0hb{{_p]0,6/d3me~xRP3)13-f=cg|~T}R='30+`?air|V{T?%==)b9gkprXyV9#?>'l;emvpZwX;!9?%n5kotv\uZ5/;<#h7iazt^s\7-51!j1ocxzPq^1+72/d3me~xRP3)1;-f=cg|~T}R='38+a?air|V{T?%:&c:flqqYvW:"?<$m4dnww[tY4 =;"o6j`uu]r[6.3: i0hb{{_p]0,15.k2ndyyQ~_2*70,ebh}}UzS>&;6(`8`jssWxU8$8'm;emvpZwX;!<"n6j`uu]r[6.0!k1ocxzPq^1+<,d&b:flqqYvW=":%n5kotv\uZ2/99#h7iazt^s\0-76!j1ocxzPq^6+57/d3me~xRP4)30-f=cg|~T}R:'15+`?air|V{T8%?:)b9gkprXyV>#=;'l;emvpZwX!k1ocxzPq^6+6,ebh}}UzS9&=1(a8`jssWxU?$?<&c:flqqYvW="9?$m4dnww[tY3 ;>"o6j`uu]r[1.5= i0hb{{_p]7,70.k2ndyyQ~_5*13,ebh}}UzS9&=9(`8`jssWxU?$>'l;emvpZwX#?:'l;emvpZwXbh}}UzS9&;2(a8`jssWxU?$9=&c:flqqYvW="?8$m4dnww[tY3 =?"o6j`uu]r[1.3> h0hb{{_p]7,0/e3me~xRP4)4*f>bh}}UzS9&8)c9gkprXyV>#4$l4dnww[tY3 0#n7iazt^s\0921294i7iazt^s\1-6.j2ndyyQ~_4*2-f=cg|~T}R;'11+`?air|V{T9%?>)b9gkprXyV?#=?'l;emvpZwX=!;8%n5kotv\uZ3/9=#h7iazt^s\1-72!j1ocxzPq^7+53/d3me~xRP5)34-f=cg|~T}R;'19+`?air|V{T9%?6)c9gkprXyV?#>$m4dnww[tY2 ;:"o6j`uu]r[0.59 i0hb{{_p]6,74.k2ndyyQ~_4*17,ebh}}UzS8&=5(a8`jssWxU>$?8&c:flqqYvW<"9;$m4dnww[tY2 ;2"o6j`uu]r[0.51 h0hb{{_p]6,6/d3me~xRP5)13-f=cg|~T}R;'30+`?air|V{T9%==)b9gkprXyV?#?>'l;emvpZwX=!9?%n5kotv\uZ3/;<#h7iazt^s\1-51!j1ocxzPq^7+72/d3me~xRP5)1;-f=cg|~T}R;'38+a?air|V{T9%:&c:flqqYvW<"?<$m4dnww[tY2 =;"o6j`uu]r[0.3: i0hb{{_p]6,15.k2ndyyQ~_4*70,ebh}}UzS8&;6(`8`jssWxU>$8'm;emvpZwX=!<"n6j`uu]r[0.0!k1ocxzPq^7+<,d&b:flqqYvW?":%n5kotv\uZ0/99#h7iazt^s\2-76!j1ocxzPq^4+57/d3me~xRP6)30-f=cg|~T}R8'15+`?air|V{T:%?:)b9gkprXyV<#=;'l;emvpZwX>!;<%n5kotv\uZ0/91#h7iazt^s\2-7>!k1ocxzPq^4+6,ebh}}UzS;&=1(a8`jssWxU=$?<&c:flqqYvW?"9?$m4dnww[tY1 ;>"o6j`uu]r[3.5= i0hb{{_p]5,70.k2ndyyQ~_7*13,ebh}}UzS;&=9(`8`jssWxU=$>'l;emvpZwX>!9;%n5kotv\uZ0/;8#h7iazt^s\2-55!j1ocxzPq^4+76/d3me~xRP6)17-f=cg|~T}R8'34+`?air|V{T:%=9)b9gkprXyV<#?:'l;emvpZwX>!93%n5kotv\uZ0/;0#i7iazt^s\2-2.k2ndyyQ~_7*74,ebh}}UzS;&;2(a8`jssWxU=$9=&c:flqqYvW?"?8$m4dnww[tY1 =?"o6j`uu]r[3.3> h0hb{{_p]5,0/e3me~xRP6)4*f>bh}}UzS;&8)c9gkprXyV<#4$l4dnww[tY1 0#n7iazt^s\2921294i7iazt^s\3-6.j2ndyyQ~_6*2-f=cg|~T}R9'11+`?air|V{T;%?>)b9gkprXyV=#=?'l;emvpZwX?!;8%n5kotv\uZ1/9=#h7iazt^s\3-72!j1ocxzPq^5+53/d3me~xRP7)34-f=cg|~T}R9'19+`?air|V{T;%?6)c9gkprXyV=#>$m4dnww[tY0 ;:"o6j`uu]r[2.59 i0hb{{_p]4,74.k2ndyyQ~_6*17,ebh}}UzS:&=5(a8`jssWxU<$?8&c:flqqYvW>"9;$m4dnww[tY0 ;2"o6j`uu]r[2.51 h0hb{{_p]4,6/d3me~xRP7)13-f=cg|~T}R9'30+`?air|V{T;%==)b9gkprXyV=#?>'l;emvpZwX?!9?%n5kotv\uZ1/;<#h7iazt^s\3-51!j1ocxzPq^5+72/d3me~xRP7)1;-f=cg|~T}R9'38+a?air|V{T;%:&c:flqqYvW>"?<$m4dnww[tY0 =;"o6j`uu]r[2.3: i0hb{{_p]4,15.k2ndyyQ~_6*70,ebh}}UzS:&;6(`8`jssWxU<$8'm;emvpZwX?!<"n6j`uu]r[2.0!k1ocxzPq^5+<,d&b:flqqYvW1":%n5kotv\uZ>/99#h7iazt^s\<-76!j1ocxzPq^:+57/d3me~xRP8)30-f=cg|~T}R6'15+`?air|V{T4%?:)b9gkprXyV2#=;'l;emvpZwX0!;<%n5kotv\uZ>/91#h7iazt^s\<-7>!k1ocxzPq^:+6,ebh}}UzS5&=1(a8`jssWxU3$?<&c:flqqYvW1"9?$m4dnww[tY? ;>"o6j`uu]r[=.5= i0hb{{_p];,70.k2ndyyQ~_9*13,ebh}}UzS5&=9(`8`jssWxU3$>'l;emvpZwX0!9;%n5kotv\uZ>/;8#h7iazt^s\<-55!j1ocxzPq^:+76/d3me~xRP8)17-f=cg|~T}R6'34+`?air|V{T4%=9)b9gkprXyV2#?:'l;emvpZwX0!93%n5kotv\uZ>/;0#i7iazt^s\<-2.k2ndyyQ~_9*74,ebh}}UzS5&;2(a8`jssWxU3$9=&c:flqqYvW1"?8$m4dnww[tY? =?"o6j`uu]r[=.3> h0hb{{_p];,0/e3me~xRP8)4*f>bh}}UzS5&8)c9gkprXyV2#4$l4dnww[tY? 0#n7iazt^s\<921294i7iazt^s\=-6.j2ndyyQ~_8*2-f=cg|~T}R7'11+`?air|V{T5%?>)b9gkprXyV3#=?'l;emvpZwX1!;8%n5kotv\uZ?/9=#h7iazt^s\=-72!j1ocxzPq^;+53/d3me~xRP9)34-f=cg|~T}R7'19+`?air|V{T5%?6)c9gkprXyV3#>$m4dnww[tY> ;:"o6j`uu]r[<.59 i0hb{{_p]:,74.k2ndyyQ~_8*17,ebh}}UzS4&=5(a8`jssWxU2$?8&c:flqqYvW0"9;$m4dnww[tY> ;2"o6j`uu]r[<.51 h0hb{{_p]:,6/d3me~xRP9)13-f=cg|~T}R7'30+`?air|V{T5%==)b9gkprXyV3#?>'l;emvpZwX1!9?%n5kotv\uZ?/;<#h7iazt^s\=-51!j1ocxzPq^;+72/d3me~xRP9)1;-f=cg|~T}R7'38+a?air|V{T5%:&c:flqqYvW0"?<$m4dnww[tY> =;"o6j`uu]r[<.3: i0hb{{_p]:,15.k2ndyyQ~_8*70,ebh}}UzS4&;6(`8`jssWxU2$8'm;emvpZwX1!<"n6j`uu]r[<.0!k1ocxzPq^;+<,dbwzVxjaR:6;erq[wgjW<20iigi2oeg`>`nd}oyS~kc(1+e?cok|lxThb30;2=6a=aa{Uhc`~fldp\r0Y7$)Rb`d`w BMQA%Abflxjxb|/15,72>nelli9>?5gbeg`6)`nzVida}gces]u1Z6+FFDN CAAE3``?mdcmj8'jd|PcnosmicuW?T5)eX`hyTnb}=0.`[igsmgir1?>#c^ofiZoia}Umeak2hcffg7(jao&hSb~{ilkyeqohf4;'oeoa_hl\eap:8%icmcQ|em]tmaro58&h`bmd_gpfu84789:;<=>#cnge[hcj|pzn1="lodd\v`jhim7: najf^vzt`;7$jenjRyfduj>5=*dgeUhcchfg<6/gjjXefnn1="lom]smucXdld68!jnt`]nahr~xl7; io{a^vzt`;7$mkmRyfduj>61*ci}k{myfPmdow}uc:8%nnodcPr`vf97*aae~n~Ro}ci?2(cok|lxThbPwhfwl87+n`fiQ{yqg>2)oi|lx{So{e<3/mudrnoUfi`zvpd?3(kc`Wzsfahz}y<2/jpkXkakebhb|=0.mqhYaae~x1<"aul]seqnt5;&ey`Q{aqq>02*hlmUxu`cjts{>5)iwj|lmS`kbtxrf95*h}}z~xR|jg<3/kprw}}U|eizg=55/vdrbWjbjbjkPtxrf95*ug|doS`ake<2/wdkwdlUm~h2112345678%yh`Qkeugqilhn{}ch1<"|ylofpw:9%pn~bQabijjbYaij~d~0?#}0g8lgbbk;UmeQlolrjh`tX~tcq:1yji:4sbnqf>uno9:;<=>?1c9pmb6789:;?01231g=tan:;<=>?07`8wla789:;<=9m;rkd456789:3n6}fg12345671k1xej>?01234dd<{`m;<=>?01`a?vo`89:;<=>lb:qjc56789:;ho5|if2345678lh0di?012345`e3zcl<=>?0133f>uno9:;<=>>1c9pmb6789:;=?l4she34567889i7~gh01234573j2ybk=>?01221g=tan:;<=>?17`8wla789:;<<9m;rkd456789;3n6}fg12345661k1xej>?01235dd<{`m;<=>?00`a?vo`89:;<=?lb:qjc56789::ho5|if2345679lh0di?012344`e3zcl<=>?0103f>uno9:;<=>=1c9pmb6789:;>?l4she345678;9i7~gh01234543j2ybk=>?01211g=tan:;<=>?27`8wla789:;?01236dd<{`m;<=>?03`a?vo`89:;<=?0113f>uno9:;<=><1c9pmb6789:;??l4she345678:9i7~gh01234553j2ybk=>?01201g=tan:;<=>?37`8wla789:;<>9m;rkd45678993n6}fg12345641k1xej>?01237dd<{`m;<=>?02`a?vo`89:;<==lb:qjc56789:8ho5|if234567;lh0di?012346`e3zcl<=>?0163f>uno9:;<=>;1c9pmb6789:;8?l4she345678=9i7~gh01234523j2ybk=>?01271g=tan:;<=>?47`8wla789:;<99m;rkd456789>3n6}fg12345631k1xej>?01230dd<{`m;<=>?05`a?vo`89:;<=:lb:qjc56789:?ho5|if234567?0173f>uno9:;<=>:1c9pmb6789:;9?l4she345678<9i7~gh01234533j2ybk=>?01261g=tan:;<=>?57`8wla789:;<89m;rkd456789?3n6}fg12345621k1xej>?01231dd<{`m;<=>?04`a?vo`89:;<=;lb:qjc56789:>ho5|if234567=lh0di?012340`e3zcl<=>?0143f>uno9:;<=>91c9pmb6789:;:?l4she345678?9i7~gh01234503j2ybk=>?01251g=tan:;<=>?67`8wla789:;<;9m;rkd456789<3n6}fg12345611k1xej>?01232dd<{`m;<=>?07`a?vo`89:;<=8lb:qjc56789:=ho5|if234567>lh0di?012343`e3zcl<=>?0153f>uno9:;<=>81c9pmb6789:;;?l4she345678>9i7~gh01234513j2ybk=>?01241g=tan:;<=>?77`8wla789:;<:9m;rkd456789=3n6}fg12345601k1xej>?01233dd<{`m;<=>?06`a?vo`89:;<=9lb:qjc56789:?01:3f>uno9:;<=>71c9pmb6789:;4?l4she34567819i7~gh012345>3j2ybk=>?012;1g=tan:;<=>?87`8wla789:;<59m;rkd45678923n6}fg123456?1k1xej>?0123?09`a?vo`89:;<=6lb:qjc56789:3ho5|if2345670lh0di?01234=`e3zcl<=>?01;3f>uno9:;<=>61c9pmb6789:;5?l4she34567809i7~gh012345?3j2ybk=>?012:1g=tan:;<=>?97`8wla789:;<49m;rkd45678933n6}fg123456>1k1xej>?0123=dd<{`m;<=>?08`a?vo`89:;<=7lb:qjc56789:2ho5|if2345671lh0di?01234<`e3zcl<=>?01c3f>uno9:;<=>n1c9pmb6789:;m?l4she345678h9i7~gh012345g3j2ybk=>?012b1g=tan:;<=>?a7`8wla789:;?0123edd<{`m;<=>?0``a?vo`89:;<=olb:qjc56789:jho5|if234567ilh0di?01234d`e3zcl<=>?01`3f>uno9:;<=>m1c9pmb6789:;n?l4she345678k9i7~gh012345d3j2ybk=>?012a1g=tan:;<=>?b7`8wla789:;?0123fdd<{`m;<=>?0c`a?vo`89:;<=llb:qjc56789:iho5|if234567jlh0di?01234g`e3zcl<=>?01a3f>uno9:;<=>l1c9pmb6789:;o?l4she345678j9i7~gh012345e3j2ybk=>?012`1g=tan:;<=>?c7`8wla789:;?0123gdd<{`m;<=>?0b`a?vo`89:;<=mlb:qjc56789:hho5|if234567klh0di?01234f`e3zcl<=>?01f3f>uno9:;<=>k1c9pmb6789:;h?l4she345678m9i7~gh012345b3j2ybk=>?012g1g=tan:;<=>?d7`8wla789:;?0123`dd<{`m;<=>?0e`a?vo`89:;<=jlb:qjc56789:oho5|if234567llh0di?01234a`e3zcl<=>?01g3f>uno9:;<=>j1c9pmb6789:;i?l4she345678l9i7~gh012345c3j2ybk=>?012f1g=tan:;<=>?e7`8wla789:;?0123add<{`m;<=>?0d`a?vo`89:;<=klb:qjc56789:nho5|if234567mlh0di?01234``e3zcl<=>?01d3f>uno9:;<=>i1c9pmb6789:;j?l4she345678o9i7~gh012345`3j2ybk=>?012e1g=tan:;<=>?f7`8wla789:;?0123bdd<{`m;<=>?0g`a?vo`89:;<=hlb:qjc56789:mho5|if234567nlh0di?01234c`e3zcl<=>?0023f>uno9:;<=??1c9pmb6789::?01331g=tan:;<=>>07`8wla789:;==9m;rkd456788:3n6}fg12345771k1xej>?01224dd<{`m;<=>?11`a?vo`89:;<<>lb:qjc56789;;ho5|if2345668lh0di?012355`e3zcl<=>?0033f>uno9:;<=?>1c9pmb6789::=?l4she34567989i7~gh01234473j2ybk=>?01321g=tan:;<=>>17`8wla789:;=<9m;rkd456788;3n6}fg12345761k1xej>?01225dd<{`m;<=>?10`a?vo`89:;<?0003f>uno9:;<=?=1c9pmb6789::>?l4she345679;9i7~gh01234443j2ybk=>?01311g=tan:;<=>>27`8wla789:;=?9m;rkd45678883n6}fg12345751k1xej>?01226dd<{`m;<=>?13`a?vo`89:;<<?0013f>uno9:;<=?<1c9pmb6789::??l4she345679:9i7~gh01234453j2ybk=>?01301g=tan:;<=>>37`8wla789:;=>9m;rkd45678893n6}fg12345741k1xej>?01227dd<{`m;<=>?12`a?vo`89:;<<=lb:qjc56789;8ho5|if234566;lh0di?012356`e3zcl<=>?0063f>uno9:;<=?;1c9pmb6789::8?l4she345679=9i7~gh01234423j2ybk=>?01371g=tan:;<=>>47`8wla789:;=99m;rkd456788>3n6}fg12345731k1xej>?01220dd<{`m;<=>?15`a?vo`89:;<<:lb:qjc56789;?ho5|if234566?0073f>uno9:;<=?:1c9pmb6789::9?l4she345679<9i7~gh01234433j2ybk=>?01361g=tan:;<=>>57`8wla789:;=89m;rkd456788?3n6}fg12345721k1xej>?01221dd<{`m;<=>?14`a?vo`89:;<<;lb:qjc56789;>ho5|if234566=lh0di?012350`e3zcl<=>?0043f>uno9:;<=?91c9pmb6789:::?l4she345679?9i7~gh01234403j2ybk=>?01351g=tan:;<=>>67`8wla789:;=;9m;rkd456788<3n6}fg12345711k1xej>?01222dd<{`m;<=>?17`a?vo`89:;<<8lb:qjc56789;=ho5|if234566>lh0di?012353`e3zcl<=>?0053f>uno9:;<=?81c9pmb6789::;?l4she345679>9i7~gh01234413j2ybk=>?01341g=tan:;<=>>77`8wla789:;=:9m;rkd456788=3n6}fg12345701k1xej>?01223dd<{`m;<=>?16`a?vo`89:;<<9lb:qjc56789;?00:3f>uno9:;<=?71c9pmb6789::4?l4she34567919i7~gh012344>3j2ybk=>?013;1g=tan:;<=>>87`8wla789:;=59m;rkd45678823n6}fg123457?1k1xej>?0122?19`a?vo`89:;<<6lb:qjc56789;3ho5|if2345660lh0di?01235=`e3zcl<=>?00;3f>uno9:;<=?61c9pmb6789::5?l4she34567909i7~gh012344?3j2ybk=>?013:1g=tan:;<=>>97`8wla789:;=49m;rkd45678833n6}fg123457>1k1xej>?0122=dd<{`m;<=>?18`a?vo`89:;<<7lb:qjc56789;2ho5|if2345661lh0di?01235<`e3zcl<=>?00c3f>uno9:;<=?n1c9pmb6789::m?l4she345679h9i7~gh012344g3j2ybk=>?013b1g=tan:;<=>>a7`8wla789:;=l9m;rkd456788k3n6}fg123457f1k1xej>?0122edd<{`m;<=>?1``a?vo`89:;<?00`3f>uno9:;<=?m1c9pmb6789::n?l4she345679k9i7~gh012344d3j2ybk=>?013a1g=tan:;<=>>b7`8wla789:;=o9m;rkd456788h3n6}fg123457e1k1xej>?0122fdd<{`m;<=>?1c`a?vo`89:;<?00a3f>uno9:;<=?l1c9pmb6789::o?l4she345679j9i7~gh012344e3j2ybk=>?013`1g=tan:;<=>>c7`8wla789:;=n9m;rkd456788i3n6}fg123457d1k1xej>?0122gdd<{`m;<=>?1b`a?vo`89:;<?00f3f>uno9:;<=?k1c9pmb6789::h?l4she345679m9i7~gh012344b3j2ybk=>?013g1g=tan:;<=>>d7`8wla789:;=i9m;rkd456788n3n6}fg123457c1k1xej>?0122`dd<{`m;<=>?1e`a?vo`89:;<?00g3f>uno9:;<=?j1c9pmb6789::i?l4she345679l9i7~gh012344c3j2ybk=>?013f1g=tan:;<=>>e7`8wla789:;=h9m;rkd456788o3n6}fg123457b1k1xej>?0122add<{`m;<=>?1d`a?vo`89:;<?00d3f>uno9:;<=?i1c9pmb6789::j?l4she345679o9i7~gh012344`3j2ybk=>?013e1g=tan:;<=>>f7`8wla789:;=k9m;rkd456788l3n6}fg123457a1k1xej>?0122bdd<{`m;<=>?1g`a?vo`89:;<?0323f>uno9:;<=?01031g=tan:;<=>=07`8wla789:;>=9m;rkd45678;:3n6}fg12345471k1xej>?01214dd<{`m;<=>?21`a?vo`89:;lb:qjc567898;ho5|if2345658lh0di?012365`e3zcl<=>?0333f>uno9:;<=<>1c9pmb6789:9=?l4she34567:89i7~gh01234773j2ybk=>?01021g=tan:;<=>=17`8wla789:;><9m;rkd45678;;3n6}fg12345461k1xej>?01215dd<{`m;<=>?20`a?vo`89:;?0303f>uno9:;<=<=1c9pmb6789:9>?l4she34567:;9i7~gh01234743j2ybk=>?01011g=tan:;<=>=27`8wla789:;>?9m;rkd45678;83n6}fg12345451k1xej>?01216dd<{`m;<=>?23`a?vo`89:;?0313f>uno9:;<=<<1c9pmb6789:9??l4she34567::9i7~gh01234753j2ybk=>?01001g=tan:;<=>=37`8wla789:;>>9m;rkd45678;93n6}fg12345441k1xej>?01217dd<{`m;<=>?22`a?vo`89:;?0363f>uno9:;<=<;1c9pmb6789:98?l4she34567:=9i7~gh01234723j2ybk=>?01071g=tan:;<=>=47`8wla789:;>99m;rkd45678;>3n6}fg12345431k1xej>?01210dd<{`m;<=>?25`a?vo`89:;?0373f>uno9:;<=<:1c9pmb6789:99?l4she34567:<9i7~gh01234733j2ybk=>?01061g=tan:;<=>=57`8wla789:;>89m;rkd45678;?3n6}fg12345421k1xej>?01211dd<{`m;<=>?24`a?vo`89:;ho5|if234565=lh0di?012360`e3zcl<=>?0343f>uno9:;<=<91c9pmb6789:9:?l4she34567:?9i7~gh01234703j2ybk=>?01051g=tan:;<=>=67`8wla789:;>;9m;rkd45678;<3n6}fg12345411k1xej>?01212dd<{`m;<=>?27`a?vo`89:;lh0di?012363`e3zcl<=>?0353f>uno9:;<=<81c9pmb6789:9;?l4she34567:>9i7~gh01234713j2ybk=>?01041g=tan:;<=>=77`8wla789:;>:9m;rkd45678;=3n6}fg12345401k1xej>?01213dd<{`m;<=>?26`a?vo`89:;?03:3f>uno9:;<=<71c9pmb6789:94?l4she34567:19i7~gh012347>3j2ybk=>?010;1g=tan:;<=>=87`8wla789:;>59m;rkd45678;23n6}fg123454?1k1xej>?0121?29`a?vo`89:;?03;3f>uno9:;<=<61c9pmb6789:95?l4she34567:09i7~gh012347?3j2ybk=>?010:1g=tan:;<=>=97`8wla789:;>49m;rkd45678;33n6}fg123454>1k1xej>?0121=dd<{`m;<=>?28`a?vo`89:;?03c3f>uno9:;<=?010b1g=tan:;<=>=a7`8wla789:;>l9m;rkd45678;k3n6}fg123454f1k1xej>?0121edd<{`m;<=>?2``a?vo`89:;?03`3f>uno9:;<=?010a1g=tan:;<=>=b7`8wla789:;>o9m;rkd45678;h3n6}fg123454e1k1xej>?0121fdd<{`m;<=>?2c`a?vo`89:;?03a3f>uno9:;<=?010`1g=tan:;<=>=c7`8wla789:;>n9m;rkd45678;i3n6}fg123454d1k1xej>?0121gdd<{`m;<=>?2b`a?vo`89:;?03f3f>uno9:;<=?010g1g=tan:;<=>=d7`8wla789:;>i9m;rkd45678;n3n6}fg123454c1k1xej>?0121`dd<{`m;<=>?2e`a?vo`89:;?03g3f>uno9:;<=?010f1g=tan:;<=>=e7`8wla789:;>h9m;rkd45678;o3n6}fg123454b1k1xej>?0121add<{`m;<=>?2d`a?vo`89:;?03d3f>uno9:;<=?010e1g=tan:;<=>=f7`8wla789:;>k9m;rkd45678;l3n6}fg123454a1k1xej>?0121bdd<{`m;<=>?2g`a?vo`89:;?0223f>uno9:;<==?1c9pmb6789:8?01131g=tan:;<=><07`8wla789:;?=9m;rkd45678::3n6}fg12345571k1xej>?01204dd<{`m;<=>?31`a?vo`89:;<>>lb:qjc567899;ho5|if2345648lh0di?012375`e3zcl<=>?0233f>uno9:;<==>1c9pmb6789:8=?l4she34567;89i7~gh01234673j2ybk=>?01121g=tan:;<=><17`8wla789:;?<9m;rkd45678:;3n6}fg12345561k1xej>?01205dd<{`m;<=>?30`a?vo`89:;<>?lb:qjc567899:ho5|if2345649lh0di?012374`e3zcl<=>?0203f>uno9:;<===1c9pmb6789:8>?l4she34567;;9i7~gh01234643j2ybk=>?01111g=tan:;<=><27`8wla789:;??9m;rkd45678:83n6}fg12345551k1xej>?01206dd<{`m;<=>?33`a?vo`89:;<>?0213f>uno9:;<==<1c9pmb6789:8??l4she34567;:9i7~gh01234653j2ybk=>?01101g=tan:;<=><37`8wla789:;?>9m;rkd45678:93n6}fg12345541k1xej>?01207dd<{`m;<=>?32`a?vo`89:;<>=lb:qjc5678998ho5|if234564;lh0di?012376`e3zcl<=>?0263f>uno9:;<==;1c9pmb6789:88?l4she34567;=9i7~gh01234623j2ybk=>?01171g=tan:;<=><47`8wla789:;?99m;rkd45678:>3n6}fg12345531k1xej>?01200dd<{`m;<=>?35`a?vo`89:;<>:lb:qjc567899?ho5|if234564?0273f>uno9:;<==:1c9pmb6789:89?l4she34567;<9i7~gh01234633j2ybk=>?01161g=tan:;<=><57`8wla789:;?89m;rkd45678:?3n6}fg12345521k1xej>?01201dd<{`m;<=>?34`a?vo`89:;<>;lb:qjc567899>ho5|if234564=lh0di?012370`e3zcl<=>?0243f>uno9:;<==91c9pmb6789:8:?l4she34567;?9i7~gh01234603j2ybk=>?01151g=tan:;<=><67`8wla789:;?;9m;rkd45678:<3n6}fg12345511k1xej>?01202dd<{`m;<=>?37`a?vo`89:;<>8lb:qjc567899=ho5|if234564>lh0di?012373`e3zcl<=>?0253f>uno9:;<==81c9pmb6789:8;?l4she34567;>9i7~gh01234613j2ybk=>?01141g=tan:;<=><77`8wla789:;?:9m;rkd45678:=3n6}fg12345501k1xej>?01203dd<{`m;<=>?36`a?vo`89:;<>9lb:qjc567899?02:3f>uno9:;<==71c9pmb6789:84?l4she34567;19i7~gh012346>3j2ybk=>?011;1g=tan:;<=><87`8wla789:;?59m;rkd45678:23n6}fg123455?1k1xej>?0120?39`a?vo`89:;<>6lb:qjc5678993ho5|if2345640lh0di?01237=`e3zcl<=>?02;3f>uno9:;<==61c9pmb6789:85?l4she34567;09i7~gh012346?3j2ybk=>?011:1g=tan:;<=><97`8wla789:;?49m;rkd45678:33n6}fg123455>1k1xej>?0120=dd<{`m;<=>?38`a?vo`89:;<>7lb:qjc5678992ho5|if2345641lh0di?01237<`e3zcl<=>?02c3f>uno9:;<==n1c9pmb6789:8m?l4she34567;h9i7~gh012346g3j2ybk=>?011b1g=tan:;<=>?0120edd<{`m;<=>?3``a?vo`89:;<>olb:qjc567899jho5|if234564ilh0di?01237d`e3zcl<=>?02`3f>uno9:;<==m1c9pmb6789:8n?l4she34567;k9i7~gh012346d3j2ybk=>?011a1g=tan:;<=>?0120fdd<{`m;<=>?3c`a?vo`89:;<>llb:qjc567899iho5|if234564jlh0di?01237g`e3zcl<=>?02a3f>uno9:;<==l1c9pmb6789:8o?l4she34567;j9i7~gh012346e3j2ybk=>?011`1g=tan:;<=>?0120gdd<{`m;<=>?3b`a?vo`89:;<>mlb:qjc567899hho5|if234564klh0di?01237f`e3zcl<=>?02f3f>uno9:;<==k1c9pmb6789:8h?l4she34567;m9i7~gh012346b3j2ybk=>?011g1g=tan:;<=>?0120`dd<{`m;<=>?3e`a?vo`89:;<>jlb:qjc567899oho5|if234564llh0di?01237a`e3zcl<=>?02g3f>uno9:;<==j1c9pmb6789:8i?l4she34567;l9i7~gh012346c3j2ybk=>?011f1g=tan:;<=>?0120add<{`m;<=>?3d`a?vo`89:;<>klb:qjc567899nho5|if234564mlh0di?01237``e3zcl<=>?02d3f>uno9:;<==i1c9pmb6789:8j?l4she34567;o9i7~gh012346`3j2ybk=>?011e1g=tan:;<=>?0120bdd<{`m;<=>?3g`a?vo`89:;<>hlb:qjc567899mho5|if234564nlh0di?01237c`e3zcl<=>?0523f>uno9:;<=:?1c9pmb6789:??01631g=tan:;<=>;07`8wla789:;8=9m;rkd45678=:3n6}fg12345271k1xej>?01274dd<{`m;<=>?41`a?vo`89:;<9>lb:qjc56789>;ho5|if2345638lh0di?012305`e3zcl<=>?0533f>uno9:;<=:>1c9pmb6789:?=?l4she34567<89i7~gh01234173j2ybk=>?01621g=tan:;<=>;17`8wla789:;8<9m;rkd45678=;3n6}fg12345261k1xej>?01275dd<{`m;<=>?40`a?vo`89:;<9?lb:qjc56789>:ho5|if2345639lh0di?012304`e3zcl<=>?0503f>uno9:;<=:=1c9pmb6789:?>?l4she34567<;9i7~gh01234143j2ybk=>?01611g=tan:;<=>;27`8wla789:;8?9m;rkd45678=83n6}fg12345251k1xej>?01276dd<{`m;<=>?43`a?vo`89:;<99ho5|if234563:lh0di?012307`e3zcl<=>?0513f>uno9:;<=:<1c9pmb6789:???l4she34567<:9i7~gh01234153j2ybk=>?01601g=tan:;<=>;37`8wla789:;8>9m;rkd45678=93n6}fg12345241k1xej>?01277dd<{`m;<=>?42`a?vo`89:;<9=lb:qjc56789>8ho5|if234563;lh0di?012306`e3zcl<=>?0563f>uno9:;<=:;1c9pmb6789:?8?l4she34567<=9i7~gh01234123j2ybk=>?01671g=tan:;<=>;47`8wla789:;899m;rkd45678=>3n6}fg12345231k1xej>?01270dd<{`m;<=>?45`a?vo`89:;<9:lb:qjc56789>?ho5|if234563?0573f>uno9:;<=::1c9pmb6789:?9?l4she34567<<9i7~gh01234133j2ybk=>?01661g=tan:;<=>;57`8wla789:;889m;rkd45678=?3n6}fg12345221k1xej>?01271dd<{`m;<=>?44`a?vo`89:;<9;lb:qjc56789>>ho5|if234563=lh0di?012300`e3zcl<=>?0543f>uno9:;<=:91c9pmb6789:?:?l4she34567?01651g=tan:;<=>;67`8wla789:;8;9m;rkd45678=<3n6}fg12345211k1xej>?01272dd<{`m;<=>?47`a?vo`89:;<98lb:qjc56789>=ho5|if234563>lh0di?012303`e3zcl<=>?0553f>uno9:;<=:81c9pmb6789:?;?l4she34567<>9i7~gh01234113j2ybk=>?01641g=tan:;<=>;77`8wla789:;8:9m;rkd45678==3n6}fg12345201k1xej>?01273dd<{`m;<=>?46`a?vo`89:;<99lb:qjc56789>?05:3f>uno9:;<=:71c9pmb6789:?4?l4she34567<19i7~gh012341>3j2ybk=>?016;1g=tan:;<=>;87`8wla789:;859m;rkd45678=23n6}fg123452?1k1xej>?0127?49`a?vo`89:;<96lb:qjc56789>3ho5|if2345630lh0di?01230=`e3zcl<=>?05;3f>uno9:;<=:61c9pmb6789:?5?l4she34567<09i7~gh012341?3j2ybk=>?016:1g=tan:;<=>;97`8wla789:;849m;rkd45678=33n6}fg123452>1k1xej>?0127=dd<{`m;<=>?48`a?vo`89:;<97lb:qjc56789>2ho5|if2345631lh0di?01230<`e3zcl<=>?05c3f>uno9:;<=:n1c9pmb6789:?m?l4she34567?016b1g=tan:;<=>;a7`8wla789:;8l9m;rkd45678=k3n6}fg123452f1k1xej>?0127edd<{`m;<=>?4``a?vo`89:;<9olb:qjc56789>jho5|if234563ilh0di?01230d`e3zcl<=>?05`3f>uno9:;<=:m1c9pmb6789:?n?l4she34567?016a1g=tan:;<=>;b7`8wla789:;8o9m;rkd45678=h3n6}fg123452e1k1xej>?0127fdd<{`m;<=>?4c`a?vo`89:;<9llb:qjc56789>iho5|if234563jlh0di?01230g`e3zcl<=>?05a3f>uno9:;<=:l1c9pmb6789:?o?l4she34567?016`1g=tan:;<=>;c7`8wla789:;8n9m;rkd45678=i3n6}fg123452d1k1xej>?0127gdd<{`m;<=>?4b`a?vo`89:;<9mlb:qjc56789>hho5|if234563klh0di?01230f`e3zcl<=>?05f3f>uno9:;<=:k1c9pmb6789:?h?l4she34567?016g1g=tan:;<=>;d7`8wla789:;8i9m;rkd45678=n3n6}fg123452c1k1xej>?0127`dd<{`m;<=>?4e`a?vo`89:;<9jlb:qjc56789>oho5|if234563llh0di?01230a`e3zcl<=>?05g3f>uno9:;<=:j1c9pmb6789:?i?l4she34567?016f1g=tan:;<=>;e7`8wla789:;8h9m;rkd45678=o3n6}fg123452b1k1xej>?0127add<{`m;<=>?4d`a?vo`89:;<9klb:qjc56789>nho5|if234563mlh0di?01230``e3zcl<=>?05d3f>uno9:;<=:i1c9pmb6789:?j?l4she34567?016e1g=tan:;<=>;f7`8wla789:;8k9m;rkd45678=l3n6}fg123452a1k1xej>?0127bdd<{`m;<=>?4g`a?vo`89:;<9hlb:qjc56789>mho5|if234563nlh0di?01230c`e3zcl<=>?0423f>uno9:;<=;?1c9pmb6789:>?01731g=tan:;<=>:07`8wla789:;9=9m;rkd45678<:3n6}fg12345371k1xej>?01264dd<{`m;<=>?51`a?vo`89:;<8>lb:qjc56789?;ho5|if2345628lh0di?012315`e3zcl<=>?0433f>uno9:;<=;>1c9pmb6789:>=?l4she34567=89i7~gh01234073j2ybk=>?01721g=tan:;<=>:17`8wla789:;9<9m;rkd45678<;3n6}fg12345361k1xej>?01265dd<{`m;<=>?50`a?vo`89:;<8?lb:qjc56789?:ho5|if2345629lh0di?012314`e3zcl<=>?0403f>uno9:;<=;=1c9pmb6789:>>?l4she34567=;9i7~gh01234043j2ybk=>?01711g=tan:;<=>:27`8wla789:;9?9m;rkd45678<83n6}fg12345351k1xej>?01266dd<{`m;<=>?53`a?vo`89:;<8?0413f>uno9:;<=;<1c9pmb6789:>??l4she34567=:9i7~gh01234053j2ybk=>?01701g=tan:;<=>:37`8wla789:;9>9m;rkd45678<93n6}fg12345341k1xej>?01267dd<{`m;<=>?52`a?vo`89:;<8=lb:qjc56789?8ho5|if234562;lh0di?012316`e3zcl<=>?0463f>uno9:;<=;;1c9pmb6789:>8?l4she34567==9i7~gh01234023j2ybk=>?01771g=tan:;<=>:47`8wla789:;999m;rkd45678<>3n6}fg12345331k1xej>?01260dd<{`m;<=>?55`a?vo`89:;<8:lb:qjc56789??ho5|if234562?0473f>uno9:;<=;:1c9pmb6789:>9?l4she34567=<9i7~gh01234033j2ybk=>?01761g=tan:;<=>:57`8wla789:;989m;rkd45678?01261dd<{`m;<=>?54`a?vo`89:;<8;lb:qjc56789?>ho5|if234562=lh0di?012310`e3zcl<=>?0443f>uno9:;<=;91c9pmb6789:>:?l4she34567=?9i7~gh01234003j2ybk=>?01751g=tan:;<=>:67`8wla789:;9;9m;rkd45678<<3n6}fg12345311k1xej>?01262dd<{`m;<=>?57`a?vo`89:;<88lb:qjc56789?=ho5|if234562>lh0di?012313`e3zcl<=>?0453f>uno9:;<=;81c9pmb6789:>;?l4she34567=>9i7~gh01234013j2ybk=>?01741g=tan:;<=>:77`8wla789:;9:9m;rkd45678<=3n6}fg12345301k1xej>?01263dd<{`m;<=>?56`a?vo`89:;<89lb:qjc56789??04:3f>uno9:;<=;71c9pmb6789:>4?l4she34567=19i7~gh012340>3j2ybk=>?017;1g=tan:;<=>:87`8wla789:;959m;rkd45678<23n6}fg123453?1k1xej>?0126?59`a?vo`89:;<86lb:qjc56789?3ho5|if2345620lh0di?01231=`e3zcl<=>?04;3f>uno9:;<=;61c9pmb6789:>5?l4she34567=09i7~gh012340?3j2ybk=>?017:1g=tan:;<=>:97`8wla789:;949m;rkd45678<33n6}fg123453>1k1xej>?0126=dd<{`m;<=>?58`a?vo`89:;<87lb:qjc56789?2ho5|if2345621lh0di?01231<`e3zcl<=>?04c3f>uno9:;<=;n1c9pmb6789:>m?l4she34567=h9i7~gh012340g3j2ybk=>?017b1g=tan:;<=>:a7`8wla789:;9l9m;rkd45678?0126edd<{`m;<=>?5``a?vo`89:;<8olb:qjc56789?jho5|if234562ilh0di?01231d`e3zcl<=>?04`3f>uno9:;<=;m1c9pmb6789:>n?l4she34567=k9i7~gh012340d3j2ybk=>?017a1g=tan:;<=>:b7`8wla789:;9o9m;rkd45678?0126fdd<{`m;<=>?5c`a?vo`89:;<8llb:qjc56789?iho5|if234562jlh0di?01231g`e3zcl<=>?04a3f>uno9:;<=;l1c9pmb6789:>o?l4she34567=j9i7~gh012340e3j2ybk=>?017`1g=tan:;<=>:c7`8wla789:;9n9m;rkd45678?0126gdd<{`m;<=>?5b`a?vo`89:;<8mlb:qjc56789?hho5|if234562klh0di?01231f`e3zcl<=>?04f3f>uno9:;<=;k1c9pmb6789:>h?l4she34567=m9i7~gh012340b3j2ybk=>?017g1g=tan:;<=>:d7`8wla789:;9i9m;rkd45678?0126`dd<{`m;<=>?5e`a?vo`89:;<8jlb:qjc56789?oho5|if234562llh0di?01231a`e3zcl<=>?04g3f>uno9:;<=;j1c9pmb6789:>i?l4she34567=l9i7~gh012340c3j2ybk=>?017f1g=tan:;<=>:e7`8wla789:;9h9m;rkd45678?0126add<{`m;<=>?5d`a?vo`89:;<8klb:qjc56789?nho5|if234562mlh0di?01231``e3zcl<=>?04d3f>uno9:;<=;i1c9pmb6789:>j?l4she34567=o9i7~gh012340`3j2ybk=>?017e1g=tan:;<=>:f7`8wla789:;9k9m;rkd45678?0126bdd<{`m;<=>?5g`a?vo`89:;<8hlb:qjc56789?mho5|if234562nlh0di?01231c`e3zcl<=>?0723f>uno9:;<=8?1c9pmb6789:=99i7~gh01234363j2ybk=>?01431g=tan:;<=>907`8wla789:;:=9m;rkd45678?:3n6}fg12345071k1xej>?01254dd<{`m;<=>?61`a?vo`89:;<;>lb:qjc56789<;ho5|if2345618lh0di?012325`e3zcl<=>?0733f>uno9:;<=8>1c9pmb6789:==?l4she34567>89i7~gh01234373j2ybk=>?01421g=tan:;<=>917`8wla789:;:<9m;rkd45678?;3n6}fg12345061k1xej>?01255dd<{`m;<=>?60`a?vo`89:;<;?lb:qjc56789<:ho5|if2345619lh0di?012324`e3zcl<=>?0703f>uno9:;<=8=1c9pmb6789:=>?l4she34567>;9i7~gh01234343j2ybk=>?01411g=tan:;<=>927`8wla789:;:?9m;rkd45678?83n6}fg12345051k1xej>?01256dd<{`m;<=>?63`a?vo`89:;<;?0713f>uno9:;<=8<1c9pmb6789:=??l4she34567>:9i7~gh01234353j2ybk=>?01401g=tan:;<=>937`8wla789:;:>9m;rkd45678?93n6}fg12345041k1xej>?01257dd<{`m;<=>?62`a?vo`89:;<;=lb:qjc56789<8ho5|if234561;lh0di?012326`e3zcl<=>?0763f>uno9:;<=8;1c9pmb6789:=8?l4she34567>=9i7~gh01234323j2ybk=>?01471g=tan:;<=>947`8wla789:;:99m;rkd45678?>3n6}fg12345031k1xej>?01250dd<{`m;<=>?65`a?vo`89:;<;:lb:qjc56789?0773f>uno9:;<=8:1c9pmb6789:=9?l4she34567><9i7~gh01234333j2ybk=>?01461g=tan:;<=>957`8wla789:;:89m;rkd45678??3n6}fg12345021k1xej>?01251dd<{`m;<=>?64`a?vo`89:;<;;lb:qjc56789<>ho5|if234561=lh0di?012320`e3zcl<=>?0743f>uno9:;<=891c9pmb6789:=:?l4she34567>?9i7~gh01234303j2ybk=>?01451g=tan:;<=>967`8wla789:;:;9m;rkd45678?<3n6}fg12345011k1xej>?01252dd<{`m;<=>?67`a?vo`89:;<;8lb:qjc56789<=ho5|if234561>lh0di?012323`e3zcl<=>?0753f>uno9:;<=881c9pmb6789:=;?l4she34567>>9i7~gh01234313j2ybk=>?01441g=tan:;<=>977`8wla789:;::9m;rkd45678?=3n6}fg12345001k1xej>?01253dd<{`m;<=>?66`a?vo`89:;<;9lb:qjc56789<?07:3f>uno9:;<=871c9pmb6789:=4?l4she34567>19i7~gh012343>3j2ybk=>?014;1g=tan:;<=>987`8wla789:;:59m;rkd45678?23n6}fg123450?1k1xej>?0125?69`a?vo`89:;<;6lb:qjc56789<3ho5|if2345610lh0di?01232=`e3zcl<=>?07;3f>uno9:;<=861c9pmb6789:=5?l4she34567>09i7~gh012343?3j2ybk=>?014:1g=tan:;<=>997`8wla789:;:49m;rkd45678?33n6}fg123450>1k1xej>?0125=dd<{`m;<=>?68`a?vo`89:;<;7lb:qjc56789<2ho5|if2345611lh0di?01232<`e3zcl<=>?07c3f>uno9:;<=8n1c9pmb6789:=m?l4she34567>h9i7~gh012343g3j2ybk=>?014b1g=tan:;<=>9a7`8wla789:;:l9m;rkd45678?k3n6}fg123450f1k1xej>?0125edd<{`m;<=>?6``a?vo`89:;<;olb:qjc56789?07`3f>uno9:;<=8m1c9pmb6789:=n?l4she34567>k9i7~gh012343d3j2ybk=>?014a1g=tan:;<=>9b7`8wla789:;:o9m;rkd45678?h3n6}fg123450e1k1xej>?0125fdd<{`m;<=>?6c`a?vo`89:;<;llb:qjc56789?07a3f>uno9:;<=8l1c9pmb6789:=o?l4she34567>j9i7~gh012343e3j2ybk=>?014`1g=tan:;<=>9c7`8wla789:;:n9m;rkd45678?i3n6}fg123450d1k1xej>?0125gdd<{`m;<=>?6b`a?vo`89:;<;mlb:qjc56789?07f3f>uno9:;<=8k1c9pmb6789:=h?l4she34567>m9i7~gh012343b3j2ybk=>?014g1g=tan:;<=>9d7`8wla789:;:i9m;rkd45678?n3n6}fg123450c1k1xej>?0125`dd<{`m;<=>?6e`a?vo`89:;<;jlb:qjc56789?07g3f>uno9:;<=8j1c9pmb6789:=i?l4she34567>l9i7~gh012343c3j2ybk=>?014f1g=tan:;<=>9e7`8wla789:;:h9m;rkd45678?o3n6}fg123450b1k1xej>?0125add<{`m;<=>?6d`a?vo`89:;<;klb:qjc56789?07d3f>uno9:;<=8i1c9pmb6789:=j?l4she34567>o9i7~gh012343`3j2ybk=>?014e1g=tan:;<=>9f7`8wla789:;:k9m;rkd45678?l3n6}fg123450a1k1xej>?0125bdd<{`m;<=>?6g`a?vo`89:;<;hlb:qjc56789?0623f>uno9:;<=9?1c9pmb6789:<?01531g=tan:;<=>807`8wla789:;;=9m;rkd45678>:3n6}fg12345171k1xej>?01244dd<{`m;<=>?71`a?vo`89:;<:>lb:qjc56789=;ho5|if2345608lh0di?012335`e3zcl<=>?0633f>uno9:;<=9>1c9pmb6789:<=?l4she34567?89i7~gh01234273j2ybk=>?01521g=tan:;<=>817`8wla789:;;<9m;rkd45678>;3n6}fg12345161k1xej>?01245dd<{`m;<=>?70`a?vo`89:;<:?lb:qjc56789=:ho5|if2345609lh0di?012334`e3zcl<=>?0603f>uno9:;<=9=1c9pmb6789:<>?l4she34567?;9i7~gh01234243j2ybk=>?01511g=tan:;<=>827`8wla789:;;?9m;rkd45678>83n6}fg12345151k1xej>?01246dd<{`m;<=>?73`a?vo`89:;<:?0613f>uno9:;<=9<1c9pmb6789:?01501g=tan:;<=>837`8wla789:;;>9m;rkd45678>93n6}fg12345141k1xej>?01247dd<{`m;<=>?72`a?vo`89:;<:=lb:qjc56789=8ho5|if234560;lh0di?012336`e3zcl<=>?0663f>uno9:;<=9;1c9pmb6789:<8?l4she34567?=9i7~gh01234223j2ybk=>?01571g=tan:;<=>847`8wla789:;;99m;rkd45678>>3n6}fg12345131k1xej>?01240dd<{`m;<=>?75`a?vo`89:;<::lb:qjc56789=?ho5|if234560?0673f>uno9:;<=9:1c9pmb6789:<9?l4she34567?<9i7~gh01234233j2ybk=>?01561g=tan:;<=>857`8wla789:;;89m;rkd45678>?3n6}fg12345121k1xej>?01241dd<{`m;<=>?74`a?vo`89:;<:;lb:qjc56789=>ho5|if234560=lh0di?012330`e3zcl<=>?0643f>uno9:;<=991c9pmb6789:<:?l4she34567??9i7~gh01234203j2ybk=>?01551g=tan:;<=>867`8wla789:;;;9m;rkd45678><3n6}fg12345111k1xej>?01242dd<{`m;<=>?77`a?vo`89:;<:8lb:qjc56789==ho5|if234560>lh0di?012333`e3zcl<=>?0653f>uno9:;<=981c9pmb6789:<;?l4she34567?>9i7~gh01234213j2ybk=>?01541g=tan:;<=>877`8wla789:;;:9m;rkd45678>=3n6}fg12345101k1xej>?01243dd<{`m;<=>?76`a?vo`89:;<:9lb:qjc56789=?06:3f>uno9:;<=971c9pmb6789:<4?l4she34567?19i7~gh012342>3j2ybk=>?015;1g=tan:;<=>887`8wla789:;;59m;rkd45678>23n6}fg123451?1k1xej>?0124?79`a?vo`89:;<:6lb:qjc56789=3ho5|if2345600lh0di?01233=`e3zcl<=>?06;3f>uno9:;<=961c9pmb6789:<5?l4she34567?09i7~gh012342?3j2ybk=>?015:1g=tan:;<=>897`8wla789:;;49m;rkd45678>33n6}fg123451>1k1xej>?0124=dd<{`m;<=>?78`a?vo`89:;<:7lb:qjc56789=2ho5|if2345601lh0di?01233<`e3zcl<=>?06c3f>uno9:;<=9n1c9pmb6789:?015b1g=tan:;<=>8a7`8wla789:;;l9m;rkd45678>k3n6}fg123451f1k1xej>?0124edd<{`m;<=>?7``a?vo`89:;<:olb:qjc56789=jho5|if234560ilh0di?01233d`e3zcl<=>?06`3f>uno9:;<=9m1c9pmb6789:?015a1g=tan:;<=>8b7`8wla789:;;o9m;rkd45678>h3n6}fg123451e1k1xej>?0124fdd<{`m;<=>?7c`a?vo`89:;<:llb:qjc56789=iho5|if234560jlh0di?01233g`e3zcl<=>?06a3f>uno9:;<=9l1c9pmb6789:?015`1g=tan:;<=>8c7`8wla789:;;n9m;rkd45678>i3n6}fg123451d1k1xej>?0124gdd<{`m;<=>?7b`a?vo`89:;<:mlb:qjc56789=hho5|if234560klh0di?01233f`e3zcl<=>?06f3f>uno9:;<=9k1c9pmb6789:?015g1g=tan:;<=>8d7`8wla789:;;i9m;rkd45678>n3n6}fg123451c1k1xej>?0124`dd<{`m;<=>?7e`a?vo`89:;<:jlb:qjc56789=oho5|if234560llh0di?01233a`e3zcl<=>?06g3f>uno9:;<=9j1c9pmb6789:?015f1g=tan:;<=>8e7`8wla789:;;h9m;rkd45678>o3n6}fg123451b1k1xej>?0124add<{`m;<=>?7d`a?vo`89:;<:klb:qjc56789=nho5|if234560mlh0di?01233``e3zcl<=>?06d3f>uno9:;<=9i1c9pmb6789:?015e1g=tan:;<=>8f7`8wla789:;;k9m;rkd45678>l3n6}fg123451a1k1xej>?0124bdd<{`m;<=>?7g`a?vo`89:;<:hlb:qjc56789=mho5|if234560nlh0di?01233c`e3zcl<=>?0923f>uno9:;<=6?1c9pmb6789:3?01:31g=tan:;<=>707`8wla789:;4=9m;rkd456781:3n6}fg12345>71k1xej>?012;4dd<{`m;<=>?81`a?vo`89:;<5>lb:qjc567892;ho5|if23456?8lh0di?0123<5`e3zcl<=>?0933f>uno9:;<=6>1c9pmb6789:3=?l4she34567089i7~gh01234=73j2ybk=>?01:21g=tan:;<=>717`8wla789:;4<9m;rkd456781;3n6}fg12345>61k1xej>?012;5dd<{`m;<=>?80`a?vo`89:;<5?lb:qjc567892:ho5|if23456?9lh0di?0123<4`e3zcl<=>?0903f>uno9:;<=6=1c9pmb6789:3>?l4she345670;9i7~gh01234=43j2ybk=>?01:11g=tan:;<=>727`8wla789:;4?9m;rkd45678183n6}fg12345>51k1xej>?012;6dd<{`m;<=>?83`a?vo`89:;<5?0913f>uno9:;<=6<1c9pmb6789:3??l4she345670:9i7~gh01234=53j2ybk=>?01:01g=tan:;<=>737`8wla789:;4>9m;rkd45678193n6}fg12345>41k1xej>?012;7dd<{`m;<=>?82`a?vo`89:;<5=lb:qjc5678928ho5|if23456?;lh0di?0123<6`e3zcl<=>?0963f>uno9:;<=6;1c9pmb6789:38?l4she345670=9i7~gh01234=23j2ybk=>?01:71g=tan:;<=>747`8wla789:;499m;rkd456781>3n6}fg12345>31k1xej>?012;0dd<{`m;<=>?85`a?vo`89:;<5:lb:qjc567892?ho5|if23456??0973f>uno9:;<=6:1c9pmb6789:39?l4she345670<9i7~gh01234=33j2ybk=>?01:61g=tan:;<=>757`8wla789:;489m;rkd456781?3n6}fg12345>21k1xej>?012;1dd<{`m;<=>?84`a?vo`89:;<5;lb:qjc567892>ho5|if23456?=lh0di?0123<0`e3zcl<=>?0943f>uno9:;<=691c9pmb6789:3:?l4she345670?9i7~gh01234=03j2ybk=>?01:51g=tan:;<=>767`8wla789:;4;9m;rkd456781<3n6}fg12345>11k1xej>?012;2dd<{`m;<=>?87`a?vo`89:;<58lb:qjc567892=ho5|if23456?>lh0di?0123<3`e3zcl<=>?0953f>uno9:;<=681c9pmb6789:3;?l4she345670>9i7~gh01234=13j2ybk=>?01:41g=tan:;<=>777`8wla789:;4:9m;rkd456781=3n6}fg12345>01k1xej>?012;3dd<{`m;<=>?86`a?vo`89:;<59lb:qjc567892?09:3f>uno9:;<=671c9pmb6789:34?l4she34567019i7~gh01234=>3j2ybk=>?01:;1g=tan:;<=>787`8wla789:;459m;rkd45678123n6}fg12345>?1k1xej>?012;?89`a?vo`89:;<56lb:qjc5678923ho5|if23456?0lh0di?0123<=`e3zcl<=>?09;3f>uno9:;<=661c9pmb6789:35?l4she34567009i7~gh01234=?3j2ybk=>?01::1g=tan:;<=>797`8wla789:;449m;rkd45678133n6}fg12345>>1k1xej>?012;=dd<{`m;<=>?88`a?vo`89:;<57lb:qjc5678922ho5|if23456?1lh0di?0123<<`e3zcl<=>?09c3f>uno9:;<=6n1c9pmb6789:3m?l4she345670h9i7~gh01234=g3j2ybk=>?01:b1g=tan:;<=>7a7`8wla789:;4l9m;rkd456781k3n6}fg12345>f1k1xej>?012;edd<{`m;<=>?8``a?vo`89:;<5olb:qjc567892jho5|if23456?ilh0di?0123?09`3f>uno9:;<=6m1c9pmb6789:3n?l4she345670k9i7~gh01234=d3j2ybk=>?01:a1g=tan:;<=>7b7`8wla789:;4o9m;rkd456781h3n6}fg12345>e1k1xej>?012;fdd<{`m;<=>?8c`a?vo`89:;<5llb:qjc567892iho5|if23456?jlh0di?0123?09a3f>uno9:;<=6l1c9pmb6789:3o?l4she345670j9i7~gh01234=e3j2ybk=>?01:`1g=tan:;<=>7c7`8wla789:;4n9m;rkd456781i3n6}fg12345>d1k1xej>?012;gdd<{`m;<=>?8b`a?vo`89:;<5mlb:qjc567892hho5|if23456?klh0di?0123?09f3f>uno9:;<=6k1c9pmb6789:3h?l4she345670m9i7~gh01234=b3j2ybk=>?01:g1g=tan:;<=>7d7`8wla789:;4i9m;rkd456781n3n6}fg12345>c1k1xej>?012;`dd<{`m;<=>?8e`a?vo`89:;<5jlb:qjc567892oho5|if23456?llh0di?0123?09g3f>uno9:;<=6j1c9pmb6789:3i?l4she345670l9i7~gh01234=c3j2ybk=>?01:f1g=tan:;<=>7e7`8wla789:;4h9m;rkd456781o3n6}fg12345>b1k1xej>?012;add<{`m;<=>?8d`a?vo`89:;<5klb:qjc567892nho5|if23456?mlh0di?0123<``e3zcl<=>?09d3f>uno9:;<=6i1c9pmb6789:3j?l4she345670o9i7~gh01234=`3j2ybk=>?01:e1g=tan:;<=>7f7`8wla789:;4k9m;rkd456781l3n6}fg12345>a1k1xej>?012;bdd<{`m;<=>?8g`a?vo`89:;<5hlb:qjc567892mho5|if23456?nlh0di?0123?0823f>uno9:;<=7?1c9pmb6789:2?01;31g=tan:;<=>607`8wla789:;5=9m;rkd456780:3n6}fg12345?71k1xej>?012:4dd<{`m;<=>?91`a?vo`89:;<4>lb:qjc567893;ho5|if23456>8lh0di?0123=5`e3zcl<=>?0833f>uno9:;<=7>1c9pmb6789:2=?l4she34567189i7~gh01234<73j2ybk=>?01;21g=tan:;<=>617`8wla789:;5<9m;rkd456780;3n6}fg12345?61k1xej>?012:5dd<{`m;<=>?90`a?vo`89:;<4?lb:qjc567893:ho5|if23456>9lh0di?0123=4`e3zcl<=>?0803f>uno9:;<=7=1c9pmb6789:2>?l4she345671;9i7~gh01234<43j2ybk=>?01;11g=tan:;<=>627`8wla789:;5?9m;rkd45678083n6}fg12345?51k1xej>?012:6dd<{`m;<=>?93`a?vo`89:;<4:lh0di?0123=7`e3zcl<=>?0813f>uno9:;<=7<1c9pmb6789:2??l4she345671:9i7~gh01234<53j2ybk=>?01;01g=tan:;<=>637`8wla789:;5>9m;rkd45678093n6}fg12345?41k1xej>?012:7dd<{`m;<=>?92`a?vo`89:;<4=lb:qjc5678938ho5|if23456>;lh0di?0123=6`e3zcl<=>?0863f>uno9:;<=7;1c9pmb6789:28?l4she345671=9i7~gh01234<23j2ybk=>?01;71g=tan:;<=>647`8wla789:;599m;rkd456780>3n6}fg12345?31k1xej>?012:0dd<{`m;<=>?95`a?vo`89:;<4:lb:qjc567893?ho5|if23456>?0873f>uno9:;<=7:1c9pmb6789:29?l4she345671<9i7~gh01234<33j2ybk=>?01;61g=tan:;<=>657`8wla789:;589m;rkd456780?3n6}fg12345?21k1xej>?012:1dd<{`m;<=>?94`a?vo`89:;<4;lb:qjc567893>ho5|if23456>=lh0di?0123=0`e3zcl<=>?0843f>uno9:;<=791c9pmb6789:2:?l4she345671?9i7~gh01234<03j2ybk=>?01;51g=tan:;<=>667`8wla789:;5;9m;rkd456780<3n6}fg12345?11k1xej>?012:2dd<{`m;<=>?97`a?vo`89:;<48lb:qjc567893=ho5|if23456>>lh0di?0123=3`e3zcl<=>?0853f>uno9:;<=781c9pmb6789:2;?l4she345671>9i7~gh01234<13j2ybk=>?01;41g=tan:;<=>677`8wla789:;5:9m;rkd456780=3n6}fg12345?01k1xej>?012:3dd<{`m;<=>?96`a?vo`89:;<49lb:qjc567893?lh0di?0123=2`e3zcl<=>?08:3f>uno9:;<=771c9pmb6789:24?l4she34567119i7~gh01234<>3j2ybk=>?01;;1g=tan:;<=>687`8wla789:;559m;rkd45678023n6}fg12345??1k1xej>?012:?99`a?vo`89:;<46lb:qjc5678933ho5|if23456>0lh0di?0123==`e3zcl<=>?08;3f>uno9:;<=761c9pmb6789:25?l4she34567109i7~gh01234?01;:1g=tan:;<=>697`8wla789:;549m;rkd45678033n6}fg12345?>1k1xej>?012:=dd<{`m;<=>?98`a?vo`89:;<47lb:qjc5678932ho5|if23456>1lh0di?0123=<`e3zcl<=>?08c3f>uno9:;<=7n1c9pmb6789:2m?l4she345671h9i7~gh01234?01;b1g=tan:;<=>6a7`8wla789:;5l9m;rkd456780k3n6}fg12345?f1k1xej>?012:edd<{`m;<=>?9``a?vo`89:;<4olb:qjc567893jho5|if23456>ilh0di?0123=d`e3zcl<=>?08`3f>uno9:;<=7m1c9pmb6789:2n?l4she345671k9i7~gh01234?01;a1g=tan:;<=>6b7`8wla789:;5o9m;rkd456780h3n6}fg12345?e1k1xej>?012:fdd<{`m;<=>?9c`a?vo`89:;<4llb:qjc567893iho5|if23456>jlh0di?0123=g`e3zcl<=>?08a3f>uno9:;<=7l1c9pmb6789:2o?l4she345671j9i7~gh01234?01;`1g=tan:;<=>6c7`8wla789:;5n9m;rkd456780i3n6}fg12345?d1k1xej>?012:gdd<{`m;<=>?9b`a?vo`89:;<4mlb:qjc567893hho5|if23456>klh0di?0123=f`e3zcl<=>?08f3f>uno9:;<=7k1c9pmb6789:2h?l4she345671m9i7~gh01234?01;g1g=tan:;<=>6d7`8wla789:;5i9m;rkd456780n3n6}fg12345?c1k1xej>?012:`dd<{`m;<=>?9e`a?vo`89:;<4jlb:qjc567893oho5|if23456>llh0di?0123=a`e3zcl<=>?08g3f>uno9:;<=7j1c9pmb6789:2i?l4she345671l9i7~gh01234?01;f1g=tan:;<=>6e7`8wla789:;5h9m;rkd456780o3n6}fg12345?b1k1xej>?012:add<{`m;<=>?9d`a?vo`89:;<4klb:qjc567893nho5|if23456>mlh0di?0123=``e3zcl<=>?08d3f>uno9:;<=7i1c9pmb6789:2j?l4she345671o9i7~gh01234<`3j2ybk=>?01;e1g=tan:;<=>6f7`8wla789:;5k9m;rkd456780l3n6}fg12345?a1k1xej>?012:bdd<{`m;<=>?9g`a?vo`89:;<4hlb:qjc567893mho5|if23456>nlh0di?0123=c`e3zcl<=>?0`23f>uno9:;<=o?1c9pmb6789:j?01c31g=tan:;<=>n07`8wla789:;m=9m;rkd45678h:3n6}fg12345g71k1xej>?012b4dd<{`m;<=>?a1`a?vo`89:;lb:qjc56789k;ho5|if23456f8lh0di?0123e5`e3zcl<=>?0`33f>uno9:;<=o>1c9pmb6789:j=?l4she34567i89i7~gh01234d73j2ybk=>?01c21g=tan:;<=>n17`8wla789:;m<9m;rkd45678h;3n6}fg12345g61k1xej>?012b5dd<{`m;<=>?a0`a?vo`89:;?0`03f>uno9:;<=o=1c9pmb6789:j>?l4she34567i;9i7~gh01234d43j2ybk=>?01c11g=tan:;<=>n27`8wla789:;m?9m;rkd45678h83n6}fg12345g51k1xej>?012b6dd<{`m;<=>?a3`a?vo`89:;?0`13f>uno9:;<=o<1c9pmb6789:j??l4she34567i:9i7~gh01234d53j2ybk=>?01c01g=tan:;<=>n37`8wla789:;m>9m;rkd45678h93n6}fg12345g41k1xej>?012b7dd<{`m;<=>?a2`a?vo`89:;?0`63f>uno9:;<=o;1c9pmb6789:j8?l4she34567i=9i7~gh01234d23j2ybk=>?01c71g=tan:;<=>n47`8wla789:;m99m;rkd45678h>3n6}fg12345g31k1xej>?012b0dd<{`m;<=>?a5`a?vo`89:;?0`73f>uno9:;<=o:1c9pmb6789:j9?l4she34567i<9i7~gh01234d33j2ybk=>?01c61g=tan:;<=>n57`8wla789:;m89m;rkd45678h?3n6}fg12345g21k1xej>?012b1dd<{`m;<=>?a4`a?vo`89:;ho5|if23456f=lh0di?0123e0`e3zcl<=>?0`43f>uno9:;<=o91c9pmb6789:j:?l4she34567i?9i7~gh01234d03j2ybk=>?01c51g=tan:;<=>n67`8wla789:;m;9m;rkd45678h<3n6}fg12345g11k1xej>?012b2dd<{`m;<=>?a7`a?vo`89:;lh0di?0123e3`e3zcl<=>?0`53f>uno9:;<=o81c9pmb6789:j;?l4she34567i>9i7~gh01234d13j2ybk=>?01c41g=tan:;<=>n77`8wla789:;m:9m;rkd45678h=3n6}fg12345g01k1xej>?012b3dd<{`m;<=>?a6`a?vo`89:;?0`:3f>uno9:;<=o71c9pmb6789:j4?l4she34567i19i7~gh01234d>3j2ybk=>?01c;1g=tan:;<=>n87`8wla789:;m59m;rkd45678h23n6}fg12345g?1k1xej>?012b?a9`a?vo`89:;?0`;3f>uno9:;<=o61c9pmb6789:j5?l4she34567i09i7~gh01234d?3j2ybk=>?01c:1g=tan:;<=>n97`8wla789:;m49m;rkd45678h33n6}fg12345g>1k1xej>?012b=dd<{`m;<=>?a8`a?vo`89:;?0`c3f>uno9:;<=on1c9pmb6789:jm?l4she34567ih9i7~gh01234dg3j2ybk=>?01cb1g=tan:;<=>na7`8wla789:;ml9m;rkd45678hk3n6}fg12345gf1k1xej>?012bedd<{`m;<=>?a``a?vo`89:;?0``3f>uno9:;<=om1c9pmb6789:jn?l4she34567ik9i7~gh01234dd3j2ybk=>?01ca1g=tan:;<=>nb7`8wla789:;mo9m;rkd45678hh3n6}fg12345ge1k1xej>?012bfdd<{`m;<=>?ac`a?vo`89:;?0`a3f>uno9:;<=ol1c9pmb6789:jo?l4she34567ij9i7~gh01234de3j2ybk=>?01c`1g=tan:;<=>nc7`8wla789:;mn9m;rkd45678hi3n6}fg12345gd1k1xej>?012bgdd<{`m;<=>?ab`a?vo`89:;?0`f3f>uno9:;<=ok1c9pmb6789:jh?l4she34567im9i7~gh01234db3j2ybk=>?01cg1g=tan:;<=>nd7`8wla789:;mi9m;rkd45678hn3n6}fg12345gc1k1xej>?012b`dd<{`m;<=>?ae`a?vo`89:;?0`g3f>uno9:;<=oj1c9pmb6789:ji?l4she34567il9i7~gh01234dc3j2ybk=>?01cf1g=tan:;<=>ne7`8wla789:;mh9m;rkd45678ho3n6}fg12345gb1k1xej>?012badd<{`m;<=>?ad`a?vo`89:;?0`d3f>uno9:;<=oi1c9pmb6789:jj?l4she34567io9i7~gh01234d`3j2ybk=>?01ce1g=tan:;<=>nf7`8wla789:;mk9m;rkd45678hl3n6}fg12345ga1k1xej>?012bbdd<{`m;<=>?ag`a?vo`89:;?0c23f>uno9:;<=l?1c9pmb6789:i?01`31g=tan:;<=>m07`8wla789:;n=9m;rkd45678k:3n6}fg12345d71k1xej>?012a4dd<{`m;<=>?b1`a?vo`89:;lb:qjc56789h;ho5|if23456e8lh0di?0123f5`e3zcl<=>?0c33f>uno9:;<=l>1c9pmb6789:i=?l4she34567j89i7~gh01234g73j2ybk=>?01`21g=tan:;<=>m17`8wla789:;n<9m;rkd45678k;3n6}fg12345d61k1xej>?012a5dd<{`m;<=>?b0`a?vo`89:;?0c03f>uno9:;<=l=1c9pmb6789:i>?l4she34567j;9i7~gh01234g43j2ybk=>?01`11g=tan:;<=>m27`8wla789:;n?9m;rkd45678k83n6}fg12345d51k1xej>?012a6dd<{`m;<=>?b3`a?vo`89:;?0c13f>uno9:;<=l<1c9pmb6789:i??l4she34567j:9i7~gh01234g53j2ybk=>?01`01g=tan:;<=>m37`8wla789:;n>9m;rkd45678k93n6}fg12345d41k1xej>?012a7dd<{`m;<=>?b2`a?vo`89:;?0c63f>uno9:;<=l;1c9pmb6789:i8?l4she34567j=9i7~gh01234g23j2ybk=>?01`71g=tan:;<=>m47`8wla789:;n99m;rkd45678k>3n6}fg12345d31k1xej>?012a0dd<{`m;<=>?b5`a?vo`89:;?0c73f>uno9:;<=l:1c9pmb6789:i9?l4she34567j<9i7~gh01234g33j2ybk=>?01`61g=tan:;<=>m57`8wla789:;n89m;rkd45678k?3n6}fg12345d21k1xej>?012a1dd<{`m;<=>?b4`a?vo`89:;ho5|if23456e=lh0di?0123f0`e3zcl<=>?0c43f>uno9:;<=l91c9pmb6789:i:?l4she34567j?9i7~gh01234g03j2ybk=>?01`51g=tan:;<=>m67`8wla789:;n;9m;rkd45678k<3n6}fg12345d11k1xej>?012a2dd<{`m;<=>?b7`a?vo`89:;lh0di?0123f3`e3zcl<=>?0c53f>uno9:;<=l81c9pmb6789:i;?l4she34567j>9i7~gh01234g13j2ybk=>?01`41g=tan:;<=>m77`8wla789:;n:9m;rkd45678k=3n6}fg12345d01k1xej>?012a3dd<{`m;<=>?b6`a?vo`89:;?0c:3f>uno9:;<=l71c9pmb6789:i4?l4she34567j19i7~gh01234g>3j2ybk=>?01`;1g=tan:;<=>m87`8wla789:;n59m;rkd45678k23n6}fg12345d?1k1xej>?012a?b9`a?vo`89:;?0c;3f>uno9:;<=l61c9pmb6789:i5?l4she34567j09i7~gh01234g?3j2ybk=>?01`:1g=tan:;<=>m97`8wla789:;n49m;rkd45678k33n6}fg12345d>1k1xej>?012a=dd<{`m;<=>?b8`a?vo`89:;?0cc3f>uno9:;<=ln1c9pmb6789:im?l4she34567jh9i7~gh01234gg3j2ybk=>?01`b1g=tan:;<=>ma7`8wla789:;nl9m;rkd45678kk3n6}fg12345df1k1xej>?012aedd<{`m;<=>?b``a?vo`89:;?0c`3f>uno9:;<=lm1c9pmb6789:in?l4she34567jk9i7~gh01234gd3j2ybk=>?01`a1g=tan:;<=>mb7`8wla789:;no9m;rkd45678kh3n6}fg12345de1k1xej>?012afdd<{`m;<=>?bc`a?vo`89:;?0ca3f>uno9:;<=ll1c9pmb6789:io?l4she34567jj9i7~gh01234ge3j2ybk=>?01``1g=tan:;<=>mc7`8wla789:;nn9m;rkd45678ki3n6}fg12345dd1k1xej>?012agdd<{`m;<=>?bb`a?vo`89:;?0cf3f>uno9:;<=lk1c9pmb6789:ih?l4she34567jm9i7~gh01234gb3j2ybk=>?01`g1g=tan:;<=>md7`8wla789:;ni9m;rkd45678kn3n6}fg12345dc1k1xej>?012a`dd<{`m;<=>?be`a?vo`89:;?0cg3f>uno9:;<=lj1c9pmb6789:ii?l4she34567jl9i7~gh01234gc3j2ybk=>?01`f1g=tan:;<=>me7`8wla789:;nh9m;rkd45678ko3n6}fg12345db1k1xej>?012aadd<{`m;<=>?bd`a?vo`89:;?0cd3f>uno9:;<=li1c9pmb6789:ij?l4she34567jo9i7~gh01234g`3j2ybk=>?01`e1g=tan:;<=>mf7`8wla789:;nk9m;rkd45678kl3n6}fg12345da1k1xej>?012abdd<{`m;<=>?bg`a?vo`89:;?0b23f>uno9:;<=m?1c9pmb6789:h?01a31g=tan:;<=>l07`8wla789:;o=9m;rkd45678j:3n6}fg12345e71k1xej>?012`4dd<{`m;<=>?c1`a?vo`89:;lb:qjc56789i;ho5|if23456d8lh0di?0123g5`e3zcl<=>?0b33f>uno9:;<=m>1c9pmb6789:h=?l4she34567k89i7~gh01234f73j2ybk=>?01a21g=tan:;<=>l17`8wla789:;o<9m;rkd45678j;3n6}fg12345e61k1xej>?012`5dd<{`m;<=>?c0`a?vo`89:;?0b03f>uno9:;<=m=1c9pmb6789:h>?l4she34567k;9i7~gh01234f43j2ybk=>?01a11g=tan:;<=>l27`8wla789:;o?9m;rkd45678j83n6}fg12345e51k1xej>?012`6dd<{`m;<=>?c3`a?vo`89:;?0b13f>uno9:;<=m<1c9pmb6789:h??l4she34567k:9i7~gh01234f53j2ybk=>?01a01g=tan:;<=>l37`8wla789:;o>9m;rkd45678j93n6}fg12345e41k1xej>?012`7dd<{`m;<=>?c2`a?vo`89:;?0b63f>uno9:;<=m;1c9pmb6789:h8?l4she34567k=9i7~gh01234f23j2ybk=>?01a71g=tan:;<=>l47`8wla789:;o99m;rkd45678j>3n6}fg12345e31k1xej>?012`0dd<{`m;<=>?c5`a?vo`89:;?0b73f>uno9:;<=m:1c9pmb6789:h9?l4she34567k<9i7~gh01234f33j2ybk=>?01a61g=tan:;<=>l57`8wla789:;o89m;rkd45678j?3n6}fg12345e21k1xej>?012`1dd<{`m;<=>?c4`a?vo`89:;ho5|if23456d=lh0di?0123g0`e3zcl<=>?0b43f>uno9:;<=m91c9pmb6789:h:?l4she34567k?9i7~gh01234f03j2ybk=>?01a51g=tan:;<=>l67`8wla789:;o;9m;rkd45678j<3n6}fg12345e11k1xej>?012`2dd<{`m;<=>?c7`a?vo`89:;lh0di?0123g3`e3zcl<=>?0b53f>uno9:;<=m81c9pmb6789:h;?l4she34567k>9i7~gh01234f13j2ybk=>?01a41g=tan:;<=>l77`8wla789:;o:9m;rkd45678j=3n6}fg12345e01k1xej>?012`3dd<{`m;<=>?c6`a?vo`89:;?0b:3f>uno9:;<=m71c9pmb6789:h4?l4she34567k19i7~gh01234f>3j2ybk=>?01a;1g=tan:;<=>l87`8wla789:;o59m;rkd45678j23n6}fg12345e?1k1xej>?012`?c9`a?vo`89:;?0b;3f>uno9:;<=m61c9pmb6789:h5?l4she34567k09i7~gh01234f?3j2ybk=>?01a:1g=tan:;<=>l97`8wla789:;o49m;rkd45678j33n6}fg12345e>1k1xej>?012`=dd<{`m;<=>?c8`a?vo`89:;?0bc3f>uno9:;<=mn1c9pmb6789:hm?l4she34567kh9i7~gh01234fg3j2ybk=>?01ab1g=tan:;<=>la7`8wla789:;ol9m;rkd45678jk3n6}fg12345ef1k1xej>?012`edd<{`m;<=>?c``a?vo`89:;?0b`3f>uno9:;<=mm1c9pmb6789:hn?l4she34567kk9i7~gh01234fd3j2ybk=>?01aa1g=tan:;<=>lb7`8wla789:;oo9m;rkd45678jh3n6}fg12345ee1k1xej>?012`fdd<{`m;<=>?cc`a?vo`89:;?0ba3f>uno9:;<=ml1c9pmb6789:ho?l4she34567kj9i7~gh01234fe3j2ybk=>?01a`1g=tan:;<=>lc7`8wla789:;on9m;rkd45678ji3n6}fg12345ed1k1xej>?012`gdd<{`m;<=>?cb`a?vo`89:;?0bf3f>uno9:;<=mk1c9pmb6789:hh?l4she34567km9i7~gh01234fb3j2ybk=>?01ag1g=tan:;<=>ld7`8wla789:;oi9m;rkd45678jn3n6}fg12345ec1k1xej>?012``dd<{`m;<=>?ce`a?vo`89:;?0bg3f>uno9:;<=mj1c9pmb6789:hi?l4she34567kl9i7~gh01234fc3j2ybk=>?01af1g=tan:;<=>le7`8wla789:;oh9m;rkd45678jo3n6}fg12345eb1k1xej>?012`add<{`m;<=>?cd`a?vo`89:;?0bd3f>uno9:;<=mi1c9pmb6789:hj?l4she34567ko9i7~gh01234f`3j2ybk=>?01ae1g=tan:;<=>lf7`8wla789:;ok9m;rkd45678jl3n6}fg12345ea1k1xej>?012`bdd<{`m;<=>?cg`a?vo`89:;jk0LMv=34d9B?0=9rY:m?4>64695=<6;:8o494<407gk23l3;0b9:j:79'01d=<==0q^?n0;3511<603;8??j74;1750b<[;h36<8:d;295655l1>1?9?:d:Q2e5<6>75183;8??j74;17537<[8k;6>6::3154?74;;n387=;1738`40203:1=7?tS0c1>402<3;36<=<2e:7>626=m1}X9=9:182>4<><4>=9:99h5:53536`>"3<:09n45Y45a96~s5k>0:7xd?=;3k2wE:4c=u->8m7?9599'01g=9??<7d?96083>>oelo0;66a>67294?=h9??m6=44od62>5<#<8l1joh4n53f>5=;6=4+40d9bg`5<#<8l1joh4n53f>7=54od1g>5<#<8l1joh4n53f>1=5<#<8l1joh4n53f>3=5<#<8l1joh4n53f>==5<#<8l1joh4n53f>d=6=4+40d9bg`5<#<8l1joh4n53f>f=5<#<8l1joh4n53f>`=5<#<8l1joh4n53f>46<3fo9i7>5$53e>cda3g>:i7?>;:mf6a<72->:j7hmf:l75`<6:21di?m50;&75c07bk=a;29 17a2ohm7c:>e;36?>ib:00;6):>f;dab>h39l0::65`e3:94?"39o0mnk5a40g952=32en>84?:%62b?`en2d?=h4>a:9la75=83.?=k4ibg9m04c=9k10ch<=:18'04`=nkl0b9?j:0a8?jc593:1(9?i:g`e?k26m3;o76aj2183>!26n3lij6`;1d82a>=hm8l1<7*;1g8efc=i<8o1=k54od3f>5<#<8l1joh4n53f>76<3fo:h7>5$53e>cda3g>:i7<>;:mf5f<72->:j7hmf:l75`<5:21di07bk;6;29 17a2ohm7c:>e;06?>ib<<0;6):>f;dab>h39l09:65`e5694?"39o0mnk5a40g962=86=4+40d9bg`32en?l4?:%62b?`en2d?=h4=a:9la7`=83.?=k4ibg9m04c=:k10ch<;:18'04`=nkl0b9?j:3a8?jc613:1(9?i:g`e?k26m38o76aj1983>!26n3lij6`;1d81a>=hno:1<7*;1g8244c5<#<8l1==?j;o62a?7<3flni7>5$53e>466m2d?=h4=;:meaa<72->:j7??1d9m04c=;21djhm50;&75c<688o0b9?j:598kcce290/8iam10;6):>f;335`=i<8o1;65`fd594?"39o0:<==:i774;ndf1?6=,=;m6<>>e:l75`00g8j17b2j10ckk=:18'04`=99;n7c:>e;f8?j`b93:1(9?i:022a>h39l0n76aie183>!26n3;;=h5a40g9b>=hnml1<7*;1g8244c4;ndg`?6=,=;m6<>>e:l75`<6921djim50;&75c<688o0b9?j:008?j`cj3:1(9?i:022a>h39l0:?65`fec94?"39o0:<42<3flo57>5$53e>466m2d?=h4>5:9lba>=83.?=k4>00g8j17b28<07bhk7;29 17a28::i6`;1d823>=hnm<1<7*;1g8244c>e:l75`<6121dji:50;&75c<688o0b9?j:0c8?j`c:3:1(9?i:022a>h39l0:n65`fe394?"39o0:<4e<3flo<7>5$53e>466m2d?=h4>d:9lbf`=83.?=k4>00g8j17b28o07bhle;29 17a28::i6`;1d82b>=hnjn1<7*;1g8244c4;nd`g?6=,=;m6<>>e:l75`<5921djnl50;&75c<688o0b9?j:308?j`di3:1(9?i:022a>h39l09?65`fb;94?"39o0:<72<3flm97>5$53e>466m2d?=h4=5:9lbc2=83.?=k4>00g8j17b2;<07bhi3;29 17a28::i6`;1d813>=hno81<7*;1g8244c>e:l75`<5121djh750;&75c<688o0b9?j:3c8?j`cm3:1(9?i:022a>h39l09n65`fe194?"39o0:<7e<3flh47>5$53e>466m2d?=h4=d:9lbf1=83.?=k4>00g8j17b2;o07dm<8;29 17a2jln7c:>e;28?le4?3:1(9?i:bdf?k26m3;07dm<6;29 17a2jln7c:>e;08?le4=3:1(9?i:bdf?k26m3907dm<3;29 17a2jln7c:>e;68?le4:3:1(9?i:bdf?k26m3?07dm<1;29 17a2jln7c:>e;48?le483:1(9?i:bdf?k26m3=07dm=f;29 17a2jln7c:>e;:8?le5m3:1(9?i:bdf?k26m3307dm=d;29 17a2jln7c:>e;c8?le5k3:1(9?i:bdf?k26m3h07dm=b;29 17a2jln7c:>e;a8?le5i3:1(9?i:bdf?k26m3n07dme;g8?le4m3:1(9?i:bdf?k26m3l07dme;33?>od;j0;6):>f;aea>h39l0:=65fc2`94?"39o0hjh5a40g957=5:9jg7?=83.?=k4lfd9m04c=9?10en<7:18'04`=koo0b9?j:058?je7m3:17dj>8;29 17a2m8m7c:>e;28?lb6?3:1(9?i:e0e?k26m3;07dj>6;29 17a2m8m7c:>e;08?lb6=3:1(9?i:e0e?k26m3907dj>3;29 17a2m8m7c:>e;68?lb6:3:1(9?i:e0e?k26m3?07dj>1;29 17a2m8m7c:>e;48?lb683:1(9?i:e0e?k26m3=07dj?f;29 17a2m8m7c:>e;:8?lb7m3:1(9?i:e0e?k26m3307dj?d;29 17a2m8m7c:>e;c8?lb7k3:1(9?i:e0e?k26m3h07dj?b;29 17a2m8m7c:>e;a8?lb7i3:1(9?i:e0e?k26m3n07dj>f;29 17a2m8m7c:>e;g8?lb6m3:1(9?i:e0e?k26m3l07dj>d;29 17a2m8m7c:>e;33?>oc9j0;6):>f;f1b>h39l0:=65fd0`94?"39o0o>k5a40g957=5:9j`5?=83.?=k4k2g9m04c=9?10ei>7:18'04`=l;l0b9?j:058?le7l3:17dlje;29 17a2klm7c:>e;28?ldbl3:1(9?i:cde?k26m3;07dljc;29 17a2klm7c:>e;08?ldbj3:1(9?i:cde?k26m3907dlja;29 17a2klm7c:>e;68?ldb13:1(9?i:cde?k26m3?07dlj8;29 17a2klm7c:>e;48?ldb?3:1(9?i:cde?k26m3=07dli6;29 17a2klm7c:>e;:8?lda=3:1(9?i:cde?k26m3307dli4;29 17a2klm7c:>e;c8?lda;3:1(9?i:cde?k26m3h07dli2;29 17a2klm7c:>e;a8?lda93:1(9?i:cde?k26m3n07dli0;29 17a2klm7c:>e;g8?ldbn3:1(9?i:cde?k26m3l07dlj6;29 17a2klm7c:>e;33?>oem<0;6):>f;`eb>h39l0:=65f177`>5<5<:183M24l2.??l4;439l7=0=831vn9;k:182>5<7sA>8h6*;3`81e3=h:h?1<75rb57f>5<>0m0?h646c=;o0?<7:>:50906<3<38h6?j52d81b?572:;1??4=4;42>34=>:0=87=<:26920<4=3<=6>8566803?0?2:21:44<9;1b>6d=>k0=o78k:7g92c<083=:61g=?;0?n79<:5a931<3l3=>6:8576842>k1944=b;05>71=:<0997?j:3696g<4;39?6>;537803?5?2:31?l47b=:l09j7=?:23977<5>38<68753e85e?062=?1;?492;65>25=>:0?;79;:7690=<0=3<>697577852?2f2>=1::4;b;5;>3>=17=>l0>i7:=:7d91c<3;3=;6;>545845?{#<:k1=;;m;%3:`?71=01/=l?5177b?!24=3;0(9=;:56e?!50:39<46g>67394?=hm>:1<7*;1g8f33=i<8o1<65`e7d94?"39o0n;;5a40g95>=hm?o1<7*;1g8f33=i<8o1>65`e7f94?"39o0n;;5a40g97>=hm?i1<7*;1g8f33=i<8o1865`e7`94?"39o0n;;5a40g91>=hm?k1<7*;1g8f33=i<8o1:65`e7:94?"39o0n;;5a40g93>=hm?=1<7*;1g8f33=i<8o1465`e7494?"39o0n;;5a40g9=>=hm??1<7*;1g8f33=i<8o1m65`e7694?"39o0n;;5a40g9f>=hm?91<7*;1g8f33=i<8o1o65`e7094?"39o0n;;5a40g9`>=hm?;1<7*;1g8f33=i<8o1i65`e7294?"39o0n;;5a40g9b>=hm5<#<8l1i:84n53f>47<3fo>o7>5$53e>`113g>:i7?=;:mf1g<72->:j7k86:l75`<6;21di8o50;&75c290/8e;35?>ib=>0;6):>f;g42>h39l0:;65`e4494?"39o0n;;5a40g95==6=4+40d9a202d?=h4>b:9la07=83.?=k4j779m04c=9j10ch;?:18'04`=m><0b9?j:0f8?jc3n3:1(9?i:d55?k26m3;n76aj4d83>!26n3o<:6`;1d82b>=hm=n1<7*;1g8f33=i<8o1>=54od6`>5<#<8l1i:84n53f>77<3fo?n7>5$53e>`113g>:i7<=;:mf0d<72->:j7k86:l75`<5;21di9750;&75ce;05?>ib?:0;6):>f;g42>h39l09;65`e6094?"39o0n;;5a40g96==2d?=h4=b:9la05=83.?=k4j779m04c=:j10ch:7:18'04`=m><0b9?j:3f8?jc3?3:1(9?i:d55?k26m38n76aja183>!26n3oj:6`;1d83?>ib1o0;6):>f;gb2>h39l0:76aj9d83>!26n3oj:6`;1d81?>ib1m0;6):>f;gb2>h39l0876aj9b83>!26n3oj:6`;1d87?>ib1k0;6):>f;gb2>h39l0>76aj9`83>!26n3oj:6`;1d85?>ib110;6):>f;gb2>h39l0<76aj9683>!26n3oj:6`;1d8;?>ib1?0;6):>f;gb2>h39l0276aj9483>!26n3oj:6`;1d8b?>ib1=0;6):>f;gb2>h39l0i76aj9283>!26n3oj:6`;1d8`?>ib1;0;6):>f;gb2>h39l0o76aj9083>!26n3oj:6`;1d8f?>ib190;6):>f;gb2>h39l0m76aj8g83>!26n3oj:6`;1d824>=hm1n1<7*;1g8fe3=i<8o1=<54od:`>5<#<8l1il84n53f>44<3fo3n7>5$53e>`g13g>:i7?<;:mf:j7kn6:l75`<6<21di5750;&75c?290/8e;34?>ib0?0;6):>f;gb2>h39l0:465`e9794?"39o0nm;5a40g95<=2d?=h4>c:9la=6=83.?=k4ja79m04c=9m10ch9i:18'04`=mh<0b9?j:0g8?jc0m3:1(9?i:dc5?k26m3;m76aj7e83>!26n3oj:6`;1d814>=hm>i1<7*;1g8fe3=i<8o1><54od5a>5<#<8l1il84n53f>74<3fo5$53e>`g13g>:i7<<;:mf3<<72->:j7kn6:l75`<5<21dil;50;&75ce;04?>ibi;0;6):>f;gb2>h39l09465`e`394?"39o0nm;5a40g96<=4?:%62b?cf>2d?=h4=c:9la2>=83.?=k4ja79m04c=:m10ch98:18'04`=mh<0b9?j:3g8?jcc83:1(9?i:df5?k26m3:07bklf;29 17a2ln=7c:>e;38?jcdm3:1(9?i:df5?k26m3807bkld;29 17a2ln=7c:>e;18?jcdk3:1(9?i:df5?k26m3>07bklb;29 17a2ln=7c:>e;78?jcdi3:1(9?i:df5?k26m3<07bkl8;29 17a2ln=7c:>e;58?jcd?3:1(9?i:df5?k26m3207bkl6;29 17a2ln=7c:>e;;8?jcd=3:1(9?i:df5?k26m3k07bkl4;29 17a2ln=7c:>e;`8?jcd;3:1(9?i:df5?k26m3i07bkl2;29 17a2ln=7c:>e;f8?jcd93:1(9?i:df5?k26m3o07bkl0;29 17a2ln=7c:>e;d8?jcen3:1(9?i:df5?k26m3;;76ajbe83>!26n3oo:6`;1d825>=hmki1<7*;1g8f`3=i<8o1=?54od`a>5<#<8l1ii84n53f>45<3foim7>5$53e>`b13g>:i7?;;:mff<<72->:j7kk6:l75`<6=21dio650;&75ce;3;?>ibj<0;6):>f;gg2>h39l0:565`ec694?"39o0nh;5a40g95d=2d?=h4>d:9lad`=83.?=k4jd79m04c=9l10choj:18'04`=mm<0b9?j:0d8?jcfl3:1(9?i:df5?k26m38;76ajab83>!26n3oo:6`;1d815>=hmhh1<7*;1g8f`3=i<8o1>?54odcb>5<#<8l1ii84n53f>75<3foj57>5$53e>`b13g>:i7<;;:mf`0<72->:j7kk6:l75`<5=21dii:50;&75ce;0;?>ibl80;6):>f;gg2>h39l09565`eb;94?"39o0nh;5a40g96d=2d?=h4=d:9lad1=83.?=k4jd79m04c=:l10ck>?:18'04`=n9<0b9?j:198k``a290/810chh8:18'04`=n9<0b9?j:998k``1290/8:18'04`=n9<0b9?j:d98k``7290/8!26n3l;:6`;1d826>=hmlh1<7*;1g8e43=i<8o1=>54odgb>5<#<8l1j=84n53f>42<3fon57>5$53e>c613g>:i7?:;:mfa=<72->:j7h?6:l75`<6>21dih950;&75ce;3:?>ibm=0;6):>f;d32>h39l0:m65`ed094?"39o0m<;5a40g95g=9;o62a?7c32enhk4?:%62b?`7>2d?=h4>e:9laac=83.?=k4i079m04c=9o10chjk:18'04`=n9<0b9?j:328?jcck3:1(9?i:g25?k26m38:76ajdc83>!26n3l;:6`;1d816>=hmmk1<7*;1g8e43=i<8o1>>54odf:>5<#<8l1j=84n53f>72<3fl;97>5$53e>c613g>:i7<:;:me41<72->:j7h?6:l75`<5>21dj==50;&75ce;0:?>ibn00;6):>f;d32>h39l09m65`edg94?"39o0m<;5a40g96g=9;o62a?4c32enh:4?:%62b?`7>2d?=h4=e:9lb66=83.?=k4i379m04c=821dj?h50;&75c21dj?650;&75c50;&75c!26n3l8:6`;1d827>=hn8k1<7*;1g8e73=i<8o1=954og3:>5<#<8l1j>84n53f>43<3fl:47>5$53e>c513g>:i7?9;:me52<72->:j7h<6:l75`<6?21dj<850;&75c4;29 17a2o9=7c:>e;3b?>ia9;0;6):>f;d02>h39l0:n65`f0394?"39o0m?;5a40g95f=2d?=h4>f:9lb5b=83.?=k4i379m04c=:910ck>l:18'04`=n:<0b9?j:338?j`7j3:1(9?i:g15?k26m38976ai0`83>!26n3l8:6`;1d817>=hn931<7*;1g8e73=i<8o1>954og16>5<#<8l1j>84n53f>73<3fl887>5$53e>c513g>:i7<9;:me76<72->:j7h<6:l75`<5?21dj><50;&75ce;0b?>ia9l0;6):>f;d02>h39l09n65`f0194?"39o0m?;5a40g96f=2d?=h4?;:me1c<72->:j7h96:l75`<632em9h4?:%62b?`1>2d?=h4=;:me1a<72->:j7h96:l75`<432em9n4?:%62b?`1>2d?=h4;;:me1g<72->:j7h96:l75`<232em9l4?:%62b?`1>2d?=h49;:me1=<72->:j7h96:l75`<032em9:4?:%62b?`1>2d?=h47;:me13<72->:j7h96:l75`<>32em984?:%62b?`1>2d?=h4n;:me11<72->:j7h96:l75`4?:%62b?`1>2d?=h4l;:me17<72->:j7h96:l75`2d?=h4j;:me15<72->:j7h96:l75`2d?=h4>0:9lb1b=83.?=k4i679m04c=9810ck:l:18'04`=n?<0b9?j:008?j`3j3:1(9?i:g45?k26m3;876ai4`83>!26n3l=:6`;1d820>=hn=31<7*;1g8e23=i<8o1=854og6;>5<#<8l1j;84n53f>40<3fl?;7>5$53e>c013g>:i7?8;:me03<72->:j7h96:l75`<6021dj9;50;&75c?1e8e;3a?>ia<80;6):>f;d52>h39l0:o65`f5294?"39o0m:;5a40g95a=2d?=h4=0:9lb6e=83.?=k4i679m04c=:810ck=m:18'04`=n?<0b9?j:308?j`4i3:1(9?i:g45?k26m38876ai3883>!26n3l=:6`;1d810>=hn??1<7*;1g8e23=i<8o1>854og47>5<#<8l1j;84n53f>70<3fl=?7>5$53e>c013g>:i7<8;:me27<72->:j7h96:l75`<5021dj;?50;&75c?1e8290/8e;0a?>ia<:0;6):>f;d52>h39l09o65`f2:94?"39o0m:;5a40g96a=5$53e>c?13g>:i7?4;nd;a?6=,=;m6k79;o62a?4<3fl3h7>5$53e>c?13g>:i7=4;nd;g?6=,=;m6k79;o62a?2<3fl3n7>5$53e>c?13g>:i7;4;nd;e?6=,=;m6k79;o62a?0<3fl347>5$53e>c?13g>:i794;nd;3?6=,=;m6k79;o62a?><3fl3:7>5$53e>c?13g>:i774;nd;1?6=,=;m6k79;o62a?g<3fl387>5$53e>c?13g>:i7l4;nd;7?6=,=;m6k79;o62a?e<3fl3>7>5$53e>c?13g>:i7j4;nd;5?6=,=;m6k79;o62a?c<3fl3<7>5$53e>c?13g>:i7h4;nd4b?6=,=;m6k79;o62a?7732em;i4?:%62b?`>>2d?=h4>1:9lb2e=83.?=k4i979m04c=9;10ck9m:18'04`=n0<0b9?j:018?j`0i3:1(9?i:g;5?k26m3;?76ai7883>!26n3l2:6`;1d821>=hn>21<7*;1g8e=3=i<8o1=;54og54>5<#<8l1j484n53f>41<3fl<:7>5$53e>c?13g>:i7?7;:me30<72->:j7h66:l75`<6121dj::50;&75ce;3`?>ia?90;6):>f;d:2>h39l0:h65`f7d94?"39o0m5;5a40g95`=>2d?=h4=1:9lb3d=83.?=k4i979m04c=:;10ck8n:18'04`=n0<0b9?j:318?j`113:1(9?i:g;5?k26m38?76ai9483>!26n3l2:6`;1d811>=hn0>1<7*;1g8e=3=i<8o1>;54og;0>5<#<8l1j484n53f>71<3fl2>7>5$53e>c?13g>:i7<7;:me=4<72->:j7h66:l75`<5121dj5750;&75ce;0`?>ia>10;6):>f;d:2>h39l09h65`f7594?"39o0m5;5a40g96`=h39l0976a>1c694?"39o0:=oh4n53f>6=7>5$53e>47en2d?=h4;;:m25g7=83.?=k4>1cd8j17b2<10ci69hl1<7*;1g825g`h39l0j76a>1``94?"39o0:=oh4n53f>g=5$53e>47en2d?=h4l;:m25d?=83.?=k4>1cd8j17b2m10ci69kn1<7*;1g825g`e;32?>i69kk1<7*;1g825g`:j7?>bg9m04c=9:10c=h98h86=4+40d954da3g>:i7?:;:m25d>=83.?=k4>1cd8j17b28<07b?>a683>!26n3;:nk5a40g952=5$53e>47c02d?=h4?;:m25f`=83.?=k4>1e:8j17b2810ci69jn1<7*;1g825a>54o03`f?6=,=;m6h39l0=76a>1b:94?"39o0:=i64n53f>2=5$53e>47c02d?=h47;:m25f0=83.?=k4>1e:8j17b2010ci69j>1<7*;1g825a>h39l0n76a>1e494?"39o0:=i64n53f>c=5$53e>47c02d?=h4>0:9l54b3290/81e194?"39o0:=i64n53f>44<3f;:h?4?:%62b?76l11e8h39l0:865`10a`>5<#<8l1=f;32`==i<8o1=:54o03f=?6=,=;m6h39l0976a>1d494?"39o0:=k?4n53f>6=5$53e>47a92d?=h4;;:m25`5=83.?=k4>1g38j17b2<10ci69l;1<7*;1g825c7h39l0j76a>1ef94?"39o0:=k?4n53f>g=5$53e>47a92d?=h4l;:m25ad=83.?=k4>1g38j17b2m10ci69ll1<7*;1g825c7e;32?>i69li1<7*;1g825c7:j7?>f09m04c=9:10c=h98o>6=4+40d954`63g>:i7?:;:m25ag=83.?=k4>1g38j17b28<07b?>d883>!26n3;:j<5a40g952=7>5$53e>447i2d?=h4?;:m2657=83.?=k4>21c8j17b2810c<i69ol1<7*;1g8265g54o03e`?6=,=;m6<h39l0=76a>1gc94?"39o0:>=o4n53f>2=5$53e>447i2d?=h47;:m25c>=83.?=k4>21c8j17b2010ci69o<1<7*;1g8265gh39l0n76a>21:94?"39o0:>=o4n53f>c=5$53e>447i2d?=h4>0:9l5761290/821794?"39o0:>=o4n53f>44<3f;9<94?:%62b?758h1e8h39l0:865`10df>5<#<8l1=?>n;o62a?7232e:=k=50;&75c<6:9k0b9?j:048?j76n;0;6):>f;314d=i<8o1=:54o002f?6=,=;m6<<=3:l75`<732e:>h39l0976a>20:94?"39o0:>?=4n53f>6=5$53e>445;2d?=h4;;:m2643=83.?=k4>2318j17b2<10c<<>4;29 17a2889?6`;1d85?>i6:891<7*;1g82675h39l0j76a>21d94?"39o0:>?=4n53f>g=5$53e>445;2d?=h4l;:m265b=83.?=k4>2318j17b2m10c<<=2;29 17a2889?6`;1d8f?>i6:;;1<7*;1g82675e;32?>i6:8o1<7*;1g82675:j7?=229m04c=9:10c<<>c;29 17a2889?6`;1d820>=h9;;<6=4+40d957443g>:i7?:;:m265e=83.?=k4>2318j17b28<07b?=0c83>!26n3;9>>5a40g952=5$53e>444k2d?=h4?;:m2665=83.?=k4>22a8j17b2810c<<<2;29 17a2888o6`;1d81?>i6::;1<7*;1g8266e54o001b?6=,=;m6<<?k50;&75c<6::i0b9?j:498k445l3:1(9?i:000g>h39l0=76a>23a94?"39o0:>>m4n53f>2=5$53e>444k2d?=h47;:m267g=83.?=k4>22a8j17b2010c<<=9;29 17a2888o6`;1d8b?>i6:;21<7*;1g8266e?850;&75c<6::i0b9?j:e98k444j3:1(9?i:000g>h39l0n76a>22c94?"39o0:>>m4n53f>c=5$53e>444k2d?=h4>0:9l575?290/822594?"39o0:>>m4n53f>44<3f;9?;4?:%62b?75;j1e8h39l0:865`1313>5<#<8l1=?=l;o62a?7232e:>?;50;&75c<6::i0b9?j:048?j75:=0;6):>f;317f=i<8o1=:54o007`?6=,=;m6<<:5:l75`<732e:>9m50;&75c<6:h39l0976a>25c94?"39o0:>8;4n53f>6=5$53e>442=2d?=h4;;:m2611=83.?=k4>2478j17b2<10c<<;6;29 17a288>96`;1d85?>i6:=?1<7*;1g826039=50;&75c<6:h39l0j76a>25394?"39o0:>8;4n53f>g=5$53e>442=2d?=h4l;:m266`=83.?=k4>2478j17b2m10c<<:4;29 17a288>96`;1d8f?>i6:<91<7*;1g82603:18'04`=9;?>7c:>e;32?>i6:<:1<7*;1g82603:j7?=549m04c=9:10c<<;e;29 17a288>96`;1d820>=h9;>26=4+40d957323g>:i7?:;:m266c=83.?=k4>2478j17b28<07b?=3e83>!26n3;9985a40g952=5;ha64?6=,=;m6n;7;o62a?6<3`i?j7>5$53e>f3?3g>:i7?4;ha7a?6=,=;m6n;7;o62a?4<3`i?h7>5$53e>f3?3g>:i7=4;ha7f?6=,=;m6n;7;o62a?2<3`i?m7>5$53e>f3?3g>:i7;4;ha7=?6=,=;m6n;7;o62a?0<3`i?47>5$53e>f3?3g>:i794;ha73?6=,=;m6n;7;o62a?><3`i?:7>5$53e>f3?3g>:i774;ha71?6=,=;m6n;7;o62a?g<3`i?87>5$53e>f3?3g>:i7l4;ha77?6=,=;m6n;7;o62a?e<3`i?>7>5$53e>f3?3g>:i7j4;ha63?6=,=;m6n;7;o62a?c<3`i>:7>5$53e>f3?3g>:i7h4;ha61?6=,=;m6n;7;o62a?7732ch994?:%62b?e202d?=h4>1:9jg05=83.?=k4l599m04c=9;10en;=:18'04`=k<20b9?j:018?le293:1(9?i:b7;?k26m3;?76gl4b83>!26n3i>46`;1d821>=nk=;1<7*;1g8`1==i<8o1=;54ib63>5<#<8l1o864n53f>41<3`i=57>5$53e>f163g>:i7>4;ha5;o62a?7<3`i=;7>5$53e>f163g>:i7<4;ha52?6=,=;m6n9>;o62a?5<3`i=87>5$53e>f163g>:i7:4;ha57?6=,=;m6n9>;o62a?3<3`i=>7>5$53e>f163g>:i784;ha55?6=,=;m6n9>;o62a?1<3`i=<7>5$53e>f163g>:i764;ha6b?6=,=;m6n9>;o62a??<3`i>i7>5$53e>f163g>:i7o4;ha6`?6=,=;m6n9>;o62a?d<3`i>o7>5$53e>f163g>:i7m4;ha6f?6=,=;m6n9>;o62a?b<3`i<<7>5$53e>f163g>:i7k4;ha5b?6=,=;m6n9>;o62a?`<3`i=i7>5$53e>f163g>:i7??;:k`2a<72->:j7m81:l75`<6921bo;m50;&75ce;37?>od><0;6):>f;a45>h39l0:965fc4c94?"39o0h;<5a40g953=5$53e>f>f3g>:i7?4;ha;4?6=,=;m6n6n;o62a?4<3`i5$53e>f>f3g>:i7=4;ha4`?6=,=;m6n6n;o62a?2<3`i5$53e>f>f3g>:i7;4;ha4f?6=,=;m6n6n;o62a?0<3`i5$53e>f>f3g>:i794;ha4=?6=,=;m6n6n;o62a?><3`i<47>5$53e>f>f3g>:i774;ha43?6=,=;m6n6n;o62a?g<3`i<:7>5$53e>f>f3g>:i7l4;ha41?6=,=;m6n6n;o62a?e<3`i<87>5$53e>f>f3g>:i7j4;ha;=?6=,=;m6n6n;o62a?c<3`i347>5$53e>f>f3g>:i7h4;ha;3?6=,=;m6n6n;o62a?7732ch4;4?:%62b?e?i2d?=h4>1:9jg=3=83.?=k4l8`9m04c=9;10en6;:18'04`=k1k0b9?j:018?le?;3:1(9?i:b:b?k26m3;?76gl7d83>!26n3i3m6`;1d821>=nk>91<7*;1g8`5<#<8l1o5o4n53f>41<3`i2n7>5$53e>fg43g>:i7>4;ha:e?6=,=;m6no<;o62a?7<3`i257>5$53e>fg43g>:i7<4;ha:5$53e>fg43g>:i7:4;ha:1?6=,=;m6no<;o62a?3<3`i287>5$53e>fg43g>:i784;ha:7?6=,=;m6no<;o62a?1<3`i2>7>5$53e>fg43g>:i764;ha:5?6=,=;m6no<;o62a??<3`i2<7>5$53e>fg43g>:i7o4;ha;b?6=,=;m6no<;o62a?d<3`i3i7>5$53e>fg43g>:i7m4;ha;`?6=,=;m6no<;o62a?b<3`ij>7>5$53e>fg43g>:i7k4;hab5?6=,=;m6no<;o62a?`<3`ij<7>5$53e>fg43g>:i7??;:k`=c<72->:j7mn3:l75`<6921bo4k50;&75ce;37?>od1>0;6):>f;ab7>h39l0:965fc9a94?"39o0hm>5a40g953=5$53e>fdd3g>:i7?4;haa6?6=,=;m6nll;o62a?4<3`ii=7>5$53e>fdd3g>:i7=4;habb?6=,=;m6nll;o62a?2<3`iji7>5$53e>fdd3g>:i7;4;hab`?6=,=;m6nll;o62a?0<3`ijo7>5$53e>fdd3g>:i794;habf?6=,=;m6nll;o62a?><3`ijm7>5$53e>fdd3g>:i774;hab=?6=,=;m6nll;o62a?g<3`ij47>5$53e>fdd3g>:i7l4;hab3?6=,=;m6nll;o62a?e<3`ij:7>5$53e>fdd3g>:i7j4;haaf?6=,=;m6nll;o62a?c<3`iim7>5$53e>fdd3g>:i7h4;haa=?6=,=;m6nll;o62a?7732chn54?:%62b?eek2d?=h4>1:9jgg1=83.?=k4lbb9m04c=9;10enl9:18'04`=kki0b9?j:018?lee=3:1(9?i:b``?k26m3;?76glb183>!26n3iio6`;1d821>=nkh?1<7*;1g8`ff=i<8o1=;54ibc7>5<#<8l1oom4n53f>41<3f;=9k4?::k`ga<72->:j7mk5:l75`<732chon4?:%62b?ec=2d?=h4>;:k`gg<72->:j7mk5:l75`<532chol4?:%62b?ec=2d?=h4<;:k`g=<72->:j7mk5:l75`<332cho:4?:%62b?ec=2d?=h4:;:k`g3<72->:j7mk5:l75`<132cho84?:%62b?ec=2d?=h48;:k`g1<72->:j7mk5:l75`4?:%62b?ec=2d?=h46;:k`g7<72->:j7mk5:l75`:j7mk5:l75`:j7mk5:l75`4?:%62b?ec=2d?=h4i;:k``7<72->:j7mk5:l75`<6821boi?50;&75c7c:>e;30?>odkl0;6):>f;ag1>h39l0:865fcb;94?"39o0hh85a40g950=:j7mje:l75`<632chi94?:%62b?ebm2d?=h4=;:k`a6<72->:j7mje:l75`<432chi<4?:%62b?ebm2d?=h4;;:k`a5<72->:j7mje:l75`<232chhk4?:%62b?ebm2d?=h49;:k```<72->:j7mje:l75`<032chhi4?:%62b?ebm2d?=h47;:k``f<72->:j7mje:l75`<>32chho4?:%62b?ebm2d?=h4n;:k``d<72->:j7mje:l75`:j7mje:l75`:j7mje:l75`0:9jg`g=83.?=k4led9m04c=9810enk6:18'04`=klo0b9?j:008?leb03:1(9?i:bgf?k26m3;876gle683>!26n3ini6`;1d820>=nkl81<7*;1g8`a`=i<8o1=854ibf4>5<#<8l1ohk4n53f>40<3`io:7>5$53e>fcb3g>:i7?8;:k`4d<72->:j7m?b:l75`<732en8<4?:%62b?`en2d?=h4?;:mf05<72->:j7hmf:l75`<632en?k4?:%62b?`en2d?=h4=;:mf7`<72->:j7hmf:l75`<432en?i4?:%62b?`en2d?=h4;;:mf7f<72->:j7hmf:l75`<232en?o4?:%62b?`en2d?=h49;:mf7<<72->:j7hmf:l75`<032en?54?:%62b?`en2d?=h47;:mf72<72->:j7hmf:l75`<>32en?;4?:%62b?`en2d?=h4n;:mf70<72->:j7hmf:l75`:j7hmf:l75`:j7hmf:l75`0:9la7c=83.?=k4ibg9m04c=9810ch!26n3lij6`;1d820>=hm;k1<7*;1g8efc=i<8o1=854od0:>5<#<8l1joh4n53f>40<3fo947>5$53e>cda3g>:i7?8;:mf62<72->:j7hmf:l75`<6021di?850;&75ce;3a?>ib:;0;6):>f;dab>h39l0:o65`e3394?"39o0mnk5a40g95a=!26n3lij6`;1d810>=hm=<1<7*;1g8efc=i<8o1>854od66>5<#<8l1joh4n53f>70<3fo?87>5$53e>cda3g>:i7<8;:mf06<72->:j7hmf:l75`<5021di9<50;&75ce;0a?>ib:=0;6):>f;dab>h39l09o65`e0;94?"39o0mnk5a40g96a=>e:l75`<732emik4?:%62b?779l1e800g8j17b2;10ckkk:18'04`=99;n7c:>e;18?j`bk3:1(9?i:022a>h39l0?76aiec83>!26n3;;=h5a40g91>=hnlk1<7*;1g8244c5<#<8l1==?j;o62a?1<3fln;7>5$53e>466m2d?=h47;:mea3<72->:j7??1d9m04c=121djh;50;&75c<688o0b9?j:`98kcc3290/8iam;0;6):>f;335`=i<8o1h65`fd394?"39o0:<`=:i7h4;ndgb?6=,=;m6<>>e:l75`<6821djij50;&75c<688o0b9?j:038?j`ck3:1(9?i:022a>h39l0:>65`fe`94?"39o0:<45<3flom7>5$53e>466m2d?=h4>4:9lba?=83.?=k4>00g8j17b28?07bhk8;29 17a28::i6`;1d822>=hnm=1<7*;1g8244c>e:l75`<6021dji;50;&75c<688o0b9?j:0;8?j`c<3:1(9?i:022a>h39l0:m65`fe094?"39o0:<4d<3flo=7>5$53e>466m2d?=h4>c:9lba6=83.?=k4>00g8j17b28n07bhlf;29 17a28::i6`;1d82a>=hnjo1<7*;1g8244c>e:l75`<5821djnm50;&75c<688o0b9?j:338?j`dj3:1(9?i:022a>h39l09>65`fbc94?"39o0:<75<3flh57>5$53e>466m2d?=h4=4:9lbc3=83.?=k4>00g8j17b2;?07bhi4;29 17a28::i6`;1d812>=hno91<7*;1g8244c>e:l75`<5021djk?50;&75c<688o0b9?j:3;8?j`b13:1(9?i:022a>h39l09m65`feg94?"39o0:<7d<3flo?7>5$53e>466m2d?=h4=c:9lbf>=83.?=k4>00g8j17b2;n07bhl7;29 17a28::i6`;1d81a>=h99>m6=4+40d955323g>:i7>4;n330`<72->:j7??549m04c=921d==:k:18'04`=99?>7c:>e;08?j77f;3310=i<8o1?65`116a>5<#<8l1==;:;o62a?2<3f;;8l4?:%62b?77=<1e8290/8!26n3;;985a40g93>=h99>=6=4+40d955323g>:i764;n3300<72->:j7??549m04c=121d==:;:18'04`=99?>7c:>e;c8?j77<:0;6):>f;3310=i<8o1n65`1161>5<#<8l1==;:;o62a?e<3f;;8<4?:%62b?77=<1e8!26n3;;985a40g9b>=h999n6=4+40d955323g>:i7??;:m246e=83.?=k4>0478j17b28;07b??3c83>!26n3;;985a40g957=5$53e>462=2d?=h4>3:9l555>290/802:94?"39o0:<8;4n53f>43<3f;;?:4?:%62b?77=<1e83:1(9?i:0261>h39l0:;65`1116>5<#<8l1==;:;o62a?7?32e:<>:50;&75c<68f;3310=i<8o1=l54o0205?6=,=;m6<>:5:l75`<6j21d===?:18'04`=99?>7c:>e;3`?>i68;l1<7*;1g82403:j7??549m04c=9l10c<>=d;29 17a28:>96`;1d82b>=h998h6=4+40d955323g>:i70478j17b2;;07b??2`83>!26n3;;985a40g967=5$53e>462=2d?=h4=3:9l554?290/804694?"39o0:<8;4n53f>73<3f;;9>4?:%62b?77=<1e8h39l09;65`1172>5<#<8l1==;:;o62a?4?32e:<8>50;&75c<68f;3310=i<8o1>l54o020`?6=,=;m6<>:5:l75`<5j21d====:18'04`=99?>7c:>e;0`?>i68;=1<7*;1g82403:j7??549m04c=:l10cn>j:188k460n3:1(9?i:02;1>h39l0;76a>06g94?"39o0:<5;4n53f>4=5$53e>46?=2d?=h4=;:m242e=83.?=k4>0978j17b2:10c<>8b;29 17a28:396`;1d87?>i68>k1<7*;1g824=375:l75`<132e:<:950;&75c<681?0b9?j:698k460>3:1(9?i:02;1>h39l0376a>06794?"39o0:<5;4n53f><=5$53e>46?=2d?=h4n;:m2425=83.?=k4>0978j17b2k10c<>82;29 17a28:396`;1d8`?>i68>;1<7*;1g824=375:l75`h39l0:<65`114`>5<#<8l1==6:;o62a?7632e:<;l50;&75c<681?0b9?j:008?j77>h0;6):>f;33<0=i<8o1=>54o025=?6=,=;m6<>75:l75`<6<21d==87:18'04`=992>7c:>e;36?>i68?=1<7*;1g824=3:j7??849m04c=9>10c<>95;29 17a28:396`;1d82<>=h9923g>:i7?6;:m2435=83.?=k4>0978j17b28k07b??6083>!26n3;;485a40g95g=5$53e>46?=2d?=h4>c:9l553a290/804g94?"39o0:<5;4n53f>4c<3f;;9i4?:%62b?770<1e8h39l09<65`117a>5<#<8l1==6:;o62a?4632e:<8o50;&75c<681?0b9?j:308?j77=00;6):>f;33<0=i<8o1>>54o02675:l75`<5<21d==6;:18'04`=992>7c:>e;06?>i68191<7*;1g824=3:j7??849m04c=:>10c<>71;29 17a28:396`;1d81<>=h992;6=4+40d955>23g>:i7<6;:m242>=83.?=k4>0978j17b2;k07b??6e83>!26n3;;485a40g96g=7>5$53e>46?=2d?=h4=c:9l5530290/804494?"39o0:<5;4n53f>7c<3`i847>5$53e>f`b3g>:i7>4;ha03?6=,=;m6nhj;o62a?7<3`i8:7>5$53e>f`b3g>:i7<4;ha01?6=,=;m6nhj;o62a?5<3`i8?7>5$53e>f`b3g>:i7:4;ha06?6=,=;m6nhj;o62a?3<3`i8=7>5$53e>f`b3g>:i784;ha04?6=,=;m6nhj;o62a?1<3`i9j7>5$53e>f`b3g>:i764;ha1a?6=,=;m6nhj;o62a??<3`i9h7>5$53e>f`b3g>:i7o4;ha1g?6=,=;m6nhj;o62a?d<3`i9n7>5$53e>f`b3g>:i7m4;ha1e?6=,=;m6nhj;o62a?b<3`i8j7>5$53e>f`b3g>:i7k4;ha0a?6=,=;m6nhj;o62a?`<3`i8h7>5$53e>f`b3g>:i7??;:k`7f<72->:j7mie:l75`<6921bo>l50;&75ce;37?>od;=0;6):>f;aea>h39l0:965fc3;94?"39o0hjh5a40g953=5$53e>a4a3g>:i7?4;hf22?6=,=;m6i5$53e>a4a3g>:i7=4;hf27?6=,=;m6i7>5$53e>a4a3g>:i7;4;hf25?6=,=;m6i5$53e>a4a3g>:i794;hf3b?6=,=;m6i<3`n;i7>5$53e>a4a3g>:i774;hf3`?6=,=;m6i5$53e>a4a3g>:i7l4;hf3f?6=,=;m6i5$53e>a4a3g>:i7j4;hf2b?6=,=;m6i5$53e>a4a3g>:i7h4;hf2`?6=,=;m6i1:9j`4d=83.?=k4k2g9m04c=9;10ei?n:18'04`=l;l0b9?j:018?lb613:1(9?i:e0e?k26m3;?76gk1583>!26n3n9j6`;1d821>=nl931<7*;1g8g6c=i<8o1=;54ie2;>5<#<8l1h?h4n53f>41<3f;;mk4?:%62b?77j<1e8!26n3;;n85a40g96>=h99kh6=4+40d955d23g>:i7=4;n33eg<72->:j7??b49m04c=<21d==on:18'04`=99h>7c:>e;78?j77i00;6):>f;33f0=i<8o1:65`11c4>5<#<8l1==l:;o62a?1<3f;;m;4?:%62b?77j<1e8!26n3;;n85a40g9e>=h99k86=4+40d955d23g>:i7l4;n33e7<72->:j7??b49m04c=k21d==o>:18'04`=99h>7c:>e;f8?j77i90;6):>f;33f0=i<8o1i65`11;e>5<#<8l1==l:;o62a?`<3f;;5h4?:%62b?77j<1e8k3:1(9?i:02a1>h39l0:=65`11;a>5<#<8l1==l:;o62a?7532e:<4o50;&75c<68k?0b9?j:018?j77100;6):>f;33f0=i<8o1=954o02:m5:l75`<6=21d==78:18'04`=99h>7c:>e;35?>i680<1<7*;1g824g3:j7??b49m04c=9110c<>64;29 17a28:i96`;1d82=>=h99386=4+40d955d23g>:i7?n;:m24<7=83.?=k4>0c78j17b28h07b??9183>!26n3;;n85a40g95f=5$53e>46e=2d?=h4>d:9l55>b290/809f94?"39o0:4`<3f;;4n4?:%62b?77j<1e8h39l09=65`11:b>5<#<8l1==l:;o62a?4532e:<5750;&75c<68k?0b9?j:318?j77010;6):>f;33f0=i<8o1>954o02a0?6=,=;m6<>m5:l75`<5=21d==l<:18'04`=99h>7c:>e;05?>i68k81<7*;1g824g3:j7??b49m04c=:110c<>m0;29 17a28:i96`;1d81=>=h99k36=4+40d955d23g>:i70c78j17b2;h07b??9383>!26n3;;n85a40g96f=5$53e>46e=2d?=h4=d:9l55>1290/8!26n3n>=6`;1d83?>oc<10;6):>f;f65>h39l0:76gk4683>!26n3n>=6`;1d81?>ocf;f65>h39l0876gk4583>!26n3n>=6`;1d87?>oc<:0;6):>f;f65>h39l0>76gk4383>!26n3n>=6`;1d85?>oc<80;6):>f;f65>h39l0<76gk4183>!26n3n>=6`;1d8;?>oc;o0;6):>f;f65>h39l0276gk3d83>!26n3n>=6`;1d8b?>oc;m0;6):>f;f65>h39l0i76gk3b83>!26n3n>=6`;1d8`?>oc;k0;6):>f;f65>h39l0o76gk5183>!26n3n>=6`;1d8f?>ocf;f65>h39l0m76gk4d83>!26n3n>=6`;1d824>=nl=n1<7*;1g8g14=i<8o1=<54ie6`>5<#<8l1h8?4n53f>44<3`n?n7>5$53e>a363g>:i7?<;:kg0d<72->:j7j:1:l75`<6<21bh9;50;&75ce;34?>i68ml1<7*;1g824`3j5:l75`<632e:h39l0876a>0e`94?"39o0:1=5$53e>46b=2d?=h4:;:m24a?=83.?=k4>0d78j17b2?10c<>k7;29 17a28:n96`;1d84?>i68m<1<7*;1g824`3j5:l75`<>32e:h39l0i76a>0e094?"39o0:f=5$53e>46b=2d?=h4k;:m24a6=83.?=k4>0d78j17b2l10c<>lf;29 17a28:n96`;1d8e?>i68jo1<7*;1g824`34;n33gf<72->:j7??e49m04c=9810c<>lb;29 17a28:n96`;1d826>=h99ij6=4+40d955c23g>:i7?<;:m24f?=83.?=k4>0d78j17b28>07b??c983>!26n3;;i85a40g950=5$53e>46b=2d?=h4>6:9l55e1290/80b794?"39o0:4><3f;;o94?:%62b?77m<1e8h39l0:m65`11a2>5<#<8l1==k:;o62a?7e32e:50;&75c<68l?0b9?j:0a8?j77jo0;6):>f;33a0=i<8o1=i54o02aa?6=,=;m6<>j5:l75`<6m21d==lk:18'04`=99o>7c:>e;3e?>i68ki1<7*;1g824`34;n33fg<72->:j7??e49m04c=:810c<>ma;29 17a28:n96`;1d816>=h99h26=4+40d955c23g>:i7<<;:m24g>=83.?=k4>0d78j17b2;>07b??e583>!26n3;;i85a40g960=5$53e>46b=2d?=h4=6:9l55c5290/80d394?"39o0:7><3f;;i=4?:%62b?77m<1e8h39l09m65`11ag>5<#<8l1==k:;o62a?4e32e:0;6):>f;33a0=i<8o1>i54o02a2?6=,=;m6<>j5:l75`<5m21bh;<50;&75ch1e850;&75ch1e8h1e8h1e8h1e8=83.?=k4k6`9m04c=121bh8950;&75ch1e8h1e8h1e8=83.?=k4k6`9m04c=n21bh;950;&75ch1e8e;31?>oc>=0;6):>f;f5e>h39l0:?65fd7194?"39o0o:l5a40g951=7:9l546a290/80d83>!26n3;:=85a40g95>=h98:o6=4+40d954723g>:i7<4;n324f<72->:j7?>149m04c=;21d=<>m:18'04`=98;>7c:>e;68?j768h0;6):>f;3250=i<8o1965`102:>5<#<8l1=0483>!26n3;:=85a40g9=>=h98:?6=4+40d954723g>:i7o4;n3246<72->:j7?>149m04c=j21d=<>=:18'04`=98;>7c:>e;a8?j76880;6):>f;3250=i<8o1h65`1023>5<#<8l1=0ga94?"39o0:=<;4n53f>47<3f;;jo4?:%62b?769<1e8h39l0:?65`11d:>5<#<8l1=0;6):>f;3250=i<8o1=;54o02e2?6=,=;m65:l75`<6?21d==h::18'04`=98;>7c:>e;3;?>i68o>1<7*;1g82543:j7?>149m04c=9h10c<>i1;29 17a28;:96`;1d82f>=h99l;6=4+40d954723g>:i7?l;:m24``=83.?=k4>1078j17b28n07b??ed83>!26n3;:=85a40g95`=5$53e>476=2d?=h4>f:9l55cd290/80d`94?"39o0:=<;4n53f>77<3f;;il4?:%62b?769<1e8h39l09?65`11g;>5<#<8l1=f;3250=i<8o1>;54o0326?6=,=;m65:l75`<5?21d=:18'04`=98;>7c:>e;0;?>i698:1<7*;1g82543:j7?>149m04c=:h10c<>id;29 17a28;:96`;1d81f>=h99l96=4+40d954723g>:i71078j17b2;n07b??e783>!26n3;:=85a40g96`=5<#<8l1h5=4n53f>4=5<#<8l1h5=4n53f>6=5<#<8l1h5=4n53f>0=5<#<8l1h5=4n53f>2=5<#<8l1h5=4n53f><=5<#<8l1h5=4n53f>g=5<#<8l1h5=4n53f>a=5<#<8l1h5=4n53f>c=4;hf4b?6=,=;m6i6<;o62a?7632co;h4?:%62b?b?;2d?=h4>2:9j`2b=83.?=k4k829m04c=9:10ei9l:18'04`=l190b9?j:068?lb0?3:1(9?i:e:0?k26m3;>76gk6b83>!26n3n3?6`;1d822>=nl?h1<7*;1g8g<6=i<8o1=:54o030b?6=,=;m6k50;&75c<69=?0b9?j:098k474l3:1(9?i:0371>h39l0976a>12a94?"39o0:=9;4n53f>6=5$53e>473=2d?=h4;;:m256g=83.?=k4>1578j17b2<10ci69:=1<7*;1g82513;50;&75c<69=?0b9?j:898k474<3:1(9?i:0371>h39l0j76a>12194?"39o0:=9;4n53f>g=7>5$53e>473=2d?=h4l;:m2567=83.?=k4>1578j17b2m10ci69;l1<7*;1g82513>7c:>e;32?>i69;h1<7*;1g82513:j7?>449m04c=9:10c=h98836=4+40d954223g>:i7?:;:m2571=83.?=k4>1578j17b28<07b?>2783>!26n3;:885a40g952=5$53e>473=2d?=h4>8:9l5443290/813194?"39o0:=9;4n53f>4g<3f;:><4?:%62b?76<<1e8h39l0:o65`103e>5<#<8l1=<::;o62a?7c32e:=f;3200=i<8o1=k54o032g?6=,=;m6>7c:>e;02?>i698k1<7*;1g82513:j7?>449m04c=::10c8;29 17a28;?96`;1d810>=h98>?6=4+40d954223g>:i7<:;:m2515=83.?=k4>1578j17b2;<07b?>4383>!26n3;:885a40g962=5$53e>473=2d?=h4=8:9l5427290/812:94?"39o0:=9;4n53f>7g<3f;:>i4?:%62b?76<<1e8h39l09o65`1034>5<#<8l1=<::;o62a?4c32e:=<850;&75c<69=?0b9?j:3g8?lb><3:1(9?i:e;`?k26m3:07dj63;29 17a2m3h7c:>e;38?lb>:3:1(9?i:e;`?k26m3807dj61;29 17a2m3h7c:>e;18?lb?n3:1(9?i:e;`?k26m3>07dj7e;29 17a2m3h7c:>e;78?lb?l3:1(9?i:e;`?k26m3<07dj7c;29 17a2m3h7c:>e;58?lb?j3:1(9?i:e;`?k26m3207dj7a;29 17a2m3h7c:>e;;8?lb?13:1(9?i:e;`?k26m3k07dj78;29 17a2m3h7c:>e;`8?lb??3:1(9?i:e;`?k26m3i07dj76;29 17a2m3h7c:>e;f8?lb>j3:1(9?i:e;`?k26m3o07dj6a;29 17a2m3h7c:>e;d8?lb>13:1(9?i:e;`?k26m3;;76gk9983>!26n3n2o6`;1d825>=nl0=1<7*;1g8g=f=i<8o1=?54ie;5>5<#<8l1h4m4n53f>45<3`n297>5$53e>a?d3g>:i7?;;:kg=5<72->:j7j6c:l75`<6=21bh5;50;&75c3290/86g83>!26n3;:;85a40g94>=h98:i7?4;n322a<72->:j7?>749m04c=:21d=<8l:18'04`=98=>7c:>e;18?j76>k0;6):>f;3230=i<8o1865`104b>5<#<8l1=<9:;o62a?3<3f;::44?:%62b?76?<1e86783>!26n3;:;85a40g9<>=h98<>6=4+40d954123g>:i774;n3221<72->:j7?>749m04c=i21d=<8<:18'04`=98=>7c:>e;`8?j76>;0;6):>f;3230=i<8o1o65`1042>5<#<8l1=<9:;o62a?b<3f;::=4?:%62b?76?<1e85d83>!26n3;:;85a40g955=o7>5$53e>470=2d?=h4>1:9l543e290/814c94?"39o0:=:;4n53f>45<3f;:944?:%62b?76?<1e8h39l0:965`1074>5<#<8l1=<9:;o62a?7132e:=8850;&75c<69>?0b9?j:058?j76=<0;6):>f;3230=i<8o1=554o0360?6=,=;m67c:>e;3b?>i69<;1<7*;1g82523:j7?>749m04c=9j10c=h98>n6=4+40d954123g>:i7?j;:m251b=83.?=k4>1678j17b28l07b?>4b83>!26n3;:;85a40g965=5$53e>470=2d?=h4=1:9l542f290/815;94?"39o0:=:;4n53f>75<3f;:854?:%62b?76?<1e8h39l09965`1050>5<#<8l1=<9:;o62a?4132e:=:<50;&75c<69>?0b9?j:358?j76?80;6):>f;3230=i<8o1>554o0344?6=,=;m67c:>e;0b?>i69:j7?>749m04c=:j10c=h98>=6=4+40d954123g>:i7:j7jm5:l75`<732comn4?:%62b?be=2d?=h4>;:kgeg<72->:j7jm5:l75`<532coml4?:%62b?be=2d?=h4<;:kge=<72->:j7jm5:l75`<332com:4?:%62b?be=2d?=h4:;:kge3<72->:j7jm5:l75`<132com84?:%62b?be=2d?=h48;:kge1<72->:j7jm5:l75`4?:%62b?be=2d?=h46;:kge7<72->:j7jm5:l75`:j7jm5:l75`:j7jm5:l75`4?:%62b?be=2d?=h4i;:kgf7<72->:j7jm5:l75`<6821bho?50;&75c7c:>e;30?>ocil0;6):>f;fa1>h39l0:865fd`;94?"39o0on85a40g950=:j7jle:l75`<632coo94?:%62b?bdm2d?=h4=;:kgg6<72->:j7jle:l75`<432coo<4?:%62b?bdm2d?=h4;;:kgg5<72->:j7jle:l75`<232conk4?:%62b?bdm2d?=h49;:kgf`<72->:j7jle:l75`<032coni4?:%62b?bdm2d?=h47;:kgff<72->:j7jle:l75`<>32cono4?:%62b?bdm2d?=h4n;:kgfd<72->:j7jle:l75`:j7jle:l75`:j7jle:l75`0:9j`fg=83.?=k4kcd9m04c=9810eim6:18'04`=ljo0b9?j:008?lbd03:1(9?i:eaf?k26m3;876gkc683>!26n3nhi6`;1d820>=nlj81<7*;1g8gg`=i<8o1=854ie`4>5<#<8l1hnk4n53f>40<3`ni:7>5$53e>aeb3g>:i7?8;:kg`c<72->:j7jj7:l75`<732cohh4?:%62b?bb?2d?=h4>;:kg`a<72->:j7jj7:l75`<532cohn4?:%62b?bb?2d?=h4<;:kg`d<72->:j7jj7:l75`<332coh44?:%62b?bb?2d?=h4:;:kg`=<72->:j7jj7:l75`<132coh:4?:%62b?bb?2d?=h48;:kg`3<72->:j7jj7:l75`:j7jj7:l75`4?:%62b?bb?2d?=h4m;:kg`7<72->:j7jj7:l75`:j7jj7:l75`:j7jj7:l75`<6821bhh=50;&75c1e8e;30?>ocm90;6):>f;ff3>h39l0:865fde`94?"39o0oi:5a40g950=3:1(9?i:01b`>h39l0:76a>3`794?"39o0:?lj4n53f>7=5$53e>45fl2d?=h4<;:m27d5=83.?=k4>3`f8j17b2=10c<=n2;29 17a289jh6`;1d86?>i6;h;1<7*;1g827dbl3:1(9?i:01b`>h39l0276a>38a94?"39o0:?lj4n53f>d=5$53e>45fl2d?=h4m;:m273`f8j17b2j10c<=69;29 17a289jh6`;1d8g?>i6;021<7*;1g827dbf;30ea=i<8o1=<54o01:7?6=,=;m6<=nd:l75`<6:21d=>7=:18'04`=9:ko7c:>e;30?>i6;0;1<7*;1g827db:j7?=h9:2n6=4+40d956gc3g>:i7?8;:m27=b=83.?=k4>3`f8j17b28207b?<8b83>!26n3;8mi5a40g95<=5$53e>45fl2d?=h4>a:9l56>>290/839:94?"39o0:?lj4n53f>4e<3f;84:4?:%62b?74im1e83:1(9?i:01b`>h39l0:i65`12:6>5<#<8l1=>ok;o62a?7a32e:?5:50;&75c<6;hn0b9?j:328?j740:0;6):>f;30ea=i<8o1><54o01;6?6=,=;m6<=nd:l75`<5:21d=>6>:18'04`=9:ko7c:>e;00?>i6;1:1<7*;1g827db:j7?=h9:kj6=4+40d956gc3g>:i7<8;:m27d?=83.?=k4>3`f8j17b2;207b?!26n3;8mi5a40g96<=5$53e>45fl2d?=h4=a:9l56?2290/839c94?"39o0:?lj4n53f>7e<3f;8;k4?:%62b?74im1e8h39l09i65`12f4>5<#<8l1=>jk;o62a?6<3f;8h;4?:%62b?74lm1e8!26n3;8hi5a40g97>=h9:n86=4+40d956bc3g>:i7:4;n30`7<72->:j7?j>:18'04`=9:no7c:>e;48?j74ko0;6):>f;30`a=i<8o1;65`12af>5<#<8l1=>jk;o62a?><3f;8oi4?:%62b?74lm1e8!26n3;8hi5a40g9f>=h9:ij6=4+40d956bc3g>:i7m4;n30g<<72->:j7?m7:18'04`=9:no7c:>e;g8?j74k>0;6):>f;30`a=i<8o1j65`12a5>5<#<8l1=>jk;o62a?7732e:?n:50;&75c<6;mn0b9?j:038?j74k:0;6):>f;30`a=i<8o1=?54o01`6?6=,=;m6<=kd:l75`<6;21d=>m>:18'04`=9:no7c:>e;37?>i6;j:1<7*;1g827ab:j7?=h9:ho6=4+40d956bc3g>:i7?7;:m27ge=83.?=k4>3ef8j17b28307b?!26n3;8hi5a40g95d=5$53e>45cl2d?=h4>b:9l56d?290/83c594?"39o0:?ij4n53f>4b<3f;8n;4?:%62b?74lm1e8h39l0:j65`12`7>5<#<8l1=>jk;o62a?4732e:?o=50;&75c<6;mn0b9?j:338?j74j;0;6):>f;30`a=i<8o1>?54o01a5?6=,=;m6<=kd:l75`<5;21d=>l?:18'04`=9:no7c:>e;07?>i6;mi1<7*;1g827ab:j7?=h9:n26=4+40d956bc3g>:i7<7;:m27a>=83.?=k4>3ef8j17b2;307b?!26n3;8hi5a40g96d=5$53e>45cl2d?=h4=b:9l56df290/83`d94?"39o0:?ij4n53f>7b<3f;8mh4?:%62b?74lm1e8h39l0;76a>41494?"39o0:8=j4n53f>4=;97>5$53e>427l2d?=h4=;:m2052=83.?=k4>41f8j17b2:10c<:?3;29 17a28>;h6`;1d87?>i6<981<7*;1g8205bh39l0376a>3gf94?"39o0:8=j4n53f><=5$53e>427l2d?=h4n;:m27cd=83.?=k4>41f8j17b2k10c<=ia;29 17a28>;h6`;1d8`?>i6;o31<7*;1g8205b3:1(9?i:063`>h39l0:<65`12d7>5<#<8l1=9>k;o62a?7632e:?k=50;&75c<6<9n0b9?j:008?j74n;0;6):>f;374a=i<8o1=>54o01e5?6=,=;m6<:?d:l75`<6<21d=>h?:18'04`=9=:o7c:>e;36?>i6;ll1<7*;1g8205b:j7?;0e9m04c=9>10c<=jd;29 17a28>;h6`;1d82<>=h9:oh6=4+40d9516c3g>:i7?6;:m27`d=83.?=k4>41f8j17b28k07b?!26n3;?5$53e>427l2d?=h4>c:9l56c0290/83d494?"39o0:8=j4n53f>4c<3f;8i84?:%62b?738m1e8h39l09<65`12g0>5<#<8l1=9>k;o62a?4632e:?h<50;&75c<6<9n0b9?j:308?j74m80;6):>f;374a=i<8o1>>54o01f4?6=,=;m6<:?d:l75`<5<21d=9>l:18'04`=9=:o7c:>e;06?>i6<9h1<7*;1g8205b:j7?;0e9m04c=:>10c<:?9;29 17a28>;h6`;1d81<>=h9=:36=4+40d9516c3g>:i7<6;:m2056=83.?=k4>41f8j17b2;k07b?!26n3;?5$53e>427l2d?=h4=c:9l56ba290/83eg94?"39o0:8=j4n53f>7c<3f;??:4?:%62b?73;m1e8!26n3;??i5a40g96>=h9=9?6=4+40d9515c3g>:i7=4;n3776<72->:j7?;3e9m04c=<21d=9==:18'04`=9=9o7c:>e;78?j73;80;6):>f;377a=i<8o1:65`150e>5<#<8l1=9=k;o62a?1<3f;?>h4?:%62b?73;m1e8!26n3;??i5a40g9e>=h9=8i6=4+40d9515c3g>:i7l4;n376d<72->:j7?;3e9m04c=k21d=9<6:18'04`=9=9o7c:>e;f8?j73:10;6):>f;377a=i<8o1i65`1504>5<#<8l1=9=k;o62a?`<3f;?>;4?:%62b?73;m1e8h39l0:=65`1500>5<#<8l1=9=k;o62a?7532e:8?<50;&75c<6<:n0b9?j:018?j73:80;6):>f;377a=i<8o1=954o0614?6=,=;m6<:e;35?>i6<8o1<7*;1g8206b:j7?;3e9m04c=9110c<:>c;29 17a28>8h6`;1d82=>=h9=;i6=4+40d9515c3g>:i7?n;:m204?=83.?=k4>42f8j17b28h07b?;1983>!26n3;??i5a40g95f=:;7>5$53e>424l2d?=h4>d:9l5171290/840794?"39o0:8>j4n53f>4`<3f;?=94?:%62b?73;m1e8h39l09=65`1531>5<#<8l1=9=k;o62a?4532e:8f;377a=i<8o1>954o060g?6=,=;m6<:e;05?>i6<:k1<7*;1g8206b:j7?;3e9m04c=:110c<:<8;29 17a28>8h6`;1d81=>=h9=9;6=4+40d9515c3g>:i742f8j17b2;h07b?;1`83>!26n3;??i5a40g96f=;j7>5$53e>424l2d?=h4=d:9l516b290/847594?"39o0:8;j4n53f>5==:7>5$53e>421l2d?=h4>;:m2033=83.?=k4>47f8j17b2;10c<:94;29 17a28>=h6`;1d80?>i6h39l0<76a>44g94?"39o0:8;j4n53f>==>h7>5$53e>421l2d?=h46;:m200e=83.?=k4>47f8j17b2h10c<::b;29 17a28>=h6`;1d8a?>i6<h39l0m76a>44494?"39o0:8;j4n53f>46<3f;?994?:%62b?73>m1e8h39l0:>65`1571>5<#<8l1=98k;o62a?7432e:88?50;&75c<6f;372a=i<8o1=854o067b?6=,=;m6<:9d:l75`<6>21d=9:j:18'04`=9=e;34?>i6<=n1<7*;1g8203b:j7?;6e9m04c=9010c<:;b;29 17a28>=h6`;1d82e>=h9=>26=4+40d9510c3g>:i7?m;:m201>=83.?=k4>47f8j17b28i07b?;4683>!26n3;?:i5a40g95a=?:7>5$53e>421l2d?=h4>e:9l5122290/845694?"39o0:8;j4n53f>76<3f;?8>4?:%62b?73>m1e8h39l09>65`1562>5<#<8l1=98k;o62a?4432e:89>50;&75c<6j0;6):>f;372a=i<8o1>854o065f?6=,=;m6<:9d:l75`<5>21d=98n:18'04`=9=e;04?>i6:j7?;6e9m04c=:010c<:90;29 17a28>=h6`;1d81e>=h9=?>6=4+40d9510c3g>:i747f8j17b2;i07b?;3g83>!26n3;?:i5a40g96a=8i7>5$53e>421l2d?=h4=e:9jg5b=831d=978:18'04`=9=3o7c:>e;28?j731?0;6):>f;37=a=i<8o1=65`15;6>5<#<8l1=97k;o62a?4<3f;?594?:%62b?731m1e807b?;9383>!26n3;?5i5a40g91>=h9=3:6=4+40d951?c3g>:i784;n37:j7?;9e9m04c=?21d=96j:18'04`=9=3o7c:>e;:8?j730m0;6):>f;37=a=i<8o1565`15:`>5<#<8l1=97k;o62a?g<3f;?4o4?:%62b?731m1e8f290/8!26n3;?5i5a40g9`>=h9=236=4+40d951?c3g>:i7k4;n37<2<72->:j7?;9e9m04c=n21d=969:18'04`=9=3o7c:>e;33?>i6<1>1<7*;1g820:j7?;9e9m04c=9;10c<:72;29 17a28>2h6`;1d827>=h9=2:6=4+40d951?c3g>:i7?;;:m20=6=83.?=k4>48f8j17b28?07b?;7g83>!26n3;?5i5a40g953=5$53e>42>l2d?=h4>7:9l511c290/846a94?"39o0:84j4n53f>4?<3f;?;o4?:%62b?731m1e8h39l0:n65`155;>5<#<8l1=97k;o62a?7d32e:8:950;&75c<6<0n0b9?j:0f8?j73??0;6):>f;37=a=i<8o1=h54o0641?6=,=;m6<:6d:l75`<6n21d=99;:18'04`=9=3o7c:>e;03?>i6<>91<7*;1g820:j7?;9e9m04c=:;10c<:81;29 17a28>2h6`;1d817>=h9==;6=4+40d951?c3g>:i7<;;:m2048f8j17b2;?07b?;9c83>!26n3;?5i5a40g963=2m7>5$53e>42>l2d?=h4=7:9l51?>290/848:94?"39o0:84j4n53f>7?<3f;?5=4?:%62b?731m1e8h39l09n65`155b>5<#<8l1=97k;o62a?4d32e:8;h50;&75c<6<0n0b9?j:3f8?j73>l0;6):>f;37=a=i<8o1>h54o06`3?6=,=;m6<:ld:l75`<732e:8n850;&75c<6h39l0976a>4b694?"39o0:8nj4n53f>6=h?7>5$53e>42dl2d?=h4;;:m20f4=83.?=k4>4bf8j17b2<10c<:l1;29 17a28>hh6`;1d85?>i6h39l0j76a>4c`94?"39o0:8nj4n53f>g=im7>5$53e>42dl2d?=h4l;:m20g?=83.?=k4>4bf8j17b2m10c<:m8;29 17a28>hh6`;1d8f?>i6e;32?>i6:j7?;ce9m04c=9:10c<:m1;29 17a28>hh6`;1d820>=h9=h;6=4+40d951ec3g>:i7?:;:m20d`=83.?=k4>4bf8j17b28<07b?;ad83>!26n3;?oi5a40g952=jh7>5$53e>42dl2d?=h4>8:9l51gd290/84``94?"39o0:8nj4n53f>4g<3f;?m44?:%62b?73km1e8h39l0:o65`15c4>5<#<8l1=9mk;o62a?7c32e:8l850;&75c<6f;37ga=i<8o1=k54o06b0?6=,=;m6<:ld:l75`<5821d=9o<:18'04`=9=io7c:>e;02?>i6:j7?;ce9m04c=::10c<:n0;29 17a28>hh6`;1d810>=h9=ih6=4+40d951ec3g>:i7<:;:m20fd=83.?=k4>4bf8j17b2;<07b?;c`83>!26n3;?oi5a40g962=h57>5$53e>42dl2d?=h4=8:9l51e?290/84b294?"39o0:8nj4n53f>7g<3f;?n84?:%62b?73km1e8h39l09o65`15;e>5<#<8l1=9mk;o62a?4c32e:84k50;&75c<6e;38?ldbk3:1(9?i:cde?k26m3807dljb;29 17a2klm7c:>e;18?ldbi3:1(9?i:cde?k26m3>07dlj9;29 17a2klm7c:>e;78?ldb03:1(9?i:cde?k26m3<07dlj7;29 17a2klm7c:>e;58?lda>3:1(9?i:cde?k26m3207dli5;29 17a2klm7c:>e;;8?lda<3:1(9?i:cde?k26m3k07dli3;29 17a2klm7c:>e;`8?lda:3:1(9?i:cde?k26m3i07dli1;29 17a2klm7c:>e;f8?lda83:1(9?i:cde?k26m3o07dljf;29 17a2klm7c:>e;d8?ldb>3:1(9?i:cde?k26m3;;76gme483>!26n3hmj6`;1d825>=n9??h6=44o03;2?6=,=;m6h39l0976a>19194?"39o0:=5k4n53f>6=5$53e>47?m2d?=h4;;:m25=6=83.?=k4>19g8j17b2<10ci69>o1<7*;1g825=ch39l0j76a>16c94?"39o0:=5k4n53f>g=5$53e>47?m2d?=h4l;:m252>=83.?=k4>19g8j17b2m10ci691i1<7*;1g825=ce;32?>i69131<7*;1g825=c:j7?>8d9m04c=9:10c=h98296=4+40d954>b3g>:i7?:;:m2521=83.?=k4>19g8j17b28<07b?>7783>!26n3;:4h5a40g952=5$53e>453m2d?=h4?;:m2711=83.?=k4>35g8j17b2810c<=;6;29 17a289?i6`;1d81?>i6;=?1<7*;1g8271c54o0170?6=,=;m6<=;e:l75`<332e:?9=50;&75c<6;=o0b9?j:498k453:3:1(9?i:017a>h39l0=76a>35294?"39o0:?9k4n53f>2=5$53e>453m2d?=h47;:m276c=83.?=k4>35g8j17b2010c<=i6;:i1<7*;1g8271co50;&75c<6;=o0b9?j:e98k45413:1(9?i:017a>h39l0n76a>32:94?"39o0:?9k4n53f>c=5$53e>453m2d?=h4>0:9l5652290/832694?"39o0:?9k4n53f>44<3f;8?>4?:%62b?74h39l0:865`1212>5<#<8l1=>:j;o62a?7232e:?>>50;&75c<6;=o0b9?j:048?j74:o0;6):>f;300`=i<8o1=:54o011a?6=,=;m6<=;e:l75`<6021d=>n7c:>e;3:?>i6;;i1<7*;1g8271c:j7?<4d9m04c=9k10c<==9;29 17a289?i6`;1d82g>=h9:836=4+40d9562b3g>:i7?k;:m2771=83.?=k4>35g8j17b28o07b?<2783>!26n3;88h5a40g95c=5$53e>453m2d?=h4=0:9l5643290/833194?"39o0:?9k4n53f>74<3f;8>?4?:%62b?74h39l09865`126g>5<#<8l1=>:j;o62a?4232e:?9m50;&75c<6;=o0b9?j:348?j74f;300`=i<8o1>:54o017e?6=,=;m6<=;e:l75`<5021d=>:6:18'04`=9:>n7c:>e;0:?>i6;=;1<7*;1g8271c:j7?<4d9m04c=:k10c<==b;29 17a289?i6`;1d81g>=h9:8;6=4+40d9562b3g>:i735g8j17b2;o07dlj4;29?j761o0;6):>f;3113=i<8o1<65`10;f>5<#<8l1=?;9;o62a?7<3f;:5i4?:%62b?75=?1e89`83>!26n3;99;5a40g90>=h98326=4+40d957313g>:i7;4;n32==<72->:j7?=579m04c=>21d=<78:18'04`=9;?=7c:>e;58?j761?0;6):>f;3113=i<8o1465`10;6>5<#<8l1=?;9;o62a??<3f;:594?:%62b?75=?1e89383>!26n3;99;5a40g9g>=h983:6=4+40d957313g>:i7j4;n32e3<72->:j7?=579m04c=m21d=e;d8?j76i=0;6):>f;3113=i<8o1==54o03b7?6=,=;m6<<:6:l75`<6921d=e;31?>i69h;1<7*;1g82600:j7?=579m04c=9=10c:6`;1d821>=h983;6=4+40d957313g>:i7?9;:m25=`=83.?=k4>2448j17b28=07b?=6683>!26n3;9:k5a40g94>=h9;<=6=4+40d9570a3g>:i7?4;n3120<72->:j7?=6g9m04c=:21d=?8;:18'04`=9;e;18?j75>;0;6):>f;312c=i<8o1865`1342>5<#<8l1=?8i;o62a?3<3f;9:=4?:%62b?75>o1e8!26n3;9:k5a40g9<>=h9;?o6=4+40d9570a3g>:i774;n311f<72->:j7?=6g9m04c=i21d=?;m:18'04`=9;e;`8?j75=h0;6):>f;312c=i<8o1o65`137:>5<#<8l1=?8i;o62a?b<3f;9:h4?:%62b?75>o1e8!26n3;9:k5a40g955=5$53e>441n2d?=h4>1:9l570f290/827;94?"39o0:>;h4n53f>45<3f;9:54?:%62b?75>o1e8h39l0:965`137;>5<#<8l1=?8i;o62a?7132e:>8950;&75c<6:?l0b9?j:058?j75090;6):>f;31<==i<8o1<65`135e>5<#<8l1=?67;o62a?7<3f;9;h4?:%62b?75011e8!26n3;9455a40g90>=h9;=j6=4+40d957>?3g>:i7;4;n313<<72->:j7?=899m04c=>21d=?97:18'04`=9;237c:>e;58?j75?>0;6):>f;31<==i<8o1465`1355>5<#<8l1=?67;o62a??<3f;9;84?:%62b?75011e8!26n3;9455a40g9g>=h9;=96=4+40d957>?3g>:i7j4;n31<2<72->:j7?=899m04c=m21d=?69:18'04`=9;237c:>e;d8?j750<0;6):>f;31<==i<8o1==54o00;0?6=,=;m6<<78:l75`<6921d=?6<:18'04`=9;237c:>e;31?>i6:181<7*;1g826=>:j7?=899m04c=9=10c<<8c;29 17a288346`;1d821>=h9;=:6=4+40d957>?3g>:i7?9;:m2626=83.?=k4>29:8j17b28=07b?=9883>!26n3;9m<5a40g94>=h9;336=4+40d957g63g>:i7?4;n31=2<72->:j7?=a09m04c=:21d=?79:18'04`=9;k:7c:>e;18?j751=0;6):>f;31e4=i<8o1865`13;0>5<#<8l1=?o>;o62a?3<3f;95?4?:%62b?75i81e8!26n3;9m<5a40g9<>=h9;2m6=4+40d957g63g>:i774;n31<`<72->:j7?=a09m04c=i21d=?6k:18'04`=9;k:7c:>e;`8?j750j0;6):>f;31e4=i<8o1o65`13:a>5<#<8l1=?o>;o62a?b<3f;9m=4?:%62b?75i81e8!26n3;9m<5a40g955=5$53e>44f92d?=h4>1:9l57?d290/828`94?"39o0:>l?4n53f>45<3f;95l4?:%62b?75i81e8=3:1(9?i:00b5>h39l0:965`13:b>5<#<8l1=?o>;o62a?7132e:>5750;&75c<6:h;0b9?j:058?j75j;0;6):>f;31fd=i<8o1<65`13`2>5<#<8l1=?ln;o62a?7<3f;9n=4?:%62b?75jh1e8!26n3;9nl5a40g90>=h9;kh6=4+40d957df3g>:i7;4;n31eg<72->:j7?=b`9m04c=>21d=?on:18'04`=9;hj7c:>e;58?j75i00;6):>f;31fd=i<8o1465`13c;>5<#<8l1=?ln;o62a??<3f;9m:4?:%62b?75jh1e8!26n3;9nl5a40g9g>=h9;k?6=4+40d957df3g>:i7j4;n31f<<72->:j7?=b`9m04c=m21d=?l7:18'04`=9;hj7c:>e;d8?j75j>0;6):>f;31fd=i<8o1==54o00a2?6=,=;m6<e;31?>i6:k>1<7*;1g826gg:j7?=b`9m04c=9=10c<=h9;k86=4+40d957df3g>:i7?9;:m26d4=83.?=k4>2cc8j17b28=07b?=cc83>!26n3;9h>5a40g94>=h9;ij6=4+40d957b43g>:i7?4;n31g<<72->:j7?=d29m04c=:21d=?m7:18'04`=9;n87c:>e;18?j75k?0;6):>f;31`6=i<8o1865`13a6>5<#<8l1=?j<;o62a?3<3f;9o94?:%62b?75l:1e8!26n3;9h>5a40g9<>=h9;i:6=4+40d957b43g>:i774;n31g5<72->:j7?=d29m04c=i21d=?li:18'04`=9;n87c:>e;`8?j75jl0;6):>f;31`6=i<8o1o65`13`g>5<#<8l1=?j<;o62a?b<3f;9h?4?:%62b?75l:1e8!26n3;9h>5a40g955=5$53e>44c;2d?=h4>1:9l57eb290/82bf94?"39o0:>i=4n53f>45<3f;9on4?:%62b?75l:1e8h39l0:965`13``>5<#<8l1=?j<;o62a?7132e:>ol50;&75c<6:m90b9?j:058?j74?10;6):>f;37g`=i<8o1<65`1254>5<#<8l1=9mj;o62a?7<3f;8;;4?:%62b?73kl1e8!26n3;?oh5a40g90>=h9:=86=4+40d951eb3g>:i7;4;n3037<72->:j7?;cd9m04c=>21d=>9?:18'04`=9=in7c:>e;58?j74>o0;6):>f;37g`=i<8o1465`124f>5<#<8l1=9mj;o62a??<3f;8:i4?:%62b?73kl1e8!26n3;?oh5a40g9g>=h9::i7j4;n302<<72->:j7?;cd9m04c=m21d=>87:18'04`=9=in7c:>e;d8?j74>>0;6):>f;37g`=i<8o1==54o0151?6=,=;m6<:le:l75`<6921d=>8;:18'04`=9=in7c:>e;31?>i6;?91<7*;1g820fc:j7?;cd9m04c=9=10c<=91;29 17a28>hi6`;1d821>=h9:<;6=4+40d951eb3g>:i7?9;:m270`=83.?=k4>4bg8j17b28=07b?<5d83>!26n3;?oh5a40g95==h7>5$53e>42dm2d?=h4>9:9l563d290/834c94?"39o0:8nk4n53f>4d<3f;8944?:%62b?73kl1e8h39l0:h65`1274>5<#<8l1=9mj;o62a?7b32e:?8850;&75c<6f;37g`=i<8o1>=54o0160?6=,=;m6<:le:l75`<5921d=>;<:18'04`=9=in7c:>e;01?>i6;<81<7*;1g820fc:j7?;cd9m04c=:=10c<=8d;29 17a28>hi6`;1d811>=h9:=h6=4+40d951eb3g>:i7<9;:m272d=83.?=k4>4bg8j17b2;=07b?<7`83>!26n3;?oh5a40g96==5$53e>42dm2d?=h4=9:9l5616290/837494?"39o0:8nk4n53f>7d<3f;89o4?:%62b?73kl1e8h39l09h65`126e>5<#<8l1=9mj;o62a?4b32e:>h:50;&75c<6:li0b9?j:198k44b;3:1(9?i:00fg>h39l0:76a>2d094?"39o0:>hm4n53f>7=5$53e>44bk2d?=h4<;:m26a`=83.?=k4>2da8j17b2=10c<i6:mn1<7*;1g826`eil50;&75c<6:li0b9?j:998k44ci3:1(9?i:00fg>h39l0276a>2e;94?"39o0:>hm4n53f>d=5$53e>44bk2d?=h4m;:m26a1=83.?=k4>2da8j17b2j10c<i6:lh1<7*;1g826`eh750;&75c<6:li0b9?j:028?j75m10;6):>f;31af=i<8o1=<54o00f3?6=,=;m6<e;30?>i6:l?1<7*;1g826`e:j7?=eb9m04c=9<10c<=h9;n?6=4+40d957cd3g>:i7?8;:m20c>=83.?=k4>4gg8j17b2910c<:i7;29 17a28>mi6`;1d82?>i6h39l0>76a>4g094?"39o0:8kk4n53f>3=m<7>5$53e>42am2d?=h48;:m20``=83.?=k4>4gg8j17b2110c<:je;29 17a28>mi6`;1d8:?>i6h39l0o76a>4d;94?"39o0:8kk4n53f>`=n47>5$53e>42am2d?=h4i;:m20`1=83.?=k4>4gg8j17b28:07b?;e483>!26n3;?jh5a40g954=n87>5$53e>42am2d?=h4>2:9l51c4290/84d094?"39o0:8kk4n53f>42<3f;?i<4?:%62b?73nl1e8h39l0::65`15fe>5<#<8l1=9hj;o62a?7032e:8ik50;&75c<6f;37b`=i<8o1=454o06gg?6=,=;m6<:ie:l75`<6i21d=9jn:18'04`=9=ln7c:>e;3a?>i6:j7?;fd9m04c=9m10c<:k7;29 17a28>mi6`;1d82a>=h9=n=6=4+40d951`b3g>:i7?i;:m20a3=83.?=k4>4gg8j17b2;:07b?;d583>!26n3;?jh5a40g964=o?7>5$53e>42am2d?=h4=2:9l51b5290/84e394?"39o0:8kk4n53f>72<3f;?ji4?:%62b?73nl1e8h39l09:65`15da>5<#<8l1=9hj;o62a?4032e:8ko50;&75c<6f;37b`=i<8o1>454o06e5?6=,=;m6<:ie:l75`<5i21d=9k9:18'04`=9=ln7c:>e;0a?>i6:j7?;fd9m04c=:m10c<:lf;29 17a28>mi6`;1d81a>=h9;lo6=4+40d956623g>:i7>4;n31bf<72->:j7?<049m04c=921d=?hm:18'04`=9::>7c:>e;08?j75nh0;6):>f;3040=i<8o1?65`13d;>5<#<8l1=>>:;o62a?2<3f;9j:4?:%62b?748<1e8!26n3;8<85a40g93>=h9;l?6=4+40d956623g>:i764;n31b6<72->:j7?<049m04c=121d=?h=:18'04`=9::>7c:>e;c8?j75n80;6):>f;3040=i<8o1n65`13d3>5<#<8l1=>>:;o62a?e<3f;9ik4?:%62b?748<1e8!26n3;8<85a40g9b>=h9::96=4+40d956623g>:i7??;:m2757=83.?=k4>3178j17b28;07b?<0183>!26n3;8<85a40g957=5$53e>457=2d?=h4>3:9l57`b290/82g;94?"39o0:?=;4n53f>43<3f;9ih4?:%62b?748<1e8h39l0:;65`140;>5<#<8l1=8>:4?:%62b?72:l1e8!26n3;>>h5a40g97>=h9<8?6=4+40d9504b3g>:i7:4;n3666<72->:j7?:2d9m04c==21d=8<=:18'04`=9<8n7c:>e;48?j72:90;6):>f;366`=i<8o1;65`143e>5<#<8l1=8<3f;>=h4?:%62b?72:l1e8!26n3;>>h5a40g9f>=h9<;i6=4+40d9504b3g>:i7m4;n365d<72->:j7?:2d9m04c=l21d=8?6:18'04`=9<8n7c:>e;g8?j72910;6):>f;366`=i<8o1j65`1434>5<#<8l1=8f;366`=i<8o1=?54o0727?6=,=;m6<;=e:l75`<6;21d=8?=:18'04`=9<8n7c:>e;37?>i6=8;1<7*;1g8217c:j7?:2d9m04c=9?10c<;?f;29 17a28?9i6`;1d823>=h9<:n6=4+40d9504b3g>:i7?7;:m215b=83.?=k4>53g8j17b28307b?:0b83>!26n3;>>h5a40g95d=5$53e>435m2d?=h4>b:9l506>290/851:94?"39o0:9?k4n53f>4b<3f;><:4?:%62b?72:l1e83:1(9?i:071a>h39l0:j65`1426>5<#<8l1=8f;366`=i<8o1>?54o0736?6=,=;m6<;=e:l75`<5;21d=8>>:18'04`=9<8n7c:>e;07?>i6=;n1<7*;1g8217c:j7?:2d9m04c=:?10c<;=b;29 17a28?9i6`;1d813>=h9<8j6=4+40d9504b3g>:i7<7;:m217?=83.?=k4>53g8j17b2;307b?:2083>!26n3;>>h5a40g96d=5$53e>435m2d?=h4=b:9l506e290/851294?"39o0:9?k4n53f>7b<3f;?jk4?:%62b?72:l1e83:1(9?i:012a>h39l0;76a>30794?"39o0:?4=5$53e>456m2d?=h4=;:m2745=83.?=k4>30g8j17b2:10c<=>1;29 17a289:i6`;1d87?>i6;8:1<7*;1g8274ce:l75`<132e:?=k50;&75c<6;8o0b9?j:698k457l3:1(9?i:012a>h39l0376a>31a94?"39o0:?<=5$53e>456m2d?=h4n;:m275g=83.?=k4>30g8j17b2k10c<=?9;29 17a289:i6`;1d8`?>i6;921<7*;1g8274ce:l75`h39l0:<65`123b>5<#<8l1=>?j;o62a?7632e:?<750;&75c<6;8o0b9?j:008?j74910;6):>f;305`=i<8o1=>54o0123?6=,=;m6<=>e:l75`<6<21d=>?=:18'04`=9:;n7c:>e;36?>i6;9=1<7*;1g8274c:j7?<1d9m04c=9>10c<;:8;29 17a28?>i6`;1d83?>i6=<=1<7*;1g8210ch39l0?76a>54194?"39o0:98k4n53f>0=>7>5$53e>432m2d?=h49;:m2106=83.?=k4>54g8j17b2>10c<;;f;29 17a28?>i6`;1d8;?>i6==o1<7*;1g8210ch39l0h76a>55c94?"39o0:98k4n53f>a=5$53e>432m2d?=h4j;:m211>=83.?=k4>54g8j17b2o10c<;;7;29 17a28?>i6`;1d824>=h9<>>6=4+40d9503b3g>:i7?>;:m2112=83.?=k4>54g8j17b28807b?:4283>!26n3;>9h5a40g956=7>5$53e>432m2d?=h4>4:9l5026290/876a>55294?"39o0:98k4n53f>40<3f;>?k4?:%62b?72=l1e8h39l0:465`141g>5<#<8l1=8;j;o62a?7>32e:9>m50;&75c<6=f;361`=i<8o1=o54o070=?6=,=;m6<;:e:l75`<6k21d=8=7:18'04`=9e;3g?>i6=:=1<7*;1g8210c:j7?:5d9m04c=9o10c<;<5;29 17a28?>i6`;1d814>=h9<9?6=4+40d9503b3g>:i7<>;:m2165=83.?=k4>54g8j17b2;807b?:3383>!26n3;>9h5a40g966=5$53e>432m2d?=h4=4:9l503c290/876a>54a94?"39o0:98k4n53f>70<3f;>9o4?:%62b?72=l1e8h39l09465`147:>5<#<8l1=8;j;o62a?4>32e:98?50;&75c<6=f;361`=i<8o1>o54o070f?6=,=;m6<;:e:l75`<5k21d=8=?:18'04`=9e;0g?>i6=;l1<7*;1g8210c:j7?:8d9m04c=821d=868:18'04`=9<2n7c:>e;38?j720?0;6):>f;36<`=i<8o1>65`14:6>5<#<8l1=86j;o62a?5<3f;>494?:%62b?720l1e84290/8!26n3;>4h5a40g92>=h9<2;6=4+40d950>b3g>:i794;n363c<72->:j7?:8d9m04c=021d=89j:18'04`=9<2n7c:>e;;8?j72?m0;6):>f;36<`=i<8o1m65`145`>5<#<8l1=86j;o62a?d<3f;>;o4?:%62b?720l1e8!26n3;>4h5a40g9a>=h9<=36=4+40d950>b3g>:i7h4;n3632<72->:j7?:8d9m04c=9910c<;85;29 17a28?3i6`;1d825>=h9<=?6=4+40d950>b3g>:i7?=;:m2125=83.?=k4>59g8j17b28907b?:7383>!26n3;>4h5a40g951=5$53e>43?m2d?=h4>5:9l5017290/857d94?"39o0:95k4n53f>41<3f;>:h4?:%62b?720l1e8h39l0:565`144`>5<#<8l1=86j;o62a?7f32e:9;o50;&75c<6=1o0b9?j:0`8?j72>00;6):>f;36<`=i<8o1=n54o075e;3f?>i6=?<1<7*;1g821=c:j7?:8d9m04c=:910c<;94;29 17a28?3i6`;1d815>=h9<<86=4+40d950>b3g>:i7<=;:m2134=83.?=k4>59g8j17b2;907b?:6083>!26n3;>4h5a40g961=5$53e>43?m2d?=h4=5:9l50>d290/859`94?"39o0:95k4n53f>71<3f;>4l4?:%62b?720l1e8h39l09565`14:2>5<#<8l1=86j;o62a?4f32e:9:850;&75c<6=1o0b9?j:3`8?j72>k0;6):>f;36<`=i<8o1>n54o0754?6=,=;m6<;7e:l75`<5l21d=8;i:18'04`=9<2n7c:>e;0f?>i6=k21<7*;1g821gch39l0876a>5c694?"39o0:9ok4n53f>1=5$53e>43em2d?=h4:;:m21g4=83.?=k4>5cg8j17b2?10c<;m0;29 17a28?ii6`;1d84?>i6=hl1<7*;1g821gc32e:9lj50;&75c<6=ko0b9?j:`98k43fk3:1(9?i:07aa>h39l0i76a>5``94?"39o0:9ok4n53f>f=5$53e>43em2d?=h4k;:m21d?=83.?=k4>5cg8j17b2l10c<;n8;29 17a28?ii6`;1d8e?>i6=h=1<7*;1g821gc4;n36e0<72->:j7?:bd9m04c=9810c<;n4;29 17a28?ii6`;1d826>=h9:i7?<;:m21d4=83.?=k4>5cg8j17b28>07b?:a083>!26n3;>nh5a40g950=5$53e>43em2d?=h4>6:9l50?a290/858g94?"39o0:9ok4n53f>4><3f;>5i4?:%62b?72jl1e8k3:1(9?i:07aa>h39l0:m65`14;b>5<#<8l1=8lj;o62a?7e32e:94750;&75c<6=ko0b9?j:0a8?j72110;6):>f;36f`=i<8o1=i54o07:3?6=,=;m6<;me:l75`<6m21d=879:18'04`=9e;3e?>i6=0?1<7*;1g821gc4;n36=1<72->:j7?:bd9m04c=:810c<;63;29 17a28?ii6`;1d816>=h9<396=4+40d950db3g>:i7<<;:m21<7=83.?=k4>5cg8j17b2;>07b?:be83>!26n3;>nh5a40g960=5$53e>43em2d?=h4=6:9l50de290/85cc94?"39o0:9ok4n53f>7><3f;>n44?:%62b?72jl1e8h39l09m65`14c5>5<#<8l1=8lj;o62a?4e32e:94l50;&75c<6=ko0b9?j:3a8?j72190;6):>f;36f`=i<8o1>i54o07;b?6=,=;m6<;me:l75`<5m21d=8k7:18'04`=9e;28?j72m>0;6):>f;36a`=i<8o1=65`14g5>5<#<8l1=8kj;o62a?4<3f;>i84?:%62b?72ml1e807b?:e283>!26n3;>ih5a40g91>=h9:i784;n36a5<72->:j7?:ed9m04c=?21d=8ji:18'04`=9e;:8?j72ll0;6):>f;36a`=i<8o1565`14fg>5<#<8l1=8kj;o62a?g<3f;>hn4?:%62b?72ml1e8!26n3;>ih5a40g9`>=h9:i7k4;n36`=<72->:j7?:ed9m04c=n21d=8j8:18'04`=9e;33?>i6=m?1<7*;1g821`c:j7?:ed9m04c=9;10c<;k3;29 17a28?ni6`;1d827>=h9:i7?;;:m21a7=83.?=k4>5dg8j17b28?07b?:d183>!26n3;>ih5a40g953=5$53e>43bm2d?=h4>7:9l50eb290/85bf94?"39o0:9hk4n53f>4?<3f;>on4?:%62b?72ml1e8h39l0:n65`14a:>5<#<8l1=8kj;o62a?7d32e:9n650;&75c<6=lo0b9?j:0f8?j72k>0;6):>f;36a`=i<8o1=h54o07`2?6=,=;m6<;je:l75`<6n21d=8m::18'04`=9e;03?>i6=j>1<7*;1g821`c:j7?:ed9m04c=:;10c<;l2;29 17a28?ni6`;1d817>=h9:i7<;;:m21`b=83.?=k4>5dg8j17b2;?07b?:eb83>!26n3;>ih5a40g963=5$53e>43bm2d?=h4=7:9l50cf290/85d;94?"39o0:9hk4n53f>7?<3f;>i<4?:%62b?72ml1e83:1(9?i:07fa>h39l09n65`14aa>5<#<8l1=8kj;o62a?4d32e:9n>50;&75c<6=lo0b9?j:3f8?j72jo0;6):>f;36a`=i<8o1>h54o042e:l75`<732e::<950;&75c<6>8o0b9?j:098k406>3:1(9?i:042a>h39l0976a>60794?"39o0::6=5$53e>406m2d?=h4;;:m2245=83.?=k4>60g8j17b2<10c<8>2;29 17a28<:i6`;1d85?>i6>8:1<7*;1g8224ce:l75`8o0b9?j:898k407l3:1(9?i:042a>h39l0j76a>61a94?"39o0::g=5$53e>406m2d?=h4l;:m225g=83.?=k4>60g8j17b2m10c<8?9;29 17a28<:i6`;1d8f?>i6>921<7*;1g8224ce:l75`<6821d=;>::18'04`=9?;n7c:>e;32?>i6>9>1<7*;1g8224c:j7?91d9m04c=9:10c<8?2;29 17a28<:i6`;1d820>=h9?::6=4+40d9537b3g>:i7?:;:m2256=83.?=k4>60g8j17b28<07b?:fg83>!26n3;==h5a40g952=5$53e>406m2d?=h4>8:9l50`c290/85ga94?"39o0::4g<3f;>jl4?:%62b?719l1e8h39l0:o65`14d;>5<#<8l1=;?j;o62a?7c32e:9k950;&75c<6>8o0b9?j:0g8?j72n?0;6):>f;355`=i<8o1=k54o07e1?6=,=;m6<8>e:l75`<5821d=8h;:18'04`=9?;n7c:>e;02?>i6=o91<7*;1g8224c:j7?91d9m04c=::10c<;i1;29 17a28<:i6`;1d810>=h9?;o6=4+40d9537b3g>:i7<:;:m224e=83.?=k4>60g8j17b2;<07b?91c83>!26n3;==h5a40g962=5$53e>406m2d?=h4=8:9l537>290/860394?"39o0::7g<3f;=<;4?:%62b?719l1e8h39l09o65`14d3>5<#<8l1=;?j;o62a?4c32e:9hh50;&75c<6>8o0b9?j:3g8?j71<10;6):>f;350`=i<8o1<65`1764>5<#<8l1=;:j;o62a?7<3f;=8;4?:%62b?71!26n3;=8h5a40g90>=h9?>86=4+40d9532b3g>:i7;4;n3507<72->:j7?94d9m04c=>21d=;:?:18'04`=9?>n7c:>e;58?j71;o0;6):>f;350`=i<8o1465`171f>5<#<8l1=;:j;o62a??<3f;=?i4?:%62b?71!26n3;=8h5a40g9g>=h9?9j6=4+40d9532b3g>:i7j4;n357<<72->:j7?94d9m04c=m21d=;=7:18'04`=9?>n7c:>e;d8?j71;>0;6):>f;350`=i<8o1==54o0401?6=,=;m6<8;e:l75`<6921d=;=;:18'04`=9?>n7c:>e;31?>i6>:91<7*;1g8221c:j7?94d9m04c=9=10c<8<1;29 17a28=h9?9;6=4+40d9532b3g>:i7?9;:m227`=83.?=k4>65g8j17b28=07b?92d83>!26n3;=8h5a40g95==5$53e>403m2d?=h4>9:9l534d290/863c94?"39o0::9k4n53f>4d<3f;=>44?:%62b?71h39l0:h65`1704>5<#<8l1=;:j;o62a?7b32e::?850;&75c<6>=o0b9?j:0d8?j71:<0;6):>f;350`=i<8o1>=54o0410?6=,=;m6<8;e:l75`<5921d=;<<:18'04`=9?>n7c:>e;01?>i6>;81<7*;1g8221c:j7?94d9m04c=:=10c<8;d;29 17a28=h9?>h6=4+40d9532b3g>:i7<9;:m221d=83.?=k4>65g8j17b2;=07b?94`83>!26n3;=8h5a40g96==5$53e>403m2d?=h4=9:9l5326290/862494?"39o0::9k4n53f>7d<3f;=>o4?:%62b?71h39l09h65`173e>5<#<8l1=;:j;o62a?4b32en=<4?:%62b?c6?2d?=h4?;:mf55<72->:j7k>7:l75`<632en:j7k>7:l75`<432en:j7k>7:l75`<232en:j7k>7:l75`<032en<54?:%62b?c6?2d?=h47;:mf42<72->:j7k>7:l75`<>32en<;4?:%62b?c6?2d?=h4n;:mf40<72->:j7k>7:l75`:j7k>7:l75`:j7k>7:l75`0:9l`cc=83.?=k4j169m04c=9810cihk:18'04`=m8=0b9?j:008?jbak3:1(9?i:d34?k26m3;876akfc83>!26n3o:;6`;1d820>=hlok1<7*;1g8f52=i<8o1=854oed:>5<#<8l1i<94n53f>40<3fnm47>5$53e>`703g>:i7?8;:mgb2<72->:j7k>7:l75`<6021dhk850;&75c1e8e;3a?>icn;0;6):>f;g23>h39l0:o65`dg394?"39o0n=:5a40g95a=!26n3o:;6`;1d810>=hm8<1<7*;1g8f52=i<8o1>854od36>5<#<8l1i<94n53f>70<3fo:87>5$53e>`703g>:i7<8;:mf56<72->:j7k>7:l75`<5021di<<50;&75c1e8e;0a?>icn=0;6):>f;g23>h39l09o65`dd;94?"39o0n=:5a40g96a=5$53e>f403g>:i7?4;ha2`?6=,=;m6n<8;o62a?4<3`i:o7>5$53e>f403g>:i7=4;ha2e?6=,=;m6n<8;o62a?2<3`i:57>5$53e>f403g>:i7;4;ha25$53e>f403g>:i794;ha22?6=,=;m6n<8;o62a?><3`i:97>5$53e>f403g>:i774;ha20?6=,=;m6n<8;o62a?g<3`i:?7>5$53e>f403g>:i7l4;ha26?6=,=;m6n<8;o62a?e<3`i:=7>5$53e>f403g>:i7j4;ha12?6=,=;m6n<8;o62a?c<3`i997>5$53e>f403g>:i7h4;ha10?6=,=;m6n<8;o62a?7732ch>>4?:%62b?e5?2d?=h4>1:9jg74=83.?=k4l269m04c=9;10en<>:18'04`=k;=0b9?j:018?le583:1(9?i:b04?k26m3;?76gl1c83>!26n3i9;6`;1d821>=nk8:1<7*;1g8`62=i<8o1=;54ib2e>5<#<8l1o?94n53f>41<3fhn<7>5$53e>gc63g>:i7>4;n`f6?6=,=;m6ok<;o62a?6<3`;=984?:%62b?71=?1e8N3;m1d>l;50;9~f107290:6=4?{%60e?23:2B?845G42f8k6>12900qo:91;291?6=8r.??l4=c49K01?<@=9o7)=73;351`=n90o1<75f29`94?=n<::1<75`36594?=h:k=1<75rb541>5<4290;w):N3<01C8>j4$2:0>402m2c:5h4?::k1f3<722e8;:4?::a035=8391<7>t$51b>7?d3A>?56F;3e9'6db=:2c:8h4?::k2e1<722e?>?4?::a032=8391<7>t$51b>7?d3A>?56F;3e9'6db=:2c:8h4?::k2e1<722e?>?4?::a033=8391<7>t$51b>7?d3A>?56F;3e9'6db=:2c:8h4?::k2e1<722e?>?4?::a030=83?1<7>t$51b>7e23A>?56F;3e9'7=5=9??n7d?6e;29?l4?j3:17d:<0;29?j50?3:17b>0;684?:1y'06g=:j?0D9:6;I60`>"40:0::8k4i0;f>5<5<5<=47>53;294~"3;h0?855G45;8L15c3-93?7?95d9j5o850;9l721=831vn986:186>5<7s->8m76<:046a>o61l0;66g=8c83>>o3;90;66a<7683>>i5j>0;66sm47c94?3=83:p(9=n:3a6?M2312B??i5+3919533b3`;2i7>5;h0;f?6=3`>8<7>5;n143?6=3f8i;7>5;|`72g<728k:6=4?{%60e?4><2B?845G42f8^42d28:p9:473;:1>=e=0>0>87?i:9393a<6k3?86:l51c87a?>72<81q)=73;351`=ij1097c??6;28j46d281e==j52:&24`<4??1/==h529a8j4432;1e=9l52:&20a<50j1e=5=51:l2<=<63g;j?7?4n3;0>5=i:k>1<6*=bg81e1=i:j:1=6`<8382?!5e13?0b9<>:09'064=:kl0(9=<:2`:?!24m382n6*;3g81g4=#<=:1?:;4$562>61>3f9im7>5;h61e?6=3`;2?7>5;h3:5?6=3f8h?7>5;h3:1?6=3`>9i7>5;h0`6?6=3`;287>5;h61f?6=3`;2o7>5;n3;5?6=3`>9:7>5;h3:2?6=3f>8n7>5;n1af?6=3`>947>5;h613?6=3`;247>5;h61g?6=3`;2>7>5;h3:4?6=3`;2j7>5;h3;b?6=3`>957>5;n1;0?6=3`;2;7>5;h3:f?6=3`;3>7>5;h3;4?6=3`>9h7>5;h3:e?6=3`>9j7>5;ngf>5<#<8l1ii5a40g94>=hmj0;6):>f;gg?k26m3;07bkm:18'04`=mm1e8:j7kk;o62a?5<3fo26=4+40d9aa=i<8o1865`e983>!26n3oo7c:>e;78?jc0290/821di;4?:%62b?cc3g>:i794;ng6>5<#<8l1ii5a40g9<>=hm=0;6):>f;gg?k26m3307bk=:18'04`=mm1e8:j7kk;o62a?d<3fo;6=4+40d9aa=i<8o1o65`dg83>!26n3oo7c:>e;f8?jbb290/8:i7h4;nf`>5<#<8l1ii5a40g955=h39l0:=65`d`83>!26n3oo7c:>e;31?>ic13:1(9?i:df8j17b28907bh9:18'04`=mm1e8:i7?9;:me7?6=,=;m6hj4n53f>41<3fl96=4+40d9aa=i<8o1=554og394?"39o0nh6`;1d82=>=hn90;6):>f;gg?k26m3;j76ajf;29 17a2ln0b9?j:0`8?jc4290/8d:9j7=7=83.?=k4<819m04c=821b?:h50;&75c<4091e821b?h850;&75c<4m<1e87c:>e;31?>o4l90;6):>f;1f1>h39l0:?65f3bd94?"39o08i85a40g951=k:;o62a?7132c8on4?:%62b?5b=2d?=h4>7:9j7fd=83.?=k4mn:18'04`=;l?0b9?j:0;8?l5d13:1(9?i:2g6?k26m3;j76g!26n39n96`;1d82f>=n;j<1<7*;1g80a0=i<8o1=n54i2a6>5<#<8l1?h;4n53f>4b<3`9h87>5$53e>6c23g>:i7?j;:k0g6<72->:j7=j5:l75`<6n21b?n<50;&75c<4m<1e87c:>e;01?>o4jo0;6):>f;1f1>h39l09?65f3cg94?"39o08i85a40g961=k:;o62a?4132c8io4?:%62b?5b=2d?=h4=7:9j7`g=83.?=k4k6:18'04`=;l?0b9?j:3;8?l5b03:1(9?i:2g6?k26m38j76g!26n39n96`;1d81f>=n;mn1<7*;1g80a0=i<8o1>n54i2f1>5<#<8l1?h;4n53f>7b<3`9h;7>5$53e>6c23g>:i7:j7=j5:l75`<5n21b==l50;&75c<68h1e80`9m04c=921b==650;&75c<68h1e80`9m04c=;21b=:750;&75c<6?11e8799m04c=921b=:850;&75c<6?11e8799m04c=;21b=::50;&75c<6?11e8799m04c==21b=:<50;&75c<6?11e8799m04c=?21b=;h50;&75c<6?11e8799m04c=121b=;j50;&75c<6?11e8799m04c=j21b=;l50;&75c<6?11e8799m04c=l21b=;750;&75c<6?11e8=83.?=k4>799m04c=n21b=;950;&75c<6?11e8e;31?>o6>:0;6):>f;34<>h39l0:?65f17094?"39o0:;55a40g951=7:9j50c=83.?=k4>799m04c=9110e<;k:18'04`=9>20b9?j:0;8?l72k3:1(9?i:05;?k26m3;j76g>5c83>!26n3;<46`;1d82f>=n9<31<7*;1g823==i<8o1=n54i07;>5<#<8l1=:64n53f>4b<3`;>;7>5$53e>41?3g>:i7?j;:k213<72->:j7?88:l75`<6n21b=8;50;&75c<6?11e8e;01?>o6=;0;6):>f;34<>h39l09?65f14394?"39o0:;55a40g961=799m04c=:110e<9l:18'04`=9>20b9?j:3;8?l70j3:1(9?i:05;?k26m38j76g>7`83>!26n3;<46`;1d81f>=n9>:1<7*;1g823==i<8o1>n54i046>5<#<8l1=:64n53f>7b<3`;>m7>5$53e>41?3g>:i7:j7?88:l75`<5n21d=5j50;&75c<60j1e88b9m04c=921d=5o50;&75c<60j1e88b9m04c=;21b>o=50;&75c<5j;1e8o>50;&75c<5j;1e8lk50;&75c<5j;1e82e9m04c=821b=?m50;&75c<6:m1e82e9m04c=:21b=?o50;&75c<6:m1e82e9m04c=<21b=?650;&75c<6:m1e82e9m04c=>21b=?850;&75c<6:m1e82e9m04c=021b=>850;&75c<6:m1e82e9m04c=i21b=>:50;&75c<6:m1e82e9m04c=k21b=><50;&75c<6:m1e82e9m04c=m21b=>>50;&75c<6:m1e82e9m04c=9910e<<::18'04`=9;n0b9?j:038?l7613:1(9?i:03;?k26m3:07d?>7;29 17a28;37c:>e;38?l76>3:1(9?i:03;?k26m3807d?>5;29 17a28;37c:>e;18?l76<3:1(9?i:03;?k26m3>07d?>3;29 17a28;37c:>e;78?l76:3:1(9?i:03;?k26m3<07d?>1;29 17a28;37c:>e;58?l75:3:1(9?i:03;?k26m3207d?=1;29 17a28;37c:>e;;8?l7583:1(9?i:03;?k26m3k07d?>f;29 17a28;37c:>e;`8?l76m3:1(9?i:03;?k26m3i07d?>d;29 17a28;37c:>e;f8?l76k3:1(9?i:03;?k26m3o07d?>b;29 17a28;37c:>e;d8?l76i3:1(9?i:03;?k26m3;;76g>1183>!26n3;:46`;1d825>=h9=;1<7*;1g8205=i<8o1<65`12d94?"39o0:8=5a40g95>=h9:o1<7*;1g8205=i<8o1>65`12f94?"39o0:8=5a40g97>=h9:i1<7*;1g8205=i<8o1865`12`94?"39o0:8=5a40g91>=h9:k1<7*;1g8205=i<8o1:65`12;94?"39o0:8=5a40g93>=h9=k1<7*;1g8205=i<8o1465`15;94?"39o0:8=5a40g9=>=h9=21<7*;1g8205=i<8o1m65`15594?"39o0:8=5a40g9f>=h9=<1<7*;1g8205=i<8o1o65`15794?"39o0:8=5a40g9`>=h9=>1<7*;1g8205=i<8o1i65`15194?"39o0:8=5a40g9b>=h9=81<7*;1g8205=i<8o1==54o01;>5<#<8l1=9>4n53f>47<3`;i;7>5$53e>4d13g>:i7>4;h3a1?6=,=;m65$53e>4d13g>:i7<4;h3a7?6=,=;m67>5$53e>4d13g>:i7:4;h3a4?6=,=;m65$53e>4d13g>:i784;h3ba?6=,=;m65$53e>4d13g>:i764;h3bg?6=,=;m65$53e>4d13g>:i7o4;h3be?6=,=;m65$53e>4d13g>:i7m4;h3b5$53e>4d13g>:i7k4;h3ab?6=,=;m65$53e>4d13g>:i7??;:k2fa<72->:j7?m6:l75`<6921b=om50;&75c<6j?1e8e;37?>o6j00;6):>f;3a2>h39l0:965f1c:94?"39o0:n;5a40g953=:j7=m0:l75`<632e8mh4?:%62b?5e82d?=h4=;:m0ea<72->:j7=m0:l75`<432e8mn4?:%62b?5e82d?=h4;;:m0eg<72->:j7=m0:l75`<232e8ml4?:%62b?5e82d?=h49;:m0e<<72->:j7=m0:l75`<032e8m:4?:%62b?5e82d?=h47;:m0e3<72->:j7=m0:l75`<>32e8m84?:%62b?5e82d?=h4n;:m0e1<72->:j7=m0:l75`4?:%62b?5e82d?=h4l;:m0e7<72->:j7=m0:l75`:j7=m0:l75`0:9l77l:18'04`=;k:0b9?j:008?j5>j3:1(9?i:2`3?k26m3;876a<9`83>!26n39i<6`;1d820>=h;031<7*;1g80f5=i<8o1=854o2;;>5<#<8l1?o>4n53f>40<3f92;7>5$53e>6d73g>:i7?8;:m0=3<72->:j7=m0:l75`<6021d?4;50;&75c<4j91e8e;3a?>i4180;6):>f;1a4>h39l0:o65`38294?"39o08n=5a40g95a=l?;o62a?7a32e84i4?:%62b?5e82d?=h4=0:9l7=e=83.?=k46m:18'04`=;k:0b9?j:308?j5?i3:1(9?i:2`3?k26m38876a<8883>!26n39i<6`;1d810>=h;121<7*;1g80f5=i<8o1>854o2`4>5<#<8l1?o>4n53f>70<3f9i:7>5$53e>6d73g>:i7<8;:m0f0<72->:j7=m0:l75`<5021d?o:50;&75c<4j91e8e;0a?>i4i10;6):>f;1a4>h39l09o65`38f94?"39o08n=5a40g96a=l?;o62a?4a32cjj7>5$53e>dcofk3:1(9?i:`g8j17b2;10ell50;&75c1=h39l0>76gn8;29 17a2ho0b9?j:798md1=83.?=k4ne:l75`<032cj:7>5$53e>dcof;3:1(9?i:`g8j17b2h10el<50;&75cf=h39l0o76g6f;29 17a2ho0b9?j:d98m5$53e>dc4;h;`>5<#<8l1mh5a40g954=h39l0:>65f9`83>!26n3kn7c:>e;30?>oe?3:1(9?i:`g8j17b28>07dl9:18'04`=il1e821bn94?:%62b?gb3g>:i7?8;:ka7?6=,=;m6lk4n53f>4><3`h96=4+40d9e`=i<8o1=454ic394?"39o0ji6`;1d82e>=nj90;6):>f;cf?k26m3;i76gn4;29 17a2ho0b9?j:0a8?l?>290/810c9>k:18'04`=<8<0b9?j:998k16d290/8m:18'04`=<8<0b9?j:`98k16f290/86:18'04`=<8<0b9?j:b98k16?290/88:18'04`=<8<0b9?j:d98k161290/8::18'04`=<8<0b9?j:028?j27<3:1(9?i:535?k26m3;:76a;0383>!26n3>::6`;1d826>=h<9;1<7*;1g8753=i<8o1=>54o523>5<#<8l18<84n53f>42<3f9mj7>5$53e>1713g>:i7?:;:m0b`<72->:j7:>6:l75`<6>21d?kj50;&75c<39?1e8e;3:?>i4nh0;6):>f;622>h39l0:m65`3g;94?"39o0?=;5a40g95g=2d?=h4>e:9l7c2=83.?=k4;179m04c=9o10c>h<:18'04`=<8<0b9?j:328?j5a:3:1(9?i:535?k26m38:76a!26n3>::6`;1d816>=h;o:1<7*;1g8753=i<8o1>>54o2ge>5<#<8l18<84n53f>72<3f9ni7>5$53e>1713g>:i7<:;:m75a<72->:j7:>6:l75`<5>21d8a;29 17a2=;=7c:>e;0:?>i3900;6):>f;622>h39l09m65`40:94?"39o0?=;5a40g96g=2d?=h4=e:9l7`b=83.?=k4;179m04c=:o10enh50;&75c4=h39l0976glb;29 17a2jo0b9?j:298mfg=83.?=k4le:l75`<332ch57>5$53e>fcod?3:1(9?i:bg8j17b2>10en850;&75c<=h39l0j76gl2;29 17a2jo0b9?j:c98mf7=83.?=k4le:l75`5$53e>fcoem3:1(9?i:bg8j17b2o10eoj50;&75c0:9jff<72->:j7mj;o62a?7632cin7>5$53e>fc5<#<8l1oh5a40g956=h39l0:865fd783>!26n3in7c:>e;36?>oc=3:1(9?i:bg8j17b28<07dj;:18'04`=kl1e8:i7?6;:kg5?6=,=;m6nk4n53f>4g<3`n;6=4+40d9g`=i<8o1=o54ib694?"39o0hi6`;1d82g>=nj00;6):>f;af?k26m3;o76g>8683>!26n3;3:6`;1d83?>o60<0;6):>f;3;2>h39l0:76g>8583>!26n3;3:6`;1d81?>{e62>n1=n4:3;5a>4d=7=#99o1?:84$02e>7>d3g;987<4n06a>7=#9=n1>5m4n0:0>4=i9121=6`>a282?k4>;3:0b?l;:19'6g`=:h>0b?m?:09m7=4=92.8n44:;o615?7<,=996?li;%607?5e12.??h4=9c9'06`=:j;0(9:?:256?!23939<56a>o3:h0;66g>9283>>o6180;66a=c283>>o61<0;66g;2d83>>o5k;0;66g>9583>>o3:k0;66g>9b83>>i6080;66g;2783>>o61?0;66a;3c83>>i4jk0;66g;2983>>o3:>0;66g>9983>>o3:j0;66g>9383>>o6190;66g>9g83>>o60o0;66g;2883>>i40=0;66g>9683>>o61k0;66g>8383>>o6090;66g;2e83>>o61h0;66g;2g83>>ibm3:1(9?i:df8j17b2910chm50;&75c;:mff?6=,=;m6hj4n53f>7=h39l0876aj9;29 17a2ln0b9?j:598k`>=83.?=k4jd:l75`<232en;7>5$53e>`bib=3:1(9?i:df8j17b2110ch:50;&75cd=h39l0i76aj0;29 17a2ln0b9?j:b98ka`=83.?=k4jd:l75`5$53e>`bick3:1(9?i:df8j17b28:07bjm:18'04`=mm1e8:i7?<;:me2?6=,=;m6hj4n53f>42<3fl>6=4+40d9aa=i<8o1=854og694?"39o0nh6`;1d822>=hn:0;6):>f;gg?k26m3;<76ai2;29 17a2ln0b9?j:0:8?j`6290/850;&75ca:9lac<72->:j7kk;o62a?7e32en?7>5$53e>`b5<#<8l1ii5a40g95a=5<#<8l1?5>4n53f>4=5<#<8l1?5>4n53f>6=5<#<8l1?5>4n53f>0=5<#<8l1?h;4n53f>5=5<#<8l1?h;4n53f>7=54i2g2>5<#<8l1?h;4n53f>1=5<#<8l1?h;4n53f>3=5<#<8l1?h;4n53f>==5<#<8l1?h;4n53f>d=5<#<8l1?h;4n53f>f=5<#<8l1?h;4n53f>`=6=4+40d97`35<#<8l1?h;4n53f>46<3`9o?7>5$53e>6c23g>:i7?>;:k0`4<72->:j7=j5:l75`<6:21b?i>50;&75c<4m<1e807d=le;29 17a2:o>7c:>e;36?>o4km0;6):>f;1f1>h39l0::65f3ba94?"39o08i85a40g952=k:;o62a?7>32c8o44?:%62b?5b=2d?=h4>a:9j7f>=83.?=k4m9:18'04`=;l?0b9?j:0a8?l5d=3:1(9?i:2g6?k26m3;o76g!26n39n96`;1d82a>=n;j91<7*;1g80a0=i<8o1=k54i2a1>5<#<8l1?h;4n53f>76<3`9h=7>5$53e>6c23g>:i7<>;:k0g5<72->:j7=j5:l75`<5:21b?oh50;&75c<4m<1e807d=md;29 17a2:o>7c:>e;06?>o4mj0;6):>f;1f1>h39l09:65f3d`94?"39o08i85a40g962=k:;o62a?4>32c8i54?:%62b?5b=2d?=h4=a:9j7`1=83.?=k4jk:18'04`=;l?0b9?j:3a8?l5c:3:1(9?i:2g6?k26m38o76g!26n39n96`;1d81a>=n;ki1<7*;1g80a0=i<8o1>k54i02a>5<#<8l1==o4n53f>5=5<#<8l1==o4n53f>7=54i05:>5<#<8l1=:64n53f>5=5<#<8l1=:64n53f>7=6=4+40d952>54i057>5<#<8l1=:64n53f>1=5<#<8l1=:64n53f>3=5<#<8l1=:64n53f>==5<#<8l1=:64n53f>d=5<#<8l1=:64n53f>f=5<#<8l1=:64n53f>`=5<#<8l1=:64n53f>46<3`;=:7>5$53e>41?3g>:i7?>;:k221<72->:j7?88:l75`<6:21b=;=50;&75c<6?11e807d?91;29 17a28=37c:>e;36?>o6>90;6):>f;34<>h39l0::65f14d94?"39o0:;55a40g952=32c:9n4?:%62b?7002d?=h4>a:9j50d=83.?=k4>799m04c=9k10e<;6:18'04`=9>20b9?j:0a8?l7203:1(9?i:05;?k26m3;o76g>5683>!26n3;<46`;1d82a>=n9<<1<7*;1g823==i<8o1=k54i076>5<#<8l1=:64n53f>76<3`;>87>5$53e>41?3g>:i7<>;:k216<72->:j7?88:l75`<5:21b=8<50;&75c<6?11e807d?:0;29 17a28=37c:>e;06?>o6?o0;6):>f;34<>h39l09:65f16g94?"39o0:;55a40g962=32c:;o4?:%62b?7002d?=h4=a:9j52g=83.?=k4>799m04c=:k10e<9?:18'04`=9>20b9?j:3a8?l71=3:1(9?i:05;?k26m38o76g>5`83>!26n3;<46`;1d81a>=n9=l1<7*;1g823==i<8o1>k54o0:g>5<#<8l1=5m4n53f>5=5<#<8l1=5m4n53f>7=54i3`0>5<#<8l1>o<4n53f>5=5<#<8l1>o<4n53f>7=54i3cf>5<#<8l1>o<4n53f>1=5<#<8l1=?j4n53f>4=5<#<8l1=?j4n53f>6=5<#<8l1=?j4n53f>0=5<#<8l1=?j4n53f>2=5<#<8l1=?j4n53f><=6=4+40d957b5<#<8l1=?j4n53f>g=5<#<8l1=?j4n53f>a=5<#<8l1=?j4n53f>c=4;h311?6=,=;m6<:j7?>8:l75`<632c:=;4?:%62b?7602d?=h4=;:k250<72->:j7?>8:l75`<432c:=94?:%62b?7602d?=h4;;:k256<72->:j7?>8:l75`<232c:=?4?:%62b?7602d?=h49;:k254<72->:j7?>8:l75`<032c:>?4?:%62b?7602d?=h47;:k264<72->:j7?>8:l75`<>32c:>=4?:%62b?7602d?=h4n;:k25c<72->:j7?>8:l75`:j7?>8:l75`:j7?>8:l75`0:9j546=83.?=k4>199m04c=9810c<:>:18'04`=9=:0b9?j:198k45a290/8290/810c<:n:18'04`=9=:0b9?j:998k42>290/8b683>!26n3;i:6`;1d83?>o6j<0;6):>f;3a2>h39l0:76g>b583>!26n3;i:6`;1d81?>o6j:0;6):>f;3a2>h39l0876g>b383>!26n3;i:6`;1d87?>o6j90;6):>f;3a2>h39l0>76g>ag83>!26n3;i:6`;1d85?>o6il0;6):>f;3a2>h39l0<76g>ae83>!26n3;i:6`;1d8;?>o6ij0;6):>f;3a2>h39l0276g>ac83>!26n3;i:6`;1d8b?>o6ih0;6):>f;3a2>h39l0i76g>a883>!26n3;i:6`;1d8`?>o6i10;6):>f;3a2>h39l0o76g>a683>!26n3;i:6`;1d8f?>o6jo0;6):>f;3a2>h39l0m76g>bd83>!26n3;i:6`;1d824>=n9kn1<7*;1g82f3=i<8o1=<54i0``>5<#<8l1=o84n53f>44<3`;in7>5$53e>4d13g>:i7?<;:k2fd<72->:j7?m6:l75`<6<21b=o750;&75c<6j?1e8e;34?>o6i?0;6):>f;3a2>h39l0:465`3c394?"39o08n=5a40g94>=h;hl1<7*;1g80f5=i<8o1=65`3`g94?"39o08n=5a40g96>=h;hn1<7*;1g80f5=i<8o1?65`3`a94?"39o08n=5a40g90>=h;hh1<7*;1g80f5=i<8o1965`3`c94?"39o08n=5a40g92>=h;h31<7*;1g80f5=i<8o1;65`3`594?"39o08n=5a40g9<>=h;h<1<7*;1g80f5=i<8o1565`3`794?"39o08n=5a40g9e>=h;h>1<7*;1g80f5=i<8o1n65`3`194?"39o08n=5a40g9g>=h;h81<7*;1g80f5=i<8o1h65`3`394?"39o08n=5a40g9a>=h;h:1<7*;1g80f5=i<8o1j65`38d94?"39o08n=5a40g955=l?;o62a?7532e85o4?:%62b?5e82d?=h4>3:9l776:18'04`=;k:0b9?j:078?j5>03:1(9?i:2`3?k26m3;=76a<9683>!26n39i<6`;1d823>=h;0<1<7*;1g80f5=i<8o1=554o2;6>5<#<8l1?o>4n53f>4?<3f9287>5$53e>6d73g>:i7?n;:m0=6<72->:j7=m0:l75`<6j21d?4?50;&75c<4j91e8e;3f?>i40l0;6):>f;1a4>h39l0:j65`39f94?"39o08n=5a40g965=l?;o62a?4532e84l4?:%62b?5e82d?=h4=3:9l7=?=83.?=k467:18'04`=;k:0b9?j:378?j5e?3:1(9?i:2`3?k26m38=76a!26n39i<6`;1d813>=h;k?1<7*;1g80f5=i<8o1>554o2`7>5<#<8l1?o>4n53f>7?<3f9i?7>5$53e>6d73g>:i7:j7=m0:l75`<5j21d?l650;&75c<4j91e8e;0f?>i40>0;6):>f;1a4>h39l09j65fag83>!26n3kn7c:>e;28?lgc290/8:i7<4;hca>5<#<8l1mh5a40g97>=nih0;6):>f;cf?k26m3>07do6:18'04`=il1e8:j7oj;o62a?0<3`k<6=4+40d9e`=i<8o1;65fa783>!26n3kn7c:>e;:8?lg2290/84?:%62b?gb3g>:i7o4;hc1>5<#<8l1mh5a40g9f>=ni80;6):>f;cf?k26m3i07do?:18'04`=il1e8:j7oj;o62a?c<3`3n6=4+40d9e`=i<8o1j65f9e83>!26n3kn7c:>e;33?>o>k3:1(9?i:`g8j17b28;07d7m:18'04`=il1e8:i7?;;:ka2?6=,=;m6lk4n53f>43<3`h>6=4+40d9e`=i<8o1=;54ic694?"39o0ji6`;1d823>=nj:0;6):>f;cf?k26m3;376gm2;29 17a2ho0b9?j:0;8?ld6290/850;&75cb:9je1<72->:j7oj;o62a?7d32c257>5$53e>dc:97>5$53e>1713g>:i7?4;n620?6=,=;m69?9;o62a?4<3f>:?7>5$53e>1713g>:i7=4;n626?6=,=;m69?9;o62a?2<3f>:=7>5$53e>1713g>:i7;4;n624?6=,=;m69?9;o62a?0<3f>;j7>5$53e>1713g>:i794;n63`?6=,=;m69?9;o62a?><3f>;o7>5$53e>1713g>:i774;n63f?6=,=;m69?9;o62a?g<3f>;m7>5$53e>1713g>:i7l4;n63=?6=,=;m69?9;o62a?e<3f>;47>5$53e>1713g>:i7j4;n633?6=,=;m69?9;o62a?c<3f>;:7>5$53e>1713g>:i7h4;n631?6=,=;m69?9;o62a?7732e?<94?:%62b?26>2d?=h4>1:9l054=83.?=k4;179m04c=9;10c9>>:18'04`=<8<0b9?j:018?j2783:1(9?i:535?k26m3;?76a!26n3>::6`;1d821>=h;oo1<7*;1g8753=i<8o1=;54o2dg>5<#<8l18<84n53f>41<3f9mo7>5$53e>1713g>:i7?7;:m0bg<72->:j7:>6:l75`<6121d?ko50;&75c<39?1e8290/8e;3`?>i4n?0;6):>f;622>h39l0:h65`3g794?"39o0?=;5a40g95`=2d?=h4=1:9l7c7=83.?=k4;179m04c=:;10c>h?:18'04`=<8<0b9?j:318?j5bn3:1(9?i:535?k26m38?76a!26n3>::6`;1d811>=h<8n1<7*;1g8753=i<8o1>;54o53`>5<#<8l18<84n53f>71<3f>:n7>5$53e>1713g>:i7<7;:m75d<72->:j7:>6:l75`<5121d8<750;&75c<39?1e8e;0`?>i38:0;6):>f;622>h39l09h65`3g:94?"39o0?=;5a40g96`=5<#<8l1oh5a40g94>=nkm0;6):>f;af?k26m3;07dml:18'04`=kl1e8:j7mj;o62a?5<3`ij6=4+40d9g`=i<8o1865fc883>!26n3in7c:>e;78?le?290/821bo:4?:%62b?eb3g>:i794;ha5>5<#<8l1oh5a40g9<>=nk<0;6):>f;af?k26m3307dm<:18'04`=kl1e8:j7mj;o62a?d<3`i:6=4+40d9g`=i<8o1o65fc183>!26n3in7c:>e;f8?lda290/8:i7h4;h`g>5<#<8l1oh5a40g955=h39l0:=65fbc83>!26n3in7c:>e;31?>oei3:1(9?i:bg8j17b28907dj8:18'04`=kl1e8:i7?9;:kg0?6=,=;m6nk4n53f>41<3`n86=4+40d9g`=i<8o1=554ie094?"39o0hi6`;1d82=>=nl80;6):>f;af?k26m3;j76gk0;29 17a2jo0b9?j:0`8?le3290/8d:9j5=1=83.?=k4>879m04c=821b=5;50;&75c<60?1e8879m04c=:21vn98k:186>5<7s->8m76<:046a>o61l0;66g=8c83>>o3;90;66a<7683>>i5j>0;66sm47g94?3=83:p(9=n:3a6?M2312B??i5+3919533b3`;2i7>5;h0;f?6=3`>8<7>5;n143?6=3f8i;7>5;|`72c<72=0;6=u+42c96f2<@=>27E:e2900e9=?:188k6102900qo:80;291?6=8r.??l4=a09K01?<@=9o7)=73;351`=#:hn1=:5f15g94?=n91o1<75f1`694?=n<::1<75`43094?=zj==:6=4::183!24i38j<6F;489K06b<,:286<8:e:&1ea<53`;?i7>5;h3;a?6=3`;j87>5;h610?6=3f>9>7>5;|`737<72<0;6=u+42c96d6<@=>27E:5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f113290>6=4?{%60e?4f82B?845G42f8 6>428<>i6*=ae81?l73m3:17d?7e;29?l7f<3:17d:=4;29?j25:3:17pl;7483>0<729q/8>o52`28L12>3A>8h6*<828220c<,;ko6?5f15g94?=n91o1<75f1`694?=n<;>1<75`43094?=zj===6=4::183!24i38j<6F;489K06b<,:286<8:e:&1ea<53`;?i7>5;h3;a?6=3`;j87>5;h610?6=3f>9>7>5;|`732<72<0;6=u+42c96d6<@=>27E:5<7s->8m76<:046a>"5im097d?;e;29?l7?m3:17d?n4;29?l25<3:17b:=2;29?xd3?00;684?:1y'06g=:h:0D9:6;I60`>"40:0::8k4$3cg>7=n9=o1<75f19g94?=n9h>1<75f43694?=h<;81<75rb55b>5<2290;w):N3<01C8>j4$2:0>402m2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3`>987>5;n616?6=3th?;o4?:483>5}#<:k1>l>4H56:?M24l2.84>4>64g8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f11d290>6=4?{%60e?4f92B?845G42f8 6>428<>i6*=ae823>o68d83>>o6i=0;66g;3183>>i3:;0;66sm46f94?3=83:p(9=n:3c3?M2312B??i5f15g94?=n91o1<75f1`694?=n<;>1<75`43094?=zj==n6=4::183!24i38j=6F;489K06bn6=44i0:f>5<5<n6=44i0:f>5<5<5;h3b0?6=3`>987>5;n616?6=3th?4<4?:483>5}#<:k1>l>4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3`>987>5;n616?6=3th?4?4?:483>5}#<:k1>l>4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3`>987>5;n616?6=3th?4>4?:483>5}#<:k1>l>4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3`>987>5;n616?6=3th?494?:583>5}#<:k1>4h4H56:?M24l2.9mi4>7:k20`<722c:m94?::k761<722e?>?4?::a0=3=83?1<7>t$51b>7g73A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a0=0=83336;<598yK06b<,=9j6<8;f:X20f<2s<:1;n4:1;5f>2`=u`lo6=4+40d9bf=i<8o1<65ffc83>!26n3lh7c:>e;38?l46l3:1(9?i:33`?k26m3:07d<>b;29 17a2;;h7c:>e;38?l46i3:1(9?i:33`?k26m3807d<>9;29 17a2;;h7c:>e;18?l4603:1(9?i:33`?k26m3>07d<>7;29 17a2;;h7c:>e;78?l46>3:1(9?i:33`?k26m3<07d<>5;29 17a2;;h7c:>e;58?l46;3:1(9?i:33`?k26m3207d<>2;29 17a2;;h7c:>e;;8?l4693:1(9?i:33`?k26m3k07d<>0;29 17a2;;h7c:>e;`8?l47n3:1(9?i:33`?k26m3i07de;f8?l47l3:1(9?i:33`?k26m3o07de;d8?l47j3:1(9?i:33`?k26m3;;76g=0`83>!26n38:o6`;1d825>=n:921<7*;1g815f=i<8o1=?54i324>5<#<8l1>45<3`8;:7>5$53e>77d3g>:i7?;;:k140<72->:j7<>c:l75`<6=21b>=:50;&75c<59j1e8e;3;?>o5880;6):>f;02g>h39l0:565f21294?"39o09=n5a40g95d=d:9j5cd=83.?=k4=1b9m04c=9l10ef683>!26n38:o6`;1d815>=n9o<1<7*;1g815f=i<8o1>?54i0d6>5<#<8l1>75<3`;m87>5$53e>77d3g>:i7<;;:k2b6<72->:j7<>c:l75`<5=21b>?=50;&75c<59j1e8e;0;?>o5:90;6):>f;02g>h39l09565f20d94?"39o09=n5a40g96d=e;38?l23>3:17d?7e;29?l2493:17d?6f;29?j43n3:1(9?i:36f?k26m3:07b<;d;29 17a2;>n7c:>e;38?j43k3:1(9?i:36f?k26m3807b<;b;29 17a2;>n7c:>e;18?j43i3:1(9?i:36f?k26m3>07b<;9;29 17a2;>n7c:>e;78?j4303:1(9?i:36f?k26m3<07b<;7;29 17a2;>n7c:>e;58?j43=3:1(9?i:36f?k26m3207b<;4;29 17a2;>n7c:>e;;8?j43;3:1(9?i:36f?k26m3k07b<;2;29 17a2;>n7c:>e;`8?j4393:1(9?i:36f?k26m3i07b<;0;29 17a2;>n7c:>e;f8?j44n3:1(9?i:36f?k26m3o07b<n7c:>e;d8?j44l3:1(9?i:36f?k26m3;;76a=3b83>!26n38?i6`;1d825>=h::k1<7*;1g810`=i<8o1=?54o31:>5<#<8l1>9k4n53f>45<3f8847>5$53e>72b3g>:i7?;;:m172<72->:j7<;e:l75`<6=21d>>850;&75c<5n7c:>e;3;?>i5;:0;6):>f;07a>h39l0:565`22094?"39o098h5a40g95d=h4?:%62b?43m2d?=h4>d:9l67b=83.?=k4=4d9m04c=9l10c?!26n38?i6`;1d815>=h:;21<7*;1g810`=i<8o1>?54o304>5<#<8l1>9k4n53f>75<3f89:7>5$53e>72b3g>:i7<;;:m160<72->:j7<;e:l75`<5=21d>8;50;&75c<5n7c:>e;0;?>i5=;0;6):>f;07a>h39l09565`24394?"39o098h5a40g96d=e;38?j40m3:1(9?i:3:3?k26m3807b<8d;29 17a2;2;7c:>e;18?j40k3:1(9?i:3:3?k26m3>07b<8b;29 17a2;2;7c:>e;78?j40i3:1(9?i:3:3?k26m3<07b<89;29 17a2;2;7c:>e;58?j40?3:1(9?i:3:3?k26m3207b<86;29 17a2;2;7c:>e;;8?j40=3:1(9?i:3:3?k26m3k07b<84;29 17a2;2;7c:>e;`8?j40;3:1(9?i:3:3?k26m3i07b<82;29 17a2;2;7c:>e;f8?j4093:1(9?i:3:3?k26m3o07b<80;29 17a2;2;7c:>e;d8?j41n3:1(9?i:3:3?k26m3;;76a=6d83>!26n383<6`;1d825>=h:?i1<7*;1g81<5=i<8o1=?54o34a>5<#<8l1>5>4n53f>45<3f8=m7>5$53e>7>73g>:i7?;;:m12<<72->:j7<70:l75`<6=21d>;650;&75c<5091e8e;3;?>i5><0;6):>f;0;4>h39l0:565`27694?"39o094=5a40g95d=d:9l60`=83.?=k4=819m04c=9l10c?;k:18'04`=:1:0b9?j:0d8?j42k3:1(9?i:3:3?k26m38;76a=5c83>!26n383<6`;1d815>=h:?54o37:>5<#<8l1>5>4n53f>75<3f8>47>5$53e>7>73g>:i7<;;:m112<72->:j7<70:l75`<5=21d>5950;&75c<5091e81290/8e;0;?>i50=0;6):>f;0;4>h39l09565`29194?"39o094=5a40g96d=50z&77d<5i?1C8974H51g?j4f=3:17pl;8983>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3000;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;8`83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd30k0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;8b83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd30m0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;8d83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd30o0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;9183>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3180;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;9383>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd31:0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;9583>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd31<0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;9783>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd31>0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;9983>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3100;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;9`83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd31k0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;9b83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd31m0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;9d83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd31o0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;a183>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3i80;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;a383>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3i:0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;a583>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3i<0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;a783>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3i>0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;a983>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3i00;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;a`83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3ik0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;ab83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3im0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;ad83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3io0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;b183>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3j80;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;b383>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3j:0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;b583>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3j<0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;b783>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd3j>0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl;b983>c<729q/8>o54378L12>3A>8h6*=ae87?l?32900e4;50;9j=3<722c2;7>5;h;;>5<5<5<5<?6=44i566>5<5<i57>5f;294~"3;h0?>85G45;8L15c3-8jh7:4i8694?=n1<0;66g66;29?l?02900e4650;9j5d2=831b>5k50;9j6=`=831b>4>50;9j6<7=831b>4<50;9j012=831b89;50;9l061=831d>5j50;9~f1df290m6=4?{%60e?25=2B?845G42f8 7gc2=1b594?::k:1?6=3`3=6=44i8594?=n110;66g>a583>>o50l0;66g=8g83>>o5190;66g=9083>>o51;0;66g;4583>>o3<<0;66a;3683>>i50m0;66sm4c`94?`=83:p(9=n:506?M2312B??i5+2`f90>o><3:17d7::188m<0=831b5:4?::k:5;h0;a?6=3`83j7>5;h0:4?6=3`82=7>5;h0:6?6=3`>?87>5;h671?6=3f>8;7>5;n0;`?6=3th?nn4?:g83>5}#<:k18?;4H56:?M24l2.9mi4;;h;7>5<>o>?3:17d77:188m4g32900e?6j:188m7>a2900e?7?:188m7?62900e?7=:188m1232900e9:::188k1502900c?6k:188yg2el3:1j7>50z&77d<3:<1C8974H51g?!4fl3>0e4:50;9j=0<722c2:7>5;h;4>5<5N3<01C8>j4$3cg>1=n1=0;66g65;29?l?12900e4950;9j==<722c:m94?::k1<`<722c94k4?::k1=5<722c95<4?::k1=7<722c?894?::k700<722e??:4?::m1N3;m1/>lj54:k:0?6=3`3>6=44i8494?=n1>0;66g68;29?l7f<3:17d<7e;29?l4?n3:17d<60;29?l4>93:17d<62;29?l23<3:17d:;5;29?j24?3:17b<7d;29?xd3k90;6k4?:1y'06g=<;?0D9:6;I60`>"5im0?7d7;:188m<3=831b5;4?::k:3?6=3`336=44i0c7>5<5<5<5<>6=44o514>5<1<75f9483>>o>>3:17d78:188m<>=831b=l:50;9j6=c=831b>5h50;9j6<6=831b>4?50;9j6<4=831b89:50;9j013=831d8>950;9l6=b=831vn9m=:18e>5<7s->8m7:=5:J70<=O<:n0(?ok:59j=1<722c297>5;h;5>5<>o6i=0;66g=8d83>>o50o0;66g=9183>>o5180;66g=9383>>o3<=0;66g;4483>>i3;>0;66a=8e83>>{e7E:;9:J77a=#:hn186g64;29?l?22900e4850;9j=2<722c247>5;h3b0?6=3`83i7>5;h0;b?6=3`82<7>5;h0:5?6=3`82>7>5;h670?6=3`>?97>5;n603?6=3f83h7>5;|`7g1<72o0;6=u+42c9073<@=>27E:b2900e?6i:188m7?72900e?7>:188m7?52900e9:;:188m1222900c9=8:188k7>c2900qo:l5;29b?6=8r.??l4;249K01?<@=9o7)1<75f29g94?=n:1l1<75f28294?=n:0;1<75f28094?=n<=>1<75f45794?=h<:=1<75`29f94?=zj=i=6=4i:183!24i3>996F;489K06b<,;ko695f9583>>o>=3:17d79:188m<1=831b554?::k2e1<722c94h4?::k1t$51b>1423A>?56F;3e9'6db=<2c287>5;h;6>5<>o>03:17d?n4;29?l4?m3:17d<7f;29?l4>83:17d<61;29?l4>:3:17d:;4;29?l23=3:17b:<7;29?j4?l3:17pl;c983>c<729q/8>o54378L12>3A>8h6*=ae87?l?32900e4;50;9j=3<722c2;7>5;h;;>5<5<5<5<?6=44i566>5<5<h57>5f;294~"3;h0?>85G45;8L15c3-8jh7:4i8694?=n1<0;66g66;29?l?02900e4650;9j5d2=831b>5k50;9j6=`=831b>4>50;9j6<7=831b>4<50;9j012=831b89;50;9l061=831d>5j50;9~f1ef290m6=4?{%60e?25=2B?845G42f8 7gc2=1b594?::k:1?6=3`3=6=44i8594?=n110;66g>a583>>o50l0;66g=8g83>>o5190;66g=9083>>o51;0;66g;4583>>o3<<0;66a;3683>>i50m0;66sm4b`94?`=83:p(9=n:506?M2312B??i5+2`f90>o><3:17d7::188m<0=831b5:4?::k:5;h0;a?6=3`83j7>5;h0:4?6=3`82=7>5;h0:6?6=3`>?87>5;h671?6=3f>8;7>5;n0;`?6=3th?on4?:g83>5}#<:k18?;4H56:?M24l2.9mi4;;h;7>5<>o>?3:17d77:188m4g32900e?6j:188m7>a2900e?7?:188m7?62900e?7=:188m1232900e9:::188k1502900c?6k:188yg2dl3:1j7>50z&77d<3:<1C8974H51g?!4fl3>0e4:50;9j=0<722c2:7>5;h;4>5<5N3<01C8>j4$3cg>1=n1=0;66g65;29?l?12900e4950;9j==<722c:m94?::k1<`<722c94k4?::k1=5<722c95<4?::k1=7<722c?894?::k700<722e??:4?::m1N3;m1/>lj54:k:0?6=3`3>6=44i8494?=n1>0;66g68;29?l7f<3:17d<7e;29?l4?n3:17d<60;29?l4>93:17d<62;29?l23<3:17d:;5;29?j24?3:17b<7d;29?xd3l90;6k4?:1y'06g=<;?0D9:6;I60`>"5im0?7d7;:188m<3=831b5;4?::k:3?6=3`336=44i0c7>5<5<5<5<>6=44o514>5<1<75f9483>>o>>3:17d78:188m<>=831b=l:50;9j6=c=831b>5h50;9j6<6=831b>4?50;9j6<4=831b89:50;9j013=831d8>950;9l6=b=831vn9j=:18e>5<7s->8m7:=5:J70<=O<:n0(?ok:59j=1<722c297>5;h;5>5<>o6i=0;66g=8d83>>o50o0;66g=9183>>o5180;66g=9383>>o3<=0;66g;4483>>i3;>0;66a=8e83>>{e7E:;9:J77a=#:hn186g64;29?l?22900e4850;9j=2<722c247>5;h3b0?6=3`83i7>5;h0;b?6=3`82<7>5;h0:5?6=3`82>7>5;h670?6=3`>?97>5;n603?6=3f83h7>5;|`7`1<72o0;6=u+42c9073<@=>27E:b2900e?6i:188m7?72900e?7>:188m7?52900e9:;:188m1222900c9=8:188k7>c2900qo:k5;29b?6=8r.??l4;249K01?<@=9o7)1<75f29g94?=n:1l1<75f28294?=n:0;1<75f28094?=n<=>1<75f45794?=h<:=1<75`29f94?=zj=n=6=4i:183!24i3>996F;489K06b<,;ko695f9583>>o>=3:17d79:188m<1=831b554?::k2e1<722c94h4?::k1t$51b>1423A>?56F;3e9'6db=<2c287>5;h;6>5<>o>03:17d?n4;29?l4?m3:17d<7f;29?l4>83:17d<61;29?l4>:3:17d:;4;29?l23=3:17b:<7;29?j4?l3:17pl;d983>c<729q/8>o54378L12>3A>8h6*=ae87?l?32900e4;50;9j=3<722c2;7>5;h;;>5<5<5<5<?6=44i566>5<5<o57>5f;294~"3;h0?>85G45;8L15c3-8jh7:4i8694?=n1<0;66g66;29?l?02900e4650;9j5d2=831b>5k50;9j6=`=831b>4>50;9j6<7=831b>4<50;9j012=831b89;50;9l061=831d>5j50;9~f1bf290m6=4?{%60e?25=2B?845G42f8 7gc2=1b594?::k:1?6=3`3=6=44i8594?=n110;66g>a583>>o50l0;66g=8g83>>o5190;66g=9083>>o51;0;66g;4583>>o3<<0;66a;3683>>i50m0;66sm4e`94?`=83:p(9=n:506?M2312B??i5+2`f90>o><3:17d7::188m<0=831b5:4?::k:5;h0;a?6=3`83j7>5;h0:4?6=3`82=7>5;h0:6?6=3`>?87>5;h671?6=3f>8;7>5;n0;`?6=3th?hn4?:g83>5}#<:k18?;4H56:?M24l2.9mi4;;h;7>5<>o>?3:17d77:188m4g32900e?6j:188m7>a2900e?7?:188m7?62900e?7=:188m1232900e9:::188k1502900c?6k:188yg2cl3:1j7>50z&77d<3:<1C8974H51g?!4fl3>0e4:50;9j=0<722c2:7>5;h;4>5<5N3<01C8>j4$3cg>1=n1=0;66g65;29?l?12900e4950;9j==<722c:m94?::k1<`<722c94k4?::k1=5<722c95<4?::k1=7<722c?894?::k700<722e??:4?::m1N3;m1/>lj54:k:0?6=3`3>6=44i8494?=n1>0;66g68;29?l7f<3:17d<7e;29?l4?n3:17d<60;29?l4>93:17d<62;29?l23<3:17d:;5;29?j24?3:17b<7d;29?xd3m90;6k4?:1y'06g=<;?0D9:6;I60`>"5im0?7d7;:188m<3=831b5;4?::k:3?6=3`336=44i0c7>5<5<5<5<>6=44o514>5<1<75f9483>>o>>3:17d78:188m<>=831b=l:50;9j6=c=831b>5h50;9j6<6=831b>4?50;9j6<4=831b89:50;9j013=831d8>950;9l6=b=831vn9k=:18e>5<7s->8m7:=5:J70<=O<:n0(?ok:59j=1<722c297>5;h;5>5<>o6i=0;66g=8d83>>o50o0;66g=9183>>o5180;66g=9383>>o3<=0;66g;4483>>i3;>0;66a=8e83>>{e7E:;9:J77a=#:hn186g64;29?l?22900e4850;9j=2<722c247>5;h3b0?6=3`83i7>5;h0;b?6=3`82<7>5;h0:5?6=3`82>7>5;h670?6=3`>?97>5;n603?6=3f83h7>5;|`7a1<72o0;6=u+42c9073<@=>27E:b2900e?6i:188m7?72900e?7>:188m7?52900e9:;:188m1222900c9=8:188k7>c2900qo:j5;29b?6=8r.??l4;249K01?<@=9o7)1<75f29g94?=n:1l1<75f28294?=n:0;1<75f28094?=n<=>1<75f45794?=h<:=1<75`29f94?=zj=o=6=4i:183!24i3>996F;489K06b<,;ko695f9583>>o>=3:17d79:188m<1=831b554?::k2e1<722c94h4?::k1t$51b>1423A>?56F;3e9'6db=<2c287>5;h;6>5<>o>03:17d?n4;29?l4?m3:17d<7f;29?l4>83:17d<61;29?l4>:3:17d:;4;29?l23=3:17b:<7;29?j4?l3:17pl;e983>6<729q/8>o52c`8L12>3A>8h6*=ae8;b>o5i10;66g=a883>>i4?>0;66s|1ea:>5<49rTmh63;b98:1>;3j002963;b`8:1>;3jk02963;bb8:1>;3jm02963;bd8:1>;3jo02963;c18:1>;3k802963;c38:1>;3k:02963;c58:1>;3k<02963;c78:1>;3k>02963;c98:1>;3k002963;c`8:1>;3kk02963;cb8:1>;3km02963;cd8:1>;3ko02963;d18:1>;3l802963;d38:1>;3l:02963;d58:1>;3l<02963;d78:1>;3l>02963;d98:1>;3l002963;d`8:1>;3lk02963;db8:1>;3lm02963;dd8:1>;3lo02963;e18:1>;3m802963;e38:1>;3m:02963;e58:1>;3m<02963;e78:1>;3m>0296s|1eab>5<49rTmn63;b98:0>;3j002863;b`8:0>;3jk02863;bb8:0>;3jm02863;bd8:0>;3jo02863;c18:0>;3k802863;c38:0>;3k:02863;c58:0>;3k<02863;c78:0>;3k>02863;c98:0>;3k002863;c`8:0>;3kk02863;cb8:0>;3km02863;cd8:0>;3ko02863;d18:0>;3l802863;d38:0>;3l:02863;d58:0>;3l<02863;d78:0>;3l>02863;d98:0>;3l002863;d`8:0>;3lk02863;db8:0>;3lm02863;dd8:0>;3lo02863;e18:0>;3m802863;e38:0>;3m:02863;e58:0>;3m<02863;e78:0>;3m>0286s|1eaa>5<5sW8:h63;e482e1=z{8nho7>52z\15g=:4g33ty:hnk50;0xZ77>34>n>7?n4:p5aea2909wS<>8:?7a1<6i=1v168h=51`68yv7cl80;6?uQ204891ba28k?7p}>de094?4|V;;>70:j1;3b0>{t9mn86=4={_027>;3m90:m95rs0fg0?6=:rT9=?524ea95d2a59~w4bc03:1>vP=0d9>0ad=9h>0q~?kd883>7}Y:9n019jn:0c7?xu6lmk1<73;j86s|1efa>5<5sW8;n63;d982e1=z{8noo7>52z\14d=:=64=5f0>4g33ty:hik50;0xZ76034>o97?n4:p5aba2909wS51`68yv7cm80;6?uQ216891b528k?7p}>dd094?4|V;:870:k1;3b0>{t9mo86=4={_036>;3km0:m95rs0ff0?6=:rT9<<524bd95d2a59~w4bb03:1>vP>fb9>0fd=9h>0q~?ke883>7}Y9oh019m8:0c7?xu6llk1<75<5sW;m463;c982e1=z{8nno7>52z\2b2=:1=l:4}r3gaa<72;qU=k84=5a5>4g33ty:hhk50;0xZ4`234>h97?n4:p5aca2909wS?i4:?7g4<6i=1vdg094?4|V;8970:me;3b0>{t9ml86=4={_015>;3k90:m95rs0fe0?6=:rT9>=524cd95d2a59~w4ba03:1>vP=089>0g>=9h>0q~?kf883>7}Y9oo019ln:0c7?xu6lok1<75<49rT:<>524c:96=`<5=h26?6i;<6ae?4?n27?no4=8g9>0ge=:1l019lk:3:e?82em383j63;bg815h4=5a2>7>a34>h>7<7f:?7g6<50o168n:529d891e22;2m70:l6;0;b>;3k>094k524b:96=`<5=i26?6i;<6`e?4?n27?oo4=8g9>0fe=:1l019mk:3:e?82dm383j63;cg815h4=5f2>7>a34>o>7<7f:?7`6<50o168i:529d891b22;2m70:k6;0;b>;3l>094k524e:96=`<5=n26?6i;<6ge?4?n27?ho4=8g9>0ae=:1l019jk:3:e?82cm383j63;dg815h4=5g2>7>a34>n>7<7f:?7a6<50o168h:529d891c22;2m70:j6;0;b>;3m>094k5rs0feg?6=;8qU==?4=5`;>7>b34>i57<7e:?7fd<50l168ol529g891dd2;2n70:md;0;a>;3jl094h524cd96=c<5=i;6?6j;<6`5?4?m27?o?4=8d9>0f5=:1o019m;:3:f?82d=383i63;c781<`=:5k4=5a;>7>b34>h57<7e:?7gd<50l168nl529g891ed2;2n70:ld;0;a>;3kl094h524bd96=c<5=n;6?6j;<6g5?4?m27?h?4=8d9>0a5=:1o019j;:3:f?82c=383i63;d781<`=:5k4=5f;>7>b34>o57<7e:?7`d<50l168il529g891bd2;2n70:kd;0;a>;3ll094h524ed96=c<5=o;6?6j;<6f5?4?m27?i?4=8d9>0`5=:1o019k;:3:f?82b=383i63;e781<`=:5k4}r3gba<72;qU8984=5g;>7g?3ty:hkk50;11[7?m27?454>8d9>0=?=91o0196n:0:f?82?j3;3i63;8b82<`=:<1n1=5k4=5:f>4>b34>3j7?7e:?7=5<60l1684?519g891?5282n70:63;3;a>;31=0:4h5248795=c<5=3=6<6j;<6:3?7?m27?554>8d9>0j3;3i63;9b82<`=:<0n1=5k4=5;f>4>b34>2j7?7e:?7e5<60l168l?519g891g5282n70:n3;3;a>;3i=0:4h524`795=c<5=k=6<6j;<6b3?7?m27?m54>8d9>0d?=91o019on:0:f?82fj3;3i63;ab82<`=:4>b34>jj7?7e:?7f5<60l168o?519g891d5282n70:m3;3;a>;3j=0:4h524c795=c<5=h=6<6j;<6a3?7?m27?i54=a89~w4ban3:1:?28>n70:79;37a>;30h0:8h5249`951c<5=2h6<:j;<6;`?73m27?4h4>4d9>0=`=9=o0197?:06f?82>93;?i63;93820`=:<091=9k4=5;7>42b34>297?;e:?7=3<6n70:69;37a>;31h0:8h5248`951c<5=3h6<:j;<6:`?73m27?5h4>4d9>0<`=9=o019o?:06f?82f93;?i63;a3820`=:42b34>j97?;e:?7e3<6n70:n9;37a>;3ih0:8h524``951c<5=kh6<:j;<6b`?73m27?mh4>4d9>0d`=9=o019l?:06f?82e93;?i63;b3820`=:42b34>i97?;e:?7f3<6?70:m9;670>;3jh0?89524c`9012<5=hh69:;;<6a`?23<27?nh4;459>0g`=<=>019m?:567?82d93>?863;c38701=:12334>h97:;4:?7g3<3<=168n95456891e?2=>?70:l9;670>;3kh0?89524b`9012<5=ih69:;;<6``?23<27?oh4;459>0f`=<=>019j?:567?82c93>?863;d38701=:12334>o97:;4:?7`3<3<=168i95456891b?2=>?70:k9;670>;3lh0?89524e`9012<5=nh69:;;<6g`?23<27?hh4;459>0a`=<=>019k?:567?82b93>?863;e38701=:12334>n97:;4:?7a3<3<=168h954568yv7b890;6?uQ293891d02=897p}>e1394?4|V;=m70:m6;616>{t9l:96=4={_04a>;3j<0?>?5rs0g37?6=:rT9;i524c690749:181[40i27?n<4;239~w4c7?3:1>vP=789>0g6=<;80q~?j0983>7}Y:>=019oi:501?xu6m931<79>6s|1d2b>5<5sW8<963;ae8767=z{8o;n7>52z\131=::=4=5ca>1453ty:i=j50;0xZ71534>jm7:=2:p5`6b2909wS<81:?7e<<3:;1ve0394?4|V;{t9l;96=4={_05g>;3i<0?>?5rs0g27?6=:rT9:o524`69074vP=669>0d6=<;80q~?j1983>7}Y:?<0197i:501?xu6m831<7m3>9>6s|1d3b>5<5sW8=863;9e8767=z{8o:n7>52z\126=:<0i18?<4}r3f5f<72;qU>;?4=5;a>1453ty:i2m7:=2:p5`7b2909wS<:f:?7=<<3:;1vf;296~X5=m1684654308yv7b:90;6?uQ24a891?02=897p}>e3394?4|V;?i70:66;616>{t9l896=4={_06e>;31<0?>?5rs0g17?6=:rT99452486907494?:3y]60><5=3869<=;|q2a73=838pR?;8;<6:6?25:2wx=h<9:181[4??27?5<4;239~w4c5?3:1>vP=879>0<6=<;80q~?j2983>7}Y:1?0196i:501?xu6m;31<79>6s|1d0b>5<5sW83?63;8e8767=z{8o9n7>52z\1<7=:<1i18?<4}r3f6f<72;qU>:64=5:a>1453ty:i?j50;0xZ70c34>3m7:=2:p5`4b2909wS<92:?7<<<3:;1v{<6;3?4f=27?n5466:?7f=<>?27?n5468:?7f=<519168o65283891d?2;3970:m9;;5?82e133<70:m9;;;?82e1382<63;b881=4=:4<4=5`b><0<5=hj6494=5`b><><5=hj6?7?;<6ae?4>927?nl4=939>0gd=1?168ol5969>0gd=11168ol5282891de2;3:70:mb;0:6>;3jj02:63;bb8:3>;3jj02463;bb81=5=:4?4=5``>7?534>ih779;<6a`??034>ih777;<6a`?4>827?ni4=909>0gb=:08019lj:84891db20=019lj:8:891db2;3;70:me;0:5>;3jl095?524cd9=3=:4>4=5`e>7?634>ij7<62:?7g5<>>27?o=467:?7g5<>027?o=4=919>0f6=:0;019m?:3;1?82d933=70:l1;;4?82d933370:l1;0:4>;3k8095<524b396<4<5=i96484=5a1><1<5=i96464=5a1>7?734>h>7<61:?7g7<51;168n=5979>0f5=1>168n=5999>0f5=:0:019m<:3;2?82d;382>63;c58:2>;3k=02;63;c58:<>;3k=095=524b696<7<5=i?6?7=;<6`1??134>h9778;<6`1???34>h97<60:?7g0<518168n;5280891e120<019m9:85891e1202019m9:3;3?82d>382=63;c781=7=:;<6`3?4>:27?o5466:?7g=<>?27?o5468:?7g=<519168n65283891e?2;3970:l9;;5?82d133<70:l9;;;?82d1382<63;c881=4=:4<4=5ab><0<5=ij6494=5ab><><5=ij6?7?;<6`e?4>927?ol4=939>0fd=1?168nl5969>0fd=11168nl5282891ee2;3:70:lb;0:6>;3kj02:63;cb8:3>;3kj02463;cb81=5=:4?4=5a`>7?534>hh779;<6``??034>hh777;<6``?4>827?oi4=909>0fb=:08019mj:84891eb20=019mj:8:891eb2;3;70:le;0:5>;3kl095?524bd9=3=:4>4=5ae>7?634>hj7<62:?7`5<>>27?h=467:?7`5<>027?h=4=919>0a6=:0;019j?:3;1?82c933=70:k1;;4?82c933370:k1;0:4>;3l8095<524e396<4<5=n96484=5f1><1<5=n96464=5f1>7?734>o>7<61:?7`7<51;168i=5979>0a5=1>168i=5999>0a5=:0:019j<:3;2?82c;382>63;d58:2>;3l=02;63;d58:<>;3l=095=524e696<7<5=n?6?7=;<6g1??134>o9778;<6g1???34>o97<60:?7`0<518168i;5280891b120<019j9:85891b1202019j9:3;3?82c>382=63;d781=7=:;<6g3?4>:27?h5466:?7`=<>?27?h5468:?7`=<519168i65283891b?2;3970:k9;;5?82c133<70:k9;;;?82c1382<63;d881=4=:4<4=5fb><0<5=nj6494=5fb><><5=nj6?7?;<6ge?4>927?hl4=939>0ad=1?168il5969>0ad=11168il5282891be2;3:70:kb;0:6>;3lj02:63;db8:3>;3lj02463;db81=5=:4?4=5f`>7?534>oh779;<6g`??034>oh777;<6g`?4>827?hi4=909>0ab=:08019jj:84891bb20=019jj:8:891bb2;3;70:ke;0:5>;3ll095?524ed9=3=:4>4=5fe>7?634>oj7<62:?7a5<>>27?i=467:?7a5<>027?i=4=919>0`6=:0;019k?:3;1?82b933=70:j1;;4?82b933370:j1;0:4>;3m8095<524d396<4<5=o96484=5g1><1<5=o96464=5g1>7?734>n>7<61:?7a7<51;168h=5979>0`5=1>168h=5999>0`5=:0:019k<:3;2?82b;382>63;e58:2>;3m=02;63;e58:<>;3m=095=524d696<7<5=o?6?7=;<6f1??134>n9778;<6f1???34>n97<60:?7a0<518168h;5280891c120<019k9:85891c1202019k9:3;3?82b>382=63;e781=7=:;<6f3?4>:2wx=h=>:18182?03;j863;b8817>52z?7<<<6i=168oo529f8yv7b;:0;6?u249c95d2<5=h36?6k;|q2a62=838p196m:0c7?82ek383h6s|1d16>5<5s4>3o7?n4:?7fa<50m1v0=c=9h>019li:3:g?xu6m:21<74g334>h<7<7d:p5`5>2909w0:60;3b0>;3jl094i5rs0g0e?6=:r7?5<4>a59>0f4=:1n0q~?j3c83>7}:<081=l:4=5a0>7>c3ty:i>m50;0x91?428k?70:l1;0;`>{t9l9o6=4={<6:0?7f<27?o84=8e9~w4c4m3:1>v3;9482e1=:5j4}r3f7c<72;q684851`6891e32;2o7p}>e5294?4|5=3<6:18182>03;j863;c8817>52z?7=<<6i=168n9529f8yv7b<:0;6?u248c95d2<5=ii6?6k;|q2a12=838p197m:0c7?82dk383h6s|1d66>5<5s4>2o7?n4:?7gd<50m1v0019mi:3:g?xu6m=21<74g334>hh7<7d:p5`2>2909w0:n0;3b0>;3l8094i5rs0g7e?6=:r7?m<4>a59>0a4=:1n0q~?j4c83>7}:7>c3ty:i9m50;0x91g428k?70:k4;0;`>{t9l>o6=4={<6b0?7f<27?h84=8e9~w4c3m3:1>v3;a482e1=:5j4}r3f0c<72;q68l851`6891b02;2o7p}>e4294?4|5=k<6:18182f03;j863;d781>7>52z?7e<<6i=168io529f8yv7b=:0;6?u24`c95d2<5=ni6?6k;|q2a02=838p19om:0c7?82c1383h6s|1d76>5<5s4>jo7?n4:?7`a<50m1v0dc=9h>019jl:3:g?xu6m<21<74g334>n<7<7d:p5`3>2909w0:m0;3b0>;3m8094i5rs0g6e?6=:r7?n<4>a59>0a`=:1n0q~?j5c83>7}:7>c3ty:i8m50;0x91d428k?70:j4;0;`>{t9l?o6=4={<6a0?7f<27?i?4=8e9~w4c2m3:1>v3;b482e1=:5j4}r3f1c<72;q68o851`6891c02;2o7p}>e7294?4|5=h<6:1805~;3j10?88524c;9013<5=hj69::;<6af?23=27?nn4;449>0gb=<=?019lj:566?82en3>?963;c18700=:12234>h?7:;5:?7g1<3<<168n;5457891e12=>>70:l7;671>;3k10?88524b;9013<5=ij69::;<6`f?23=27?on4;449>0fb=<=?019mj:566?82dn3>?963;d18700=:12234>o?7:;5:?7`1<3<<168i;5457891b12=>>70:k7;671>;3l10?88524e;9013<5=nj69::;<6gf?23=27?hn4;449>0ab=<=?019jj:566?82cn3>?963;e18700=:12234>n?7:;5:?7a1<3<<168h;5457891c12=>>70:j7;671>;3m108;:5r}c6f=?6==3:1lj5169j51c=831b=5k50;9j5d2=831b8>>50;9l074=831vn9kn:186>5<7s->8m76<:046a>"5im097d?;e;29?l7?m3:17d?n4;29?l25<3:17b:=2;29?xd3mk0;684?:1y'06g=:j?0D9:6;I60`>"40:0::8k4i0;f>5<5<5<no7>55;294~"3;h09o85G45;8L15c3-93?7?95d9j55l50;9j066=831d?:950;9l6g1=831vn9kk:180>5<7s->8m7:;8:J70<=O<:n0(>6<:046a>o61l0;66g=b783>>i4?>0;66sm4dg94?3=83:p(9=n:3a6?M2312B??i5+3919533b3`;2i7>5;h0;f?6=3`>8<7>5;n143?6=3f8i;7>5;|`7ac<72<0;6=u+42c96f3<@=>27E:e2900e9=?:188k6102900c?l8:188yg2a83:197>50z&77d<5k<1C8974H51g?!5?;3;=9h5f18g94?=n:1h1<75f42294?=h;>=1<75`2c594?=zj=l:6=4::183!24i38h96F;489K06b<,:286<8:e:k2=`<722c94o4?::k775<722e8;:4?::m1f2<722wi8k<50;794?6|,=9j6?m:;I67=>N3;m1/?5=5177f?l7>m3:17d<7b;29?l2483:17b=87;29?j4e?3:17pl;f283>0<729q/8>o52b78L12>3A>8h6*<828220c5<5<n6=44i0:f>5<5<m97>54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wi8k950;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sm4gc94?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<mn7>55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a0ce=83?1<7>t$51b>7g73A>?56F;3e9'7=5=9??n7)50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e3:187>50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<3<11C8974H51g?!5?;3;=9h5f18g94?=n:k<1<75`36594?=zj<:o6=4::183!24i38h96F;489K06b<,:286<8:e:k2=`<722c94o4?::k775<722e8;:4?::m1f2<722wi9=k50;194?6|,=9j69:7;I67=>N3;m1/?5=5177f?l7>m3:17d1<729q/8>o52b48L12>3A>8h6*<828220c5<5<53;294~"3;h0?855G45;8L15c3-93?7?95d9j5o850;9l721=831vn8?>:186>5<7s->8m76<:046a>o61l0;66g=8c83>>o3;90;66a<7683>>i5j>0;66sm50094?5=83:p(9=n:56;?M2312B??i5+3919533b3`;2i7>5;h0a2?6=3f9<;7>5;|`656<72=0;6=u+42c96f0<@=>27E:e2900e9=?:188k7d02900qo;>4;297?6=8r.??l4;499K01?<@=9o7)=73;351`=n90o1<75f2c494?=h;>=1<75rb436>5<4290;w):N3<01C8>j4$2:0>402m2c:5h4?::k1f3<722e8;:4?::a140=83>1<7>t$51b>7e33A>?56F;3e9'7=5=9??n7d?6e;29?l4?j3:17d:<0;29?j50?3:17pl:1683>0<729q/8>o52b78L12>3A>8h6*<828220c5<5<>50;9l6g1=831vn8?6:187>5<7s->8m76<:046a>o61l0;66g=8c83>>o3;90;66a=b683>>{e=8k1<7=50;2x 15f2=>37E:;9:J77a=#;191=;;j;h3:a?6=3`8i:7>5;n143?6=3th>=o4?:483>5}#<:k1>n;4H56:?M24l2.84>4>64g8m4?b2900e?6m:188m1572900c>98:188k7d02900qo;>c;297?6=8r.??l4;499K01?<@=9o7)=73;351`=n90o1<75f2c494?=h;>=1<75rb43g>5<2290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75f43694?=h<;81<75rb43f>5<2290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75f43694?=h<;81<75rb43e>5<3290;w):N3<01C8>j4i06f>5<5<6=4?{%60e?4d=2B?845G42f8 6>428<>i6g>9d83>>o50k0;66g;3183>>i4?>0;66a=b683>>{e=;81<7;50;2x 15f2;i>7E:;9:J77a=#;191=;;j;h3:a?6=3`83n7>5;h604?6=3f9<;7>5;n0a3?6=3th>>>4?:483>5}#<:k1>n;4H56:?M24l2.84>4>64g8m4?b2900e?6m:188m1572900c>98:188k7d02900qo;=4;291?6=8r.??l4=c49K01?<@=9o7)=73;351`=n90o1<75f29`94?=n<::1<75`36594?=h:k=1<75rb406>5<2290;w):N3<01C8>j4$2:0>402m2c:5h4?::k1t$51b>7e23A>?56F;3e9'7=5=9??n7d?6e;29?l4?j3:17d:<0;29?j50?3:17b0;684?:1y'06g=:j?0D9:6;I60`>"40:0::8k4i0;f>5<5<5<55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a17?=83?1<7>t$51b>7g63A>?56F;3e9'7=5=9??n7)6<729q/8>o545:8L12>3A>8h6*<828220c5<>50;9l721=831d>o950;9~f04d290>6=4?{%60e?4d=2B?845G42f8 6>428<>i6g>9d83>>o50k0;66g;3183>>i4?>0;66a=b683>>{e=;n1<7;50;2x 15f2;i>7E:;9:J77a=#;191=;;j;h3:a?6=3`83n7>5;h604?6=3f9<;7>5;n0a3?6=3th>>h4?:483>5}#<:k1>n;4H56:?M24l2.84>4>64g8m4?b2900e?6m:188m1572900c>98:188k7d02900qo;=f;291?6=8r.??l4=c49K01?<@=9o7)=73;351`=n90o1<75f29`94?=n<::1<75`36594?=h:k=1<75rb413>5<2290;w):N3<01C8>j4$2:0>402m2c:5h4?::k1t$51b>7g73A>?56F;3e9'7=5=9??n7)5;h3;a?6=3`;j87>5;h610?6=3f>9>7>5;|`671<72<0;6=u+42c96f3<@=>27E:e2900e9=?:188k6102900c?l8:188yg34=3:197>50z&77d<5k<1C8974H51g?!5?;3;=9h5f18g94?=n:1h1<75f42294?=h;>=1<75`2c594?=zj<9=6=4::183!24i38h96F;489K06b<,:286<8:e:k2=`<722c94o4?::k775<722e8;:4?::m1f2<722wi9>950;794?6|,=9j6?o?;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wi9>650;194?6|,=9j69:7;I67=>N3;m1/?5=5177f?l7>m3:17d6<729q/8>o545:8L12>3A>8h6*<828220c5<>50;9l721=831vn8=m:186>5<7s->8m76<:046a>o61l0;66g=8c83>>o3;90;66a<7683>>i5j>0;66sm52a94?2=83:p(9=n:3a5?M2312B??i5+3919533b3`;2i7>5;h0;f?6=3`>8<7>5;n0a3?6=3th>?i4?:283>5}#<:k18964H56:?M24l2.84>4>64g8m4?b2900e?l9:188k6102900qo;=1<75rb41e>5<2290;w):N3<01C8>j4$2:0>402m2c:5h4?::k11<7>t$51b>7e13A>?56F;3e9'7=5=9??n7d?6e;29?l4?j3:17d:<0;29?j4e?3:17pl:4083>1<729q/8>o528g8L12>3A>8h6g>4d83>>o60l0;66g>a583>>i3:;0;66sm55094?5=83:p(9=n:56;?M2312B??i5+3919533b3`;2i7>5;h0a2?6=3f9<;7>5;|`606<72:0;6=u+42c901><@=>27E:98:188yg33<3:187>50z&77d<5k=1C8974H51g?!5?;3;=9h5f18g94?=n:1h1<75f42294?=h;>=1<75rb466>5<2290;w):N3<01C8>j4$2:0>402m2c:5h4?::k11<7>t$51b>7e13A>?56F;3e9'7=5=9??n7d?6e;29?l4?j3:17d:<0;29?j4e?3:17pl:4683>6<729q/8>o545:8L12>3A>8h6*<828220c5<290>6=4?{%60e?4d=2B?845G42f8 6>428<>i6g>9d83>>o50k0;66g;3183>>i4?>0;66a=b683>>{e==k1<7:50;2x 15f2;i=7E:;9:J77a=#;191=;;j;h3:a?6=3`83n7>5;h604?6=3f8i;7>5;|`60g<72=0;6=u+42c96f0<@=>27E:e2900e9=?:188k7d02900qo;;c;297?6=8r.??l4;499K01?<@=9o7)=73;351`=n90o1<75f2c494?=h;>=1<75rb46g>5<2290;w):N3<01C8>j4$2:0>402m2c:5h4?::k1t$51b>12?3A>?56F;3e9'7=5=9??n7d?6e;29?l4e>3:17b=87;29?xd2"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl:5183>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd2=80;684?:1y'06g=:h:0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?l25<3:17b:=2;29?xd2=;0;6:183!24i38286F;489K06bh6<>t568;7?>521i14:4:4;3e>=7=?m0:o7;<:6`95g<3m32;68<5}%1;7?71=l1en54>;o332?7"68l08;;5+11d96=e"6h6010:7c?n3;38j7?4281e>o:51:&1fc<5i=1e>n>51:l0<7<73-9i57;4n502>4=#<:81>oh4$510>6d>3->8i7:<9:&77c<5k81/89>53678 1262:=27b=ma;29?l25i3:17d?63;29?l7>93:17b=3:17d:=e;29?l4d:3:17d?64;29?l25j3:17d?6c;29?j7?93:17d:=6;29?l7>>3:17b:83:17d?6f;29?l7?n3:17d:=9;29?j5?<3:17d?67;29?l7>j3:17d?72;29?l7?83:17d:=d;29?l7>i3:17d:=f;29?jcb290/8:i7?4;nga>5<#<8l1ii5a40g96>=hmh0;6):>f;gg?k26m3907bk6:18'04`=mm1e8:j7kk;o62a?3<3fo<6=4+40d9aa=i<8o1:65`e783>!26n3oo7c:>e;58?jc2290/8:i774;ng1>5<#<8l1ii5a40g9e>=hm80;6):>f;gg?k26m3h07bk?:18'04`=mm1e8:j7kk;o62a?b<3fnn6=4+40d9aa=i<8o1i65`de83>!26n3oo7c:>e;d8?jbd290/81:9l`d<72->:j7kk;o62a?7532eo57>5$53e>`b5<#<8l1ii5a40g951=h39l0:965`f583>!26n3oo7c:>e;35?>ia;3:1(9?i:df8j17b28=07bh=:18'04`=mm1e8:i7?n;:mfb?6=,=;m6hj4n53f>4d<3fo86=4+40d9aa=i<8o1=n54oe:94?"39o0nh6`;1d82`>=n;1;1<7*;1g80<5=i<8o1<65f36d94?"39o084=5a40g95>=n;>o1<7*;1g80<5=i<8o1>65f36f94?"39o084=5a40g97>=n;>i1<7*;1g80<5=i<8o1865f36`94?"39o084=5a40g91>=n;>k1<7*;1g80<5=i<8o1:65f3d494?"39o08i85a40g94>=n;l>1<7*;1g80a0=i<8o1=65f3d194?"39o08i85a40g96>=n;l81<7*;1g80a0=i<8o1?65f3d394?"39o08i85a40g90>=n;l:1<7*;1g80a0=i<8o1965f3ed94?"39o08i85a40g92>=n;mo1<7*;1g80a0=i<8o1;65f3ea94?"39o08i85a40g9<>=n;mh1<7*;1g80a0=i<8o1565f3ec94?"39o08i85a40g9e>=n;m31<7*;1g80a0=i<8o1n65f3e:94?"39o08i85a40g9g>=n;m=1<7*;1g80a0=i<8o1h65f3e494?"39o08i85a40g9a>=n;m?1<7*;1g80a0=i<8o1j65f3e694?"39o08i85a40g955=k:;o62a?7532c8h=4?:%62b?5b=2d?=h4>3:9j7f`=83.?=k4mj:18'04`=;l?0b9?j:078?l5dl3:1(9?i:2g6?k26m3;=76g!26n39n96`;1d823>=n;jh1<7*;1g80a0=i<8o1=554i2ab>5<#<8l1?h;4n53f>4?<3`9h57>5$53e>6c23g>:i7?n;:k0g=<72->:j7=j5:l75`<6j21b?n850;&75c<4m<1e87c:>e;3f?>o4k:0;6):>f;1f1>h39l0:j65f3b094?"39o08i85a40g965=k:;o62a?4532c8nk4?:%62b?5b=2d?=h4=3:9j7gc=83.?=k4lk:18'04`=;l?0b9?j:378?l5bk3:1(9?i:2g6?k26m38=76g!26n39n96`;1d813>=n;lk1<7*;1g80a0=i<8o1>554i2g:>5<#<8l1?h;4n53f>7?<3`9n47>5$53e>6c23g>:i7:j7=j5:l75`<5j21b?ij50;&75c<4m<1e87c:>e;0f?>o4jj0;6):>f;1f1>h39l09j65f11`94?"39o0:=n9931<7*;1g824d=i<8o1=65f11:94?"39o0:=n99=1<7*;1g824d=i<8o1?65f16;94?"39o0:;55a40g94>=n9>=1<7*;1g823==i<8o1=65f16494?"39o0:;55a40g96>=n9>?1<7*;1g823==i<8o1?65f16694?"39o0:;55a40g90>=n9>91<7*;1g823==i<8o1965f16094?"39o0:;55a40g92>=n9>;1<7*;1g823==i<8o1;65f17d94?"39o0:;55a40g9<>=n9?o1<7*;1g823==i<8o1565f17f94?"39o0:;55a40g9e>=n9?i1<7*;1g823==i<8o1n65f17`94?"39o0:;55a40g9g>=n9?k1<7*;1g823==i<8o1h65f17;94?"39o0:;55a40g9a>=n9?21<7*;1g823==i<8o1j65f17594?"39o0:;55a40g955=4?:%62b?7002d?=h4>3:9j534=83.?=k4>799m04c=9=10e<8>:18'04`=9>20b9?j:078?l7183:1(9?i:05;?k26m3;=76g>5g83>!26n3;<46`;1d823>=n95<#<8l1=:64n53f>4?<3`;>o7>5$53e>41?3g>:i7?n;:k21g<72->:j7?88:l75`<6j21b=8750;&75c<6?11e8e;3f?>o6=?0;6):>f;34<>h39l0:j65f14794?"39o0:;55a40g965=799m04c=:=10e<;?:18'04`=9>20b9?j:378?l70n3:1(9?i:05;?k26m38=76g>7d83>!26n3;<46`;1d813>=n9>n1<7*;1g823==i<8o1>554i05`>5<#<8l1=:64n53f>7?<3`;5$53e>41?3g>:i7:j7?88:l75`<5j21b=:>50;&75c<6?11e8e;0f?>o6f;34<>h39l09j65`19f94?"39o0:4n5a40g94>=h91h1<7*;1g82=h9131<7*;1g82=n:k;1<7*;1g81f7=i<8o1=65f2c294?"39o09n?5a40g96>=n:hl1<7*;1g81f7=i<8o1?65f2`g94?"39o09n?5a40g90>=n9;o1<7*;1g826a=i<8o1<65f13a94?"39o0:>i5a40g95>=n9;h1<7*;1g826a=i<8o1>65f13c94?"39o0:>i5a40g97>=n9;31<7*;1g826a=i<8o1865f13:94?"39o0:>i5a40g91>=n9;=1<7*;1g826a=i<8o1:65f13494?"39o0:>i5a40g93>=n9:=1<7*;1g826a=i<8o1465f12494?"39o0:>i5a40g9=>=n9:?1<7*;1g826a=i<8o1m65f12694?"39o0:>i5a40g9f>=n9:91<7*;1g826a=i<8o1o65f12094?"39o0:>i5a40g9`>=n9:;1<7*;1g826a=i<8o1i65f12294?"39o0:>i5a40g9b>=n9;l1<7*;1g826a=i<8o1==54i006>5<#<8l1=?j4n53f>47<3`;:57>5$53e>47?3g>:i7>4;h323?6=,=;m65$53e>47?3g>:i7<4;h321?6=,=;m65$53e>47?3g>:i7:4;h327?6=,=;m67>5$53e>47?3g>:i784;h325?6=,=;m67>5$53e>47?3g>:i764;h315?6=,=;m65$53e>47?3g>:i7o4;h32b?6=,=;m65$53e>47?3g>:i7m4;h32`?6=,=;m65$53e>47?3g>:i7k4;h32f?6=,=;m65$53e>47?3g>:i7??;:k255<72->:j7?>8:l75`<6921d=9?50;&75c<6<91e8419m04c=921d=>k50;&75c<6<91e8419m04c=;21d=>m50;&75c<6<91e8419m04c==21d=>o50;&75c<6<91e8419m04c=?21d=9o50;&75c<6<91e8419m04c=121d=9650;&75c<6<91e8419m04c=j21d=9850;&75c<6<91e8419m04c=l21d=9:50;&75c<6<91e8419m04c=n21d=9<50;&75c<6<91e8e;28?l7e=3:1(9?i:0`5?k26m3;07d?m4;29 17a28h=7c:>e;08?l7e;3:1(9?i:0`5?k26m3907d?m2;29 17a28h=7c:>e;68?l7e83:1(9?i:0`5?k26m3?07d?nf;29 17a28h=7c:>e;48?l7fm3:1(9?i:0`5?k26m3=07d?nd;29 17a28h=7c:>e;:8?l7fk3:1(9?i:0`5?k26m3307d?nb;29 17a28h=7c:>e;c8?l7fi3:1(9?i:0`5?k26m3h07d?n9;29 17a28h=7c:>e;a8?l7f03:1(9?i:0`5?k26m3n07d?n7;29 17a28h=7c:>e;g8?l7en3:1(9?i:0`5?k26m3l07d?me;29 17a28h=7c:>e;33?>o6jm0;6):>f;3a2>h39l0:=65f1ca94?"39o0:n;5a40g957=2d?=h4>5:9j5g>=83.?=k4>b79m04c=9?10e:18'04`=9k<0b9?j:058?l7f>3:1(9?i:0`5?k26m3;376a!26n39i<6`;1d83?>i4io0;6):>f;1a4>h39l0:76a!26n39i<6`;1d81?>i4im0;6):>f;1a4>h39l0876a!26n39i<6`;1d87?>i4ik0;6):>f;1a4>h39l0>76a!26n39i<6`;1d85?>i4i00;6):>f;1a4>h39l0<76a!26n39i<6`;1d8;?>i4i?0;6):>f;1a4>h39l0276a!26n39i<6`;1d8b?>i4i=0;6):>f;1a4>h39l0i76a!26n39i<6`;1d8`?>i4i;0;6):>f;1a4>h39l0o76a!26n39i<6`;1d8f?>i4i90;6):>f;1a4>h39l0m76a<9g83>!26n39i<6`;1d824>=h;0o1<7*;1g80f5=i<8o1=<54o2;`>5<#<8l1?o>4n53f>44<3f92n7>5$53e>6d73g>:i7?<;:m0=d<72->:j7=m0:l75`<6<21d?4750;&75c<4j91e8e;34?>i41?0;6):>f;1a4>h39l0:465`38794?"39o08n=5a40g95<=l?;o62a?7e32e85<4?:%62b?5e82d?=h4>c:9l7<6=83.?=k46i:18'04`=;k:0b9?j:0g8?j5?m3:1(9?i:2`3?k26m3;m76a<8e83>!26n39i<6`;1d814>=h;1i1<7*;1g80f5=i<8o1><54o2:a>5<#<8l1?o>4n53f>74<3f93m7>5$53e>6d73g>:i7<<;:m0<<<72->:j7=m0:l75`<5<21d?5650;&75c<4j91e8e;04?>i4j<0;6):>f;1a4>h39l09465`3c694?"39o08n=5a40g96<=l?;o62a?4e32e8m54?:%62b?5e82d?=h4=c:9l77=:18'04`=;k:0b9?j:3g8?j5??3:1(9?i:2`3?k26m38m76gnf;29 17a2ho0b9?j:198mdb=83.?=k4ne:l75`<632cjo7>5$53e>dcofi3:1(9?i:`g8j17b2=10el750;&75c3=h39l0<76gn6;29 17a2ho0b9?j:998md3=83.?=k4ne:l75`<>32cj?7>5$53e>dcof93:1(9?i:`g8j17b2j10el>50;&75c`=h39l0m76g6d;29 17a2ho0b9?j:028?l?d290/82:9j=d<72->:j7oj;o62a?7432ci;7>5$53e>dc5<#<8l1mh5a40g950=h39l0::65fb583>!26n3kn7c:>e;34?>oe;3:1(9?i:`g8j17b28207dl=:18'04`=il1e8:i7?m;:kb0?6=,=;m6lk4n53f>4e<3`326=4+40d9e`=i<8o1=i54o534>5<#<8l18<84n53f>5=6=4+40d90405<#<8l18<84n53f>7=54o531>5<#<8l18<84n53f>1=5<#<8l18<84n53f>3=5<#<8l18<84n53f>==5<#<8l18<84n53f>d=5<#<8l18<84n53f>f=5<#<8l18<84n53f>`=5<#<8l18<84n53f>46<3f>;87>5$53e>1713g>:i7?>;:m747<72->:j7:>6:l75`<6:21d8=?50;&75c<39?1e807b=if;29 17a2=;=7c:>e;36?>i4nl0;6):>f;622>h39l0::65`3gf94?"39o0?=;5a40g952=32e8jl4?:%62b?26>2d?=h4>a:9l7c?=83.?=k4;179m04c=9k10c>h8:18'04`=<8<0b9?j:0a8?j5a>3:1(9?i:535?k26m3;o76a!26n3>::6`;1d82a>=h;o>1<7*;1g8753=i<8o1=k54o2d0>5<#<8l18<84n53f>76<3f9m>7>5$53e>1713g>:i7<>;:m0b4<72->:j7:>6:l75`<5:21d?k>50;&75c<39?1e807b=je;29 17a2=;=7c:>e;06?>i39m0;6):>f;622>h39l09:65`40a94?"39o0?=;5a40g962=32e?=44?:%62b?26>2d?=h4=a:9l04>=83.?=k4;179m04c=:k10c9>j:18'04`=<8<0b9?j:3a8?j27;3:1(9?i:535?k26m38o76a!26n3>::6`;1d81a>=h;ln1<7*;1g8753=i<8o1>k54ibd94?"39o0hi6`;1d83?>odl3:1(9?i:bg8j17b2810enm50;&75c6=h39l0?76gl9;29 17a2jo0b9?j:498mf>=83.?=k4le:l75`<132ch;7>5$53e>fcod=3:1(9?i:bg8j17b2010en=50;&75cg=h39l0h76gl0;29 17a2jo0b9?j:e98mg`=83.?=k4le:l75`5$53e>fc=njj0;6):>f;af?k26m3;:76gmb;29 17a2jo0b9?j:008?ldf290/84:9j`3<72->:j7mj;o62a?7232co97>5$53e>fc5<#<8l1oh5a40g952=h39l0:465fd383>!26n3in7c:>e;3:?>oc93:1(9?i:bg8j17b28k07dj?:18'04`=kl1e8:i7?k;:k2<2<72->:j7?76:l75`<732c:484?:%62b?7?>2d?=h4>;:k2<1<72->:j7?76:l75`<532wi98=50;3b5?6=8r.??l4=959K01?<@=9o7W?;c;3301=0:03>76l:95911<6n32:6:j51b867?1e28h18h470;71>x"40:0::8k4nc:95>h68?0:7c??c;28j46c281/==k53648 46a2;2h7c?=4;38j42e281/=9j529a8j4>4281e=5651:l2e6<63g82?7?4n3`7>4=#:kl1>l:4n3a3>4=i;181<6*n?4$563>6123->?=7=89:m0fd<722c?>l4?::k2=6<722c:5<4?::m1g6<722c:584?::k76`<722c9o?4?::k2=1<722c?>o4?::k2=f<722e:4<4?::k763<722c:5;4?::m77g<722e8no4?::k76=<722c?>:4?::k2==<722c?>n4?::k2=7<722c:5=4?::k2=c<722c:4k4?::k76<<722e8494?::k2=2<722c:5o4?::k2<7<722c:4=4?::k76a<722c:5l4?::k76c<722eni7>5$53e>`bibj3:1(9?i:df8j17b2;10cho50;&75c1=h39l0>76aj7;29 17a2ln0b9?j:798k`0=83.?=k4jd:l75`<032en97>5$53e>`bib:3:1(9?i:df8j17b2h10ch?50;&75cf=h39l0o76ake;29 17a2ln0b9?j:d98kab=83.?=k4jd:l75`5$53e>`b4;nfa>5<#<8l1ii5a40g954=h39l0:>65`d883>!26n3oo7c:>e;30?>ia>3:1(9?i:df8j17b28>07bh::18'04`=mm1e821dj>4?:%62b?cc3g>:i7?8;:me6?6=,=;m6hj4n53f>4><3fl:6=4+40d9aa=i<8o1=454og294?"39o0nh6`;1d82e>=hmo0;6):>f;gg?k26m3;i76aj3;29 17a2ln0b9?j:0a8?jb?290/86>:18'04`=;1:0b9?j:198m61a290/89j:18'04`=;1:0b9?j:398m61c290/89l:18'04`=;1:0b9?j:598m61e290/89n:18'04`=;1:0b9?j:798m6c1290/8k;:18'04`=;l?0b9?j:098m6c4290/8k=:18'04`=;l?0b9?j:298m6c6290/8k?:18'04`=;l?0b9?j:498m6ba290/8jj:18'04`=;l?0b9?j:698m6bd290/8jm:18'04`=;l?0b9?j:898m6bf290/8j6:18'04`=;l?0b9?j:c98m6b?290/8j8:18'04`=;l?0b9?j:e98m6b1290/8j::18'04`=;l?0b9?j:g98m6b3290/87c:>e;32?>o4l80;6):>f;1f1>h39l0:>65f3e294?"39o08i85a40g956=k:;o62a?7232c8oi4?:%62b?5b=2d?=h4>6:9j7fe=83.?=k410e>mm:18'04`=;l?0b9?j:0:8?l5di3:1(9?i:2g6?k26m3;276g!26n39n96`;1d82e>=n;j21<7*;1g80a0=i<8o1=o54i2a5>5<#<8l1?h;4n53f>4e<3`9h97>5$53e>6c23g>:i7?k;:k0g1<72->:j7=j5:l75`<6m21b?n=50;&75c<4m<1e87c:>e;02?>o4k90;6):>f;1f1>h39l09>65f3cd94?"39o08i85a40g966=k:;o62a?4232c8in4?:%62b?5b=2d?=h4=6:9j7`d=83.?=k410e>kn:18'04`=;l?0b9?j:3:8?l5b13:1(9?i:2g6?k26m38276g!26n39n96`;1d81e>=n;l=1<7*;1g80a0=i<8o1>o54i2fg>5<#<8l1?h;4n53f>7e<3`9o>7>5$53e>6c23g>:i7:j7=j5:l75`<5m21b?om50;&75c<4m<1e86:18'04`=99k0b9?j:098m46?290/88:18'04`=99k0b9?j:298m41>290/820b9?j:098m411290/820b9?j:298m413290/820b9?j:498m415290/8:18'04`=9>20b9?j:698m40a290/820b9?j:898m40c290/820b9?j:c98m40e290/820b9?j:e98m40>290/820b9?j:g98m400290/8e;32?>o6>=0;6):>f;34<>h39l0:>65f17194?"39o0:;55a40g956=6:9j50`=83.?=k4>799m04c=9>10e<;j:18'04`=9>20b9?j:0:8?l72l3:1(9?i:05;?k26m3;276g>5b83>!26n3;<46`;1d82e>=n95<#<8l1=:64n53f>4e<3`;>47>5$53e>41?3g>:i7?k;:k212<72->:j7?88:l75`<6m21b=8850;&75c<6?11e8e;02?>o6=:0;6):>f;34<>h39l09>65f14094?"39o0:;55a40g966=799m04c=:>10e<9k:18'04`=9>20b9?j:3:8?l70k3:1(9?i:05;?k26m38276g>7c83>!26n3;<46`;1d81e>=n9>k1<7*;1g823==i<8o1>o54i053>5<#<8l1=:64n53f>7e<3`;=97>5$53e>41?3g>:i7:j7?88:l75`<5m21b=9h50;&75c<6?11e8c290/8f290/8:18'04`=:k80b9?j:098m7d7290/810e<=8:18'04`=9;n0b9?j:998m451290/8:18'04`=9;n0b9?j:d98m457290/81883>!26n3;:46`;1d83?>o69>0;6):>f;32<>h39l0:76g>1783>!26n3;:46`;1d81?>o69<0;6):>f;32<>h39l0876g>1583>!26n3;:46`;1d87?>o69:0;6):>f;32<>h39l0>76g>1383>!26n3;:46`;1d85?>o6980;6):>f;32<>h39l0<76g>2383>!26n3;:46`;1d8;?>o6:80;6):>f;32<>h39l0276g>2183>!26n3;:46`;1d8b?>o69o0;6):>f;32<>h39l0i76g>1d83>!26n3;:46`;1d8`?>o69m0;6):>f;32<>h39l0o76g>1b83>!26n3;:46`;1d8f?>o69k0;6):>f;32<>h39l0m76g>1`83>!26n3;:46`;1d824>=n98:1<7*;1g825==i<8o1=<54o062>5<#<8l1=9>4n53f>5=5<#<8l1=9>4n53f>7=54o01`>5<#<8l1=9>4n53f>1=5<#<8l1=9>4n53f>3=5<#<8l1=9>4n53f>==26=4+40d95165<#<8l1=9>4n53f>d=<6=4+40d95165<#<8l1=9>4n53f>f=>6=4+40d95165<#<8l1=9>4n53f>`=86=4+40d95165<#<8l1=9>4n53f>46<3f;847>5$53e>4273g>:i7?>;:k2f2<72->:j7?m6:l75`<732c:n84?:%62b?7e>2d?=h4>;:k2f1<72->:j7?m6:l75`<532c:n>4?:%62b?7e>2d?=h4<;:k2f7<72->:j7?m6:l75`<332c:n=4?:%62b?7e>2d?=h4:;:k2ec<72->:j7?m6:l75`<132c:mh4?:%62b?7e>2d?=h48;:k2ea<72->:j7?m6:l75`2d?=h46;:k2eg<72->:j7?m6:l75`2d?=h4m;:k2e<<72->:j7?m6:l75`2d?=h4k;:k2e2<72->:j7?m6:l75`2d?=h4i;:k2f`<72->:j7?m6:l75`<6821b=oj50;&75c<6j?1e8e;30?>o6jh0;6):>f;3a2>h39l0:865f1c;94?"39o0:n;5a40g950=2d?=h4>8:9l7g7=83.?=k421d?l750;&75c<4j91e850;&75c<4j91e87j:18'04`=;k:0b9?j:038?j5>k3:1(9?i:2`3?k26m3;976a<9c83>!26n39i<6`;1d827>=h;0k1<7*;1g80f5=i<8o1=954o2;:>5<#<8l1?o>4n53f>43<3f9247>5$53e>6d73g>:i7?9;:m0=2<72->:j7=m0:l75`<6?21d?4850;&75c<4j91e8e;3b?>i41:0;6):>f;1a4>h39l0:n65`38394?"39o08n=5a40g95f=l?;o62a?7b32e84h4?:%62b?5e82d?=h4>f:9l7=b=83.?=k46l:18'04`=;k:0b9?j:338?j5?j3:1(9?i:2`3?k26m38976a<8`83>!26n39i<6`;1d817>=h;131<7*;1g80f5=i<8o1>954o2:;>5<#<8l1?o>4n53f>73<3f9i;7>5$53e>6d73g>:i7<9;:m0f3<72->:j7=m0:l75`<5?21d?o;50;&75c<4j91e8e;0b?>i4j;0;6):>f;1a4>h39l09n65`3`:94?"39o08n=5a40g96f=l?;o62a?4b32e84:4?:%62b?5e82d?=h4=f:9jec<72->:j7oj;o62a?6<3`ko6=4+40d9e`=i<8o1=65fab83>!26n3kn7c:>e;08?lge290/8:i7:4;hc:>5<#<8l1mh5a40g91>=ni10;6):>f;cf?k26m3<07do8:18'04`=il1e8:j7oj;o62a?><3`k>6=4+40d9e`=i<8o1565fa283>!26n3kn7c:>e;c8?lg5290/8:i7m4;hc3>5<#<8l1mh5a40g9`>=n1o0;6):>f;cf?k26m3o07d7j:18'04`=il1e8:j7oj;o62a?7732c2o7>5$53e>dc5<#<8l1mh5a40g957=h39l0:?65fb683>!26n3kn7c:>e;37?>oe>3:1(9?i:`g8j17b28?07dl::18'04`=il1e84?:%62b?gb3g>:i7?7;:ka6?6=,=;m6lk4n53f>4?<3`h:6=4+40d9e`=i<8o1=l54ic294?"39o0ji6`;1d82f>=ni=0;6):>f;cf?k26m3;h76g69;29 17a2ho0b9?j:0f8?j26?3:1(9?i:535?k26m3:07b:>5;29 17a2=;=7c:>e;38?j26<3:1(9?i:535?k26m3807b:>3;29 17a2=;=7c:>e;18?j26:3:1(9?i:535?k26m3>07b:>1;29 17a2=;=7c:>e;78?j2683:1(9?i:535?k26m3<07b:?f;29 17a2=;=7c:>e;58?j27l3:1(9?i:535?k26m3207b:?c;29 17a2=;=7c:>e;;8?j27j3:1(9?i:535?k26m3k07b:?a;29 17a2=;=7c:>e;`8?j2713:1(9?i:535?k26m3i07b:?8;29 17a2=;=7c:>e;f8?j27?3:1(9?i:535?k26m3o07b:?6;29 17a2=;=7c:>e;d8?j27=3:1(9?i:535?k26m3;;76a;0583>!26n3>::6`;1d825>=h<981<7*;1g8753=i<8o1=?54o522>5<#<8l18<84n53f>45<3f>;<7>5$53e>1713g>:i7?;;:m0bc<72->:j7:>6:l75`<6=21d?kk50;&75c<39?1e8e;3;?>i4nk0;6):>f;622>h39l0:565`3gc94?"39o0?=;5a40g95d=2d?=h4>d:9l7c3=83.?=k4;179m04c=9l10c>h;:18'04`=<8<0b9?j:0d8?j5a;3:1(9?i:535?k26m38;76a!26n3>::6`;1d815>=h;o;1<7*;1g8753=i<8o1>?54o2d3>5<#<8l18<84n53f>75<3f9nj7>5$53e>1713g>:i7<;;:m0a`<72->:j7:>6:l75`<5=21d8b;29 17a2=;=7c:>e;0;?>i39h0;6):>f;622>h39l09565`40;94?"39o0?=;5a40g96d=4?:%62b?26>2d?=h4=d:9l7c>=83.?=k4;179m04c=:l10c>kk:18'04`=<8<0b9?j:3d8?lea290/8:i7?4;ha`>5<#<8l1oh5a40g96>=nkk0;6):>f;af?k26m3907dmn:18'04`=kl1e8:j7mj;o62a?3<3`i36=4+40d9g`=i<8o1:65fc683>!26n3in7c:>e;58?le1290/8:i774;ha0>5<#<8l1oh5a40g9e>=nk;0;6):>f;af?k26m3h07dm>:18'04`=kl1e8:j7mj;o62a?b<3`hm6=4+40d9g`=i<8o1i65fbd83>!26n3in7c:>e;d8?ldc290/81:9jfg<72->:j7mj;o62a?7532cim7>5$53e>fc5<#<8l1oh5a40g951=h39l0:965fd483>!26n3in7c:>e;35?>oc<3:1(9?i:bg8j17b28=07dj<:18'04`=kl1e8:i7?n;:kg4?6=,=;m6nk4n53f>4d<3`i?6=4+40d9g`=i<8o1=n54ic;94?"39o0hi6`;1d82`>=n91=1<7*;1g82<3=i<8o1<65f19794?"39o0:4;5a40g95>=n91>1<7*;1g82<3=i<8o1>65rb477>5<6i80;6=u+42c96<2<@=>27E:02<>1=k471;5g>4e==:06113-;;j7<7c:l261<63g;?n7?4$06g>7>d3g;3?7?4n0:;>4=i9h91=6`=9282?k4e<3;0(?li:3c7?k4d83;0b>6=:19'7g?==2d?><4>;%606?4en2.??>41<75f43`94?=n90i1<75`19394?=n<;<1<75f18494?=h<:h1<75`3c`94?=n<;21<75f43594?=n9021<75f43a94?=n9081<75f18294?=n90l1<75f19d94?=n<;31<75`39694?=n90=1<75f18`94?=n9181<75f19294?=n<;n1<75f18c94?=n<;l1<75`ed83>!26n3oo7c:>e;28?jcd290/8:i7<4;ngb>5<#<8l1ii5a40g97>=hm00;6):>f;gg?k26m3>07bk7:18'04`=mm1e8:j7kk;o62a?0<3fo=6=4+40d9aa=i<8o1;65`e483>!26n3oo7c:>e;:8?jc3290/8:i7o4;ng2>5<#<8l1ii5a40g9f>=hm90;6):>f;gg?k26m3i07bji:18'04`=mm1e8:j7kk;o62a?c<3fno6=4+40d9aa=i<8o1j65`db83>!26n3oo7c:>e;33?>icj3:1(9?i:df8j17b28;07bjn:18'04`=mm1e8:i7?;;:me1?6=,=;m6hj4n53f>43<3fl?6=4+40d9aa=i<8o1=;54og194?"39o0nh6`;1d823>=hn;0;6):>f;gg?k26m3;376ai1;29 17a2ln0b9?j:0;8?j`7290/8b:9la6<72->:j7kk;o62a?7d32eo47>5$53e>`b6?;o62a?6<3`95$53e>6>73g>:i7?4;h14a?6=,=;m6>6?;o62a?4<3`95$53e>6>73g>:i7=4;h14g?6=,=;m6>6?;o62a?2<3`95$53e>6>73g>:i7;4;h14e?6=,=;m6>6?;o62a?0<3`9n:7>5$53e>6c23g>:i7>4;h1f0?6=,=;m6>k:;o62a?7<3`9n?7>5$53e>6c23g>:i7<4;h1f6?6=,=;m6>k:;o62a?5<3`9n=7>5$53e>6c23g>:i7:4;h1f4?6=,=;m6>k:;o62a?3<3`9oj7>5$53e>6c23g>:i784;h1ga?6=,=;m6>k:;o62a?1<3`9oo7>5$53e>6c23g>:i764;h1gf?6=,=;m6>k:;o62a??<3`9om7>5$53e>6c23g>:i7o4;h1g=?6=,=;m6>k:;o62a?d<3`9o47>5$53e>6c23g>:i7m4;h1g3?6=,=;m6>k:;o62a?b<3`9o:7>5$53e>6c23g>:i7k4;h1g1?6=,=;m6>k:;o62a?`<3`9o87>5$53e>6c23g>:i7??;:k0`6<72->:j7=j5:l75`<6921b?i?50;&75c<4m<1e87c:>e;37?>o4kl0;6):>f;1f1>h39l0:965f3bf94?"39o08i85a40g953=k:;o62a?7?32c8ol4?:%62b?5b=2d?=h4>9:9j7f?=83.?=k4m7:18'04`=;l?0b9?j:0`8?l5d>3:1(9?i:2g6?k26m3;h76g!26n39n96`;1d82`>=n;j>1<7*;1g80a0=i<8o1=h54i2a0>5<#<8l1?h;4n53f>4`<3`9h>7>5$53e>6c23g>:i7:j7=j5:l75`<5921b?n>50;&75c<4m<1e87c:>e;07?>o4jm0;6):>f;1f1>h39l09965f3da94?"39o08i85a40g963=k:;o62a?4?32c8i44?:%62b?5b=2d?=h4=9:9j7`>=83.?=k4k8:18'04`=;l?0b9?j:3`8?l5cl3:1(9?i:2g6?k26m38h76g!26n39n96`;1d81`>=n;j=1<7*;1g80a0=i<8o1>h54i2``>5<#<8l1?h;4n53f>7`<3`;;n7>5$53e>46f3g>:i7>4;h33=?6=,=;m6<>n;o62a?7<3`;;47>5$53e>46f3g>:i7<4;h333?6=,=;m6<>n;o62a?5<3`;<57>5$53e>41?3g>:i7>4;h343?6=,=;m6<97;o62a?7<3`;<:7>5$53e>41?3g>:i7<4;h341?6=,=;m6<97;o62a?5<3`;<87>5$53e>41?3g>:i7:4;h347?6=,=;m6<97;o62a?3<3`;<>7>5$53e>41?3g>:i784;h345?6=,=;m6<97;o62a?1<3`;=j7>5$53e>41?3g>:i764;h35a?6=,=;m6<97;o62a??<3`;=h7>5$53e>41?3g>:i7o4;h35g?6=,=;m6<97;o62a?d<3`;=n7>5$53e>41?3g>:i7m4;h35e?6=,=;m6<97;o62a?b<3`;=57>5$53e>41?3g>:i7k4;h355$53e>41?3g>:i7??;:k223<72->:j7?88:l75`<6921b=;:50;&75c<6?11e8e;37?>o6>80;6):>f;34<>h39l0:965f17294?"39o0:;55a40g953=9:9j50e=83.?=k4>799m04c=9h10e<;m:18'04`=9>20b9?j:0`8?l7213:1(9?i:05;?k26m3;h76g>5983>!26n3;<46`;1d82`>=n9<=1<7*;1g823==i<8o1=h54i075>5<#<8l1=:64n53f>4`<3`;>97>5$53e>41?3g>:i7:j7?88:l75`<5921b=8=50;&75c<6?11e8e;07?>o6=90;6):>f;34<>h39l09965f16d94?"39o0:;55a40g963=799m04c=:h10e<9n:18'04`=9>20b9?j:3`8?l7083:1(9?i:05;?k26m38h76g>6483>!26n3;<46`;1d81`>=n9h54i06e>5<#<8l1=:64n53f>7`<3f;3h7>5$53e>4>d3g>:i7>4;n3;f?6=,=;m6<6l;o62a?7<3f;3m7>5$53e>4>d3g>:i7<4;n3;=?6=,=;m6<6l;o62a?5<3`8i?7>5$53e>7d53g>:i7>4;h0a5?6=,=;m6?l=;o62a?7<3`8i<7>5$53e>7d53g>:i7<4;h0bb?6=,=;m6?l=;o62a?5<3`8ji7>5$53e>7d53g>:i7:4;h31a?6=,=;m6<5$53e>44c3g>:i7?4;h31f?6=,=;m6<5$53e>44c3g>:i7=4;h31=?6=,=;m6<5$53e>44c3g>:i7;4;h313?6=,=;m6<5$53e>44c3g>:i794;h303?6=,=;m6<<3`;8:7>5$53e>44c3g>:i774;h301?6=,=;m6<5$53e>44c3g>:i7l4;h307?6=,=;m6<7>5$53e>44c3g>:i7j4;h305?6=,=;m6<5$53e>44c3g>:i7h4;h31b?6=,=;m6<84?:%62b?75l2d?=h4>1:9j54?=83.?=k4>199m04c=821b=<950;&75c<6911e8199m04c=:21b=<;50;&75c<6911e8199m04c=<21b=<=50;&75c<6911e8199m04c=>21b=199m04c=021b=??50;&75c<6911e8199m04c=i21b=199m04c=k21b=199m04c=m21b=199m04c=9910e;7c:>e;38?j74m3:1(9?i:063?k26m3807b?;7c:>e;18?j74k3:1(9?i:063?k26m3>07b?;7c:>e;78?j74i3:1(9?i:063?k26m3<07b?<9;29 17a28>;7c:>e;58?j73i3:1(9?i:063?k26m3207b?;9;29 17a28>;7c:>e;;8?j7303:1(9?i:063?k26m3k07b?;7;29 17a28>;7c:>e;`8?j73>3:1(9?i:063?k26m3i07b?;5;29 17a28>;7c:>e;f8?j73<3:1(9?i:063?k26m3o07b?;3;29 17a28>;7c:>e;d8?j73:3:1(9?i:063?k26m3;;76a>3983>!26n3;?<6`;1d825>=n9k=1<7*;1g82f3=i<8o1<65f1c794?"39o0:n;5a40g95>=n9k>1<7*;1g82f3=i<8o1>65f1c194?"39o0:n;5a40g97>=n9k81<7*;1g82f3=i<8o1865f1c294?"39o0:n;5a40g91>=n9hl1<7*;1g82f3=i<8o1:65f1`g94?"39o0:n;5a40g93>=n9hn1<7*;1g82f3=i<8o1465f1`a94?"39o0:n;5a40g9=>=n9hh1<7*;1g82f3=i<8o1m65f1`c94?"39o0:n;5a40g9f>=n9h31<7*;1g82f3=i<8o1o65f1`:94?"39o0:n;5a40g9`>=n9h=1<7*;1g82f3=i<8o1i65f1cd94?"39o0:n;5a40g9b>=n9ko1<7*;1g82f3=i<8o1==54i0`g>5<#<8l1=o84n53f>47<3`;io7>5$53e>4d13g>:i7?=;:k2fg<72->:j7?m6:l75`<6;21b=oo50;&75c<6j?1e8290/8e;35?>o6j80;6):>f;3a2>h39l0:;65f1`494?"39o0:n;5a40g95==5<#<8l1?o>4n53f>4=5<#<8l1?o>4n53f>6=5<#<8l1?o>4n53f>0=5<#<8l1?o>4n53f>2=5<#<8l1?o>4n53f><=6=4+40d97g65<#<8l1?o>4n53f>g=5<#<8l1?o>4n53f>a=5<#<8l1?o>4n53f>c=4;n1:a?6=,=;m6>l?;o62a?7632e85n4?:%62b?5e82d?=h4>2:9l77n:18'04`=;k:0b9?j:068?j5>13:1(9?i:2`3?k26m3;>76a<9983>!26n39i<6`;1d822>=h;0=1<7*;1g80f5=i<8o1=:54o2;5>5<#<8l1?o>4n53f>4><3f9297>5$53e>6d73g>:i7?6;:m0=1<72->:j7=m0:l75`<6i21d?4=50;&75c<4j91e8e;3g?>i40o0;6):>f;1a4>h39l0:i65`39g94?"39o08n=5a40g95c=4;n1;g?6=,=;m6>l?;o62a?4632e84o4?:%62b?5e82d?=h4=2:9l7=g=83.?=k466:18'04`=;k:0b9?j:368?j5?03:1(9?i:2`3?k26m38>76a!26n39i<6`;1d812>=h;k<1<7*;1g80f5=i<8o1>:54o2`6>5<#<8l1?o>4n53f>7><3f9i87>5$53e>6d73g>:i7<6;:m0f6<72->:j7=m0:l75`<5i21d?o<50;&75c<4j91e8e;0g?>i41;0;6):>f;1a4>h39l09i65`39594?"39o08n=5a40g96c=h39l0;76gnd;29 17a2ho0b9?j:098mde=83.?=k4ne:l75`<532cjn7>5$53e>dc54i`c94?"39o0ji6`;1d87?>of13:1(9?i:`g8j17b2<10el650;&75c2=h39l0376gn5;29 17a2ho0b9?j:898md5=83.?=k4ne:l75`7>5$53e>dcof83:1(9?i:`g8j17b2m10e4h50;&75cc=h39l0:<65f9b83>!26n3kn7c:>e;32?>o>j3:1(9?i:`g8j17b28807d7n:18'04`=il1e8:i7?:;:ka1?6=,=;m6lk4n53f>40<3`h?6=4+40d9e`=i<8o1=:54ic194?"39o0ji6`;1d82<>=nj;0;6):>f;cf?k26m3;276gm1;29 17a2ho0b9?j:0c8?ld7290/8c:9j=<<72->:j7oj;o62a?7c32e?=:4?:%62b?26>2d?=h4?;:m750<72->:j7:>6:l75`<632e?=94?:%62b?26>2d?=h4=;:m756<72->:j7:>6:l75`<432e?=?4?:%62b?26>2d?=h4;;:m754<72->:j7:>6:l75`<232e?==4?:%62b?26>2d?=h49;:m74c<72->:j7:>6:l75`<032e?2d?=h47;:m74f<72->:j7:>6:l75`<>32e?2d?=h4n;:m74d<72->:j7:>6:l75`2d?=h4l;:m74=<72->:j7:>6:l75`2d?=h4j;:m743<72->:j7:>6:l75`2d?=h4>0:9l052=83.?=k4;179m04c=9810c9>=:18'04`=<8<0b9?j:008?j2793:1(9?i:535?k26m3;876a;0183>!26n3>::6`;1d820>=h;ol1<7*;1g8753=i<8o1=854o2df>5<#<8l18<84n53f>40<3f9mh7>5$53e>1713g>:i7?8;:m0bf<72->:j7:>6:l75`<6021d?kl50;&75c<39?1e8e;3a?>i4n>0;6):>f;622>h39l0:o65`3g494?"39o0?=;5a40g95a=6=4+40d90404?:%62b?26>2d?=h4=0:9l7c4=83.?=k4;179m04c=:810c>h>:18'04`=<8<0b9?j:308?j5a83:1(9?i:535?k26m38876a!26n3>::6`;1d810>=h;lo1<7*;1g8753=i<8o1>854o53g>5<#<8l18<84n53f>70<3f>:o7>5$53e>1713g>:i7<8;:m75g<72->:j7:>6:l75`<5021d8290/88;29 17a2=;=7c:>e;0a?>i38l0;6):>f;622>h39l09o65`41194?"39o0?=;5a40g96a=5$53e>fcodk3:1(9?i:bg8j17b2;10enl50;&75c1=h39l0>76gl8;29 17a2jo0b9?j:798mf1=83.?=k4le:l75`<032ch:7>5$53e>fcod;3:1(9?i:bg8j17b2h10en<50;&75cf=h39l0o76gmf;29 17a2jo0b9?j:d98mgc=83.?=k4le:l75`5$53e>fc4;h``>5<#<8l1oh5a40g954=h39l0:>65fb`83>!26n3in7c:>e;30?>oc?3:1(9?i:bg8j17b28>07dj9:18'04`=kl1e821bh94?:%62b?eb3g>:i7?8;:kg7?6=,=;m6nk4n53f>4><3`n96=4+40d9g`=i<8o1=454ie394?"39o0hi6`;1d82e>=nl90;6):>f;af?k26m3;i76gl4;29 17a2jo0b9?j:0a8?ld>290/82290/8=4=0j03;7;;:0d9<4<0l3;h68=57c82f?2b21:19?4r$2:0>402m2di47?4n025>4=i99i1<6`>0e82?!77m39<:6*>0g811=6`>4c82?!73l383o6`>8282?k7?03;0b;%0ab?4f<2d9o=4>;o1;6?6<,:h2685a43395>"3;;09nk5+42197g?<,=9n69=6;%60b?4d92.?8=4<749'017=;>30c>ln:188m14f2900e<7<:188m4?62900c?m<:188m4?22900e962900e9<9:188m4?12900c9=m:188k6de2900e9<7:188m1402900e<77:188m14d2900e<7=:188m4?72900e<7i:188m4>a2900e9<6:188k6>32900e<78:188m4?e2900e<6=:188m4>72900e95$53e>`bibi3:1(9?i:df8j17b2:10ch750;&75c0=h39l0=76aj6;29 17a2ln0b9?j:698k`3=83.?=k4jd:l75`5$53e>`bib93:1(9?i:df8j17b2k10ch>50;&75ca=h39l0n76akd;29 17a2ln0b9?j:g98kae=83.?=k4jd:l75`<6821dho4?:%62b?cc3g>:i7?>;:mge?6=,=;m6hj4n53f>44<3fn26=4+40d9aa=i<8o1=>54og494?"39o0nh6`;1d820>=hn<0;6):>f;gg?k26m3;>76ai4;29 17a2ln0b9?j:048?j`4290/810ck<50;&75c8:9lb4<72->:j7kk;o62a?7>32em<7>5$53e>`b5<#<8l1ii5a40g95g=h39l0:o65`d983>!26n3oo7c:>e;3g?>o4080;6):>f;1;4>h39l0;76g<7g83>!26n393<6`;1d82?>o4?l0;6):>f;1;4>h39l0976g<7e83>!26n393<6`;1d80?>o4?j0;6):>f;1;4>h39l0?76g<7c83>!26n393<6`;1d86?>o4?h0;6):>f;1;4>h39l0=76g!26n39n96`;1d83?>o4m=0;6):>f;1f1>h39l0:76g!26n39n96`;1d81?>o4m;0;6):>f;1f1>h39l0876g!26n39n96`;1d87?>o4m90;6):>f;1f1>h39l0>76g!26n39n96`;1d85?>o4ll0;6):>f;1f1>h39l0<76g!26n39n96`;1d8;?>o4lk0;6):>f;1f1>h39l0276g!26n39n96`;1d8b?>o4l00;6):>f;1f1>h39l0i76g!26n39n96`;1d8`?>o4l>0;6):>f;1f1>h39l0o76g!26n39n96`;1d8f?>o4l<0;6):>f;1f1>h39l0m76g!26n39n96`;1d824>=n;m91<7*;1g80a0=i<8o1=<54i2f2>5<#<8l1?h;4n53f>44<3`9o<7>5$53e>6c23g>:i7?<;:k0gc<72->:j7=j5:l75`<6<21b?nk50;&75c<4m<1e87c:>e;34?>o4kk0;6):>f;1f1>h39l0:465f3bc94?"39o08i85a40g95<=k:;o62a?7e32c8o;4?:%62b?5b=2d?=h4>c:9j7f3=83.?=k4m;:18'04`=;l?0b9?j:0g8?l5d;3:1(9?i:2g6?k26m3;m76g!26n39n96`;1d814>=n;j;1<7*;1g80a0=i<8o1><54i2a3>5<#<8l1?h;4n53f>74<3`9ij7>5$53e>6c23g>:i7<<;:k0f`<72->:j7=j5:l75`<5<21b?oj50;&75c<4m<1e87c:>e;04?>o4mh0;6):>f;1f1>h39l09465f3d;94?"39o08i85a40g96<=k:;o62a?4e32c8hi4?:%62b?5b=2d?=h4=c:9j7a4=83.?=k4m8:18'04`=;l?0b9?j:3g8?l5ek3:1(9?i:2g6?k26m38m76g>0c83>!26n3;;m6`;1d83?>o6800;6):>f;33e>h39l0:76g>0983>!26n3;;m6`;1d81?>o68>0;6):>f;33e>h39l0876g>7883>!26n3;<46`;1d83?>o6?>0;6):>f;34<>h39l0:76g>7783>!26n3;<46`;1d81?>o6?<0;6):>f;34<>h39l0876g>7583>!26n3;<46`;1d87?>o6?:0;6):>f;34<>h39l0>76g>7383>!26n3;<46`;1d85?>o6?80;6):>f;34<>h39l0<76g>6g83>!26n3;<46`;1d8;?>o6>l0;6):>f;34<>h39l0276g>6e83>!26n3;<46`;1d8b?>o6>j0;6):>f;34<>h39l0i76g>6c83>!26n3;<46`;1d8`?>o6>h0;6):>f;34<>h39l0o76g>6883>!26n3;<46`;1d8f?>o6>10;6):>f;34<>h39l0m76g>6683>!26n3;<46`;1d824>=n9?<1<7*;1g823==i<8o1=<54i047>5<#<8l1=:64n53f>44<3`;=?7>5$53e>41?3g>:i7?<;:k227<72->:j7?88:l75`<6<21b=;?50;&75c<6?11e8e;34?>o6=l0;6):>f;34<>h39l0:465f14f94?"39o0:;55a40g95<=c:9j50>=83.?=k4>799m04c=9m10e<;8:18'04`=9>20b9?j:0g8?l72>3:1(9?i:05;?k26m3;m76g>5483>!26n3;<46`;1d814>=n9<>1<7*;1g823==i<8o1><54i070>5<#<8l1=:64n53f>74<3`;>>7>5$53e>41?3g>:i7<<;:k214<72->:j7?88:l75`<5<21b=8>50;&75c<6?11e8e;04?>o6?m0;6):>f;34<>h39l09465f16a94?"39o0:;55a40g96<=799m04c=:m10e<;n:18'04`=9>20b9?j:3g8?l73n3:1(9?i:05;?k26m38m76a>8e83>!26n3;3o6`;1d83?>i60k0;6):>f;3;g>h39l0:76a>8`83>!26n3;3o6`;1d81?>i6000;6):>f;3;g>h39l0876g=b283>!26n38i>6`;1d83?>o5j80;6):>f;0a6>h39l0:76g=b183>!26n38i>6`;1d81?>o5io0;6):>f;0a6>h39l0876g=ad83>!26n38i>6`;1d87?>o6:l0;6):>f;31`>h39l0;76g>2b83>!26n3;9h6`;1d82?>o6:k0;6):>f;31`>h39l0976g>2`83>!26n3;9h6`;1d80?>o6:00;6):>f;31`>h39l0?76g>2983>!26n3;9h6`;1d86?>o6:>0;6):>f;31`>h39l0=76g>2783>!26n3;9h6`;1d84?>o6;>0;6):>f;31`>h39l0376g>3783>!26n3;9h6`;1d8:?>o6;<0;6):>f;31`>h39l0j76g>3583>!26n3;9h6`;1d8a?>o6;:0;6):>f;31`>h39l0h76g>3383>!26n3;9h6`;1d8g?>o6;80;6):>f;31`>h39l0n76g>3183>!26n3;9h6`;1d8e?>o6:o0;6):>f;31`>h39l0:<65f13794?"39o0:>i5a40g954=5<#<8l1=<64n53f>4=5<#<8l1=<64n53f>6=5<#<8l1=<64n53f>0=5<#<8l1=<64n53f>2=5<#<8l1=<64n53f><=5<#<8l1=<64n53f>g=5<#<8l1=<64n53f>a=5<#<8l1=<64n53f>c=4;h324?6=,=;m6:j7?;0:l75`<632e:?h4?:%62b?7382d?=h4=;:m27a<72->:j7?;0:l75`<432e:?n4?:%62b?7382d?=h4;;:m27g<72->:j7?;0:l75`<232e:?l4?:%62b?7382d?=h49;:m27<<72->:j7?;0:l75`<032e:8l4?:%62b?7382d?=h47;:m20<<72->:j7?;0:l75`<>32e:854?:%62b?7382d?=h4n;:m202<72->:j7?;0:l75`:j7?;0:l75`:j7?;0:l75`0:9l56>=83.?=k4>419m04c=9810e10ebb83>!26n3;i:6`;1d826>=n9kh1<7*;1g82f3=i<8o1=>54i0`b>5<#<8l1=o84n53f>42<3`;i57>5$53e>4d13g>:i7?:;:k2f=<72->:j7?m6:l75`<6>21b=o?50;&75c<6j?1e8e;28?j5fn3:1(9?i:2`3?k26m3;07b=ne;29 17a2:h;7c:>e;08?j5fl3:1(9?i:2`3?k26m3907b=nc;29 17a2:h;7c:>e;68?j5fj3:1(9?i:2`3?k26m3?07b=na;29 17a2:h;7c:>e;48?j5f13:1(9?i:2`3?k26m3=07b=n7;29 17a2:h;7c:>e;:8?j5f>3:1(9?i:2`3?k26m3307b=n5;29 17a2:h;7c:>e;c8?j5f<3:1(9?i:2`3?k26m3h07b=n3;29 17a2:h;7c:>e;a8?j5f:3:1(9?i:2`3?k26m3n07b=n1;29 17a2:h;7c:>e;g8?j5f83:1(9?i:2`3?k26m3l07b=6f;29 17a2:h;7c:>e;33?>i41l0;6):>f;1a4>h39l0:=65`38a94?"39o08n=5a40g957=l?;o62a?7332e8544?:%62b?5e82d?=h4>5:9l7<>=83.?=k478:18'04`=;k:0b9?j:058?j5>>3:1(9?i:2`3?k26m3;376a<9483>!26n39i<6`;1d82=>=h;0>1<7*;1g80f5=i<8o1=l54o2;0>5<#<8l1?o>4n53f>4d<3f92=7>5$53e>6d73g>:i7?l;:m0=5<72->:j7=m0:l75`<6l21d?5h50;&75c<4j91e8b290/8e;03?>i40j0;6):>f;1a4>h39l09=65`39`94?"39o08n=5a40g967=l?;o62a?4332e8454?:%62b?5e82d?=h4=5:9l7g1=83.?=k4l9:18'04`=;k:0b9?j:358?j5e=3:1(9?i:2`3?k26m38376a!26n39i<6`;1d81=>=h;k91<7*;1g80f5=i<8o1>l54o2`1>5<#<8l1?o>4n53f>7d<3f9j47>5$53e>6d73g>:i7:j7=m0:l75`<5l21d?4<50;&75c<4j91e80290/8:j7oj;o62a?7<3`kh6=4+40d9e`=i<8o1>65fac83>!26n3kn7c:>e;18?lgf290/8:i7;4;hc;>5<#<8l1mh5a40g92>=ni>0;6):>f;cf?k26m3=07do9:18'04`=il1e8:j7oj;o62a??<3`k86=4+40d9e`=i<8o1m65fa383>!26n3kn7c:>e;`8?lg6290/8:i7j4;h;e>5<#<8l1mh5a40g9a>=n1l0;6):>f;cf?k26m3l07d7k:18'04`=il1e8:i7?=;:k:e?6=,=;m6lk4n53f>45<3`h<6=4+40d9e`=i<8o1=954ic494?"39o0ji6`;1d821>=nj<0;6):>f;cf?k26m3;=76gm4;29 17a2ho0b9?j:058?ld4290/89:9jf4<72->:j7oj;o62a?7f32ci<7>5$53e>dc5<#<8l1mh5a40g95f=h39l0:h65`40594?"39o0?=;5a40g94>=h<8?1<7*;1g8753=i<8o1=65`40694?"39o0?=;5a40g96>=h<891<7*;1g8753=i<8o1?65`40094?"39o0?=;5a40g90>=h<8;1<7*;1g8753=i<8o1965`40294?"39o0?=;5a40g92>=h<9l1<7*;1g8753=i<8o1;65`41f94?"39o0?=;5a40g9<>=h<9i1<7*;1g8753=i<8o1565`41`94?"39o0?=;5a40g9e>=h<9k1<7*;1g8753=i<8o1n65`41;94?"39o0?=;5a40g9g>=h<921<7*;1g8753=i<8o1h65`41594?"39o0?=;5a40g9a>=h<9<1<7*;1g8753=i<8o1j65`41794?"39o0?=;5a40g955=2d?=h4>3:9l056=83.?=k4;179m04c=9=10c>hi:18'04`=<8<0b9?j:078?j5am3:1(9?i:535?k26m3;=76a!26n3>::6`;1d823>=h;oi1<7*;1g8753=i<8o1=554o2da>5<#<8l18<84n53f>4?<3f9mm7>5$53e>1713g>:i7?n;:m0b<<72->:j7:>6:l75`<6j21d?k950;&75c<39?1e8e;3f?>i4n=0;6):>f;622>h39l0:j65`3g194?"39o0?=;5a40g965=2d?=h4=3:9l7``=83.?=k4;179m04c=:=10c>kj:18'04`=<8<0b9?j:378?j26l3:1(9?i:535?k26m38=76a;1b83>!26n3>::6`;1d813>=h<8h1<7*;1g8753=i<8o1>554o53b>5<#<8l18<84n53f>7?<3f>:57>5$53e>1713g>:i7:j7:>6:l75`<5j21d8=k50;&75c<39?1e8e;0f?>i4mm0;6):>f;622>h39l09j65fcg83>!26n3in7c:>e;28?lec290/8:i7<4;haa>5<#<8l1oh5a40g97>=nkh0;6):>f;af?k26m3>07dm6:18'04`=kl1e8:j7mj;o62a?0<3`i<6=4+40d9g`=i<8o1;65fc783>!26n3in7c:>e;:8?le2290/84?:%62b?eb3g>:i7o4;ha1>5<#<8l1oh5a40g9f>=nk80;6):>f;af?k26m3i07dm?:18'04`=kl1e8:j7mj;o62a?c<3`hn6=4+40d9g`=i<8o1j65fbe83>!26n3in7c:>e;33?>oek3:1(9?i:bg8j17b28;07dlm:18'04`=kl1e8:i7?;;:kg2?6=,=;m6nk4n53f>43<3`n>6=4+40d9g`=i<8o1=;54ie694?"39o0hi6`;1d823>=nl:0;6):>f;af?k26m3;376gk2;29 17a2jo0b9?j:0;8?lb6290/850;&75cb:9jg1<72->:j7mj;o62a?7d32ci57>5$53e>fc5$53e>4>13g>:i7?4;h3;0?6=,=;m6<69;o62a?4<3th>9;4?:0c2>5<7s->8m7<64:J70<=O<:n0V<:l:02x12c;70>2d=9k0?i76?:409y!5?;3;=9h5ab982?k77>3;0b<>l:19m55b=92.:;o3b7?7"5jo09m95a2b295>h40;0;7)=m9;78j146281/8><52cd8 1542:h27):"3;o09o<5+4529723<,=>:6>96;n1ae?6=3`>9m7>5;h3:7?6=3`;2=7>5;n0`7?6=3`;297>5;h61a?6=3`8h>7>5;h3:0?6=3`>9n7>5;h3:g?6=3f;3=7>5;h612?6=3`;2:7>5;n60f?6=3f9in7>5;h619;7>5;h3:9o7>5;h3:6?6=3`;2<7>5;h3:b?6=3`;3j7>5;h61=?6=3f9387>5;h3:3?6=3`;2n7>5;h3;6?6=3`;3<7>5;h61`?6=3`;2m7>5;h61b?6=3fon6=4+40d9aa=i<8o1<65`eb83>!26n3oo7c:>e;38?jce290/8:i7=4;ng:>5<#<8l1ii5a40g90>=hm10;6):>f;gg?k26m3?07bk8:18'04`=mm1e8:j7kk;o62a?1<3fo>6=4+40d9aa=i<8o1465`e583>!26n3oo7c:>e;;8?jc5290/8:i7l4;ng3>5<#<8l1ii5a40g9g>=hlo0;6):>f;gg?k26m3n07bjj:18'04`=mm1e8:j7kk;o62a?`<3fnh6=4+40d9aa=i<8o1==54oe`94?"39o0nh6`;1d825>=hlh0;6):>f;gg?k26m3;976ak9;29 17a2ln0b9?j:018?j`1290/85:9lb1<72->:j7kk;o62a?7132em?7>5$53e>`b5<#<8l1ii5a40g95==h39l0:565`f183>!26n3oo7c:>e;3b?>ibn3:1(9?i:df8j17b28h07bk<:18'04`=mm1e8=83.?=k4jd:l75`<6l21b?5?50;&75c<4091e850;&75c<4m<1e821b?ik50;&75c<4m<1e8=83.?=k4j<:18'04`=;l?0b9?j:038?l5c93:1(9?i:2g6?k26m3;976g!26n39n96`;1d827>=n;jl1<7*;1g80a0=i<8o1=954i2af>5<#<8l1?h;4n53f>43<3`9hh7>5$53e>6c23g>:i7?9;:k0gf<72->:j7=j5:l75`<6?21b?nl50;&75c<4m<1e87c:>e;3b?>o4k10;6):>f;1f1>h39l0:n65f3b494?"39o08i85a40g95f=6=4+40d97`3k:;o62a?7b32c8o>4?:%62b?5b=2d?=h4>f:9j7f4=83.?=k4m>:18'04`=;l?0b9?j:338?l5d83:1(9?i:2g6?k26m38976g!26n39n96`;1d817>=n;ko1<7*;1g80a0=i<8o1>954i2`g>5<#<8l1?h;4n53f>73<3`9no7>5$53e>6c23g>:i7<9;:k0ag<72->:j7=j5:l75`<5?21b?ho50;&75c<4m<1e8290/87c:>e;0b?>o4m>0;6):>f;1f1>h39l09n65f3ef94?"39o08i85a40g96f=k:;o62a?4b32c8nn4?:%62b?5b=2d?=h4=f:9j55d=83.?=k4>0`9m04c=821b==750;&75c<68h1e8=83.?=k4>0`9m04c=:21b==950;&75c<68h1e8799m04c=821b=:950;&75c<6?11e8799m04c=:21b=:;50;&75c<6?11e8799m04c=<21b=:=50;&75c<6?11e8799m04c=>21b=:?50;&75c<6?11e8799m04c=021b=;k50;&75c<6?11e8799m04c=i21b=;m50;&75c<6?11e8799m04c=k21b=;o50;&75c<6?11e8799m04c=m21b=;650;&75c<6?11e8799m04c=9910e<89:18'04`=9>20b9?j:038?l71<3:1(9?i:05;?k26m3;976g>6283>!26n3;<46`;1d827>=n9?81<7*;1g823==i<8o1=954i042>5<#<8l1=:64n53f>43<3`;=<7>5$53e>41?3g>:i7?9;:k21c<72->:j7?88:l75`<6?21b=8k50;&75c<6?11e8e;3b?>o6=k0;6):>f;34<>h39l0:n65f14;94?"39o0:;55a40g95f=f:9j503=83.?=k4>799m04c=:910e<;;:18'04`=9>20b9?j:338?l72;3:1(9?i:05;?k26m38976g>5383>!26n3;<46`;1d817>=n9<;1<7*;1g823==i<8o1>954i073>5<#<8l1=:64n53f>73<3`;5$53e>41?3g>:i7<9;:k23`<72->:j7?88:l75`<5?21b=:j50;&75c<6?11e8e;0b?>o6?h0;6):>f;34<>h39l09n65f16294?"39o0:;55a40g96f=6=4+40d952>8b9m04c=821d=5l50;&75c<60j1e88b9m04c=:21d=5750;&75c<60j1e8o?50;&75c<5j;1e8lh50;&75c<5j;1e82e9m04c=921b=?l50;&75c<6:m1e82e9m04c=;21b=?750;&75c<6:m1e8=83.?=k4>2e9m04c==21b=?950;&75c<6:m1e82e9m04c=?21b=>950;&75c<6:m1e82e9m04c=121b=>;50;&75c<6:m1e82e9m04c=j21b=>=50;&75c<6:m1e82e9m04c=l21b=>?50;&75c<6:m1e82e9m04c=n21b=?h50;&75c<6:m1e89;29 17a28;37c:>e;28?l76?3:1(9?i:03;?k26m3;07d?>6;29 17a28;37c:>e;08?l76=3:1(9?i:03;?k26m3907d?>4;29 17a28;37c:>e;68?l76;3:1(9?i:03;?k26m3?07d?>2;29 17a28;37c:>e;48?l7693:1(9?i:03;?k26m3=07d?=2;29 17a28;37c:>e;:8?l7593:1(9?i:03;?k26m3307d?=0;29 17a28;37c:>e;c8?l76n3:1(9?i:03;?k26m3h07d?>e;29 17a28;37c:>e;a8?l76l3:1(9?i:03;?k26m3n07d?>c;29 17a28;37c:>e;g8?l76j3:1(9?i:03;?k26m3l07d?>a;29 17a28;37c:>e;33?>o6990;6):>f;32<>h39l0:=65`15394?"39o0:8=5a40g94>=h9:l1<7*;1g8205=i<8o1=65`12g94?"39o0:8=5a40g96>=h9:n1<7*;1g8205=i<8o1?65`12a94?"39o0:8=5a40g90>=h9:h1<7*;1g8205=i<8o1965`12c94?"39o0:8=5a40g92>=h9:31<7*;1g8205=i<8o1;65`15c94?"39o0:8=5a40g9<>=h9=31<7*;1g8205=i<8o1565`15:94?"39o0:8=5a40g9e>=h9==1<7*;1g8205=i<8o1n65`15494?"39o0:8=5a40g9g>=h9=?1<7*;1g8205=i<8o1h65`15694?"39o0:8=5a40g9a>=h9=91<7*;1g8205=i<8o1j65`15094?"39o0:8=5a40g955=5$53e>4d13g>:i7?4;h3a0?6=,=;m65$53e>4d13g>:i7=4;h3a6?6=,=;m65$53e>4d13g>:i7;4;h3bb?6=,=;m65$53e>4d13g>:i794;h3b`?6=,=;m6<3`;jo7>5$53e>4d13g>:i774;h3bf?6=,=;m65$53e>4d13g>:i7l4;h3b=?6=,=;m65$53e>4d13g>:i7j4;h3b3?6=,=;m65$53e>4d13g>:i7h4;h3aa?6=,=;m62d?=h4>1:9j5ge=83.?=k4>b79m04c=9;10eb883>!26n3;i:6`;1d821>=n9k21<7*;1g82f3=i<8o1=;54i0`2>5<#<8l1=o84n53f>41<3`;j:7>5$53e>4d13g>:i7?7;:m0f4<72->:j7=m0:l75`<732e8mk4?:%62b?5e82d?=h4>;:m0e`<72->:j7=m0:l75`<532e8mi4?:%62b?5e82d?=h4<;:m0ef<72->:j7=m0:l75`<332e8mo4?:%62b?5e82d?=h4:;:m0ed<72->:j7=m0:l75`<132e8m44?:%62b?5e82d?=h48;:m0e2<72->:j7=m0:l75`:j7=m0:l75`:j7=m0:l75`:j7=m0:l75`:j7=m0:l75`<6821d?4k50;&75c<4j91e8e;30?>i41h0;6):>f;1a4>h39l0:865`38;94?"39o08n=5a40g950=l?;o62a?7032e85;4?:%62b?5e82d?=h4>8:9l7<3=83.?=k47;:18'04`=;k:0b9?j:0c8?j5>;3:1(9?i:2`3?k26m3;i76a<9083>!26n39i<6`;1d82g>=h;0:1<7*;1g80f5=i<8o1=i54o2:e>5<#<8l1?o>4n53f>4c<3f93i7>5$53e>6d73g>:i7?i;:m0:j7=m0:l75`<5821d?5m50;&75c<4j91e8e290/8e;00?>i4000;6):>f;1a4>h39l09865`39:94?"39o08n=5a40g960=l?;o62a?4032e8n84?:%62b?5e82d?=h4=8:9l7g2=83.?=k4l<:18'04`=;k:0b9?j:3c8?j5e:3:1(9?i:2`3?k26m38i76a!26n39i<6`;1d81g>=h;0n1<7*;1g80f5=i<8o1>i54o2;1>5<#<8l1?o>4n53f>7c<3f93;7>5$53e>6d73g>:i75=h39l0:76gnc;29 17a2ho0b9?j:398mdd=83.?=k4ne:l75`<432cjm7>5$53e>dcof03:1(9?i:`g8j17b2?10el950;&75c==h39l0276gn3;29 17a2ho0b9?j:`98md4=83.?=k4ne:l75`5$53e>dco>n3:1(9?i:`g8j17b2l10e4k50;&75c46<3`3h6=4+40d9e`=i<8o1=<54i8`94?"39o0ji6`;1d826>=n1h0;6):>f;cf?k26m3;876gm7;29 17a2ho0b9?j:068?ld1290/86:9jf1<72->:j7oj;o62a?7032ci?7>5$53e>dc5<#<8l1mh5a40g95<=h39l0:m65fb183>!26n3kn7c:>e;3a?>of<3:1(9?i:`g8j17b28i07d76:18'04`=il1e8:18'04`=<8<0b9?j:498k177290/8i:18'04`=<8<0b9?j:698k16c290/8l:18'04`=<8<0b9?j:898k16e290/8n:18'04`=<8<0b9?j:c98k16>290/87:18'04`=<8<0b9?j:e98k160290/89:18'04`=<8<0b9?j:g98k162290/8e;32?>i38;0;6):>f;622>h39l0:>65`41394?"39o0?=;5a40g956=2d?=h4>6:9l7cb=83.?=k4;179m04c=9>10c>hl:18'04`=<8<0b9?j:0:8?j5aj3:1(9?i:535?k26m3;276a!26n3>::6`;1d82e>=h;o31<7*;1g8753=i<8o1=o54o2d4>5<#<8l18<84n53f>4e<3f9m:7>5$53e>1713g>:i7?k;:m0b0<72->:j7:>6:l75`<6m21d?k:50;&75c<39?1e8e;02?>i4n80;6):>f;622>h39l09>65`3g294?"39o0?=;5a40g966=2d?=h4=6:9l04e=83.?=k4;179m04c=:>10c9?m:18'04`=<8<0b9?j:3:8?j26i3:1(9?i:535?k26m38276a;1883>!26n3>::6`;1d81e>=h<821<7*;1g8753=i<8o1>o54o52f>5<#<8l18<84n53f>7e<3f>;?7>5$53e>1713g>:i7:j7:>6:l75`<5m21d?hj50;&75c<39?1e85$53e>fcodj3:1(9?i:bg8j17b2:10eno50;&75c0=h39l0=76gl7;29 17a2jo0b9?j:698mf0=83.?=k4le:l75`5$53e>fcod:3:1(9?i:bg8j17b2k10en?50;&75ca=h39l0n76gme;29 17a2jo0b9?j:g98mgb=83.?=k4le:l75`<6821bnn4?:%62b?eb3g>:i7?>;:kaf?6=,=;m6nk4n53f>44<3`hj6=4+40d9g`=i<8o1=>54ie594?"39o0hi6`;1d820>=nl?0;6):>f;af?k26m3;>76gk5;29 17a2jo0b9?j:048?lb3290/810ei=50;&75c8:9j`7<72->:j7mj;o62a?7>32co=7>5$53e>fc5<#<8l1oh5a40g95g=1<7*;1g8`a>h39l0:o65fb883>!26n3in7c:>e;3g?>o60>0;6):>f;3;2>h39l0;76g>8483>!26n3;3:6`;1d82?>o60=0;6):>f;3;2>h39l0976sm54594?7f93:1472;:`>=1===0:j76>:6f95f<2;3=i64>64g8jg>=92d:<;4>;o33g?6i6<5+15f96=eh6i:0:7c<63;38j7d3281/>oh52`68j7e7281e?5<50:&0f<<23g>9=7?4$511>7da3->8?7=m9:&77`<3;01/8>h52b38 1272:=>7):;1;14=>i4jh0;66g;2`83>>o61:0;66g>9083>>i5k:0;66g>9483>>o3:l0;66g=c383>>o61=0;66g;2c83>>o61j0;66a>8083>>o3:?0;66g>9783>>i3;k0;66a>o3:10;66g;2683>>o6110;66g;2b83>>o61;0;66g>9183>>o61o0;66g>8g83>>o3:00;66a<8583>>o61>0;66g>9c83>>o60;0;66g>8183>>o3:m0;66g>9`83>>o3:o0;66aje;29 17a2ln0b9?j:198k`e=83.?=k4jd:l75`<632enn7>5$53e>`bib13:1(9?i:df8j17b2=10ch650;&75c3=h39l0<76aj5;29 17a2ln0b9?j:998k`2=83.?=k4jd:l75`<>32en>7>5$53e>`bib83:1(9?i:df8j17b2j10cih50;&75c`=h39l0m76akc;29 17a2ln0b9?j:028?jbe290/82:9l`<<72->:j7kk;o62a?7432em:7>5$53e>`b5<#<8l1ii5a40g950=1<7*;1g8f`>h39l0::65`f283>!26n3oo7c:>e;34?>ia:3:1(9?i:df8j17b28207bh>:18'04`=mm1e8:i7?m;:mf7?6=,=;m6hj4n53f>4e<3fn36=4+40d9aa=i<8o1=i54i2:2>5<#<8l1?5>4n53f>5=5<#<8l1?5>4n53f>7=54i25`>5<#<8l1?5>4n53f>1=5<#<8l1?5>4n53f>3=5<#<8l1?h;4n53f>4=5<#<8l1?h;4n53f>6=5<#<8l1?h;4n53f>0=5<#<8l1?h;4n53f>2=5<#<8l1?h;4n53f><=5<#<8l1?h;4n53f>g=5<#<8l1?h;4n53f>a=5<#<8l1?h;4n53f>c=4;h1g7?6=,=;m6>k:;o62a?7632c8h<4?:%62b?5b=2d?=h4>2:9j7a6=83.?=k4mi:18'04`=;l?0b9?j:068?l5dm3:1(9?i:2g6?k26m3;>76g!26n39n96`;1d822>=n;ji1<7*;1g80a0=i<8o1=:54i2aa>5<#<8l1?h;4n53f>4><3`9hm7>5$53e>6c23g>:i7?6;:k0g<<72->:j7=j5:l75`<6i21b?n650;&75c<4m<1e87c:>e;3g?>o4k=0;6):>f;1f1>h39l0:i65f3b194?"39o08i85a40g95c=4;h1`5?6=,=;m6>k:;o62a?4632c8o=4?:%62b?5b=2d?=h4=2:9j7g`=83.?=k4lj:18'04`=;l?0b9?j:368?l5el3:1(9?i:2g6?k26m38>76g!26n39n96`;1d812>=n;lh1<7*;1g80a0=i<8o1>:54i2gb>5<#<8l1?h;4n53f>7><3`9n57>5$53e>6c23g>:i7<6;:k0a=<72->:j7=j5:l75`<5i21b?h950;&75c<4m<1e87c:>e;0g?>o4k>0;6):>f;1f1>h39l09i65f3ca94?"39o08i85a40g96c=5<#<8l1==o4n53f>4=5<#<8l1==o4n53f>6=5<#<8l1=:64n53f>4=5<#<8l1=:64n53f>6=5<#<8l1=:64n53f>0=5<#<8l1=:64n53f>2=5<#<8l1=:64n53f><=5<#<8l1=:64n53f>g=5<#<8l1=:64n53f>a=5<#<8l1=:64n53f>c=4;h352?6=,=;m6<97;o62a?7632c::94?:%62b?7002d?=h4>2:9j535=83.?=k4>799m04c=9:10e<8=:18'04`=9>20b9?j:068?l7193:1(9?i:05;?k26m3;>76g>6183>!26n3;<46`;1d822>=n95<#<8l1=:64n53f>4><3`;>h7>5$53e>41?3g>:i7?6;:k21f<72->:j7?88:l75`<6i21b=8l50;&75c<6?11e8290/8e;3g?>o6=>0;6):>f;34<>h39l0:i65f14494?"39o0:;55a40g95c=6=4+40d952>4;h360?6=,=;m6<97;o62a?4632c:9>4?:%62b?7002d?=h4=2:9j504=83.?=k4>799m04c=::10e<;>:18'04`=9>20b9?j:368?l7283:1(9?i:05;?k26m38>76g>7g83>!26n3;<46`;1d812>=n9>o1<7*;1g823==i<8o1>:54i05g>5<#<8l1=:64n53f>7><3`;5$53e>41?3g>:i7<6;:k23g<72->:j7?88:l75`<5i21b=:o50;&75c<6?11e8e;0g?>o6=h0;6):>f;34<>h39l09i65f15d94?"39o0:;55a40g96c=5<#<8l1=5m4n53f>4=5<#<8l1=5m4n53f>6=5<#<8l1>o<4n53f>4=5<#<8l1>o<4n53f>6=5<#<8l1=?j4n53f>5=5<#<8l1=?j4n53f>7=54i00:>5<#<8l1=?j4n53f>1=5<#<8l1=?j4n53f>3=5<#<8l1=?j4n53f>==5<#<8l1=?j4n53f>d=5<#<8l1=?j4n53f>f=5<#<8l1=?j4n53f>`=5<#<8l1=?j4n53f>46<3`;997>5$53e>44c3g>:i7?>;:k25<<72->:j7?>8:l75`<732c:=:4?:%62b?7602d?=h4>;:k253<72->:j7?>8:l75`<532c:=84?:%62b?7602d?=h4<;:k251<72->:j7?>8:l75`<332c:=>4?:%62b?7602d?=h4:;:k257<72->:j7?>8:l75`<132c:=<4?:%62b?7602d?=h48;:k267<72->:j7?>8:l75`<4?:%62b?7602d?=h46;:k265<72->:j7?>8:l75`:j7?>8:l75`:j7?>8:l75`:j7?>8:l75`<6821b=<>50;&75c<6911e8;7c:>e;32?>o6j>0;6):>f;3a2>h39l0;76g>b483>!26n3;i:6`;1d82?>o6j=0;6):>f;3a2>h39l0976g>b283>!26n3;i:6`;1d80?>o6j;0;6):>f;3a2>h39l0?76g>b183>!26n3;i:6`;1d86?>o6io0;6):>f;3a2>h39l0=76g>ad83>!26n3;i:6`;1d84?>o6im0;6):>f;3a2>h39l0376g>ab83>!26n3;i:6`;1d8:?>o6ik0;6):>f;3a2>h39l0j76g>a`83>!26n3;i:6`;1d8a?>o6i00;6):>f;3a2>h39l0h76g>a983>!26n3;i:6`;1d8g?>o6i>0;6):>f;3a2>h39l0n76g>bg83>!26n3;i:6`;1d8e?>o6jl0;6):>f;3a2>h39l0:<65f1cf94?"39o0:n;5a40g954=2d?=h4>4:9j5g?=83.?=k4>b79m04c=9<10ea783>!26n3;i:6`;1d82<>=h;k;1<7*;1g80f5=i<8o1<65`3`d94?"39o08n=5a40g95>=h;ho1<7*;1g80f5=i<8o1>65`3`f94?"39o08n=5a40g97>=h;hi1<7*;1g80f5=i<8o1865`3``94?"39o08n=5a40g91>=h;hk1<7*;1g80f5=i<8o1:65`3`;94?"39o08n=5a40g93>=h;h=1<7*;1g80f5=i<8o1465`3`494?"39o08n=5a40g9=>=h;h?1<7*;1g80f5=i<8o1m65`3`694?"39o08n=5a40g9f>=h;h91<7*;1g80f5=i<8o1o65`3`094?"39o08n=5a40g9`>=h;h;1<7*;1g80f5=i<8o1i65`3`294?"39o08n=5a40g9b>=h;0l1<7*;1g80f5=i<8o1==54o2;f>5<#<8l1?o>4n53f>47<3f92o7>5$53e>6d73g>:i7?=;:m0=g<72->:j7=m0:l75`<6;21d?4o50;&75c<4j91e8290/8e;35?>i41>0;6):>f;1a4>h39l0:;65`38494?"39o08n=5a40g95==6=4+40d97g6l?;o62a?7f32e85>4?:%62b?5e82d?=h4>b:9l7<7=83.?=k47?:18'04`=;k:0b9?j:0f8?j5?n3:1(9?i:2`3?k26m3;n76a<8d83>!26n39i<6`;1d82b>=h;1n1<7*;1g80f5=i<8o1>=54o2:`>5<#<8l1?o>4n53f>77<3f93n7>5$53e>6d73g>:i7<=;:m0:j7=m0:l75`<5;21d?5750;&75c<4j91e8?290/8e;05?>i4j?0;6):>f;1a4>h39l09;65`3c794?"39o08n=5a40g96==l?;o62a?4f32e8n?4?:%62b?5e82d?=h4=b:9l7d>=83.?=k47k:18'04`=;k:0b9?j:3f8?j5>:3:1(9?i:2`3?k26m38n76a<8683>!26n39i<6`;1d81b>=nio0;6):>f;cf?k26m3:07dok:18'04`=il1e8:j7oj;o62a?4<3`ki6=4+40d9e`=i<8o1?65fa`83>!26n3kn7c:>e;68?lg>290/8:i784;hc4>5<#<8l1mh5a40g93>=ni?0;6):>f;cf?k26m3207do::18'04`=il1e8:j7oj;o62a?g<3`k96=4+40d9e`=i<8o1n65fa083>!26n3kn7c:>e;a8?lg7290/8:i7k4;h;f>5<#<8l1mh5a40g9b>=n1m0;6):>f;cf?k26m3;;76g6c;29 17a2ho0b9?j:038?l?e290/83:9jf2<72->:j7oj;o62a?7332ci:7>5$53e>dc5<#<8l1mh5a40g953=1<7*;1g8ba>h39l0:;65fb283>!26n3kn7c:>e;3;?>oe:3:1(9?i:`g8j17b28307dl>:18'04`=il1e8:i7?l;:k:=?6=,=;m6lk4n53f>4b<3f>:;7>5$53e>1713g>:i7>4;n621?6=,=;m69?9;o62a?7<3f>:87>5$53e>1713g>:i7<4;n627?6=,=;m69?9;o62a?5<3f>:>7>5$53e>1713g>:i7:4;n625?6=,=;m69?9;o62a?3<3f>:<7>5$53e>1713g>:i784;n63b?6=,=;m69?9;o62a?1<3f>;h7>5$53e>1713g>:i764;n63g?6=,=;m69?9;o62a??<3f>;n7>5$53e>1713g>:i7o4;n63e?6=,=;m69?9;o62a?d<3f>;57>5$53e>1713g>:i7m4;n63;;7>5$53e>1713g>:i7k4;n632?6=,=;m69?9;o62a?`<3f>;97>5$53e>1713g>:i7??;:m741<72->:j7:>6:l75`<6921d8=<50;&75c<39?1e8e;37?>i4no0;6):>f;622>h39l0:965`3gg94?"39o0?=;5a40g953=2d?=h4>9:9l7cg=83.?=k4;179m04c=9h10c>h6:18'04`=<8<0b9?j:0`8?j5a?3:1(9?i:535?k26m3;h76a!26n3>::6`;1d82`>=h;o?1<7*;1g8753=i<8o1=h54o2d7>5<#<8l18<84n53f>4`<3f9m?7>5$53e>1713g>:i7:j7:>6:l75`<5921d?k?50;&75c<39?1e8e;07?>i4ml0;6):>f;622>h39l09965`40f94?"39o0?=;5a40g963=2d?=h4=9:9l04?=83.?=k4;179m04c=:h10c9?7:18'04`=<8<0b9?j:3`8?j27m3:1(9?i:535?k26m38h76a;0283>!26n3>::6`;1d81`>=h;o21<7*;1g8753=i<8o1>h54o2gg>5<#<8l18<84n53f>7`<3`im6=4+40d9g`=i<8o1<65fce83>!26n3in7c:>e;38?led290/8:i7=4;hab>5<#<8l1oh5a40g90>=nk00;6):>f;af?k26m3?07dm7:18'04`=kl1e8:j7mj;o62a?1<3`i=6=4+40d9g`=i<8o1465fc483>!26n3in7c:>e;;8?le4290/8:i7l4;ha2>5<#<8l1oh5a40g9g>=nk90;6):>f;af?k26m3n07dli:18'04`=kl1e8:j7mj;o62a?`<3`ho6=4+40d9g`=i<8o1==54ica94?"39o0hi6`;1d825>=njk0;6):>f;af?k26m3;976gma;29 17a2jo0b9?j:018?lb0290/85:9j`0<72->:j7mj;o62a?7132co87>5$53e>fc5<#<8l1oh5a40g95==h39l0:565fd083>!26n3in7c:>e;3b?>oc83:1(9?i:bg8j17b28h07dm;:18'04`=kl1e8879m04c=921b=5:50;&75c<60?1e86=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f03>290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f03f290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f03e290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f03d290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f03c290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f03b290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f03a290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f007290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f006290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f005290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f004290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f003290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f002290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f001290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f000290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f00?290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f00>290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f00f290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f00e290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f00d290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f00c290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f00b290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f00a290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f017290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f016290>6=4?{%60e?4f82B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~f0152908:7==:5dxL15c3->8m7<<5d9Y51e=;r>m6?o53b8~mc?=83.?=k4i8:l75`<732cm;7>5$53e>c>5<#<8l1=nh4n53f>5=5<#<8l1=nh4n53f>7=54i0ab>5<#<8l1=nh4n53f>1=5<#<8l1=nh4n53f>3=5<#<8l1=nh4n53f>==6=4+40d95f`5<#<8l1=nh4n53f>d=5<#<8l1=nh4n53f>f=5<#<8l1=nh4n53f>`=5<#<8l1=nh4n53f>46<3`;o97>5$53e>4ea3g>:i7?>;:k2`1<72->:j7?lf:l75`<6:21b=i=50;&75c<6ko1e807d?k1;29 17a28im7c:>e;36?>o6kk0;6):>f;3`b>h39l0::65f1b294?"39o0:ok5a40g952=>6=44i0:f>5<5<5<#<8l1=h64n53f>4=5<#<8l1=h64n53f>6=5<#<8l1=h64n53f>0=5<#<8l1=h64n53f>2=5<#<8l1=h64n53f><=5<#<8l1=h64n53f>g=5<#<8l1=h64n53f>a=5<#<8l1=h64n53f>c=4;n3fa?6=,=;m62:9l5`e=83.?=k4>e99m04c=9:10c76a>e583>!26n3;n46`;1d822>=h9m31<7*;1g82a==i<8o1=:54b450>5<6290;w):N3<01C8>j4o3c6>5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<7>54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo;7f;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e93:157>50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo;63;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e=3:157>50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo;67;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e13:157>50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo;6b;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900el3:157>50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo;6f;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo;n3;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<53;294~"3;h09no5G45;8L15c3-8jh76i;h0b5;n143?6=3ty:i;<50;3:[`>34?3o77:;<7;`??234?3i77:;<7;b??234?2<77:;<7:5??234?2>77:;<7:7??234?2877:;<7:1??234?2:77:;<7:3??234?2477:;<7:=??234?2m77:;<7:f??234?2o77:;<7:`??234?2i77:;<7:b??234?j<77:;<7b5??234?j>77:;<7b7??23ty:i;=50;3:[`034?3o77;;<7;`??334?3i77;;<7;b??334?2<77;;<7:5??334?2>77;;<7:7??334?2877;;<7:1??334?2:77;;<7:3??334?2477;;<7:=??334?2m77;;<7:f??334?2o77;;<7:`??334?2i77;;<7:b??334?j<77;;<7b5??334?j>77;;<7b7??33ty:i;:50;0xZ4b734?j=7?n4:p5`022909wS?le:?6e6<6i=1v>0;6?uQ1ba890?b28k?7p}>e7:94?4|V8ij70;n0;3b0>{t9l<26=4={_3`=>;21o0:m95rs0g5e?6=:rT:o55258`95d2554>a59~w4c1m3:1>vP>c59>10q~?j6g83>7}Y9j901876:0c7?xu6m>:1<7=3;j86s|1d52>5<5sW;h=63:9682e1=z{8o<>7>52z\2`==:=0<1=l:4}r3f36<72;qU=i94=4;1>4g33ty:i::50;0xZ4b134?287?n4:p5`122909wS?k5:?6=6<6i=1v0;6?uQ1e1890?628k?7p}>e6:94?4|V8n970;60;3b0>{t9l=26=4={_3g5>;20j0:m95rs0g4e?6=:rT:oo5259g95d2;2?>0:4h5256:95=c<5<=26<6j;<74e?7?m27>;o4>8d9>12e=91o0189k:0:f?830m3;3i63:7g82<`=:=1:1=5k4=4:2>4>b34?3>7?7e:?6<6<60l1695:519g890>2282n70;76;3;a>;20>0:4h5259:95=c<5<226<6j;<7;e?7?m27>4o4>8d9>1d2=:h20q~?j7d83>67|V83m70;84;37a>;2?<0:8h52564951c<5<=<6<:j;<74;44>4d9>12g=9=o0189m:06f?830k3;?i63:7e820`=:=>o1=9k4=45e>42b34?3<7?;e:?6<4<6428>n70;74;37a>;20<0:8h52594951c<5<2<6<:j;<7;444>4d9>1=g=9=o0186m:06f?83?k3;2j63:8e82=c=:=1o1=4h4=4:e>4?a34?2<7?6f:?6=4<61o1694<518d890?4283m70;64;3:b>;21<0:5k5258495<`<5<3<6<7i;<7:n27>544>9g9>1k3;2j63:9e82=c=:=0o1=4h4=4;e>4?a34?j<7?6f:?6e4<61o169l<518d890g4283m7p}>e6d94?4|V8o270;7b;616>{t9l2;6=4={_3f3>;20h0?>?5rs0g;5?6=:rT:i;5259;90744;4;239~w4c?=3:1>vP>e09>1=3=<;80q~?j8783>7}Y9l:0186;:501?xu6m1=1<79>6s|1d:;>5<5sW;oi63:838767=z{8o357>52z\2`a=:=1;18?<4}r3f1453ty:i5l50;0xZ4be34?d2909wS?ka:?63`<3:;1ve9d94?4|V8om70;8b;616>{t9l3;6=4={_3fa>;2?h0?>?5rs0g:5?6=:rT:ii5256;9074;;4;239~w4c>=3:1>vP>e59>123=<;80q~?j9783>7}Y9m30189;:501?xu6m0=1<7=>{<747?4f=27>4n466:?6?27>4i466:?6?27>4h466:?6<`<>?27>4k466:?6?27>5=466:?6=5<>?27>5<466:?6=4<>?27>5?466:?6=7<>?27>5>466:?6=6<>?27>59466:?6=1<>?27>58466:?6=0<>?27>5;466:?6=3<>?27>5:466:?6=2<>?27>55466:?6==<>?27>54466:?6=<<>?27>5l466:?6=d<>?27>5o466:?6=g<>?27>5n466:?6=f<>?27>5i466:?6=a<>?27>5h466:?6=`<>?27>5k466:?6=c<>?27>m=466:?6e5<>?27>m<466:?6e4<>?27>m?466:?6e7<>?27>m>466:?6e6<>?2wx=h77:181830<3;j863:8e8767=z{8o257>52z?630<6i=1695k54308yv7b1h0;6?u256495d2<5<2h69<=;|q2a83>9>6s|1d;`>5<5s4?<47?n4:?6=4<3:;1v12g=9h>0187<:501?xu6m0l1<74g334?287:=2:p5`g72909w0;8c;3b0>;21;0?>?5rs0gb5?6=:r7>;i4>a59>1<0=<;80q~?ja383>7}:=>o1=l:4=4;4>1453ty:il=50;0x901a28k?70;65;616>{t9lk?6=4={<7;4?7f<27>544;239~w4cf=3:1>v3:8082e1=:=0k18?<4}r3fe3<72;q695<51`6890??2=897p}>e`594?4|5<28652z?6<0<6i=1694l54308yv7bih0;6?u259495d2<5<3m69<=;|q2add=838p1868:0c7?83f83>9>6s|1dc`>5<5s4?347?n4:?6=`<3:;1v1=g=9h>018o<:501?xu6mhl1<74g334?j=7:=2:p5`d7290:5v3:8b82<`=:=1n1=5k4=4:f>4>b34?3j7?7e:?6=5<60l1694?519g890?5282n70;63;3;a>;21=0:4h5258795=c<5<3=6<6j;<7:3?7?m27>554>8d9>1j3;3i63:9b82<`=:=0n1=5k4=4;f>4>b34?2j7?7e:?6e5<60l169l?519g890g5282n70;n3;3;a>;2i=08;:5r}c7b1?6=;?08>7:i{I60`>"3;h09?8k4Z06`>6}3n38j6>m5}hd:>5<#<8l1j55a40g94>=nn>0;6):>f;d;?k26m3;07d?k0;29 17a28im7c:>e;28?l7dm3:1(9?i:0ae?k26m3;07d?ld;29 17a28im7c:>e;08?l7dk3:1(9?i:0ae?k26m3907d?la;29 17a28im7c:>e;68?l7d13:1(9?i:0ae?k26m3?07d?l8;29 17a28im7c:>e;48?l7d?3:1(9?i:0ae?k26m3=07d?l6;29 17a28im7c:>e;:8?l7d=3:1(9?i:0ae?k26m3307d?l4;29 17a28im7c:>e;c8?l7d;3:1(9?i:0ae?k26m3h07d?l2;29 17a28im7c:>e;a8?l7d93:1(9?i:0ae?k26m3n07d?k8;29 17a28im7c:>e;g8?l7c?3:1(9?i:0ae?k26m3l07d?k6;29 17a28im7c:>e;33?>o6l<0;6):>f;3`b>h39l0:=65f1e694?"39o0:ok5a40g957=5:9j5fd=83.?=k4>cg9m04c=9?10ee;38?j7b>3:1(9?i:0g;?k26m3807b?j5;29 17a28o37c:>e;18?j7b;3:1(9?i:0g;?k26m3>07b?j2;29 17a28o37c:>e;78?j7b93:1(9?i:0g;?k26m3<07b?j0;29 17a28o37c:>e;58?j7cn3:1(9?i:0g;?k26m3207b?ke;29 17a28o37c:>e;;8?j7cl3:1(9?i:0g;?k26m3k07b?kc;29 17a28o37c:>e;`8?j7cj3:1(9?i:0g;?k26m3i07b?ka;29 17a28o37c:>e;f8?j7a93:1(9?i:0g;?k26m3o07b?i0;29 17a28o37c:>e;d8?j7bn3:1(9?i:0g;?k26m3;;76a>ed83>!26n3;n46`;1d825>=h9ln1<7*;1g82a==i<8o1=?54o0g`>5<#<8l1=h64n53f>45<3f;nn7>5$53e>4c?3g>:i7?;;:m2ad<72->:j7?j8:l75`<6=21d=h:50;&75c<6m11e8290/80;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl:a983>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd2i00;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl:a`83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd2ik0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl:ab83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd2im0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl:ad83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd2io0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl:b183>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd2j80;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl:b383>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd2j:0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl:b583>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd2j<0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl:b783>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd2j>0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl:b983>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd2j00;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl:b`83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd2jk0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl:bb83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd2jm0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl:bd83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd2jo0;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`6g5<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th>o?4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd2k:0;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`6g1<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th>o;4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd2k>0;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`6g=<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th>ol4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd2kk0;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`6gf<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th>oh4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd2ko0;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`6`5<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th>h?4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd2l:0;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`6`1<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th>h;4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd2l>0;6>4?:1y'06g=:kh0D9:6;I60`>"5im03j6g=a983>>o5i00;66a<7683>>{t9lh:6=4>9z\e=>;2jo02963:c18:1>;2k802963:c38:1>;2k:02963:c58:1>;2k<02963:c78:1>;2k>02963:c98:1>;2k002963:c`8:1>;2kk02963:cb8:1>;2km02963:cd8:1>;2ko02963:d18:1>;2l802963:d38:1>;2l:02963:d58:1>;2l<02963:d78:1>{t9lh96=4>9z\e3>;2jo02863:c18:0>;2k802863:c38:0>;2k:02863:c58:0>;2k<02863:c78:0>;2k>02863:c98:0>;2k002863:c`8:0>;2kk02863:cb8:0>;2km02863:cd8:0>;2ko02863:d18:0>;2l802863:d38:0>;2l:02863:d58:0>;2l<02863:d78:0>{t9lh86=4={_3g4>;2l=0:m95rs0ga0?6=:rT:oh525e495d26h>4>a59~w4ce03:1>vP>c89>1a4=9h>0q~?jb883>7}Y9j2018mj:0c7?xu6mkk1<75<5sW;h:63:cg82e1=z{8oio7>52z\2g0=:=jh1=l:4}r3ffa<72;qU=n:4=4ag>4g33ty:iok50;0xZ4e434?ho7?n4:p5`da2909wS?l2:?6g=<6i=1v28k?7p}>eb094?4|V8n<70;l5;3b0>{t9li86=4={_3g2>;2k>0:m95rs0g`0?6=:rT:h8525b495d2o>4>a59~w4cd03:1>vP>d09>1g`=9h>0q~?jc883>7}Y9jh018m>:0c7?xu6mjk1<75<5sW>?963:d681e<=z{8oho7>51`y]5=c<5m44>8d9>1dg=91o018om:0:f?83fk3;3i63:ae82<`=:=ho1=5k4=4ce>4>b34?i<7?7e:?6f4<60l169o<519g890d4282n70;m4;3;a>;2j<0:4h525c495=c<5n44>8d9>1gg=91o018lm:0:f?83ek3;3i63:be82<`=:=ko1=5k4=4f4>7g?3ty:inj50;12[7>n27>m:4>4d9>1d>=9=o018o6:06f?83fi3;?i63:ac820`=:=hi1=9k4=4cg>42b34?ji7?;e:?6ec<6515g890d628>n70;m2;37a>;2j:0:8h525c6951c<56<:j;<7a2?73m27>n:4>4d9>1g>=9=o018l6:06f?83ei3;?i63:bc820`=:=ki1=9k4=4`g>42b34?ii7?;e:?6fc<61o169n>518d890e6283m70;l2;3:b>;2k:0:5k525b695<`<56<7i;<7`2?7>n27>o:4>9g9>1f>=90l018m6:0;e?83di3;2j63:cc82=c=:=ji1=4h4=4ag>4?a34?hi7?6f:?6gc<61o169i>518d890b6283m70;k2;3:b>;2l:0:5k525e695<`<56<7i;<7g2?7>n2wx=hmj:181[7b127>nh4;239~w4cdn3:1>vP>e69>1gb=<;80q~?jd183>7}Y9l<018ll:501?xu6mm;1<79>6s|1df1>5<5sW;n?63:b`8767=z{8oo?7>52z\2a7=:=k318?<4}r3f`1<72;qU=h?4=4`;>1453ty:ii;50;0xZ4c734?i;7:=2:p5`b12909wS?kf:?6f3<3:;1vee;94?4|V8nh70;m3;616>{t9lnj6=4={_3gf>;2j;0?>?5rs0ggf?6=:rT:hl525c39074mh4;239~w4ccn3:1>vP>ed9>1db=<;80q~?je183>7}Y9ln018ol:501?xu6ml;1<79>6s|1dg1>5<5sW;nn63:a`8767=z{8on?7>52z\2ad=:=h318?<4}r3fa1<72;qU=h:4=4c;>1453ty:ih;50;0xZ4b>34?j;7:=2:p5`c12908=v3:a781e0=:=kl15;525cd9=2=:=j:15;525b29=2=:=j;15;525b39=2=:=j815;525b09=2=:=j915;525b19=2=:=j>15;525b69=2=:=j?15;525b79=2=:=j<15;525b49=2=:=j=15;525b59=2=:=j215;525b:9=2=:=j315;525b;9=2=:=jk15;525bc9=2=:=jh15;525b`9=2=:=ji15;525ba9=2=:=jn15;525bf9=2=:=jo15;525bg9=2=:=jl15;525bd9=2=:=m:15;525e29=2=:=m;15;525e39=2=:=m815;525e09=2=:=m915;525e19=2=:=m>15;525e69=2=:=m?15;525e79=2=:=m<15;525e49=2=z{8on;7>52z?6e2<6i=169n>54308yv7bm10;6?u25`:95d2<59>6s|1dgb>5<5s4?jm7?n4:?6g6<3:;1v1de=9h>018m=:501?xu6mln1<74g334?h:7:=2:p5`cb2909w0;ne;3b0>;2k>0?>?5rs0gfb?6=:r7>mk4>a59>1f3=<;80q~?jf183>7}:=k:1=l:4=4a:>1453ty:ik?50;0x90d628k?70;la;616>{t9ll96=4={<7a6?7f<27>o54;239~w4ca;3:1>v3:b282e1=:=ji18?<4}r3fb1<72;q69o:51`6890ec2=897p}>eg794?4|563;j863:cg8767=z{8om;7>52z?6f2<6i=169i>54308yv7bn10;6?u25c:95d2<59>6s|1ddb>5<5s4?im7?n4:?6`6<3:;1v1ge=9h>018j::501?xu6mon1<74g334?o:7:=2:p5``b2909w0;me;3b0>;2l=0?>?5rs0geb?6=90q69oh519g890e7282n70;l1;3;a>;2k;0:4h525b195=c<5o;4>8d9>1f1=91o018m7:0:f?83d13;3i63:c`82<`=:=jh1=5k4=4a`>4>b34?hh7?7e:?6g`<60l169nh519g890b7282n70;k1;3;a>;2l;0:4h525e195=c<5h;4>8d9>1a1=;>=0qpl:d983>60=;;0?jvF;3e9'06g=::?n7W?;c;1x0c<5i39h6pgi9;29 17a2o20b9?j:198mc1=83.?=k4i8:l75`<632c:h=4?:%62b?7dn2d?=h4?;:k2g`<72->:j7?lf:l75`<632c:oi4?:%62b?7dn2d?=h4=;:k2gf<72->:j7?lf:l75`<432c:ol4?:%62b?7dn2d?=h4;;:k2g<<72->:j7?lf:l75`<232c:o54?:%62b?7dn2d?=h49;:k2g2<72->:j7?lf:l75`<032c:o;4?:%62b?7dn2d?=h47;:k2g0<72->:j7?lf:l75`<>32c:o94?:%62b?7dn2d?=h4n;:k2g6<72->:j7?lf:l75`:j7?lf:l75`:j7?lf:l75`0:9j5a3=83.?=k4>cg9m04c=9810ed383>!26n3;hj6`;1d820>=n9m;1<7*;1g82gc=i<8o1=854i0aa>5<#<8l1=nh4n53f>40<3`;h<7>5$53e>4ea3g>:i7?8;:k700<722c:4h4?::k774<722c:5k4?::m2a<<72->:j7?j8:l75`<732e:i:4?:%62b?7b02d?=h4>;:m2a3<72->:j7?j8:l75`<532e:i84?:%62b?7b02d?=h4<;:m2a6<72->:j7?j8:l75`<332e:i?4?:%62b?7b02d?=h4:;:m2a4<72->:j7?j8:l75`<132e:i=4?:%62b?7b02d?=h48;:m2`c<72->:j7?j8:l75`:j7?j8:l75`:j7?j8:l75`:j7?j8:l75`:j7?j8:l75`<6821d=hk50;&75c<6m11e8e;30?>i6mk0;6):>f;3f<>h39l0:865`1dc94?"39o0:i55a40g950=h44?:083>5}#<:k1>l84H56:?M24l2e9m84?::a1ag=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi9il50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a1ae=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi9ij50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a1ac=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi9ih50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a1`6=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi9h?50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a1`4=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi9h=50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a1`2=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi9h;50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a1`0=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi9h950;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a1`>=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi9h750;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a1`g=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi9hl50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a1`e=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi9hj50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a1`c=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi9hh50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a1c6=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi9k?50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a1c4=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm5g194??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi9k:50;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e=o?1<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a1c0=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm5g594??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi9k650;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e=o31<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a1cg=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm5g`94??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi9km50;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e=on1<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a1cc=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm5gd94??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi:=>50;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e>9;1<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a254=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm61194??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi:=:50;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e>9?1<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a250=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm61594??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi:=650;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e>931<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a25g=8391<7>t$51b>7de3A>?56F;3e9'6db=0o1b>l650;9j6d?=831d?:950;9~w4`783:1=4uQf89>1c4=1<169k=5949>1c2=1<169k;5949>1c0=1<169k95949>1c>=1<169k75949>1cg=1<169kl5949>1ce=1<169kj5949>1cc=1<169kh5949>256=1<16:=?5949>254=1<16:==5949>252=1<16:=;5949>250=1<16:=95949>25>=1<16:=75949~w4`793:1=4uQf69>1c4=1=169k=5959>1c2=1=169k;5959>1c0=1=169k95959>1c>=1=169k75959>1cg=1=169kl5959>1ce=1=169kj5959>1cc=1=169kh5959>256=1=16:=?5959>254=1=16:==5959>252=1=16:=;5959>250=1=16:=95959>25>=1=16:=75959~w4`7:3:1>vP>d19>251=9h>0q~?i0283>7}Y9jo01;>6:0c7?xu6n9>1<75<5sW;ho6390582e1=z{8l;:7>52z\2gd=:>9<1=l:4}r3e42<72;qU=n74=726>4g33ty:j=650;0xZ4e?34<;=7?n4:p5c6>2909wS?l7:?546<6i=1vf1a94?4|V8i?708?0;3b0>{t9o:o6=4={_3`7>;2no0:m95rs0d3a?6=:rT:o?525g`95d2:181[7c?27>j54>a59~w4`6:3:1>vP>d79>1cg=9h>0q~?i1283>7}Y9m?018h6:0c7?xu6n8>1<75<5sW;o?63:f682e1=z{8l::7>52z\2`7=:=o<1=l:4}r3e52<72;qU=i?4=4d1>4g33ty:j<650;0xZ4ee34?m87?n4:p5c7>2909wS?l0:?6b6<6i=1va;296~X3<<16:=o52`;8yv7a9k0;64>b34?oi7?7e:?6`c<60l169h>519g890c6282n70;j2;3;a>;2m:0:4h525d695=c<56<6j;<7f2?7?m27>i:4>8d9>1`>=91o018k6:0:f?83bi3;3i63:ec82<`=:=li1=5k4=4gg>4>b34?ni7?7e:?6ac<60l169k>519g890`6282n708?a;0b<>{t9o;h6=4<1z\2=c=:=mk1=9k4=4fa>42b34?oo7?;e:?6`a<6n70;j0;37a>;2m80:8h525d0951c<5i84>4d9>1`0=9=o018k8:06f?83b03;?i63:e8820`=:=lk1=9k4=4ga>42b34?no7?;e:?6aa<6n70;i0;37a>;2n80:8h525g095<`<5n27>j84>9g9>1c0=90l018h8:0;e?83a03;2j63:f882=c=:=ok1=4h4=4da>4?a34?mo7?6f:?6ba<61o169kk518d890`a283m708?0;3:b>;1880:5k5261095<`<5?:86<7i;<430?7>n27=<84>9g9>250=90l01;>8:0;e?80703;2j6390882=c=z{8l:h7>52z\2a<=:=o;18?<4}r3e5`<72;qU=h94=4d3>1453ty:jf3194?4|V8o:70;jb;616>{t9o8?6=4={_3f4>;2mh0?>?5rs0d11?6=:rT:hk525d;9074;4?:3y]5ac<5i;4;239~w4`513:1>vP>dc9>1`3=<;80q~?i2`83>7}Y9mk018k;:501?xu6n;h1<79>6s|1g0`>5<5sW;m<63:e38767=z{8l9h7>52z\2ac=:=l;18?<4}r3e6`<72;qU=hk4=4g3>1453ty:j?h50;0xZ4cc34?oj7:=2:p5c572909wS?jc:?6``<3:;1vf2194?4|V8o?70;kb;616>{t9o9?6=4={_3g=>;2lh0?>?5rs0d01?6=;8q69i752`7890`520<018h=:85890`420<018h<:85890`320<018h;:85890`220<018h::85890`120<018h9:85890`020<018h8:85890`?20<018h7:85890`>20<018h6:85890`f20<018hn:85890`e20<018hm:85890`d20<018hl:85890`c20<018hk:85890`b20<018hj:85890`a20<018hi:858936720<01;>?:858936620<01;>>:858936520<01;>=:858936420<01;><:858936320<01;>;:858936220<01;>::858936120<01;>9:858936020<01;>8:858936?20<01;>7:858936>20<01;>6:858yv7a;?0;6?u25ec95d2<59>6s|1g1;>5<5s4?oo7?n4:?6b7<3:;1v1ac=9h>018h8:501?xu6n:h1<74g334?m97:=2:p5c5d2909w0;j0;3b0>;2n00?>?5rs0d0`?6=:r7>i<4>a59>1cg=<;80q~?i3d83>7}:=l81=l:4=4d;>1453ty:j>h50;0x90c428k?70;ic;616>{t9o>;6=4={<7f0?7f<27>ji4;239~w4`393:1>v3:e482e1=:=oh18?<4}r3e07<72;q69h851`6890`a2=897p}>f5194?4|552z?6a<<6i=16:=<54308yv7a9>6s|1g6;>5<5s4?no7?n4:?540<3:;1v1`c=9h>01;>;:501?xu6n=h1<74g334<;47:=2:p5c2d2909w0;i0;3b0>;1800?>?5rs0d7`?6=:r7>j<4>a59>251=<;80q~?i4d83>4?|5j94>8d9>1c3=91o018h9:0:f?83a?3;3i63:f982<`=:=o31=5k4=4db>4>b34?mn7?7e:?6bf<60l169kj519g890`b282n70;if;3;a>;1890:4h5261395=c<5?:96<6j;<437?7?m27=<94>8d9>253=91o01;>9:0:f?807?3;3i6390982<`=:>931=5k4=72b>6103twi:=l50;15>64=j4$51b>752m2P:8n4<{5d96d<4k3wbj44?:%62b?`?3g>:i7>4;hd4>5<#<8l1j55a40g95>=n9m:1<7*;1g82gc=i<8o1<65f1bg94?"39o0:ok5a40g95>=n9jn1<7*;1g82gc=i<8o1>65f1ba94?"39o0:ok5a40g97>=n9jk1<7*;1g82gc=i<8o1865f1b;94?"39o0:ok5a40g91>=n9j21<7*;1g82gc=i<8o1:65f1b594?"39o0:ok5a40g93>=n9j<1<7*;1g82gc=i<8o1465f1b794?"39o0:ok5a40g9=>=n9j>1<7*;1g82gc=i<8o1m65f1b194?"39o0:ok5a40g9f>=n9j81<7*;1g82gc=i<8o1o65f1b394?"39o0:ok5a40g9`>=n9m21<7*;1g82gc=i<8o1i65f1e594?"39o0:ok5a40g9b>=n9m<1<7*;1g82gc=i<8o1==54i0f6>5<#<8l1=nh4n53f>47<3`;o87>5$53e>4ea3g>:i7?=;:k2`6<72->:j7?lf:l75`<6;21b=i<50;&75c<6ko1e8e;35?>o6k90;6):>f;3`b>h39l0:;65f45794?=n91o1<75f42394?=n90l1<75`1d;94?"39o0:i55a40g94>=h9l=1<7*;1g82a==i<8o1=65`1d494?"39o0:i55a40g96>=h9l?1<7*;1g82a==i<8o1?65`1d194?"39o0:i55a40g90>=h9l81<7*;1g82a==i<8o1965`1d394?"39o0:i55a40g92>=h9l:1<7*;1g82a==i<8o1;65`1ed94?"39o0:i55a40g9<>=h9mo1<7*;1g82a==i<8o1565`1ef94?"39o0:i55a40g9e>=h9mi1<7*;1g82a==i<8o1n65`1e`94?"39o0:i55a40g9g>=h9mk1<7*;1g82a==i<8o1h65`1g394?"39o0:i55a40g9a>=h9o:1<7*;1g82a==i<8o1j65`1dd94?"39o0:i55a40g955=3:9l5`d=83.?=k4>e99m04c=9=10cd883>!26n3;n46`;1d823>=e>9i1<7?50;2x 15f2;k=7E:;9:J77a=h:h?1<75rb72g>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj?:n6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb72e>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj?;;6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb732>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj?;96=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb730>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj?;?6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb736>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj?;=6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb734>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj?;36=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb73:>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj?;j6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb73a>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj?;h6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb73g>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj?;n6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb73e>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj?8;6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb702>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj?896=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb700>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj?8?6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb706>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn;<9:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f34?29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb70:>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn;5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f34d29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb70g>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn;5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f35729026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb712>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn;==:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f35329026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb716>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn;=9:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f35?29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb71:>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn;=n:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f35d29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb71g>5<4290;w):N3<01C8>j4$3cg>=`5<<3<5?8=64;4=704><3<5?8364;4=70:><3<5?8j64;4=70a><3<5?8h64;4=70g><3<5?8n64;4=70e><3<5?9;64;4=712><3<5?9964;4=710><3<5?9?64;4=716><3<5?9=64;4=714><3<5?9364;4=71:><3<5?9j64;4=71a><3<5?9h64;4}r3e15<7283pRk94=706><2<5?8=64:4=704><2<5?8364:4=70:><2<5?8j64:4=70a><2<5?8h64:4=70g><2<5?8n64:4=70e><2<5?9;64:4=712><2<5?9964:4=710><2<5?9?64:4=716><2<5?9=64:4=714><2<5?9364:4=71:><2<5?9j64:4=71a><2<5?9h64:4}r3e14<72;qU=i>4=71b>4g33ty:j8<50;0xZ4eb34<8o7?n4:p5c342909wS?ld:?57g<6i=1v951`68yv7a=<0;6?uQ1bc8935>28k?7p}>f4494?4|V8i2708<8;3b0>{t9o?<6=4={_3`<>;1;=0:m95rs0d664>a59~w4`2k3:1>vP>c29>264=9h>0q~?i5e83>7}Y9j801;5<5sW;o46392g82e1=z{8l=<7>52z\2`2=:>;h1=l:4}r3e24<72;qU=i84=70g>4g33ty:j;<50;0xZ4b234<9o7?n4:p5c042909wS?k4:?56=<6i=1v<0;6?uQ1e08934>28k?7p}>f7494?4|V8n:708=5;3b0>{t9o<<6=4={_3`f>;1:>0:m95rs0d50;3;a>;1980:4h5260095=c<5?;86<6j;<420?7?m27==84>8d9>240=91o01;?8:0:f?80603;3i6391882<`=:>8k1=5k4=73a>4>b34<:o7?7e:?55a<60l16:;1:80:4h5263095=c<5?886<6j;<410?7?m27=?i4=a99~w4`1j3:1?n708?e;37a>;18o0:8h52602951c<5?;:6<:j;<426?73m27==>4>4d9>242=9=o01;?::06f?806>3;?i63916820`=:>821=9k4=73:>42b34<:m7?;e:?55g<6n708>e;37a>;19o0:8h52632951c<5?8:6<:j;<416?73m27=>>4>4d9>272=9=o01;<::0;e?805>3;2j6392682=c=:>;21=4h4=70:>4?a34<9m7?6f:?56g<61o16:?m518d8934c283m708=e;3:b>;1:o0:5k5262295<`<5?9:6<7i;<406?7>n27=?>4>9g9>262=90l01;=::0;e?804>3;2j6393682=c=:>:21=4h4=71:>4?a34<8m7?6f:?57g<61o16:>m518d8yv7a>j0;6?uQ1d;893432=897p}>f7f94?4|V8o<708=3;616>{t9o;1:;0?>?5rs0d5b?6=:rT:i8526339074vP>e19>24b=<;80q~?i7583>7}Y9ml01;?l:501?xu6n>?1<79>6s|1g55>5<5sW;oh6391`8767=z{8l<;7>52z\2`f=:>8318?<4}r3e3=<72;qU=il4=73;>1453ty:j:750;0xZ4bf34<:;7:=2:p5c1f2909wS?i1:?553<3:;1vf6f94?4|V8on708>3;616>{t9o=n6=4={_3f`>;19;0?>?5rs0d4b?6=:rT:in526039074vP>d89>25b=<;80q~?i8583>67|5?:h6?o:;<411??134<99778;<412??134<9:778;<413??134<9;778;<41778;<407??134<8?778;<400??134<88778;<401??134<89778;<402??134<8:778;<403??134<8;778;<40k:0c7?805>3>9>6s|1g:5>5<5s4<;i7?n4:?562<3:;1v246=9h>01;<6:501?xu6n131<74g334<9m7:=2:p5c>f2909w08>2;3b0>;1:10?>?5rs0d;f?6=:r7==>4>a59>27e=<;80q~?i8b83>7}:>8>1=l:4=70g>1453ty:j5j50;0x937228k?708=b;616>{t9o2n6=4={<422?7f<27=>k4;239~w4`?n3:1>v391682e1=:>::18?<4}r3e=5<72;q6:<651`68934b2=897p}>f8394?4|5?;2652z?55g<6i=16:>?54308yv7a1=0;6?u260a95d2<5?9>69<=;|q2b<3=838p1;?k:0c7?804>3>9>6s|1g;5>5<5s4<:i7?n4:?571<3:;1v276=9h>01;=6:501?xu6n031<74g334<8;7:=2:p5c?f2909w08=2;3b0>;1;k0?>?5rs0d:f?6=:r7=>>4>a59>26e=<;80q~?i9b83>7}:>;>1=l:4=71b>1453ty:j4j50;3:805=3;3i6392782<`=:>;=1=5k4=70;>4>b34<957?7e:?56d<60l16:?l519g8934d282n708=d;3;a>;1:l0:4h5263d95=c<5?9;6<6j;<405?7?m27=??4>8d9>265=91o01;=;:0:f?804=3;3i6393782<`=:>:=1=5k4=71;>4>b34<857?7e:?57d<60l16:>l519g8935d282n708{zj?9n6=4<6;11>1`|@=9o7):h39l0;76gi7;29 17a2o20b9?j:098m4b7290/8:18'04`=9jl0b9?j:e98m4b?290/8e;32?>o6l=0;6):>f;3`b>h39l0:>65f1e194?"39o0:ok5a40g956=6:9j5f6=83.?=k4>cg9m04c=9>10e9:::188m4>b2900e9=>:188m4?a2900c:18'04`=9l20b9?j:798k4c7290/810c:18'04`=9l20b9?j:d98k4`7290/8ee83>!26n3;n46`;1d826>=h9li1<7*;1g82a==i<8o1=>54o0ga>5<#<8l1=h64n53f>42<3f;nm7>5$53e>4c?3g>:i7?:;:m2a1<72->:j7?j8:l75`<6>21d=i750;&75c<6m11e82B?845G42f8k7g22900qo8;0;290?6=8r.??l4=9d9K01?<@=9o7)50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e50z&77d<51l1C8974H51g?!4fl380e<:j:188m4>b2900e5<5<5<57>59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo8:c;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<h7>59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo890;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo894;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e3:157>50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo898;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo89c;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo880;297?6=8r.??l4=bc9K01?<@=9o7)f8g94?7>sWl2708:8;;6?802133>708:a;;6?802j33>708:c;;6?802l33>708:e;;6?802n33>70890;;6?801933>70892;;6?801;33>70894;;6?801=33>70896;;6?801?33>70898;;6?801133>7089a;;6?801j33>7089c;;6?801l33>7089e;;6?801n33>7p}>f8d94?7>sWl<708:8;;7?802133?708:a;;7?802j33?708:c;;7?802l33?708:e;;7?802n33?70890;;7?801933?70892;;7?801;33?70894;;7?801=33?70896;;7?801?33?70898;;7?801133?7089a;;7?801j33?7089c;;7?801l33?7089e;;7?801n33?7p}>f`294?4|V8n;7089d;3b0>{t9ok:6=4={_3`a>;1>o0:m95rs0db6?6=:rT:oi5267g95d24?:3y]5fe<5?a59~w4`f>3:1>vP>c99>231=9h>0q~?ia683>7}Y9j=01;86:0c7?xu6nh21<75<5sW;h96396582e1=z{8ljm7>52z\2g1=:>?<1=l:4}r3eeg<72;qU=n=4=746>4g33ty:jlm50;0xZ4e534<==7?n4:p5cgc2909wS?l1:?526<6i=1vfc294?4|V8n=70890;3b0>{t9oh:6=4={_3g1>;1=o0:m95rs0da6?6=:rT:h95264`95d24?:3y]5a5<5??o6a59~w4`e>3:1>vP>cc9>20g=9h>0q~?ib683>7}Y9j:01;;6:0c7?xu6nk21<75<6irT:4h5265295=c<5?>:6<6j;<476?7?m27=8>4>8d9>212=91o01;:::0:f?803>3;3i6394682<`=:>=21=5k4=76:>4>b34;14>8d9>202=91o01;;::0:f?802>3;3i6395682<`=:>>:1>l64}r3efd<72:;pR<7i;<474?73m27=8<4>4d9>214=9=o01;:<:06f?803<3;?i63944820`=:>=<1=9k4=764>42b34n708;c;37a>;1m6<:j;<464?73m27=9<4>4d9>204=9=o01;;<:06f?802<3;?i63954820`=:><<1=9k4=774>42b34<>47?6f:?51<<61o16:8o518d8933e283m708:c;3:b>;1=m0:5k5264g95<`<5??m6<7i;<454?7>n27=:<4>9g9>234=90l01;8<:0;e?801<3;2j6396482=c=:>?<1=4h4=744>4?a34<=47?6f:?52<<61o16:;o518d8930e283m7089c;3:b>;1>m0:5k5267g95<`<5?vP>e79>203=<;80q~?ibd83>7}Y9l?01;;;:501?xu6nkl1<79>6s|1ga3>5<5sW;n>639538767=z{8lh=7>52z\2a4=:><;18?<4}r3eg7<72;qU=h>4=773>1453ty:jn=50;0xZ4ba34fb594?4|V8ni708;b;616>{t9oi36=4={_3ge>;1?5rs0d`=?6=:rT:j<5265;9074369<=;|q2bfd=838pRvP>ee9>213=<;80q~?icd83>7}Y9li01;:;:501?xu6njl1<79>6s|1gf3>5<5sW;nm639438767=z{8lo=7>52z\2a1=:>=;18?<4}r3e`7<72;qU=i74=763>1453ty:ji=50;12804n38j9639598:2>;1=102;639588:2>;1=002;6395`8:2>;1=h02;6395c8:2>;1=k02;6395b8:2>;1=j02;6395e8:2>;1=m02;6395d8:2>;1=l02;6395g8:2>;1=o02;639618:2>;1>902;639608:2>;1>802;639638:2>;1>;02;639628:2>;1>:02;639658:2>;1>=02;639648:2>;1><02;639678:2>;1>?02;639668:2>;1>>02;639698:2>;1>102;639688:2>;1>002;6396`8:2>;1>h02;6396c8:2>;1>k02;6396b8:2>;1>j02;6396e8:2>;1>m02;6396d8:2>;1>l02;6396g8:2>;1>o02;6s|1gf7>5<5s4214=9h>01;;7:501?xu6nm=1<74g334<>o7:=2:p5cb?2909w08;4;3b0>;1=m0?>?5rs0dg=?6=:r7=884>a59>20d=<;80q~?id`83>7}:>=<1=l:4=77e>1453ty:jil50;0x932028k?70890;616>{t9onh6=4={<47v394882e1=:>?818?<4}r3e``<72;q6:9o51`6893042=897p}>fed94?4|5?>i652z?50a<6i=16:;854308yv7am;0;6?u265g95d2<5?9>6s|1gg7>5<5s4<><7?n4:?52<<3:;1v204=9h>01;8m:501?xu6nl=1<74g334<=o7:=2:p5cc?2909w08:4;3b0>;1>h0?>?5rs0df=?6=:r7=984>a59>23c=<;80q~?ie`83>7}:><<1=l:4=74e>1453ty:jhl50;0x933028k?7089d;616>{t9ooh6=4>9z?51=<60l16:87519g8933f282n708:b;3;a>;1=j0:4h5264f95=c<5??n6<6j;<46b?7?m27=:=4>8d9>237=91o01;8=:0:f?801;3;3i6396582<`=:>??1=5k4=745>4>b34<=;7?7e:?52=<60l16:;7519g8930f282n7089b;3;a>;1>j0:4h5267f95=c<5?290/8:i7?4;h3g4?6=,=;m65$53e>4ea3g>:i7?4;h3``?6=,=;m65$53e>4ea3g>:i7=4;h3`e?6=,=;m65$53e>4ea3g>:i7;4;h3`5$53e>4ea3g>:i794;h3`2?6=,=;m6<3`;h97>5$53e>4ea3g>:i774;h3`0?6=,=;m65$53e>4ea3g>:i7l4;h3`6?6=,=;m65$53e>4ea3g>:i7j4;h3g5$53e>4ea3g>:i7h4;h3g2?6=,=;m61:9j5a2=83.?=k4>cg9m04c=9;10ed083>!26n3;hj6`;1d821>=n9jh1<7*;1g82gc=i<8o1=;54i0a3>5<#<8l1=nh4n53f>41<3`>?97>5;h3;a?6=3`>8=7>5;h3:b?6=3f;n57>5$53e>4c?3g>:i7>4;n3f3?6=,=;m65$53e>4c?3g>:i7<4;n3f1?6=,=;m65$53e>4c?3g>:i7:4;n3f6?6=,=;m65$53e>4c?3g>:i784;n3f4?6=,=;m65$53e>4c?3g>:i764;n3ga?6=,=;m65$53e>4c?3g>:i7o4;n3gg?6=,=;m65$53e>4c?3g>:i7m4;n3ge?6=,=;m65$53e>4c?3g>:i7k4;n3e4?6=,=;m65$53e>4c?3g>:i7??;:m2a`<72->:j7?j8:l75`<6921d=hj50;&75c<6m11e8e;37?>i6mh0;6):>f;3f<>h39l0:965`1d694?"39o0:i55a40g953=4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`531<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th=;84?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`533<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th=;:4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`53=<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th=;44?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`53d<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th=;o4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`53f<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th=;i4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`53`<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th=;k4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`5<5<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th=4<4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`5<7<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th=4>4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`5<1<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th=484?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`5<3<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th=4:4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`5<=<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th=444?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`527E:5;h3;a?6=3`;j87>5;n616?6=3th=4o4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd10j0;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`5<@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th=4k4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd1190;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`5=4<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th=5>4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd11=0;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`5=0<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th=5:4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd1110;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`5=<<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th=5o4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd11j0;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`5=a<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th=5k4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd1i90;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`5e4<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th=m>4?:283>5}#<:k1>ol4H56:?M24l2.9mi47f:k1e=<722c9m44?::m032<722wx=kkk:182=~Xa127=4o465:?5=27=4i465:?5<`<>=27=4k465:?5=5<>=27=5<465:?5=7<>=27=5>465:?5=1<>=27=58465:?5=3<>=27=5:465:?5==<>=27=54465:?5=d<>=27=5o465:?5=f<>=27=5i465:?5=`<>=27=5k465:?5e5<>=27=m<465:?5e7<>=2wx=kkj:182=~Xa?27=4o464:?5<27=4i464:?5<`<><27=4k464:?5=5<><27=5<464:?5=7<><27=5>464:?5=1<><27=58464:?5=3<><27=5:464:?5==<><27=54464:?5=d<><27=5o464:?5=f<><27=5i464:?5=`<><27=5k464:?5e5<><27=m<464:?5e7<><2wx=kki:181[7c827=m=4>a59~w4`a83:1>vP>cd9>2d4=9h>0q~?if083>7}Y9jn01;o>:0c7?xu6no81<7l3;j86s|1gd0>5<5sW;hm6399g82e1=z{8lm87>52z\2g<=:>0o1=l:4}r3eb0<72;qU=n64=7;b>4g33ty:jk850;0xZ4e034<2o7?n4:p5c`02909wS?l6:?5=g<6i=1v28k?7p}>fgc94?4|V8i870868;3b0>{t9oli6=4={_3`6>;11=0:m95rs0deg?6=:rT:o<5268495d2<5?3>627=5>4>a59~w76783:1>vP>d49>2<4=9h>0q~7}Y9m>01;6j:0c7?xu58981<783;j86s|2120>5<5sW;o>6398g82e1=z{;:;87>52z\2`4=:>1h1=l:4}r0340<72;qU=nl4=7:g>4g33ty9<=850;0xZ4e734<3o7?n4:p65602909wS:;5:?5e6<5i01v?>?8;295d}Y91o01;9<:0:f?800<3;3i6397482<`=:>><1=5k4=754>4>b34<<47?7e:?53<<60l16::o519g8931e282n7088c;3;a>;1?m0:4h5266g95=c<5?=m6<6j;<4;4?7?m27=4<4>8d9>2=4=91o01;6<:0:f?80?<3;3i6398482<`=:>1<1=5k4=7:4>4>b34<347?7e:?5<<<60l16:5o519g893g42;k37p}=01;94?56sW;2j63972820`=:>>>1=9k4=756>42b34<<:7?;e:?532<628>n7088a;37a>;1?k0:8h5266a951c<5?=o6<:j;<44a?73m27=;k4>4d9>2=6=9=o01;6>:06f?80?:3;?i63982820`=:>1>1=9k4=7:6>42b34<3:7?;e:?5<2<6>28>n7087a;37a>;10k0:5k5269a95<`<5?2o6<7i;<4;a?7>n27=4k4>9g9>2<6=90l01;7>:0;e?80>:3;2j6399282=c=:>0>1=4h4=7;6>4?a34<2:7?6f:?5=2<61o16:46518d893?>283m7086a;3:b>;11k0:5k5268a95<`<5?3o6<7i;<4:a?7>n27=5k4>9g9>2d6=90l01;o>:0;e?80f:3;2j6s|212b>5<5sW;n56398`8767=z{;:;n7>52z\2a2=:>1318?<4}r034f<72;qU=h84=7:;>1453ty9<=j50;0xZ4c234<3;7:=2:p656b2909wS?j3:?5<3<3:;1v?>?f;296~X6m;16:5;54308yv47990;6?uQ1d3893>32=897p}=00394?4|V8o;70873;616>{t:9;96=4={_3gb>;10;0?>?5rs3227?6=:rT:hh526939074=?9:181[7cj27=;h4;239~w766?3:1>vP>d`9>22b=<;80q~7}Y9o;01;9l:501?xu58831<79>6s|213b>5<5sW;nj6397`8767=z{;::n7>52z\2a`=:>>318?<4}r035f<72;qU=hj4=75;>1453ty9<>f;296~X6mh16::;54308yv47:90;6?uQ1d6893132=897p}=03394?4|V8n270883;616>{t:9896=4<1z?537<5i<16:5l5979>2=d=1>16:5m5979>2=e=1>16:5j5979>2=b=1>16:5k5979>2=c=1>16:5h5979>2=`=1>16:4>5979>2<6=1>16:4?5979>2<7=1>16:4<5979>2<4=1>16:4=5979>2<5=1>16:4:5979>2<2=1>16:4;5979>2<3=1>16:485979>2<0=1>16:495979>2<1=1>16:465979>2<>=1>16:475979>216:4o5979>216:4l5979>216:4m5979>216:4j5979>216:4k5979>216:4h5979>2<`=1>16:l>5979>2d6=1>16:l?5979>2d7=1>16:l<5979>2d4=1>1v?>=3;296~;1?:0:m95269a907494?:3y>222=9h>01;6k:501?xu58;?1<74g334<3n7:=2:p65412909w0886;3b0>;10o0?>?5rs3213?6=:r7=;:4>a59>2<6=<;80q~7}:>>21=l:4=7:f>1453ty928k?70862;616>{t:98j6=4={<44e?7f<27=5>4;239~w765j3:1>v397c82e1=:>0;18?<4}r036f<72;q6::m51`6893?22=897p}=03f94?4|5?=o6=52z?53c<6i=16:4654308yv47;90;6?u269295d2<5?3269<=;|q1467=838p1;6>:0c7?80>?3>9>6s|2111>5<5s4<3>7?n4:?5=g<3:;1v?><3;296~;10:0:m95268a90742=2=9h>01;7n:501?xu58:?1<74g334<2i7:=2:p65512909w0876;3b0>;11o0?>?5rs3203?6=:r7=4:4>a59>27}:>121=l:4=7c2>1453ty9<>750;0x93>>28k?708n2;616>{t:99j6=4={<4;e?7f<27=m=4;239~w764j3:1=4u269`95=c<5?2h6<6j;<4;`?7?m27=4h4>8d9>2=`=91o01;7?:0:f?80>93;3i6399382<`=:>091=5k4=7;7>4>b34<297?7e:?5=3<60l16:49519g893??282n70869;3;a>;11h0:4h5268`95=c<5?3h6<6j;<4:`?7?m27=5h4>8d9>2<`=91o01;o?:0:f?80f93;3i639a382<`=:>h91?:94}|`5e1<72:<1??4;fzJ77a=#<:k1>>;j;[37g?5|5=h39l0:76g>d183>!26n3;hj6`;1d83?>o6kl0;6):>f;3`b>h39l0:76g>ce83>!26n3;hj6`;1d81?>o6kj0;6):>f;3`b>h39l0876g>c`83>!26n3;hj6`;1d87?>o6k00;6):>f;3`b>h39l0>76g>c983>!26n3;hj6`;1d85?>o6k>0;6):>f;3`b>h39l0<76g>c783>!26n3;hj6`;1d8;?>o6k<0;6):>f;3`b>h39l0276g>c583>!26n3;hj6`;1d8b?>o6k:0;6):>f;3`b>h39l0i76g>c383>!26n3;hj6`;1d8`?>o6k80;6):>f;3`b>h39l0o76g>d983>!26n3;hj6`;1d8f?>o6l>0;6):>f;3`b>h39l0m76g>d783>!26n3;hj6`;1d824>=n9m?1<7*;1g82gc=i<8o1=<54i0f7>5<#<8l1=nh4n53f>44<3`;o?7>5$53e>4ea3g>:i7?<;:k2`7<72->:j7?lf:l75`<6<21b=i?50;&75c<6ko1e8e;34?>o3<<0;66g>8d83>>o3;80;66g>9g83>>i6m00;6):>f;3f<>h39l0;76a>e683>!26n3;n46`;1d82?>i6m?0;6):>f;3f<>h39l0976a>e483>!26n3;n46`;1d80?>i6m:0;6):>f;3f<>h39l0?76a>e383>!26n3;n46`;1d86?>i6m80;6):>f;3f<>h39l0=76a>e183>!26n3;n46`;1d84?>i6lo0;6):>f;3f<>h39l0376a>dd83>!26n3;n46`;1d8:?>i6lm0;6):>f;3f<>h39l0j76a>db83>!26n3;n46`;1d8a?>i6lk0;6):>f;3f<>h39l0h76a>d`83>!26n3;n46`;1d8g?>i6n80;6):>f;3f<>h39l0n76a>f183>!26n3;n46`;1d8e?>i6mo0;6):>f;3f<>h39l0:<65`1dg94?"39o0:i55a40g954=4:9l5`g=83.?=k4>e99m04c=9<10c4<729q/8>o52`48L12>3A>8h6a=a483>>{e>h<1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm6`594?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e>h21<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm6`;94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e>hk1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm6``94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e>hi1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm6`f94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e>ho1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm6`d94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e>k:1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm6c394?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e>k81<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm6c194?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e>k>1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm6c794?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e>k<1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm6c594?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e>k21<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm6c;94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e>kk1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm6c`94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e>ki1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm6cf94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e>ko1<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a2g`=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm6b294??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi:n?50;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e>j81<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a2f5=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm6b694??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi:n;50;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e>j<1<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a2f1=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm6b:94??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi:n750;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e>jk1<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a2fd=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm6ba94??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi:nj50;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e>jo1<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a2f`=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm6e294??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi:i?50;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e>m81<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a2a5=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm6e694??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi:i;50;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e>m<1<7=50;2x 15f2;hi7E:;9:J77a=#:hn14k5f2`:94?=n:h31<75`36594?=z{;:8o7>518y]b<=:>ko158526cd9=0=:>j:158526b39=0=:>j8158526b19=0=:>j>158526b79=0=:>j<158526b59=0=:>j2158526b;9=0=:>jk158526b`9=0=:>ji158526bf9=0=:>jo158526bd9=0=:>m:158526e39=0=:>m8158526e19=0=:>m>158526e79=0=z{;:8h7>518y]b2=:>ko159526cd9=1=:>j:159526b39=1=:>j8159526b19=1=:>j>159526b79=1=:>j<159526b59=1=:>j2159526b;9=1=:>jk159526b`9=1=:>ji159526bf9=1=:>jo159526bd9=1=:>m:159526e39=1=:>m8159526e19=1=:>m>159526e79=1=z{;:8i7>52z\2`5=:>m91=l:4}r037c<72;qU=nk4=7f6>4g33ty9<9>50;0xZ4ec34;2;296~X6kh16:i<51`68yv47<:0;6?uQ1b;893b628k?7p}=05694?4|V8i3708ld;3b0>{t:9>>6=4={_3`3>;1ko0:m95rs3272?6=:rT:o;526bg95d2=838pR=:6:181[7d;27=oo4>a59~w763i3:1>vP>c39>2f1=9h>0q~7}Y9j;01;m6:0c7?xu58=i1<75<5sW;o;639c582e1=z{;:?i7>52z\2`3=:>j<1=l:4}r030c<72;qU=i;4=7a6>4g33ty9<8>50;0xZ4b334:2;296~X6l;16:n<51`68yv47=:0;6?uQ1e3893db28k?7p}=04694?4|V8ii708l0;3b0>{t:9?>6=4={_3`4>;1jo0:m95rs3262?6=:rT?88526e496d?b34282n708na;3;a>;1ik0:4h526`a95=c<5?ko6<6j;<4ba?7?m27=mk4>8d9>2g6=91o01;l>:0:f?80e:3;3i639b282<`=:>k>1=5k4=7`6>4>b34282n708ma;3;a>;1jk0:4h526ca95=c<5?ho6<6j;<4g2?4f02wx>=;7:1805~X61o16:l8515g893g028>n708n8;37a>;1i00:8h526`c951c<5?ki6<:j;<4bg?73m27=mi4>4d9>2dc=9=o01;oi:06f?80e83;?i639b0820`=:>k81=9k4=7`0>42b34n708m8;37a>;1j00:8h526cc951c<5?hi6<:j;<4ag?73m27=ni4>4d9>2gc=90l01;li:0;e?80d83;2j639c082=c=:>j81=4h4=7a0>4?a34;1k00:5k526bc95<`<5?ii6<7i;<4`g?7>n27=oi4>9g9>2fc=90l01;mi:0;e?80c83;2j639d082=c=:>m81=4h4=7f0>4?a34:9;296~X6m016:oj54308yv47=h0;6?uQ1d5893dd2=897p}=04`94?4|V8o=708mb;616>{t:9?h6=4={_3f1>;1jh0?>?5rs326`?6=:rT:i>526c;9074;<4a3?25:2wx>=8?:181[7b827=n;4;239~w76193:1>vP>dg9>2g3=<;80q~7}Y9mo01;l;:501?xu58?91<79>6s|2147>5<5sW;oo639b38767=z{;:=97>52z\2`g=:>k;18?<4}r0323<72;qU=io4=7`3>1453ty9<;950;0xZ4`63499;296~X6mo16:lj54308yv47>h0;6?uQ1dg893gd2=897p}=07`94?4|V8oo708nb;616>{t:9;1ih0?>?5rs325`?6=:rT:io526`;9074=9?:181[7c127=m;4;239~w76093:1?<1<5?hm6484=7`e><1<5?i;6484=7a3><1<5?i:6484=7a2><1<5?i96484=7a1><1<5?i86484=7a0><1<5?i?6484=7a7><1<5?i>6484=7a6><1<5?i=6484=7a5><1<5?i<6484=7a4><1<5?i36484=7a;><1<5?i26484=7a:><1<5?ij6484=7ab><1<5?ii6484=7aa><1<5?ih6484=7a`><1<5?io6484=7ag><1<5?in6484=7af><1<5?im6484=7ae><1<5?n;6484=7f3><1<5?n:6484=7f2><1<5?n96484=7f1><1<5?n86484=7f0><1<5?n?6484=7f7><1<5?n>6484=7f6><12d0=9h>01;li:501?xu58>91<74g334;1jl0?>?5rs3241?6=:r7=m44>a59>2f4=<;80q~7}:>hk1=l:4=7a0>1453ty9<:950;0x93ge28k?708l1;616>{t:9=36=4={<4bg?7f<27=o84;239~w76013:1>v39ae82e1=:>j<18?<4}r033d<72;q6:lk51`6893e32=897p}=06`94?4|5?km6=9l:18180e83;j8639c88767=z{;:52z?5f4<6i=16:n954308yv47?l0;6?u26c095d2<5?ii69<=;|q142`=838p1;l<:0c7?80dk3>9>6s|21:3>5<5s471;296~;1j<0:m9526bg90742g0=9h>01;mi:501?xu58191<74g33432909w08m8;3b0>;1l80?>?5rs32;1?6=:r7=n44>a59>2a4=<;80q~7}:>kk1=l:4=7f3>1453ty9<5950;0x93de28k?708k4;616>{t:9236=4={<4ag?7f<27=h84;239~w76?13:1>v39be82e1=:>m918?<4}r03j;1=5k4=7a1>4>b34;1k10:4h526b;95=c<5?ij6<6j;<4`f?7?m27=on4>8d9>2fb=91o01;mj:0:f?80dn3;3i639d182<`=:>m;1=5k4=7f1>4>b34i6T>4b801`=:h08o7sff883>!26n3l37c:>e;28?l`0290/850;&75c<6ko1e8cg9m04c=921b=nj50;&75c<6ko1e8cg9m04c=;21b=no50;&75c<6ko1e8cg9m04c==21b=n650;&75c<6ko1e8cg9m04c=?21b=n850;&75c<6ko1e8cg9m04c=121b=n:50;&75c<6ko1e8cg9m04c=j21b=n<50;&75c<6ko1e8cg9m04c=l21b=i650;&75c<6ko1e8cg9m04c=n21b=i850;&75c<6ko1e8e;31?>o6l:0;6):>f;3`b>h39l0:?65f1e094?"39o0:ok5a40g951=7:9j013=831b=5k50;9j067=831b=4h50;9l5`?=83.?=k4>e99m04c=821d=h950;&75c<6m11e8e99m04c=:21d=h;50;&75c<6m11e8e99m04c=<21d=h<50;&75c<6m11e8e99m04c=>21d=h>50;&75c<6m11e8e99m04c=021d=ik50;&75c<6m11e8e99m04c=i21d=im50;&75c<6m11e8e99m04c=k21d=io50;&75c<6m11e8e99m04c=m21d=k>50;&75c<6m11e8e99m04c=9910ceb83>!26n3;n46`;1d827>=h9lh1<7*;1g82a==i<8o1=954o0gb>5<#<8l1=h64n53f>43<3f;n87>5$53e>4c?3g>:i7?9;:m2`<<72->:j7?j8:l75`<6?21i:i650;394?6|,=9j6?o9;I67=>N3;m1d>l;50;9~f3b>290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn;jn:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f3be290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn;jl:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f3bc290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn;jj:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f3ba290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn;k?:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f3c6290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn;k=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f3c4290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn;k;:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f3c2290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn;k9:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f3c0290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn;k7:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f3c>290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn;kn:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f3ce290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn;kl:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f3cc290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn;kj:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f3ca290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn;h?:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f3`629026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb7d1>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn;h<:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f3`229026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb7d5>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn;h8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f3`>29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb7db>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn;hm:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f3`c29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb7df>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn;hi:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<:;6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f26629026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb621>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn:><:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<:?6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f26229026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb625>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn:>8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<:36=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f26>29086=4?{%60e?4ej2B?845G42f8 7gc21l0e?o7:188m7g>2900c>98:188yv470k0;6<7t^g;893`620?01;h=:87893`420?01;h;:87893`220?01;h9:87893`020?01;h7:87893`>20?01;hn:87893`e20?01;hl:87893`c20?01;hj:87893`a20?01:>?:878926620?01:>=:878926420?01:>;:878926220?01:>9:878926020?01:>7:878yv470j0;6<7t^g5893`620>01;h=:86893`420>01;h;:86893`220>01;h9:86893`020>01;h7:86893`>20>01;hn:86893`e20>01;hl:86893`c20>01;hj:86893`a20>01:>?:868926620>01:>=:868926420>01:>;:868926220>01:>9:868926020>01:>7:868yv470m0;6?uQ1e28926128k?7p}=09g94?4|V8in709?8;3b0>{t:92m6=4={_3``>;08>0:m95rs32:4?6=:rT:on5271195d2:>6=7<:181[7d027<<=4>a59~w76><3:1>vP>c69>354=9h>0q~7}Y9j<01:>>:0c7?xu580<1<75<5sW;h8639fg82e1=z{;:247>52z\2g6=:>oo1=l:4}r03=<<72;qU=n<4=7db>4g33ty9<4o50;0xZ4e6346c;296~X6l>16:k951`68yv471m0;6?uQ1e4893`>28k?7p}=08g94?4|V8n>708i8;3b0>{t:93m6=4={_3g0>;1n=0:m95rs32b4?6=:rT:h>526g495d26;<4e5?7f<2wx>=o<:181[7dj27=j>4>a59~w76f<3:1>vP>c19>2c4=9h>0q~7}Y<=?01:>6:3c:?xu58h<1<7?n{_3;a>;1l00:4h526ec95=c<5?ni6<6j;<4gg?7?m27=hi4>8d9>2ac=91o01;ji:0:f?80b83;3i639e082<`=:>l81=5k4=7g0>4>b34;1m00:4h526dc95=c<5?oi6<6j;<4fg?7?m27=ii4>8d9>2`c=91o01;ki:0:f?80a83;3i6380881e==z{;:j;7>530y]5<`<5?n26<:j;<4ge?73m27=ho4>4d9>2ae=9=o01;jk:06f?80cm3;?i639dg820`=:>l:1=9k4=7g2>42b347?;e:?5a6<6n708j6;37a>;1m>0:8h526d:951c<5?o26<:j;<4fe?73m27=io4>4d9>2`e=9=o01;kk:06f?80bm3;?i639eg820`=:>o:1=9k4=7d2>4?a347?6f:?5b6<61o16:k:518d893`2283m708i6;3:b>;1n>0:5k526g:95<`<5?l26<7i;<4ee?7>n27=jo4>9g9>2ce=90l01;hk:0;e?80am3;2j639fg82=c=:?9:1=4h4=622>4?a34=;>7?6f:?446<61o16;=:518d89262283m709?6;3:b>;08>0:5k5271:95<`=on:181[7b>27=ih4;239~w76fj3:1>vP>e49>2`b=<;80q~7}Y9l901;kl:501?xu58hn1<79>6s|21cf>5<5sW;n=639e`8767=z{;:jj7>52z\2a5=:>l318?<4}r03f5<72;qU=ih4=7g;>1453ty9m3;296~X6lj16:h;54308yv47j=0;6?uQ1e`893c32=897p}=0c794?4|V8nj708j3;616>{t:9h=6=4={_3e5>;1m;0?>?5rs32a3?6=:rT:j=526d39074=ln:181[7bl27=hh4;239~w76ej3:1>vP>eb9>2ab=<;80q~7}Y9lh01;jl:501?xu58kn1<79>6s|21`f>5<5sW;n8639d`8767=z{;:ij7>52z\2`<=:>m318?<4}r03g5<72:;p1;j7:3c6?80a933=708i1;;4?80a:33=708i2;;4?80a;33=708i3;;4?80a<33=708i4;;4?80a=33=708i5;;4?80a>33=708i6;;4?80a?33=708i7;;4?80a033=708i8;;4?80a133=708i9;;4?80ai33=708ia;;4?80aj33=708ib;;4?80ak33=708ic;;4?80al33=708id;;4?80am33=708ie;;4?80an33=708if;;4?817833=709?0;;4?817933=709?1;;4?817:33=709?2;;4?817;33=709?3;;4?817<33=709?4;;4?817=33=709?5;;4?817>33=709?6;;4?817?33=709?7;;4?817033=709?8;;4?xu58j;1<74g3347:=2:p65e52909w08ka;3b0>;1n:0?>?5rs32`7?6=:r7=ho4>a59>2c7=<;80q~7}:>mi1=l:4=7d6>1453ty9{t:9i=6=4={<4ga?7f<27=j94;239~w76d?3:1>v39dg82e1=:>o218?<4}r03g=<72;q6:h>51`6893`>2=897p}=0b;94?4|5?o:6=mn:18180b:3;j8639fc8767=z{;:hn7>52z?5a6<6i=16:km54308yv47kj0;6?u26d695d2<5?lj69<=;|q14fb=838p1;k::0c7?80am3>9>6s|21af>5<5s4lf;296~;1m>0:m9526gf90742`>=9h>01:>>:501?xu58m;1<74g334=;>7:=2:p65b52909w08ja;3b0>;0890?>?5rs32g7?6=:r7=io4>a59>352=<;80q~7}:>li1=l:4=626>1453ty9{t:9n=6=4={<4fa?7f<27<<:4;239~w76c?3:1>v39eg82e1=:?9218?<4}r03`=<72;q6:k>51`6892612=897p}=0e;94?7>s4;1n?0:4h526g595=c<5?l36<6j;<4e=?7?m27=jl4>8d9>2cd=91o01;hl:0:f?80al3;3i639fd82<`=:>ol1=5k4=623>4>b34=;=7?7e:?447<60l16;==519g89263282n709?5;3;a>;08?0:4h5271595=c<5>:36<6j;<53=?50?2wvn:>n:1802?552=lpD9=k;%60e?44=l1Q=9m53z6e>7g=;j0vek750;&75c4=5<#<8l1=nh4n53f>4=5<#<8l1=nh4n53f>6=5<#<8l1=nh4n53f>0=5<#<8l1=nh4n53f>2=5<#<8l1=nh4n53f><=5<#<8l1=nh4n53f>g=5<#<8l1=nh4n53f>a=5<#<8l1=nh4n53f>c=4;h3g1?6=,=;m62:9j5a5=83.?=k4>cg9m04c=9:10e76g>cc83>!26n3;hj6`;1d822>=n9j:1<7*;1g82gc=i<8o1=:54i566>5<5<5<#<8l1=h64n53f>5=5<#<8l1=h64n53f>7=6=4+40d95`>54o0g0>5<#<8l1=h64n53f>1=5<#<8l1=h64n53f>3=5<#<8l1=h64n53f>==5<#<8l1=h64n53f>d=5<#<8l1=h64n53f>f=5<#<8l1=h64n53f>`=5<#<8l1=h64n53f>46<3f;ni7>5$53e>4c?3g>:i7?>;:m2aa<72->:j7?j8:l75`<6:21d=hm50;&75c<6m11e807b?ja;29 17a28o37c:>e;36?>i6m=0;6):>f;3f<>h39l0::65`1e;94?"39o0:i55a40g952=:i6=4>:183!24i38j:6F;489K06b6=44}c53g?6=<3:1n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<n6=44i0:f>5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo9=5;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo9=9;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo9=d;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo9<1;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<7>59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo9<5;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<1<75f9483>>o>>3:17d78:188m4>b2900e<7i:188m4g32900c9<=:188k1442900qo9<9;29=?6=8r.??l4;399K01?<@=9o7)5<5<5<59;294~"3;h0??55G45;8L15c3-8jh7=4i8694?=n1<0;66g66;29?l?02900e<6j:188m4?a2900e50z&77d<3;11C8974H51g?!4fl390e4:50;9j=0<722c2:7>5;h;4>5<5<5<a3`8j47>5;h0b=?6=3f9<;7>5;|q14ag=83;2wSh6;<510??234=9977:;<512??234=9;77:;<51=jk:181[7dm27a59~w76cm3:1>vP>ce9>36g=9h>0q~7}Y9ji01:=9:0c7?xu58l:1<75<5sW;h56383682e1=z{;:n>7>52z\2g==:?:91=l:4}r03a6<72;qU=n94=616>4g33ty9j6;296~X6k=16;><51`68yv47m>0;6?uQ1b18925628k?7p}=0d:94?4|V8i9709=d;3b0>{t:9o26=4={_3`5>;0:o0:m95rs32fe?6=:rT:h55273g95d28j6=kk:181[7c=27<>o4>a59~w76bm3:1>vP>d59>371=9h>0q~7}Y9m901:<6:0c7?xu58o:1<75<5sW;o=6382582e1=z{;:m>7>52z\2gg=:?;<1=l:4}r03b6<72;qU=n>4=606>4g33ty98d9>35e=91o01:>k:0:f?817m3;3i6380g82<`=:?8:1=5k4=632>4>b34=:>7?7e:?456<60l16;<:519g89272282n709>6;3;a>;09>0:4h5270:95=c<5>;26<6j;<52e?7?m27<=o4>8d9>34e=91o01:?k:0:f?816m3;3i6381g82<`=:?;:1=5k4=602>4>b34=9>7?7e:?466<60l16;>m52`:8yv47n?0;6>?t^0;e?817k3;?i6380e820`=:?9o1=9k4=62e>42b34=:<7?;e:?454<6n709>4;37a>;09<0:8h52704951c<5>;<6<:j;<524d9>34g=9=o01:?m:06f?816k3;?i6381e820`=:?8o1=9k4=63e>42b34=9<7?;e:?464<6n709=4;3:b>;0:<0:5k5273495<`<5>8<6<7i;<51n27<>44>9g9>37g=90l01:4?a34=8<7?6f:?474<61o16;><518d89254283m709<4;3:b>;0;<0:5k5272495<`<5>9<6<7i;<50n279g9>36g=90l01:=m:0;e?xu58o=1<79>6s|21d;>5<5sW;n;638238767=z{;:m57>52z\2a3=:?;;18?<4}r03bd<72;qU=h;4=603>1453ty9id;296~X6m816;b;616>{t:8:;6=4={_3ga>;09h0?>?5rs3335?6=:rT:hi5270;9074;369<=;|q1555=838pR<>;:181[7ci27<=;4;239~w777=3:1>vP>f09>343=<;80q~<>0783>7}Y9o:01:?;:501?xu599=1<79>6s|202;>5<5sW;ni638138767=z{;;;57>52z\2aa=:?8;18?<4}r024d<72;qU=hm4=633>1453ty9==l50;0xZ4ce34=;j7:=2:p646d2909wS?ja:?44`<3:;1v???d;296~X6m=16;=j54308yv468l0;6?uQ1e;8926d2=897p}=11d94?56s4=;n7>27<>9467:?460<>>27<>8467:?463<>>27<>;467:?462<>>27<>:467:?46=<>>27<>5467:?46<<>>27<>4467:?46d<>>27<>l467:?46g<>>27<>o467:?46f<>>27<>n467:?46a<>>27<>i467:?46`<>>27<>h467:?46c<>>27<>k467:?475<>>27>27>27>27467:?471<>>27>27>27>27>27>27>27>27;0:<0?>?5rs3325?6=:r7<a59>370=<;80q~<>1383>7}:?9o1=l:4=607>1453ty9=<=50;0x926a28k?709=8;616>{t:8;?6=4={<524?7f<27<>44;239~w776=3:1>v381082e1=:?;=18?<4}r0253<72;q6;<<51`68924e2=897p}=10594?4|5>;8652z?450<6i=16;?k54308yv469h0;6?u270495d2<5>8m69<=;|q154d=838p1:?8:0c7?815l3>9>6s|203`>5<5s4=:47?n4:?474<3:;1v??>d;296~;0900:m952720907434g=9h>01:=?:501?xu598l1<74g334=887:=2:p64472909w09>c;3b0>;0;<0?>?5rs3315?6=:r7<=i4>a59>365=<;80q~<>2383>7}:?8o1=l:4=614>1453ty9=?=50;0x927a28k?709<8;616>{t:88?6=4={<514?7f<27v382082e1=:?:k18?<4}r0263<72;q6;?<51`68925e2=897p}=13594?4|5>886<<7:182=~;0:=0:4h5273795=c<5>8=6<6j;<513?7?m27<>54>8d9>37?=91o01:4>b34=9j7?7e:?475<60l16;>?519g89255282n709<3;3;a>;0;=0:4h5272795=c<5>9=6<6j;<503?7?m278d9>36?=91o01:=n:0:f?814j3;3i6383b8032=zuk=8h7>537806?2asA>8h6*;3`8170ch6>u;f;0b>6e=u`l26=4+40d9b==i<8o1<65ff683>!26n3l37c:>e;38?l7c83:1(9?i:0ae?k26m3:07d?le;29 17a28im7c:>e;38?l7dl3:1(9?i:0ae?k26m3807d?lc;29 17a28im7c:>e;18?l7di3:1(9?i:0ae?k26m3>07d?l9;29 17a28im7c:>e;78?l7d03:1(9?i:0ae?k26m3<07d?l7;29 17a28im7c:>e;58?l7d>3:1(9?i:0ae?k26m3207d?l5;29 17a28im7c:>e;;8?l7d<3:1(9?i:0ae?k26m3k07d?l3;29 17a28im7c:>e;`8?l7d:3:1(9?i:0ae?k26m3i07d?l1;29 17a28im7c:>e;f8?l7c03:1(9?i:0ae?k26m3o07d?k7;29 17a28im7c:>e;d8?l7c>3:1(9?i:0ae?k26m3;;76g>d483>!26n3;hj6`;1d825>=n9m>1<7*;1g82gc=i<8o1=?54i0f0>5<#<8l1=nh4n53f>45<3`;o>7>5$53e>4ea3g>:i7?;;:k2`4<72->:j7?lf:l75`<6=21b=nl50;&75c<6ko1e8n3:17b?j9;29 17a28o37c:>e;28?j7b?3:1(9?i:0g;?k26m3;07b?j6;29 17a28o37c:>e;08?j7b=3:1(9?i:0g;?k26m3907b?j3;29 17a28o37c:>e;68?j7b:3:1(9?i:0g;?k26m3?07b?j1;29 17a28o37c:>e;48?j7b83:1(9?i:0g;?k26m3=07b?kf;29 17a28o37c:>e;:8?j7cm3:1(9?i:0g;?k26m3307b?kd;29 17a28o37c:>e;c8?j7ck3:1(9?i:0g;?k26m3h07b?kb;29 17a28o37c:>e;a8?j7ci3:1(9?i:0g;?k26m3n07b?i1;29 17a28o37c:>e;g8?j7a83:1(9?i:0g;?k26m3l07b?jf;29 17a28o37c:>e;33?>i6ml0;6):>f;3f<>h39l0:=65`1df94?"39o0:i55a40g957=5:9l5`2=83.?=k4>e99m04c=9?10c50z&77d<5i?1C8974H51g?j4f=3:17pl83g83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd0<90;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl84083>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd0<;0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl84283>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd0<=0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl84483>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd0"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl84683>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd0<10;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl84883>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd0"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl84c83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd0"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl84e83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd0"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl84g83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd0=90;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl85083>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd0=;0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl85283>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd0==0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl85483>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd0=?0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl85683><<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th<954?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd0=00;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`41d<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th<9n4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd0=m0;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`41`<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th<:=4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd0>80;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`427<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th<:94?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd0><0;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`423<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th<:54?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd0>00;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`42d<7200;6=u+42c906><@=>27E:<<729q/8>o542:8L12>3A>8h6*=ae80?l?32900e4;50;9j=3<722c2;7>5;h3;a?6=3`;2j7>5;h3b0?6=3f>9>7>5;n617?6=3th<:n4?:883>5}#<:k18>64H56:?M24l2.9mi4<;h;7>5<>o>?3:17d?7e;29?l7>n3:17d?n4;29?j25:3:17b:=3;29?xd0>m0;644?:1y'06g=<:20D9:6;I60`>"5im087d7;:188m<3=831b5;4?::k:3?6=3`;3i7>5;h3:b?6=3`;j87>5;n616?6=3f>9?7>5;|`42`<7200;6=u+42c906><@=>27E:6<729q/8>o52c`8L12>3A>8h6*=ae8;b>o5i10;66g=a883>>i4?>0;66s|200:>5<61rTm5638568:1>;0=1029638588:1>;0=h0296385c8:1>;0=j0296385e8:1>;0=l0296385g8:1>;0>9029638608:1>;0>;029638628:1>;0>=029638648:1>;0>?029638668:1>;0>1029638688:1>;0>h0296386c8:1>;0>j0296386e8:1>;0>l0296s|200b>5<61rTm;638568:0>;0=1028638588:0>;0=h0286385c8:0>;0=j0286385e8:0>;0=l0286385g8:0>;0>9028638608:0>;0>;028638628:0>;0>=028638648:0>;0>?028638668:0>;0>1028638688:0>;0>h0286386c8:0>;0>j0286386e8:0>;0>l0286s|200a>5<5sW;o<6386b82e1=z{;;9o7>52z\2g`=:??o1=l:4}r026a<72;qU=nj4=64g>4g33ty9=?k50;0xZ4ed34==57?n4:p644a2909wS?la:?42g<6i=1v??<0;296~X6k016;;o51`68yv46;80;6?uQ1b:8920128k?7p}=12094?4|V8i<70998;3b0>{t:8986=4={_3`2>;0>>0:m95rs3300?6=:rT:o85277195d2<>6<=8:181[7d:27<:=4>a59~w77403:1>vP>c09>334=9h>0q~<>3883>7}Y9m201:8>:0c7?xu59:k1<75<5sW;o:6385g82e1=z{;;8o7>52z\2`0=:?4g33ty9=>k50;0xZ4b434=>o7?n4:p645a2909wS?k2:?41g<6i=1v??;0;296~X6l816;8951`68yv46<80;6?uQ1b`8923>28k?7p}=15094?4|V8i;709:8;3b0>{t:8>86=4={_671>;0>o09m45rs3370?6=9hqU=5k4=61e>4>b34=?<7?7e:?404<60l16;9<519g89224282n709;4;3;a>;0<<0:4h5275495=c<5>><6<6j;<578d9>31g=91o01::m:0:f?813k3;3i6384e82<`=:?=o1=5k4=66e>4>b34=><7?7e:?414<60l16;8<519g89234282n709:4;3;a>;0=<0:4h5274495=c<5>515g8922628>n709;2;37a>;0<:0:8h52756951c<5>>>6<:j;<572?73m27<8:4>4d9>31>=9=o01::6:06f?813i3;?i6384c820`=:?=i1=9k4=66g>42b34=?i7?;e:?40c<6515g8923628>n709:2;37a>;0=:0:8h52746951c<5>?>6<:j;<562?73m27<9:4>9g9>30>=90l01:;6:0;e?812i3;2j6385c82=c=:?4?a34=>i7?6f:?41c<61o16;;>518d89206283m70992;3:b>;0>:0:5k5277695<`<5><>6<7i;<552?7>n27<::4>9g9>33>=90l01:86:0;e?811i3;2j6386c82=c=:??i1=4h4=64g>4?a34==i7?6f:p64212909wS?j9:?413<3:;1v??;7;296~X6m>16;8;54308yv46<10;6?uQ1d4892332=897p}=15;94?4|V8o>709:3;616>{t:8>j6=4={_3f7>;0=;0?>?5rs337f?6=:rT:i?527439074?;69<=;|q151b=838pR<:j:181[7cn27<8h4;239~w773n3:1>vP>dd9>31b=<;80q~<>5183>7}Y9mn01::l:501?xu59<;1<79>6s|2071>5<5sW;on6384`8767=z{;;>?7>52z\2`d=:?=318?<4}r0211<72;qU=k?4=66;>1453ty9=8;50;0xZ4`734=?;7:=2:p64312909wS?jf:?403<3:;1v??:7;296~X6ml16;9;54308yv46=10;6?uQ1df892232=897p}=14;94?4|V8oh709;3;616>{t:8?j6=4={_3ff>;0<;0?>?5rs336f?6=:rT:il527539074>;69<=;|q150b=838pR<;j:1805~;0;l09m8527459=3=:?<=15:5274:9=3=:?<215:5274;9=3=:?<315:5274c9=3=:?15:527779=3=:???15:527749=3=:??<15:527759=3=:??=15:5277:9=3=:??215:5277;9=3=:??315:5277c9=3=:??k15:5277`9=3=:??h15:5277a9=3=:??i15:5277f9=3=:??n15:5277g9=3=:??o15:5rs336b?6=:r7a59>30>=<;80q~<>6183>7}:?=:1=l:4=67:>1453ty9=;?50;0x922628k?709:7;616>{t:8<96=4={<576?7f<27<9o4;239~w771;3:1>v384282e1=:?>>6<89:181813>3;j86385g8767=z{;;=;7>52z?402<6i=16;8j54308yv46>10;6?u275:95d2<5><:69<=;|q153?=838p1::6:0c7?811:3>9>6s|204b>5<5s4=?m7?n4:?425<3:;1v??9b;296~;031e=9h>01:8::501?xu59?n1<74g334==?7:=2:p640b2909w09;e;3b0>;0>>0?>?5rs335b?6=:r7<8k4>a59>33>=<;80q~<>7183>7}:?<:1=l:4=645>1453ty9=:?50;0x923628k?7099a;616>{t:8=96=4={<566?7f<27<:o4;239~w770;3:1>v385282e1=:??318?<4}r0231<72;q6;8:51`68920c2=897p}=16794?4|5>?>6<99:181812>3;j86386b8767=z{;;<;7>518y>301=91o01:;7:0:f?81213;3i6385`82<`=:?4>b34=>h7?7e:?41`<60l16;8h519g89207282n70991;3;a>;0>;0:4h5277195=c<5>8d9>331=91o01:87:0:f?81113;3i6386`82<`=:??h1=5k4=64`>4>b34==h7?7e:?42`<60l16;;h53658yxd0?90;6>853387b~N3;m1/8>o5227f?_73k39p8k4=a;1`>xoa13:1(9?i:g:8j17b2910ek950;&75c;:k2`5<72->:j7?lf:l75`<732c:oh4?:%62b?7dn2d?=h4>;:k2ga<72->:j7?lf:l75`<532c:on4?:%62b?7dn2d?=h4<;:k2gd<72->:j7?lf:l75`<332c:o44?:%62b?7dn2d?=h4:;:k2g=<72->:j7?lf:l75`<132c:o:4?:%62b?7dn2d?=h48;:k2g3<72->:j7?lf:l75`:j7?lf:l75`4?:%62b?7dn2d?=h4m;:k2g7<72->:j7?lf:l75`:j7?lf:l75`:j7?lf:l75`<6821b=i;50;&75c<6ko1e8e;30?>o6l;0;6):>f;3`b>h39l0:865f1e394?"39o0:ok5a40g950=:j7?j8:l75`<632e:i;4?:%62b?7b02d?=h4=;:m2a0<72->:j7?j8:l75`<432e:i>4?:%62b?7b02d?=h4;;:m2a7<72->:j7?j8:l75`<232e:i<4?:%62b?7b02d?=h49;:m2a5<72->:j7?j8:l75`<032e:hk4?:%62b?7b02d?=h47;:m2``<72->:j7?j8:l75`<>32e:hi4?:%62b?7b02d?=h4n;:m2`f<72->:j7?j8:l75`:j7?j8:l75`:j7?j8:l75`0:9l5`c=83.?=k4>e99m04c=9810cec83>!26n3;n46`;1d820>=h9lk1<7*;1g82a==i<8o1=854o0g7>5<#<8l1=h64n53f>40<3f;o57>5$53e>4c?3g>:i7?8;:`434<7280;6=u+42c96d0<@=>27E:N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a325=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi;::50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a323=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi;:850;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a321=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi;:650;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a32?=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi;:o50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a32d=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi;:m50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a32b=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi;:k50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a32`=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi;5>50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a3=7=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi;5<50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a3=5=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi;5:50;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a3=3=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi;5850;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a3=1=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi;5650;694?6|,=9j6?7j;I67=>N3;m1/>lj52:k20`<722c:4h4?::k2e1<722e?>?4?::a3=?=83>1<7>t$51b>7?b3A>?56F;3e9'6db=:2c:8h4?::k2<`<722c:m94?::m767<722wi;5o50;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e?1h1<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a3=e=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm79f94??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi;5k50;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e?1l1<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a3<6=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm78394??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi;4<50;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e?091<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a3<2=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm78794??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi;4850;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e?0=1<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a3<>=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm78;94??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi;4o50;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e?0h1<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a3t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm78f94??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi;4k50;;94?6|,=9j69=7;I67=>N3;m1/>lj53:k:0?6=3`3>6=44i8494?=n1>0;66g>8d83>>o61o0;66g>a583>>i3:;0;66a;2283>>{e?0l1<7750;2x 15f2=937E:;9:J77a=#:hn1?6g64;29?l?22900e4850;9j=2<722c:4h4?::k2=c<722c:m94?::m767<722e?>>4?::a3d6=8331<7>t$51b>15?3A>?56F;3e9'6db=;2c287>5;h;6>5<>o60l0;66g>9g83>>o6i=0;66a;2383>>i3::0;66sm7`394??=83:p(9=n:51;?M2312B??i5+2`f97>o><3:17d7::188m<0=831b5:4?::k2<`<722c:5k4?::k2e1<722e?>?4?::m766<722wi;l<50;194?6|,=9j6?lm;I67=>N3;m1/>lj58g9j6d>=831b>l750;9l721=831v??88;295<}Yn016;5o5949>3=d=1<16;5m5949>3=b=1<16;5k5949>3=`=1<16;4>5949>3<7=1<16;4<5949>3<5=1<16;4:5949>3<3=1<16;485949>3<1=1<16;465949>3333<`=1<16;l>5949>3d7=1<1v??89;295<}Yn>16;5o5959>3=d=1=16;5m5959>3=b=1=16;5k5959>3=`=1=16;4>5959>3<7=1=16;4<5959>3<5=1=16;4:5959>3<3=1=16;485959>3<1=1=16;465959>3333<`=1=16;l>5959>3d7=1=1v??8a;296~X6l916;4h51`68yv46?k0;6?uQ1bg892g628k?7p}=16a94?4|V8io709n0;3b0>{t:8=o6=4={_3`g>;01j0:m95rs334a?6=:rT:ol5278g95d23o6<6>:181[7d?27<5o4>a59~w77?:3:1>vP>c79>30q~<>8283>7}Y9j?01:79:0c7?xu591>1<703;j86s|20:6>5<5sW;h?6389682e1=z{;;3:7>52z\2g7=:?091=l:4}r02<2<72;qU=n?4=6;6>4g33ty9=5650;0xZ4b?34=287?n4:p64>>2909wS?k7:?4=5<6i=1v??7a;296~X6l?16;4<51`68yv460k0;6?uQ1e7892?628k?7p}=19a94?4|V8n?7097d;3b0>{t:82o6=4={_3g7>;00o0:m95rs33;a?6=:rT:h?5279g95d22j6<7>:181[7d827<4o4>a59~w77>:3:1>vP;449>3d4=:h30q~<>9283>4g|V82n70982;3;a>;0?:0:4h5276695=c<5>=>6<6j;<542?7?m27<;:4>8d9>32>=91o01:96:0:f?810i3;3i6387c82<`=:?>i1=5k4=65g>4>b34=519g892>6282n70972;3;a>;00:0:4h5279695=c<5>2>6<6j;<5;2?7?m27<4:4>8d9>3=>=91o01:66:0:f?81f:38j46s|20;7>5<49rT:5k52760951c<5>=86<:j;<540?73m27<;84>4d9>320=9=o01:98:06f?81003;?i63878820`=:?>k1=9k4=65a>42b34=n70970;37a>;0080:8h52790951c<5>286<:j;<5;0?73m27<484>4d9>3=0=9=o01:68:06f?81?03;?i63888820`=:?1k1=4h4=6:a>4?a34=3o7?6f:?4a283m70960;3:b>;0180:5k5278095<`<5>386<7i;<5:0?7>n27<584>9g9>3<0=90l01:78:0;e?81>03;2j6389882=c=:?0k1=4h4=6;a>4?a34=2o7?6f:?4=a<61o16;4k518d892?a283m709n0;3:b>;0i80:5k5rs33:1?6=:rT:i45279;90742369<=;|q15<1=838pR<77:181[7b=27<4;4;239~w77>13:1>vP>e29>3=3=<;80q~<>9`83>7}Y9l801:6;:501?xu590h1<79>6s|20;`>5<5sW;n<638838767=z{;;2h7>52z\2`c=:?1;18?<4}r02=`<72;qU=ik4=6:3>1453ty9=4h50;0xZ4bc34={t:8k?6=4={_3e4>;0?h0?>?5rs33b1?6=:rT:ik5276;9074=369<=;|q15d1=838pRvP>ec9>323=<;80q~<>a`83>7}Y9lk01:9;:501?xu59hh1<79>6s|20c`>5<5sW;o5638738767=z{;;jh7>530y>327=:h?01:6n:84892>f20=01:6m:84892>e20=01:6l:84892>d20=01:6k:84892>c20=01:6j:84892>b20=01:6i:84892>a20=01:7?:84892?720=01:7>:84892?620=01:7=:84892?520=01:7<:84892?420=01:7;:84892?320=01:7::84892?220=01:79:84892?120=01:78:84892?020=01:77:84892??20=01:76:84892?>20=01:7n:84892?f20=01:7m:84892?e20=01:7l:84892?d20=01:7k:84892?c20=01:7j:84892?b20=01:7i:84892?a20=01:o?:84892g720=01:o>:84892g620=0q~<>ad83>7}:?>81=l:4=6:a>1453ty9=lh50;0x921428k?7097c;616>{t:8h;6=4={<540?7f<27<4l4;239~w77e93:1>v387482e1=:?1o18?<4}r02f7<72;q6;:851`6892>a2=897p}=1c194?4|5>=<652z?43<<6i=16;4<54308yv46j?0;6?u276c95d2<5>3;69<=;|q15g1=838p1:9m:0c7?81><3>9>6s|20`;>5<5s4=32c=9h>01:78:501?xu59kh1<74g334=247:=2:p64dd2909w0970;3b0>;01?0?>?5rs33a`?6=:r7<4<4>a59>3bd83>7}:?181=l:4=6;a>1453ty9=oh50;0x92>428k?70969;616>{t:8i;6=4={<5;0?7f<27<5i4;239~w77d93:1>v388482e1=:?0o18?<4}r02g7<72;q6;5851`6892?d2=897p}=1b194?4|5>2<652z?4<<<6i=16;4h54308yv46k?0;6<7t=6:b>4>b34=3n7?7e:?4b282n7097f;3;a>;0190:4h5278395=c<5>396<6j;<5:7?7?m27<594>8d9>3<3=91o01:79:0:f?81>?3;3i6389982<`=:?031=5k4=6;b>4>b34=2n7?7e:?4=f<60l16;4j519g892?b282n7096f;3;a>;0i90:4h527`395=c<5>k96>98;|a3d5=839=6><54gyK06b<,=9j6?=:e:X20f<4s=l1>l4:j7h7;o62a?6<3`l<6=4+40d9b==i<8o1=65f1e294?"39o0:ok5a40g94>=n9jo1<7*;1g82gc=i<8o1=65f1bf94?"39o0:ok5a40g96>=n9ji1<7*;1g82gc=i<8o1?65f1bc94?"39o0:ok5a40g90>=n9j31<7*;1g82gc=i<8o1965f1b:94?"39o0:ok5a40g92>=n9j=1<7*;1g82gc=i<8o1;65f1b494?"39o0:ok5a40g9<>=n9j?1<7*;1g82gc=i<8o1565f1b694?"39o0:ok5a40g9e>=n9j91<7*;1g82gc=i<8o1n65f1b094?"39o0:ok5a40g9g>=n9j;1<7*;1g82gc=i<8o1h65f1e:94?"39o0:ok5a40g9a>=n9m=1<7*;1g82gc=i<8o1j65f1e494?"39o0:ok5a40g955=6=4+40d95f`4?:%62b?7dn2d?=h4>3:9j5a4=83.?=k4>cg9m04c=9=10e:18'04`=9jl0b9?j:078?l7dj3:1(9?i:0ae?k26m3;=76g>c183>!26n3;hj6`;1d823>=n<=?1<75f19g94?=n<:;1<75f18d94?=h9l31<7*;1g82a==i<8o1<65`1d594?"39o0:i55a40g95>=h9l<1<7*;1g82a==i<8o1>65`1d794?"39o0:i55a40g97>=h9l91<7*;1g82a==i<8o1865`1d094?"39o0:i55a40g91>=h9l;1<7*;1g82a==i<8o1:65`1d294?"39o0:i55a40g93>=h9ml1<7*;1g82a==i<8o1465`1eg94?"39o0:i55a40g9=>=h9mn1<7*;1g82a==i<8o1m65`1ea94?"39o0:i55a40g9f>=h9mh1<7*;1g82a==i<8o1o65`1ec94?"39o0:i55a40g9`>=h9o;1<7*;1g82a==i<8o1i65`1g294?"39o0:i55a40g9b>=h9ll1<7*;1g82a==i<8o1==54o0gf>5<#<8l1=h64n53f>47<3f;nh7>5$53e>4c?3g>:i7?=;:m2af<72->:j7?j8:l75`<6;21d=hl50;&75c<6m11e8e;35?>i6l00;6):>f;3f<>h39l0:;65m7`694?7=83:p(9=n:3c5?M2312B??i5`2`794?=zj>k>6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb6c5>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj>k<6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb6c;>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj>k26=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb6cb>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj>ki6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb6c`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj>ko6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb6cf>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj>km6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb6`3>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj>h:6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb6`1>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj>h86=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb6`7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj>h>6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb6`5>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj>h<6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb6`;>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj>h26=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb6`b>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj>hi6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rb6``>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zj>ho6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f2db29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb6`e>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn:m?:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<i:6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f2e529026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb6a0>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn:m;:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<i>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f2e129026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb6a4>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn:m7:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<i26=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f2ef29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb6aa>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn:ml:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<io6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f2eb29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb6ae>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn:j?:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<n:6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~f2b529026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb6f0>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vn:j;:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<n>6=4<:183!24i38in6F;489K06b<,;ko65h4i3c;>5<5<ho64;4=6`f><3<5>hm64;4=6a3><3<5>i:64;4=6a1><3<5>i864;4=6a7><3<5>i>64;4=6a5><3<5>i<64;4=6a;><3<5>i264;4=6ab><3<5>ii64;4=6a`><3<5>io64;4=6af><3<5>im64;4=6f3><3<5>n:64;4=6f1><3<5>n864;4=6f7><3ho64:4=6`f><2<5>hm64:4=6a3><2<5>i:64:4=6a1><2<5>i864:4=6a7><2<5>i>64:4=6a5><2<5>i<64:4=6a;><2<5>i264:4=6ab><2<5>ii64:4=6a`><2<5>io64:4=6af><2<5>im64:4=6f3><2<5>n:64:4=6f1><2<5>n864:4=6f7><2n964>a59~w77dk3:1>vP>cb9>3f`=9h>0q~<>ce83>7}Y9jk01:j>:0c7?xu59jo1<75<5sW;h4638cb82e1=z{;;o<7>52z\2g2=:?jo1=l:4}r02`4<72;qU=n84=6ag>4g33ty9=i<50;0xZ4e234=h57?n4:p64b42909wS?l4:?4gg<6i=1v??k4;296~X6k:16;no51`68yv46l<0;6?uQ1b0892e128k?7p}=1e494?4|V8i:709l8;3b0>{t:8n<6=4={_3g<>;0k>0:m95rs33gi>6a59~w77ck3:1>vP>d29>3f4=9h>0q~<>de83>7}Y9m801:m>:0c7?xu59mo1<75<5sW;hn638bg82e1=z{;;n<7>52z\2g5=:?ko1=l:4}r02a4<72;qU89;4=6f6>7g>3ty9=h<50;3b[7?m278d9>3d0=91o01:o8:0:f?81f03;3i638a882<`=:?hk1=5k4=6ca>4>b34=jo7?7e:?4ea<60l16;lk519g892ga282n709m0;3;a>;0j80:4h527c095=c<5>h86<6j;<5a0?7?m278d9>3g0=91o01:l8:0:f?81e03;3i638b882<`=:?kk1=5k4=6`a>4>b34=io7?7e:?4`0<5i11v??j3;2974}Y90l01:o::06f?81f>3;?i638a6820`=:?h21=9k4=6c:>42b34=jm7?;e:?4eg<6n709ne;37a>;0io0:8h527c2951c<5>h:6<:j;<5a6?73m274>4d9>3g2=9=o01:l::06f?81e>3;?i638b6820`=:?k21=9k4=6`:>42b34=im7?;e:?4fg<6;0jo0:5k527b295<`<5>i:6<7i;<5`6?7>n274>9g9>3f2=90l01:m::0;e?81d>3;2j638c682=c=:?j21=4h4=6a:>4?a34=hm7?6f:?4gg<61o16;nm518d892ec283m709le;3:b>;0ko0:5k527e295<`<5>n:6<7i;<5g6?7>n274>9g9>3a2=90l0q~<>e583>7}Y9l301:ll:501?xu59l?1<79>6s|20g5>5<5sW;n:638b`8767=z{;;n;7>52z\2a0=:?k318?<4}r02a=<72;qU=h=4=6`;>1453ty9=h750;0xZ4c534=i;7:=2:p64cf2909wS?j1:?4f3<3:;1v??jb;296~X6m916;o;54308yv46mj0;6?uQ1ed892d32=897p}=1df94?4|V8nn709m3;616>{t:8on6=4={_3g`>;0j;0?>?5rs33fb?6=:rT:hn527c39074h;69<=;|q15c7=838pRvP>f19>3db=<;80q~<>f583>7}Y9ll01:ol:501?xu59o?1<79>6s|20d5>5<5sW;nh638a`8767=z{;;m;7>52z\2af=:?h318?<4}r02b=<72;qU=hl4=6c;>1453ty9=k750;0xZ4cf34=j;7:=2:p64`f2909wS?j4:?4e3<3:;1v??ib;296~X6l016;l;54308yv46nj0;6>?t=6c7>7g234=ih779;<5a`??034=ii779;<5aa??034=ij779;<5ab??034=h<779;<5`4??034=h=779;<5`5??034=h>779;<5`6??034=h?779;<5`7??034=h8779;<5`0??034=h9779;<5`1??034=h:779;<5`2??034=h;779;<5`3??034=h4779;<5`779;<5g6??034=o?779;<5g7??034=o8779;<5g0??03ty9=kj50;0x92g228k?709me;616>{t:8ln6=4={<5b2?7f<27v38a682e1=:?kn18?<4}r0145<72;q6;l651`6892e62=897p}=21394?4|5>k26?>=:18181fi3;j8638c18767=z{;8;?7>52z?4eg<6i=16;n:54308yv458=0;6?u27`a95d2<5>i>69<=;|q1653=838p1:ok:0c7?81d;3>9>6s|2325>5<5s4=ji7?n4:?4g2<3:;1v?3g6=9h>01:m9:501?xu5:931<74g334=hm7:=2:p676f2909w09m2;3b0>;0kk0?>?5rs303f?6=:r74>a59>3f?=<;80q~<=0b83>7}:?k>1=l:4=6ag>1453ty9>=j50;0x92d228k?709le;616>{t:;:n6=4={<5a2?7f<27v38b682e1=:?m:18?<4}r0155<72;q6;o651`6892b62=897p}=20394?4|5>h26??=:18181ei3;j8638d28767=z{;8:?7>52z?4fg<6i=16;i:54308yv459=0;6?u27ca95d2<5>n969<=;|q1643=83;2w09md;3;a>;0jl0:4h527cd95=c<5>i;6<6j;<5`5?7?m278d9>3f5=91o01:m;:0:f?81d=3;3i638c782<`=:?j=1=5k4=6a;>4>b34=h57?7e:?4gd<60l16;nl519g892ed282n709ld;3;a>;0kl0:4h527bd95=c<5>n;6<6j;<5g5?7?m278d9>3a5=91o01:j;:0:f?81c=39<;6srb6f5>5<383936;;tH51g?!24i3;=9=5U15a91~3228n19;4=0;02>xoan3:1(9?i:gg8j17b2910ekj50;&75c;:kef?6=,=;m6kk4n53f>7=5<#<8l1=ko4n53f>4=5<#<8l1=ko4n53f>6=5<#<8l1=ko4n53f>0=5<#<8l1=ko4n53f>2=5<#<8l1=ko4n53f><=5<#<8l1=ko4n53f>g=5<#<8l1=ko4n53f>a=5<#<8l1=ko4n53f>c=4;h3e6?6=,=;m6:j7??4:l75`<632c:<<4?:%62b?77<2d?=h4=;:k703<722c:4h4?::k774<722c:5k4?::m16a<72->:j7<=c:l75`<732e9>o4?:%62b?45k2d?=h4>;:m16d<72->:j7<=c:l75`<532e9>44?:%62b?45k2d?=h4<;:m16=<72->:j7<=c:l75`<332e9>:4?:%62b?45k2d?=h4:;:m163<72->:j7<=c:l75`<132e9>84?:%62b?45k2d?=h48;:m110<72->:j7<=c:l75`:j7<=c:l75`:j7<=c:l75`:j7<=c:l75`:j7<=c:l75`<6821d>?:50;&75c<5:j1e80290/82290/84290/8e;32?>d0l>0;6<4?:1y'06g=:h<0D9:6;I60`>i5i<0;66sm7e:94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?m31<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7ec94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?mh1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7ea94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?mn1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7eg94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?ml1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7d294?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?l;1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7d094?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?l91<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7d694?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?l?1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7d494?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?l=1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7d:94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?l31<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7dc94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?lh1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7da94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?ln1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7dg94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?ll1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7g294?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?o;1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7g094?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?o91<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7g694?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?o?1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7g494?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?o=1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7g:94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?o31<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7gc94?2=83:p(9=n:3;f?M2312B??i5+2`f96>o68d83>>o6i=0;66a;2383>>{e?oh1<7:50;2x 15f2;3n7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>i3:;0;66sm7ga94?`=83:p(9=n:506?M2312B??i5+2`f9`>o><3:17d7::188m<0=831b5:4?::k:5;h0;a?6=3`83j7>5;h0:4?6=3`82=7>5;h0:6?6=3`>?87>5;h671?6=3f>8;7>5;n0;`?6=3th5}#<:k18?;4H56:?M24l2.9mi4k;h;7>5<>o>?3:17d77:188m4g32900e?6j:188m7>a2900e?7?:188m7?62900e?7=:188m1232900e9:::188k1502900c?6k:188yg1am3:1j7>50z&77d<3:<1C8974H51g?!4fl3;;7d7;:188m<3=831b5;4?::k:3?6=3`336=44i0c7>5<5<5<5<>6=44o514>5<1<75f9483>>o>>3:17d78:188m<>=831b=l:50;9j6=c=831b>5h50;9j6<6=831b>4?50;9j6<4=831b89:50;9j013=831d8>950;9l6=b=831vn5>?:18e>5<7s->8m7:=5:J70<=O<:n0(?ok:e9j=1<722c297>5;h;5>5<>o6i=0;66g=8d83>>o50o0;66g=9183>>o5180;66g=9383>>o3<=0;66g;4483>>i3;>0;66a=8e83>>{e09;1<7h50;2x 15f2=8>7E:;9:J77a=#:hn186g64;29?l?22900e4850;9j=2<722c247>5;h3b0?6=3`83i7>5;h0;b?6=3`82<7>5;h0:5?6=3`82>7>5;h670?6=3`>?97>5;n603?6=3f83h7>5;|`;47<72o0;6=u+42c9073<@=>27E:5;h;6>5<>o>03:17d?n4;29?l4?m3:17d<7f;29?l4>83:17d<61;29?l4>:3:17d:;4;29?l23=3:17b:<7;29?j4?l3:17pl70283>c<729q/8>o54378L12>3A>8h6*=ae8;?l?32900e4;50;9j=3<722c2;7>5;h;;>5<5<5<5<?6=44i566>5<5<5f;294~"3;h0?>85G45;8L15c3-8jh7k4i8694?=n1<0;66g66;29?l?02900e4650;9j5d2=831b>5k50;9j6=`=831b>4>50;9j6<7=831b>4<50;9j012=831b89;50;9l061=831d>5j50;9~f=62290m6=4?{%60e?25=2B?845G42f8 7gc2h1b594?::k:1?6=3`3=6=44i8594?=n110;66g>a583>>o50l0;66g=8g83>>o5190;66g=9083>>o51;0;66g;4583>>o3<<0;66a;3683>>i50m0;66sm81494?`=83:p(9=n:506?M2312B??i5+2`f957=n1=0;66g65;29?l?12900e4950;9j==<722c:m94?::k1<`<722c94k4?::k1=5<722c95<4?::k1=7<722c?894?::k700<722e??:4?::m1N3;m1/>lj5a:k:0?6=3`3>6=44i8494?=n1>0;66g68;29?l7f<3:17d<7e;29?l4?n3:17d<60;29?l4>93:17d<62;29?l23<3:17d:;5;29?j24?3:17b<7d;29?xd?810;6k4?:1y'06g=<;?0D9:6;I60`>"5im0j7d7;:188m<3=831b5;4?::k:3?6=3`336=44i0c7>5<5<5<5<>6=44o514>5<1<75f9483>>o>>3:17d78:188m<>=831b=l:50;9j6=c=831b>5h50;9j6<6=831b>4?50;9j6<4=831b89:50;9j013=831d8>950;9l6=b=831vn5>n:18e>5<7s->8m7:=5:J70<=O<:n0(?ok:`9j=1<722c297>5;h;5>5<>o6i=0;66g=8d83>>o50o0;66g=9183>>o5180;66g=9383>>o3<=0;66g;4483>>i3;>0;66a=8e83>>{e09h1<7h50;2x 15f2=8>7E:;9:J77a=#:hn1m6g64;29?l?22900e4850;9j=2<722c247>5;h3b0?6=3`83i7>5;h0;b?6=3`82<7>5;h0:5?6=3`82>7>5;h670?6=3`>?97>5;n603?6=3f83h7>5;|`;4f<72o0;6=u+42c9073<@=>27E:b2900e?6i:188m7?72900e?7>:188m7?52900e9:;:188m1222900c9=8:188k7>c2900qo6?d;29b?6=8r.??l4;249K01?<@=9o7)1<75f29g94?=n:1l1<75f28294?=n:0;1<75f28094?=n<=>1<75f45794?=h<:=1<75`29f94?=zj1:n6=4<:183!24i38in6F;489K06b<,;ko65h4i3c;>5<5<lh6484=6dg><0<5>ln6484=6de><0<51:;6484=922><0<51:96484=920><0<51:?6484=926><0<51:=6484=924><0<51:36484=92:><0<51:j6484=92a><0<51:h6484=92g><0lh64;4=6dg><3<5>ln64;4=6de><3<51:;64;4=922><3<51:964;4=920><3<51:?64;4=926><3<51:=64;4=924><3<51:364;4=92:><3<51:j64;4=92a><3<51:h64;4=92g><3lh64:4=6dg><2<5>ln64:4=6de><2<51:;64:4=922><2<51:964:4=920><2<51:?64:4=926><2<51:=64:4=924><2<51:364:4=92:><2<51:j64:4=92a><2<51:h64:4=92g><2??m:181[7a0273a59~w746k3:1>vP>f69><5g=9h>0q~<=1e83>7}Y9o<015>6:0c7?xu5:8o1<7703;j86s|233e>5<5sW;m86370482e1=z{;89<7>52z\2b6=:09=1=l:4}r0164<72;qU>?=4=925>4g33ty9>?<50;0xZ745342;87?n4:p67442909wS<=1:?;46<6i=1v?<=4;296~X5:9164=<51`68yv45:<0;6?uQ20d89=6628k?7p}=23494?4|V;;n706?0;3b0>{t:;8<6=4={_020>;0no0:m95rs30144?:3y]5cc<5>ln6?;0no095=5281296<6<51::6?7?;<:36?4>8273<>4=919><52=:0:015>::3;3?8>7>382<6370681=5=:0921>4>4=92:>7?7342;m7<60:?;4g<519164=m528289=6c2;3;7p}=23a94?74sW;;?638fb815h4=6df>7>a34=mj7<7f:?;45<50o164=?529d89=652;2m706?3;0;b>;?8=094k5281796=`<51:=6?6i;<:33?4?n273<54=8g9><5?=:1l015>n:3:e?8>7j383j6370b815h4}r016a<7289pR<>>;<5eg?4?m273cc=:1o01:hi:3:f?8>78383i6370081<`=:0981>5k4=920>7>b342;87<7e:?;40<50l164=8529g89=602;2n706?8;0;a>;?80094h5281c96=c<51:i6?6j;<:3g?4?m273vP;479><5c=:h30q~<=2g83>70|V82n709k8;3;a>;0l00:4h527ec95=c<5>ni6<6j;<5gg?7?m278d9>3ac=91o01:ji:0:f?81b83;3i638e082<`=:?l81=5k4=6g0>4>b34=n87?7e:?4a0<60l16;h8519g892c0282n709j8;3;a>;0m00:4h527dc95=c<5>oi6<6j;<5fg?7?m278d9>3`c=91o01:ki:0:f?81a83;3i638f082<`=:?o81=5k4=6d0>4>b34=m87?7e:?4b0<60l16;k8519g892`0282n709i8;3;a>;0n00:4h527gc95=c<5>li6<6j;<:3a?4f02wx>?=?:1803~X61o16;i6515g892b>28>n709ka;37a>;0lk0:8h527ea951c<5>no6<:j;<5ga?73m274d9>3`6=9=o01:k>:06f?81b:3;?i638e2820`=:?l>1=9k4=6g6>42b34=n:7?;e:?4a2<628>n709ja;37a>;0mk0:8h527da951c<5>oo6<:j;<5fa?73m274d9>3c6=9=o01:h>:06f?81a:3;?i638f2820`=:?o>1=9k4=6d6>42b34=m:7?;e:?4b2<628>n709ia;37a>;0nk0:8h527ga9012<5>lo69:;;<5ea?23<27<56=<=>015>>:567?8>7:3>?8637028701=:09>189:4=926>123342;:7:;4:?;42<3<=164=6545689=6>2=>?706?a;670>;?8k0?895281a9012<51:o69:;;|q1667=838pR??==:181[45j27vP=2`9>3`1=<;80q~<=3583>7}Y:;301:k9:501?xu5::?1<79>6s|2315>5<5sW89;638e58767=z{;88;7>52z\163=:?l918?<4}r017=<72;qU>?;4=6g1>1453ty9>>750;0xZ73234=n=7:=2:p675f2909wS<:4:?4a5<3:;1v?<{t:;9n6=4={_064>;0lj0?>?5rs300b?6=:rT98;527e`9074nj69<=;|q1617=838pR?=?;<5g=?25:2wx>?:=:181[45<27vP=5g9>3cd=<;80q~<=4583>7}Y:9>6s|2365>5<5sW8>n638f98767=z{;8?;7>52z\11d=:?o=18?<4}r010=<72;qU>874=6d5>1453ty9>9750;0xZ73?34=m97:=2:p672f2909wS<:7:?4b1<3:;1v?<;b;296~X50>16;k=54308yv45709i1;616>{t:;>n6=4={_0;0>;0n90?>?5rs307b?6=:rT94>527dd9074on69<=;|q1607=838pR?97;<5f`?25:2wx>?;=:181[41l27vP=639>3`d=<;80q~<=5583>7}Y:<<01:kn:501?xu5:0273ce=:0801:hk:85892`c20201:hk:3;2?81al382>638fd8:3>;0nl024638fd81=4=:?oo1>4<4=6de><1<5>lm6464=6de>7?634=mj7<62:?;45<>?273<=468:?;45<518164=>528089=6620=015>>:8:89=662;3:706?1;0:6>;?8;02;637038:<>;?8;095<5281096<4<51:86494=920><><51:86?7>;<:37?4>:273<9467:?;41<>0273<94=909><52=:08015>::8589=62202015>::3;2?8>7=382>637078:3>;?8?0246370781=4=:09<1>4<4=924><1<51:<6464=924>7?6342;;7<62:?;4=<>?273<5468:?;4=<518164=6528089=6>20=015>6:8:89=6>2;3:706?9;0:6>;?8h02;6370`8:<>;?8h095<5281c96<4<51:i6494=92a><><51:i6?7>;<:3f?4>:2730273<5e=:08015>k:8589=6c202015>k:3;2?8>7l382>6s|2375>5<5s4=o47?n4:?4ba<3;>1v?<:7;296~;0l00:m9527gg90613ag=9h>01:hl:514?xu5:<31<74g334=mj7:<7:p673f2909w09kc;3b0>;?890??:5rs306f?6=:r7a59><57=<:=0q~<=5b83>7}:?mo1=l:4=921>1503ty9>8j50;0x92ba28k?706?3;603>{t:;?n6=4={<5f4?7f<273<94;369~w742n3:1>v38e082e1=:09<18>94}r0125<72;q6;h<51`689=602=9<7p}=27394?4|5>o86?8=:18181b<3;j8637098772=z{;8=?7>52z?4a0<6i=164=754258yv45>=0;6?u27d495d2<51:j69=8;|q1633=838p1:k8:0c7?8>7j3>8;6s|2345>5<5s4=n47?n4:?;4f<3;>1v?<97;296~;0m00:m95281f90613`g=9h>01:hk:3:g?xu5:?31<74g334=mi7<7d:p670f2909w09jc;3b0>;0nj094i5rs305f?6=:r7a59>3c`=:1n0q~<=6b83>7}:?lo1=l:4=923>7>c3ty9>;j50;0x92ca28k?706?1;0;`>{t:;v38f082e1=:0991>5j4}r0135<72;q6;k<51`689=632;2o7p}=26394?4|5>l86?9=:18181a<3;j8637068152z?4b0<6i=164=;529f8yv45?=0;6?u27g495d2<51:36?6k;|q1623=838p1:h8:0c7?8>71383h6s|2355>5<5s4=m47?n4:?;4d<50m1v?<87;296~;0n00:m95281`96=b3cg=9h>015>l:3:g?xu5:>31<74g3342;h7<7d:p671f290:?v38fb8700=:?on189;4=6df>12234=mj7:;5:?;45<3<<164=?545789=652=>>706?3;671>;?8=0?88528179013<51:=69::;<:33?23=273<54;449><5?=<=?015>n:566?8>7j3>?96370b8700=:09n189;4=92f>6103twi4=h50;63>6>=>j4$51b>40292P:8n4:{4795a<2>38;6??5}hde>5<#<8l1jh5a40g94>=nnm0;6):>f;df?k26m3;07dhm:18'04`=nl1e8f`9m04c=821b=k750;&75c<6nh1e8=83.?=k4>f`9m04c=:21b=k950;&75c<6nh1e8f`9m04c=<21b=k;50;&75c<6nh1e8f`9m04c=>21b=k=50;&75c<6nh1e8f`9m04c=021b>?<50;&75c<6nh1e8f`9m04c=i21b>?>50;&75c<6nh1e8f`9m04c=k21b>f`9m04c=m21b>=750;&75c<6nh1e8f`9m04c=9910ee;38?l7793:1(9?i:027?k26m3807d:;6;29?l7?m3:17d:<1;29?l7>n3:17b<=d;29 17a2;8h7c:>e;28?j45j3:1(9?i:30`?k26m3;07b<=a;29 17a2;8h7c:>e;08?j4513:1(9?i:30`?k26m3907b<=8;29 17a2;8h7c:>e;68?j45?3:1(9?i:30`?k26m3?07b<=6;29 17a2;8h7c:>e;48?j45=3:1(9?i:30`?k26m3=07b<:5;29 17a2;8h7c:>e;:8?j42<3:1(9?i:30`?k26m3307b<:3;29 17a2;8h7c:>e;c8?j42:3:1(9?i:30`?k26m3h07b<:1;29 17a2;8h7c:>e;a8?j4283:1(9?i:30`?k26m3n07b<;6;29 17a2;8h7c:>e;g8?j44j3:1(9?i:30`?k26m3l07b<<0;29 17a2;8h7c:>e;33?>i5:=0;6):>f;01g>h39l0:=65`24d94?"39o099h5a40g94>=h:=h:=h:<31<7*;1g811`=i<8o1965`24:94?"39o099h5a40g92>=h:<=1<7*;1g811`=i<8o1;65`29594?"39o099h5a40g9<>=h:1<1<7*;1g811`=i<8o1565`29794?"39o099h5a40g9e>=h:1>1<7*;1g811`=i<8o1n65`29194?"39o099h5a40g9g>=h:181<7*;1g811`=i<8o1h65`26:94?"39o099h5a40g9a>=h:?n1<7*;1g811`=i<8o1j65`27094?"39o099h5a40g955=5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;57<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3=>4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;51<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3=84?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;53<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3=:4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;5=<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3=44?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;5d<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3=o4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;5f<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3=i4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;5`<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3=k4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;65<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3><4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;67<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3>>4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;61<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3>84?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;63<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3>:4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;6=<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3>44?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;6d<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3>o4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;6f<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3>i4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;6`<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3>k4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;75<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3?<4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;77<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3?>4?:583>5}#<:k1>4k4H56:?M24l2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3f>9>7>5;|`;71<72=0;6=u+42c9627E:5;h3;a?6=3`;j87>5;n616?6=3th3?84?:g83>5}#<:k18?;4H56:?M24l2.9mi4>3:k:0?6=3`3>6=44i8494?=n1>0;66g68;29?l7f<3:17d<7e;29?l4?n3:17d<60;29?l4>93:17d<62;29?l23<3:17d:;5;29?j24?3:17b<7d;29?xd?;?0;6k4?:1y'06g=<;?0D9:6;I60`>"5im0=7d7;:188m<3=831b5;4?::k:3?6=3`336=44i0c7>5<5<5<5<>6=44o514>5<b2900e?6i:188m7?72900e?7>:188m7?52900e9:;:188m1222900c9=8:188k7>c2900qo6<8;29b?6=8r.??l4;249K01?<@=9o7)1<75f29g94?=n:1l1<75f28294?=n:0;1<75f28094?=n<=>1<75f45794?=h<:=1<75`29f94?=zj1926=4i:183!24i3>996F;489K06b<,;ko6;5f9583>>o>=3:17d79:188m<1=831b554?::k2e1<722c94h4?::k1t$51b>1423A>?56F;3e9'6db=n2c287>5;h;6>5<>o>03:17d?n4;29?l4?m3:17d<7f;29?l4>83:17d<61;29?l4>:3:17d:;4;29?l23=3:17b:<7;29?j4?l3:17pl73c83>c<729q/8>o54378L12>3A>8h6*=ae825>o><3:17d7::188m<0=831b5:4?::k:5;h0;a?6=3`83j7>5;h0:4?6=3`82=7>5;h0:6?6=3`>?87>5;h671?6=3f>8;7>5;n0;`?6=3th3?n4?:g83>5}#<:k18?;4H56:?M24l2.9mi4m;h;7>5<>o>?3:17d77:188m4g32900e?6j:188m7>a2900e?7?:188m7?62900e?7=:188m1232900e9:::188k1502900c?6k:188yg>4l3:1j7>50z&77d<3:<1C8974H51g?!4fl3l0e4:50;9j=0<722c2:7>5;h;4>5<5N3<01C8>j4$3cg>==n1=0;66g65;29?l?12900e4950;9j==<722c:m94?::k1<`<722c94k4?::k1=5<722c95<4?::k1=7<722c?894?::k700<722e??:4?::m1h50;d94?6|,=9j69<:;I67=>N3;m1/>lj5e:k:0?6=3`3>6=44i8494?=n1>0;66g68;29?l7f<3:17d<7e;29?l4?n3:17d<60;29?l4>93:17d<62;29?l23<3:17d:;5;29?j24?3:17b<7d;29?xd?<90;6k4?:1y'06g=<;?0D9:6;I60`>"5im0o7d7;:188m<3=831b5;4?::k:3?6=3`336=44i0c7>5<5<5<5<>6=44o514>5<b2900e?6i:188m7?72900e?7>:188m7?52900e9:;:188m1222900c9=8:188k7>c2900qo6;2;29b?6=8r.??l4;249K01?<@=9o7)1<75f29g94?=n:1l1<75f28294?=n:0;1<75f28094?=n<=>1<75f45794?=h<:=1<75`29f94?=zj1>86=4i:183!24i3>996F;489K06b<,;ko6l5f9583>>o>=3:17d79:188m<1=831b554?::k2e1<722c94h4?::k1t$51b>1423A>?56F;3e9'6db=i2c287>5;h;6>5<>o>03:17d?n4;29?l4?m3:17d<7f;29?l4>83:17d<61;29?l4>:3:17d:;4;29?l23=3:17b:<7;29?j4?l3:17pl74483>c<729q/8>o54378L12>3A>8h6*=ae8b?l?32900e4;50;9j=3<722c2;7>5;h;;>5<5<5<5<?6=44i566>5<5<5f;294~"3;h0?>85G45;8L15c3-8jh7o4i8694?=n1<0;66g66;29?l?02900e4650;9j5d2=831b>5k50;9j6=`=831b>4>50;9j6<7=831b>4<50;9j012=831b89;50;9l061=831d>5j50;9~f=2029086=4?{%60e?4ej2B?845G42f8 7gc21l0e?o7:188m7g>2900c>98:188yv45?k0;6<=t^gd89=5220<015=9:8489=5020<015=7:8489=5>20<015=n:8489=5e20<015=l:8489=5c20<015=j:8489=5a20<015:?:8489=2620<015:=:8489=2420<015:;:8489=2220<015:9:848yv45?j0;6<=t^gf89=5220?015=9:8789=5020?015=7:8789=5>20?015=n:8789=5e20?015=l:8789=5c20?015=j:8789=5a20?015:?:8789=2620?015:=:8789=2420?015:;:8789=2220?015:9:878yv45?m0;6<=t^g`89=5220>015=9:8689=5020>015=7:8689=5>20>015=n:8689=5e20>015=l:8689=5c20>015=j:8689=5a20>015:?:8689=2620>015:=:8689=2420>015:;:8689=2220>015:9:868yv45?l0;6?uQ1g`89=2128k?7p}=26d94?4|V8l2706;5;3b0>{t:;2;6=4={_3e<>;?<=0:m95rs30;5?6=:rT:j:5285195d296?6;:181[7a<273?h4>a59~w74?=3:1>vP>f29><16=9h>0q~<=8783>7}Y:;9015=i:0c7?xu5:1=1<74l3;j86s|23:;>5<5sW89=6373b82e1=z{;8357>52z\165=:0:h1=l:4}r014g33ty9>5l50;0xZ77b342857?n4:p67>d2909wS<>4:?;7=<6i=1v?<7d;296~X580164>;51`68yv450l0;6?uQ1gg89=5028k?7p}=29d94?4|V8l9706<6;3b0>{t:;3;6=4>3z\240=:0:?1>4>4=915>7?73428;7<60:?;7=<519164>7528289=5f2;3;706;?;j095=5282f96<6<519n6?7?;<:0b?4>82738=4=919><17=:0:015:=:3;3?8>3;382<6374581=5=:0=?1>4>4=965>7?73ty9>4?50;30[77;273?84=8g9><60=:1l015=8:3:e?8>40383j63738815h4=91a>7>a3428o7<7f:?;7a<50o164>k529d89=5a2;2m706;0;0;b>;?<8094k5285096=`<51>86?6i;<:70?4?n273884=8g9><10=:1l0q~<=9383>45|V8::706<5;0;a>;?;?094h5282596=c<51936?6j;<:0=?4?m273?l4=8d9><6d=:1o015=l:3:f?8>4l383i6373d81<`=:0:l1>5k4=963>7>b342?=7<7e:?;07<50l1649=529g89=232;2n706;5;0;a>;?b342:=7?7e:?;57<60l164<=519g89=73282n706>5;3;a>;?9?0:4h5280595=c<51;36<6j;<:2=?7?m273=l4>8d9><4d=91o015?l:0:f?8>6l3;3i6371d82<`=:08l1=5k4=903>4>b3429=7?7e:?;67<60l164?=519g89=43282n706=5;3;a>;?:?0:4h5283595=c<51836<6j;<:1=?7?m273>l4>8d9><7d=91o0155l3;3i6372d82<`=:0;l1=5k4=913>4>b3428=7?7e:?;77<60l164>=519g89=53282n706;7;0b<>{t:;3>6=4<7z\2=c=:08;1=9k4=931>42b342:?7?;e:?;51<6n706>7;37a>;?910:8h5280;951c<51;j6<:j;<:2f?73m273=n4>4d9><4b=9=o015?j:06f?8>6n3;?i63721820`=:0;;1=9k4=901>42b3429?7?;e:?;61<6n706=7;37a>;?:10:8h5283;951c<518j6<:j;<:1f?73m273>n4>4d9><7b=9=o0155n3;?i63731820`=:0:;1=9k4=911>42b3428?7?;e:?;71<6;545689=512=>?706<7;670>;?;10?895282;9012<519j69:;;<:0f?23<273?n4;459><6b=<=>015=j:567?8>4n3>?8637418701=:0=;189:4=961>123342??7:;4:?;01<3<=1649;545689=212=>?7p}=28494?4|V;8o706=2;616>{t:;3<6=4={_01f>;?:80?>?5rs30:l528329074?7m:181[45?273=i4;239~w74>k3:1>vP=279><4e=<;80q~<=9e83>7}Y:;?015?m:501?xu5:0o1<76i3>9>6s|23;e>5<5sW8>8637188767=z{;8j<7>52z\116=:08218?<4}r01e4<72;qU>8<4=934>1453ty9>l<50;0xZ736342::7:=2:p67g42909wS<:0:?;50<3:;1v?2;616>{t:;k<6=4={_010>;?980?>?5rs30b?om:181[42j273?<4;239~w74fk3:1>vP=5`9><66=<;80q~<=ae83>7}Y:<30155m3>9>6s|23ce>5<5sW8>;6372e8767=z{;8i<7>52z\1<2=:0;i18?<4}r01f4<72;qU>584=90a>1453ty9>o<50;0xZ7>23429m7:=2:p67d42909wS<74:?;6<<3:;1v?{t:;h<6=4={_05`>;?:<0?>?5rs30a2w06>0;0b1>;?;<02;637348:<>;?;<095<5282796<4<519=6494=915><><519=6?7>;<:02?4>:273?:467:?;72<>0273?:4=909><61=:08015=7:8589=5?202015=7:3;2?8>40382>637388:3>;?;00246373881=4=:0:31>4<4=91b><1<519j6464=91b>7?63428m7<62:?;7g<>?273?o468:?;7g<518164>l528089=5d20=015=l:8:89=5d2;3:706;?;m02;6373e8:<>;?;m095<5282f96<4<519n6494=91f><><519n6?7>;<:0a?4>:273?k467:?;7c<>0273?k4=909><6`=:08015:?:8589=27202015:?:3;2?8>38382>637408:3>;?<80246374081=4=:0=;1>4<4=961><1<51>96464=961>7?6342?>7<62:?;06<>?2738>468:?;06<5181649=528089=2320=015:;:8:89=232;3:706;4;0:6>;?<<02;637448:<>;?<<095<5285796<4<51>=6494=965><><51>=6?7>;<:72?4>:2wx>?lm:1818>693;j8637378772=z{;8io7>52z?;57<6i=164>954258yv45jm0;6?u280195d2<519>69=8;|q16gc=838p15?;:0c7?8>403>8;6s|23`e>5<5s42:97?n4:?;7<<3;>1v?<41=9h>015=m:514?xu5:j81<74g33428o7:<7:p67e42909w06>9;3b0>;?;m0??:5rs30`0?6=:r73=l4>a59><6`=<:=0q~<=c483>7}:08h1=l:4=963>1503ty9>n850;0x9=7d28k?706{t:;i<6=4={<:2`?7f<2738<4;369~w74d03:1>v371d82e1=:0=818>94}r01g<<72;q64?mm:1818>593;j8637448772=z{;8ho7>52z?;67<6i=1649854258yv45km0;6?u283195d2<519=6?6k;|q16fc=838p15<;:0c7?8>4?383h6s|23ae>5<5s42997?n4:?;70<50m1v?<71=9h>015=6:3:g?xu5:m81<74g33428m7<7d:p67b42909w06=9;3b0>;?;k094i5rs30g0?6=:r73>l4>a59><6e=:1n0q~<=d483>7}:0;h1=l:4=91g>7>c3ty9>i850;0x9=4d28k?706{t:;n<6=4={<:1`?7f<2738=4=8e9~w74c03:1>v372d82e1=:0:o1>5j4}r01`<<72;q64?h51`689=262;2o7p}=2ec94?4|519;6?jm:1818>493;j8637428152z?;77<6i=1649:529f8yv45lm0;6?u282195d2<51>>6?6k;|q16ac=838p15=;:0c7?8>3>383h6s|23fe>5<6;r73?84;449><60=<=?015=8:566?8>403>?9637388700=:0:k189;4=91a>1223428o7:;5:?;7a<3<<164>k545789=5a2=>>706;0;671>;?<80?88528509013<51>869::;<:70?23=273884;449><10=<=?015:8:254?x{e0=21<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0=31<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0=k1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0=h1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0=i1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0=n1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0=o1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0=l1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0<:1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0<;1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0<81<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0<91<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0<>1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e06g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0<<1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0<=1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0<21<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0<31<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e06g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e06g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e06g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e06g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e06g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e06g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?:1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?;1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?81<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?91<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?>1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0??1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?<1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?=1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?21<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?31<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?k1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?h1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?i1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?n1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?o1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0?l1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>:1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>;1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>81<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>91<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>>1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>?1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0><1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>=1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>21<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>31<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>k1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>h1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>i1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>n1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>o1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0>l1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e01:1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e01;1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0181<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0191<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e01>1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e01?1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e01<1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e01=1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0121<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0131<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e01k1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e01h1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e01i1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e01n1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e01o1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e01l1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e00:1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e00;1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0081<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0091<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e00>1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e00?1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e00<1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e00=1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0021<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0031<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e00k1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e00h1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e00i1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e00n1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e00o1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e00l1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0h:1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0h;1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0h81<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0h91<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0h>1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0h?1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0h<1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0h=1<7;50;2x 15f2;k;7E:;9:J77a=#:hn1>6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e0h21<7:?:34904}O<:n0(9=n:0466>\6w8;51e862?472;;1qdhi:18'04`=nl1e8:j7hj;o62a?7<3`li6=4+40d9b`=i<8o1>65f1g`94?"39o0:jl5a40g94>=n9o31<7*;1g82bd=i<8o1=65f1g:94?"39o0:jl5a40g96>=n9o=1<7*;1g82bd=i<8o1?65f1g494?"39o0:jl5a40g90>=n9o?1<7*;1g82bd=i<8o1965f1g694?"39o0:jl5a40g92>=n9o91<7*;1g82bd=i<8o1;65f23194?"39o0:jl5a40g9<>=n:;81<7*;1g82bd=i<8o1565f23394?"39o0:jl5a40g9e>=n:;:1<7*;1g82bd=i<8o1n65f20d94?"39o0:jl5a40g9g>=n:8o1<7*;1g82bd=i<8o1h65f20694?"39o0:jl5a40g9a>=n:931<7*;1g82bd=i<8o1j65f1gg94?"39o0:jl5a40g955=;;o62a?6<3`;;?7>5$53e>4633g>:i7?4;h335?6=,=;m6<>;;o62a?4<3`>?:7>5;h3;a?6=3`>8=7>5;h3:b?6=3f89h7>5$53e>74d3g>:i7>4;n01f?6=,=;m6?5$53e>74d3g>:i7<4;n01=?6=,=;m6?5$53e>74d3g>:i7:4;n013?6=,=;m6?5$53e>74d3g>:i784;n011?6=,=;m6?97>5$53e>74d3g>:i764;n060?6=,=;m6??7>5$53e>74d3g>:i7o4;n066?6=,=;m6?=7>5$53e>74d3g>:i7m4;n064?6=,=;m6?5$53e>74d3g>:i7k4;n00f?6=,=;m6?5$53e>74d3g>:i7??;:m161<72->:j7<=c:l75`<6921d>8h50;&75c<5=l1e88m50;&75c<5=l1e88o50;&75c<5=l1e88650;&75c<5=l1e85950;&75c<5=l1e85;50;&75c<5=l1e85=50;&75c<5=l1e8:650;&75c<5=l1e8;<50;&75c<5=l1e8"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl7ac83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd?ij0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl7ae83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd?il0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl7ag83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd?j90;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl7b083>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd?j;0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl7b283>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd?j=0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl7b483>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd?j?0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl7b683>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd?j10;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl7b883>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd?jh0;694?:1y'06g=:0o0D9:6;I60`>"5im097d?;e;29?l7?m3:17d?n4;29?j25:3:17pl7bc83>1<729q/8>o528g8L12>3A>8h6*=ae81?l73m3:17d?7e;29?l7f<3:17b:=2;29?xd?jj0;6k4?:1y'06g=<;?0D9:6;I60`>"5im0=7d7;:188m<3=831b5;4?::k:3?6=3`336=44i0c7>5<5<5<5<>6=44o514>5<1<75f9483>>o>>3:17d78:188m<>=831b=l:50;9j6=c=831b>5h50;9j6<6=831b>4?50;9j6<4=831b89:50;9j013=831d8>950;9l6=b=831vn5lj:18e>5<7s->8m7:=5:J70<=O<:n0(?ok:59j=1<722c297>5;h;5>5<>o6i=0;66g=8d83>>o50o0;66g=9183>>o5180;66g=9383>>o3<=0;66g;4483>>i3;>0;66a=8e83>>{e0kl1<7h50;2x 15f2=8>7E:;9:J77a=#:hn1o6g64;29?l?22900e4850;9j=2<722c247>5;h3b0?6=3`83i7>5;h0;b?6=3`82<7>5;h0:5?6=3`82>7>5;h670?6=3`>?97>5;n603?6=3f83h7>5;|`;g5<72o0;6=u+42c9073<@=>27E:b2900e?6i:188m7?72900e?7>:188m7?52900e9:;:188m1222900c9=8:188k7>c2900qo6l1;29b?6=8r.??l4;249K01?<@=9o7)1<75f29g94?=n:1l1<75f28294?=n:0;1<75f28094?=n<=>1<75f45794?=h<:=1<75`29f94?=zj1i96=4i:183!24i3>996F;489K06b<,;ko65k50;9j6=`=831b>4>50;9j6<7=831b>4<50;9j012=831b89;50;9l061=831d>5j50;9~f=e4290m6=4?{%60e?25=2B?845G42f8 7gc2=1b594?::k:1?6=3`3=6=44i8594?=n110;66g>a583>>o50l0;66g=8g83>>o5190;66g=9083>>o51;0;66g;4583>>o3<<0;66a;3683>>i50m0;66sm8b694?`=83:p(9=n:506?M2312B??i5+2`f93>o><3:17d7::188m<0=831b5:4?::k:5;h0;a?6=3`83j7>5;h0:4?6=3`82=7>5;h0:6?6=3`>?87>5;h671?6=3f>8;7>5;n0;`?6=3th3o84?:g83>5}#<:k18?;4H56:?M24l2.9mi4l;h;7>5<>o>?3:17d77:188m4g32900e?6j:188m7>a2900e?7?:188m7?62900e?7=:188m1232900e9:::188k1502900c?6k:188yg>d>3:1j7>50z&77d<3:<1C8974H51g?!4fl3>0e4:50;9j=0<722c2:7>5;h;4>5<5N3<01C8>j4$3cg>`=n1=0;66g65;29?l?12900e4950;9j==<722c:m94?::k1<`<722c94k4?::k1=5<722c95<4?::k1=7<722c?894?::k700<722e??:4?::m1N3;m1/>lj5c:k:0?6=3`3>6=44i8494?=n1>0;66g68;29?l7f<3:17d<7e;29?l4?n3:17d<60;29?l4>93:17d<62;29?l23<3:17d:;5;29?j24?3:17b<7d;29?xd?k00;6k4?:1y'06g=<;?0D9:6;I60`>"5im0<7d7;:188m<3=831b5;4?::k:3?6=3`336=44i0c7>5<5<5<5<>6=44o514>5<1<75f9483>>o>>3:17d78:188m<>=831b=l:50;9j6=c=831b>5h50;9j6<6=831b>4?50;9j6<4=831b89:50;9j013=831d8>950;9l6=b=831vn5mm:18e>5<7s->8m7:=5:J70<=O<:n0(?ok:`9j=1<722c297>5;h;5>5<>o6i=0;66g=8d83>>o50o0;66g=9183>>o5180;66g=9383>>o3<=0;66g;4483>>i3;>0;66a=8e83>>{e0ji1<7h50;2x 15f2=8>7E:;9:J77a=#:hn1=?5f9583>>o>=3:17d79:188m<1=831b554?::k2e1<722c94h4?::k1t$51b>1423A>?56F;3e9'6db=i2c287>5;h;6>5<>o>03:17d?n4;29?l4?m3:17d<7f;29?l4>83:17d<61;29?l4>:3:17d:;4;29?l23=3:17b:<7;29?j4?l3:17pl7cd83>6<729q/8>o52c`8L12>3A>8h6*=ae8;b>o5i10;66g=a883>>i4?>0;66s|23g3>5<6;rTmj637bb8:2>;?jm02:637bd8:2>;?jo02:637c18:2>;?k802:637c38:2>;?k:02:637c58:2>;?k<02:637c78:2>;?k>02:637c98:2>;?k002:637c`8:2>;?kk02:637cb8:2>;?km02:6s|23g2>5<6;rTmh637bb8:1>;?jm029637bd8:1>;?jo029637c18:1>;?k8029637c38:1>;?k:029637c58:1>;?k<029637c78:1>;?k>029637c98:1>;?k0029637c`8:1>;?kk029637cb8:1>;?km0296s|23g1>5<6;rTmn637bb8:0>;?jm028637bd8:0>;?jo028637c18:0>;?k8028637c38:0>;?k:028637c58:0>;?k<028637c78:0>;?k>028637c98:0>;?k0028637c`8:0>;?kk028637cb8:0>;?km0286s|23g0>5<5sW;mn637ce82e1=z{;8n87>52z\2b<=:0ji1=l:4}r01a0<72;qU=k64=9aa>4g33ty9>h850;0xZ4`0342hm7?n4:p67c02909wS?i6:?;g<<6i=1v?{t:;oi6=4={_017>;?k?0:m95rs30fg?6=:rT9>?528b695d2?ki:181[46n273o<4>a59~w74a83:1>vP=1d9>0q~<=f083>7}Y:8>015li:0c7?xu5:o81<7ek3;j86s|23d0>5<5sW;mi637bd82e1=z{;8m87>52z\2b7=:0kn1=l:4}r01b0<7289pR<>:;<:ag?4>8273ni4=919>d8382<637c081=5=:0j81>4>4=9a0>7?7342h87<60:?;g0<519164n8528289=e02;3;706l8;0:4>;?k0095=528bc96<6<51ii6?7?;<:`g?4>8273oi4=919~w74a>3:1=>uQ11189=dd2;2m706md;0;b>;?jl094k528cd96=`<51i;6?6i;<:`5?4?n273o?4=8g9>d=383j637c7815h4=9a;>7>a342h57<7f:?;gd<50o164nl529d89=ed2;2m706ld;0;b>{t:;l<6=4>3z\244=:0ki1>5k4=9`g>7>b342ii7<7e:?;fc<50l164n>529g89=e62;2n706l2;0;a>;?k:094h528b696=c<51i>6?6j;<:`2?4?m273o:4=8d9>=:1o015m6:3:f?8>di383i637cc81<`=:0ji1>5k4=9ag>7>b3ty9>k650;0xZ121342hi7290:8vP>8d9>fk3;3i637ae82<`=:0ho1=5k4=9ce>4>b342i<7?7e:?;f4<60l164o<519g89=d4282n706m4;3;a>;?j<0:4h528c495=c<51h<6<6j;<:a8d9>dm38j46s|23db>5<5=rT:5k528`c951c<51ki6<:j;<:bg?73m273mi4>4d9>e83;?i637b0820`=:0k81=9k4=9`0>42b342i87?;e:?;f0<6n706m8;37a>;?j00:8h528cc951c<51hi6<:j;<:ag?23<273ni4;459>015li:567?8>d83>?8637c08701=:0j8189:4=9a0>123342h87:;4:?;g0<3<=164n8545689=e02=>?706l8;670>;?k00?89528bc9012<51ii69:;;<:`g?23<273oi4;459~w74aj3:1>vP=5g9>7}Y:e13>9>6s|23df>5<5sW8>n637b98767=z{;8mj7>52z\11d=:0k=18?<4}r0045<72;qU>874=9`5>1453ty9?=?50;0xZ73?342i97:=2:p66652909wS<:7:?;f1<3:;1v?=?3;296~X50>164o=54308yv448=0;6?uQ29489=d52=897p}=31794?4|V;2>706m1;616>{t:::=6=4={_0;0>;?j90?>?5rs3133?6=:rT94>528`d9074>>n:181[41l273mn4;239~w757j3:1>vP=639>7}Y:<<015on:501?xu5;9n1<7:6{<:b=?4f=273nn467:?;ff<>0273nn4=909>el382>637bd8:3>;?jl024637bd81=4=:0ko1>4<4=9`e><1<51hm6464=9`e>7?6342ij7<62:?;g5<>?273o=468:?;g5<518164n>528089=e620=015m>:8:89=e62;3:706l1;0:6>;?k;02;637c38:<>;?k;095<528b096<4<51i86494=9a0><><51i86?7>;<:`7?4>:273o9467:?;g1<>0273o94=909>d=382>637c78:3>;?k?024637c781=4=:0j<1>4<4=9a4><1<51i<6464=9a4>7?6342h;7<62:?;g=<>?273o5468:?;g=<518164n6528089=e>20=015m6:8:89=e>2;3:706l9;0:6>;?kh02;637c`8:<>;?kh095<528bc96<4<51ii6494=9aa><><51ii6?7>;<:`f?4>:273on467:?;gf<>0273on4=909>dl382>6s|222f>5<5s42jm7?n4:?;fa<50m1v?=?f;296~;?ik0:m9528cg96=b015ll:3:g?xu5;8;1<74g3342ij7<7d:p66752909w06ne;3b0>;?k9094i5rs3127?6=:r73mk4>a59>7}:0k:1=l:4=9a1>7>c3ty9?<;50;0x9=d628k?706l3;0;`>{t::;=6=4={<:a6?7f<273o94=8e9~w756?3:1>v37b282e1=:0j<1>5j4}r005=<72;q64o:51`689=e02;2o7p}=30;94?4|51h>6>?n:1818>e>3;j8637c98152z?;f2<6i=164n7529f8yv449j0;6?u28c:95d2<51ij6?6k;|q174b=838p15l6:0c7?8>dj383h6s|223f>5<5s42im7?n4:?;gf<50m1v?=>f;296~;?jk0:m9528bf96=b=4?:01x9=dd2=>>706md;671>;?jl0?88528cd9013<51i;69::;<:`5?23=273o?4;449>d=3>?9637c78700=:0j=189;4=9a;>122342h57:;5:?;gd<3<<164nl545789=ed2=>>706ld;671>;?kl08;:5r}c:`b?6==3:1n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<n6=44i0:f>5<5<"3;h0::8=4Z06`>0}5:3;o6?=521815?{nnk0;6):>f;db?k26m3:07d?ib;29 17a28lj7c:>e;28?l7a13:1(9?i:0db?k26m3;07d?i8;29 17a28lj7c:>e;08?l7a?3:1(9?i:0db?k26m3907d?i6;29 17a28lj7c:>e;68?l7a=3:1(9?i:0db?k26m3?07d?i4;29 17a28lj7c:>e;48?l7a;3:1(9?i:0db?k26m3=07d<=3;29 17a28lj7c:>e;:8?l45:3:1(9?i:0db?k26m3307d<=1;29 17a28lj7c:>e;c8?l4583:1(9?i:0db?k26m3h07d<>f;29 17a28lj7c:>e;a8?l46m3:1(9?i:0db?k26m3n07d<>4;29 17a28lj7c:>e;g8?l4713:1(9?i:0db?k26m3l07d?ie;29 17a28lj7c:>e;33?>o6n;0;6):>f;3ee>h39l0:=65f11394?"39o0:<=5a40g94>=n<=<1<75f19g94?=n<:;1<75f18d94?=h:;n1<7*;1g816f=i<8o1<65`23`94?"39o09>n5a40g95>=h:;k1<7*;1g816f=i<8o1>65`23;94?"39o09>n5a40g97>=h:;21<7*;1g816f=i<8o1865`23594?"39o09>n5a40g91>=h:;<1<7*;1g816f=i<8o1:65`23794?"39o09>n5a40g93>=h:n5a40g9=>=h:<91<7*;1g816f=i<8o1m65`24094?"39o09>n5a40g9f>=h:<;1<7*;1g816f=i<8o1o65`24294?"39o09>n5a40g9`>=h:=<1<7*;1g816f=i<8o1i65`22`94?"39o09>n5a40g9b>=h:::1<7*;1g816f=i<8o1==54o307>5<#<8l1>?m4n53f>47<3f8>j7>5$53e>73b3g>:i7>4;n06`?6=,=;m6?;j;o62a?7<3f8>o7>5$53e>73b3g>:i7<4;n06f?6=,=;m6?;j;o62a?5<3f8>m7>5$53e>73b3g>:i7:4;n06=?6=,=;m6?;j;o62a?3<3f8>47>5$53e>73b3g>:i784;n063?6=,=;m6?;j;o62a?1<3f83;7>5$53e>73b3g>:i764;n0;2?6=,=;m6?;j;o62a??<3f8397>5$53e>73b3g>:i7o4;n0;0?6=,=;m6?;j;o62a?d<3f83?7>5$53e>73b3g>:i7m4;n0;6?6=,=;m6?;j;o62a?b<3f8<47>5$53e>73b3g>:i7k4;n05`?6=,=;m6?;j;o62a?`<3f8=>7>5$53e>73b3g>:i7??;:m113<72->:j7<:e:l75`<6921i5=>50;394?6|,=9j6?o9;I67=>N3;m1d>l;50;9~f<66290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn4>=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f<64290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn4>;:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f<62290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn4>9:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f<60290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn4>7:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f<6>290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn4>n:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f<6e290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn4>l:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f<6c290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn4>j:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f<6a290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn4??:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f<76290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vn4?=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~f<74290m6=4?{%60e?25=2B?845G42f8 7gc2?1b594?::k:1?6=3`3=6=44i8594?=n110;66g>a583>>o50l0;66g=8g83>>o5190;66g=9083>>o51;0;66g;4583>>o3<<0;66a;3683>>i50m0;66sm90694?`=83:p(9=n:506?M2312B??i5+2`f92>o><3:17d7::188m<0=831b5:4?::k:5;h0;a?6=3`83j7>5;h0:4?6=3`82=7>5;h0:6?6=3`>?87>5;h671?6=3f>8;7>5;n0;`?6=3th2=84?:g83>5}#<:k18?;4H56:?M24l2.9mi49;h;7>5<>o>?3:17d77:188m4g32900e?6j:188m7>a2900e?7?:188m7?62900e?7=:188m1232900e9:::188k1502900c?6k:188yg?6>3:1j7>50z&77d<3:<1C8974H51g?!4fl3<0e4:50;9j=0<722c2:7>5;h;4>5<5N3<01C8>j4$3cg>3=n1=0;66g65;29?l?12900e4950;9j==<722c:m94?::k1<`<722c94k4?::k1=5<722c95<4?::k1=7<722c?894?::k700<722e??:4?::m1N3;m1/>lj56:k:0?6=3`3>6=44i8494?=n1>0;66g68;29?l7f<3:17d<7e;29?l4?n3:17d<60;29?l4>93:17d<62;29?l23<3:17d:;5;29?j24?3:17b<7d;29?xd>900;6k4?:1y'06g=<;?0D9:6;I60`>"5im0=7d7;:188m<3=831b5;4?::k:3?6=3`336=44i0c7>5<5<5<5<>6=44o514>5<1<75f9483>>o>>3:17d78:188m<>=831b=l:50;9j6=c=831b>5h50;9j6<6=831b>4?50;9j6<4=831b89:50;9j013=831d8>950;9l6=b=831vn4?m:18e>5<7s->8m7:=5:J70<=O<:n0(?ok:79j=1<722c297>5;h;5>5<>o6i=0;66g=8d83>>o50o0;66g=9183>>o5180;66g=9383>>o3<=0;66g;4483>>i3;>0;66a=8e83>>{e18i1<7h50;2x 15f2=8>7E:;9:J77a=#:hn1:6g64;29?l?22900e4850;9j=2<722c247>5;h3b0?6=3`83i7>5;h0;b?6=3`82<7>5;h0:5?6=3`82>7>5;h670?6=3`>?97>5;n603?6=3f83h7>5;|`:5a<72o0;6=u+42c9073<@=>27E:b2900e?6i:188m7?72900e?7>:188m7?52900e9:;:188m1222900c9=8:188k7>c2900qo7>e;29b?6=8r.??l4;249K01?<@=9o7)1<75f29g94?=n:1l1<75f28294?=n:0;1<75f28094?=n<=>1<75f45794?=h<:=1<75`29f94?=zj0;m6=4i:183!24i3>996F;489K06b<,;ko6;5f9583>>o>=3:17d79:188m<1=831b554?::k2e1<722c94h4?::k1t$51b>1423A>?56F;3e9'6db=>2c287>5;h;6>5<>o>03:17d?n4;29?l4?m3:17d<7f;29?l4>83:17d<61;29?l4>:3:17d:;4;29?l23=3:17b:<7;29?j4?l3:17pl62083>c<729q/8>o54378L12>3A>8h6*=ae85?l?32900e4;50;9j=3<722c2;7>5;h;;>5<5<5<5<?6=44i566>5<5<7>5f;294~"3;h0?>85G45;8L15c3-8jh784i8694?=n1<0;66g66;29?l?02900e4650;9j5d2=831b>5k50;9j6=`=831b>4>50;9j6<7=831b>4<50;9j012=831b89;50;9l061=831d>5j50;9~f<44290m6=4?{%60e?25=2B?845G42f8 7gc2?1b594?::k:1?6=3`3=6=44i8594?=n110;66g>a583>>o50l0;66g=8g83>>o5190;66g=9083>>o51;0;66g;4583>>o3<<0;66a;3683>>i50m0;66sm93694?`=83:p(9=n:506?M2312B??i5+2`f90>o><3:17d7::188m<0=831b5:4?::k:5;h0;a?6=3`83j7>5;h0:4?6=3`82=7>5;h0:6?6=3`>?87>5;h671?6=3f>8;7>5;n0;`?6=3th2>84?:283>5}#<:k1>ol4H56:?M24l2.9mi47f:k1e=<722c9m44?::m032<722wx>><>:1827~Xaj272=>464:?:51<><272=8464:?:53<><272=:464:?:5=<><272=4464:?:5d<><272=o464:?:5f<><272=i464:?:5`<><272=k464:?:65<><272><464:?:67<><272>>464:?:61<><2wx>><=:181[7aj272>94>a59~w755;3:1>vP>f89>=75=9h>0q~<<2583>7}Y9o2014<=:0c7?xu5;;?1<75<5sW;m:6362182e1=z{;99;7>52z\2b0=:18l1=l:4}r006=<72;qU=k:4=83`>4g33ty9??750;0xZ4`4343:i7?n4:p664f2909wS<=3:?:5a<6i=1v?==b;296~X5:;1659;3b0>{t::8n6=4={_02b>;>910:m95rs311b?6=:rT9=h5290595d26;<;27?7f<2wx>>==:181[7am272=84>a59~w754;3:1>vP>f39>=42=9h>0q~<<3583>45|V8::707>3;0;a>;>9=094h5290796=c<50;=6?6j;<;23?4?m272=54=8d9>=4?=:1o014?n:3:f?8?6j383i6361b81<`=:18n1>5k4=83f>7>b343:j7<7e:?:65<50l165??529g89<452;2n707=3;0;a>;>:=094h5rs3101?6=:rT?8;5293796d?b343;=7?7e:?:47<60l165==519g89<63282n707?5;3;a>;>8?0:4h5291595=c<50:36<6j;<;3=?7?m2728d9>=5d=91o014>l:0:f?8?7l3;3i6360d82<`=:19l1=5k4=833>4>b343:=7?7e:?:57<60l165?;52`:8yv44;>0;6?;t^0;e?8?793;?i63603820`=:1991=9k4=827>42b343;97?;e:?:43<6n707?9;37a>;>8h0:8h5291`951c<50:h6<:j;<;3`?73m272

4d9>=5`=9=o014??:06f?8?693;?i63613820`=:189189:4=837>123343:97:;4:?:53<3<=165<9545689<7?2=>?707>9;670>;>9h0?895290`9012<50;h69:;;<;2`?23<272=h4;459>=4`=<=>014?8636238701=:1;9189:4=807>1233ty9?>650;0xZ73a343:>7:=2:p665>2909wS<:d:?:54<3:;1v?=54308yv44;k0;6?uQ24`89<6a2=897p}=32a94?4|V;?j707?e;616>{t::9o6=4={_06=>;>8m0?>?5rs310a?6=:rT9955291a9074>:>:181[4?>272<44;239~w753:3:1>vP=849>=5>=<;80q~<<4283>7}Y:1>014>8:501?xu5;=>1<73>9>6s|2266>5<5sW83>636048767=z{;9?:7>52z\13==:19>18?<4}r0002<72;qU>;j4=820>1453ty9?9650;0xZ705343;>7:=2:p662>2909wS<:6:?:44<3:;1v?=;a;29=4}:19:1>l;4=830><3<50;86484=830><1<50;86464=830>7>a343:?7<60:?:56<518165<=528089<7320?014?;:8489<7320=014?;:8:89<732;2m707>4;0:4>;>9=095<5290696<4<50;>64;4=836><0<50;>6494=836><><50;>6?6i;<;21?4>8272=84=909>=43=:08014?9:8789<7120<014?9:8589<71202014?9:3:e?8?6>382<6361781=4=:18<1>4<4=834><3<50;<6484=834><1<50;<6464=834>7>a343:;7<60:?:52<518165<9528089<7?20?014?7:8489<7?20=014?7:8:89<7?2;2m707>8;0:4>;>91095<5290:96<4<50;264;4=83:><0<50;26494=83:><><50;26?6i;<;2=?4>8272=44=909>=4?=:08014?n:8789<7f20<014?n:8589<7f202014?n:3:e?8?6i382<6361`81=4=:18k1>4<4=83a><3<50;i6484=83a><1<50;i6464=83a>7>a343:n7<60:?:5g<518165c;0:4>;>9j095<5290a96<4<50;o64;4=83g><0<50;o6494=83g><><50;o6?6i;<;2`?4>8272=i4=909>=4b=:08014?j:8789<7b20<014?j:8589<7b202014?j:3:e?8?6m382<6361d81=4=:18o1>4<4=83e><3<50;m6484=83e><1<50;m6464=83e>7>a343:j7<60:?:5c<518165;>:9095<5293296<4<508:64;4=802><0<508:6494=802><><508:6?6i;<;15?4>8272><4=909>=77=:08014<=:8789<4520<014<=:8589<45202014<=:3:e?8?5:382<6362381=4=:1;81>4<4=800><3<50886484=800><1<50886464=800>7>a3439?7<60:?:66<518165?=528089<4320?014<;:8489<4320=014<;:8:89<432;2m707=4;0:4>;>:=095<5293696<4=57=9h>014?;:3:g?xu5;=i1<74g3343:97<7d:p662c2909w07?3;3b0>;>9:094i5rs317a?6=:r72<94>a59>=40=:1n0q~<<4g83>7}:19?1=l:4=834>7>c3ty9?8>50;0x9<6128k?707>8;0;`>{t::?:6=4={<;33?7f<272=44=8e9~w752:3:1>v360982e1=:18k1>5j4}r0016<72;q65=751`689<7e2;2o7p}=34694?4|50:j6>;::1818?7j3;j86361d81:7>52z?:4f<6i=1650;6?u291f95d2<50;m6?6k;|q170>=838p14>j:0c7?8?58383h6s|227:>5<5s43;j7?n4:?:64<50m1v?=:a;296~;>990:m95293096=b=47=9h>014<<:3:g?xu5;4g3343987<7d:p663c290:?v36128700=:18>189;4=836>122343::7:;5:?:52<3<<165<6545789<7>2=>>707>a;671>;>9k0?885290a9013<50;o69::;<;2a?23=272=k4;449>=76=<=?014<>:566?8?5:3>?9636228700=:1;>189;4=806>6103twi5?850;194?6|,=9j69:7;I67=>N3;m1/?5=5177f?l7>m3:17d6<729q/8>o545:8L12>3A>8h6*<828220c5<29086=4?{%60e?2302B?845G42f8 6>428<>i6g>9d83>>o5j?0;66a<7683>>{e1;k1<7=50;2x 15f2=>37E:;9:J77a=#;191=;;j;h3:a?6=3`8i:7>5;n143?6=3th2>o4?:483>5}#<:k1>n;4H56:?M24l2.84>4>64g8m4?b2900e?6m:188m1572900c>98:188k7d02900qo7=c;290?6=8r.??l4=c79K01?<@=9o7)=73;351`=n90o1<75f29`94?=n<::1<75`2c594?=zj08o6=4;:183!24i38h:6F;489K06b<,:286<8:e:k2=`<722c94o4?::k775<722e9n:4?::a=7c=83>1<7>t$51b>7e13A>?56F;3e9'7=5=9??n7d?6e;29?l4?j3:17d:<0;29?j4e?3:17pl62g83>1<729q/8>o52b48L12>3A>8h6*<828220c5<5<54;294~"3;h095h5G45;8L15c3-8jh7<4i06f>5<5<lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wi5><50;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sm92694?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a=60=83?1<7>t$51b>7g73A>?56F;3e9'7=5=9??n7)6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e1:21<7;50;2x 15f2;k;7E:;9:J77a=#;191=;;j;%0b`?4n6=44i0:f>5<5<lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wi5>o50;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sm92a94?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a=6c=83?1<7>t$51b>7g73A>?56F;3e9'7=5=9??n7)6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e1=:1<7;50;2x 15f2;k;7E:;9:J77a=#;191=;;j;%0b`?4n6=44i0:f>5<5<lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wi59<50;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sm95694?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a=10=83?1<7>t$51b>7g73A>?56F;3e9'7=5=9??n7)6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e1=21<7;50;2x 15f2;k;7E:;9:J77a=#;191=;;j;%0b`?4n6=44i0:f>5<5<lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wi59o50;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sm95a94?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a=1c=83?1<7>t$51b>7g73A>?56F;3e9'7=5=9??n7)6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e1<:1<7;50;2x 15f2;k;7E:;9:J77a=#;191=;;j;%0b`?4n6=44i0:f>5<5<lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wi58<50;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sm94694?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<97>55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a=00=83?1<7>t$51b>7g73A>?56F;3e9'7=5=9??n7)6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e1<21<7;50;2x 15f2;k;7E:;9:J77a=#;191=;;j;%0b`?4n6=44i0:f>5<5<lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wi58o50;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sm94a94?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<h7>55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a=0c=83?1<7>t$51b>7g73A>?56F;3e9'7=5=9??n7)6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e1?:1<7;50;2x 15f2;k;7E:;9:J77a=#;191=;;j;%0b`?4n6=44i0:f>5<5<lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wi5;<50;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sm97694?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a=30=83?1<7>t$51b>7g73A>?56F;3e9'7=5=9??n7)6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e1?21<7;50;2x 15f2;k;7E:;9:J77a=#;191=;;j;%0b`?4n6=44i0:f>5<5<lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wi5;o50;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sm97a94?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a=3c=83?1<7>t$51b>7g73A>?56F;3e9'7=5=9??n7)6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e1>:1<7;50;2x 15f2;k;7E:;9:J77a=#;191=;;j;%0b`?4n6=44i0:f>5<5<lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wi5:<50;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sm96694?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a=20=83?1<7>t$51b>7g73A>?56F;3e9'7=5=9??n7)6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e1>21<7;50;2x 15f2;k;7E:;9:J77a=#;191=;;j;%0b`?4n6=44i0:f>5<5<lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wi5:o50;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sm96a94?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a=2c=83?1<7>t$51b>7g73A>?56F;3e9'7=5=9??n7)6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e11:1<7;50;2x 15f2;k;7E:;9:J77a=#;191=;;j;%0b`?4n6=44i0:f>5<5<lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wi55<50;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sm99694?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a==0=83?1<7>t$51b>7g73A>?56F;3e9'7=5=9??n7)6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e1121<7;50;2x 15f2;k;7E:;9:J77a=#;191=;;j;%0b`?4n6=44i0:f>5<5<lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wi55o50;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sm99a94?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::a==c=83?1<7>t$51b>7g73A>?56F;3e9'7=5=9??n7)6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{e10:1<7=50;2x 15f2=>37E:;9:J77a=#;191=;;j;h3:a?6=3`8i:7>5;n143?6=3th25<4?:283>5}#<:k18964H56:?M24l2.84>4>64g8m4?b2900e?l9:188k6102900qo762;297?6=8r.??l4;499K01?<@=9o7)=73;351`=n90o1<75f2c494?=h;>=1<75rb8;0>5<4290;w):N3<01C8>j4$2:0>402m2c:5h4?::k1f3<722e8;:4?::a=<2=8391<7>t$51b>12?3A>?56F;3e9'7=5=9??n7d?6e;29?l4e>3:17b=87;29?xd>1<0;684?:1y'06g=:j?0D9:6;I60`>"40:0::8k4i0;f>5<5<5<54;294~"3;h09o;5G45;8L15c3-93?7?95d9j55l50;9j066=831d>o950;9~f2B?845G42f8 6>428<>i6g>9d83>>o50k0;66g;3183>>i5j>0;66sm98:94?2=83:p(9=n:3a5?M2312B??i5+3919533b3`;2i7>5;h0;f?6=3`>8<7>5;n0a3?6=3th2544?:583>5}#<:k1>n84H56:?M24l2.84>4>64g8m4?b2900e?6m:188m1572900c?l8:188yg?>i3:187>50z&77d<5k=1C8974H51g?!5?;3;=9h5f18g94?=n:1h1<75f42294?=h;>=1<75rb8;a>5<2290;w):N3<01C8>j4$3cg>7?c3->9<7::8:k1e=<722c9m44?::k1ed<722c9mo4?::m032<722wi54m50;694?6|,=9j6?ll;I67=>N3;m1/>lj5929j6d>=831b>l750;9j6dg=831d?:950;9~f6=4?{%60e?4el2B?845G42f8 7gc21<0(90<729q/8>o52cf8L12>3A>8h6*=ae8:5>"3:90?985f2`:94?=n:h31<75f2`c94?=n:hh1<75`36594?=zj03m6=49:183!24i38ii6F;489K06b<,;ko6<76;%614?22;2c9m54?::k1e<<722c9ml4?::k1eg<722c9mn4?::m032<722wi5l>50;194?6|,=9j6?lm;I67=>N3;m1/>lj5899j6d>=831b>l750;9l721=831vn4o>:186>5<7s->8m7i;0;694?:1y'06g=:ki0D9:6;I60`>"5im03n6g=a983>>o5i00;66g=a`83>>i4?>0;66sm9`194?2=83:p(9=n:3``?M2312B??i5+2`f95d3<,=8;69;7;h0b5;h0be?6=3f9<;7>5;|`:e1<72:0;6=u+42c96gd<@=>27E:1<7>t$51b>7dd3A>?56F;3e9'6db=:0k0(9i?0;6;4?:1y'06g=:ko0D9:6;I60`>"5im0:86g=a983>>o5i00;66g=a`83>>o5ik0;66g=ab83>>i4?>0;66sm9`594?5=83:p(9=n:3`a?M2312B??i5+2`f96==n:h21<75f2`;94?=h;>=1<75rb8c;>5<4290;w):N3<01C8>j4$3cg>4g33`8j47>5;h0b=?6=3f9<;7>5;|`:e<<72=0;6=u+42c96ge<@=>27E:1/8?>54458m7g?2900e?o6:188m7gf2900c>98:188yg?fi3:187>50z&77d<5jj1C8974H51g?!4fl3;27d6<729q/8>o52c`8L12>3A>8h6*=ae8;b>o5i10;66g=a883>>i4?>0;66sm9`a94?2=83:p(9=n:3``?M2312B??i5+2`f9=5=#<;:18884i3c;>5<5<t$51b>7db3A>?56F;3e9'6db=:h90e?o7:188m7g>2900e?on:188m7ge2900e?ol:188k6102900qo7nf;290?6=8r.??l4=bb9K01?<@=9o7)o5i10;66g=a883>>o5ih0;66a<7683>>{e1k:1<7:50;2x 15f2;hh7E:;9:J77a=#:hn1>574i3c;>5<5<5;h0b=?6=3f9<;7>5;|`:f7<72:0;6=u+42c96gd<@=>27E:t$51b>7de3A>?56F;3e9'6db=:11b>l650;9j6d?=831d?:950;9~f2900e?on:188k6102900qo7m5;297?6=8r.??l4=bc9K01?<@=9o7)6<729q/8>o52c`8L12>3A>8h6*=ae81<>"3:90?945f2`:94?=n:h31<75`36594?=zj0h<6=4<:183!24i38in6F;489K06b<,;ko6564i3c;>5<5<53;294~"3;h09no5G45;8L15c3-8jh767;h0b5;n143?6=3th2n44?:483>5}#<:k1>oj4H56:?M24l2.9mi4=979j6d>=831b>l750;9j6dg=831b>ll50;9l721=831vn4ln:186>5<7s->8m71<729q/8>o52ca8L12>3A>8h6*=ae8;`>o5i10;66g=a883>>o5ih0;66a<7683>>{e1ki1<7=50;2x 15f2;hi7E:;9:J77a=#:hn1>4;4$503>13>3`8j47>5;h0b=?6=3f9<;7>5;|`:fa<72=0;6=u+42c96ge<@=>27E:N3;m1/>lj58`9j6d>=831b>l750;9l721=831vn4li:187>5<7s->8m7k90;6>4?:1y'06g=:kh0D9:6;I60`>"5im03m6g=a983>>o5i00;66a<7683>>{e1j;1<7=50;2x 15f2;hi7E:;9:J77a=#:hn14l5f2`:94?=n:h31<75`36594?=zj0i96=4;:183!24i38io6F;489K06b<,;ko65j4i3c;>5<5<c3`8j47>5;h0b=?6=3`8jm7>5;n143?6=3th2o94?:283>5}#<:k1>ol4H56:?M24l2.9mi47a:k1e=<722c9m44?::m032<722wi5n;50;194?6|,=9j6?lm;I67=>N3;m1/>lj58`9j6d>=831b>l750;9l721=831vn4m9:180>5<7s->8m7k10;6>4?:1y'06g=:kh0D9:6;I60`>"5im03j6g=a983>>o5i00;66a<7683>>{e1j31<7;50;2x 15f2;ho7E:;9:J77a=#:hn1>5o4i3c;>5<5<5<55;294~"3;h09ni5G45;8L15c3-8jh7<69:k1e=<722c9m44?::k1ed<722c9mo4?::m032<722wi5nl50;694?6|,=9j6?ll;I67=>N3;m1/>lj58e9j6d>=831b>l750;9j6dg=831d?:950;9~f2900c>98:188yg?dl3:1?7>50z&77d<5jk1C8974H51g?!4fl38296g=a983>>o5i00;66a<7683>>{e1jo1<7:50;2x 15f2;hh7E:;9:J77a=#:hn1=?=4i3c;>5<5<?2c9m54?::k1e<<722c9ml4?::m032<722wi5i>50;194?6|,=9j6?lm;I67=>N3;m1/>lj58`9j6d>=831b>l750;9l721=831vn4j>:180>5<7s->8m76<729q/8>o52c`8L12>3A>8h6*=ae8;b>"3:90?9<5f2`:94?=n:h31<75`36594?=zj0n?6=4<:183!24i38in6F;489K06b<,;ko65h4$503>13e3`8j47>5;h0b=?6=3f9<;7>5;|`:`0<72:0;6=u+42c96gd<@=>27E:=4;539j6d>=831b>l750;9l721=831vn4j9:180>5<7s->8m7l>0;6>4?:1y'06g=:kh0D9:6;I60`>"5im0346g=a983>>o5i00;66a<7683>>{e1m21<7;50;2x 15f2;ho7E:;9:J77a=#:hn1485f2`:94?=n:h31<75f2`c94?=n:hh1<75`36594?=zj0n26=4::183!24i38ih6F;489K06b<,;ko65;4i3c;>5<5<5<55;294~"3;h09ni5G45;8L15c3-8jh76:;h0b5;h0be?6=3`8jn7>5;n143?6=3th2ho4?:483>5}#<:k1>oj4H56:?M24l2.9mi475:k1e=<722c9m44?::k1ed<722c9mo4?::m032<722wi5im50;794?6|,=9j6?lk;I67=>N3;m1/>lj5849j6d>=831b>l750;9j6dg=831b>ll50;9l721=831vn4jk:186>5<7s->8m70<729q/8>o52cf8L12>3A>8h6*=ae8;1>o5i10;66g=a883>>o5ih0;66g=ac83>>i4?>0;66sm9d294?3=83:p(9=n:3`g?M2312B??i5+2`f9<0=n:h21<75f2`;94?=n:hk1<75f2``94?=h;>=1<75rb8g2>5<2290;w):N3<01C8>j4$3cg>=35<5<23`8j47>5;h0b=?6=3`8jm7>5;h0bf?6=3f9<;7>5;|`:a6<72<0;6=u+42c96gb<@=>27E:t$51b>7dc3A>?56F;3e9'6db=0<1b>l650;9j6d?=831b>lo50;9j6dd=831d?:950;9~f6=4?{%60e?4el2B?845G42f8 7gc21?0e?o7:188m7g>2900e?on:188m7ge2900c>98:188yg?b>3:197>50z&77d<5jm1C8974H51g?!4fl32>7dm>0;684?:1y'06g=:kn0D9:6;I60`>"5im0396g=a983>>o5i00;66g=a`83>>o5ik0;66a<7683>>{e1l21<7;50;2x 15f2;ho7E:;9:J77a=#:hn1485f2`:94?=n:h31<75f2`c94?=n:hh1<75`36594?=zj0o26=4::183!24i38ih6F;489K06b<,;ko65;4i3c;>5<5<5<55;294~"3;h09ni5G45;8L15c3-8jh76:;h0b5;h0be?6=3`8jn7>5;n143?6=3th2io4?:483>5}#<:k1>oj4H56:?M24l2.9mi475:k1e=<722c9m44?::k1ed<722c9mo4?::m032<722wi5hm50;794?6|,=9j6?lk;I67=>N3;m1/>lj5849j6d>=831b>l750;9j6dg=831b>ll50;9l721=831vn4kk:186>5<7s->8m70<729q/8>o52cf8L12>3A>8h6*=ae8;1>o5i10;66g=a883>>o5ih0;66g=ac83>>i4?>0;66sm9g294?3=83:p(9=n:3`g?M2312B??i5+2`f9<0=n:h21<75f2`;94?=n:hk1<75f2``94?=h;>=1<75rb8d2>5<2290;w):N3<01C8>j4$3cg>=35<5<23`8j47>5;h0b=?6=3`8jm7>5;h0bf?6=3f9<;7>5;|`:b6<72<0;6=u+42c96gb<@=>27E:t$51b>7dc3A>?56F;3e9'6db=0<1b>l650;9j6d?=831b>lo50;9j6dd=831d?:950;9~f<`2290>6=4?{%60e?4el2B?845G42f8 7gc21?0e?o7:188m7g>2900e?on:188m7ge2900c>98:188yg?a>3:197>50z&77d<5jm1C8974H51g?!4fl32>7dn>0;684?:1y'06g=:kn0D9:6;I60`>"5im0396g=a983>>o5i00;66g=a`83>>o5ik0;66a<7683>>{e1o21<7;50;2x 15f2;ho7E:;9:J77a=#:hn1485f2`:94?=n:h31<75f2`c94?=n:hh1<75`36594?=zj0l26=4::183!24i38ih6F;489K06b<,;ko65;4i3c;>5<5<5<55;294~"3;h09ni5G45;8L15c3-8jh76:;h0b5;h0be?6=3`8jn7>5;n143?6=3th2jo4?:483>5}#<:k1>oj4H56:?M24l2.9mi475:k1e=<722c9m44?::k1ed<722c9mo4?::m032<722wi5km50;794?6|,=9j6?lk;I67=>N3;m1/>lj5849j6d>=831b>l750;9j6dg=831b>ll50;9l721=831vn4hk:186>5<7s->8m70<729q/8>o52cf8L12>3A>8h6*=ae8;1>o5i10;66g=a883>>o5ih0;66g=ac83>>i4?>0;66sma1294?3=83:p(9=n:3`g?M2312B??i5+2`f9<0=n:h21<75f2`;94?=n:hk1<75f2``94?=h;>=1<75rb`22>5<2290;w):N3<01C8>j4$3cg>=35<5<23`8j47>5;h0b=?6=3`8jm7>5;h0bf?6=3f9<;7>5;|`b46<72<0;6=u+42c96gb<@=>27E:t$51b>7dc3A>?56F;3e9'6db=0<1b>l650;9j6d?=831b>lo50;9j6dd=831d?:950;9~fd62290>6=4?{%60e?4el2B?845G42f8 7gc21?0e?o7:188m7g>2900e?on:188m7ge2900c>98:188ygg7>3:197>50z&77d<5jm1C8974H51g?!4fl32>7d0;684?:1y'06g=:kn0D9:6;I60`>"5im0396g=a983>>o5i00;66g=a`83>>o5ik0;66a<7683>>{ei921<7;50;2x 15f2;ho7E:;9:J77a=#:hn1485f2`:94?=n:h31<75f2`c94?=n:hh1<75`36594?=zjh:26=4::183!24i38ih6F;489K06b<,;ko65;4i3c;>5<5<5<55;294~"3;h09ni5G45;8L15c3-8jh76:;h0b5;h0be?6=3`8jn7>5;n143?6=3thj5}#<:k1>oj4H56:?M24l2.9mi475:k1e=<722c9m44?::k1ed<722c9mo4?::m032<722wim=m50;794?6|,=9j6?lk;I67=>N3;m1/>lj5849j6d>=831b>l750;9j6dg=831b>ll50;9l721=831vnl>k:186>5<7s->8m70<729q/8>o52cf8L12>3A>8h6*=ae8;1>o5i10;66g=a883>>o5ih0;66g=ac83>>i4?>0;66sma0294?3=83:p(9=n:3`g?M2312B??i5+2`f9<0=n:h21<75f2`;94?=n:hk1<75f2``94?=h;>=1<75rb`32>5<2290;w):N3<01C8>j4$3cg>=35<5<23`8j47>5;h0b=?6=3`8jm7>5;h0bf?6=3f9<;7>5;|`b56<72<0;6=u+42c96gb<@=>27E:t$51b>7dc3A>?56F;3e9'6db=0<1b>l650;9j6d?=831b>lo50;9j6dd=831d?:950;9~fd72290>6=4?{%60e?4el2B?845G42f8 7gc21?0e?o7:188m7g>2900e?on:188m7ge2900c>98:188ygg6>3:197>50z&77d<5jm1C8974H51g?!4fl32>7d0;684?:1y'06g=:kn0D9:6;I60`>"5im0396g=a983>>o5i00;66g=a`83>>o5ik0;66a<7683>>{ei821<7;50;2x 15f2;ho7E:;9:J77a=#:hn1485f2`:94?=n:h31<75f2`c94?=n:hh1<75`36594?=zjh;26=4::183!24i38ih6F;489K06b<,;ko65;4i3c;>5<5<5<55;294~"3;h09ni5G45;8L15c3-8jh76:;h0b5;h0be?6=3`8jn7>5;n143?6=3thj=o4?:483>5}#<:k1>oj4H56:?M24l2.9mi475:k1e=<722c9m44?::k1ed<722c9mo4?::m032<722wimN3;m1/>lj5849j6d>=831b>l750;9j6dg=831b>ll50;9l721=831vnl?k:186>5<7s->8m7e;291?6=8r.??l4=be9K01?<@=9o7)0<729q/8>o52cf8L12>3A>8h6*=ae8;1>o5i10;66g=a883>>o5ih0;66g=ac83>>i4?>0;66sma3294?3=83:p(9=n:3`g?M2312B??i5+2`f9<0=n:h21<75f2`;94?=n:hk1<75f2``94?=h;>=1<75rb`02>5<2290;w):N3<01C8>j4$3cg>=35<5<23`8j47>5;h0b=?6=3`8jm7>5;h0bf?6=3f9<;7>5;|`b66<72<0;6=u+42c96gb<@=>27E:t$51b>7dc3A>?56F;3e9'6db=0<1b>l650;9j6d?=831b>lo50;9j6dd=831d?:950;9~fd42290>6=4?{%60e?4el2B?845G42f8 7gc21?0e?o7:188m7g>2900e?on:188m7ge2900c>98:188ygg5>3:197>50z&77d<5jm1C8974H51g?!4fl32>7d0;684?:1y'06g=:kn0D9:6;I60`>"5im0396g=a983>>o5i00;66g=a`83>>o5ik0;66a<7683>>{ei;21<7;50;2x 15f2;ho7E:;9:J77a=#:hn1485f2`:94?=n:h31<75f2`c94?=n:hh1<75`36594?=zjh826=4::183!24i38ih6F;489K06b<,;ko65;4i3c;>5<5<5<55;294~"3;h09ni5G45;8L15c3-8jh76:;h0b5;h0be?6=3`8jn7>5;n143?6=3thj>o4?:483>5}#<:k1>oj4H56:?M24l2.9mi475:k1e=<722c9m44?::k1ed<722c9mo4?::m032<722wim?m50;794?6|,=9j6?lk;I67=>N3;m1/>lj5849j6d>=831b>l750;9j6dg=831b>ll50;9l721=831vnl5<7s->8m70<729q/8>o52cf8L12>3A>8h6*=ae8;1>o5i10;66g=a883>>o5ih0;66g=ac83>>i4?>0;66sma2294?3=83:p(9=n:3`g?M2312B??i5+2`f9<0=n:h21<75f2`;94?=n:hk1<75f2``94?=h;>=1<75rb`12>5<2290;w):N3<01C8>j4$3cg>=35<5<23`8j47>5;h0b=?6=3`8jm7>5;h0bf?6=3f9<;7>5;|`b76<72<0;6=u+42c96gb<@=>27E:t$51b>7dc3A>?56F;3e9'6db=0<1b>l650;9j6d?=831b>lo50;9j6dd=831d?:950;9~fd52290>6=4?{%60e?4el2B?845G42f8 7gc21?0e?o7:188m7g>2900e?on:188m7ge2900c>98:188ygg4>3:187>50z&77d<5jj1C8974H51g?!4fl3;>7d1<729q/8>o52ca8L12>3A>8h6*=ae81<==n:h21<75f2`;94?=n:hk1<75`36594?=zjh936=4<:183!24i38in6F;489K06b<,;ko6?7:;h0b5;n143?6=3thj?44?:283>5}#<:k1>ol4H56:?M24l2.9mi4=949j6d>=831b>l750;9l721=831vnl=n:180>5<7s->8m76<729q/8>o52c`8L12>3A>8h6*=ae81=0=n:h21<75f2`;94?=h;>=1<75rb`1`>5<2290;w):N3<01C8>j4$2:0>402m2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3`>987>5;n616?6=3thj?i4?:483>5}#<:k1>l>4H56:?M24l2.84>4>64g8 7gc2;1b=9k50;9j5=c=831b=l:50;9j072=831d8?<50;9~fd5b290>6=4?{%60e?4f82B?845G42f8 6>428<>i6*=ae81?l73m3:17d?7e;29?l7f<3:17d:=4;29?j25:3:17pln3g83>0<729q/8>o52`28L12>3A>8h6*<828220c<,;ko6?5f15g94?=n91o1<75f1`694?=n<;>1<75`43094?=zjh>;6=4::183!24i38j<6F;489K06b<,:286<8:e:&1ea<53`;?i7>5;h3;a?6=3`;j87>5;h610?6=3f>9>7>5;|`b04<72<0;6=u+42c96d6<@=>27E:5<7s->8m76<:046a>"5im097d?;e;29?l7?m3:17d?n4;29?l25<3:17b:=2;29?xdf<:0;684?:1y'06g=:h:0D9:6;I60`>"40:0::8k4$3cg>7=n9=o1<75f19g94?=n9h>1<75f43694?=h<;81<75rb`67>5<2290;w):N3<01C8>j4$2:0>402m2.9mi4=;h37a?6=3`;3i7>5;h3b0?6=3`>987>5;n616?6=3thj884?:583>5}#<:k1>4h4H56:?M24l2c:8h4?::k2e1<722c?>94?::m767<722wim9850;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sma5:94?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<55;294~"3;h09m<5G45;8L15c3-93?7?95d9'6db=9>1b=9k50;9j5=c=831b=l:50;9j066=831d8?<50;9~fd2f290?6=4?{%60e?4>n2B?845G42f8m42b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sma5a94?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<55;294~"3;h09m<5G45;8L15c3-93?7?95d9'6db=9>1b=9k50;9j5=c=831b=l:50;9j066=831d8?<50;9~fd2b290>6=4?{%60e?4f92B?845G42f8 6>428<>i6*=ae823>o68d83>>o6i=0;66g;3183>>i3:;0;66sma5d94?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<<7>55;294~"3;h09m=5G45;8L15c3-93?7?95d9'6db=:2c:8h4?::k2<`<722c:m94?::k761<722e?>?4?::ae07=83?1<7>t$51b>7g63A>?56F;3e9'7=5=9??n7)0<729q/8>o52`28L12>3A>8h6*<828220c<,;ko6?5f15g94?=n91o1<75f1`694?=n<;>1<75`43094?=zjh?86=4::183!24i38j<6F;489K06b<,:286<8:e:&1ea<53`;?i7>5;h3;a?6=3`;j87>5;h610?6=3f>9>7>5;|`b11<72<0;6=u+42c96d7<@=>27E:6g>4d83>>o60l0;66g>a583>>o3:=0;66a;2383>>{ei<<1<7;50;2x 15f2;k;7E:;9:J77a=#;191=;;j;%0b`?4n6=44i0:f>5<5<lj52:k20`<722c:4h4?::k2e1<722c?>94?::m767<722wim8650;794?6|,=9j6?o?;I67=>N3;m1/?5=5177f?!4fl380e<:j:188m4>b2900e50z&77d<5i91C8974H51g?!5?;3;=9h5+2`f96>o68d83>>o6i=0;66g;2583>>i3:;0;66sma4c94?3=83:p(9=n:3c3?M2312B??i5+3919533b3-8jh7<4i06f>5<5<5<n7>52;294~"3;h09nl5G45;8L15c3-8jh7<7;h0b5;|`b1f<72:0;6=u+42c96gd<@=>27E:t$51b>7df3A>?56F;3e9'6db=:11b>l650;9l721=831vnl;j:181>5<7s->8m798:188ygg2n3:1>7>50z&77d<5jh1C8974H51g?!4fl3837d7<729q/8>o52cc8L12>3A>8h6*=ae81<>o5i10;66a<7683>>{ei?;1<7<50;2x 15f2;hj7E:;9:J77a=#:hn1>55f2`:94?=h;>=1<75rb`41>5<5290;w):N3<01C8>j4$3cg>7>5<52;294~"3;h09nl5G45;8L15c3-8jh7<7;h0b5;|`b21<72;0;6=u+42c96gg<@=>27E:N3;m1/>lj5299j6d>=831d?:950;9~fd0129096=4?{%60e?4ei2B?845G42f8 7gc2;20e?o7:188k6102900qoo97;296?6=8r.??l4=b`9K01?<@=9o7)10;6?4?:1y'06g=:kk0D9:6;I60`>"5im0946g=a983>>i4?>0;66sma7;94?2=83:p(9=n:3;e?M2312B??i5+2`f96>o6a583>>o3:=0;66a;2383>>{ei?k1<7:50;2x 15f2;3m7E:;9:J77a=#:hn1>6g>4d83>>o6i=0;66g;2583>>i3:;0;66sma7`94?5=83:p(9=n:3;`?M2312B??i5+2`f952=n9=o1<75f1`694?=h<;81<75rb`4`>5<4290;w):N3<01C8>j4$3cg>41n6=44i0c7>5<3:1>3`8j47>5;h0b=?6=3`8jm7>5;h0bf?6=3`8jo7>5;n143?6=3thj:h4?:583>5}#<:k1>om4H56:?M24l2.9mi4=9`9'076=<<;0e?o7:188m7g>2900e?on:188k6102900qoo9f;290?6=8r.??l4=bb9K01?<@=9o7)"3:90?9:5f2`:94?=n:h31<75f2`c94?=h;>=1<75rb`53>5<2290;w):N3<01C8>j4$3cg>7??3->9<7::2:k1e=<722c9m44?::k1ed<722c9mo4?::m032<722wim:?50;794?6|,=9j6?lk;I67=>N3;m1/>lj528:8 1472=?i7d"5im09555+432900g5<5<3:13->9<7::0:k1e=<722c9m44?::k1ed<722c9mo4?::k1ef<722e8;:4?::ae22=83?1<7>t$51b>7dc3A>?56F;3e9'6db=9h1/8?>54428m7g?2900e?o6:188m7gf2900e?om:188k6102900qoo85;292?6=8r.??l4=bd9K01?<@=9o7)>86g=a983>>o5i00;66g=a`83>>o5ik0;66g=ab83>>i4?>0;66sma6494?2=83:p(9=n:3``?M2312B??i5+2`f91==#<;:188:4i3c;>5<5<n6=44i0:f>5<5<52;294~"3;h09n85G45;8L15c3`8j;7>5;n143?6=3thj;44?:383>5}#<:k1>o;4H56:?M24l2c9m:4?::m032<722wim:o50;094?6|,=9j6?l:;I67=>N3;m1b>l950;9l721=831vnl9m:181>5<7s->8m77<729q/8>o52c78L12>3A>8h6g=a683>>i4?>0;66sma6g94?4=83:p(9=n:3`6?M2312B??i5f2`594?=h;>=1<75rb`5e>5<5290;w):N3<01C8>j4i3c4>5<5;|`b<4<72;0;6=u+42c96g3<@=>27E:t$51b>7d23A>?56F;3e9j6d1=831d?:950;9~fd>429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb`:7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjh2>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fd>1290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnl68:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnl6n:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fd>e29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb`:`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjh2o6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fd>b290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnl6i:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnl7=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fd?429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb`;7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjh3>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fd?1290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnl78:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnl7n:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fd?e29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb`;`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjh3o6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fd?b290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnl7i:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnlo=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fdg429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb`c7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjhk>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fdg1290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnlo8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnlon:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fdge29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb`c`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjhko6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fdgb290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnloi:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnll=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fdd429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb``7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjhh>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fdd1290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnll8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnlln:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fdde29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb```>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjhho6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fddb290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnlli:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnlm=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fde429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb`a7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjhi>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fde1290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnlm8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnlmn:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fdee29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb`a`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjhio6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fdeb290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnlmi:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnlj=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fdb429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb`f7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjhn>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fdb1290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnlj8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnljn:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fdbe29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb`f`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjhno6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fdbb290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnlji:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnlk=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fdc429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb`g7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjho>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fdc1290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnlk8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnlkn:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fdce29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb`g`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjhoo6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fdcb290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnlki:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnlh=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fd`429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb`d7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjhl>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fd`1290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnlh8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnlhn:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fd`e29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rb`d`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjhlo6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fd`b290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnlhi:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno>=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg6429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc27>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk:>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg61290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno>8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno>n:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg6e29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc2`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk:o6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg6b290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno>i:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno?=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg7429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc37>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk;>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg71290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno?8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno?n:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg7e29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc3`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk;o6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg7b290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno?i:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno<=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg4429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc07>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk8>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg41290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno<8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg4e29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc0`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk8o6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg4b290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno==:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg5429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc17>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk9>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg51290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno=8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno=n:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg5e29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc1`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk9o6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg5b290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno=i:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<;6=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rbc62>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno:=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg2429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc67>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk>>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg21290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno:8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<36=4;:183!24i382i6F;489K06b<,;ko6?5f15g94?=n91o1<75f1`694?=h<;81<75rbc6:>5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno:n:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg2e29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc6`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk>o6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg2b290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno:i:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno;=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg3429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc77>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk?>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg31290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno;8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno;n:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg3e29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc7`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk?o6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg3b290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno;i:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno8=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg0429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc47>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk<>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg01290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno88:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno8n:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg0e29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc4`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg0b290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno8i:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno9=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg1429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc57>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk=>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg11290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno98:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno9n:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg1e29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc5`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk=o6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg1b290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno9i:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno6=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg>429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc:7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk2>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg>1290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno68:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno6n:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg>e29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc:`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk2o6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg>b290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno6i:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno7=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg?429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc;7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk3>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg?1290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno78:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vno7n:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fg?e29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc;`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjk3o6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fg?b290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vno7i:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnoo=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fgg429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbcc7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjkk>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fgg1290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnoo8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnoon:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fgge29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbcc`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjkko6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fggb290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnooi:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnol=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fgd429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc`7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjkh>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fgd1290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnol8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnoln:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fgde29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbc``>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjkho6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fgdb290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnoli:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnom=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fge429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbca7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjki>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fge1290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnom8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnomn:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fgee29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbca`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjkio6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fgeb290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnomi:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnoj=:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fgb429026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbcf7>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjkn>6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fgb1290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831vnoj8:18:>5<7s->8m7:<8:J70<=O<:n0(?ok:29j=1<722c297>5;h;5>5<5<>290;w):N3<01C8>j4$3cg>6=n1=0;66g65;29?l?12900e4950;9j5=c=831b=4h50;9j5d2=831d8?<50;9l075=831vnojn:187>5<7s->8m7<6e:J70<=O<:n0(?ok:39j51c=831b=5k50;9j5d2=831d8?<50;9~fgbe29026=4?{%60e?2402B?845G42f8 7gc2:1b594?::k:1?6=3`3=6=44i8594?=n91o1<75f18d94?=n9h>1<75`43094?=h<;91<75rbcf`>5<3290;w):N3<01C8>j4$3cg>7=n9=o1<75f19g94?=n9h>1<75`43094?=zjkno6=46:183!24i3>846F;489K06b<,;ko6>5f9583>>o>=3:17d79:188m<1=831b=5k50;9j5<`=831b=l:50;9l074=831d8?=50;9~fgbb290?6=4?{%60e?4>m2B?845G42f8 7gc2;1b=9k50;9j5=c=831b=l:50;9l074=831v<892;296~Xeml16m5l51`68yv71>:0;6?uQbdf89d>>28k?7p}>67694?4|Vkoh70o7f;3b0>{t9?<>6=4={_`ff>;f180:m95rs0452?6=:rTiil52a9f95d2=838pRok7;a59~w401i3:1>vPmf79>e0q~?96c83>7}Yjo?01l78:0c7?xu6>?i1<7n3;j86s|174g>5<5sWhm?63na082e1=z{8<=i7>52z\ab7=:i0n1=l:4}r352c<72;qUnk?4=`c6>4g33ty:::>50;0xZg`734kj;7?n4:p53162909wSljf:?be6<6i=1v<882;296~Xem?16ml751`68yv71?:0;6?uQbd789dge28k?7p}>66694?4|Vj9370:76;036>{t9?=>6=4={_a03>;30?09<<5rs0442?6=:rTh?;524949656=838pRn=<;<6;2?7al2wx=;96:181[e4:27?4;4>fb9~w400i3:1>vPl309>0=0=9oh0q~?97c83>7}Yk::01969:0d:?xu6>>i1<73;m46s|175g>5<5sWi9i63;8782b2=z{8<52z\`6a=:<1<1=k84}r353c<72;qUo?m4=5:5>4`23ty::5>50;0xZf4e34>3:7?i4:p53>62909wSm=a:?7<3<6n:1v<872;296~Xd;o1685852318yv710:0;6?uQc2g891>12;897p}>69694?4|Vj9o70:76;015>{t9?2>6=4={_a0g>;30?09>=5rs04;2?6=:rTh?o52494964`=838pRn=6;<6;2?46<2wx=;66:181[e4<27?4;4=089~w40?i3:1>vPl289>0=0=9oo0q~?98c83>7}Yk;201969:0d1?xu6>1i1<738:h6s|17:g>5<5sWn:;63;87815g=z{8<3i7>52z\g53=:<1<1>77>3ty::4>50;0xZa7434>3:7<>8:p53?62909wSj>2:?7<3<59>1v<862;296~Xc981685852048yv711:0;6?uQd02891>12;;>7p}>68694?4|Vm:m70:76;027>{t9?3>6=4={_f3a>;30?09=?5rs04:2?6=:rTo=838pRi>m;<6;2?47n2wx=;76:181[b7i27?4;4=0d9~w40>i3:1>vPk1g9>0=0=:9n0q~?99c83>7}Yl8o01969:32`?xu6>0i1<738;n6s|17;g>5<5sWn:o63;87814d=z{8<2i7>52z\g5g=:<1<1>=64}r35=c<72;qUh7603ty::l>50;0xZa7>34>3:74:?7<3<58<1v<8n2;296~Xc801685852168yv71i:0;6?uQd1:891>12;:87p}>6`694?74mrT::;?4=553>15734><=7:=4:?737<3:=168::5436891122=8?70:86;610>;3?>0?>95246:9072<5==269<;;<64e?25<27?;o4;259>02e=<::0199k:507?820m3>8<63;818761=:<1;18?:4=5:0>14334>387:=4:?7<0<3:=168585423890542=8?70;<7;610>;2>90?>9525739072<5<<969<;;<757?25<27>:94;259>133=<;>01889:507?831?3>9863:698761=:=?318?:4=44b>14334?=n7:=4:?62f<3:=169;j54368900b2=8?70;9f;610>;2?90?>9525639072<5<=969=>;<7b1?24927>h54;309>25d=<:;01;=j:512?80093>8=639a58774=:>m=18>?4=62b>15634=8h7:<1:?435<3;816;l=5423892b12=9:706?f;605>;?<10?>95285;9072<51>j69<;;<:7f?25<2738n4;259><1b=<;>015:j:507?8>3n3>98637518761=:0<;18?:4=971>143342>?7:=4:?;11<3:=1648;543689=312=8?706:7;610>;?=10?>95284;9072<51?j69<;;<:6f?25<2739n4;259><0b=<;>015;j:507?8>2n3>98637618761=:0?;18?:4=941>143342=?7:=4:?;21<3:=164;;543689=012=8?70697;610>;?>10?>95287;9072<51<3b=<;>0158j:507?8>1n3>98637718761=:0>;18?:4=951>143342;??10?>95286;9072<51=j69<;;<:4f?25<273;n4;259><2b=<;>0159j:507?8>0n3>98637818761=:01;18?:4=9:1>1433423?7:=4:?;<1<3:=1645;543689=>12=8?70677;610>;?010?>95289;9072<512j69<;;<:;f?25<2734n4;259><=b=<;>0156j:507?8>?n3>98637918761=:00;18?:4=9;1>1433422?7:=4:?;=1<3:=1644;543689=?12=8?70667;610>;?110?>95288;9072<513j69<;;<::f?25<2735n4;259><0157j:507?8>>n3>98637a18761=:0h;18?:4=9c1>143342j?7:=4:?;e1<3:=164l;543689=g12=8?706n7;610>;?i10??<528bd9072<51n;69<;;<:g5?25<273h?4;259>015j;:507?8>c=3>98637d78761=:0m=18?:4=9f;>143342o57:=4:?;`d<3:=164il543689=bd2=8?706kd;610>;?ll0?>9528ed9072<51o;69<;;<:f5?25<273i?4;259><`5=<;>015k;:507?8>b=3>98637e78761=:0l=18?:4=9g;>143342n57:=4:?;ad<3:=164hl543689=cd2=8?706jd;610>;?ml0?>9528dd9072<51l;69<;;<:e5?25<273j?4;259>015h;:507?8>a=3>98637f78761=:0o=18?:4=9d;>143342m57:=4:?;bd<3:=164kl543689=`d2=8?706id;610>;?nl0?>9528gd9067<509:69<;;<;06?25<272?>4;259>=62=<;>014=::507?8?4>3>98636368761=:1:218?:4=81:>1433438m7:=4:?:7g<3:=165>m543689<5c2=8?707;>;o0?>9529529072<50>:69<;;<;76?25<2728>4;259>=12=<;>014:::507?8?3>3>98636468761=:1=218?:4=86:>143343?m7:=4:?:0g<3:=1659m543689<2c2=8?707;e;610>;>9529429072<50?:69<;;<;66?25<2729>4;259>=02=<;>014;::507?8?2>3>98636568761=:1<218?:4=87:>143343>m7:=4:?:1g<3:=1658m543689<3c2=8?707:e;610>;>=o0?>9529729072<50<:69<;;<;56?25<272:>4;259>=32=<;>0148::507?8?1>3>98636668761=:1?218?:4=84:>143343=m7:=4:?:2g<3:=165;m543689<0c2=8?7079e;610>;>>o0?>9529629072<50=:69<;;<;46?25<272;>4;259>=22=<;>0149::507?8?0>3>98636768761=:1>218?:4=85:>143343;>?o0?>9529929072<502:69<;;<;;6?25<2724>4;259>==2=<;>0146::507?8??>3>98636868761=:11218?:4=8::>1433433m7:=4:?:c2=8?7077e;610>;>0o0?>9529`;96d><50io6?o7;e16=<;>01l:9:507?8g3?3>9863n498761=:i=318>>4=`72>15734k>>7:=4:?b16<3:=16m8:542289d322=8?70o:6;610>;f=>0?>952a4:9072<5h?269<;;e3g=<;>01l8i:3c:?xu6>h?1<742b34>=97?;e:?72g<61o168;m518d8911728>n70:81;37a>;3?;0:8h52461951c<5==?6<:j;<641?73m27?;;4>4d9>021=9=o01997:06f?82013;?i63;7`820`=:<>h1=9k4=55`>42b34>728>n70:71;37a>;30;0:8h52491951c<5=2?6<:j;<6;1?73m27?4;4>9g9>0`?=9=o019kn:06f?82a<3;?i63;f4820`=:42b34>m47?;e:?7b<<6n70:ic;37a>;3nm0:8h524gg951c<5=lm6<:j;<734?73m27><<4>4d9>154=9=o018><:06f?837<3;?i63:04820`=:=9<1=9k4=424>42b34?;47?;e:?64<<6n70;>d;37a>;29l0:8h5250d951c<5<836<:j;<71=?73m27>?<4>4d9>164=9=o018=<:06f?834?3;?i63:40820`=:==l1=9k4=473>42b34?>=7?;e:?617<61o1698=518d89033283m70;:5;3:b>;2=?0:5k5254595<`<59l4>4d9>10d=9=o018;l:06f?832l3;?i63:5d820`=:=42b34?==7?;e:?627<6n70;95;37a>;2>?0:8h52575951c<5<<36<:j;<75=?73m27>:l4>4d9>13d=9=o0188l:06f?831l3;?i63:6d820`=:=?l1=9k4=453>42b34?<=7?;e:?637<61o169l;518d890b?283m708?b;3:b>;1;l0:5k5266395<`<5?k?6<7i;<4g3?7>n27<9g9>36b=90l01:9?:0;e?81f;3;2j638d782=c=:09l1=4h4=96;>42b342?57?;e:?;0d<6n706;d;37a>;?4d9><05=9=o015;;:06f?8>2=3;?i63757820`=:0<=1=9k4=97;>42b342>57?;e:?;1d<6n706:d;37a>;?=l0:8h5284d951c<51<;6<:j;<:55?73m273:?4>4d9><35=9=o0158;:06f?8>1=3;?i63767820`=:0?=1=9k4=94;>42b342=57?;e:?;2d<6n7069d;37a>;?>l0:8h5287d951c<51=;6<:j;<:45?73m273;?4>4d9><25=9=o0159;:06f?8>0=3;?i63777820`=:0>=1=9k4=95;>42b342<57?;e:?;3d<6n7068d;37a>;??l0:8h5286d951c<512;6<:j;<:;5?73m2734?4>4d9><=5=9=o0156;:06f?8>?=3;?i63787820`=:01=1=9k4=9:;>42b342357?;e:?;d28>n7067d;37a>;?0l0:8h5289d951c<513;6<:j;<::5?73m2735?4>4d9><<5=9=o0157;:06f?8>>=3;?i63797820`=:00=1=9k4=9;;>42b342257?;e:?;=d<6n7066d;37a>;?1l0:8h5288d951c<51k;6<:j;<:b5?73m273m?4>4d9>f=3;?i637a7820`=:0h=1=9k4=9c;>4?a342hj7?;e:?;`5<6n706k3;37a>;?l=0:8h528e7951c<51n=6<:j;<:g3?73m273h54>4d9>cj3;?i637db820`=:0mn1=9k4=9ff>42b342oj7?;e:?;a5<6n706j3;37a>;?m=0:8h528d7951c<51o=6<:j;<:f3?73m273i54>4d9><`?=9=o015kn:06f?8>bj3;?i637eb820`=:0ln1=9k4=9gf>42b342nj7?;e:?;b5<6n706i3;37a>;?n=0:8h528g7951c<51l=6<:j;<:e3?73m273j54>4d9>aj3;?i637fb820`=:0on1=9k4=9df>42b342mj7?6f:?:75<6?515g89<5528>n707<3;37a>;>;=0:8h52927951c<509=6<:j;<;03?73m272?54>4d9>=6?=9=o014=n:06f?8?4j3;?i6363b820`=:1:n1=9k4=81f>42b3438j7?;e:?:05<6n707;3;37a>;><=0:8h52957951c<50>=6<:j;<;73?73m272854>4d9>=1?=9=o014:n:06f?8?3j3;?i6364b820`=:1=n1=9k4=86f>42b343?j7?;e:?:15<6n707:3;37a>;>==0:8h52947951c<50?=6<:j;<;63?73m272954>4d9>=0?=9=o014;n:06f?8?2j3;?i6365b820`=:142b343>j7?;e:?:25<6n70793;37a>;>>=0:8h52977951c<50<=6<:j;<;53?73m272:54>4d9>=3?=9=o0148n:06f?8?1j3;?i6366b820`=:1?n1=9k4=84f>42b343=j7?;e:?:35<6n70783;37a>;>?=0:8h52967951c<50==6<:j;<;43?73m272;54>4d9>=2?=9=o0149n:06f?8?0j3;?i6367b820`=:1>n1=9k4=85f>42b343528>n70773;37a>;>0=0:8h52997951c<502=6<:j;<;;3?73m272454>4d9>==?=9=o0146n:06f?8??j3;?i6368b820`=:11n1=9k4=8:f>42b3433j7?;e:?b7f<6j515g89d5b28>n70o;f<90:8h52a53951c<5h>96<:j;4d9>e13=9=o01l:9:06f?8g3?3;?i63n49820`=:i=31=9k4=`6b>42b34k?n7?;e:?b0f<6n70o;f;37a>;f=90:8h52a43951c<5h?96<:j;4d9>e03=9=o01l;9:06f?8g2?3;?i63n59820`=:i<31=9k4=`7b>42b34k=57?;e:?b2d<6n70o87;37a>;f0:0:5k52a96951c<5h2>6<7i;9g9>e=>=9=o01l66:0;e?8g?i3;?i63n8c82=c=:i1i1=9k4=`:g>4?a34k3i7?;e:?b515g89d?6283m70o62;37a>;f1:0:5k52a86951c<5h3>6<7i;9g9>e<>=9=o01l76:0;e?8g>i3;?i63n9c82=c=:i0i1=9k4=`;g>4?a34k2i7?;e:?b=c<61o16ml>515g89dg6283m70on2;37a>;fi:0:5k52a`6951c<5hk>6<7i;9g9>ed>=9=o01lo6:0;e?8gfi3;?i63nac82=c=:ihi1=9k4=`cg>4?a34kji7?;e:?bec<61o16mo>515g89dd6283m70om2;37a>;fj:0:5k52ac6951c<5hh>6<7i;9g9>eg>=9=o01ll6:0;e?8gei3;?i63nbc82=c=:iki1=9k4=``g>4?a34kii7?;e:?bfc<61o16mn>515g89de6283m70ol2;37a>;fk:0:5k52ab6951c<5hi>6<7i;9g9>ef>=9=o01lm6:0;e?8gdi3;?i63ncc82=c=:iji1=9k4=`ag>4?a34khi7?;e:?bgc<61o16mi>515g89db6283m70ok2;37a>;fl:0:5k52ae6951c<5hn>6<7i;9g9>ea>=9=o01lj6:0;e?8gci3;?i63ndc82=c=:imi1=9k4=`fg>4?a34koi7?;e:?b`c<61o16mh>515g89dc6283m70oj2;37a>;fm:0:5k52ad6951c<5ho>6<7i;9g9>e`>=9=o01lk6:0;e?8gbi3;?i63nec82=c=:ili1=9k4=`gg>4?a34kni7?;e:?bac<61o16mk>515g89d`6283m70oi2;37a>;fn:0:5k52ag6951c<5hl>6<7i;9g9>ec>=9=o01lh6:0;e?8gai3;?i63nfc82=c=:ioi1=9k4=`dg>4?a34kmi7?;e:?bbc<61o16n=>515g89g66283m70l?2;37a>;e8:0:5k52b16951c<5k:>6<7i;<`32?73m27i<:4>9g9>f5>=9=o01o>6:0;e?8d7i3;?i63m0c82=c=:j9i1=9k4=c2g>4?a34h;i7?;e:?a4c<61o16n<>515g89g76283m70l>2;37a>;e9:0:5k52b06951c<5k;>6<7i;<`22?73m27i=:4>9g9>f4>=9=o01o?6:0;e?8d6i3;?i63m1c82=c=:j8i1=9k4=c3g>4?a34h:i7?;e:?a5c<61o16n?>515g89g46283m70l=2;37a>;e::0:5k52b36951c<5k8>6<7i;<`12?73m27i>:4>9g9>f7>=9=o01o<6:0;e?8d5i3;?i63m2c82=c=:j;i1=9k4=c0g>4?a34h9i7?;e:?a6c<61o16n>>515g89g56283m70l<2;37a>;e;:0:5k52b26951c<5k9>6<7i;<`02?73m27i?:4>9g9>f6>=9=o01o=6:0;e?8d4i3;?i63m3c82=c=:j:i1=9k4=c1g>4?a34h8i7?;e:?a7c<61o16n9>515g89g26283m70l;2;37a>;e<:0:5k52b56951c<5k>>6<7i;<`72?73m27i8:4>9g9>f1>=9=o01o:6:0;e?8d3i3;?i63m4c82=c=:j=i1=9k4=c6g>4?a34h?i7?;e:?a0c<61o16n8>515g89g36283m70l:2;37a>;e=:0:5k52b46951c<5k?>6<7i;<`62?73m27i9:4>9g9>f0>=9=o01o;6:0;e?8d2i3;?i63m5c82=c=:j4?a34h>i7?;e:?a1c<61o16n;>515g89g06283m70l92;37a>;e>:0:5k52b76951c<5k<>6<7i;<`52?73m27i::4>9g9>f3>=9=o01o86:0;e?8d1i3;?i63m6c82=c=:j?i1=9k4=c4g>4?a34h=i7?;e:?a2c<61o16n:>515g89g16283m70l82;37a>;e?:0:5k52b66951c<5k=>6<7i;<`42?73m27i;:4>9g9>f2>=9=o01o96:0;e?8d0i3;?i63m7c82=c=:j>i1=9k4=c5g>4?a34h515g89g>6283m70l72;37a>;e0:0:5k52b96951c<5k2>6<7i;<`;2?73m27i4:4>9g9>f=>=9=o01o66:0;e?8d?i3;?i63m8c82=c=:j1i1=9k4=c:g>4?a34h3i7?;e:?a515g89g?6283m70l62;37a>;e1:0:5k52b86951c<5k3>6<7i;<`:2?73m27i5:4>9g9>f<>=9=o01o76:0;e?8d>i3;?i63m9c82=c=:j0i1=9k4=c;g>4?a34h2i7?;e:?a=c<61o16nl>515g89gg6283m70ln2;37a>;ei:0:5k52b`6951c<5kk>6<7i;<`b2?73m27im:4>9g9>fd>=9=o01oo6:0;e?8dfi3;?i63mac82=c=:jhi1=9k4=ccg>4?a34hji7?;e:?aec<61o16no>515g89gd6283m70lm2;37a>;ej:0:5k52bc6951c<5kh>6<7i;<`a2?73m27in:4>9g9>fg>=9=o01ol6:0;e?8dei3;?i63mbc82=c=:jki1=9k4=c`g>4?a34hii7?;e:?afc<61o16nn>515g89ge6283m70ll2;37a>;ek:0:5k52bb6951c<5ki>6<7i;<``2?73m27io:4>9g9>ff>=9=o01om6:0;e?8ddi3;?i63mcc82=c=:jji1=9k4=cag>4?a34hhi7?;e:?agc<61o16ni>515g89gb6283m70lk2;37a>;el:0:5k52be6951c<5kn>6<7i;<`g2?73m27ih:4>9g9>fa>=9=o01oj6:0;e?8dci3;?i63mdc82=c=:jmi1=9k4=cfg>4?a34hoi7?;e:p53g129098luQbed8910e283870:9b;3:1>;3>k0:595247`95:27?:o4>919>03d=91l0198m:0;4?821j3;2n63;6c82=d=:4?234>=o7?64:?72f<61j168;m51848910d283970:9c;3:4>;3>j0:4k5247a95<1<5=i27?;=4>8d9>027=91o0199=:0:f?820;3;3i63;7582<`=:<>?1=5k4=555>4>b34><;7?7e:?73=<60l168:7519g8911f282n70:8b;3;a>;3?j0:4h5246f95=c<5==n6<6j;<64b?7?m27?4=4>8d9>0=7=91o0196=:0:f?82?;3;3i63;8482<`=:<1<1=5k4=5g:>4>b34>nm7?7e:?7b1<60l168k;519g891`1282n70:i7;3;a>;3n10:4h524g;95=c<5=lj6<6j;<6ef?7?m27?jn4>8d9>0cb=91o019hj:0:f?82an3;3i63:0182<`=:=9;1=5k4=421>4>b34?;?7?7e:?641<60l169=;519g89061282n70;?7;3;a>;2810:4h5251;95=c<5<:j6<6j;<73f?7?m27>=i4>8d9>14c=91o018?i:0:f?83503;3i63:2882<`=:=:;1=5k4=411>4>b34?8?7?7e:?672<60l1699?519g8902a282n70;:0;3;a>;2=80:4h5254095<7<5<27>9?4>9b9>104=90<018;=:0;;?832:3;2>63:5382=5=:=<81=494=471>4?e34?>>7?6a:?616<6181698=518789034283?70;:3;3:g>;2=:0:5;5254195<><5827>9>4>969>105=90h018;<:0;b?832<3;2=63:5582=0=:=<>1=4:4=477>4?d34?>87?66:?611<6111698:518089033283;70;:4;3:3>;2==0:5o52546956<7>;<761?7>=27>984>959>103=90i018;::0;5?832=3;2463:5482=7=:=4=476>4?034?>97?6b:?610<61h16988518389031283>70;:6;3:0>;2=?0:5n5254495<0<5:27>9;4>919>100=90=018;9:0;a?832>3;2m63:5682=4=:=<=1=4;4=474>4?334?>;7?6c:?612<61?16989518:89030283970;:7;3:4>;2=>0:5:5254595944>8d9>10g=91o018;m:0:f?832k3;3i63:5e82<`=:=4>b34?=<7?7e:?624<60l169;<519g89004282n70;94;3;a>;2><0:4h5257495=c<5<<<6<6j;<75:44>8d9>13g=91o0188m:0:f?831k3;3i63:6e82<`=:=?o1=5k4=44e>4>b34?<<7?7e:?634<60l169:<519g890g2282n70;k8;3;a>;18k0:4h5262g95=c<5?=:6<6j;<4b0?7?m27=h:4>8d9>35g=91o01:=k:0:f?81083;3i638a282<`=:?m<1=5k4=92e>4>b342j47?7e:?;bc<60l165>>519g89<56282n707<2;3;a>;>;:0:4h5292695=c<509>6<6j;<;02?7?m272?:4>8d9>=6>=91o014=6:0:f?8?4i3;3i6363c82<`=:1:i1=5k4=81g>4>b3438i7?7e:?:7c<60l1659>519g89<26282n707;2;3;a>;><:0:4h5295695=c<50>>6<6j;<;72?7?m2728:4>8d9>=1>=91o014:6:0:f?8?3i3;3i6364c82<`=:1=i1=5k4=86g>4>b343?i7?7e:?:0c<60l1658>519g89<36282n707:2;3;a>;>=:0:4h5294695=c<50?>6<6j;<;62?7?m2729:4>8d9>=0>=91o014;6:0:f?8?2i3;3i6365c82<`=:14>b343>i7?7e:?:1c<60l165;>519g89<06282n70792;3;a>;>>:0:4h5297695=c<50<>6<6j;<;52?7?m272::4>8d9>=3>=91o01486:0:f?8?1i3;3i6366c82<`=:1?i1=5k4=84g>4>b343=i7?7e:?:2c<60l165:>519g89<16282n70782;3;a>;>?:0:4h5296695=c<50=>6<6j;<;42?7?m272;:4>8d9>=2>=91o01496:0:f?8?0i3;3i6367c82<`=:1>i1=5k4=85g>4>b343519g89<>6282n70772;3;a>;>0:0:4h5299695=c<502>6<6j;<;;2?7?m2724:4>8d9>==>=91o01466:0:f?8??i3;3i6368c82<`=:11i1=5k4=8:g>4>b3433i7?7e:?:;>i009m4529e196d><50n?6?o7;<;g1?4f0272h;4=a99>e6e=91o01l=k:0:f?8g4m3;3i63n3g82<`=:i=:1=5k4=`62>4>b34k?>7?7e:?b06<60l16m9:519g89d222=8?70o;6;3;a>;f<>0:4h52a5:95=c<5h>26<6j;8d9>e1e=91o01l:k:0:f?8g3m3;3i63n4g82<`=:i<:1=5k4=`72>4>b34k>>7?7e:?b16<60l16m8:519g89d32282n70o:6;3;a>;f=>0:4h52a4:95=c<5h?26<6j;e3c=:h201l8i:3c;?8g0838j563n7081e<=:i>81>l74=`54>4>b34k3?7?7e:?b<1<60l16m5;519g89d>1282n70o77;3;a>;f010:4h52a9;95=c<5h2j6<6j;8d9>e=b=91o01l6j:0:f?8g?n3;3i63n9182<`=:i0;1=5k4=`;1>4>b34k2?7?7e:?b=1<60l16m4;519g89d?1282n70o67;3;a>;f110:4h52a8;95=c<5h3j6<6j;8d9>en3;3i63na182<`=:ih;1=5k4=`c1>4>b34kj?7?7e:?be1<60l16ml;519g89dg1282n70on7;3;a>;fi10:4h52a`;95=c<5hkj6<6j;8d9>edb=91o01loj:0:f?8gfn3;3i63nb182<`=:ik;1=5k4=``1>4>b34ki?7?7e:?bf1<60l16mo;519g89dd1282n70om7;3;a>;fj10:4h52ac;95=c<5hhj6<6j;8d9>egb=91o01llj:0:f?8gen3;3i63nc182<`=:ij;1=5k4=`a1>4>b34kh?7?7e:?bg1<60l16mn;519g89de1282n70ol7;3;a>;fk10:4h52ab;95=c<5hij6<6j;8d9>efb=91o01lmj:0:f?8gdn3;3i63nd182<`=:im;1=5k4=`f1>4>b34ko?7?7e:?b`1<60l16mi;519g89db1282n70ok7;3;a>;fl10:4h52ae;95=c<5hnj6<6j;8d9>eab=91o01ljj:0:f?8gcn3;3i63ne182<`=:il;1=5k4=`g1>4>b34kn?7?7e:?ba1<60l16mh;519g89dc1282n70oj7;3;a>;fm10:4h52ad;95=c<5hoj6<6j;8d9>e`b=91o01lkj:0:f?8gbn3;3i63nf182<`=:io;1=5k4=`d1>4>b34km?7?7e:?bb1<60l16mk;519g89d`1282n70oi7;3;a>;fn10:4h52ag;95=c<5hlj6<6j;8d9>ecb=91o01lhj:0:f?8gan3;3i63m0182<`=:j9;1=5k4=c21>4>b34h;?7?7e:?a41<60l16n=;519g89g61282n70l?7;3;a>;e810:4h52b1;95=c<5k:j6<6j;<`3f?7?m27i8d9>f5b=91o01o>j:0:f?8d7n3;3i63m1182<`=:j8;1=5k4=c31>4>b34h:?7?7e:?a51<60l16n<;519g89g71282n70l87;3;a>;e?10:4h52b6;95=c<5k=j6<6j;<`4f?7?m27i;n4>8d9>f2b=91o01o9j:0:f?8d0n3;3i63m8182<`=:j1;1=5k4=c:1>4>b34h3?7?7e:?a<1<60l16n5;519g89g>1282n70l77;3;a>;e010:4h52b9;95=c<5k2j6<6j;<`;f?7?m27i4n4>8d9>f=b=91o01o6j:0:f?8d?n3;3i63m9182<`=:j0;1=5k4=c;1>4>b34h2?7?7e:?a=1<60l16n4;519g89g?1282n70l67;3;a>;e110:4h52b8;95=c<5k3j6<6j;<`:f?7?m27i5n4>8d9>fn3;3i63ma182<`=:jh;1=5k4=cc1>4>b34hj?7?7e:?ae1<60l16nl;519g89gg1282n70ln7;3;a>;ei10:4h52b`;95=c<5kkj6<6j;<`bf?7?m27imn4>8d9>fdb=91o01ooj:0:f?8dfn3;3i63mb182<`=:jk;1=5k4=c`1>4>b34hi?7?7e:?af1<60l16no;519g89gd1282n70lm7;3;a>;ej10:4h52bc;95=c<5khj6<6j;<`af?7?m27inn4>8d9>fgb=91o01olj:0:f?8den3;3i63mc182<`=:jj;1=5k4=ca1>4>b34hh?7?7e:?ag1<60l16nn;519g89ge1282n70ll7;3;a>;ek10:4h52bb;95=c<5kij6<6j;<``f?7?m27ion4>8d9>ffb=91o01omj:0:f?8ddn3;3i63md182<`=:jm;1=5k4=cf1>4>b34ho?7?7e:?a`1<60l16ni;519g89gb1282n70lk7;3;a>;el10:4h52be;95=c<5knj6<6j;<`gf?7?m27ihn4>8d9>fab=91o01ojj:0:f?xu6>h=1<7ot^046g>;30?0?8;529`396d?<50k36?o6;<;bg?4f0272o54=a99>=f?=:h2014mn:3c:?8?dj38j463n6e81eg=z{8512y]g5e<5=l269<;;<6ee?25<27?jo4;259>0ce=<;>018>m:0c7?8?fl38jn636ad81ef=:1k:1>l64=8`7>7g?343i:7k543689d262=8?70o;2;610>;f?:09mn52a6696d><5h=>6?om;0c4=90o018>n:0c7?8?fl38jm636ad81e<=:1hl1>l64=8`7>7g>343i97;f?:09ml52a6696dgX690n0R18:8Z47>?2T:=484^03:1>X690>0R1`48Z47f=2T:=l:4^03b7>X69h80R1828Z47?n2T:?:64^0143>X6;><0R<=85:\27223608Z45082T:?;h4^015a>X6;?n0R<=9c:\273d37;8Z45102T:?;94^0151>X6;?>0R<=93:\27343728Z452n2T:?8k4^016`>X6;46P>3458Z452>2T:?8;4^0160>X6;<90R<=:2:\270736a8Z450j2T:?:o4^014=>X6;>;0R<=96:\270d<6P>35d8Z441?2T:>;84^0051>X6:?>0R<<92:\263724d8Z442m2T:>8j4^006g>X6:27f8Z441k2T:>;l4^005e>X6:?30R<<98:\263546P>2458Z42a02T:8k94^06e2>X6m>6P>4g28Z42bn2T:8hk4^06f`>X6n56P>4d:8Z42b?2T:8h;4^06f0>X6n<6P>4ed8Z42cm2T:8ij4^06gg>X6o;6P>4e48Z42c=2T:8i:4^06g7>X6mo6P>4g`8Z42ai2T:8k74^06e5>X6hj63;5g81e0=:4?b34>=m7<7b:?72g<3:h168;l51838910e2=8n70:9b;0`6>;3>k0?>o5247`9070<5=999>03d=<;i0198m:50:?821j3;3>63;6c82<5=:14a34>=n7=71:?72g<4?o168;l536f8910e28:i70:9b;33=>;3>k0:<55247`9551<5=779>03d=9>?0198m:057?821j3;40a34>=n7?9e:?72g<6>m168;l517a8910e28;3>k0::45247`953><5=27?:o4>659>03d=9?90198m:041?821j3;==63;6c8225=:43b34>=n7?:d:?72g<6=j168;l514`8910e28?270:9b;36<>;3>k0:9:5247`9500<5=529>03d=9<80198m:072?821j3;><63;6c823c=:41c34>=n7?8c:?72g<6?k168;l516c8910e28=;70:9b;351>;3>k0:9l5247`951`<5=03d=:ho0198m:00f?821j3;9o63;6c826g=:44>34>=n7?=8:?72g<6:>168;l51348910e289<70:9b;302>;3>k0:?85247`9562<5=309>03d=9::0198m:00e?821j3;9963;6c82f2=:4d334>=n7?m3:?72g<6j;168;l51c28910e28km70:9b;3ba>;3>k0:mi5247`95de<5=a89>03d=9h20198m:0c4?821j3;ij63;6c82f`=:4dd34>=n7?mb:?72g<6jh168;l51c;8910e28h370:9b;3a5>;3>k0:m;5247`9gc=:03e=90;0198l:50f?821k38h>63;6b876g=:14?34>=o7:=7:?72f<611168;m543a8910d2=8270:9c;3;6>;3>j0:4=5247a907b<5=03e=;>n0198l:02a?821k3;;563;6b824==:41>34>=o7?87:?72f<6??168;m51678910d28=?70:9c;347>;3>j0:;?5247a9527<5=6e9>03e=9?i0198l:04a?821k3;=m63;6b822<=:40034>=o7?96:?72f<6>=168;m51718910d28<970:9c;355>;3>j0::=5247a950`<5=5b9>03e=9463;6b8212=:43234>=o7?:4:?72f<6=:168;m51408910d28?:70:9c;364>;3>j0:;k5247a952c<5=7c9>03e=9>k0198l:053?821k3;=963;6b821d=:7d434>=o7;3>j0:>o5247a957g<5=269>03e=9;<0198l:014?821k3;8:63;6b8270=::4=54`>45434>=o7?<2:?72f<6;8168;m51228910d288m70:9c;311>;3>j0:n:5247a95g3<5=b39>03e=9k:0198l:0ce?821k3;ji63;6b82ea=:4ge34>=o7?na:?72f<6i0168;m51`:8910d28k<70:9c;3ab>;3>j0:nh5247a95gb<5=b`9>03e=9k30198l:0`;?821k3;i=63;6b82e3=:5247a9g7=:5247a9`7=:4>234>=o7?74:?72a<50k168;k529`891c>2=9;70:ja;610>;3mo094o524g396=d<5=l96?6m;<6e7?4?j27?j;4;259>0c1=<;>019h7:507?837m3;2i63:0g82=`=:=881=4k4=430>4?b34?::7?6e:?65a<3:=169;2;80?>9525209066<5<9>6?6m;<702?4?j27>?l4>9d9>16b=90o018:?:0;f?833<3;2i63:4682=`=:==k1=4k4=472>14334?>>7:=a:?617<61:1698<543g890352;i970;:2;61f>;2=;0?>;52540907><59?4>8g9>104=<;3018;=:0:1?832:3;3<63:53876a=:=<818?h4=471>6>634?>>7=8d:?617<4?k1698<53d4890352:o?70;:2;1f7>;2=;08i?5254097`7<5k?;<766?5cn27>9?4104=;mi018;=:2fa?832:39om63:5380`<=:=<81?i64=471>6b034?>>7=k6:?617<4l<1698<53e6890352:n870;:2;1g5>;2=;08h=5254097f`<5mj;<766?5dl27>9?4104=;jh018;=:2ab?832:39h563:5380g==:=<81?n84=471>6e234?>>7=l4:?617<4k:1698<53b0890352:i:70;:2;1`4>;2=;08nk5254097gc<5lk;<766?5bk27>9?4104=;lk018;=:2g:?832:39n463:5380a2=:=<81?ij4=471>6b534?>>7=l7:?617<4jj1698<511`8903528:270;:2;33<>;2=;0:<:52540952?<527>9?4>749>104=9>>018;=:050?832:3;<>63:538234=:=<81=;h4=471>40b34?>>7?9d:?617<6>j1698<517`8903528;2=;0::5525409531<59?4>629>104=9?8018;=:042?832:3;=<63:53821c=:=<81=8k4=471>43c34?>>7?:c:?617<6=k1698<514;8903528?370;:2;363>;2=;0:9;525409503<59?4>539>104=9<;018;=:073?832:3;41d34?>>7?8b:?617<6?h1698<51628903528<>70;:2;36e>;2=;0:8k5254096g5<5;<766?4fn27>9?4=ad9>104=9;o018;=:00`?832:3;9n63:53826d=:=<81=?74=471>44?34?>>7?=7:?617<6:?1698<512589035289=70;:2;301>;2=;0:?9525409565<59?4>319>104=9;l018;=:006?832:3im70;:2;ag?832:3ih70;:2;aa?832:3ij70;:2;a:?832:3i370;:2;a4?832:3i=70;:2;a6?832:3i870;:2;a1?832:3i:70;:2;a3?832:3hm70;:2;`f?832:3ho70;:2;``?832:3hi70;:2;`b?832:3n<70;:2;f5?832:3n>70;:2;f7?832:3n870;:2;f1?832:3n:70;:2;f3?832:3i?70;:2;`:?832:3;3;63:5382<0=:=<81=5:4=470>14f34?>?7?63:?616<3:l1698=52b0890342=8i70;:3;612>;2=:0?>5525419071<59>4;289>105=918018;<:0:3?832;3>9h63:52876c=:=<91?5?4=470>61c34?>?7=8b:?616<4m?1698=53d6890342:o870;:3;1f6>;2=:08i<5254197`6<5ji;<767?5cm27>9>4105=;mh018;<:2fb?832;39o563:5280`==:=<91?i94=470>6b134?>?7=k5:?616<4l=1698=53e1890342:n:70;:3;1g4>;2=:08ok5254197fc<5mk;<767?5dk27>9>4105=;jk018;<:2a:?832;39h463:5280g3=:=<91?n;4=470>6e334?>?7=l3:?616<4k;1698=53b3890342:i;70;:3;1ab>;2=:08nh5254197gb<5kl;<767?5bj27>9>4105=;l3018;<:2g;?832;39n;63:5280`a=:=<91?i<4=470>6e034?>?7=mc:?616<68k1698=511;8903428:370;:3;333>;2=:0:;4525419521<59>4>759>105=9>9018;<:051?832;3;<=63:52822c=:=<91=;k4=470>40c34?>?7?9c:?616<6>k1698=517c8903428<270;:3;35<>;2=:0:::525419530<59>4>639>105=9?;018;<:043?832;3;>j63:52821`=:=<91=8j4=470>43d34?>?7?:b:?616<6=01698=514:8903428?<70;:3;362>;2=:0:98525419502<59>4>509>105=9<:018;<:05e?832;3;41e34?>?7?8a:?616<6?91698=51778903428?j70;:3;37b>;2=:09n>5254196g7<59>4>2d9>105=9;i018;<:00a?832;3;9m63:52826<=:=<91=?64=470>44034?>?7?=6:?616<6;>1698=512489034289>70;:3;300>;2=:0:?>525419564<5;<767?74827>9>4>2g9>105=9;?018;<:bd890342jn018;<:ba890342jh018;<:bc890342j3018;<:b:890342j=018;<:b4890342j?018;<:b1890342j8018;<:b3890342j:018;<:cd890342ko018;<:cf890342ki018;<:c`890342kk018;<:e5890342m<018;<:e7890342m>018;<:e1890342m8018;<:e3890342m:018;<:b6890342k3018;<:0:4?832;3;3963:5282<1=:=<>18?o4=477>4?434?>87:=e:?611<5k;1698:543`890332=8=70;:4;61<>;2==0?>:52546907e<5994>839>102=91:018;;:50g?832<3>9j63:5580<4=:=<>1?:h4=477>61c34?>87=8b:?611<68k1698:511;8903328:370;:4;333>;2==0:;4525469521<5994>759>102=9>9018;;:051?832<3;<=63:55822c=:=<>1=;k4=477>40c34?>87?9c:?611<6>k1698:517c8903328<270;:4;35<>;2==0:::525469530<5994>639>102=9?;018;;:043?832<3;>j63:55821`=:=<>1=8j4=477>43d34?>87?:b:?611<6=01698:514:8903328?<70;:4;362>;2==0:98525469502<5994>509>102=9<:018;;:05e?832<3;1=:m4=477>41e34?>87?8a:?611<6?91698:51778903328?j70;:4;37b>;2==09n>5254696g7<5994>2d9>102=9;i018;;:00a?832<3;9m63:55826<=:=<>1=?64=477>44034?>87?=6:?611<6;>1698:512489033289>70;:4;300>;2==0:?>525469564<5;<760?74827>994>2g9>102=9;?018;;:bd890332jn018;;:ba890332jh018;;:bc890332j3018;;:b:890332j=018;;:b4890332j?018;;:b1890332j8018;;:b3890332j:018;;:cd890332ko018;;:cf890332ki018;;:c`890332kk018;;:e5890332m<018;;:e7890332m>018;;:e1890332m8018;;:e3890332m:018;;:b6890332k3018;;:0:4?832<3;3963:5582<1=:=4?434?>97:=e:?610<5k;1698;543`890322=8=70;:5;61<>;2=<0?>:52547907e<56<6i;<761?25127>984>839>103=91:018;::50g?832=3>9j63:5480<4=:=61c34?>97=8b:?610<68k1698;511;8903228:370;:5;333>;2=<0:;4525479521<56<99;<761?70=27>984>759>103=9>9018;::051?832=3;<=63:54822c=:=40c34?>97?9c:?610<6>k1698;517c8903228<270;:5;35<>;2=<0:::525479530<56<8;;<761?71;27>984>639>103=9?;018;::043?832=3;>j63:54821`=:=43d34?>97?:b:?610<6=01698;514:8903228?<70;:5;362>;2=<0:98525479502<56<;<;<761?72:27>984>509>103=9<:018;::05e?832=3;41e34?>97?8a:?610<6?91698;51778903228?j70;:5;37b>;2=<09n>5254796g7<56?oi;<761?4fm27>984>2d9>103=9;i018;::00a?832=3;9m63:54826<=:=44034?>97?=6:?610<6;>1698;512489032289>70;:5;300>;2=<0:?>525479564<56<=>;<761?74827>984>2g9>103=9;?018;::bd890322jn018;::ba890322jh018;::bc890322j3018;::b:890322j=018;::b4890322j?018;::b1890322j8018;::b3890322j:018;::cd890322ko018;::cf890322ki018;::c`890322kk018;::e5890322m<018;::e7890322m>018;::e1890322m8018;::e3890322m:018;::b6890322k3018;::0:4?832=3;3963:5482<1=:=<<18?o4=475>4?434?>:7:=e:?613<5k;16988543`890312=8=70;:6;61<>;2=?0?>:52544907e<59;4>839>100=91:018;9:50g?832>3>9j63:5780<4=:=<<1?:h4=475>61c34?>:7=8b:?613<68k16988511;8903128:370;:6;333>;2=?0:;4525449521<59;4>759>100=9>9018;9:051?832>3;<=63:57822c=:=<<1=;k4=475>40c34?>:7?9c:?613<6>k16988517c8903128<270;:6;35<>;2=?0:::525449530<59;4>639>100=9?;018;9:043?832>3;>j63:57821`=:=<<1=8j4=475>43d34?>:7?:b:?613<6=016988514:8903128?<70;:6;362>;2=?0:98525449502<59;4>509>100=9<:018;9:05e?832>3;41e34?>:7?8a:?613<6?91698851778903128?j70;:6;37b>;2=?09n>5254496g7<59;4>2d9>100=9;i018;9:00a?832>3;9m63:57826<=:=<<1=?64=475>44034?>:7?=6:?613<6;>16988512489031289>70;:6;300>;2=?0:?>525449564<5;<762?74827>9;4>2g9>100=9;?018;9:bd890312jn018;9:ba890312jh018;9:bc890312j3018;9:b:890312j=018;9:b4890312j?018;9:b1890312j8018;9:b3890312j:018;9:cd890312ko018;9:cf890312ki018;9:c`890312kk018;9:e5890312m<018;9:e7890312m>018;9:e1890312m8018;9:e3890312m:018;9:b6890312k3018;9:0:4?832>3;3963:5782<1=:=<=18?o4=474>4?434?>;7:=e:?612<5k;16989543`890302=8=70;:7;61<>;2=>0?>:52545907e<59:4>839>101=91:018;8:50g?832?3>9j63:5680<4=:=<=1?:h4=474>61c34?>;7=8b:?612<68k16989511;8903028:370;:7;333>;2=>0:;4525459521<59:4>759>101=9>9018;8:051?832?3;<=63:56822c=:=<=1=;k4=474>40c34?>;7?9c:?612<6>k16989517c8903028<270;:7;35<>;2=>0:::525459530<59:4>639>101=9?;018;8:043?832?3;>j63:56821`=:=<=1=8j4=474>43d34?>;7?:b:?612<6=016989514:8903028?<70;:7;362>;2=>0:98525459502<59:4>509>101=9<:018;8:05e?832?3;41e34?>;7?8a:?612<6?91698951778903028?j70;:7;37b>;2=>09n>5254596g7<59:4>2d9>101=9;i018;8:00a?832?3;9m63:56826<=:=<=1=?64=474>44034?>;7?=6:?612<6;>16989512489030289>70;:7;300>;2=>0:?>525459564<5;<763?74827>9:4>2g9>101=9;?018;8:bd890302jn018;8:ba890302jh018;8:bc890302j3018;8:b:890302j=018;8:b4890302j?018;8:b1890302j8018;8:b3890302j:018;8:cd890302ko018;8:cf890302ki018;8:c`890302kk018;8:e5890302m<018;8:e7890302m>018;8:e1890302m8018;8:e3890302m:018;8:b6890302k3018;8:0:4?832?3;3963:5682<1=:=<218?:4=47:>14334?>m7:=4:?61g<3:=1698m54368903c2=8?70;:e;610>;2=o0?>95293495m27j4>465:?b<6<>>27j4>467:?b<0<><27j48465:?b<0<>>27j48467:?b<2<><27j4:465:?b<2<>?27j44464:?b<<<>=27j44466:?b<<<>?27j4o464:?b=27j4o466:?b?27j4i464:?b=27j4i466:?b?27j4k464:?b=27j4k466:?b?27j5<464:?b=4<>=27j5<466:?b=4<>?27j5>464:?b=6<>=27j5>466:?b=6<>?27j58464:?b=0<>=27j58466:?b=0<>?27j5:464:?b=2<>=27j5:466:?b=2<>?27j54464:?b=<<>=27j54466:?b=<<>?27j5o464:?b=g<>=27j5o466:?b=g<>?27j5i464:?b=a<>=27j5i466:?b=a<>?27j5k464:?b=c<>=27j5k466:?b=c<>?27jm<464:?be4<>=27jm<466:?be4<>?27jm>464:?be6<>=27jm>466:?be6<>?27jm8464:?be0<>=27jm8466:?be0<>?27jm:464:?be2<>=27jm:466:?be2<>?27jm4464:?be<<>=27jm4466:?be<<>?27jmo464:?beg<>=27jmo466:?beg<>?27jmi466:?bea<>?27jmk464:?bec<>>27jmk467:?bf4<><27jn<466:?bf4<>?27jn>465:?bf6<>>27jn>467:?bf0<>=27jn8466:?bf0<>?27jn:465:?bf2<>>27jn:467:?bf<<>=27jn4466:?bf<<>?27jno465:?bfg<>>27jno467:?bfa<>=27jni466:?bfa<>?27jnk465:?bfc<>>27jnk467:?bg4<>=27jo<466:?bg4<>?27jo>465:?bg6<>>27jo>467:?bg0<>=27jo8466:?bg0<>?27jo:465:?bg2<>>27jo:467:?bg<<>=27jo4466:?bg<<>?27joo465:?bgg<>>27joo467:?bga<>=27joi466:?bga<>?27jok465:?bgc<>>27jok467:?b`4<>=27jh<466:?b`4<>?27jh>465:?b`6<>>27jh>467:?b`0<>=27jh8466:?b`0<>?27jh:465:?b`2<>>27jh:467:?b`<<>=27jh4466:?b`<<>?27jho465:?b`g<>>27jho467:?b`a<>=27jhi466:?b`a<>?27jhk465:?b`c<>>27jhk467:?ba4<>=27ji<466:?ba4<>?27ji>465:?ba6<>>27ji>467:?ba0<>=27ji8466:?ba0<>?27ji:465:?ba2<>>27ji:467:?ba<<>=27ji4466:?ba<<>?27jio465:?bag<>>27jio467:?baa<>=27jii466:?baa<>?27jik465:?bac<>>27jik467:?bb4<>=27jj<466:?bb4<>?27jj>465:?bb6<>>27jj>467:?bb0<>=27jj8466:?bb0<>?27jj:465:?bb2<>>27jj:467:?bb<<>=27jj4466:?bb<<>?27jjo465:?bbg<>>27jjo467:?bba<>=27jji466:?bba<>?27jjk465:?bbc<>>27jjk467:?a44<>=27i<<466:?a44<>?27i<>465:?a46<>>27i<>467:?a40<>=27i<8466:?a40<>?27i<:465:?a42<>>27i<:467:?a4<<>=27i<4466:?a4<<>?27i>27i=27i?27i>27i<27i=<465:?a54<>>27i=<467:?a56<>=27i=>466:?a56<>?27i=8464:?a50<>=27i=8466:?a50<>?27i=:464:?a52<>>27i=4464:?a5<<>>27i=o464:?a5g<>>27i=i464:?a5a<>>27i=k464:?a5c<>>27i><464:?a64<>>27i>>464:?a66<>>27i>8464:?a60<>>27i>:464:?a62<>>27i>4464:?a6<<>>27i>o464:?a6g<>>27i>i464:?a6a<>>27i>k464:?a6c<>>27i?<464:?a74<>>27i?>464:?a76<>>27i?8464:?a70<>>27i?:464:?a72<>>27i?4464:?a7<<>>27i?o464:?a7g<>>27i?i464:?a7a<>>27i?k464:?a7c<>>27i8<464:?a04<>>27i8>464:?a06<>>27i88464:?a00<>>27i8:464:?a02<>>27i84464:?a0<<>>27i8o464:?a0g<>>27i8i464:?a0a<>>27i8k464:?a0c<>>27i9<464:?a14<>>27i9>464:?a16<>>27i98464:?a10<>>27i9:464:?a12<>>27i94464:?a1<<>>27i9o464:?a1g<>>27i9i464:?a1a<>>27i9k464:?a1c<>>27i:<464:?a24<>>27i:>464:?a26<>>27i:8464:?a20<>>27i::464:?a22<>>27i:4464:?a2<<>>27i:o464:?a2g<>>27i:i464:?a2a<>>27i:k464:?a2c<>>27i;<464:?a34<>>27i;>464:?a36<>>27i;8464:?a30<>>27i;:465:?a32<>>27i;4464:?a3<<>=27i;4466:?a3<<>?27i;o464:?a3g<>=27i;o467:?a3a<><27i;i465:?a3a<>?27i;k464:?a3c<>=27i;k467:?a<4<><27i4<465:?a<4<>?27i4>464:?a<6<>=27i4>467:?a<0<><27i48465:?a<0<>?27i4:464:?a<2<>=27i4:467:?a<<<><27i44465:?a<<<>?27i4o464:?a=27i4o467:?a<27i4i465:?a?27i4k464:?a=27i4k467:?a=4<><27i5<465:?a=4<>?27i5>464:?a=6<>=27i5>467:?a=0<><27i58465:?a=0<>?27i5:464:?a=2<>=27i5:467:?a=<<><27i54465:?a=<<>?27i5o464:?a=g<>=27i5o467:?a=a<><27i5i465:?a=a<>?27i5k464:?a=c<>=27i5k467:?ae4<><27im<465:?ae4<>?27im>464:?ae6<>=27im>467:?ae0<><27im8465:?ae0<>?27im:464:?ae2<>=27im:467:?ae<<><27im4465:?ae<<>?27imo464:?aeg<>=27imo467:?aea<><27imi465:?aea<>?27imk464:?aec<>=27imk467:?af4<><27in<465:?af4<>?27in>464:?af6<>=27in>467:?af0<><27in8465:?af0<>?27in:464:?af2<>=27in:467:?af<<><27in4465:?af<<>?27ino464:?afg<>=27ino467:?afa<><27ini465:?afa<>?27ink464:?afc<>=27ink467:?ag4<><27io<465:?ag4<>?27io>464:?ag6<>=27io>467:?ag0<><27io8465:?ag0<>?27io:464:?ag2<>=27io:467:?ag<<><27io4465:?ag<<>?27ioo464:?agg<>=27ioo467:?aga<><27ioi465:?aga<>?27iok464:?agc<>=27iok467:?a`4<><27ih<465:?a`4<>?27ih>464:?a`6<>=27ih>467:?a`0<><27ih8465:?a`0<>?27ih:464:?a`2<>=27ih:467:?a`<<><27ih4465:?a`<<>?27iho465:?a`g<>?27ihi464:?a`a<>=27ihi467:p53ge2908wSlj2:?:852`;8yv71ij0;6>uQe5389<3a2=8970o?7;0bf>{t9?ko6=4<{_g74>;>=l0?>?52a1796dde52=:hh0q~?9b183>6}Ym:n014;m:501?8g7:38jn6s|17`2>5<4sWo8o6365`8767=:i9:1>ll4}r35f7<72:qUi>l4=87:>14534k;=7uQe2:89<302=89707id;0bf>{t9?h>6=4<{_g03>;>=?0?>?529gg96dd69<=;<;eg?4fj2wx=;l8:180[c4=272994;239>=cg=:hh0q~?9b983>6}Ym:>014;<:501?8?aj38jn6s|17`:>5<4sWo8?636538767=:1o31>ll4}r35fd<72:qUi><4=872>145343m;7uQe2289<2a2=89707i6;0bf>{t9?ho6=4<{_g1a>;>?529g696ddo69<=;<;e1?4fj2wx=;li:180[c5k2728n4;239>=c5=:hh0q~?9c183>6}Ym;h014:m:501?8?a938jn6s|17a2>5<4sWo9m6364`8767=:1o81>ll4}r35g7<72:qUi?74=86:>145343m<7uQe3589<202=89707jf;0bf>{t9?i>6=4<{_g12>;>?529df96dd>69<=;<;ff?4fj2wx=;m8:180[c5;272894;239>=`e=:hh0q~?9c983>6}Ym;8014:<:501?8?bi38jn6s|17a:>5<4sWo9=636438767=:1l21>ll4}r35gd<72:qUi?>4=862>145343n57f:?:05<3:;165h952``8yv71kj0;6>uQe0g89<5a2=89707j5;0bf>{t9?io6=4<{_g2`>;>;l0?>?529d496dd=`4=:hh0q~?9d183>6}Ym8k014=m:501?8?b;38jn6s|17f2>5<4sWo?:6363`8767=:1l;1>ll4}r35`7<72:qUi9;4=81:>145343oj752``8yv71l=0;6>uQe5189<502=89707ke;0bf>{t9?n>6=4<{_g76>;>;?0?>?529ea96dd69<=;<;g`?4fj2wx=;j8:180[c5n272?94;239>=ad=:hh0q~?9d983>6}Ym;>014=<:501?8?c138jn6s|17f:>5<4sWo:5636338767=:1mk1>ll4}r35`d<72:qUi<64=812>145343o47:52``8yv71lj0;6>uQfdd89<>c2=8970o<5;0bf>{t9?no6=4<{_dfa>;>0j0?>?52a2196dde64=:hh0q~?9e183>6}Ynlh01466:501?8g4838jn6s|17g2>5<4sWlnm636898767=:i;o1>ll4}r35a7<72:qUjh64=8:4>14534k9j7uQfd489<>22=8970o=b;0bf>{t9?o>6=4<{_df1>;>0=0?>?52a3a96dde7>=:hh0q~?9e983>6}Ynl80146>:501?8g5138jn6s|17g:>5<4sWln=636818767=:i;=1>ll4}r35ad<72:qUjh>4=85e>14534k997uQfef89<1c2=8970o=4;0bf>{t9?oo6=4<{_dgg>;>?j0?>?52a3096dde77=:hh0q~?9f183>6}Ynm301496:501?8g6n38jn6s|17d2>5<4sWlo4636798767=:i;:1>ll4}r35b7<72:qUji94=854>14534k:i7uQfe789<122=8970o>d;0bf>{t9?l>6=4<{_dg0>;>?=0?>?52a0`96dde4g=:hh0q~?9f983>6}Ynm:0149>:501?8g6038jn6s|17d:>5<4sWlhj636718767=:i8<1>ll4}r35bd<72:qUjnk4=84e>14534k:;7uQfba89<0c2=8970o>3;0bf>{t9?lo6=4<{_d`f>;>>j0?>?52a0696dde46=:hh0q~?80183>6}Yno?01486:501?8g6938jn6s|1622>5<4sWlm8636698767=:i9l1>ll4}r3447<72:qUjk=4=844>14534k;h7uQfg389<022=8970o?c;0bf>{t9>:>6=4<{_df=>;>>=0?>?52a1c96dd8:180[`c;272:?4;239>e5?=:hh0q~?80983>6}Ynj20148>:501?8g7038jn6s|162:>5<4sWlh;636618767=:i9<1>ll4}r344d<72hqU=;8?;<64g?25:272m<4=a99>=d>=:h2014ol:3cb?8?d038j5636c881ed=:1jk1>lo4=8aa>7gf34k=h702`=<;80q~?80b83>7}Yk9o0196=:501?xu6?9n1<7h:{<654?5?>27?:54=b79>03g=90o0198m:3`3?821k38i<63;6g81o84=5ge>4?b34>m=7?6e:?653<50k169?>52c48904f2;h=70;<5;3:a>;2;h094o5255696=d<59i;<766?50m27>9?4<7b9>104=;>k018;=:3`3?832;3961f34?>?7;2=<08;h52547972e<56>9n;<761?4e827>9;4<7d9>100=;>i018;9:25b?832>38i<63:56803`=:=<=1?:m4=474>61f34?>;7e=1=1?16mlj5959>edb=1<16mlh5949>eg7=1<16mo=5959>eg3=1=16mo95959>eg?=1=16mol5959>egb=1=16moh5959>ef7=1=16mn=5959>ef3=1=16mn95959>ef?=1=16mnl5959>efb=1=16mnh5959>ea7=1=16mi=5959>ea3=1=16mi95959>ea?=1=16mil5959>eab=1=16mih5959>e`7=1=16mh=5959>e`3=1=16mh95959>e`?=1=16mhl5959>e`b=1=16mhh5959>ec7=1=16mk=5959>ec3=1=16mk95959>ec?=1=16mkl5959>ecb=1=16mkh5959>f57=1=16n==5959>f53=1=16n=95959>f5?=1=16n=l5959>f5b=1=16n=h5959>f45=1=16n<95949>f41=1>16n<75949>f4?=1>16nf4d=1>16nf4b=1>16nf4`=1>16n??5949>f77=1>16n?=5949>f75=1>16n?;5949>f73=1>16n?95949>f71=1>16n?75949>f7?=1>16n?l5949>f7d=1>16n?j5949>f7b=1>16n?h5949>f7`=1>16n>?5949>f67=1>16n>=5949>f65=1>16n>;5949>f63=1>16n>95949>f61=1>16n>75949>f6?=1>16n>l5949>f6d=1>16n>j5949>f6b=1>16n>h5949>f6`=1>16n9?5949>f17=1>16n9=5949>f15=1>16n9;5949>f13=1>16n995949>f11=1>16n975949>f1?=1>16n9l5949>f1d=1>16n9j5949>f1b=1>16n9h5949>f1`=1>16n8?5949>f07=1>16n8=5949>f05=1>16n8;5949>f03=1>16n895949>f01=1>16n875949>f0?=1>16n8l5949>f0d=1>16n8j5949>f0b=1>16n8h5949>f0`=1>16n;?5949>f37=1>16n;=5949>f35=1>16n;;5949>f33=1>16n;95949>f31=1>16n;75949>f3?=1>16n;l5949>f3d=1>16n;j5949>f3b=1>16n;h5949>f3`=1>16n:?5949>f27=1>16n:=5949>f25=1>16n:;5949>f23=1>16n:95959>f21=1>16n:l5979>f2b=1?16n:h5979>f=7=1?16n5=5979>f=3=1?16n595979>f=?=1?16n5l5979>f=b=1?16n5h5979>f<7=1?16n4=5979>f<3=1?16n495979>fffd7=1?16nl=5979>fd3=1?16nl95979>fd?=1?16nll5979>fdb=1?16nlh5979>fg7=1?16no=5979>fg3=1?16no95979>fg?=1?16nol5979>fgb=1?16noh5979>ff7=1?16nn=5979>ff3=1?16nn95979>ff?=1?16nnl5979>ffb=1?16nnh5979>fa7=1?16ni=5979>fa3=1?16ni95979>fa?=1?16nil5959>fad=1?16nij5979~w417m3:18v3;6081l64=`1`>14534k<57;3>;09n;529`29721034=;>=01l=l:0c7?xu6?8;1<74g33432n7=87:p5275290?w0:93;616>;3>k08;h5247a972c<503i6?om;|q2345=838p198;:0c7?8?f:39<;6s|1637>5<3s4>=87:=2:?72g<4?k168;m536`8970794?4|5=<>69>63;6c803f=:61d34>=o7=8a:?:e6<5ih1v<9>7;296~;3>?0:5h5247;9721030=:1h0196;:0c7?82?<3>9>63n7981e2=z{8=:57>52z?723<3;916m:653658yv709h0;6?u24749721<5=<<6<7j;|q234d=838p1988:3:a?8g0i39<;6s|163`>5<5s4>=;7:<0:?b1g<4?>1v<9>d;297~;3>>09n:5247:955<5s4>=57?6e:?72d<4?>1v<9=0;290~;3>0094o524609074<50kj6?o7;<;bf?4f02wx=:<>:18182113>8<636a`8032=z{8=9>7>52z?72<<5j>168:<51`68yv70::0;6?u247c9066<50k=6>98;|q2372=838p198m:2g5?832=3>:;6s|1606>5<5s4>=n7=j4:?610<39<1v<9=6;296~;3>k08i>525479042:4?:3y>03d=;l8018;::530?xu6?;21<76c634?>97:>2:p524>2909w0:9b;1f4>;2=<0?=<5rs051e?6=:r7?:o4103=<8:0q~?82c83>7}:16a3ty:;?m50;0x910e2:nh70;:5;63`>{t9>8o6=4={<65f?5cj27>984;0b9~w415m3:1>v3;6c80`d=:=72294?4|5=j7;<761?2712wx=:=>:181821j39o;63:54874==z{8=8>7>52z?72g<4l?1698;54158yv70;:0;6?u247`97a3<569>9;|q2362=838p198m:2f7?832=3>;96s|1616>5<5s4>=n7=k3:?610<38=1v<9<6;296~;3>k08h<52547905403d=;m:018;::522?xu6?:21<76ea34?>97:?0:p525>2909w0:9b;1`a>;2=<08jk5rs050e?6=:r7?:o4103=;oo0q~?83c83>7}:6`c3ty:;>m50;0x910e2:ii70;:5;1eg>{t9>9o6=4={<65f?5di27>984v3;6c80g<=:=75294?4|5=m9;<761?5a?2wx=::>:181821j39h963:5480b3=z{8=?>7>52z?72g<4k=1698;53g78yv70<:0;6?u247`97f5<56>h;;|q2312=838p198m:2a1?832=39m?6s|1666>5<5s4>=n7=l1:?610<4n;1v<9;6;296~;3>k08o=5254797c703d=;kl018;::2d3?xu6?=21<76db34?>97=jf:p522>2909w0:9b;1a`>;2=<08ih5rs057e?6=:r7?:o4103=<8n0q~?84c83>7}:17d3ty:;9m50;0x910e2:oj70;:5;62f>{t9>>o6=4={<65f?5b127>984;1`9~w413m3:1>v3;6c80a==:=74294?4|5=jk;<761?27m2wx=:;>:181821j39o>63:548746=z{8=>>7>52z?72g<4k>1698;53g:8yv70=:0;6?u247`97ge<56>kk;|q2302=839p198m:03:?821k3;:5637fg811c=z{8=>97>53z?72g<69>168;m510589=`a2;?o7p}>74494?5|5=273jk4=5b9~w412?3:1?v3;6c8250=:73e3ty:;8650;1x910e28;?70:9c;320>;?no099l5rs056=?6=;r7?:o4>129>03e=989015hi:37:?xu6?47534>=o7?>2:?;bc<5=11v<9:b;297~;3>k0:=<5247a9547<51lm6?;8;|q230e=839p198m:001?821k3;9>637fg81<2=z{8=>h7>53z?72g<6:8168;m513389=`a2;2=7p}>74g94?5|5=7>33ty:;;>50;1x910e28;n70:9c;32a>;?no094>5rs0555?6=;r7?:o4>1e9>03e=98n015hi:3:1?xu6??81<7=t=54a>47d34>=o7?>c:?;bc<5?11v<993;297~;3>k0:=o5247a954d<51lm6?8k;|q2332=839p198m:03b?821k3;:m637fg8127=z{8==97>53z?72g<699168;m510289=`a2;?=7p}>77494?4|5=oi;52z?72g<4im16m>=52`c8yv70>00;6?u247`97de<5h9:6?on;|q233g=838p198m:2ca?8g4:38jm6s|164a>5<5s4>=n7=na:?b75<5ih1v<99c;296~;3>k08m452a3g96dg03d=;h=01l6g134k9h7;f:k09ml5rs0544?6=:r7?:o4e7e=:hk0q~?87083>7}:7gf3ty:;:<50;0x910e2:k970o=8;0be>{t9>=86=4={<65f?5f927j>44=a`9~w410<3:1>v3;6c80e5=:i;=1>lo4}r3430<72;q68;l538d89d422;kj7p}>76494?4|5=7j;52z?72g<41k16m?<52`c8yv70?00;6?u247`975<5s4>=n7=68:?b5c<5ih1v<98c;296~;3>k085:52a3296dg03d=;0<01l?j:3cb?xu6?>o1<76?234k:o7;f9m09ml5rs05;4?6=:r7?:o4<929>e4d=:hk0q~?88083>7}:7gf3ty:;5<50;0x910e2:3;70o>a;0be>{t9>286=4={<65f?5?n27j=54=a`9~w41?<3:1>v3;6c80<`=:i8<1>lo4}r34<0<72;q68;l539f89d702;kj7p}>79494?4|5=6l;52z?72g<40h16m<:52`c8yv70000;6?u247`97=?<5h;96?on;|q23=g=838p198m:2:;?8g6838jm6s|16:a>5<5s4>=n7=m7:?b54<5ih1v<97c;296~;3>k08n;52a1d96dg03d=;k?01l>k:3cb?xu6?1o1<76d334k;i7a2909w0:9b;1a7>;f8j09ml5rs05:4?6=:r7?:o4e5g=:hk0q~?89083>7}:7gf3ty:;4<50;0x910e2:3o70o?9;0be>{t9>386=4={<65f?5>:27j<54=a`9~w41><3:1>v3;6c80<2=:i9<1>lo4}r34=0<721q68;l5ag9>03d=im168;l5ab9>03d=ik168;l5a`9>03d=i0168;l5a99>f2c=<;80q~?89783>7}:03d=i?16n:m54308yv70110;6?u247`9e0=:j1>18?<4}r34=<<72;q68;l5a29>f=0=<;80q~?89`83>7}:03d=i816n5654308yv701j0;6?u247`9e5=:j1k18?<4}r34=a<72;q68;l59g9>f=c=<;80q~?89d83>7}:03d=1m16n5m54308yv70i90;6?u247`9=f=:j0>18?<4}r34e4<72;q68;l59c9>f<0=<;80q~?8a383>7}:4?:3y>03d=j>16n4o54308yv70i=0;6?u247`9f3=:j0i18?<4}r34e0<72;q68;l5b49>f<>=<;80q~?8a783>7}:03d=j:16nl>54308yv70i10;6?u247`9f7=:jh>18?<4}r34e<<72;q68;l5b09>fd0=<;80q~?8a`83>7}:03d=i=16nlo54308yv70ij0;6?u247`9=<=:jhi18?<4}r34ea<72;q68;m53d4890332=;<7p}>7`g94?4|5=
k;;<760?26=2wx=:oi:181821k39n?63:558751=z{8=i<7>52z?72f<4m;1698:54018yv70j80;6?u247a97`7<5:=6s|16`0>5<5s4>=o7=kf:?611<3991v<9m4;296~;3>j08hh52546905`03e=;mi018;;:52g?xu6?k<1<76be34?>87:?c:p52d02909w0:9c;1ge>;2==0?102=<9k0q~?8b883>7}:16>3ty:;oo50;0x910d2:n<70;:4;63<>{t9>hi6=4={<65g?5c>27>994;069~w41ek3:1>v3;6b80`0=:=<>18=84}r34fa<72;q68;m53e6890332=:>7p}>7cg94?4|5=
j<;<760?27<2wx=:li:181821k39o=63:558747=z{8=h<7>52z?72f<4l91698:54138yv70k80;6?u247a97f`<5?;|q23f4=838p198l:2af?832<39mj6s|16a0>5<5s4>=o7=ld:?611<4nl1v<9l4;296~;3>j08on5254697cb03e=;jh018;;:2d`?xu6?j<1<76ef34?>87=ib:p52e02909w0:9c;1`=>;2==08jl5rs05`102=;o30q~?8c883>7}:6`03ty:;no50;0x910d2:i>70;:4;1e2>{t9>ii6=4={<65g?5d<27>994v3;6b80g6=:=<>1?k:4}r34ga<72;q68;m53b0890332:l87p}>7bg94?4|5=
m>;<760?5a:2wx=:mi:181821k39h<63:5580b4=z{8=o<7>52z?72f<4jo1698:53g28yv70l80;6?u247a97gc<5ki;|q23a4=838p198l:2`g?832<39ni6s|16f0>5<5s4>=o7=jc:?611<39m1v<9k4;296~;3>j08io52546904e03e=;lk018;;:53a?xu6?m<1<76c>34?>87:>a:p52b02909w0:9c;1f<>;2==0?=45rs05g102=<820q~?8d883>7}:16b3ty:;io50;0x910d2:n970;:4;637>{t9>ni6=4={<65g?5d?27>994v3;6b80ff=:=<>1?hj4}r34`a<72;q68;m53`d89d602;kj7p}>7eg94?4|5=
oj;52z?72f<4ij16m=:52`c8yv70m80;6?u247a97dd<5h:96?on;|q23`4=838p198l:2cb?8g7838jm6s|16g0>5<5s4>=o7=n9:?b44<5ih1v<9j4;296~;3>j08m:529gd96dg03e=;h<014hk:3cb?xu6?l<1<76g2343mi7;>nj09ml5rs05f=cg=:hk0q~?8e883>7}:7gf3ty:;ho50;0x910d2:k:707i9;0be>{t9>oi6=4={<65g?5f8272j:4=a`9~w41bk3:1>v3;6b80=c=:1o21>lo4}r34aa<72;q68;m538g89<`12;kj7p}>7dg94?4|5=
7l;<;e0?4fi2wx=:ki:181821k392n636f481ed=z{8=m<7>52z?72f<41h165k=52`c8yv70n80;6?u247a975<5s4>=o7=67:?:b5<5ih1v<9i4;296~;3>j085;529dg96dg03e=;0?014ki:3cb?xu6?o<1<76?3343nh7;>mk09ml5rs05e=`e=:hk0q~?8f883>7}:4=8gb>7gf3ty:;ko50;0x910d2:2m707j8;0be>{t9>li6=4={<65g?5?m272i44=a`9~w41ak3:1>v3;6b80lo4}r34ba<72;q68;m539a897gg94?4|5=
6m;<;f2?4fi2wx=:hi:181821k393m636e581ed=z{82;<7>52z?72f<400165h<52`c8yv7?880;6?u247a97=><50o86?on;|q2<54=838p198l:2`4?8?b938jm6s|1920>5<5s4>=o7=m6:?:`c<5ih1v<6?4;296~;3>j08n8529d296dg03e=;k>014jj:3cb?xu609<1<76d4343oo7;>lm09ml5rs0:3=ad=:hk0q~?70883>7}:7gf3ty:4=o50;0x910d2:39707ka;0be>{t91:i6=4={<65g?5??272h54=a`9~w4>7k3:14v3;6b8bb>;3>j0jh63;6b8bg>;3>j0jn63;6b8be>;3>j0j563;6b8b<>;ei10?>?5rs0:3`?6=:r7?:n4n7:?af5<3:;1v<6?e;296~;3>j0j:63mb38767=z{82;j7>52z?72f683:1>v3;6b8b7>;ej=0?>?5rs0:25?6=:r7?:n4n2:?af3<3:;1v<6>2;296~;3>j0j=63mb`8767=z{82:?7>52z?72f6<3:1>v3;6b8:b>;ej10?>?5rs0:21?6=:r7?:n46e:?ag5<3:;1v<6>6;296~;3>j02h63mc38767=z{82:;7>52z?72f<>k27inh4;239~w4>603:1>v3;6b8:f>;ek?0?>?5rs0:2=?6=:r7?:n46a:?ag=<3:;1v<6>a;296~;3>j0i;63mc58767=z{82:n7>52z?72f27iol4;239~w4>6k3:1>v3;6b8a1>;ekj0?>?5rs0:2`?6=:r7?:n4m4:?a`5<3:;1v<6>e;296~;3>j0i?63md38767=z{82:j7>52z?72f583:1>v3;6b8a5>;el=0?>?5rs0:15?6=:r7?:n4m0:?a`3<3:;1v<6=2;296~;3>j0j863md98767=z{829?7>52z?72f<>127ihl4;239~w4>5<3:1:v3;6e82=`=:<>218?<4=8;e>7g?343j9798;|q2<70=838p198k:3`4?82013;j86s|1904>5<3s4>=i7?6e:?73d<3:;165l>52`;8983:94?4|5=52z?72`<5j>168:l51`68yv7?:k0;6?u247d9066<50k36>98;|q2<7e=838p198i:254?820k3;j86s|190g>5<19r7?;=4;239>=a>=:h2014j6:3c;?8?ci38j4636dc81e==:1mi1>l64=8fg>7g?343oi752`:89;>m:09m5529d696d><50o>6?o7;<;f2?4f0272i:4=a99>=`>=:h2014k6:3c;?8?bi38j4636ec81e==:1li1>l64=8gg>7g?343ni752`:89<`62;k3707i2;0b<>;>n:09m5529g696d><50l>6?o7;<;e2?4f0272j:4=a99>=c>=:h2014h6:3c;?8?ai38j4636fc81e==:1oi1>l64=8dg>7g?343mi752`:89d662;k370o?2;0b<>;f8:09m552a1696d><5h:>6?o7;e5>=:h201l>6:3c;?8g7i38j463n0c81e==:i9i1>l64=`2g>7g?34k;i752`:89d762;k370o>2;0b<>;f9:09m552a0696d><5h;>6?o7;e4>=:h201l?6:3c;?8g6i38j463n1c81e==:i8i1>l64=`3g>7g?34k:i752`:89d462;k370o=2;0b<>;f::09m552a3696d><5h8>6?o7;:4=a99>e7>=:h201l<6:3c;?8g5i38j463n2c81e==:i;i1>l64=`0g>7g?34k9i7>52`:89d562;k370o<2;0b<>;f;:09m552a2696d><5h9>6?o7;5m3:19v3;7282e1=:=;?1>5l4=404>4?b34?947:=2:?614<6i=1v<6=f;290~;3?:0?>?5253496=d<5h2;6?o8;82094?4|5===63>9>6369e81eg=:10l1>lm4}r3;71<72;q68:951`68982794?0|5==<69<=;<;:`?4f12725h4=a99>=<`=:hk01l9?:3cb?8gfl3;j86s|1915>5<5s4><47?n4:?:e0<4?>1v<6<7;29<~;3?00?>?5298f96dg<503n6?on;<;:b?4fj272m84=a99>=fe=:h301l9?:3ca?8g??3;j86s|191;>5<5s4>1v<6<9;296~;3?k0?>?529`396dg02b=9h>0147l:254?xu60:h1<714534>3:7??3:p5=5d2909w0:8e;3b0>;>i=08;:5rs0:0`?6=:r7?;h4;239>0=0=99;0q~?73d83>35|5==m6=a>=:h3014j6:3c:?8?ci38j5636dc81e<=:1mi1>l74=8fg>7g>343oi752`;89;>m:09m4529d696d?<50o>6?o6;<;f2?4f1272i:4=a89>=`>=:h3014k6:3c:?8?bi38j5636ec81e<=:1li1>l74=8gg>7g>343ni752`;89<`62;k2707i2;0b=>;>n:09m4529g696d?<50l>6?o6;<;e2?4f1272j:4=a89>=c>=:h3014h6:3c:?8?ai38j5636fc81e<=:1oi1>l74=8dg>7g>343mi752`;89d662;k270o?2;0b=>;f8:09m452a1696d?<5h:>6?o6;e5>=:h301l>6:3c:?8g7i38j563n0c81e<=:i9i1>l74=`2g>7g>34k;i752`;89d762;k270o>2;0b=>;f9:09m452a0696d?<5h;>6?o6;e4>=:h301l?6:3c:?8g6i38j563n1c81e<=:i8i1>l74=`3g>7g>34k:i752`;89d462;k270o=2;0b=>;f::09m452a3696d?<5h8>6?o6;:4=a89>e7>=:h301l<6:3c:?8g5i38j563n2c81e<=:i;i1>l74=`0g>7g>34k9i7>52`;89d562;k270o<2;0b=>;f;:09m452a2696d?<5h9>6?o6;4n3:1?v3;7g8761=:<1818?:4=8c:>6103ty:49>50;6x91>728k?707k6;0b=>;f0=0?>?52b6;95d20=6=<;8018>6:0c7?xu60=81<7=t=5:2>4g334>3?7:=2:?b<6<6i=1v<6;3;297~;3080?>?5298a96dg<50k?6?o6;|q2<12=838p196=:0c7?8?fj39<;6s|1966>5<1s4>3?7?n4:?7<0<3:;1654j52`:89;>i<09ml5rs0:72?6=:r7?484>a59>=de=;>=0q~?74683>0}:<1<1ji5252`96=d<50i<6?o6;<;`e?4f027j8;4;239~w4>303:19v3;878ef>;2;j094o529b596dg<50i26?om;383=63nb482e1=:j8=1=l:4}r3;0d<72:q6858526d89dd028k?70l>9;3b0>{t91>i6=4<{<6;2?40m27jn>4>a59>f4b=9h>0q~?74b83>6}:<1<1>:j4=``a>4g334h:j7?n4:p5=2c2908w0:76;04g>;fjm0:m952b0`95d20=0=:>h01ll6:0c7?8d593;j86s|196e>5<4s4>3:7<8a:?bfc<6i=16n?=51`68yv7?=90;6>u2494962?<5hi:6:18082?>38<;63nc482e1=:j;31=l:4}r3;17<72:q6858526489de028k?70l=5;3b0>{t91?86=4<{<6;2?40=27jo>4>a59>f7d=9h>0q~?75583>6}:<1<1>::4=`aa>4g334h9h7?n4:p5=322908w0:76;047>;fkm0:m952b2395d20=0=:>801lm6:0c7?8d4;3;j86s|1974>5<4s4>3:7<81:?b`4<6i=16n?h51`68yv7?=10;6>u24949626<5hn8638=j63ncg82e1=:j:31=l:4}r3;1d<72:q6858527g89db228k?70l<5;3b0>{t91?i6=4<{<6;2?41k27jh:4>a59>f6b=9h>0q~?75b83>6}:<1<1>;l4=`fa>4g334h8j7?n4:p5=3c2908w0:76;05e>;flm0:m952b2`95d20=0=:?301lj6:0c7?8d393;j86s|197e>5<4s4>3:7<98:?ba4<6i=16n9=51`68yv7?>90;6>u24949631<5ho86:18082?>38=:63ndg82e1=:j=31=l:4}r3;27<72:q6858527789dc028k?70l;5;3b0>{t91<86=4<{<6;2?41<27ji44>a59>f1b=9h>0q~?76583>6}:<1<1>;=4=`g6>4g334h?j7?n4:p5=022908w0:76;055>;fmk0:m952b5`95d20=0=:?:01lkk:0c7?8d2;3;j86s|1944>5<4s4>3:7<:f:?bb4<6i=16n8;51`68yv7?>10;6>u2494960b<5hl8638>o63neg82e1=:j<=1=l:4}r3;2d<72:q6858524`89d`028k?70l:9;3b0>{t91a59>f0b=9h>0q~?76b83>6}:<1<1>874=`d6>4g334h>j7?n4:p5=0c2908w0:76;06<>;fnm0:m952b4`95d20=0=:<=01lhi:0c7?8d1;3;j86s|194e>5<4s4>3:7<77:?bbg<6i=16n;;51`68yv7??90;6>u249496=0<5k::6:18082?>383963m0282e1=:j?31=l:4}r3;37<72:q6858529689g6028k?70l9b;3b0>{t91=86=4<{<6;2?4?;27i<44>a59>f31=9h>0q~?77583>6}:<1<1>5<4=c26>4g334h=h7?n4:p5=122908w0:76;04<>;e8m0:m952b7d95d20=0=:?n01o>i:0c7?8d0;3;j86s|1954>5<4s4>3:7<92:?a4g<6i=16n:;51`68yv7??10;6>u24949600<5k;8653z?7a<<3:;169?l529`89d152;ki7p}>86`94?5|5=oj69d9~w4>0k3:18v3;e`8767=:4g334kw0:jb;0;f>;3n10:m95250g95d2<5<9;6<7j;<705?25:2wx=59j:18182bj3>8<636ag8032=z{8252z?7ag<4?>168hm518g8yv7?090;69u24da96=d<5<896?6m;<717?4?j27>??4;239~w4>?93:1>v3;eb8775=:1k:1?:94}r3;<7<72;q68hk518g891ca2:=<7p}>89194?0|5=on6?6m;<6e=?25:272mh4=ac9>=g?=:hk014ln:3ca?8?ej38j56s|19:7>5<5s4>ni7:<0:?:f0<4?>1v<675;296~;3ml09n:524g;95d20``=<::014l>:254?xu601=1<74?b34>m=7=87:p5=>?290;3nh0?>?529`g96dg<50h>6?o6;<;a=?4fj272nl4=a`9>=gd=:hk0q~?78883>7}:o94=5db>4g33ty:45o50;0x91`62=9;707m2;143>{t912i6=4={<6e6?24827j9n4<769~w4>?k3:1>v3;f38032=:89g94?4|5=l869=?;<;a7?50?2wx=56i:18182a;38i;63;fb82e1=z{822<7>52z?7b1<6i=169=854308yv7?180;6?u24g69074<51lm69:9;|q2<<4=838p19h::0c7?83703>9>6s|19;0>5<4s4>m97:=2:?61`<6i=16;i854548yv7?1=0;6?u24g495d2<50ko6>98;|q2<<3=833p19h9:501?82al3;j8636ae81e==:1hl1>lo4=8`3>7gf34k88494?4|5=l<69>636ae81e<=:1ho1>l64=8ce>7g>343i8788c94?4|5=ln69<=;<715?4?j2wx=57m:18682an3;j863:008767=:1j:1>l64=8a6>7g>34k<=7;>k809m5529b496d>156=9h>018>::501?8?el38j463n7381ed=:i>?1>l74}r3;=`<72;q69=>54308988d94?d|5<::6=gc=:h3014li:3cb?8?d838j5636c081e<=:1j81>l74=8a7>7g>34k1v<6n0;296~;28;0:m952a679721154=<;8014m=:3c;?8?d;38j46s|19c1>5<5s4?;?7?n4:?:f3<4?>1v<6n3;29<~;28:0?>?529cd96d?<50i96?on;<;`7?4fi272o94=a99>=f3=:h2014m9:3c:?8g0l38j;6s|19c7>5<5s4?;87?n4:?b3g<4?>1v<6n5;296~;28=0?>?5253a96=d153=9h>01l9<:254?xu60h=1<74g334k<87=87:p5=g?2909w0;?7;3b0>;f??08;:5rs0:b=?6=<:4;239>=gb=:hk014m<:3c:?8g0=38j46s|19cb>5<5s4?;47?n4:?:f1<4?>1v<6nb;296~;28h0?>?5250f95d215d=<;8014l?:3c:?8?e>38j5636bb81e==:i?o1>l74=`50>7ge34k<97l:3`5?837l3>8<63n5e8032=z{82jj7>52z?64f<4?>16m>j51`68yv7?j90;68u251f96=d<50h96?o7;e0b=:h20q~?7b083>6}:=9o1>o84=42e>157343i;7=87:p5=d52909w0;?e;143>;f;l0:m95rs0:a7?6==r7>=g4=:h3014l8:3c;?8g4m3>9>63n5b81e<=z{82i87>53z?655<61l1698c794?5|5<;;6?l9;<725?24827j9h4<769~w4>e>3:1>v3:118032=:i:l1=l:4}r3;f2<72=q69;f=l09m55rs0:a=?4=b79>145=<::014l7:254?xu60k31<761034k?<7?n4:p5=df290?w0;>3;0;f>;>i>09m4529c:96d><5h>;69<=;|q239<;63:1982=`=z{82io7>53z?651<5j?169<65422892:=<7p}>8cf94?4|5<;?6>98;o94}r3;fc<72:q69<;52c4890702=9;707ma;143>{t91i;6=4={<721?50?27j8<4>a59~w4>d93:1>v3:178775=:1kh1?:94}r3;g7<72;>jh09m552a5390744?:4y>14>=:1h014l>:3c;?8?e;38j5636b881e<=:i=818?<4}r3;g1<72:q69<7518g8907f283n70;>d;616>{t91i>6=4:{<72=?4?j27>954>a59>3a0=nk16m9=543089d3a2;k37p}>8b494?5|5<;269=?;<72e?4e>27j9k4<769~w4>d?3:1?v3:1881f2=:=8h1=4k4=43`>4?b3ty:4n650;0x907f2:=<70o;3;3b0>{t91i26=4:{<72f?4?j27>944>a59>3a0=nm16m9:543089d072;k37p}>8bc94?5|5<;i69=?;<72g?4e>27j:=4<769~w4>dj3:1>v3:1b8032=:i=>1=l:4}r3;gf<72:q694g3343io7=87:p5=eb2908w0;>f;616>;f<:0?>952a569072176=90o018<=:3`4?83503;j86s|19f3>5<5s4?9<7=87:?66<<6i=1v<6k1;296~;2:80:5h525319721177=<::014lj:254?xu60m91<761034?9>7?6e:p5=b32909w0;=2;604>;>jm08;:5rs0:g1?6=:r7>>>4>9d9>172=;>=0q~?7d783>7}:=;918>>4=8`e>6103ty:4i950;0x9043283n70;=5;143>{t91n36=4={<710?4?j27j4<4<769~w4>c13:1>v3:258775=:i>i1?:94}r3;`d<72;q69?;518g890412:=<7p}>8e`94?4|5<8>69=?;<;`4?50?2wx=5jl:181835>3;2i63:268032=z{82oh7>52z?663<3;9165n?53658yv7?ll0;6?u253596=d<5h=m6>98;|q25<4s4?957:=2:?66`<50k16m:?52``8yv7?m80;6>u253c9552z?66g<61l169?m53658yv7?m=0;6?u253`9066<50i86>98;|q2<`3=838p185<5s4?9o7:<0:?:g7<4?>1v<6j7;296~;2:m0:5h5253g972117b=:1h01l8l:501?8g0:38j463n8081e2=z{82n57>52z?66a<3;9165n:53658yv7?mh0;6?u253g9598;|q2<`d=838p185<5s4?9j7?6e:?675<4?>1v<6jd;296~;2:o094o52a92972117`=<::014m9:254?xu60ll1<7:t=413>7>e34k=n7:=2:?b34<5i116m:h52`58yv7?n90;6?u25229066<5h=o6>98;|q25<3s4?8?7:=2:?671<50k165lm52`;89d0c2;k27p}>8g194?4|5<9?6<7j;<701?50?2wx=5h;:181834<3>8<636c98032=z{82m97>52z?671<4?>169>8518g8yv7?n?0;6?u25279066<50i<6>98;|q25<5s4?8:70?>?529b;96d?<50ij6?om;<;`f?4f12wx=5hn:18083403;2i63:3`8032=:=:i1=4k4}r3;bg<72:q69>652c48905d2=9;707l9;143>{t91lh6=4={<70a59~w4>al3:1?v3:3882=`=:=:h1=4k4=41`>7d03ty:4kk50;1x905>2;h=70;;>kh08;:5rs0:eb?6=:r7>?44<769>e10=9h>0q~?60183>7}:=:k18>>4=8aa>6103ty:5=?50;1x905c2;h=70;;0;604>;f>;08;:5rs0;36?6=:r7>?i4<769>e1?=9h>0q~?60283>6}:=:o1=4k4=41e>4?b34??<7;2;o0??=529ba972116c=;>=01l:7:0c7?xu619<1<7:t=41e>7>e3432o78>0;68u255296=d<503h6?o6;<;b0?4f027j844;239>e34=:h20q~?60983>7}:==;1=l:4=8ag>6103ty:5=750;0x90262=8970om1;3b0>{t90:j6=4<{<776?7>m27>894<769>110=90o0q~?60c83>6}:==81>o84=465>157343hi7=87:p5<6d2909w0;;2;143>;f8>4>9d9>113=90o018:9:3`4?xu619o1<7=t=460>7d134??97:<0:?:gc<4?>1v<7?f;296~;2<:08;:52a5`95d2112=<::014j?:254?xu618;1<78t=466>7>e34?=57?n4:?44d9;0;6;u255496=d<5<>4=8f2>6103ty:5<:50;0x90202:=<70o;e;3b0>{t90;>6=4<{<77m27>844>9d9>11g=:k=0q~?61783>6}:==21>o84=46:>157343o>7=87:p5<702909w0;;8;143>;fr7>844=8c9>126=9h>01:=k:g;892g42o3014j=:3c;?8g3l3>9>6s|183:>5<1s4??m7<7b:?634<6i=16;>j5f69>3d5=n>165i?52`;89d2b2=897p}>90c94?5|5<>i6<7j;<77g?7>m27>8k4;239~w4?6j3:19v3:4c8146634k?j7:=2:?b26<5i11v<7>c;297~;298;|q2=4b=839p18:m:3`4?833l3;2i63:4d82=`=z{83:i7>52z?60f<4?>16m9h51`68yv7>9o0;68u255f96=d<5<e32=:h20q~?62183>6}:==n18>>4=46f>7d134k=87=87:p5<462909w0;;e;143>;f=90:m95rs0;16?6=?r7>8k4>a59>=fc=:h3014mi:3c:?8?c838j4636d081e==:1m81>l74=`cf>1453ty:5?=50;4x903728k?70o;b;610>;f952a5f9066<5h>n69=?;9>63n4g8761=:i<:18?:4}r3:60<72:q698?54308900d28k?709k6;331>{t908=6=4<{<766?76127>9>4>189>3a0=:6}:=<81=<94=470>47034=o:7<:d:p5<4?2908w0;:2;322>;2=:0:=;527e4960e44?:2y>104=98?018;<:036?81c>38>n6s|180b>5<4s4?>>7?>4:?616<69=16;i8524c8yv7>:k0;6>u25409545<563:528257=:?m<1>864}r3:6a<72:q698<51038903428;:709k6;063>{t908n6=4<{<766?75:27>9>4>239>3a0=:1=0q~?62g83>6}:=<81=??4=470>44634=o:7<76:p5<572908w0;:2;314>;2=:0:>=527e496=3104=98l018;<:03e?81c>38386s|1811>5<4s4?>>7?>e:?616<69l16;i852918yv7>;:0;6>u2540954b<5:64}r3:70<72:q698<510`8903428;i709k6;05`>{t909=6=4<{<766?76i27>9>4>1`9>3a0=:?80q~?63683>6}:=<81=<>4=470>47734=o:7<:6:p5<5?290?w0;:2;3a3>;2=;0:n85262g95a6<5>:j653z?617<6j:16:>k51bf8926f28o=7p}>92`94?5|5e49~w4?4k3:1?v3:5382f5=:>:o1=no4=62b>4c43ty:5>j50;1x903528km708;08h0:i?5rs0;0a?6=;r7>9?4>ad9>26c=9j201:>n:0g2?xu61:l1<7=t=471>4gc34<8i7?l7:?44d<6m91v<7;0;297~;2=;0:mn5262g95f0<5>:j67>53z?617<6ih16:>k51b68926f28no7p}>95194?5|5db9~w4?3<3:1?v3:5382e==:>:o1=n<4=62b>4be3ty:59;50;1x903528k<708;08h0:hl5rs0;72?6=;r7>9?4>bg9>26c=9m201:>n:0d2?xu61==1<7=t=471>4db34<8i7?k7:?44d<6n91v<7;8;297~;2=;0:ni5262g95a0<5>:j653z?617<6jk16:>k51e68926f28oo7p}>95`94?5|5eb9~w4?3k3:1?v3:5382f<=:>:o1=i<4=62b>4ce3ty:59j50;1x903528h3708;08h0:il5rs0;7a?6=;r7>9?4>b09>26c=9jh01:>n:0g7?xu61=l1<7=t=471>4g134<8i7?l0:?44d<6l01v<7:0;29<~;2=;0jj63:538b`>;2=;0jo63:538bf>;2=;0jm63:538b=>;2=;0j46383e82a<=z{83>=7>52z?617e69~w4?2:3:1>v3:538b2>;0;m0:i;5rs0;67?6=:r7>9?4n5:?47a<6m<1v<7:4;296~;2=;0j?6383e82a6=z{83>97>52z?617e39~w4?2>3:1>v3:538b5>;0;m0:i<5rs0;63?6=:r7>9?4n0:?47a<6m91v<7:8;296~;2=;02j6383e82`c=z{83>57>52z?617<>m27dd9~w4?2i3:1>v3:538:`>;0;m0:hi5rs0;6f?6=:r7>9?46c:?47a<6lj1v<7:c;296~;2=;02n6383e82`g=z{83>h7>52z?617<>i27d`9~w4?2m3:1>v3:538a3>;0;m0:j<5rs0;6b?6=:r7>9?4m6:?47a<6n91v<790;296~;2=;0i96383e82ac=z{83==7>52z?617ed9~w4?1:3:1>v3:538a7>;0;m0:ii5rs0;57?6=:r7>9?4m2:?47a<6mj1v<794;296~;2=;0i=6383e82ag=z{83=97>52z?617e`9~w4?1>3:1>v3:538b0>;0;m0:i95rs0;53?6=:r7>9?469:?47a<6l01v<798;296~;2=;0?=:5254497`0104=<8?018;9:2g7?xu61?k1<717334?>:7=j3:p5<0e2909w0;:2;627>;2=?08i?5rs0;5g?6=:r7>9?4;139>100=;l;0q~?66e83>7}:=<8186c73ty:5;k50;0x90352=;;70;:6;1gb>{t909;4v3:53874a=:=<<1?im4}r3:34<72;q698<541a890312:ni7p}>96094?4|5m;<762?5ci2wx=49<:181832:3>;m63:5780`<=z{83<87>52z?617<3801698853e:8yv7>?<0;6?u2540905><5j8;|q2=20=838p18;=:524?832>39o:6s|1854>5<5s4?>>7:?6:?613<4l<1v<788;296~;2=;0?<85254497a2104=<9>018;9:2f0?xu61>k1<716534?>:7=k1:p5<1e2909w0;:2;635>;2=?08h=5rs0;4g?6=:r7>9?4;019>100=;jl0q~?67e83>7}:=<81?kh4=475>6eb3ty:5:k50;0x90352:ln70;:6;1``>{t90=m6=4={<766?5al27>9;4v3:5380bf=:=<<1?nl4}r3:<4<72;q698<53g`890312:ij7p}>99094?4|5hn;<762?5d12wx=46<:181832:39m563:5780g==z{83387>52z?617<4n>1698853b48yv7>0<0;6?u254097c0<5m:;|q2==0=838p18;=:2d6?832>39h86s|18:4>5<5s4?>>7=i4:?613<4k:1v<778;296~;2=;08j>5254497f4104=;o8018;9:2a2?xu611k1<76`634?>:7=l0:p5<>e2909w0;:2;1e4>;2=?08nk5rs0;;g?6=:r7>9?4100=;ko0q~?68e83>7}:=<81?hk4=475>6dc3ty:55k50;0x90352=;o70;:6;1fg>{t902m6=4={<766?26k27>9;483:1>v3:53875g=:=<<1?ho4}r3:=4<72;q698<540c890312:o27p}>98094?4|5:463:5780a2=z{83287>52z?617<38l1698853ef8yv7>1<0;6?u25409055<5j=;|q2=<0=838p18;=:2d;?832>39h;6s|18;4>5<5s4?>>7=jd:?613<4jj1v<768;290~;2=:0:n:5254195g3<5?k?6:1=h94}r3:=d<72:q698=51c1893g328io70980;3f2>{t903i6=4<{<767?7e:27=m94>cb9>326=9l?0q~?69b83>6}:=<91=o>4=7c7>4ef34=<<7?j3:p5;1i=0:o45276295`4105=9ho01;o;:0a;?81083;n=6s|18;e>5<4s4?>?7?nd:?5e1<6k>16;:>51d28yv7>i90;6>u254195de<5?k?6:180832;3;jn639a582g0=:?>:1=ik4}r3:e7<72:q698=51`c893g328i?70980;3g`>{t90k86=4<{<767?7f127=m94>c29>326=9mi0q~?6a583>6}:=<91=l64=7c7>4e534=<<7?kb:p5;1i=0:o<5276295ag105=9kl01;o;:0f;?81083;m=6s|18c4>5<4s4?>?7?me:?5e1<6l>16;:>51g28yv7>i10;6>u254195gb<5?k?6:1=hk4}r3:ed<72:q698=51c`893g328n?70980;3f`>{t90ki6=4<{<767?7ei27=m94>d29>326=9li0q~?6ab83>6}:=<91=o74=7c7>4b534=<<7?jb:p5;1i=0:h<5276295`g105=9k;01;o;:0aa?81083;n86s|18ce>5<4s4?>?7?n6:?5e1<6k916;:>51e;8yv7>j90;65u25419ec=:=<91mi525419ef=:=<91mo525419ed=:=<91m4525419e==:?h91=h74}r3:f4<72;q698=5a69>3d5=9l=0q~?6b383>7}:=<91m;527`195`04?:3y>105=i<16;l=51d78yv7>j=0;6?u25419e6=:?h91=h=4}r3:f0<72;q698=5a39>3d5=9l80q~?6b783>7}:=<91m<527`195`7105=i916;l=51d28yv7>j10;6?u25419=c=:?h91=ih4}r3:f<<72;q698=59d9>3d5=9mo0q~?6b`83>7}:=<915i527`195ab105=1j16;l=51ea8yv7>jj0;6?u25419=g=:?h91=il4}r3:fa<72;q698=59`9>3d5=9mk0q~?6bd83>7}:=<91n:527`195c7105=j?16;l=51g28yv7>k90;6?u25419f0=:?h91=hh4}r3:g4<72;q698=5b59>3d5=9lo0q~?6c383>7}:=<91n>527`195`b4?:3y>105=j;16;l=51da8yv7>k=0;6?u25419f4=:?h91=hl4}r3:g0<72;q698=5b19>3d5=9lk0q~?6c783>7}:=<91m9527`195`2105=1016;l=51e;8yv7>k10;6?u25419041<5k9;|q2=f?=838p18;<:536?832?39n86s|18ab>5<5s4?>?7:>4:?612<4m:1v<7lb;296~;2=:0?=>5254597`4105=<88018;8:2g2?xu61jn1<717634?>;7=j0:p5;2=>08hk5rs0;`b?6=:r7>9>4;0g9>101=;mo0q~?6d183>7}:=<918=j4=474>6bd3ty:5i?50;0x90342=:h70;:7;1gf>{t90n96=4={<767?27j27>9:4v3:52874d=:=<=1?i74}r3:`1<72;q698=541;890302:n37p}>9e794?4|57;<763?5c?2wx=4j9:181832;3>;;63:5680`3=z{83o;7>52z?616<38?1698953e78yv7>l10;6?u25419053<5j;;|q2=a?=838p18;<:527?832?39o?6s|18fb>5<5s4?>?7:?2:?612<4l81v<7kb;296~;2=:0?<<5254597a6105=<9:018;8:2ae?xu61mn1<76`a34?>;7=le:p5;2=>08oi5rs0;gb?6=:r7>9>4101=;ji0q~?6e183>7}:=<91?km4=474>6ee3ty:5h?50;0x90342:li70;:7;1`e>{t90o96=4={<767?5ai27>9:4v3:5280b<=:=<=1?n64}r3:a1<72;q698=53g5890302:i=7p}>9d794?4|5h9;<763?5d=2wx=4k9:181832;39m963:5680g1=z{83n;7>52z?616<4n=1698953b18yv7>m10;6?u254197c5<5m=;|q2=`?=838p18;<:2d1?832?39h=6s|18gb>5<5s4?>?7=i1:?612<4k91v<7jb;296~;2=:08j=5254597g`105=;ll018;8:2`f?xu61ln1<76cb34?>;7=md:p5;2=>08in5rs0;fb?6=:r7>9>4;1b9>101=;lh0q~?6f183>7}:=<9186cf3ty:5k?50;0x90342=;j70;:7;1f=>{t90l96=4={<767?26127>9:4v3:52875==:=<=1?h94}r3:b1<72;q698=541g890302:no7p}>9g794?4|5<;<763?5c:2wx=4h9:181832;39m463:5680g2=z{83m;7>52z?616<4mm1698953ca8yv7>n10;6?u254697`0<53>:96s|18db>5<5s4?>87=j3:?613<39=1v<7ib;296~;2==08i?525449045102=;l;018;9:531?xu61on1<76c734?>:7:>1:p5<`b2909w0;:4;1gb>;2=?0?==5rs0;eb?6=:r7>994100=<9l0q~?n0183>7}:=<>1?im4=475>16c3ty:m=?50;0x90332:ni70;:6;63g>{t9h:96=4={<760?5ci27>9;4;0c9~w4g7;3:1>v3:5580`<=:=<<18=o4}r3b41<72;q698:53e:890312=:27p}>a1794?4|5j8;<762?2702wx=l>9:181832<39o:63:578742=z{8k;;7>52z?611<4l<1698854148yv7f810;6?u254697a2<5:;|q2e5?=838p18;;:2f0?832>3>;86s|1`2b>5<5s4?>87=k1:?613<38;1v102=;jl018;9:523?xu6i9n1<76eb34?>:7=if:p5d6b2909w0;:4;1``>;2=?08jh5rs0c3b?6=:r7>994100=;on0q~?n1183>7}:=<>1?nl4=475>6`d3ty:m{t9h;96=4={<760?5d127>9;4v3:5580g==:=<<1?k74}r3b51<72;q698:53b4890312:l<7p}>a0794?4|5m:;<762?5a>2wx=l?9:181832<39h863:5780b0=z{8k:;7>52z?611<4k:1698853g68yv7f910;6?u254697f4<5h<;|q2e4?=838p18;;:2a2?832>39m>6s|1`3b>5<5s4?>87=l0:?613<4n81vb;296~;2==08nk5254497c6102=;ko018;9:2ge?xu6i8n1<76dc34?>:7=je:p5d7b2909w0;:4;1fg>;2=?0?=i5rs0c2b?6=:r7>994100=<8i0q~?n2183>7}:=<>1?ho4=475>17e3ty:m??50;0x90332:o270;:6;62e>{t9h896=4={<760?5b027>9;4;189~w4g5;3:1>v3:5580a2=:=<<18<64}r3b61<72;q698:53ef890312=:n7p}>a3794?4|5j=;<762?27;2wx=l<9:181832<39h;63:5780b==z{8k9;7>52z?611<4jj1698853df8yv7f:10;6>u2546954?<568j4}r3b6d<72:q698:51048903228;=706n8;06g>{t9h8i6=4<{<760?76=27>984>149>=:6}:=<>1=<:4=476>473342j47<:a:p5d4c2908w0;:4;327>;2=<0:=>528`:960?h4?:2y>102=988018;::031?8>f038>46s|1`0e>5<4s4?>87?>1:?610<698164l652458yv7f;90;6>u25469574<56<<=;<:b:180832<3;9=63:548264=:0h21>584}r3b77<72:q698:513289032288;706n8;0;1>{t9h986=4<{<760?76n27>984>1g9>=:1>0q~?n3583>6}:=<>1=47b342j47<73:p5d522908w0;:4;32`>;2=<0:=i528`:96=4102=98i018;::03`?8>f038<46s|1`14>5<4s4?>87?>b:?610<69k164l6527f8yv7f;10;6>u2546954g<56884}r3b7d<72=q698:51c58903328h>70;82;3f=>;?m>0:m95rs0c0f?6=;r7>994>b59>124=9l=015k7:0c7?xu6i:i1<7=t=477>4d434?<>7?j6:?;a<<6i=1v53z?611<6io169:<51d089=cd28k?7p}>a5294?5|5a59~w4g393:1?v3:5582ea=:=>81=h>4=9gf>4g33ty:m9<50;1x903328kh70;82;3gb>;?mo0:m95rs0c77?6=;r7>994>ac9>124=9mo015h?:0c7?xu6i=>1<7=t=477>4gf34?<>7?kd:?;b4<6i=1v53z?611<6i>169:<51ec89=`328k?7p}>a5:94?5|5a59~w4g313:1?v3:5582f`=:=>81=k>4=9d5>4g33ty:m9o50;1x903328ho70;82;3fb>;?n>0:m95rs0c7f?6=;r7>994>bb9>124=9lo015h7:0c7?xu6i=i1<7=t=477>4de34?<>7?jd:?;b<<6i=1v53z?611<6j1169:<51dc89=`d28k?7p}>a4294?5|5;<746?7b<273ji4>a59~w4g293:1?v3:5582e3=:=>81=i74=9df>4g33ty:m8<50;;x90332hl018;;:`f890332hi018;;:``890332hk018;;:`;890332h2018o::0g:?8>003;j86s|1`70>5<4s4?>87o8;<7b1?7b?273;44>a59~w4g2<3:1?v3:558b2>;2i<0:i;5286c95d2102=i<169l;51d789=1e28k?7p}>a4494?5|54c43426377e82e1=z{8k>47>53z?611m84>e09><2c=9h>0q~?n5883>6}:=<>1m=525`795`6<51=m6{t9h?i6=4<{<760??b34?j97?ke:?;<4<6i=1v1d3=9mi0156<:0c7?xu6i6;?0<0:m95rs0c54?6=;r7>994m7:?6e0<6n81645851`68yv7f>80;6>u25469f3=:=h?1=k>4=9:4>4g33ty:m;<50;1x90332k?018o::0ge?8>?03;j86s|1`40>5<4s4?>87l;;<7b1?7bm273444>a59~w4g1<3:1?v3:558a7>;2i<0:ii5289c95d2102=j;169l;51da89=>e28k?7p}>a7494?5|54ce3423o7?n4:p5d002908w0;:4;`3?83f=3;nm6378e82e1=z{8k=47>53z?611m84>e59><=c=9h>0q~?n6883>6}:=<>154525`795a?<512m6:;6s|1`4a>5<5s4?>97=j4:?612<39<1v525459042103=;l8018;8:530?xu6i?o1<76c634?>;7:>2:p5d0a2909w0;:5;1f4>;2=>0?=<5rs0c44?6=:r7>984101=<8:0q~?n7083>7}:=16a3ty:m:<50;0x90322:nh70;:7;63`>{t9h=86=4={<761?5cj27>9:4;0b9~w4g0<3:1>v3:5480`d=:=<=18=l4}r3b30<72;q698;53e;890302=:j7p}>a6494?4|56>j7;<763?2712wx=l98:181832=39o;63:56874==z{8k<47>52z?610<4l?1698954158yv7f?00;6?u254797a3<59;|q2e2g=838p18;::2f7?832?3>;96s|1`5a>5<5s4?>97=k3:?612<38=1v103=;m:018;8:522?xu6i>o1<76ea34?>;7:?0:p5d1a2909w0;:5;1`a>;2=>08jk5rs0c;4?6=:r7>984101=;oo0q~?n8083>7}:=6`c3ty:m5<50;0x90322:ii70;:7;1eg>{t9h286=4={<761?5di27>9:4v3:5480g<=:=<=1?ko4}r3b<0<72;q698;53b:890302:l27p}>a9494?4|56>m9;<763?5a?2wx=l68:181832=39h963:5680b3=z{8k347>52z?610<4k=1698953g78yv7f000;6?u254797f5<5h;;|q2e=g=838p18;::2a1?832?39m?6s|1`:a>5<5s4?>97=l1:?612<4n;1v103=;kl018;8:2d3?xu6i1o1<76db34?>;7=jf:p5d>a2909w0;:5;1a`>;2=>08ih5rs0c:4?6=:r7>984101=<8n0q~?n9083>7}:=17d3ty:m4<50;0x90322:oj70;:7;62f>{t9h386=4={<761?5b127>9:4;1`9~w4g><3:1>v3:5480a==:=<=18<74}r3b=0<72;q698;53d5890302=;37p}>a8494?4|56>jk;<763?27m2wx=l78:181832=39o>63:568746=z{8k247>52z?610<4k>1698953g:8yv7f100;6?u254797ge<5kk;|q2ep18;::0`4?832=3;i963:d982a<=:0jl1=l:4}r3b=g<72:q698;51c6890b?28o<706k0;3b0>{t9h3h6=4<{<761?7e;27>h54>e79>0q~?n9e83>6}:=4c2342o>7?n4:p5d?b2908w0;:5;3a4>;2l10:i>528e195d2103=9hl018j7:0g1?8>c<3;j86s|1`c3>5<4s4?>97?ne:?6`=<6m8164i;51`68yv7fi80;6>u254795db<5{t9hk?6=4<{<761?7fi27>h54>de9>0q~?na483>6}:=4bd342om7?n4:p5dg12908w0;:5;3b<>;2l10:ho528e`95d2103=9h=018j7:0fb?8>ck3;j86s|1`c;>5<4s4?>97?mf:?6`=<6n8164ij51`68yv7fi00;6>u254795gc<5{t9hkh6=4<{<761?7ej27>h54>ee9><`7=9h>0q~?nae83>6}:=4cd342n>7?n4:p5dgb2908w0;:5;3a=>;2l10:io528d195d2103=9k2018j7:0gb?8>b<3;j86s|1``3>5<4s4?>97?m1:?6`=<6m=164h;51`68yv7fj80;6>u254795d0<5;?<10:m95rs0ca7?6=;r7>984n7:?54g<6m>1649751`68yv7fj=0;6>u25479e3=:>9h1=h84=96b>4g33ty:mo;50;1x90322h?01;>m:0g6?8>3j3;j86s|1``5>5<4s4?>97o<;<43f?7b;2738n4>a59~w4ge?3:1?v3:548b6>;18k0:i?5285f95d2103=i816:=l51d389=2b28k?7p}>ac;94?5|56l>4=72a>4c7342?j7?n4:p5ddf2908w0;:5;;e?807j3;oj6375182e1=z{8kin7>53z?610<>m27=dd9><07=9h>0q~?nbb83>6}:={t9hhn6=4<{<761??e34<;n7?kb:?;11<6i=1v25d=9o;015;9:0c7?xu6ij;1<7=t=476>g0<5?:i6708?b;3fb>;?=10:m95rs0c`7?6=;r7>984m4:?54g<6ml1648751`68yv7fk=0;6>u25479f6=:>9h1=hj4=97b>4g33ty:mn;50;1x90322k801;>m:0g`?8>2j3;j86s|1`a5>5<4s4?>97l>;<43f?7bj2739n4>a59~w4gd?3:1?v3:548a4>;18k0:il5284f95d2103=i=16:=l51d689=3b28k?7p}>ab;94?5|56474=72a>4b>342>j7?n4:p5def2908w0;:6;32=>;2=>0:=45281d960`100=98=018;8:034?8>7n38>h6s|1`a`>5<4s4?>:7?>6:?612<69?164=h524a8yv7fkm0;6>u25449543<53;:863:568251=:09l1>8o4}r3bgc<72:q698851018903028;8706?f;06=>{t9hn;6=4<{<762?76:27>9:4>139><5`=:<20q~?nd083>6}:=<<1=476342;j7<:7:p5db52908w0;:6;316>;2=>0:>?5281d96=14?:2y>100=9;;018;8:002?8>7n383:6s|1`f7>5<4s4?>:7?=0:?612<6:9164=h52978yv7fl<0;6>u2544954`<53;:i63:56825`=:09l1>5=4}r3b`2<72:q6988510f8903028;o706?f;0;6>{t9hn36=4<{<762?76k27>9:4>1b9><5`=:>20q~?nd883>6}:=<<1=47e342;j7<9d:p5dbf2908w0;:6;32e>;2=>0:=l5281d9634100=98:018;8:033?8>7n38>:6s|1`f`>5<3s4?>:7?m7:?613<6j<169:<51e28935b28o27p}>aef94?5|5e69~w4gcm3:1?v3:5782f6=:=>81=nj4=71f>4c13ty:mih50;1x903128h970;82;3`g>;1;l0:i85rs0cf4?6=;r7>9;4>b19>124=9jk01;=j:0g0?xu6il;1<7=t=475>4ga34?<>7?l9:?57`<6m;1v<5?9n6;|q2e`5=839p18;9:0cg?830:3;h;6393d82a5=z{8kn87>53z?613<6ij169:<51b48935b28nm7p}>ad794?5|5dd9~w4gb>3:1?v3:5782ed=:=>81=n:4=71f>4bc3ty:mh950;1x903128k270;82;3`7>;1;l0:hn5rs0cf9;4>a99>124=9j801;=j:0fa?xu6il31<7=t=475>4g034?<>7?l1:?57`<6lh1v<5?9n6;|q2e`d=839p18;9:0`f?830:3;o;6393d82b5=z{8kno7>53z?613<6jm169:<51e48935b28om7p}>adf94?5|5ed9~w4gbm3:1?v3:5782fg=:=>81=i:4=71f>4cc3ty:mhh50;1x903128hj70;82;3g7>;1;l0:in5rs0ce4?6=;r7>9;4>b89>124=9m801;=j:0ga?xu6io;1<7=t=475>4d?34?<>7?k1:?57`<6mh1v59z?6139;4nd:?6139;4nb:?6139;4n9:?613e89><<6=9h>0q~?nf483>6}:=<<1m:5266395`1<513:6{t9hl<6=4<{<762?g234<<=7?j5:?;=6<6i=1v1=l:4}r3bb<<72:q69885a39>227=9l80157::0c7?xu6iok1<7=t=475>d7<5?=:6;<::2?7f<2wx=lhm:180832>3k;70881;3f4>;?1>0:m95rs0ceg?6=;r7>9;46f:?534<6lo1644651`68yv7fnm0;6>u25449=`=:>>;1=ik4=9;:>4g33ty:mkk50;1x903120n01;9>:0fg?8>>i3;j86s|1`de>5<4s4?>:77l;<445?7ck2735o4>a59~w4d783:1?v3:578:f>;1?80:ho5288a95d2100=1h16::?51ec89=?c28k?7p}>b1094?5|54`63422i7?n4:p5g642908w0;:6;`5?80093;m<6379g82e1=z{8h;87>53z?613eg9>0q~?m0483>6}:=<<1n95266395`c<51k:6{t9k:<6=4<{<762?d534<<=7?jc:?;e6<6i=1v1=l:4}r3a4<<72:q69885b19>227=9lk015o::0c7?xu6j9k1<7=t=475>d2<5?=:6m:180832>33270881;3g=>;?i>0:m95rs0`3g?6=9:4>b69>101=9k?018j7:0f3?80f<3;n56s|1c2g>5<4s4?>;7?m4:?6`=<6kl16:l:51d58yv7e8l0;6>u254595g5<52wx=o>i:180832?3;i>63:d982gf=:>h>1=h;4}r3a55<72:q698951c2890b?28ij708n4;3f7>{t9k;:6=4<{<763?7fn27>h54>c89>2d2=9l80q~?m1383>6}:=<=1=lk4=4f;>4e?34;2l10:o:526`695`6101=9hi018j7:0a5?80f<3;oj6s|1c36>5<4s4?>;7?nb:?6`=<6k<16:l:51eg8yv7e9?0;6>u254595dg<5h>1=im4}r3a5=<72:q698951`:890b?28i9708n4;3gf>{t9k;26=4<{<763?7f?27>h54>c09>2d2=9mk0q~?m1`83>6}:=<=1=oh4=4f;>4b?34;2l10:h:526`695c6101=9kn018j7:0f5?80f<3;nj6s|1c3g>5<4s4?>;7?mc:?6`=<6l<16:l:51dg8yv7e9l0;6>u254595gd<5h>1=hm4}r3a65<72:q698951c;890b?28n9708n4;3ff>{t9k8:6=4<{<763?7e027>h54>d09>2d2=9lk0q~?m2383>6}:=<=1=o?4=4f;>4ee34;2l10:o=526`695a?94?:8y>101=io169895ae9>101=ij169895ac9>101=ih169895a89>101=i116:i951d;89=0728k?7p}>b3794?5|54c0342==7?n4:p5g412908w0;:7;c5?80c?3;n:6376382e1=z{8h9;7>53z?612e49><35=9h>0q~?m2983>6}:=<=1m>526e595`5<51{t9k8j6=4<{<763?g6340j<639d682a5=:0?=1=l:4}r3a6f<72:q698959g9>2a1=9ml01587:0c7?xu6j;n1<7=t=474>;?>h0:m95rs0`1b?6=;r7>9:46c:?5`2<6lj164;l51`68yv7e;90;6>u25459=g=:>m=1=il4=94`>4g33ty:n>?50;1x903020k01;j8:0fb?8>1l3;j86s|1c11>5<4s4?>;7l8;<4g3?7a9273:h4>a59~w4d4;3:1?v3:568a2>;1l>0:j=5287d95d2101=j<16:i951dd89=1728k?7p}>b2794?5|54cb342<=7?n4:p5g512908w0;:7;`0?80c?3;nh6377382e1=z{8h8;7>53z?612eb9><25=9h>0q~?m3983>6}:=<=1n<526e595`d<51=?6{t9k9j6=4<{<763?g334025639d682`<=:0>=1=l:4}r3a7f<72:q698654308903e28k?706?f;da?xu6j:n1<7=t=47:>14534?>o7?n4:?;4c9>63:5e82e1=:09l1jk5rs0`0b?6=:r7>9o4;239>=nk1v?528`:9ba=z{8h?=7>52z?61a<3:;164l65fg9~w4d3:3:1?v3:5d8767=:=1213ty:n9=50;0x903a2=89706n8;672>{t9k>?6=4;{<754?7f<27>:o4;239>227=<=?01;j8:566?xu6j=?1<7:t=443>14534?j97:;5:?54g<3<<165i;52`;8yv7ef43=9h>0q~?m4683>1}:=?;18?<4=451>12234?o47:;5:?a54<6i=1v;0:m95257a9074<51:m6<>:;|q2f1?=838p188=:501?8>f03;;96s|1c6b>5<4s4?=?7?n4:?62a<3:;164=h51118yv7e<;|q2f1e=839p188;:0c7?831m3>9>6370g8244=z{8h?h7>52z?621<3:;164l651138yv7e344g334?<=7:=2:?534;2i<0m;6390c8e3>{t9k?96=4;{<753?7f<27>:44;239>26c=n016:l:5f89~w4d2;3:1?v3:668767=:=>81j4525e:9b<=z{8h>87>54z?62=<6i=169;o54308935b2o=01;o;:g58yv7e=<0;6>u257:9074<5<=96k94=4f;>c113d=9h>01:=k:566?81f;3>?963n898767=:jmh1=l:4}r3a12<72>70980;671>;e?h0?>?52bef95d21d3=9m:015k8:501?xu6j<31<74eb342n47:=2:p5g3f2909w0;n5;3``>;?m00?>?5rs0`6f?6=:r7>m84>cb9><`g=<;80q~?m5b83>7}:=h?1=no4=9ga>1453ty:n8j50;0x90g228i2706jc;616>{t9k?n6=4={<7b1?7d0273ii4;239~w4d2n3:1>v3:a482g2=:0lo18?<4}r3a25<72;q69l;51b489=ca2=897p}>b7394?4|5652z?6e0<6k:164k<54308yv7e>=0;6?u25`795f4<51l869<=;|q2f33=838p18o::0a2?8>a<3>9>6s|1c45>5<5s4?j97?k8:?;b0<3:;1v1d3=9m<015h8:501?xu6j?31<74b2342m47:=2:p5g0f2909w0;n5;3g0>;?n00?>?5rs0`5f?6=:r7>m84>d29>7}:=h?1=i<4=9da>1453ty:n;j50;0x90g228n:706ic;616>{t9kv3:a482g5=:0oo18?<4}r3a35<72;q6:=l51e289=ea2=897p}>b6394?4|5?:i652z?54g<6kj164i<54308yv7e?=0;6?u261`95fg<51n869<=;|q2f23=838p1;>m:0a:?8>c<3>9>6s|1c55>5<5s4<;n7?l8:?;`0<3:;1v25d=9j<015j8:501?xu6j>31<74e2342o47:=2:p5g1f2909w08?b;3`0>;?l00?>?5rs0`4f?6=:r7=c29>7}:>9h1=n<4=9fa>1453ty:n:j50;0x936e28i:706kc;616>{t9k=n6=4={<43f?7c0273hi4;239~w4d0n3:1>v390c82`2=:0mo18?<4}r3a<5<72;q6:=l51e489=ba2=897p}>b9394?4|5?:i652z?54g<6l:164h<54308yv7e0=0;6?u261`95a4<51o869<=;|q2f=3=838p1;>m:0f2?8>b<3>9>6s|1c:5>5<5s4<;n7?lb:?;a0<3:;1v227=9m:01597:501?xu6j131<74eb342<57:=2:p5g>f2909w0881;3``>;??h0?>?5rs0`;f?6=:r7=;<4>cb9><2d=<;80q~?m8b83>7}:>>;1=no4=95`>1453ty:n5j50;0x931628i27068d;616>{t9k2n6=4={<445?7d0273;h4;239~w4d?n3:1>v397082g2=:0>l18?<4}r3a=5<72;q6::?51b489=>72=897p}>b8394?4|5?=:652z?534<6k:1645=54308yv7e1=0;6?u266395f4<512?69<=;|q2f<3=838p1;9>:0a2?8>?=3>9>6s|1c;5>5<5s4<<=7?k8:?;<3<3:;1v227=9m<01567:501?xu6j031<74b2342357:=2:p5g?f2909w0881;3g0>;?0h0?>?5rs0`:f?6=:r7=;<4>d29><=d=<;80q~?m9b83>7}:>>;1=i<4=9:`>1453ty:n4j50;0x931628n:7067d;616>{t9k3n6=4={<445?7dj2734h4;239~w4d>n3:1>v397082g5=:01l18?<4}r3ae5<72;q6:i951e289=2?2=897p}>b`394?4|5?n<652z?5`2<6kj1649l54308yv7ei=0;6?u26e595fg<51>h69<=;|q2fd3=838p1;j8:0a:?8>3l3>9>6s|1cc5>5<5s40:o:5285d90742a1=9j<015;?:501?xu6jh31<74e2342>=7:=2:p5ggf2909w08k7;3`0>;?=;0?>?5rs0`bf?6=:r7=h:4>c29><05=<;80q~?mab83>7}:>m=1=n<4=977>1453ty:nlj50;0x93b028i:706:5;616>{t9kkn6=4={<4g3?7c02739;4;239~w4dfn3:1>v39d682`2=:0<=18?<4}r3af5<72;q6:i951e489=3?2=897p}>bc394?4|5?n<652z?5`2<6l:1648l54308yv7ej=0;6?u26e595a4<51?h69<=;|q2fg3=838p1;j8:0f2?8>2l3>9>6s|1c`5>5<5s40:o=5284d907435g=9m:01lk?:501?xu6jk31<74eb34kn47:=2:p5gdf2909w09?a;3``>;fmh0?>?5rs0`af?6=:r7<cb9>e`0=<;80q~?mbb83>7}:?9k1=no4=`g`>1453ty:noj50;0x926f28i270oje;616>{t9khn6=4={<53e?7d027jj?4;239~w4den3:1>v380`82g2=:io>18?<4}r3ag5<72;q6;=o51b489d`72=897p}>bb394?4|5>:j652z?44d<6k:16mk854308yv7ek=0;6?u271c95f4<5hln69<=;|q2ff3=838p1:>n:0a2?8d783>9>6s|1ca5>5<5s4=;m7?k8:?bbf<3:;1v35g=9m<01o>;:501?xu6jj31<74b234h;47:=2:p5gef2909w09?a;3g0>;e8h0?>?5rs0``f?6=:r7<d29>f50=<;80q~?mcb83>7}:?9k1=i<4=c2f>1453ty:nnj50;0x926f28n:70l>0;616>{t9kin6=4={<53e?7dj27iv380`82g5=:j8>18?<4}r3a`5<72;q6;>j51e289=?72=897p}>be394?4|5>9o652z?47a<6kj1644=54308yv7el=0;6?u272f95fg<513?69<=;|q2fa3=838p1:=k:0a:?8>>=3>9>6s|1cf5>5<5s4=8h7?l8:?;=3<3:;1v36b=9j<01577:501?xu6jm31<74e2342257:=2:p5gbf2909w09;?1h0?>?5rs0`gf?6=:r7c29><7}:?:n1=n<4=9;`>1453ty:nij50;0x925c28i:7066d;616>{t9knn6=4={<50`?7c02735h4;239~w4dcn3:1>v383e82`2=:00l18?<4}r3aa5<72;q6;>j51e489=g72=897p}>bd394?4|5>9o652z?47a<6l:164l=54308yv7em=0;6?u272f95a4<51k?69<=;|q2f`3=838p1:=k:0f2?8>f=3>9>6s|1cg5>5<5s4=8h7?lb:?;e3<3:;1v326=9m:01ll9:501?xu6jl31<74eb34ki47:=2:p5gcf2909w0980;3``>;fj=0?>?5rs0`ff?6=:r7<;=4>cb9>ege=<;80q~?meb83>7}:?>:1=no4=``f>1453ty:nhj50;0x921728i270oma;616>{t9kon6=4={<544?7d027jo=4;239~w4dbn3:1>v387182g2=:ij818?<4}r3ab5<72;q6;:>51b489de12=897p}>bg394?4|5>=;652z?435<6k:16mnm54308yv7en=0;6?u276295f4<5hin69<=;|q2fc3=838p1:9?:0a2?8gdi3>9>6s|1cd5>5<5s4=<<7?k8:?b`7<3:;1v326=9m<01lj?:501?xu6jo31<74b234ko:7:=2:p5g`f2909w0980;3g0>;fl10?>?5rs0`ef?6=:r7<;=4>d29>eae=<;80q~?mfb83>7}:?>:1=i<4=`ff>1453ty:nkj50;0x921728n:70oka;616>{t9kln6=4={<544?7dj27ji?4;239~w4dan3:1>v387182g5=:il>18?<4}r3`45<72;q6;l=51e289=072=897p}>c1394?4|5>k86=:18181f;3;hh637638767=z{8i;?7>52z?4e6<6kj164;=54308yv7d8=0;6?u27`195fg<511=3>9>6s|1b25>5<5s4=j?7?l8:?;23<3:;1v3d5=9j<01587:501?xu6k931<74e2342=57:=2:p5f6f2909w09n3;3`0>;?>h0?>?5rs0a3f?6=:r74>c29><3d=<;80q~?l0b83>7}:?h91=n<4=94`>1453ty:o=j50;0x92g428i:7069d;616>{t9j:n6=4={<5b7?7c0273:h4;239~w4e7n3:1>v38a282`2=:0?l18?<4}r3`55<72;q6;l=51e489=172=897p}>c0394?4|5>k8652z?4e6<6l:164:=54308yv7d9=0;6?u27`195a4<51=?69<=;|q2g43=838p1:o<:0f2?8>0=3>9>6s|1b35>5<5s4=j?7?lb:?;33<3:;1v7;296~;0i:0:o=5286590743a0=9oh015hi:0da?8g?k3>9>6s|1b3:>5<4s4=o:7?i9:?;bc<6n016m5o54308yv7d9h0;6>u27e495c><51lm63;m;637fg82b2=:i0818?<4}r3`5f<72:q6;i851g489=`a28l=70o7e;616>{t9j;o6=4<{<5g2?7a=273jk4>f49>e<2=<;80q~?l1d83>6}:?m<1=k:4=9de>4`334k2:7:=2:p5f7a2908w09k6;3e7>;?no0:j>52a8c9074=4?:2y>3a0=:;9015hi:300?8g>k3>9>6s|1b02>5<4s4=o:7<=2:?;bc<5:;16m4654308yv7d:;0;6>u27e49677<51lm6?<>;389<637fg8165=:ih818?<4}r3`61<72:q6;i8520d89=`a2;;m70o6e;616>{t9j8>6=4<{<5g2?46m273jk4=1d9>ed0=<;80q~?l2783>6}:?m<1><:4=9de>77334kj47:=2:p5f402908w09k6;03=>;?no09<452a`6907454?:2y>3a0=9oo015hi:0df?8gfi3>9>6s|1b0:>5<4s4=o:7?i2:?;bc<6n;16mlm54308yv7d:h0;6?u27e4967b<51:m67n3;m56s|1b0`>5<5s4=o:7<=a:?;4c<6n11v45281d95c1h4?:3y>3a0=:;2015>i:0d5?xu6k;l1<7740342;j7?i5:p5f572909w09k6;012>;?8o0:j95rs0a05?6=:r7<5`=9o90q~?l3383>7}:?m<1>8;4=92e>7443ty:o>=50;0x92b12;??706?f;016>{t9j9?6=4={<5g2?42;273v38d78117=:09l1>?>4}r3`73<72;q6;i8524389=6a2;;m7p}>c2594?4|5>n=6?;?;<:3b?46m2wx=n=7:18181c>38?:6370g8151=z{8i857>52z?4`3<5;k164=h521;8yv7d;h0;6?u27e49666<51:m67n3;m>6s|1b1`>5<5s42;j7<=d:?;e=<6nk1vo528`:95c?<5`=:;k015o7:0d;?xu6k:l1<774>342j47?i7:p5f272909w06?f;01<>;?i10:j;5rs0a75?6=:r73=9o?0q~?l4383>7}:09l1>?84=9c;>4`33ty:o9=50;0x9=6a2;8>706n8;3e7>{t9j>?6=4={<:3b?42=273m54=229~w4e3=3:1>v370g8111=:0h21>?<4}r3`03<72;q64=h524189=g?2;8:7p}>c5594?4|51:m6?;=;<:b7n38>=637a9815c=z{8i?57>52z?;4c<5=9164l6520g8yv7di:31a?8>f038;56s|1b6`>5<5s42;j7<<0:?;e=<6nl1v9528`:95c4;?h6<6j;<:7`?7?m2738h4>8d9><1`=91o015;?:0:f?8>293;3i6375382<`=:0<91=5k4=977>4>b342>97?7e:?;13<60l16489519g89=3?282n706:9;3;a>;?=h0:4h5284`95=c<51?h6<6j;<:6`?7?m2739h4>8d9><0`=91o01597:0:f?8>013;3i6377`82<`=:0>h1=5k4=95`>4>b3427282n70671;3;a>;?0;0:4h5289195=c<512?6<6j;<:;1?7?m2734;4>8d9><=1=91o01567:0:f?8>?13;3i6378`82<`=:01h1=5k4=9:`>4>b3423h7?7e:?;<`<60l1645h519g89c5d94?56s42=<7?7e:?;24<60l164;<519g89=04282n70694;3;a>;?><0:4h5287495=c<51<<6<6j;<:58d9><3g=91o0158m:0:f?8>1k3;3i6376e82<`=:0?o1=5k4=94e>4>b342<<7?7e:?;34<60l164:<519g89=14282n70684;3;a>;??<0:4h5286495=c<51=<6<6j;<::4?7?m2735<4>8d9><<4=91o0157<:0:f?8>><3;3i6379482<`=:00<1=5k4=9;4>4>b342247?7e:?;=<<60l1644o519g89=?e282n7066c;3;a>;?1m0:4h5288g95=c<513m6<6j;<:b4?7?m273m<4>8d9>f<3;3i637a482<`=:0h<1=5k4=9c4>4>b343o87=87:p5f372908=v37cg82<`=:0m:1=5k4=9f2>4>b342o>7?7e:?;`6<60l164i:519g89=b2282n706k6;3;a>;?l>0:4h528e:95=c<51n26<6j;<:ge?7?m273ho4>8d9>cm3;3i637dg82<`=:0l:1=5k4=9g2>4>b342n>7?7e:?;a6<60l164h:519g89=c2282n706j6;3;a>;?m>0:4h528d:95=c<51o26<6j;<:fe?7?m273io4>8d9><`e=91o015kk:0:f?8>bm3;3i637eg82<`=:0o:1=5k4=9d2>4>b342m>7?7e:?;b6<60l164k:519g89=`2282n706i6;3;a>;?n>0:4h528g:95=c<51l26<6j;<:ee?7?m273jo4>8d9>am3;3i636d48032=z{8i>=7>52z?;bc<68816m5854308yv7d=;0;6>u293496g0<508m69=?;<;g3?50?2wx=n;<:1818?5>39<;63n5482e1=z{8i>87>53z?:62<61l165?l518g89<4d2;h<7p}>c4794?5|508<6?l9;<;1f?24827j:84<769~w4e2>3:1>v36268032=:i<;1=l:4}r3`12<72:q65?6518g89<4d283n707=d;0a3>{t9j?36=4<{<;1272>n4;319>e30=;>=0q~?l5883>7}:1;21?:94=`71>4g33ty:o8o50;1x9<4>283n707=d;3:a>;>:l09n:5rs0a6f?6=;r72>44=b79>=7b=<::01l88:254?xu6k61034k>?7?n4:p5f3c2908w07=a;3:a>;>:l0:5h5293d96g1=7g=:k<0145<5s439m7=87:?b11<6i=1v:k094o529`;96dg<50kj6?o6;<;g3?4f127j?;4=a`9>e61=:h301l=7:3c:?8g4138j563n3`81e<=:i:h1>l74=`72>14534k=971v:j094o52a409074<5h<=6?o7;|q2g34=839p149>63n6681e==z{8i=?7>53z?:6`<50k16m8:543089d0?2;k37p}>c7694?5|508m6?6m;<;g3?4f027j984;239~w4e1=3:1?v363182e1=:i>=1=l:4=cf`>1453ty:o;850;0x9<5628k?707k8;143>{t9j<<6=4={<;06?7f<272hl4<769~w4e103:1>v363282e1=:1m31?:94}r3`2<<72;q65>:51`689c7c94?4|509>63;j8636db8032=z{8i=o7>52z?:72<6i=165ik53658yv7d>m0;6?u292:95d2<50o;6>98;|q2g3c=838p14=6:0c7?8?cn39<;6s|1b4e>5<5s438m7?n4:?:a4<4?>1v;k0:m9529d19721=6e=9h>014k=:254?xu6k>81<74g3343n87=87:p5f142909w07;>m?08;:5rs0a40?6=:r72?k4>a59>=`3=;>=0q~?l7483>7}:1=:1=l:4=8g4>6103ty:o:850;0x9<2628k?707j9;143>{t9j=<6=4={<;76?7f<272i54<769~w4e003:1>v364282e1=:1lk1?:94}r3`3<<72;q659:51`689c6c94?4|50>>63;j8636ee8032=z{8i52z?:02<6i=165hh53658yv7d?m0;6?u295:95d2<50on6>98;|q2g2c=838p14:6:0c7?8?a839<;6s|1b5e>5<5s43?m7?n4:?:b7<4?>1v=1e=9h>014h<:254?xu6k181<74g3343m97=87:p5f>42909w07;e;3b0>;>n=08;:5rs0a;0?6=:r728k4>a59>=c0=;>=0q~?l8483>7}:1<:1=l:4=8d;>6103ty:o5850;0x9<3628k?707i7;143>{t9j2<6=4={<;66?7f<272j44<769~w4e?03:1>v365282e1=:1oh1?:94}r3`<<<72;q658:51`689<`f2:=<7p}>c9c94?4|50?>63;j8636fd8032=z{8i3o7>52z?:12<6i=165kj53658yv7d0m0;6?u294:95d2<50lm6>98;|q2g=c=838p14;6:0c7?8g7939<;6s|1b:e>5<5s43>m7?n4:?b45<4?>1v=k0:m952a109721=0e=9h>01l>;:254?xu6k081<74g334k;?7=87:p5f?42909w07:e;3b0>;f8<08;:5rs0a:0?6=:r729k4>a59>e51=;>=0q~?l9483>7}:1?:1=l:4=`25>6103ty:o4850;0x9<0628k?70o?8;143>{t9j3<6=4={<;56?7f<27j<44<769~w4e>03:1>v366282e1=:i9h1?:94}r3`=<<72;q65;:51`689d6f2:=<7p}>c8c94?4|50<>63;j863n0d8032=z{8i2o7>52z?:22<6i=16m=j53658yv7d1m0;6?u297:95d2<5h:m6>98;|q2g5<5s43=m7?n4:?b55<4?>1v>k0:m952a009721=3e=9h>01l?;:254?xu6kh81<74g334k:?7=87:p5fg42909w079e;3b0>;f9<08;:5rs0ab0?6=:r72:k4>a59>e41=;>=0q~?la483>7}:1>:1=l:4=`35>6103ty:ol850;0x9<1628k?70o>8;143>{t9jk<6=4={<;46?7f<27j=l4<769~w4ef03:1>v367282e1=:i831?:94}r3`e<<72;q65::51`689d7e2:=<7p}>c`c94?4|50=>63;j863n1b8032=z{8ijo7>52z?:32<6i=16m98;|q2gdc=838p1496:0c7?8g6n39<;6s|1bce>5<5s431v?k0:m952a319721=2e=9h>01l<=:254?xu6kk81<74g334k987=87:p5fd42909w078e;3b0>;f:?08;:5rs0aa0?6=:r72;k4>a59>e73=;>=0q~?lb483>7}:11:1=l:4=`04>6103ty:oo850;0x9<>628k?70o=9;143>{t9jh<6=4={<;;6?7f<27j>54<769~w4ee03:1>v368282e1=:i;k1?:94}r3`f<<72;q655:51`689d4d2:=<7p}>ccc94?4|502>63;j863n2e8032=z{8iio7>52z?:<2<6i=16m?h53658yv7djm0;6?u299:95d2<5h8n6>98;|q2ggc=838p1466:0c7?8g4839<;6s|1b`e>5<5s433m7?n4:?b77<4?>1v0k0:m952a239721==e=9h>01l=<:254?xu6kj81<74g334k897=87:p5fe42909w077e;3b0>;f;=08;:5rs0a`0?6=:r724k4>a59>e60=;>=0q~?lc483>6}:10:1=4k4=8;6>4?b3432:7;>1<0??=52a2`9721=<6=;>=01l;9:0c7?xu6kj21<7=t=8;2>4?b3432:7?6e:?:=2<5j>1v1809n;529849066<5h9j6>98;|q2gfg=838p147>:254?8g2?3;j86s|1baa>5<4s432>7?6e:?:=2<61l1654652c58yv7dkj0;6>u298096g0<503<69=?;:39<;63n5982e1=z{8ihi7>53z?:=6<61l16546518g892;h<7p}>cbd94?5|50386?l9;<;:v36928032=:i<31=l:4}r3``4<72:q654:518g89283n7076a;143>{t9jn96=4<{<;:0?4e>272544;319>e61=;>=0q~?ld283>7}:10>1?:94=`7b>4g33ty:oi:50;6x9;f;k09m552a449074=<0=:1h014o9:3c:?8g4i38j463n568767=z{8io:7>54z?:=2<50k165l852`c89d5>2;k370o:8;616>{t9jn<6=4;{<;:e6>=:h201l;6:501?xu6km21<7:t=8;:>7>e343j:798;|q2gag=83>p147m:3c:?8?f:38j5636a281e<=:jmo18?<4}r3``g<72;q654l52`c89g1?2=897p}>cea94?5|503n6?o6;v36a`81ed=:i>=18?<4}r3```<72:q65om52`;89d222=8970o9e;0be>{t9jnm6=4;{<;`3?4f027j:<4=a99>e3g=<;801l8k:3c;?xu6kl:1<7=t=8ag>7g>34k?m7:=2:?b2c<5ih1vkl09m5529bd96dg<50n;6?o6;52z?:`1<5i016n<854308yv7dm=0;6;?t=8f5>61034h:;7?7e:?a5=<60l16n<7519g89g7f282n70l>b;3;a>;e9j0:4h52b0f95=c<5k;n6<6j;<`2b?7?m27i>=4>8d9>f77=91o01o<=:0:f?8d5;3;3i63m2582<`=:j;?1=5k4=c05>4>b34h9;7?7e:?a6=<60l16n?7519g89g4f282n70l=b;3;a>;e:j0:4h52b3f95=c<5k8n6<6j;<`1b?7?m27i?=4>8d9>f67=91o01o==:0:f?8d4;3;3i63m3582<`=:j:?1=5k4=c15>4>b34h8;7?7e:?a7=<60l16n>7519g89g5f282n70l;e;j0:4h52b2f95=c<5k9n6<6j;<`0b?7?m27i8=4>8d9>f17=91o01o:=:0:f?8d3;3;3i63m4582<`=:j=?1=5k4=c65>4>b34h?;7?7e:?a0=<60l16n97519g89g2f282n70l;b;3;a>;en6<6j;<`7b?7?m27i9=4>8d9>f07=91o01o;=:0:f?8d2;3;3i63m5582<`=:j4>b34h>;7?7e:?a1=<60l16n87519g89g3f282n70l:b;3;a>;e=j0:4h52b4f95=c<5k?n6<6j;<`6b?7?m27i:=4>8d9>f37=91o01o8=:0:f?8d1;3;3i63m6582<`=:j??1=5k4=c45>4>b34h=;7?7e:?a2=<60l16n;7519g89g0f282n70l9b;3;a>;e>j0:4h52b7f95=c<5k8d9>f27=91o01o9=:0:f?8d0;3;3i63m7582<`=:j>?1=5k4=c55>4>b3ty:oh;50;0x9d2228k?70o9e;143>{t9jo=6=4={v3n6882e1=:i>:1?:94}r3`a=<72;q6m;o51`689d0c2:=<7p}>cd;94?4|5h52z?b<6<3:;16m5:51`68yv7dmj0;6?u2a979074<5h2=65<5s4k357:=2:?b?52a9a95d2e=b=<;801l6j:0c7?xu6ko;1<714534k2<7?n4:p5f`52909w0o61;616>;f1;0:m95rs0ae7?6=:r7j5>4;239>e<2=9h>0q~?lf583>7}:i0?18?<4=`;5>4g33ty:ok;50;0x9d?02=8970o68;3b0>{t9jl=6=4={a59~w4ea?3:1>v3n9c8767=:i0i1=l:4}r3`b=<72;q6m4j543089d?b28k?7p}>cg;94?4|5h3m69<=;9>63na382e1=z{8imn7>52z?be6<3:;16ml:51`68yv7dnj0;6?u2a`79074<5hk=65<5s4kj57:=2:?bed<6i=1v?52a`a95d2edb=<;801loj:0c7?xu6l9;1<714534ki<7?n4:p5a652909w0om1;616>;fj;0:m95rs0f37?6=:r7jn>4;239>eg2=9h>0q~?k0583>7}:ik?18?<4=``5>4g33ty:h=;50;0x9dd02=8970om8;3b0>{t9m:=6=4={a59~w4b7?3:1>v3nbc8767=:iki1=l:4}r3g4=<72;q6moj543089ddb28k?7p}>d1;94?4|5hhm69<=;n:1818gd93>9>63nc382e1=z{8n;n7>52z?bg6<3:;16mn:51`68yv7c8j0;6?u2ab79074<5hi=65<5s4kh57:=2:?bgd<6i=1v?52aba95d2efb=<;801lmj:0c7?xu6l8;1<714534ko<7?n4:p5a752909w0ok1;616>;fl;0:m95rs0f27?6=:r7jh>4;239>ea2=9h>0q~?k1583>7}:im?18?<4=`f5>4g33ty:h<;50;0x9db02=8970ok8;3b0>{t9m;=6=4={a59~w4b6?3:1>v3ndc8767=:imi1=l:4}r3g5=<72;q6mij543089dbb28k?7p}>d0;94?4|5hnm69<=;9>63ne382e1=z{8n:n7>52z?ba6<3:;16mh:51`68yv7c9j0;6?u2ad79074<5ho=65<5s4kn57:=2:?bad<6i=1vf;296~;fmk0?>?52ada95d2=4?:3y>e`b=<;801lkj:0c7?xu6l;;1<714534km<7?n4:p5a452909w0oi1;616>;fn;0:m95rs0f17?6=:r7jj>4;239>ec2=9h>0q~?k2583>7}:io?18?<4=`d5>4g33ty:h?;50;0x9d`02=8970oi8;3b0>{t9m8=6=4={a59~w4b5?3:1>v3nfc8767=:ioi1=l:4}r3g6=<72;q6mkj543089d`b28k?7p}>d3;94?4|5hlm69<=;<`34?7f<2wx=i9>63m0382e1=z{8n9n7>52z?a46<3:;16n=:51`68yv7c:j0;6?u2b179074<5k:=68:501?8d703;j86s|1e0f>5<5s4h;57:=2:?a4d<6i=1v?52b1a95d2f5b=<;801o>j:0c7?xu6l:;1<714534h:<7?n4:p5a552909w0l>1;616>;e9;0:m95rs0f07?6=:r7i=>4;239>f42=9h>0q~?k3583>7}:j8?18?<4=c35>4g33ty:h>;50;0x9g702=8970l>8;3b0>{t9m9=6=4={<`2a59~w4b4?3:1>v3m188767=:j8k1=l:4}r3g7=<72;q6nd2;94?4|5k;i69<=;<`2g?7f<2wx=i=n:1818d6k3>9>63m8482e1=z{8n8n7>52z?a5a<3:;16n5<5s4h9<7:=2:?a<6<6i=1v?52b3095d2f74=<;801o6>:0c7?xu6l=;1<714534h987?n4:p5a252909w0l=4;616>;e0>0:m95rs0f77?6=:r7i>84;239>f70=9h>0q~?k4583>7}:j;<18?<4=c:e>4g33ty:h9;50;0x9g402=8970l=8;3b0>{t9m>=6=4={<`1a59~w4b3?3:1>v3m288767=:j;k1=l:4}r3g0=<72;q6n?o543089g>c28k?7p}>d5;94?4|5k8i69<=;<`1g?7f<2wx=i:n:1818d5k3>9>63m8c82e1=z{8n?n7>52z?a6a<3:;16n?k51`68yv7c5<5s4h8<7:=2:?a=<<6i=1v?52b2095d2f64=<;801o7::0c7?xu6l<;1<714534h887?n4:p5a352909w0l<4;616>;e180:m95rs0f67?6=:r7i?84;239>f60=9h>0q~?k5583>7}:j:<18?<4=c;g>4g33ty:h8;50;0x9g502=8970l<8;3b0>{t9m?=6=4={<`0a59~w4b2?3:1>v3m388767=:j:k1=l:4}r3g1=<72;q6n>o543089g?028k?7p}>d4;94?4|5k9i69<=;<`0g?7f<2wx=i;n:1818d4k3>9>63ma482e1=z{8n>n7>52z?a7a<3:;16n>k51`68yv7c=j0;6?u2b2g9074<5k3m65<5s4h?<7:=2:?ae6<6i=1v?52b5095d2f14=<;801oo>:0c7?xu6l?;1<714534h?87?n4:p5a052909w0l;4;616>;ei00:m95rs0f57?6=:r7i884;239>f10=9h>0q~?k6583>7}:j=<18?<4=cce>4g33ty:h;;50;0x9g202=8970l;8;3b0>{t9m<=6=4={<`7a59~w4b1?3:1>v3m488767=:j=k1=l:4}r3g2=<72;q6n9o543089gg028k?7p}>d7;94?4|5k>i69<=;<`7g?7f<2wx=i8n:1818d3k3>9>63mb282e1=z{8n=n7>52z?a0a<3:;16n9k51`68yv7c>j0;6?u2b5g9074<5kh:65<5s4h><7:=2:?aea<6i=1v?52b4095d2f04=<;801olm:0c7?xu6l>;1<714534h>87?n4:p5a152909w0l:4;616>;ej<0:m95rs0f47?6=:r7i984;239>f00=9h>0q~?k7583>7}:j<<18?<4=c`:>4g33ty:h:;50;0x9g302=8970l:8;3b0>{t9m==6=4={<`6a59~w4b0?3:1>v3m588767=:jd6;94?4|5k?i69<=;<`6g?7f<2wx=i9n:1818d2k3>9>63mc482e1=z{8n52z?a1a<3:;16n8k51`68yv7c?j0;6?u2b4g9074<5ki:65<5s4h=<7:=2:?afa<6i=1v80?>?52b7095d2f34=<;801om6:0c7?xu6l1;1<714534h=87?n4:p5a>52909w0l94;616>;ek>0:m95rs0f;7?6=:r7i:84;239>f30=9h>0q~?k8583>7}:j?<18?<4=ca0>4g33ty:h5;50;0x9g002=8970l98;3b0>{t9m2=6=4={<`5a59~w4b??3:1>v3m688767=:j?k1=l:4}r3g<=<72;q6n;o543089gee28k?7p}>d9;94?4|5k9>63mcg82e1=z{8n3n7>52z?a2a<3:;16n;k51`68yv7c0j0;6?u2b7g9074<5kio65<5s4h<<7:=2:?a`6<6i=1v?52b6095d2f24=<;801oj6:0c7?xu6l0;1<714534h<87?n4:p5a?52909w0l84;616>;el<0:m95rs0f:7?6=:r7i;84;239>f20=9h>0q~?k9583>7}:j><18?<4=cf4>4g33ty:h4;50;0x9g102=8970l88;3b0>{t9m3=6=4={<`4=?25:27i;l4>a59~w4b>?3:1>v3m7c8767=:j>i1=l:4}r3g==<72;q6n:j543089g1b28k?7p}>d8;94?4|5k=m69<=;<`;4?7f<2wx=i7n:1818d?93>9>63m8382e1=z{8n2n7>52z?a<6<3:;16n5:51`68yv7c1j0;6?u2b979074<5k2=65<5s4h357:=2:?a?52b9a95d2f=b=<;801o6j:0c7?xu6lh;1<714534h2<7?n4:p5ag52909w0l61;616>;e1;0:m95rs0fb7?6=:r7i5>4;239>f<2=9h>0q~?ka583>7}:j0?18?<4=c;5>4g33ty:hl;50;0x9g?02=8970l68;3b0>{t9mk=6=4={<`:=?25:27i5l4>a59~w4bf?3:1>v3m9c8767=:j0i1=l:4}r3ge=<72;q6n4j543089g?b28k?7p}>d`;94?4|5k3m69<=;<`b4?7f<2wx=ion:1818df93>9>63ma382e1=z{8njn7>52z?ae6<3:;16nl:51`68yv7cij0;6?u2b`79074<5kk=65<5s4hj57:=2:?aed<6i=1v?52b`a95d2fdb=<;801ooj:0c7?xu6lk;1<714534hi<7?n4:p5ad52909w0lm1;616>;ej;0:m95rs0fa7?6=:r7in>4;239>fg2=9h>0q~?kb583>7}:jk?18?<4=c`5>4g33ty:ho;50;0x9gd02=8970lm8;3b0>{t9mh=6=4={<`a=?25:27inl4>a59~w4be?3:1>v3mbc8767=:jki1=l:4}r3gf=<72;q6noj543089gdb28k?7p}>dc;94?4|5khm69<=;<``4?7f<2wx=iln:1818dd93>9>63mc382e1=z{8nin7>52z?ag6<3:;16nn:51`68yv7cjj0;6?u2bb79074<5ki=65<5s4hh57:=2:?agd<6i=1v?52bba95d2ffb=<;801omj:0c7?xu6lj;1<714534ho<7?n4:p5ae52909w0lk1;616>;el;0:m95rs0f`7?6=:r7ih>4;239>fa2=9h>0q~?kc583>7}:jm?18?<4=cf5>4g33ty:hn;50;0x9gb02=8970lk8;3b0>{t9mi=6=4={<`g=?25:27ihl4>a59~w4bd?3:1>v3mdc8767=:jmi1=l:4}r3gg=<72;q6nij543089gbb28k?7ps|1742>5<5sW;=:<5244g953063->8o7m531d8yv71=j0;6?uQ177`?822m3;=9n5+42a974652z\`4f=:<6303tyhk;%60g?5082wxo=>50;0xZgcb34>>i7lje:&77f<4?81vohj:181[dbl27?9h4mee9'06e=:j30q~lid;296~Xemj1688k5bda8 15d2;ij7p}mfb83>7}Yjlh019;j:cga?!24k38hn6s|bg`94?4|Vkoj70::e;`fe>"3;j09on5rscdb>5<5sWhn563;5d8aa<=#<:i1>nj4}r`e=?6=:rTii55244g9f`><,=9h6?mj;|qab=<72;qUnh94=57f>gc03->8o72.??n4=d19~wf6?2909wSli5:?71`m52e48yve7?3:1>vPmf59>00c=jo>0(9=l:3g2?xud8?0;6?uQbg18913b2kl87):{tk9?1<76*;3b81b==z{j:?6=4={_`e5>;3=l0ij<5+42a975552z\ab5=:<4$51`>6603tyh>i7lj6:&77f<4801voh8:181[db=27?9h4me49'06e=;9k0q~?96183>7}Y9?<;70::e;3525=#<:i1?=l4}r351c<72;qU=;;i;<66a?71=o1/8>m531a8yve7m3:1>vPl0d9>00c=k9o0(9=l:22f?xudno0;6?uQc2:8913b2j937):{tkon1<7;3=l0h?;5+42a96a552z\`70=:<;4$51`>7b33tyhj44?:3y]g65<5=?n6n=<;%60g?4c=2wxok650;0xZf5534>>i7m<2:&77f<5l>1vnh8:181[e4927?9h4l309'06e=:m20q~mi6;296~Xd;91688k5c228 15d2;n27p}lf483>7}Yk;l019;j:b0e?!24k38om6s|cg694?4|Vj8n70::e;a1a>"3;j09ho5rsbd0>5<5sWi9h63;5d8`6a=#<:i1>im4}rae6?6=:rTh>n5244g9g7e<,=9h6?jk;|q`b4<72;qUo?l4=57f>f4e3->8o7m52d28yvb7>3:1>vPl3d9>00c=k:o0(9=l:3g1?xuc8<0;6?uQc2f8913b2j9o7):{tl9>1<7;3=l0h?o5+42a96`37>52z\`7d=:<o4$51`>7c13tyo<<4?:3y]g6?<5=?n6n=6;%60g?4b?2wxh=>50;0xZf5334>>i7m<4:&77f<5m11vnhn:181[e5127?9h4l289'06e=:l30q~mjf;296~Xd:11688k5c3:8 15d2;oj7p}k3183>7}Yl82019;j:e3;?!24k38nn6s|d3g94?4|Vm;<70::e;f23>"3;j09ih5rse0g>5<5sWn::63;5d8g53=#<:i1>hh4}rf1g?6=:rTo=85244g9`43<,=9h6?h?;|qg6d<72;qUh<=4=57f>a743->8o71:?71`m52g18yvb5?3:1>vPk119>00c=l8:0(9=l:3d7?xuc:?0;6?uQd1d8913b2m:m7):{tl;?1<7;3=l0o52z\g4f=:<7`>3tyo>?4?:3y]`5d<5=?n6i>m;%60g?4ai2wxh??50;0xZa6f34>>i7j?a:&77f<5nk1vi=7:181[b6n27?9h4k1g9'06e=:oi0q~j<7;296~Xc9l1688k5d0g8 15d2;lo7p}k3783>7}Yl8n019;j:e3g?!24k38mi6s|d2794?4|Vm;h70::e;f2g>"3;j09jk5rse17>5<5sWn:n63;5d8g5g=#<:i1?=>4}rf07?6=:rTo=l5244g9`4g<,=9h6>>>;|qg77<72;qUh<74=57f>a7>3->8o7=?2:p`67=838pRi?;;<66a?b6<2.??n4<059~wa4e2909wSj?9:?71`m53178yvb583:1>vPk099>00c=l920(9=l:225?xuak90;6?uQe538913b2l>:7):{tnko1<7;3=l0n?k5+42a974552z\f7`=:<k4$51`>6733tymno4?:3y]a6b<5=?n6h=k;%60g?56=2wxjoo50;0xZ`5d34>>i7k7}Ym:2019;j:d1;?!24k39:56s|fc794?4|Vl9<70::e;g03>"3;j08=l5rsg`7>5<5sWo8:63;5d8f73=#<:i1??l;|qef7<72;qUi>:4=57f>`533->8o7=>d:pbg7=838pRh=<;<66a?c4;2.??n4<1d9~wcd72909wSk<2:?71`m530d8yv`fn3:1>vPj309>00c=m:;0(9=l:203?xuail0;6?uQe228913b2l9;7):{tnhi1<7;3=l0n>i5+42a977552z\f6f=:<6433tymm44?:3y]a7d<5=?n6h>i7k=a:&77f<4:?1vko8:181[c5127?9h4j289'06e=;;=0q~hn6;296~Xb:11688k5e3:8 15d2:837p}ia483>7}Ym;=019;j:d04?!24k39956s|f`694?4|Vl8=70::e;g12>"3;j08>l5rsgc0>5<5sWo9963;5d8f60=#<:i1??l4}rdb5?6=:rTn>>5244g9a75<,=9h6>`453->8o7==d:pb<`=838pRh<>;<66a?c592.??n4<2d9~wc?b2909wSk=0:?71`m533d8yv`>l3:1>vPj1g9>00c=m8l0(9=l:213?xua1j0;6?uQe0g8913b2l;n7):{tn0h1<7;3=l0n=n5+42a976552z\f5g=:<6533tym554?:3y]a4g<5=?n6h?n;%60g?54=2wxjn850;0xZ`2134>>i7k;6:&77f<4;?1vkm::181[c3=27?9h4j449'06e=;:=0q~hl4;296~Xb<=1688k5e568 15d2:937p}ic283>7}Ym=9019;j:d60?!24k39856s|fb094?4|Vl>970::e;g76>"3;j08?l5rsga2>5<5sWo8m63;5d8f7d=#<:i1?>l4}rdak5244g9a7`<,=9h6>=l;|qeea<72;qUi?:4=57f>`433->8o7=8:?71`m532d8yv779o0;6?uQfg28913b2ol;7):{t99;o6=4={_dfb>;3=l0mik5+42a97177}Ynli019;j:gg`?!24k39?86s|113:>5<5sWlnn63;5d8eag=#<:i1?9;4}r335=<72;qUjho4=57f>ccf3->8o7=;6:p55712909wShj8:?71`m53558yv779<0;6?uQfd58913b2oo<7):{t99;?6=4={_df2>;3=l0mi;5+42a971?4?:3y]b`3<5=?n6kk:;%60g?53i2wx==?=:181[`b<27?9h4ie59'06e=;=h0q~??1083>7}Ynl9019;j:gg0?!24k39?o6s|1133>5<5sWln>63;5d8ea7=#<:i1?9j4}r334c<72;qUjh?4=57f>cc63->8o7=;e:p556b2909wShj0:?71`m535d8yv778m0;6?uQfed8913b2onm7):{t99:i6=4={_dg`>;3=l0mhi5+42a97076:181[`cj27?9h4idc9'06e=;<90q~??0983>7}Ynmk019;j:gfb?!24k39>86s|1124>5<5sWlo563;5d8e`<=#<:i1?8;4}r3343<72;qUji64=57f>cb?3->8o7=:6:p55622909wShk7:?71`1/8>m534:8yv778=0;6?uQfe48913b2on=7):{t99:86=4={_dg1>;3=l0mh85+42a970g?:181[`c:27?9h4id39'06e=;7}Ynm:019;j:gf3?!24k39>i6s|fgf94?4|Voim70::e;d`b>"3;j089k5rsgd`>5<5sWlhi63;5d8eg`=#<:i1?;>4}rdef?6=:rTmoi5244g9bfb<,=9h6>8>;|qebd<72;qUjnm4=57f>ced3->8o7=92:pbc?=838pRkmm;<66a?`dj2.??n4<629~wc`?2909wShla:?71`m53768yv`a?3:1>vPic89>00c=nj30(9=l:246?xu68;?1<752z\eb1=:<6003ty:>i7hi3:&77f<4>11v<>=2;296~Xan;1688k5fg08 15d2:<27p}>03394?4|Vol:70::e;de5>"3;j08:l5rs0214?6=:rTmi45244g9b`?<,=9h6>8m;|q2441=838pRkjj;<66a?`cm2.??n4<6b9~w467k3:1>vPid29>00c=nm90(9=l:24g?xu689;1<7;3=l0mo:5+42a973`oim50;0xL15c3td>oij50;30M24l2we9njj:1827~N3;m1vb8mkf;297~N3;m1vb8mj0;296~N3;m1vb8mj1;296~N3;m1vb8mj2;296~N3;m1vb8mj3;296~N3;m1vb8mj4;296~N3;m1vb8mj5;296~N3;m1vb8mj6;296~N3;m1vb8mj7;296~N3;m1vb8mj8;296~N3;m1vb8mj9;296~N3;m1vb8mja;296~N3;m1vb8mjb;296~N3;m1vb8mjc;296~N3;m1vb8mjd;296~N3;m1vb8mje;296~N3;m1vb8mjf;296~N3;m1vb8mi0;296~N3;m1vb8mi1;296~N3;m1vb8mi2;296~N3;m1vb8mi3;296~N3;m1vb8mi4;296~N3;m1vb8mi5;296~N3;m1vb8mi6;296~N3;m1vb8mi7;297~N3;m1vb8mi8;297~N3;m1vb8mi9;297~N3;m1vb8mia;297~N3;m1vb8mib;297~N3;m1vb8mic;297~N3;m1vb8mid;297~N3;m1vb8mie;297~N3;m1vb8mif;297~N3;m1vb8j?0;297~N3;m1vb8j?1;297~N3;m1vb8j?2;297~N3;m1vb8j?3;297~N3;m1vb8j?4;297~N3;m1vb8j?5;297~N3;m1vb8j?6;297~N3;m1vb8j?7;297~N3;m1vb8j?8;297~N3;m1vb8j?9;297~N3;m1vb8j?a;297~N3;m1vb8j?b;297~N3;m1vb8j?c;297~N3;m1vb8j?d;297~N3;m1vb8j?e;297~N3;m1vb8j?f;297~N3;m1vb8j>0;297~N3;m1vb8j>1;297~N3;m1vb8j>2;297~N3;m1vb8j>3;297~N3;m1vb8j>4;297~N3;m1vb8j>5;297~N3;m1vb8j>6;297~N3;m1vb8j>7;297~N3;m1vb8j>8;297~N3;m1vb8j>9;297~N3;m1vb8j>a;297~N3;m1vb8j>b;297~N3;m1vb8j>c;297~N3;m1vb8j>d;297~N3;m1vb8j>e;297~N3;m1vb8j>f;297~N3;m1vb8j=0;297~N3;m1vb8j=1;297~N3;m1vb8j=2;297~N3;m1vb8j=3;297~N3;m1vb8j=4;297~N3;m1vb8j=5;297~N3;m1vb8j=6;297~N3;m1vb8j=7;296~N3;m1vb8j=8;296~N3;m1vb8j=9;296~N3;m1vb8j=a;296~N3;m1vb8j=b;296~N3;m1vb8j=c;296~N3;m1vb8j=d;296~N3;m1vb8j=e;296~N3;m1vb8j=f;296~N3;m1vb8j<0;296~N3;m1vb8j<1;296~N3;m1vb8j<2;296~N3;m1vb8j<3;296~N3;m1vb8j<4;296~N3;m1vb8j<5;296~N3;m1vb8j<6;296~N3;m1vb8j<7;296~N3;m1vb8j<8;296~N3;m1vb8j<9;296~N3;m1vb8j0;295~N3;m1vb89>1;295~N3;m1vb89>2;295~N3;m1vb89>3;295~N3;m1vb89>4;295~N3;m1vb89>5;295~N3;m1vb89>6;295~N3;m1vb89>7;295~N3;m1vb89>8;295~N3;m1vb89>9;295~N3;m1vb89>a;295~N3;m1vb89>b;295~N3;m1vb89>c;295~N3;m1vb89>d;295~N3;m1vb89>e;295~N3;m1vb89>f;295~N3;m1vb89=0;295~N3;m1vb89=1;295~N3;m1vb89=2;295~N3;m1vb89=3;295~N3;m1vb89=4;295~N3;m1vb89=5;295~N3;m1vb89=6;295~N3;m1vb89=7;295~N3;m1vb89=8;295~N3;m1vb89=9;295~N3;m1vb89=a;295~N3;m1vb89=b;295~N3;m1vb89=c;295~N3;m1vb89=d;295~N3;m1vb89=e;295~N3;m1vb89=f;295~N3;m1vb89<0;295~N3;m1vb89<1;295~N3;m1vb89<2;295~N3;m1vb89<3;295~N3;m1vb89<4;295~N3;m1vb89<5;295~N3;m1vb89<6;295~N3;m1vb89<7;295~N3;m1vb89<8;295~N3;m1vb89<9;295~N3;m1vb89j4}o74e1<72;qC8>j4}o74e0<728qC?::4H51g?xh2?h<1<71<7?tH51g?xh2?k?1<7?tH51g?xh2?k<1<7?tH51g?xh2?k=1<7?tH51g?xh2?k21<7?tH51g?xh2?k31<7?tH51g?xh2?kk1<7?tH51g?xh2?kh1<7?tH51g?xh2?ki1<7?tH51g?xh2?kn1<7?tH51g?xh2?ko1<7?tH51g?xh2?kl1<7?tH51g?xh2?j:1<7?tH51g?xh2?j;1<7?tH51g?xh2?j81<7?tH51g?xh2?j91<7?tH51g?xh2?j>1<7?tH51g?xh2?j?1<7?tH51g?xh2?j<1<7?tH51g?xh2?j=1<7?tH51g?xh2?j21<7?tH51g?xh2?j31<7?tH51g?xh2?jk1<7?tH51g?xh2?jh1<7?tH51g?xh2?ji1<7?tH51g?xh2?jn1<7?tH51g?xh2?jo1<7?tH51g?xh2?jl1<7?tH51g?xh2?m:1<7?tH51g?xh2?m;1<7?tH51g?xh2?m81<7?tH51g?xh2?m91<7?tH51g?xh2?m>1<7?tH51g?xh2?m?1<7?tH51g?xh2?m<1<7?tH51g?xh2?m=1<7?tH51g?xh2?m21<70;60;60;60;6uG42f8yk3?:<0;6>uG42f8yk3?:?0;60;6uG42f8yk3?:j0;6>uG42f8yk3?:m0;64?h50;3xL15c3td>4>>50;3xL15c3td>4>?50;3xL15c3td>4><50;0xL6133A>8h6sa5910>5<6sA>8h6sa5917>5<6sA>8h6sa5916>5<6sA>8h6sa5915>5<6sA>8h6sa5914>5<6sA>8h6sa591;>5<5sA9<86F;3e9~j0>413:1>vF;3e9~j0>4i3:1>vF;3e9~j0>4j3:1>vF;3e9~j0>4k3:1>vF;3e9~j0>4l3:1>vF;3e9~j0>4m3:1>vF;3e9~j0>4n3:1>vF;3e9~j0>383:1>vF;3e9~j0>393:1>vF;3e9~j0>3:3:1>vF;3e9~j0>3;3:1>vF;3e9~j0>3<3:1>vF;3e9~j0>3=3:1>vF;3e9~j0>3>3:1>vF;3e9~j0>3?3:1>vF;3e9~j0>303:1>vF;3e9~j0>313:1>vF;3e9~j0>3i3:1>vF;3e9~j0>3j3:1?vF;3e9~j0>3k3:1?vF;3e9~j0>3l3:1?vF;3e9~j0>3m3:1?vF;3e9~j0>3n3:1?vF;3e9~j0>283:1?vF;3e9~j0>293:1?vF;3e9~j0>2:3:1?vF;3e9~j0>2;3:1?vF;3e9~j0>2<3:1?vF;3e9~j0>2=3:1?vF;3e9~j0>2>3:1?vF;3e9~j0>2?3:1?vF;3e9~j0>203:1?vF;3e9~j0>213:1?vF;3e9~j0>2i3:1?vF;3e9~j0>2j3:1?vF;3e9~j0>2k3:1?vF;3e9~j0>2l3:1?vF;3e9~j0>2m3:1?vF;3e9~j0>2n3:1?vF;3e9~j0>183:1?vF;3e9~j0>193:1?vF;3e9~j0>1:3:1?vF;3e9~j0>1;3:1>vF;3e9~j0>1<3:1>vF;3e9~j0>1=3:1>vF;3e9~j0>1>3:1>vF;3e9~j0>1?3:1>vF;3e9~j0>103:1>vF;3e9~j0>113:1>vF;3e9~j0>1i3:1>vF;3e9~j0>1j3:1>vF;3e9~j0>1k3:1>vF;3e9~j0>1l3:1>vF;3e9~j0>1m3:1>vF;3e9~j0>1n3:1>vF;3e9~j0>083:1>vF;3e9~j0>093:1>vF;3e9~j0>0:3:1>vF;3e9~j0>0;3:1>vF;3e9~j0>0<3:1>vF;3e9~j0>0=3:1>vF;3e9~j0>0>3:1>vF;3e9~j0>0?3:1>vF;3e9~j0>003:1>vF;3e9~j0>013:1>vF;3e9~j0>0i3:1>vF;3e9~j0>0j3:1=vF;3e9~j0>0k3:1=vF;3e9~j0>0l3:1=vF;3e9~j0>0m3:1=vF;3e9~j0>0n3:1=vF;3e9~j0>?83:1=vF;3e9~j0>?93:1=vF;3e9~j0>?:3:1=vF;3e9~j0>?;3:1=vF;3e9~j0>?<3:1=vF;3e9~j0>?=3:1=vF;3e9~j0>?>3:1=vF;3e9~j0>??3:1=vF;3e9~j0>?03:1=vF;3e9~j0>?13:1=vF;3e9~j0>?i3:1=vF;3e9~j0>?j3:1=vF;3e9~j0>?k3:1=vF;3e9~j0>?l3:1=vF;3e9~j0>?m3:1=vF;3e9~j0>?n3:1=vF;3e9~j0>>83:1=vF;3e9~j0>>93:1=vF;3e9~j0>>:3:1=vF;3e9~j0>>;3:1=vF;3e9~j0>><3:1=vF;3e9~j0>>=3:1=vF;3e9~j0>>>3:1=vF;3e9~j0>>?3:1=vF;3e9~j0>>03:1=vF;3e9~j0>>13:1=vF;3e9~j0>>i3:1=vF;3e9~j0>>j3:1=vF;3e9~j0>>k3:1=vF;3e9~j0>>l3:1=vF;3e9~j0>>m3:1=vF;3e9~j0>>n3:1=vF;3e9~j0>f83:1=vF;3e9~j0>f93:1=vF;3e9~j0>f:3:1=vF;3e9~j0>f;3:1=vF;3e9~j0>f<3:1=vF;3e9~j0>f=3:1=vF;3e9~j0>f>3:1=vF;3e9~j0>f?3:1=vF;3e9~j0>f03:1=vF;3e9~j0>f13:1=vF;3e9~j0>fi3:1=vF;3e9~j0>fj3:1?vF;3e9~j0>fk3:1?vF;3e9~j0>fl3:1?vF;3e9~j0>fm3:1?vF;3e9~j0>fn3:1?vF;3e9~j0>e83:1?vF;3e9~j0>e93:1?vF;3e9~j0>e:3:1?vF;3e9~j0>e;3:1?vF;3e9~j0>e<3:1?vF;3e9~j0>e=3:1?vF;3e9~j0>e>3:1?vF;3e9~j0>e?3:1?vF;3e9~j0>e03:1?vF;3e9~j0>e13:1?vF;3e9~j0>ei3:1?vF;3e9~j0>ej3:1?vF;3e9~j0>ek3:1?vF;3e9~j0>el3:1?vF;3e9~j0>em3:1?vF;3e9~j0>en3:1?vF;3e9~j0>d83:1?vF;3e9~j0>d93:1?vF;3e9~j0>d:3:1?vF;3e9~j0>d;3:1>vF;3e9~j0>d<3:1>vF;3e9~j0>d=3:1>vF;3e9~j0>d>3:1>vF;3e9~j0>d?3:1>vF;3e9~j0>d03:1>vF;3e9~j0>d13:1>vF;3e9~j0>di3:1>vF;3e9~j0>dj3:1>vF;3e9~j0>dk3:1>vF;3e9~j0>dl3:1>vF;3e9~j0>dm3:1>vF;3e9~j0>dn3:1>vF;3e9~j0>c83:1>vF;3e9~j0>c93:1>vF;3e9~j0>c:3:1>vF;3e9~j0>c;3:1>vF;3e9~j0>c<3:1>vF;3e9~j0>c=3:1>vF;3e9~j0>c>3:1>vF;3e9~j0>c?3:1>vF;3e9~j0>c03:1>vF;3e9~j0>c13:1>vF;3e9~j0>ci3:1>vF;3e9~j0>cj3:1=vF;3e9~j0>ck3:1=vF;3e9~j0>cl3:1=vF;3e9~j0>cm3:1=vF;3e9~j0>cn3:1=vF;3e9~j0>b83:1=vF;3e9~j0>b93:1=vF;3e9~j0>b:3:1=vF;3e9~j0>b;3:1=vF;3e9~j0>b<3:1=vF;3e9~j0>b=3:1=vF;3e9~j0>b>3:1=vF;3e9~j0>b?3:1=vF;3e9~j0>b03:1=vF;3e9~j0>b13:1=vF;3e9~j0>bi3:1=vF;3e9~j0>bj3:1=vF;3e9~j0>bk3:1=vF;3e9~j0>bl3:1=vF;3e9~j0>bm3:1=vF;3e9~j0>bn3:1=vF;3e9~j0>a83:1=vF;3e9~j0>a93:1=vF;3e9~j0>a:3:1=vF;3e9~j0>a;3:1=vF;3e9~j0>a<3:1=vF;3e9~j0>a=3:1=vF;3e9~j0>a>3:1=vF;3e9~j0>a?3:1=vF;3e9~j0>a03:1=vF;3e9~j0>a13:1=vF;3e9~j0>ai3:1=vF;3e9~j0>aj3:1=vF;3e9~j0>ak3:1=vF;3e9~j0>al3:1=vF;3e9~j0>am3:1=vF;3e9~j0>an3:1=vF;3e9~j0?783:1=vF;3e9~j0?793:1=vF;3e9~j0?7:3:1=vF;3e9~j0?7;3:1=vF;3e9~j0?7<3:1=vF;3e9~j0?7=3:1=vF;3e9~j0?7>3:1=vF;3e9~j0?7?3:1=vF;3e9~j0?703:1=vF;3e9~j0?713:1=vF;3e9~j0?7i3:1=vF;3e9~j0?7j3:1=vF;3e9~j0?7k3:1=vF;3e9~j0?7l3:1=vF;3e9~j0?7m3:1=vF;3e9~j0?7n3:1=vF;3e9~j0?683:1=vF;3e9~j0?693:1=vF;3e9~j0?6:3:1=vF;3e9~j0?6;3:1=vF;3e9~j0?6<3:1=vF;3e9~j0?6=3:1=vF;3e9~j0?6>3:1=vF;3e9~j0?6?3:1=vF;3e9~j0?603:1=vF;3e9~j0?613:1=vF;3e9~j0?6i3:1=vF;3e9~j0?6j3:1=vF;3e9~j0?6k3:1=vF;3e9~j0?6l3:1=vF;3e9~j0?6m3:1=vF;3e9~j0?6n3:1=vF;3e9~j0?583:1=vF;3e9~j0?593:1=vF;3e9~j0?5:3:1=vF;3e9~j0?5;3:1=vF;3e9~j0?5<3:1=vF;3e9~j0?5=3:1=vF;3e9~j0?5>3:1=vF;3e9~j0?5?3:1=vF;3e9~j0?503:1=vF;3e9~j0?513:1=vF;3e9~j0?5i3:1=vF;3e9~j0?5j3:1=vF;3e9~j0?5k3:1=vF;3e9~j0?5l3:1=vF;3e9~j0?5m3:1=vF;3e9~j0?5n3:1=vF;3e9~j0?483:1=vF;3e9~j0?493:1=vF;3e9~j0?4:3:1=vF;3e9~j0?4;3:1=vF;3e9~j0?4<3:1=vF;3e9~j0?4=3:1=vF;3e9~j0?4>3:1=vF;3e9~j0?4?3:1=vF;3e9~j0?403:1=vF;3e9~j0?413:1=vF;3e9~j0?4i3:1=vF;3e9~j0?4j3:1>vF;3e9~j0?4k3:1>vF;3e9~j0?4l3:1>vF;3e9~j0?4m3:1>vF;3e9~j0?4n3:1>vF;3e9~j0?383:1>vF;3e9~j0?393:1>vF;3e9~j0?3:3:1>vF;3e9~j0?3;3:1>vF;3e9~j0?3<3:1>vF;3e9~j0?3=3:1>vF;3e9~j0?3>3:1>vF;3e9~j0?3?3:1>vF;3e9~j0?303:1>vF;3e9~j0?313:1>vF;3e9~j0?3i3:1>vF;3e9~j0?3j3:1>vF;3e9~j0?3k3:1>vF;3e9~j0?3l3:1>vF;3e9~j0?3m3:1>vF;3e9~j0?3n3:1>vF;3e9~j0?283:1>vF;3e9~j0?293:1>vF;3e9~j0?2:3:1>vF;3e9~j0?2;3:1>vF;3e9~j0?2<3:1>vF;3e9~j0?2=3:1>vF;3e9~j0?2>3:1>vF;3e9~j0?2?3:1>vF;3e9~j0?203:1>vF;3e9~j0?213:1>vF;3e9~j0?2i3:1>vF;3e9~j0?2j3:1>vF;3e9~j0?2k3:1>vF;3e9~j0?2l3:1>vF;3e9~j0?2m3:1>vF;3e9~j0?2n3:1>vF;3e9~j0?183:1>vF;3e9~j0?193:1>vF;3e9~j0?1:3:1>vF;3e9~j0?1;3:1>vF;3e9~j0?1<3:1>vF;3e9~j0?1=3:1>vF;3e9~j0?1>3:1>vF;3e9~j0?1?3:1>vF;3e9~j0?103:1>vF;3e9~j0?113:1>vF;3e9~j0?1i3:1>vF;3e9~j0?1j3:1>vF;3e9~j0?1k3:1>vF;3e9~j0?1l3:1>vF;3e9~j0?1m3:1>vF;3e9~j0?1n3:1>vF;3e9~j0?083:1>vF;3e9~j0?093:1>vF;3e9~j0?0:3:1>vF;3e9~j0?0;3:1>vF;3e9~j0?0<3:1>vF;3e9~j0?0=3:1>vF;3e9~j0?0>3:1>vF;3e9~j0?0?3:1>vF;3e9~j0?003:1>vF;3e9~j0?013:1>vF;3e9~j0?0i3:1>vF;3e9~j0?0j3:1>vF;3e9~j0?0k3:1>vF;3e9~j0?0l3:1=vF;3e9~j0?0m3:1=vF;3e9~j0?0n3:1=vF;3e9~j0??83:1=vF;3e9~j0??93:1=vF;3e9~j0??:3:1=vF;3e9~j0??;3:1=vF;3e9~j0??<3:1=vF;3e9~j0??=3:1=vF;3e9~j0??>3:1=vF;3e9~j0???3:1=vF;3e9~j0??03:1=vF;3e9~j0??13:1=vF;3e9~j0??i3:1=vF;3e9~j0??j3:1=vF;3e9~j0??k3:1=vF;3e9~j0??l3:1=vF;3e9~j0??m3:1=vF;3e9~j0??n3:1=vF;3e9~j0?>83:1=vF;3e9~j0?>93:1=vF;3e9~j0?>:3:1=vF;3e9~j0?>;3:1=vF;3e9~j0?><3:1=vF;3e9~j0?>=3:1=vF;3e9~j0?>>3:1=vF;3e9~j0?>?3:1=vF;3e9~j0?>03:1=vF;3e9~j0?>13:1=vF;3e9~j0?>i3:1=vF;3e9~j0?>j3:1=vF;3e9~j0?>k3:1=vF;3e9~j0?>l3:1=vF;3e9~j0?>m3:1=vF;3e9~j0?>n3:1=vF;3e9~j0?f83:1=vF;3e9~j0?f93:1=vF;3e9~j0?f:3:1=vF;3e9~j0?f;3:1=vF;3e9~j0?f<3:1=vF;3e9~j0?f=3:1=vF;3e9~j0?f>3:1=vF;3e9~j0?f?3:1=vF;3e9~j0?f03:1=vF;3e9~j0?f13:1=vF;3e9~j0?fi3:1=vF;3e9~j0?fj3:1=vF;3e9~j0?fk3:1=vF;3e9~j0?fl3:1>vF;3e9~j0?fm3:1>vF;3e9~j0?fn3:1>vF;3e9~j0?e83:1>vF;3e9~j0?e93:1>vF;3e9~j0?e:3:1>vF;3e9~j0?e;3:1>vF;3e9~j0?e<3:1>vF;3e9~j0?e=3:1>vF;3e9~j0?e>3:1>vF;3e9~j0?e?3:1>vF;3e9~j0?e03:1>vF;3e9~j0?e13:1>vF;3e9~j0?ei3:1>vF;3e9~j0?ej3:1>vF;3e9~j0?ek3:1>vF;3e9~j0?el3:1>vF;3e9~j0?em3:1>vF;3e9~j0?en3:1>vF;3e9~j0?d83:1>vF;3e9~j0?d93:1>vF;3e9~j0?d:3:1>vF;3e9~j0?d;3:1>vF;3e9~j0?d<3:1>vF;3e9~j0?d=3:1>vF;3e9~j0?d>3:1>vF;3e9~j0?d?3:1>vF;3e9~j0?d03:1>vF;3e9~j0?d13:1>vF;3e9~j0?di3:1>vF;3e9~j0?dj3:1>vF;3e9~j0?dk3:1>vF;3e9~j0?dl3:1>vF;3e9~j0?dm3:1>vF;3e9~j0?dn3:1>vF;3e9~j0?c83:1>vF;3e9~j0?c93:1>vF;3e9~j0?c:3:1>vF;3e9~j0?c;3:1>vF;3e9~j0?c<3:1>vF;3e9~j0?c=3:1>vF;3e9~j0?c>3:1>vF;3e9~j0?c?3:1>vF;3e9~j0?c03:1>vF;3e9~j0?c13:1>vF;3e9~j0?ci3:1>vF;3e9~j0?cj3:1>vF;3e9~j0?ck3:1>vF;3e9~j0?cl3:1>vF;3e9~j0?cm3:1>vF;3e9~j0?cn3:1>vF;3e9~j0?b83:1>vF;3e9~j0?b93:1>vF;3e9~j0?b:3:1>vF;3e9~j0?b;3:1>vF;3e9~j0?b<3:1>vF;3e9~j0?b=3:1>vF;3e9~j0?b>3:1>vF;3e9~j0?b?3:1>vF;3e9~j0?b03:1>vF;3e9~j0?b13:1>vF;3e9~j0?bi3:1>vF;3e9~j0?bj3:1>vF;3e9~j0?bk3:1>vF;3e9~j0?bl3:1>vF;3e9~j0?bm3:1>vF;3e9~j0?bn3:1?vF;3e9~j0?a83:1?vF;3e9~j0?a93:1?vF;3e9~j0?a:3:1?vF;3e9~j0?a;3:1?vF;3e9~j0?a<3:1?vF;3e9~j0?a=3:1?vF;3e9~j0?a>3:1?vF;3e9~j0?a?3:1?vF;3e9~j0?a03:1?vF;3e9~j0?a13:1?vF;3e9~j0?ai3:1?vF;3e9~j0?aj3:1?vF;3e9~j0?ak3:1?vF;3e9~j0?al3:1?vF;3e9~j0?am3:1?vF;3e9~j0?an3:1?vF;3e9~j0g783:1?vF;3e9~j0g793:1?vF;3e9~j0g7:3:1?vF;3e9~j0g7;3:1?vF;3e9~j0g7<3:1?vF;3e9~j0g7=3:1?vF;3e9~j0g7>3:1?vF;3e9~j0g7?3:1>vF;3e9~j0g703:1>vF;3e9~j0g713:1>vF;3e9~j0g7i3:1>vF;3e9~j0g7j3:1>vF;3e9~j0g7k3:1>vF;3e9~j0g7l3:1>vF;3e9~j0g7m3:1>vF;3e9~j0g7n3:1>vF;3e9~j0g683:1>vF;3e9~j0g693:1>vF;3e9~j0g6:3:1>vF;3e9~j0g6;3:1>vF;3e9~j0g6<3:1>vF;3e9~j0g6=3:1>vF;3e9~j0g6>3:1>vF;3e9~j0g6?3:1>vF;3e9~j0g603:1>vF;3e9~j0g613:1>vF;3e9~j0g6i3:1>vF;3e9~j0g6j3:1>vF;3e9~j0g6k3:1>vF;3e9~j0g6l3:1>vF;3e9~j0g6m3:1>vF;3e9~j0g6n3:1?vF;3e9~j0g583:1?vF;3e9~j0g593:1?vF;3e9~j0g5:3:1?vF;3e9~j0g5;3:1?vF;3e9~j0g5<3:1?vF;3e9~j0g5=3:1?vF;3e9~j0g5>3:1?vF;3e9~j0g5?3:1?vF;3e9~j0g503:1?vF;3e9~j0g513:1?vF;3e9~j0g5i3:1?vF;3e9~j0g5j3:1?vF;3e9~j0g5k3:1?vF;3e9~j0g5l3:1?vF;3e9~j0g5m3:1?vF;3e9~j0g5n3:1?vF;3e9~j0g483:1?vF;3e9~j0g493:1?vF;3e9~j0g4:3:1?vF;3e9~j0g4;3:1?vF;3e9~j0g4<3:1?vF;3e9~j0g4=3:1?vF;3e9~j0g4>3:1?vF;3e9~j0g4?3:1>vF;3e9~j0g403:1>vF;3e9~j0g413:1>vF;3e9~j0g4i3:1>vF;3e9~j0g4j3:1>vF;3e9~j0g4k3:1>vF;3e9~j0g4l3:1>vF;3e9~j0g4m3:1>vF;3e9~j0g4n3:1>vF;3e9~j0g383:1>vF;3e9~j0g393:1>vF;3e9~j0g3:3:1>vF;3e9~j0g3;3:1>vF;3e9~j0g3<3:1>vF;3e9~j0g3=3:1>vF;3e9~j0g3>3:1>vF;3e9~j0g3?3:1>vF;3e9~j0g303:1>vF;3e9~j0g313:1>vF;3e9~j0g3i3:1>vF;3e9~j0g3j3:1>vF;3e9~j0g3k3:1>vF;3e9~j0g3l3:1>vF;3e9~j0g3m3:1>vF;3e9~j0g3n3:1>vF;3e9~j0g283:1>vF;3e9~j0g293:1>vF;3e9~j0g2:3:1>vF;3e9~j0g2;3:1>vF;3e9~j0g2<3:1>vF;3e9~j0g2=3:1>vF;3e9~j0g2>3:1>vF;3e9~j0g2?3:1?vF;3e9~j0g203:1?vF;3e9~j0g213:1?vF;3e9~j0g2i3:1?vF;3e9~j0g2j3:1>vF;3e9~j0g2k3:1>vF;3e9~j0g2l3:1>vF;3e9~j0g2m3:1>vF;3e9~j0g2n3:1>vF;3e9~j0g183:1>vF;3e9~j0g193:1?vF;3e9~j0g1:3:1?vF;3e9~j0g1;3:1?vF;3e9~j0g1<3:1?vF;3e9~j0g1=3:1?vF;3e9~j0g1>3:1?vF;3e9~j0g1?3:1?vF;3e9~j0g103:1?vF;3e9~j0g113:1?vF;3e9~j0g1i3:1?vF;3e9~j0g1j3:1>vF;3e9~j0g1k3:1>vF;3e9~j0g1l3:1>vF;3e9~j0g1m3:1>vF;3e9~j0g1n3:1>vF;3e9~j0g083:1>vF;3e9~j0g093:1>vF;3e9~j0g0:3:1>vF;3e9~j0g0;3:1>vF;3e9~j0g0<3:1>vF;3e9~j0g0=3:1>vF;3e9~j0g0>3:1>vF;3e9~j0g0?3:1>vF;3e9~j0g003:1>vF;3e9~j0g013:1>vF;3e9~j0g0i3:1>vF;3e9~j0g0j3:1>vF;3e9~j0g0k3:1>vF;3e9~j0g0l3:1>vF;3e9~j0g0m3:1>vF;3e9~j0g0n3:1>vF;3e9~j0g?83:1>vF;3e9~j0g?93:1>vF;3e9~j0g?:3:1>vF;3e9~j0g?;3:1>vF;3e9~j0g?<3:1>vF;3e9~j0g?=3:1>vF;3e9~j0g?>3:1>vF;3e9~j0g??3:1>vF;3e9~j0g?03:1>vF;3e9~j0g?13:1>vF;3e9~j0g?i3:1>vF;3e9~j0g?j3:1>vF;3e9~j0g?k3:1>vF;3e9~j0g?l3:1>vF;3e9~j0g?m3:1>vF;3e9~j0g?n3:1>vF;3e9~j0g>83:1>vF;3e9~j0g>93:1>vF;3e9~j0g>:3:1>vF;3e9~j0g>;3:1>vF;3e9~j0g><3:1>vF;3e9~j0g>=3:1>vF;3e9~j0g>>3:1>vF;3e9~j0g>?3:1>vF;3e9~j0g>03:1>vF;3e9~j0g>13:1>vF;3e9~j0g>i3:1>vF;3e9~j0g>j3:1>vF;3e9~j0g>k3:1>vF;3e9~j0g>l3:1>vF;3e9~j0g>m3:1>vF;3e9~j0g>n3:1>vF;3e9~j0gf83:1>vF;3e9~j0gf93:1>vF;3e9~j0gf:3:1>vF;3e9~j0gf;3:1>vF;3e9~j0gf<3:1>vF;3e9~j0gf=3:1>vF;3e9~j0gf>3:1>vF;3e9~j0gf?3:1>vF;3e9~j0gf03:1>vF;3e9~j0gf13:1>vF;3e9~j0gfi3:1>vF;3e9~j0gfj3:1>vF;3e9~j0gfk3:1>vF;3e9~j0gfl3:1>vF;3e9~j0gfm3:1>vF;3e9~j0gfn3:1>vF;3e9~j0ge83:1>vF;3e9~j0ge93:1>vF;3e9~j0ge:3:1>vF;3e9~j0ge;3:1>vF;3e9~j0ge<3:1>vF;3e9~j0ge=3:1>vF;3e9~j0ge>3:1>vF;3e9~j0ge?3:1>vF;3e9~j0ge03:1>vF;3e9~j0ge13:1>vF;3e9~j0gei3:1>vF;3e9~j0gej3:1>vF;3e9~j0gek3:1>vF;3e9~j0gel3:1>vF;3e9~j0gem3:1>vF;3e9~j0gen3:1>vF;3e9~j0gd83:1>vF;3e9~j0gd93:1>vF;3e9~j0gd:3:1>vF;3e9~j0gd;3:1>vF;3e9~j0gd<3:1>vF;3e9~j0gd=3:1>vF;3e9~j0gd>3:1>vF;3e9~j0gd?3:1>vF;3e9~j0gd03:1>vF;3e9~j0gd13:1>vF;3e9~j0gdi3:1>vF;3e9~j0gdj3:1>vF;3e9~j0gdk3:1>vF;3e9~j0gdl3:1>vF;3e9~j0gdm3:1>vF;3e9~j0gdn3:1>vF;3e9~j0gc83:1>vF;3e9~j0gc93:1>vF;3e9~j0gc:3:1>vF;3e9~j0gc;3:1>vF;3e9~j0gc<3:1>vF;3e9~j0gc=3:1>vF;3e9~j0gc>3:1>vF;3e9~j0gc?3:1>vF;3e9~j0gc03:1>vF;3e9~j0gc13:1>vF;3e9~j0gci3:1>vF;3e9~j0gcj3:1>vF;3e9~j0gck3:1>vF;3e9~j0gcl3:1>vF;3e9~j0gcm3:1>vF;3e9~j0gcn3:1>vF;3e9~j0gb83:1>vF;3e9~j0gb93:1>vF;3e9~j0gb:3:1>vF;3e9~j0gb;3:1>vF;3e9~j0gb<3:1>vF;3e9~j0gb=3:1>vF;3e9~j0gb>3:1>vF;3e9~j0gb?3:1>vF;3e9~j0gb03:1>vF;3e9~j0gb13:1>vF;3e9~j0gbi3:1>vF;3e9~j0gbj3:1>vF;3e9~j0gbk3:1>vF;3e9~j0gbl3:1>vF;3e9~j0gbm3:1>vF;3e9~j0gbn3:1>vF;3e9~j0ga83:1>vF;3e9~j0ga93:1>vF;3e9~j0ga:3:1>vF;3e9~j0ga;3:1>vF;3e9~j0ga<3:1>vF;3e9~j0ga=3:1>vF;3e9~j0ga>3:1>vF;3e9~j0ga?3:1>vF;3e9~j0ga03:1>vF;3e9~j0ga13:1>vF;3e9~j0gai3:1>vF;3e9~j0gaj3:1>vF;3e9~j0gak3:1>vF;3e9~j0gal3:1>vF;3e9~j0gam3:1>vF;3e9~j0gan3:1>vF;3e9~j0d783:1>vF;3e9~j0d793:1>vF;3e9~j0d7:3:1>vF;3e9~j0d7;3:1>vF;3e9~j0d7<3:1>vF;3e9~j0d7=3:1>vF;3e9~j0d7>3:1>vF;3e9~j0d7?3:1>vF;3e9~j0d703:1>vF;3e9~j0d713:1>vF;3e9~j0d7i3:1>vF;3e9~j0d7j3:1>vF;3e9~j0d7k3:1>vF;3e9~j0d7l3:1>vF;3e9~j0d7m3:1>vF;3e9~j0d7n3:1>vF;3e9~j0d683:1>vF;3e9~j0d693:1>vF;3e9~j0d6:3:1>vF;3e9~j0d6;3:1>vF;3e9~j0d6<3:1>vF;3e9~j0d6=3:1>vF;3e9~j0d6>3:1>vF;3e9~j0d6?3:1>vF;3e9~j0d603:1>vF;3e9~j0d613:1>vF;3e9~j0d6i3:1>vF;3e9~j0d6j3:1>vF;3e9~j0d6k3:1>vF;3e9~j0d6l3:1>vF;3e9~j0d6m3:1>vF;3e9~j0d6n3:1>vF;3e9~j0d583:1>vF;3e9~j0d593:1>vF;3e9~j0d5:3:1>vF;3e9~j0d5;3:1>vF;3e9~j0d5<3:1>vF;3e9~j0d5=3:1>vF;3e9~j0d5>3:1>vF;3e9~j0d5?3:1>vF;3e9~j0d503:1>vF;3e9~j0d513:1>vF;3e9~j0d5i3:1>vF;3e9~j0d5j3:1?vF;3e9~j0d5k3:1?vF;3e9~j0d5l3:1?vF;3e9~j0d5m3:1?vF;3e9~j0d5n3:1?vF;3e9~j0d483:1?vF;3e9~j0d493:1?vF;3e9~j0d4:3:1?vF;3e9~j0d4;3:1?vF;3e9~j0d4<3:1?vF;3e9~j0d4=3:1?vF;3e9~j0d4>3:1?vF;3e9~j0d4?3:1?vF;3e9~j0d403:1?vF;3e9~j0d413:1?vF;3e9~j0d4i3:1?vF;3e9~j0d4j3:1?vF;3e9~j0d4k3:1?vF;3e9~j0d4l3:1?vF;3e9~j0d4m3:1?vF;3e9~j0d4n3:1?vF;3e9~j0d383:1?vF;3e9~j0d393:1?vF;3e9~j0d3:3:1?vF;3e9~j0d3;3:1?vF;3e9~j0d3<3:1?vF;3e9~j0d3=3:1?vF;3e9~j0d3>3:1?vF;3e9~j0d3?3:1?vF;3e9~j0d303:1?vF;3e9~j0d313:1?vF;3e9~j0d3i3:1?vF;3e9~j0d3j3:1?vF;3e9~j0d3k3:1?vF;3e9~j0d3l3:1?vF;3e9~j0d3m3:1?vF;3e9~j0d3n3:1?vF;3e9~j0d283:1?vF;3e9~j0d293:1?vF;3e9~j0d2:3:1?vF;3e9~j0d2;3:1?vF;3e9~j0d2<3:1?vF;3e9~j0d2=3:1?vF;3e9~j0d2>3:1?vF;3e9~j0d2?3:1?vF;3e9~j0d203:1?vF;3e9~j0d213:1?vF;3e9~j0d2i3:1?vF;3e9~j0d2j3:1?vF;3e9~j0d2k3:1?vF;3e9~j0d2l3:1?vF;3e9~j0d2m3:1?vF;3e9~j0d2n3:1?vF;3e9~j0d183:1?vF;3e9~j0d193:1=vF;3e9~j0d1:3:1=vF;3e9~j0d1;3:1=vF;3e9~j0d1<3:1>vF;3e9~j0d1=3:1=vF;3e9~j0d1>3:1=vF;3e9~j0d1?3:1=vF;3e9~j0d103:1=vF;3e9~j0d113:1=vF;3e9~j0d1i3:1=vF;3e9~j0d1j3:1=vF;3e9~j0d1k3:1=vF;3e9~j0d1l3:1=vF;3e9~j0d1m3:1=vF;3e9~j0d1n3:1=vF;3e9~j0d083:1=vF;3e9~j0d093:1=vF;3e9~j0d0:3:1=vF;3e9~j0d0;3:1=vF;3e9~j0d0<3:1=vF;3e9~j0d0=3:1=vF;3e9~j0d0>3:1=vF;3e9~j0d0?3:1=vF;3e9~j0d003:1=vF;3e9~j0d013:1=vF;3e9~j0d0i3:1=vF;3e9~j0d0j3:1=vF;3e9~j0d0k3:1=vF;3e9~j0d0l3:1=vF;3e9~j0d0m3:1=vF;3e9~j0d0n3:1=vF;3e9~j0d?83:1=vF;3e9~j0d?93:1=vF;3e9~j0d?:3:1=vF;3e9~j0d?;3:1=vF;3e9~j0d?<3:1=vF;3e9~j0d?=3:1=vF;3e9~j0d?>3:1=vF;3e9~j0d??3:1=vF;3e9~j0d?03:1=vF;3e9~j0d?13:1=vF;3e9~j0d?i3:1=vF;3e9~j0d?j3:1=vF;3e9~j0d?k3:1=vF;3e9~j0d?l3:1=vF;3e9~j0d?m3:1=vF;3e9~j0d?n3:1=vF;3e9~j0d>83:1=vF;3e9~j0d>93:1=vF;3e9~j0d>:3:1=vF;3e9~j0d>;3:1=vF;3e9~j0d><3:1=vF;3e9~j0d>=3:1=vF;3e9~j0d>>3:1=vF;3e9~j0d>?3:1=vF;3e9~j0d>03:1=vF;3e9~j0d>13:1=vF;3e9~j0d>i3:1=vF;3e9~j0d>j3:1=vF;3e9~j0d>k3:1=vF;3e9~j0d>l3:1=vF;3e9~j0d>m3:1=vF;3e9~j0d>n3:1=vF;3e9~j0df83:1=vF;3e9~j0df93:1=vF;3e9~j0df:3:1=vF;3e9~j0df;3:1=vF;3e9~j0df<3:1=vF;3e9~j0df=3:1=vF;3e9~j0df>3:1=vF;3e9~j0df?3:1=vF;3e9~j0df03:1=vF;3e9~j0df13:1=vF;3e9~j0dfi3:1=vF;3e9~j0dfj3:1=vF;3e9~j0dfk3:1=vF;3e9~j0dfl3:1=vF;3e9~j0dfm3:1=vF;3e9~j0dfn3:1=vF;3e9~j0de83:1=vF;3e9~j0de93:1=vF;3e9~j0de:3:1=vF;3e9~j0de;3:1=vF;3e9~j0de<3:1=vF;3e9~j0de=3:1=vF;3e9~j0de>3:1=vF;3e9~j0de?3:1=vF;3e9~j0de03:1=vF;3e9~j0de13:1=vF;3e9~j0dei3:1=vF;3e9~j0dej3:1=vF;3e9~j0dek3:1=vF;3e9~j0del3:1=vF;3e9~j0dem3:1=vF;3e9~j0den3:1=vF;3e9~j0dd83:1=vF;3e9~j0dd93:1=vF;3e9~j0dd:3:1=vF;3e9~j0dd;3:1=vF;3e9~j0dd<3:1=vF;3e9~j0dd=3:1=vF;3e9~j0dd>3:1=vF;3e9~j0dd?3:1=vF;3e9~j0dd03:1=vF;3e9~j0dd13:1=vF;3e9~j0ddi3:1=vF;3e9~j0ddj3:1=vF;3e9~j0ddk3:1=vF;3e9~j0ddl3:1=vF;3e9~j0ddm3:1=vF;3e9~j0ddn3:1=vF;3e9~j0dc83:1=vF;3e9~j0dc93:1=vF;3e9~j0dc:3:1=vF;3e9~j0dc;3:1=vF;3e9~j0dc<3:1=vF;3e9~j0dc=3:1=vF;3e9~j0dc>3:1=vF;3e9~j0dc?3:1=vF;3e9~j0dc03:1=vF;3e9~j0dc13:1=vF;3e9~j0dci3:1=vF;3e9~j0dcj3:1=vF;3e9~j0dck3:1=vF;3e9~j0dcl3:1=vF;3e9~j0dcm3:1=vF;3e9~j0dcn3:1=vF;3e9~j0db83:1=vF;3e9~j0db93:1=vF;3e9~j0db:3:1=vF;3e9~j0db;3:1=vF;3e9~j0db<3:1=vF;3e9~j0db=3:1=vF;3e9~j0db>3:1=vF;3e9~j0db?3:1=vF;3e9~j0db03:1=vF;3e9~j0db13:1=vF;3e9~j0dbi3:1=vF;3e9~j0dbj3:1=vF;3e9~j0dbk3:1=vF;3e9~j0dbl3:1=vF;3e9~j0dbm3:1=vF;3e9~j0dbn3:1=vF;3e9~j0da83:1=vF;3e9~j0da93:1=vF;3e9~j0da:3:1=vF;3e9~j0da;3:1=vF;3e9~j0da<3:1=vF;3e9~j0da=3:1=vF;3e9~j0da>3:1=vF;3e9~j0da?3:1=vF;3e9~j0da03:1=vF;3e9~j0da13:1=vF;3e9~j0dai3:1=vF;3e9~j0daj3:1=vF;3e9~j0dak3:1=vF;3e9~j0dal3:1=vF;3e9~j0dam3:1=vF;3e9~j0dan3:1=vF;3e9~j0e783:1=vF;3e9~j0e793:1=vF;3e9~j0e7:3:1=vF;3e9~j0e7;3:1=vF;3e9~j0e7<3:1=vF;3e9~j0e7=3:1=vF;3e9~j0e7>3:1=vF;3e9~j0e7?3:1=vF;3e9~j0e703:1=vF;3e9~j0e713:1=vF;3e9~j0e7i3:1=vF;3e9~j0e7j3:1=vF;3e9~j0e7k3:1=vF;3e9~j0e7l3:1=vF;3e9~j0e7m3:1=vF;3e9~j0e7n3:1=vF;3e9~j0e683:1=vF;3e9~j0e693:1=vF;3e9~j0e6:3:1=vF;3e9~j0e6;3:1=vF;3e9~j0e6<3:1=vF;3e9~j0e6=3:1=vF;3e9~j0e6>3:1=vF;3e9~j0e6?3:1=vF;3e9~j0e603:1=vF;3e9~j0e613:1=vF;3e9~j0e6i3:1=vF;3e9~j0e6j3:1=vF;3e9~j0e6k3:1=vF;3e9~j0e6l3:1=vF;3e9~j0e6m3:1=vF;3e9~j0e6n3:1=vF;3e9~j0e583:1=vF;3e9~j0e593:1=vF;3e9~j0e5:3:1=vF;3e9~j0e5;3:1=vF;3e9~j0e5<3:1=vF;3e9~j0e5=3:1=vF;3e9~j0e5>3:1=vF;3e9~j0e5?3:1=vF;3e9~j0e503:1=vF;3e9~j0e513:1=vF;3e9~j0e5i3:1=vF;3e9~j0e5j3:1=vF;3e9~j0e5k3:1=vF;3e9~j0e5l3:1=vF;3e9~j0e5m3:1=vF;3e9~j0e5n3:1=vF;3e9~j0e483:1=vF;3e9~j0e493:1=vF;3e9~j0e4:3:1=vF;3e9~j0e4;3:1=vF;3e9~j0e4<3:1=vF;3e9~j0e4=3:1=vF;3e9~j0e4>3:1=vF;3e9~j0e4?3:1=vF;3e9~j0e403:1=vF;3e9~j0e413:1=vF;3e9~j0e4i3:1=vF;3e9~j0e4j3:1=vF;3e9~j0e4k3:1=vF;3e9~j0e4l3:1=vF;3e9~j0e4m3:1=vF;3e9~j0e4n3:1=vF;3e9~j0e383:1=vF;3e9~j0e393:1=vF;3e9~j0e3:3:1=vF;3e9~j0e3;3:1=vF;3e9~j0e3<3:1=vF;3e9~j0e3=3:1=vF;3e9~j0e3>3:1=vF;3e9~j0e3?3:1=vF;3e9~j0e303:1=vF;3e9~j0e313:1=vF;3e9~j0e3i3:1=vF;3e9~j0e3j3:1=vF;3e9~j0e3k3:1=vF;3e9~j0e3l3:1=vF;3e9~j0e3m3:1=vF;3e9~j0e3n3:1=vF;3e9~j0e283:1=vF;3e9~j0e293:1=vF;3e9~j0e2:3:1=vF;3e9~j0e2;3:1=vF;3e9~j0e2<3:1=vF;3e9~j0e2=3:1=vF;3e9~j0e2>3:1=vF;3e9~j0e2?3:1=vF;3e9~j0e203:1=vF;3e9~j0e213:1=vF;3e9~j0e2i3:1=vF;3e9~j0e2j3:1=vF;3e9~j0e2k3:1=vF;3e9~j0e2l3:1=vF;3e9~j0e2m3:1=vF;3e9~j0e2n3:1=vF;3e9~j0e183:1=vF;3e9~j0e193:1=vF;3e9~j0e1:3:1=vF;3e9~j0e1;3:1=vF;3e9~j0e1<3:1=vF;3e9~j0e1=3:1=vF;3e9~j0e1>3:1=vF;3e9~j0e1?3:1=vF;3e9~j0e103:1=vF;3e9~j0e113:1=vF;3e9~j0e1i3:1=vF;3e9~j0e1j3:1=vF;3e9~j0e1k3:1=vF;3e9~j0e1l3:1=vF;3e9~j0e1m3:1=vF;3e9~j0e1n3:1=vF;3e9~j0e083:1=vF;3e9~j0e093:1=vF;3e9~j0e0:3:1=vF;3e9~j0e0;3:1=vF;3e9~j0e0<3:1=vF;3e9~j0e0=3:1=vF;3e9~j0e0>3:1=vF;3e9~j0e0?3:1=vF;3e9~j0e003:1=vF;3e9~j0e013:1=vF;3e9~j0e0i3:1=vF;3e9~j0e0j3:1=vF;3e9~j0e0k3:1=vF;3e9~j0e0l3:1=vF;3e9~j0e0m3:1=vF;3e9~j0e0n3:1=vF;3e9~j0e?83:1=vF;3e9~j0e?93:1=vF;3e9~j0e?:3:1=vF;3e9~j0e?;3:1=vF;3e9~j0e?<3:1=vF;3e9~j0e?=3:1=vF;3e9~j0e?>3:1=vF;3e9~j0e??3:1=vF;3e9~j0e?03:1=vF;3e9~j0e?13:1=vF;3e9~j0e?i3:1=vF;3e9~j0e?j3:1=vF;3e9~j0e?k3:1=vF;3e9~j0e?l3:1=vF;3e9~j0e?m3:1=vF;3e9~j0e?n3:1=vF;3e9~j0e>83:1=vF;3e9~j0e>93:1=vF;3e9~j0e>:3:1=vF;3e9~j0e>;3:1=vF;3e9~j0e><3:1=vF;3e9~j0e>=3:1=vF;3e9~j0e>>3:1=vF;3e9~j0e>?3:1=vF;3e9~j0e>03:1=vF;3e9~j0e>13:1=vF;3e9~j0e>i3:1=vF;3e9~j0e>j3:1=vF;3e9~j0e>k3:1=vF;3e9~j0e>l3:1=vF;3e9~j0e>m3:1=vF;3e9~j0e>n3:1=vF;3e9~j0ef83:1=vF;3e9~j0ef93:1=vF;3e9~j0ef:3:1=vF;3e9~j0ef;3:1=vF;3e9~j0ef<3:1=vF;3e9~j0ef=3:1=vF;3e9~j0ef>3:1=vF;3e9~j0ef?3:1=vF;3e9~j0ef03:1=vF;3e9~j0ef13:1=vF;3e9~j0efi3:1=vF;3e9~j0efj3:1=vF;3e9~j0efk3:1=vF;3e9~j0efl3:1=vF;3e9~j0efm3:1=vF;3e9~j0efn3:1=vF;3e9~j0ee83:1=vF;3e9~j0ee93:1=vF;3e9~j0ee:3:1=vF;3e9~j0ee;3:1=vF;3e9~j0ee<3:1=vF;3e9~j0ee=3:1=vF;3e9~j0ee>3:1=vF;3e9~j0ee?3:1=vF;3e9~j0ee03:1=vF;3e9~j0ee13:1=vF;3e9~j0eei3:1=vF;3e9~j0eej3:1=vF;3e9~j0eek3:1=vF;3e9~j0eel3:1=vF;3e9~j0eem3:1=vF;3e9~j0een3:1=vF;3e9~j0ed83:1=vF;3e9~j0ed93:1=vF;3e9~j0ed:3:1=vF;3e9~j0ed;3:1=vF;3e9~j0ed<3:1=vF;3e9~j0ed=3:1=vF;3e9~j0ed>3:1=vF;3e9~j0ed?3:1=vF;3e9~j0ed03:1=vF;3e9~j0ed13:1=vF;3e9~j0edi3:1=vF;3e9~j0edj3:1=vF;3e9~j0edk3:1=vF;3e9~j0edl3:1=vF;3e9~j0edm3:1=vF;3e9~j0edn3:1=vF;3e9~j0ec83:1=vF;3e9~j0ec93:1=vF;3e9~j0ec:3:1=vF;3e9~j0ec;3:1=vF;3e9~j0ec<3:1=vF;3e9~j0ec=3:1=vF;3e9~j0ec>3:1=vF;3e9~j0ec?3:1=vF;3e9~j0ec03:1=vF;3e9~j0ec13:1=vF;3e9~j0eci3:1=vF;3e9~j0ecj3:1=vF;3e9~j453j3:1=vF;3e9~j453k3:1=vF;3e9~j453l3:1=vF;3e9~j453m3:1=vF;3e9~j453n3:1=vF;3e9~j45283:1=vF;3e9~j45293:1=vF;3e9~j452:3:1=vF;3e9~j452;3:1=vF;3e9~j452<3:1=vF;3e9~j452=3:1=vF;3e9~j452>3:1=vF;3e9~j452?3:1=vF;3e9~j45203:1=vF;3e9~j45213:1=vF;3e9~j452i3:1=vF;3e9~j452j3:1=vF;3e9~j452k3:1=vF;3e9~j452l3:1=vF;3e9~j452m3:1=vF;3e9~j452n3:1=vF;3e9~j45183:1=vF;3e9~j45193:1=vF;3e9~j451:3:1=vF;3e9~j451;3:1=vF;3e9~j451<3:1=vF;3e9~j451=3:1=vF;3e9~j451>3:1=vF;3e9~j451?3:1=vF;3e9~j45103:1=vF;3e9~j45113:1=vF;3e9~j451i3:1=vF;3e9~j451j3:1=vF;3e9~j451k3:1=vF;3e9~j451l3:1=vF;3e9~j451m3:1=vF;3e9~j451n3:1=vF;3e9~j45083:1=vF;3e9~j45093:1=vF;3e9~j450:3:1=vF;3e9~j450;3:1=vF;3e9~j450<3:1=vF;3e9~j450=3:1=vF;3e9~j450>3:1=vF;3e9~j450?3:1=vF;3e9~j45003:1=vF;3e9~j45013:1=vF;3e9~j450i3:1=vF;3e9~j450j3:1=vF;3e9~j450k3:1=vF;3e9~j77bi3:1=vF;3e9~j77bj3:1=vF;3e9~j77bk3:1=vF;3e9~j77bl3:1=vF;3e9~j77bm3:1=vF;3e9~j77bn3:1=vF;3e9~j77a83:1=vF;3e9~j77a93:1=vF;3e9~j77a:3:1=vF;3e9~j77a;3:1=vF;3e9~j77a<3:1=vF;3e9~j77a=3:1=vF;3e9~j77a>3:1=vF;3e9~j77a?3:1=vF;3e9~j77a03:1=vF;3e9~j77a13:1=vF;3e9~j77ai3:1=vF;3e9~j77aj3:1=vF;3e9~j77ak3:1=vF;3e9~j77al3:1=vF;3e9~j77am3:1=vF;3e9~j77an3:1=vF;3e9~j74783:1=vF;3e9~j74793:1=vF;3e9~j747:3:1=vF;3e9~j747;3:1=vF;3e9~j75cm3:1=vF;3e9~j75cn3:1=vF;3e9~j75b83:1=vF;3e9~j75b93:1=vF;3e9~j75b:3:1=vF;3e9~j75b;3:1=vF;3e9~j75b<3:1=vF;3e9~j75b=3:1=vF;3e9~j75b>3:1=vF;3e9~j75b?3:1=vF;3e9~j75b03:1=vF;3e9~j75b13:1=vF;3e9~j75bi3:1=vF;3e9~j75bj3:1=vF;3e9~j75bk3:1=vF;3e9~j75bl3:1=vF;3e9~j75bm3:1=vF;3e9~j75bn3:1=vF;3e9~j75a83:1=vF;3e9~j75a93:1=vF;3e9~j75a:3:1=vF;3e9~j75a;3:1=vF;3e9~j75a<3:1=vF;3e9~j75a=3:1=vF;3e9~j75a>3:1=vF;3e9~j75a?3:1=vF;3e9~j73c:3:1=vF;3e9~j73c;3:1=vF;3e9~j73c<3:1=vF;3e9~j73c=3:1=vF;3e9~j73c>3:1=vF;3e9~j73c?3:1=vF;3e9~j73c03:1=vF;3e9~j73c13:1=vF;3e9~j73ci3:1=vF;3e9~j73cj3:1=vF;3e9~j73ck3:1=vF;3e9~j73cl3:1=vF;3e9~j73cm3:1=vF;3e9~j73cn3:1=vF;3e9~j73b83:1=vF;3e9~j73b93:1=vF;3e9~j73b:3:1=vF;3e9~j73b;3:1=vF;3e9~j73b<3:1=vF;3e9~j73b=3:1=vF;3e9~j73b>3:1=vF;3e9~j73b?3:1=vF;3e9~j73b03:1=vF;3e9~j73b13:1=vF;3e9~j73bi3:1=vF;3e9~j73bj3:1=vF;3e9~j71d>3:1=vF;3e9~j71d?3:1=vF;3e9~j71d03:1=vF;3e9~j71d13:1=vF;3e9~j71di3:1=vF;3e9~j71dj3:1=vF;3e9~j71dk3:1=vF;3e9~j71dl3:1=vF;3e9~j71dm3:1=vF;3e9~j71dn3:1=vF;3e9~j71c83:1=vF;3e9~j71c93:1=vF;3e9~j71c:3:1=vF;3e9~j71c;3:1=vF;3e9~j71c<3:1=vF;3e9~j71c=3:1=vF;3e9~j71c>3:1=vF;3e9~j71c?3:1=vF;3e9~j71c03:1=vF;3e9~j71c13:1=vF;3e9~j71ci3:1=vF;3e9~j71cj3:1=vF;3e9~j71ck3:1=vF;3e9~j71cl3:1=vF;3e9~j71cm3:1=vF;3e9~j71cn3:1=vF;3e9~j7?ei3:1=vF;3e9~j7?ej3:1=vF;3e9~j7?ek3:1=vF;3e9~j7?el3:1=vF;3e9~j7?em3:1=vF;3e9~j7?en3:1=vF;3e9~j7?d83:1=vF;3e9~j7?d93:1=vF;3e9~j7?d:3:1=vF;3e9~j7?d;3:1=vF;3e9~j7?d<3:1=vF;3e9~j7?d=3:1=vF;3e9~j7?d>3:1=vF;3e9~j7?d?3:1=vF;3e9~j7?d03:1=vF;3e9~j7?d13:1=vF;3e9~j7?di3:1=vF;3e9~j7?dj3:1=vF;3e9~j7?dk3:1=vF;3e9~j7?dl3:1=vF;3e9~j7?dm3:1=vF;3e9~j7?dn3:1=vF;3e9~j7?c83:1=vF;3e9~j7?c93:1=vF;3e9~j7?c:3:1=vF;3e9~j7?c;3:1=vF;3e9~j7dfm3:1=vF;3e9~j7dfn3:1=vF;3e9~j7de83:1=vF;3e9~j7de93:1=vF;3e9~j7de:3:1=vF;3e9~j7de;3:1=vF;3e9~j7de<3:1=vF;3e9~j7de=3:1=vF;3e9~j7de>3:1=vF;3e9~j7de?3:1=vF;3e9~j7de03:1=vF;3e9~j7de13:1=vF;3e9~j7dei3:1=vF;3e9~j7dej3:1=vF;3e9~j7dek3:1=vF;3e9~j7del3:1=vF;3e9~j7dem3:1=vF;3e9~j7den3:1=vF;3e9~j7dd83:1=vF;3e9~j7dd93:1=vF;3e9~j7dd:3:1=vF;3e9~j7dd;3:1=vF;3e9~j7dd<3:1=vF;3e9~j7dd=3:1=vF;3e9~j7dd>3:1=vF;3e9~j7dd?3:1=vF;3e9~j7bf:3:1=vF;3e9~j7bf;3:1=vF;3e9~j7bf<3:1=vF;3e9~j7bf=3:1=vF;3e9~j7bf>3:1=vF;3e9~j7bf?3:1=vF;3e9~j7bf03:1=vF;3e9~j7bf13:1=vF;3e9~j7bfi3:1=vF;3e9~j7bfj3:1=vF;3e9~j7bfk3:1=vF;3e9~j7bfl3:1=vF;3e9~j7bfm3:1=vF;3e9~j7bfn3:1=vF;3e9~j7be83:1=vF;3e9~j7be93:1=vF;3e9~j7be:3:1=vF;3e9~j7be;3:1=vF;3e9~j7be<3:1=vF;3e9~j7be=3:1=vF;3e9~j7be>3:1=vF;3e9~j7be?3:1=vF;3e9~j7be03:1=vF;3e9~j7be13:1=vF;3e9~j7bei3:1=vF;3e9~j7bej3:1=vF;3e9~j7`>>3:1=vF;3e9~j7`>?3:1=vF;3e9~j7`>03:1=vF;3e9~j7`>13:1=vF;3e9~j7`>i3:1=vF;3e9~j7`>j3:1=vF;3e9~j7`>k3:1=vF;3e9~j7`>l3:1=vF;3e9~j7`>m3:1=vF;3e9~j7`>n3:1=vF;3e9~j7`f83:1=vF;3e9~j7`f93:1=vF;3e9~j7`f:3:1=vF;3e9~j7`f;3:1=vF;3e9~j7`f<3:1=vF;3e9~j7`f=3:1=vF;3e9~j7`f>3:1=vF;3e9~j7`f?3:1=vF;3e9~j7`f03:1=vF;3e9~j7`f13:1=vF;3e9~j7`fi3:1=vF;3e9~j7`fj3:1=vF;3e9~j7`fk3:1=vF;3e9~j7`fl3:1=vF;3e9~j7`fm3:1=vF;3e9~j7`fn3:1=vF;3e9~j67?i3:1=vF;3e9~j67?j3:1=vF;3e9~j67?k3:1=vF;3e9~j67?l3:1=vF;3e9~j67?m3:1=vF;3e9~j67?n3:1=vF;3e9~j67>83:1=vF;3e9~j67>93:1=vF;3e9~j67>:3:1=vF;3e9~j67>;3:1=vF;3e9~j67><3:1=vF;3e9~j67>=3:1=vF;3e9~j67>>3:1=vF;3e9~j67>?3:1=vF;3e9~j67>03:1=vF;3e9~j67>13:1=vF;3e9~j67>i3:1=vF;3e9~j67>j3:1=vF;3e9~j67>k3:1=vF;3e9~j67>l3:1=vF;3e9~j67>m3:1=vF;3e9~j67>n3:1=vF;3e9~j67f83:1=vF;3e9~j67f93:1=vF;3e9~j67f:3:1=vF;3e9~j67f;3:1=vF;3e9~j650m3:1=vF;3e9~j650n3:1=vF;3e9~j65?83:1=vF;3e9~j65?93:1=vF;3e9~j65?:3:1=vF;3e9~j65?;3:1=vF;3e9~j65?<3:1=vF;3e9~j65?=3:1=vF;3e9~j65?>3:1=vF;3e9~j65??3:1=vF;3e9~j65?03:1=vF;3e9~j65?13:1=vF;3e9~j65?i3:1=vF;3e9~j65?j3:1=vF;3e9~j65?k3:1=vF;3e9~j65?l3:1=vF;3e9~j65?m3:1=vF;3e9~j65?n3:1=vF;3e9~j65>83:1=vF;3e9~j65>93:1=vF;3e9~j65>:3:1=vF;3e9~j65>;3:1=vF;3e9~j65><3:1=vF;3e9~j65>=3:1=vF;3e9~j65>>3:1=vF;3e9~j65>?3:1=vF;3e9~j630:3:1=vF;3e9~j630;3:1=vF;3e9~j630<3:1=vF;3e9~j630=3:1=vF;3e9~j630>3:1=vF;3e9~j630?3:1=vF;3e9~j63003:1=vF;3e9~j63013:1=vF;3e9~j630i3:1=vF;3e9~j630j3:1=vF;3e9~j630k3:1=vF;3e9~j630l3:1=vF;3e9~j630m3:1=vF;3e9~j630n3:1=vF;3e9~j63?83:1=vF;3e9~j63?93:1=vF;3e9~j63?:3:1=vF;3e9~j63?;3:1=vF;3e9~j63?<3:1=vF;3e9~j63?=3:1=vF;3e9~j63?>3:1=vF;3e9~j63??3:1=vF;3e9~j63?03:1=vF;3e9~j63?13:1=vF;3e9~j63?i3:1=vF;3e9~j63?j3:1=vF;3e9~j611>3:1=vF;3e9~j611?3:1=vF;3e9~j61103:1=vF;3e9~j61113:1=vF;3e9~j611i3:1=vF;3e9~j611j3:1=vF;3e9~j611k3:1=vF;3e9~j611l3:1=vF;3e9~j611m3:1=vF;3e9~j611n3:1=vF;3e9~j61083:1=vF;3e9~j61093:1=vF;3e9~j610:3:1=vF;3e9~j610;3:1=vF;3e9~j610<3:1=vF;3e9~j610=3:1=vF;3e9~j610>3:1=vF;3e9~j610?3:1=vF;3e9~j61003:1=vF;3e9~j61013:1=vF;3e9~j610i3:1=vF;3e9~j610j3:1=vF;3e9~j610k3:1=vF;3e9~j610l3:1=vF;3e9~j610m3:1=vF;3e9~j610n3:1=vF;3e9~j6?ci3:1=vF;3e9~j6?cj3:1=vF;3e9~j6?ck3:1=vF;3e9~j6?cl3:1=vF;3e9~j6?cm3:1=vF;3e9~j6?cn3:1=vF;3e9~j6?b83:1=vF;3e9~j6?b93:1=vF;3e9~j6?b:3:1=vF;3e9~j6?b;3:1=vF;3e9~j6?b<3:1=vF;3e9~j6?b=3:1=vF;3e9~j6?b>3:1=vF;3e9~j6?b?3:1=vF;3e9~j6?b03:1=vF;3e9~j6?b13:1=vF;3e9~j6?bi3:1=vF;3e9~j6?bj3:1=vF;3e9~j6?bk3:1=vF;3e9~j6?bl3:1=vF;3e9~j6?bm3:1=vF;3e9~j6?bn3:1=vF;3e9~j6?a83:1=vF;3e9~j6?a93:1=vF;3e9~j6?a:3:1=vF;3e9~j6?a;3:1=vF;3e9~j6?a<3:1=vF;3e9~j6?a=3:1=vF;3e9~j6?a>3:1=vF;3e9~j6?a?3:1=vF;3e9~j6?a03:1=vF;3e9~j6?a13:1=vF;3e9~j6?ai3:1=vF;3e9~j6?aj3:1=vF;3e9~j6?ak3:1=vF;3e9~j6?al3:1=vF;3e9~j6?am3:1=vF;3e9~j6?an3:1=vF;3e9~j6e2i3:1=vF;3e9~j6e2j3:1=vF;3e9~j6e2k3:1=vF;3e9~j6e2l3:1=vF;3e9~j6e2m3:1=vF;3e9~j6e2n3:1=vF;3e9~j6e183:1=vF;3e9~j6e193:1=vF;3e9~j6e1:3:1=vF;3e9~j6e1;3:1=vF;3e9~j6e1<3:1=vF;3e9~j6e1=3:1=vF;3e9~j6e1>3:1=vF;3e9~j6e1?3:1=vF;3e9~j6e103:1=vF;3e9~j6e113:1=vF;3e9~j6e1i3:1=vF;3e9~j6e1j3:1=vF;3e9~j6e1k3:1=vF;3e9~j6e1l3:1=vF;3e9~j6e1m3:1=vF;3e9~j6e1n3:1=vF;3e9~j6e083:1=vF;3e9~j6e093:1=vF;3e9~j6e0:3:1=vF;3e9~j6e0;3:1=vF;3e9~j6e0<3:1=vF;3e9~j6e0=3:1=vF;3e9~j6e0>3:1=vF;3e9~j6e0?3:1=vF;3e9~j6e003:1=vF;3e9~j6e013:1=vF;3e9~j6e0i3:1=vF;3e9~j6e0j3:1=vF;3e9~j6e0k3:1=vF;3e9~j6e0l3:1=vF;3e9~j6e0m3:1=vF;3e9~j6e0n3:1=vF;3e9~j16fm3:1=vF;3e9~j16fn3:1=vF;3e9~j16e83:1=vF;3e9~j16e93:1=vF;3e9~j16e:3:1=vF;3e9~j16e;3:1=vF;3e9~j16e<3:1=vF;3e9~j16e=3:1=vF;3e9~j16e>3:1=vF;3e9~j16e?3:1=vF;3e9~j16e03:1=vF;3e9~j16e13:1=vF;3e9~j16ei3:1=vF;3e9~j16ej3:1=vF;3e9~j16ek3:1=vF;3e9~j16el3:1=vF;3e9~j16em3:1=vF;3e9~j16en3:1=vF;3e9~j16d83:1=vF;3e9~j16d93:1=vF;3e9~j15d03:1=vF;3e9~j15d13:1=vF;3e9~j15di3:1=vF;3e9~j15dj3:1=vF;3e9~j15dk3:1=vF;3e9~j15dl3:1=vF;3e9~j15dm3:1=vF;3e9~j15dn3:1=vF;3e9~j15c83:1=vF;3e9~j15c93:1=vF;3e9~j15c:3:1=vF;3e9~j15c;3:1=vF;3e9~j15c<3:1=vF;3e9~j15c=3:1=vF;3e9~j15c>3:1=vF;3e9~j15c?3:1=vF;3e9~j15c03:1=vF;3e9~j15c13:1=vF;3e9~j15ci3:1=vF;3e9~j15cj3:1=vF;3e9~yx{GHJq=:no591f4<3c0uIJIw=sO@Qy~DE \ No newline at end of file diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec2.v b/fpga/usrp3/top/x300/coregen_dsp/hbdec2.v new file mode 100644 index 000000000..e5423434c --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec2.v @@ -0,0 +1,24109 @@ +//////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. +//////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor: Xilinx +// \ \ \/ Version: P.49d +// \ \ Application: netgen +// / / Filename: hbdec2.v +// /___/ /\ Timestamp: Wed Dec 4 13:33:47 2013 +// \ \ / \ +// \___\/\___\ +// +// Command : -intstyle ise -w -sim -ofmt verilog ./tmp/_cg/hbdec2.ngc ./tmp/_cg/hbdec2.v +// Device : 7k325tffg900-2 +// Input file : ./tmp/_cg/hbdec2.ngc +// Output file : ./tmp/_cg/hbdec2.v +// # of Modules : 1 +// Design Name : hbdec2 +// Xilinx : /opt/Xilinx/14.4/ISE_DS/ISE/ +// +// Purpose: +// This verilog netlist is a verification model and uses simulation +// primitives which may not represent the true implementation of the +// device, however the netlist is functionally correct and should not +// be modified. This file cannot be synthesized and should only be used +// with supported simulation tools. +// +// Reference: +// Command Line Tools User Guide, Chapter 23 and Synthesis and Simulation Design Guide, Chapter 6 +// +//////////////////////////////////////////////////////////////////////////////// + +`timescale 1 ns/1 ps + +module hbdec2 ( + sclr, ce, rfd, rdy, data_valid, coef_we, nd, clk, coef_ld, dout_1, dout_2, din_1, din_2, coef_din +)/* synthesis syn_black_box syn_noprune=1 */; + input sclr; + input ce; + output rfd; + output rdy; + output data_valid; + input coef_we; + input nd; + input clk; + input coef_ld; + output [46 : 0] dout_1; + output [46 : 0] dout_2; + input [23 : 0] din_1; + input [23 : 0] din_2; + input [17 : 0] coef_din; + + // synthesis translate_off + + wire NlwRenamedSig_OI_rfd; + wire \blk00000003/sig00000767 ; + wire \blk00000003/sig00000766 ; + wire \blk00000003/sig00000765 ; + wire \blk00000003/sig00000764 ; + wire \blk00000003/sig00000763 ; + wire \blk00000003/sig00000762 ; + wire \blk00000003/sig00000761 ; + wire \blk00000003/sig00000760 ; + wire \blk00000003/sig0000075f ; + wire \blk00000003/sig0000075e ; + wire \blk00000003/sig0000075d ; + wire \blk00000003/sig0000075c ; + wire \blk00000003/sig0000075b ; + wire \blk00000003/sig0000075a ; + wire \blk00000003/sig00000759 ; + wire \blk00000003/sig00000758 ; + wire \blk00000003/sig00000757 ; + wire \blk00000003/sig00000756 ; + wire \blk00000003/sig00000755 ; + wire \blk00000003/sig00000754 ; + wire \blk00000003/sig00000753 ; + wire \blk00000003/sig00000752 ; + wire \blk00000003/sig00000751 ; + wire \blk00000003/sig00000750 ; + wire \blk00000003/sig0000074f ; + wire \blk00000003/sig0000074e ; + wire \blk00000003/sig0000074d ; + wire \blk00000003/sig0000074c ; + wire \blk00000003/sig0000074b ; + wire \blk00000003/sig0000074a ; + wire \blk00000003/sig00000749 ; + wire \blk00000003/sig00000748 ; + wire \blk00000003/sig00000747 ; + wire \blk00000003/sig00000746 ; + wire \blk00000003/sig00000745 ; + wire \blk00000003/sig00000744 ; + wire \blk00000003/sig00000743 ; + wire \blk00000003/sig00000742 ; + wire \blk00000003/sig00000741 ; + wire \blk00000003/sig00000740 ; + wire \blk00000003/sig0000073f ; + wire \blk00000003/sig0000073e ; + wire \blk00000003/sig0000073d ; + wire \blk00000003/sig0000073c ; + wire \blk00000003/sig0000073b ; + wire \blk00000003/sig0000073a ; + wire \blk00000003/sig00000739 ; + wire \blk00000003/sig00000738 ; + wire \blk00000003/sig00000737 ; + wire \blk00000003/sig00000736 ; + wire \blk00000003/sig00000735 ; + wire \blk00000003/sig00000734 ; + wire \blk00000003/sig00000733 ; + wire \blk00000003/sig00000732 ; + wire \blk00000003/sig00000731 ; + wire \blk00000003/sig00000730 ; + wire \blk00000003/sig0000072f ; + wire \blk00000003/sig0000072e ; + wire \blk00000003/sig0000072d ; + wire \blk00000003/sig0000072c ; + wire \blk00000003/sig0000072b ; + wire \blk00000003/sig0000072a ; + wire \blk00000003/sig00000729 ; + wire \blk00000003/sig00000728 ; + wire \blk00000003/sig00000727 ; + wire \blk00000003/sig00000726 ; + wire \blk00000003/sig00000725 ; + wire \blk00000003/sig00000724 ; + wire \blk00000003/sig00000723 ; + wire \blk00000003/sig00000722 ; + wire \blk00000003/sig00000721 ; + wire \blk00000003/sig00000720 ; + wire \blk00000003/sig0000071f ; + wire \blk00000003/sig0000071e ; + wire \blk00000003/sig0000071d ; + wire \blk00000003/sig0000071c ; + wire \blk00000003/sig0000071b ; + wire \blk00000003/sig0000071a ; + wire \blk00000003/sig00000719 ; + wire \blk00000003/sig00000718 ; + wire \blk00000003/sig00000717 ; + wire \blk00000003/sig00000716 ; + wire \blk00000003/sig00000715 ; + wire \blk00000003/sig00000714 ; + wire \blk00000003/sig00000713 ; + wire \blk00000003/sig00000712 ; + wire \blk00000003/sig00000711 ; + wire \blk00000003/sig00000710 ; + wire \blk00000003/sig0000070f ; + wire \blk00000003/sig0000070e ; + wire \blk00000003/sig0000070d ; + wire \blk00000003/sig0000070c ; + wire \blk00000003/sig0000070b ; + wire \blk00000003/sig0000070a ; + wire \blk00000003/sig00000709 ; + wire \blk00000003/sig00000708 ; + wire \blk00000003/sig00000707 ; + wire \blk00000003/sig00000706 ; + wire \blk00000003/sig00000705 ; + wire \blk00000003/sig00000704 ; + wire \blk00000003/sig00000703 ; + wire \blk00000003/sig00000702 ; + wire \blk00000003/sig00000701 ; + wire \blk00000003/sig00000700 ; + wire \blk00000003/sig000006ff ; + wire \blk00000003/sig000006fe ; + wire \blk00000003/sig000006fd ; + wire \blk00000003/sig000006fc ; + wire \blk00000003/sig000006fb ; + wire \blk00000003/sig000006fa ; + wire \blk00000003/sig000006f9 ; + wire \blk00000003/sig000006f8 ; + wire \blk00000003/sig000006f7 ; + wire \blk00000003/sig000006f6 ; + wire \blk00000003/sig000006f5 ; + wire \blk00000003/sig000006f4 ; + wire \blk00000003/sig000006f3 ; + wire \blk00000003/sig000006f2 ; + wire \blk00000003/sig000006f1 ; + wire \blk00000003/sig000006f0 ; + wire \blk00000003/sig000006ef ; + wire \blk00000003/sig000006ee ; + wire \blk00000003/sig000006ed ; + wire \blk00000003/sig000006ec ; + wire \blk00000003/sig000006eb ; + wire \blk00000003/sig000006ea ; + wire \blk00000003/sig000006e9 ; + wire \blk00000003/sig000006e8 ; + wire \blk00000003/sig000006e7 ; + wire \blk00000003/sig000006e6 ; + wire \blk00000003/sig000006e5 ; + wire \blk00000003/sig000006e4 ; + wire \blk00000003/sig000006e3 ; + wire \blk00000003/sig000006e2 ; + wire \blk00000003/sig000006e1 ; + wire \blk00000003/sig000006e0 ; + wire \blk00000003/sig000006df ; + wire \blk00000003/sig000006de ; + wire \blk00000003/sig000006dd ; + wire \blk00000003/sig000006dc ; + wire \blk00000003/sig000006db ; + wire \blk00000003/sig000006da ; + wire \blk00000003/sig000006d9 ; + wire \blk00000003/sig000006d8 ; + wire \blk00000003/sig000006d7 ; + wire \blk00000003/sig000006d6 ; + wire \blk00000003/sig000006d5 ; + wire \blk00000003/sig000006d4 ; + wire \blk00000003/sig000006d3 ; + wire \blk00000003/sig000006d2 ; + wire \blk00000003/sig000006d1 ; + wire \blk00000003/sig000006d0 ; + wire \blk00000003/sig000006cf ; + wire \blk00000003/sig000006ce ; + wire \blk00000003/sig000006cd ; + wire \blk00000003/sig000006cc ; + wire \blk00000003/sig000006cb ; + wire \blk00000003/sig000006ca ; + wire \blk00000003/sig000006c9 ; + wire \blk00000003/sig000006c8 ; + wire \blk00000003/sig000006c7 ; + wire \blk00000003/sig000006c6 ; + wire \blk00000003/sig000006c5 ; + wire \blk00000003/sig000006c4 ; + wire \blk00000003/sig000006c3 ; + wire \blk00000003/sig000006c2 ; + wire \blk00000003/sig000006c1 ; + wire \blk00000003/sig000006c0 ; + wire \blk00000003/sig000006bf ; + wire \blk00000003/sig000006be ; + wire \blk00000003/sig000006bd ; + wire \blk00000003/sig000006bc ; + wire \blk00000003/sig000006bb ; + wire \blk00000003/sig000006ba ; + wire \blk00000003/sig000006b9 ; + wire \blk00000003/sig000006b8 ; + wire \blk00000003/sig000006b7 ; + wire \blk00000003/sig000006b6 ; + wire \blk00000003/sig000006b5 ; + wire \blk00000003/sig000006b4 ; + wire \blk00000003/sig000006b3 ; + wire \blk00000003/sig000006b2 ; + wire \blk00000003/sig000006b1 ; + wire \blk00000003/sig000006b0 ; + wire \blk00000003/sig000006af ; + wire \blk00000003/sig000006ae ; + wire \blk00000003/sig000006ad ; + wire \blk00000003/sig000006ac ; + wire \blk00000003/sig000006ab ; + wire \blk00000003/sig000006aa ; + wire \blk00000003/sig000006a9 ; + wire \blk00000003/sig000006a8 ; + wire \blk00000003/sig000006a7 ; + wire \blk00000003/sig000006a6 ; + wire \blk00000003/sig000006a5 ; + wire \blk00000003/sig000006a4 ; + wire \blk00000003/sig000006a3 ; + wire \blk00000003/sig000006a2 ; + wire \blk00000003/sig000006a1 ; + wire \blk00000003/sig000006a0 ; + wire \blk00000003/sig0000069f ; + wire \blk00000003/sig0000069e ; + wire \blk00000003/sig0000069d ; + wire \blk00000003/sig0000069c ; + wire \blk00000003/sig0000069b ; + wire \blk00000003/sig0000069a ; + wire \blk00000003/sig00000699 ; + wire \blk00000003/sig00000698 ; + wire \blk00000003/sig00000697 ; + wire \blk00000003/sig00000696 ; + wire \blk00000003/sig00000695 ; + wire \blk00000003/sig00000694 ; + wire \blk00000003/sig00000693 ; + wire \blk00000003/sig00000692 ; + wire \blk00000003/sig00000691 ; + wire \blk00000003/sig00000690 ; + wire \blk00000003/sig0000068f ; + wire \blk00000003/sig0000068e ; + wire \blk00000003/sig0000068d ; + wire \blk00000003/sig0000068c ; + wire \blk00000003/sig0000068b ; + wire \blk00000003/sig0000068a ; + wire \blk00000003/sig00000689 ; + wire \blk00000003/sig00000688 ; + wire \blk00000003/sig00000687 ; + wire \blk00000003/sig00000686 ; + wire \blk00000003/sig00000685 ; + wire \blk00000003/sig00000684 ; + wire \blk00000003/sig00000683 ; + wire \blk00000003/sig00000682 ; + wire \blk00000003/sig00000681 ; + wire \blk00000003/sig00000680 ; + wire \blk00000003/sig0000067f ; + wire \blk00000003/sig0000067e ; + wire \blk00000003/sig0000067d ; + wire \blk00000003/sig0000067c ; + wire \blk00000003/sig0000067b ; + wire \blk00000003/sig0000067a ; + wire \blk00000003/sig00000679 ; + wire \blk00000003/sig00000678 ; + wire \blk00000003/sig00000677 ; + wire \blk00000003/sig00000676 ; + wire \blk00000003/sig00000675 ; + wire \blk00000003/sig00000674 ; + wire \blk00000003/sig00000673 ; + wire \blk00000003/sig00000672 ; + wire \blk00000003/sig00000671 ; + wire \blk00000003/sig00000670 ; + wire \blk00000003/sig0000066f ; + wire \blk00000003/sig0000066e ; + wire \blk00000003/sig0000066d ; + wire \blk00000003/sig0000066c ; + wire \blk00000003/sig0000066b ; + wire \blk00000003/sig0000066a ; + wire \blk00000003/sig00000669 ; + wire \blk00000003/sig00000668 ; + wire \blk00000003/sig00000667 ; + wire \blk00000003/sig00000666 ; + wire \blk00000003/sig00000665 ; + wire \blk00000003/sig00000664 ; + wire \blk00000003/sig00000663 ; + wire \blk00000003/sig00000662 ; + wire \blk00000003/sig00000661 ; + wire \blk00000003/sig00000660 ; + wire \blk00000003/sig0000065f ; + wire \blk00000003/sig0000065e ; + wire \blk00000003/sig0000065d ; + wire \blk00000003/sig0000065c ; + wire \blk00000003/sig0000065b ; + wire \blk00000003/sig0000065a ; + wire \blk00000003/sig00000659 ; + wire \blk00000003/sig00000658 ; + wire \blk00000003/sig00000657 ; + wire \blk00000003/sig00000656 ; + wire \blk00000003/sig00000655 ; + wire \blk00000003/sig00000654 ; + wire \blk00000003/sig00000653 ; + wire \blk00000003/sig00000652 ; + wire \blk00000003/sig00000651 ; + wire \blk00000003/sig00000650 ; + wire \blk00000003/sig0000064f ; + wire \blk00000003/sig0000064e ; + wire \blk00000003/sig0000064d ; + wire \blk00000003/sig0000064c ; + wire \blk00000003/sig0000064b ; + wire \blk00000003/sig0000064a ; + wire \blk00000003/sig00000649 ; + wire \blk00000003/sig00000648 ; + wire \blk00000003/sig00000647 ; + wire \blk00000003/sig00000646 ; + wire \blk00000003/sig00000645 ; + wire \blk00000003/sig00000644 ; + wire \blk00000003/sig00000643 ; + wire \blk00000003/sig00000642 ; + wire \blk00000003/sig00000641 ; + wire \blk00000003/sig00000640 ; + wire \blk00000003/sig0000063f ; + wire \blk00000003/sig0000063e ; + wire \blk00000003/sig0000063d ; + wire \blk00000003/sig0000063c ; + wire \blk00000003/sig0000063b ; + wire \blk00000003/sig0000063a ; + wire \blk00000003/sig00000639 ; + wire \blk00000003/sig00000638 ; + wire \blk00000003/sig00000637 ; + wire \blk00000003/sig00000636 ; + wire \blk00000003/sig00000635 ; + wire \blk00000003/sig00000634 ; + wire \blk00000003/sig00000633 ; + wire \blk00000003/sig00000632 ; + wire \blk00000003/sig00000631 ; + wire \blk00000003/sig00000630 ; + wire \blk00000003/sig0000062f ; + wire \blk00000003/sig0000062e ; + wire \blk00000003/sig0000062d ; + wire \blk00000003/sig0000062c ; + wire \blk00000003/sig0000062b ; + wire \blk00000003/sig0000062a ; + wire \blk00000003/sig00000629 ; + wire \blk00000003/sig00000628 ; + wire \blk00000003/sig00000627 ; + wire \blk00000003/sig00000626 ; + wire \blk00000003/sig00000625 ; + wire \blk00000003/sig00000624 ; + wire \blk00000003/sig00000623 ; + wire \blk00000003/sig00000622 ; + wire \blk00000003/sig00000621 ; + wire \blk00000003/sig00000620 ; + wire \blk00000003/sig0000061f ; + wire \blk00000003/sig0000061e ; + wire \blk00000003/sig0000061d ; + wire \blk00000003/sig0000061c ; + wire \blk00000003/sig0000061b ; + wire \blk00000003/sig0000061a ; + wire \blk00000003/sig00000619 ; + wire \blk00000003/sig00000618 ; + wire \blk00000003/sig00000617 ; + wire \blk00000003/sig00000616 ; + wire \blk00000003/sig00000615 ; + wire \blk00000003/sig00000614 ; + wire \blk00000003/sig00000613 ; + wire \blk00000003/sig00000612 ; + wire \blk00000003/sig00000611 ; + wire \blk00000003/sig00000610 ; + wire \blk00000003/sig0000060f ; + wire \blk00000003/sig0000060e ; + wire \blk00000003/sig0000060d ; + wire \blk00000003/sig0000060c ; + wire \blk00000003/sig0000060b ; + wire \blk00000003/sig0000060a ; + wire \blk00000003/sig00000609 ; + wire \blk00000003/sig00000608 ; + wire \blk00000003/sig00000607 ; + wire \blk00000003/sig00000606 ; + wire \blk00000003/sig00000605 ; + wire \blk00000003/sig00000604 ; + wire \blk00000003/sig00000603 ; + wire \blk00000003/sig00000602 ; + wire \blk00000003/sig00000601 ; + wire \blk00000003/sig00000600 ; + wire \blk00000003/sig000005ff ; + wire \blk00000003/sig000005fe ; + wire \blk00000003/sig000005fd ; + wire \blk00000003/sig000005fc ; + wire \blk00000003/sig000005fb ; + wire \blk00000003/sig000005fa ; + wire \blk00000003/sig000005f9 ; + wire \blk00000003/sig000005f8 ; + wire \blk00000003/sig000005f7 ; + wire \blk00000003/sig000005f6 ; + wire \blk00000003/sig000005f5 ; + wire \blk00000003/sig000005f4 ; + wire \blk00000003/sig000005f3 ; + wire \blk00000003/sig000005f2 ; + wire \blk00000003/sig000005f1 ; + wire \blk00000003/sig000005f0 ; + wire \blk00000003/sig000005ef ; + wire \blk00000003/sig000005ee ; + wire \blk00000003/sig000005ed ; + wire \blk00000003/sig000005ec ; + wire \blk00000003/sig000005eb ; + wire \blk00000003/sig000005ea ; + wire \blk00000003/sig000005e9 ; + wire \blk00000003/sig000005e8 ; + wire \blk00000003/sig000005e7 ; + wire \blk00000003/sig000005e6 ; + wire \blk00000003/sig000005e5 ; + wire \blk00000003/sig000005e4 ; + wire \blk00000003/sig000005e3 ; + wire \blk00000003/sig000005e2 ; + wire \blk00000003/sig000005e1 ; + wire \blk00000003/sig000005e0 ; + wire \blk00000003/sig000005df ; + wire \blk00000003/sig000005de ; + wire \blk00000003/sig000005dd ; + wire \blk00000003/sig000005dc ; + wire \blk00000003/sig000005db ; + wire \blk00000003/sig000005da ; + wire \blk00000003/sig000005d9 ; + wire \blk00000003/sig000005d8 ; + wire \blk00000003/sig000005d7 ; + wire \blk00000003/sig000005d6 ; + wire \blk00000003/sig000005d5 ; + wire \blk00000003/sig000005d4 ; + wire \blk00000003/sig000005d3 ; + wire \blk00000003/sig000005d2 ; + wire \blk00000003/sig000005d1 ; + wire \blk00000003/sig000005d0 ; + wire \blk00000003/sig000005cf ; + wire \blk00000003/sig000005ce ; + wire \blk00000003/sig000005cd ; + wire \blk00000003/sig000005cc ; + wire \blk00000003/sig000005cb ; + wire \blk00000003/sig000005ca ; + wire \blk00000003/sig000005c9 ; + wire \blk00000003/sig000005c8 ; + wire \blk00000003/sig000005c7 ; + wire \blk00000003/sig000005c6 ; + wire \blk00000003/sig000005c5 ; + wire \blk00000003/sig000005c4 ; + wire \blk00000003/sig000005c3 ; + wire \blk00000003/sig000005c2 ; + wire \blk00000003/sig000005c1 ; + wire \blk00000003/sig000005c0 ; + wire \blk00000003/sig000005bf ; + wire \blk00000003/sig000005be ; + wire \blk00000003/sig000005bd ; + wire \blk00000003/sig000005bc ; + wire \blk00000003/sig000005bb ; + wire \blk00000003/sig000005ba ; + wire \blk00000003/sig000005b9 ; + wire \blk00000003/sig000005b8 ; + wire \blk00000003/sig000005b7 ; + wire \blk00000003/sig000005b6 ; + wire \blk00000003/sig000005b5 ; + wire \blk00000003/sig000005b4 ; + wire \blk00000003/sig000005b3 ; + wire \blk00000003/sig000005b2 ; + wire \blk00000003/sig000005b1 ; + wire \blk00000003/sig000005b0 ; + wire \blk00000003/sig000005af ; + wire \blk00000003/sig000005ae ; + wire \blk00000003/sig000005ad ; + wire \blk00000003/sig000005ac ; + wire \blk00000003/sig000005ab ; + wire \blk00000003/sig000005aa ; + wire \blk00000003/sig000005a9 ; + wire \blk00000003/sig000005a8 ; + wire \blk00000003/sig000005a7 ; + wire \blk00000003/sig000005a6 ; + wire \blk00000003/sig000005a5 ; + wire \blk00000003/sig000005a4 ; + wire \blk00000003/sig000005a3 ; + wire \blk00000003/sig000005a2 ; + wire \blk00000003/sig000005a1 ; + wire \blk00000003/sig000005a0 ; + wire \blk00000003/sig0000059f ; + wire \blk00000003/sig0000059e ; + wire \blk00000003/sig0000059d ; + wire \blk00000003/sig0000059c ; + wire \blk00000003/sig0000059b ; + wire \blk00000003/sig0000059a ; + wire \blk00000003/sig00000599 ; + wire \blk00000003/sig00000598 ; + wire \blk00000003/sig00000597 ; + wire \blk00000003/sig00000596 ; + wire \blk00000003/sig00000595 ; + wire \blk00000003/sig00000594 ; + wire \blk00000003/sig00000593 ; + wire \blk00000003/sig00000592 ; + wire \blk00000003/sig00000591 ; + wire \blk00000003/sig00000590 ; + wire \blk00000003/sig0000058f ; + wire \blk00000003/sig0000058e ; + wire \blk00000003/sig0000058d ; + wire \blk00000003/sig0000058c ; + wire \blk00000003/sig0000058b ; + wire \blk00000003/sig0000058a ; + wire \blk00000003/sig00000589 ; + wire \blk00000003/sig00000588 ; + wire \blk00000003/sig00000587 ; + wire \blk00000003/sig00000586 ; + wire \blk00000003/sig00000585 ; + wire \blk00000003/sig00000584 ; + wire \blk00000003/sig00000583 ; + wire \blk00000003/sig00000582 ; + wire \blk00000003/sig00000581 ; + wire \blk00000003/sig00000580 ; + wire \blk00000003/sig0000057f ; + wire \blk00000003/sig0000057e ; + wire \blk00000003/sig0000057d ; + wire \blk00000003/sig0000057c ; + wire \blk00000003/sig0000057b ; + wire \blk00000003/sig0000057a ; + wire \blk00000003/sig00000579 ; + wire \blk00000003/sig00000578 ; + wire \blk00000003/sig00000577 ; + wire \blk00000003/sig00000576 ; + wire \blk00000003/sig00000575 ; + wire \blk00000003/sig00000574 ; + wire \blk00000003/sig00000573 ; + wire \blk00000003/sig00000572 ; + wire \blk00000003/sig00000571 ; + wire \blk00000003/sig00000570 ; + wire \blk00000003/sig0000056f ; + wire \blk00000003/sig0000056e ; + wire \blk00000003/sig0000056d ; + wire \blk00000003/sig0000056c ; + wire \blk00000003/sig0000056b ; + wire \blk00000003/sig0000056a ; + wire \blk00000003/sig00000569 ; + wire \blk00000003/sig00000568 ; + wire \blk00000003/sig00000567 ; + wire \blk00000003/sig00000566 ; + wire \blk00000003/sig00000565 ; + wire \blk00000003/sig00000564 ; + wire \blk00000003/sig00000563 ; + wire \blk00000003/sig00000562 ; + wire \blk00000003/sig00000561 ; + wire \blk00000003/sig00000560 ; + wire \blk00000003/sig0000055f ; + wire \blk00000003/sig0000055e ; + wire \blk00000003/sig0000055d ; + wire \blk00000003/sig0000055c ; + wire \blk00000003/sig0000055b ; + wire \blk00000003/sig0000055a ; + wire \blk00000003/sig00000559 ; + wire \blk00000003/sig00000558 ; + wire \blk00000003/sig00000557 ; + wire \blk00000003/sig00000556 ; + wire \blk00000003/sig00000555 ; + wire \blk00000003/sig00000554 ; + wire \blk00000003/sig00000553 ; + wire \blk00000003/sig00000552 ; + wire \blk00000003/sig00000551 ; + wire \blk00000003/sig00000550 ; + wire \blk00000003/sig0000054f ; + wire \blk00000003/sig0000054e ; + wire \blk00000003/sig0000054d ; + wire \blk00000003/sig0000054c ; + wire \blk00000003/sig0000054b ; + wire \blk00000003/sig0000054a ; + wire \blk00000003/sig00000549 ; + wire \blk00000003/sig00000548 ; + wire \blk00000003/sig00000547 ; + wire \blk00000003/sig00000546 ; + wire \blk00000003/sig00000545 ; + wire \blk00000003/sig00000544 ; + wire \blk00000003/sig00000543 ; + wire \blk00000003/sig00000542 ; + wire \blk00000003/sig00000541 ; + wire \blk00000003/sig00000540 ; + wire \blk00000003/sig0000053f ; + wire \blk00000003/sig0000053e ; + wire \blk00000003/sig0000053d ; + wire \blk00000003/sig0000053c ; + wire \blk00000003/sig0000053b ; + wire \blk00000003/sig0000053a ; + wire \blk00000003/sig00000539 ; + wire \blk00000003/sig00000538 ; + wire \blk00000003/sig00000537 ; + wire \blk00000003/sig00000536 ; + wire \blk00000003/sig00000535 ; + wire \blk00000003/sig00000534 ; + wire \blk00000003/sig00000533 ; + wire \blk00000003/sig00000532 ; + wire \blk00000003/sig00000531 ; + wire \blk00000003/sig00000530 ; + wire \blk00000003/sig0000052f ; + wire \blk00000003/sig0000052e ; + wire \blk00000003/sig0000052d ; + wire \blk00000003/sig0000052c ; + wire \blk00000003/sig0000052b ; + wire \blk00000003/sig0000052a ; + wire \blk00000003/sig00000529 ; + wire \blk00000003/sig00000528 ; + wire \blk00000003/sig00000527 ; + wire \blk00000003/sig00000526 ; + wire \blk00000003/sig00000525 ; + wire \blk00000003/sig00000524 ; + wire \blk00000003/sig00000523 ; + wire \blk00000003/sig00000522 ; + wire \blk00000003/sig00000521 ; + wire \blk00000003/sig00000520 ; + wire \blk00000003/sig0000051f ; + wire \blk00000003/sig0000051e ; + wire \blk00000003/sig0000051d ; + wire \blk00000003/sig0000051c ; + wire \blk00000003/sig0000051b ; + wire \blk00000003/sig0000051a ; + wire \blk00000003/sig00000519 ; + wire \blk00000003/sig00000518 ; + wire \blk00000003/sig00000517 ; + wire \blk00000003/sig00000516 ; + wire \blk00000003/sig00000515 ; + wire \blk00000003/sig00000514 ; + wire \blk00000003/sig00000513 ; + wire \blk00000003/sig00000512 ; + wire \blk00000003/sig00000511 ; + wire \blk00000003/sig00000510 ; + wire \blk00000003/sig0000050f ; + wire \blk00000003/sig0000050e ; + wire \blk00000003/sig0000050d ; + wire \blk00000003/sig0000050c ; + wire \blk00000003/sig0000050b ; + wire \blk00000003/sig0000050a ; + wire \blk00000003/sig00000509 ; + wire \blk00000003/sig00000508 ; + wire \blk00000003/sig00000507 ; + wire \blk00000003/sig00000506 ; + wire \blk00000003/sig00000505 ; + wire \blk00000003/sig00000504 ; + wire \blk00000003/sig00000503 ; + wire \blk00000003/sig00000502 ; + wire \blk00000003/sig00000501 ; + wire \blk00000003/sig00000500 ; + wire \blk00000003/sig000004ff ; + wire \blk00000003/sig000004fe ; + wire \blk00000003/sig000004fd ; + wire \blk00000003/sig000004fc ; + wire \blk00000003/sig000004fb ; + wire \blk00000003/sig000004fa ; + wire \blk00000003/sig000004f9 ; + wire \blk00000003/sig000004f8 ; + wire \blk00000003/sig000004f7 ; + wire \blk00000003/sig000004f6 ; + wire \blk00000003/sig000004f5 ; + wire \blk00000003/sig000004f4 ; + wire \blk00000003/sig000004f3 ; + wire \blk00000003/sig000004f2 ; + wire \blk00000003/sig000004f1 ; + wire \blk00000003/sig000004f0 ; + wire \blk00000003/sig000004ef ; + wire \blk00000003/sig000004ee ; + wire \blk00000003/sig000004ed ; + wire \blk00000003/sig000004ec ; + wire \blk00000003/sig000004eb ; + wire \blk00000003/sig000004ea ; + wire \blk00000003/sig000004e9 ; + wire \blk00000003/sig000004e8 ; + wire \blk00000003/sig000004e7 ; + wire \blk00000003/sig000004e6 ; + wire \blk00000003/sig000004e5 ; + wire \blk00000003/sig000004e4 ; + wire \blk00000003/sig000004e3 ; + wire \blk00000003/sig000004e2 ; + wire \blk00000003/sig000004e1 ; + wire \blk00000003/sig000004e0 ; + wire \blk00000003/sig000004df ; + wire \blk00000003/sig000004de ; + wire \blk00000003/sig000004dd ; + wire \blk00000003/sig000004dc ; + wire \blk00000003/sig000004db ; + wire \blk00000003/sig000004da ; + wire \blk00000003/sig000004d9 ; + wire \blk00000003/sig000004d8 ; + wire \blk00000003/sig000004d7 ; + wire \blk00000003/sig000004d6 ; + wire \blk00000003/sig000004d5 ; + wire \blk00000003/sig000004d4 ; + wire \blk00000003/sig000004d3 ; + wire \blk00000003/sig000004d2 ; + wire \blk00000003/sig000004d1 ; + wire \blk00000003/sig000004d0 ; + wire \blk00000003/sig000004cf ; + wire \blk00000003/sig000004ce ; + wire \blk00000003/sig000004cd ; + wire \blk00000003/sig000004cc ; + wire \blk00000003/sig000004cb ; + wire \blk00000003/sig000004ca ; + wire \blk00000003/sig000004c9 ; + wire \blk00000003/sig000004c8 ; + wire \blk00000003/sig000004c7 ; + wire \blk00000003/sig000004c6 ; + wire \blk00000003/sig000004c5 ; + wire \blk00000003/sig000004c4 ; + wire \blk00000003/sig000004c3 ; + wire \blk00000003/sig000004c2 ; + wire \blk00000003/sig000004c1 ; + wire \blk00000003/sig000004c0 ; + wire \blk00000003/sig000004bf ; + wire \blk00000003/sig000004be ; + wire \blk00000003/sig000004bd ; + wire \blk00000003/sig000004bc ; + wire \blk00000003/sig000004bb ; + wire \blk00000003/sig000004ba ; + wire \blk00000003/sig000004b9 ; + wire \blk00000003/sig000004b8 ; + wire \blk00000003/sig000004b7 ; + wire \blk00000003/sig000004b6 ; + wire \blk00000003/sig000004b5 ; + wire \blk00000003/sig000004b4 ; + wire \blk00000003/sig000004b3 ; + wire \blk00000003/sig000004b2 ; + wire \blk00000003/sig000004b1 ; + wire \blk00000003/sig000004b0 ; + wire \blk00000003/sig000004af ; + wire \blk00000003/sig000004ae ; + wire \blk00000003/sig000004ad ; + wire \blk00000003/sig000004ac ; + wire \blk00000003/sig000004ab ; + wire \blk00000003/sig000004aa ; + wire \blk00000003/sig000004a9 ; + wire \blk00000003/sig000004a8 ; + wire \blk00000003/sig000004a7 ; + wire \blk00000003/sig000004a6 ; + wire \blk00000003/sig000004a5 ; + wire \blk00000003/sig000004a4 ; + wire \blk00000003/sig000004a3 ; + wire \blk00000003/sig000004a2 ; + wire \blk00000003/sig000004a1 ; + wire \blk00000003/sig000004a0 ; + wire \blk00000003/sig0000049f ; + wire \blk00000003/sig0000049e ; + wire \blk00000003/sig0000049d ; + wire \blk00000003/sig0000049c ; + wire \blk00000003/sig0000049b ; + wire \blk00000003/sig0000049a ; + wire \blk00000003/sig00000499 ; + wire \blk00000003/sig00000498 ; + wire \blk00000003/sig00000497 ; + wire \blk00000003/sig00000496 ; + wire \blk00000003/sig00000495 ; + wire \blk00000003/sig00000494 ; + wire \blk00000003/sig00000493 ; + wire \blk00000003/sig00000492 ; + wire \blk00000003/sig00000491 ; + wire \blk00000003/sig00000490 ; + wire \blk00000003/sig0000048f ; + wire \blk00000003/sig0000048e ; + wire \blk00000003/sig0000048d ; + wire \blk00000003/sig0000048c ; + wire \blk00000003/sig0000048b ; + wire \blk00000003/sig0000048a ; + wire \blk00000003/sig00000489 ; + wire \blk00000003/sig00000488 ; + wire \blk00000003/sig00000487 ; + wire \blk00000003/sig00000486 ; + wire \blk00000003/sig00000485 ; + wire \blk00000003/sig00000484 ; + wire \blk00000003/sig00000483 ; + wire \blk00000003/sig00000482 ; + wire \blk00000003/sig00000481 ; + wire \blk00000003/sig00000480 ; + wire \blk00000003/sig0000047f ; + wire \blk00000003/sig0000047e ; + wire \blk00000003/sig0000047d ; + wire \blk00000003/sig0000047c ; + wire \blk00000003/sig0000047b ; + wire \blk00000003/sig0000047a ; + wire \blk00000003/sig00000479 ; + wire \blk00000003/sig00000478 ; + wire \blk00000003/sig00000477 ; + wire \blk00000003/sig00000476 ; + wire \blk00000003/sig00000475 ; + wire \blk00000003/sig00000474 ; + wire \blk00000003/sig00000473 ; + wire \blk00000003/sig00000472 ; + wire \blk00000003/sig00000471 ; + wire \blk00000003/sig00000470 ; + wire \blk00000003/sig0000046f ; + wire \blk00000003/sig0000046e ; + wire \blk00000003/sig0000046d ; + wire \blk00000003/sig0000046c ; + wire \blk00000003/sig0000046b ; + wire \blk00000003/sig0000046a ; + wire \blk00000003/sig00000469 ; + wire \blk00000003/sig00000468 ; + wire \blk00000003/sig00000467 ; + wire \blk00000003/sig00000466 ; + wire \blk00000003/sig00000465 ; + wire \blk00000003/sig00000464 ; + wire \blk00000003/sig00000463 ; + wire \blk00000003/sig00000462 ; + wire \blk00000003/sig00000461 ; + wire \blk00000003/sig00000460 ; + wire \blk00000003/sig0000045f ; + wire \blk00000003/sig0000045e ; + wire \blk00000003/sig0000045d ; + wire \blk00000003/sig0000045c ; + wire \blk00000003/sig0000045b ; + wire \blk00000003/sig0000045a ; + wire \blk00000003/sig00000459 ; + wire \blk00000003/sig00000458 ; + wire \blk00000003/sig00000457 ; + wire \blk00000003/sig00000456 ; + wire \blk00000003/sig00000455 ; + wire \blk00000003/sig00000454 ; + wire \blk00000003/sig00000453 ; + wire \blk00000003/sig00000452 ; + wire \blk00000003/sig00000451 ; + wire \blk00000003/sig00000450 ; + wire \blk00000003/sig0000044f ; + wire \blk00000003/sig0000044e ; + wire \blk00000003/sig0000044d ; + wire \blk00000003/sig0000044c ; + wire \blk00000003/sig0000044b ; + wire \blk00000003/sig0000044a ; + wire \blk00000003/sig00000449 ; + wire \blk00000003/sig00000448 ; + wire \blk00000003/sig00000447 ; + wire \blk00000003/sig00000446 ; + wire \blk00000003/sig00000445 ; + wire \blk00000003/sig00000444 ; + wire \blk00000003/sig00000443 ; + wire \blk00000003/sig00000442 ; + wire \blk00000003/sig00000441 ; + wire \blk00000003/sig00000440 ; + wire \blk00000003/sig0000043f ; + wire \blk00000003/sig0000043e ; + wire \blk00000003/sig0000043d ; + wire \blk00000003/sig0000043c ; + wire \blk00000003/sig0000043b ; + wire \blk00000003/sig0000043a ; + wire \blk00000003/sig00000439 ; + wire \blk00000003/sig00000438 ; + wire \blk00000003/sig00000437 ; + wire \blk00000003/sig00000436 ; + wire \blk00000003/sig00000435 ; + wire \blk00000003/sig00000434 ; + wire \blk00000003/sig00000433 ; + wire \blk00000003/sig00000432 ; + wire \blk00000003/sig00000431 ; + wire \blk00000003/sig00000430 ; + wire \blk00000003/sig0000042f ; + wire \blk00000003/sig0000042e ; + wire \blk00000003/sig0000042d ; + wire \blk00000003/sig0000042c ; + wire \blk00000003/sig0000042b ; + wire \blk00000003/sig0000042a ; + wire \blk00000003/sig00000429 ; + wire \blk00000003/sig00000428 ; + wire \blk00000003/sig00000427 ; + wire \blk00000003/sig00000426 ; + wire \blk00000003/sig00000425 ; + wire \blk00000003/sig00000424 ; + wire \blk00000003/sig00000423 ; + wire \blk00000003/sig00000422 ; + wire \blk00000003/sig00000421 ; + wire \blk00000003/sig00000420 ; + wire \blk00000003/sig0000041f ; + wire \blk00000003/sig0000041e ; + wire \blk00000003/sig0000041d ; + wire \blk00000003/sig0000041c ; + wire \blk00000003/sig0000041b ; + wire \blk00000003/sig0000041a ; + wire \blk00000003/sig00000419 ; + wire \blk00000003/sig00000418 ; + wire \blk00000003/sig00000417 ; + wire \blk00000003/sig00000416 ; + wire \blk00000003/sig00000415 ; + wire \blk00000003/sig00000414 ; + wire \blk00000003/sig00000413 ; + wire \blk00000003/sig00000412 ; + wire \blk00000003/sig00000411 ; + wire \blk00000003/sig00000410 ; + wire \blk00000003/sig0000040f ; + wire \blk00000003/sig0000040e ; + wire \blk00000003/sig0000040d ; + wire \blk00000003/sig0000040c ; + wire \blk00000003/sig0000040b ; + wire \blk00000003/sig0000040a ; + wire \blk00000003/sig00000409 ; + wire \blk00000003/sig00000408 ; + wire \blk00000003/sig00000407 ; + wire \blk00000003/sig00000406 ; + wire \blk00000003/sig00000405 ; + wire \blk00000003/sig00000404 ; + wire \blk00000003/sig00000403 ; + wire \blk00000003/sig00000402 ; + wire \blk00000003/sig00000401 ; + wire \blk00000003/sig00000400 ; + wire \blk00000003/sig000003ff ; + wire \blk00000003/sig000003fe ; + wire \blk00000003/sig000003fd ; + wire \blk00000003/sig000003fc ; + wire \blk00000003/sig000003fb ; + wire \blk00000003/sig000003fa ; + wire \blk00000003/sig000003f9 ; + wire \blk00000003/sig000003f8 ; + wire \blk00000003/sig000003f7 ; + wire \blk00000003/sig000003f6 ; + wire \blk00000003/sig000003f5 ; + wire \blk00000003/sig000003f4 ; + wire \blk00000003/sig000003f3 ; + wire \blk00000003/sig000003f2 ; + wire \blk00000003/sig000003f1 ; + wire \blk00000003/sig000003f0 ; + wire \blk00000003/sig000003ef ; + wire \blk00000003/sig000003ee ; + wire \blk00000003/sig000003ed ; + wire \blk00000003/sig000003ec ; + wire \blk00000003/sig000003eb ; + wire \blk00000003/sig000003ea ; + wire \blk00000003/sig000003e9 ; + wire \blk00000003/sig000003e8 ; + wire \blk00000003/sig000003e7 ; + wire \blk00000003/sig000003e6 ; + wire \blk00000003/sig000003e5 ; + wire \blk00000003/sig000003e4 ; + wire \blk00000003/sig000003e3 ; + wire \blk00000003/sig000003e2 ; + wire \blk00000003/sig000003e1 ; + wire \blk00000003/sig000003e0 ; + wire \blk00000003/sig000003df ; + wire \blk00000003/sig000003de ; + wire \blk00000003/sig000003dd ; + wire \blk00000003/sig000003dc ; + wire \blk00000003/sig000003db ; + wire \blk00000003/sig000003da ; + wire \blk00000003/sig000003d9 ; + wire \blk00000003/sig000003d8 ; + wire \blk00000003/sig000003d7 ; + wire \blk00000003/sig000003d6 ; + wire \blk00000003/sig000003d5 ; + wire \blk00000003/sig000003d4 ; + wire \blk00000003/sig000003d3 ; + wire \blk00000003/sig000003d2 ; + wire \blk00000003/sig000003d1 ; + wire \blk00000003/sig000003d0 ; + wire \blk00000003/sig000003cf ; + wire \blk00000003/sig000003ce ; + wire \blk00000003/sig000003cd ; + wire \blk00000003/sig000003cc ; + wire \blk00000003/sig000003cb ; + wire \blk00000003/sig000003ca ; + wire \blk00000003/sig000003c9 ; + wire \blk00000003/sig000003c8 ; + wire \blk00000003/sig000003c7 ; + wire \blk00000003/sig000003c6 ; + wire \blk00000003/sig000003c5 ; + wire \blk00000003/sig000003c4 ; + wire \blk00000003/sig000003c3 ; + wire \blk00000003/sig000003c2 ; + wire \blk00000003/sig000003c1 ; + wire \blk00000003/sig000003c0 ; + wire \blk00000003/sig000003bf ; + wire \blk00000003/sig000003be ; + wire \blk00000003/sig000003bd ; + wire \blk00000003/sig000003bc ; + wire \blk00000003/sig000003bb ; + wire \blk00000003/sig000003ba ; + wire \blk00000003/sig000003b9 ; + wire \blk00000003/sig000003b8 ; + wire \blk00000003/sig000003b7 ; + wire \blk00000003/sig000003b6 ; + wire \blk00000003/sig000003b5 ; + wire \blk00000003/sig000003b4 ; + wire \blk00000003/sig000003b3 ; + wire \blk00000003/sig000003b2 ; + wire \blk00000003/sig000003b1 ; + wire \blk00000003/sig000003b0 ; + wire \blk00000003/sig000003af ; + wire \blk00000003/sig000003ae ; + wire \blk00000003/sig000003ad ; + wire \blk00000003/sig000003ac ; + wire \blk00000003/sig000003ab ; + wire \blk00000003/sig000003aa ; + wire \blk00000003/sig000003a9 ; + wire \blk00000003/sig000003a8 ; + wire \blk00000003/sig000003a7 ; + wire \blk00000003/sig000003a6 ; + wire \blk00000003/sig000003a5 ; + wire \blk00000003/sig000003a4 ; + wire \blk00000003/sig000003a3 ; + wire \blk00000003/sig000003a2 ; + wire \blk00000003/sig000003a1 ; + wire \blk00000003/sig000003a0 ; + wire \blk00000003/sig0000039f ; + wire \blk00000003/sig0000039e ; + wire \blk00000003/sig0000039d ; + wire \blk00000003/sig0000039c ; + wire \blk00000003/sig0000039b ; + wire \blk00000003/sig0000039a ; + wire \blk00000003/sig00000399 ; + wire \blk00000003/sig00000398 ; + wire \blk00000003/sig00000397 ; + wire \blk00000003/sig00000396 ; + wire \blk00000003/sig00000395 ; + wire \blk00000003/sig00000394 ; + wire \blk00000003/sig00000393 ; + wire \blk00000003/sig00000392 ; + wire \blk00000003/sig00000391 ; + wire \blk00000003/sig00000390 ; + wire \blk00000003/sig0000038f ; + wire \blk00000003/sig0000038e ; + wire \blk00000003/sig0000038d ; + wire \blk00000003/sig0000038c ; + wire \blk00000003/sig0000038b ; + wire \blk00000003/sig0000038a ; + wire \blk00000003/sig00000389 ; + wire \blk00000003/sig00000388 ; + wire \blk00000003/sig00000387 ; + wire \blk00000003/sig00000386 ; + wire \blk00000003/sig00000385 ; + wire \blk00000003/sig00000384 ; + wire \blk00000003/sig00000383 ; + wire \blk00000003/sig00000382 ; + wire \blk00000003/sig00000381 ; + wire \blk00000003/sig00000380 ; + wire \blk00000003/sig0000037f ; + wire \blk00000003/sig0000037e ; + wire \blk00000003/sig0000037d ; + wire \blk00000003/sig0000037c ; + wire \blk00000003/sig0000037b ; + wire \blk00000003/sig0000037a ; + wire \blk00000003/sig00000379 ; + wire \blk00000003/sig00000378 ; + wire \blk00000003/sig00000377 ; + wire \blk00000003/sig00000376 ; + wire \blk00000003/sig00000375 ; + wire \blk00000003/sig00000374 ; + wire \blk00000003/sig00000373 ; + wire \blk00000003/sig00000372 ; + wire \blk00000003/sig00000371 ; + wire \blk00000003/sig00000370 ; + wire \blk00000003/sig0000036f ; + wire \blk00000003/sig0000036e ; + wire \blk00000003/sig0000036d ; + wire \blk00000003/sig0000036c ; + wire \blk00000003/sig0000036b ; + wire \blk00000003/sig0000036a ; + wire \blk00000003/sig00000369 ; + wire \blk00000003/sig00000368 ; + wire \blk00000003/sig00000367 ; + wire \blk00000003/sig00000366 ; + wire \blk00000003/sig00000365 ; + wire \blk00000003/sig00000364 ; + wire \blk00000003/sig00000363 ; + wire \blk00000003/sig00000362 ; + wire \blk00000003/sig00000361 ; + wire \blk00000003/sig00000360 ; + wire \blk00000003/sig0000035f ; + wire \blk00000003/sig0000035e ; + wire \blk00000003/sig0000035d ; + wire \blk00000003/sig0000035c ; + wire \blk00000003/sig0000035b ; + wire \blk00000003/sig0000035a ; + wire \blk00000003/sig00000359 ; + wire \blk00000003/sig00000358 ; + wire \blk00000003/sig00000357 ; + wire \blk00000003/sig00000356 ; + wire \blk00000003/sig00000355 ; + wire \blk00000003/sig00000354 ; + wire \blk00000003/sig00000353 ; + wire \blk00000003/sig00000352 ; + wire \blk00000003/sig00000351 ; + wire \blk00000003/sig00000350 ; + wire \blk00000003/sig0000034f ; + wire \blk00000003/sig0000034e ; + wire \blk00000003/sig0000034d ; + wire \blk00000003/sig0000034c ; + wire \blk00000003/sig0000034b ; + wire \blk00000003/sig0000034a ; + wire \blk00000003/sig00000349 ; + wire \blk00000003/sig00000348 ; + wire \blk00000003/sig00000347 ; + wire \blk00000003/sig00000346 ; + wire \blk00000003/sig00000345 ; + wire \blk00000003/sig00000344 ; + wire \blk00000003/sig00000343 ; + wire \blk00000003/sig00000342 ; + wire \blk00000003/sig00000341 ; + wire \blk00000003/sig00000340 ; + wire \blk00000003/sig0000033f ; + wire \blk00000003/sig0000033e ; + wire \blk00000003/sig0000033d ; + wire \blk00000003/sig0000033c ; + wire \blk00000003/sig0000033b ; + wire \blk00000003/sig0000033a ; + wire \blk00000003/sig00000339 ; + wire \blk00000003/sig00000338 ; + wire \blk00000003/sig00000337 ; + wire \blk00000003/sig00000336 ; + wire \blk00000003/sig00000335 ; + wire \blk00000003/sig00000334 ; + wire \blk00000003/sig00000333 ; + wire \blk00000003/sig00000332 ; + wire \blk00000003/sig00000331 ; + wire \blk00000003/sig00000330 ; + wire \blk00000003/sig0000032f ; + wire \blk00000003/sig0000032e ; + wire \blk00000003/sig0000032d ; + wire \blk00000003/sig0000032c ; + wire \blk00000003/sig0000032b ; + wire \blk00000003/sig0000032a ; + wire \blk00000003/sig00000329 ; + wire \blk00000003/sig00000328 ; + wire \blk00000003/sig00000327 ; + wire \blk00000003/sig00000326 ; + wire \blk00000003/sig00000325 ; + wire \blk00000003/sig00000324 ; + wire \blk00000003/sig00000323 ; + wire \blk00000003/sig00000322 ; + wire \blk00000003/sig00000321 ; + wire \blk00000003/sig00000320 ; + wire \blk00000003/sig0000031f ; + wire \blk00000003/sig0000031e ; + wire \blk00000003/sig0000031d ; + wire \blk00000003/sig0000031c ; + wire \blk00000003/sig0000031b ; + wire \blk00000003/sig0000031a ; + wire \blk00000003/sig00000319 ; + wire \blk00000003/sig00000318 ; + wire \blk00000003/sig00000317 ; + wire \blk00000003/sig00000316 ; + wire \blk00000003/sig00000315 ; + wire \blk00000003/sig00000314 ; + wire \blk00000003/sig00000313 ; + wire \blk00000003/sig00000312 ; + wire \blk00000003/sig00000311 ; + wire \blk00000003/sig00000310 ; + wire \blk00000003/sig0000030f ; + wire \blk00000003/sig0000030e ; + wire \blk00000003/sig0000030d ; + wire \blk00000003/sig0000030c ; + wire \blk00000003/sig0000030b ; + wire \blk00000003/sig0000030a ; + wire \blk00000003/sig00000309 ; + wire \blk00000003/sig00000308 ; + wire \blk00000003/sig00000307 ; + wire \blk00000003/sig00000306 ; + wire \blk00000003/sig00000305 ; + wire \blk00000003/sig00000304 ; + wire \blk00000003/sig00000303 ; + wire \blk00000003/sig00000302 ; + wire \blk00000003/sig00000301 ; + wire \blk00000003/sig00000300 ; + wire \blk00000003/sig000002ff ; + wire \blk00000003/sig000002fe ; + wire \blk00000003/sig000002fd ; + wire \blk00000003/sig000002fc ; + wire \blk00000003/sig000002fb ; + wire \blk00000003/sig000002fa ; + wire \blk00000003/sig000002f9 ; + wire \blk00000003/sig000002f8 ; + wire \blk00000003/sig000002f7 ; + wire \blk00000003/sig000002f6 ; + wire \blk00000003/sig000002f5 ; + wire \blk00000003/sig000002f4 ; + wire \blk00000003/sig000002f3 ; + wire \blk00000003/sig000002f2 ; + wire \blk00000003/sig000002f1 ; + wire \blk00000003/sig000002f0 ; + wire \blk00000003/sig000002ef ; + wire \blk00000003/sig000002ee ; + wire \blk00000003/sig000002ed ; + wire \blk00000003/sig000002ec ; + wire \blk00000003/sig000002eb ; + wire \blk00000003/sig000002ea ; + wire \blk00000003/sig000002e9 ; + wire \blk00000003/sig000002e8 ; + wire \blk00000003/sig000002e7 ; + wire \blk00000003/sig000002e6 ; + wire \blk00000003/sig000002e5 ; + wire \blk00000003/sig000002e4 ; + wire \blk00000003/sig000002e3 ; + wire \blk00000003/sig000002e2 ; + wire \blk00000003/sig000002e1 ; + wire \blk00000003/sig000002e0 ; + wire \blk00000003/sig000002df ; + wire \blk00000003/sig000002de ; + wire \blk00000003/sig000002dd ; + wire \blk00000003/sig000002dc ; + wire \blk00000003/sig000002db ; + wire \blk00000003/sig000002da ; + wire \blk00000003/sig000002d9 ; + wire \blk00000003/sig000002d8 ; + wire \blk00000003/sig000002d7 ; + wire \blk00000003/sig000002d6 ; + wire \blk00000003/sig000002d5 ; + wire \blk00000003/sig000002d4 ; + wire \blk00000003/sig000002d3 ; + wire \blk00000003/sig000002d2 ; + wire \blk00000003/sig000002d1 ; + wire \blk00000003/sig000002d0 ; + wire \blk00000003/sig000002cf ; + wire \blk00000003/sig000002ce ; + wire \blk00000003/sig000002cd ; + wire \blk00000003/sig000002cc ; + wire \blk00000003/sig000002cb ; + wire \blk00000003/sig000002ca ; + wire \blk00000003/sig000002c9 ; + wire \blk00000003/sig000002c8 ; + wire \blk00000003/sig000002c7 ; + wire \blk00000003/sig000002c6 ; + wire \blk00000003/sig000002c5 ; + wire \blk00000003/sig000002c4 ; + wire \blk00000003/sig000002c3 ; + wire \blk00000003/sig000002c2 ; + wire \blk00000003/sig000002c1 ; + wire \blk00000003/sig000002c0 ; + wire \blk00000003/sig000002bf ; + wire \blk00000003/sig000002be ; + wire \blk00000003/sig000002bd ; + wire \blk00000003/sig000002bc ; + wire \blk00000003/sig000002bb ; + wire \blk00000003/sig000002ba ; + wire \blk00000003/sig000002b9 ; + wire \blk00000003/sig000002b8 ; + wire \blk00000003/sig000002b7 ; + wire \blk00000003/sig000002b6 ; + wire \blk00000003/sig000002b5 ; + wire \blk00000003/sig000002b4 ; + wire \blk00000003/sig000002b3 ; + wire \blk00000003/sig000002b2 ; + wire \blk00000003/sig000002b1 ; + wire \blk00000003/sig000002b0 ; + wire \blk00000003/sig000002af ; + wire \blk00000003/sig000002ae ; + wire \blk00000003/sig000002ad ; + wire \blk00000003/sig000002ac ; + wire \blk00000003/sig000002ab ; + wire \blk00000003/sig000002aa ; + wire \blk00000003/sig000002a9 ; + wire \blk00000003/sig000002a8 ; + wire \blk00000003/sig000002a7 ; + wire \blk00000003/sig000002a6 ; + wire \blk00000003/sig000002a5 ; + wire \blk00000003/sig000002a4 ; + wire \blk00000003/sig000002a3 ; + wire \blk00000003/sig000002a2 ; + wire \blk00000003/sig000002a1 ; + wire \blk00000003/sig000002a0 ; + wire \blk00000003/sig0000029f ; + wire \blk00000003/sig0000029e ; + wire \blk00000003/sig0000029d ; + wire \blk00000003/sig0000029c ; + wire \blk00000003/sig0000029b ; + wire \blk00000003/sig0000029a ; + wire \blk00000003/sig00000299 ; + wire \blk00000003/sig00000298 ; + wire \blk00000003/sig00000297 ; + wire \blk00000003/sig00000296 ; + wire \blk00000003/sig00000295 ; + wire \blk00000003/sig00000294 ; + wire \blk00000003/sig00000293 ; + wire \blk00000003/sig00000292 ; + wire \blk00000003/sig00000291 ; + wire \blk00000003/sig00000290 ; + wire \blk00000003/sig0000028f ; + wire \blk00000003/sig0000028e ; + wire \blk00000003/sig0000028d ; + wire \blk00000003/sig0000028c ; + wire \blk00000003/sig0000028b ; + wire \blk00000003/sig0000028a ; + wire \blk00000003/sig00000289 ; + wire \blk00000003/sig00000288 ; + wire \blk00000003/sig00000287 ; + wire \blk00000003/sig00000286 ; + wire \blk00000003/sig00000285 ; + wire \blk00000003/sig00000284 ; + wire \blk00000003/sig00000283 ; + wire \blk00000003/sig00000282 ; + wire \blk00000003/sig00000281 ; + wire \blk00000003/sig00000280 ; + wire \blk00000003/sig0000027f ; + wire \blk00000003/sig0000027e ; + wire \blk00000003/sig0000027d ; + wire \blk00000003/sig0000027c ; + wire \blk00000003/sig0000027b ; + wire \blk00000003/sig0000027a ; + wire \blk00000003/sig00000279 ; + wire \blk00000003/sig00000278 ; + wire \blk00000003/sig00000277 ; + wire \blk00000003/sig00000276 ; + wire \blk00000003/sig00000275 ; + wire \blk00000003/sig00000274 ; + wire \blk00000003/sig00000273 ; + wire \blk00000003/sig00000272 ; + wire \blk00000003/sig00000271 ; + wire \blk00000003/sig00000270 ; + wire \blk00000003/sig0000026f ; + wire \blk00000003/sig0000026e ; + wire \blk00000003/sig0000026d ; + wire \blk00000003/sig0000026c ; + wire \blk00000003/sig0000026b ; + wire \blk00000003/sig0000026a ; + wire \blk00000003/sig00000269 ; + wire \blk00000003/sig00000268 ; + wire \blk00000003/sig00000267 ; + wire \blk00000003/sig00000266 ; + wire \blk00000003/sig00000265 ; + wire \blk00000003/sig00000264 ; + wire \blk00000003/sig00000263 ; + wire \blk00000003/sig00000262 ; + wire \blk00000003/sig00000261 ; + wire \blk00000003/sig00000260 ; + wire \blk00000003/sig0000025f ; + wire \blk00000003/sig0000025e ; + wire \blk00000003/sig0000025d ; + wire \blk00000003/sig0000025c ; + wire \blk00000003/sig0000025b ; + wire \blk00000003/sig0000025a ; + wire \blk00000003/sig00000259 ; + wire \blk00000003/sig00000258 ; + wire \blk00000003/sig00000257 ; + wire \blk00000003/sig00000256 ; + wire \blk00000003/sig00000255 ; + wire \blk00000003/sig00000254 ; + wire \blk00000003/sig00000253 ; + wire \blk00000003/sig00000252 ; + wire \blk00000003/sig00000251 ; + wire \blk00000003/sig00000250 ; + wire \blk00000003/sig0000024f ; + wire \blk00000003/sig0000024e ; + wire \blk00000003/sig0000024d ; + wire \blk00000003/sig0000024c ; + wire \blk00000003/sig0000024b ; + wire \blk00000003/sig0000024a ; + wire \blk00000003/sig00000249 ; + wire \blk00000003/sig00000248 ; + wire \blk00000003/sig00000247 ; + wire \blk00000003/sig00000246 ; + wire \blk00000003/sig00000245 ; + wire \blk00000003/sig00000244 ; + wire \blk00000003/sig00000243 ; + wire \blk00000003/sig00000242 ; + wire \blk00000003/sig00000241 ; + wire \blk00000003/sig00000240 ; + wire \blk00000003/sig0000023f ; + wire \blk00000003/sig0000023e ; + wire \blk00000003/sig0000023d ; + wire \blk00000003/sig0000023c ; + wire \blk00000003/sig0000023b ; + wire \blk00000003/sig0000023a ; + wire \blk00000003/sig00000239 ; + wire \blk00000003/sig00000238 ; + wire \blk00000003/sig00000237 ; + wire \blk00000003/sig00000236 ; + wire \blk00000003/sig00000235 ; + wire \blk00000003/sig00000234 ; + wire \blk00000003/sig00000233 ; + wire \blk00000003/sig00000232 ; + wire \blk00000003/sig00000231 ; + wire \blk00000003/sig00000230 ; + wire \blk00000003/sig0000022f ; + wire \blk00000003/sig0000022e ; + wire \blk00000003/sig0000022d ; + wire \blk00000003/sig0000022c ; + wire \blk00000003/sig0000022b ; + wire \blk00000003/sig0000022a ; + wire \blk00000003/sig00000229 ; + wire \blk00000003/sig00000228 ; + wire \blk00000003/sig00000227 ; + wire \blk00000003/sig00000226 ; + wire \blk00000003/sig00000225 ; + wire \blk00000003/sig00000224 ; + wire \blk00000003/sig00000223 ; + wire \blk00000003/sig00000222 ; + wire \blk00000003/sig00000221 ; + wire \blk00000003/sig00000220 ; + wire \blk00000003/sig0000021f ; + wire \blk00000003/sig0000021e ; + wire \blk00000003/sig0000021d ; + wire \blk00000003/sig0000021c ; + wire \blk00000003/sig0000021b ; + wire \blk00000003/sig0000021a ; + wire \blk00000003/sig00000219 ; + wire \blk00000003/sig00000218 ; + wire \blk00000003/sig00000217 ; + wire \blk00000003/sig00000216 ; + wire \blk00000003/sig00000215 ; + wire \blk00000003/sig00000214 ; + wire \blk00000003/sig00000213 ; + wire \blk00000003/sig00000212 ; + wire \blk00000003/sig00000211 ; + wire \blk00000003/sig00000210 ; + wire \blk00000003/sig0000020f ; + wire \blk00000003/sig0000020e ; + wire \blk00000003/sig0000020d ; + wire \blk00000003/sig0000020c ; + wire \blk00000003/sig0000020b ; + wire \blk00000003/sig0000020a ; + wire \blk00000003/sig00000209 ; + wire \blk00000003/sig00000208 ; + wire \blk00000003/sig00000207 ; + wire \blk00000003/sig00000206 ; + wire \blk00000003/sig00000205 ; + wire \blk00000003/sig00000204 ; + wire \blk00000003/sig00000203 ; + wire \blk00000003/sig00000202 ; + wire \blk00000003/sig00000201 ; + wire \blk00000003/sig00000200 ; + wire \blk00000003/sig000001ff ; + wire \blk00000003/sig000001fe ; + wire \blk00000003/sig000001fd ; + wire \blk00000003/sig000001fc ; + wire \blk00000003/sig000001fb ; + wire \blk00000003/sig000001fa ; + wire \blk00000003/sig000001f9 ; + wire \blk00000003/sig000001f8 ; + wire \blk00000003/sig000001f7 ; + wire \blk00000003/sig000001f6 ; + wire \blk00000003/sig000001f5 ; + wire \blk00000003/sig000001f4 ; + wire \blk00000003/sig000001f3 ; + wire \blk00000003/sig000001f2 ; + wire \blk00000003/sig000001f1 ; + wire \blk00000003/sig000001f0 ; + wire \blk00000003/sig000001ef ; + wire \blk00000003/sig000001ee ; + wire \blk00000003/sig000001ed ; + wire \blk00000003/sig000001ec ; + wire \blk00000003/sig000001eb ; + wire \blk00000003/sig000001ea ; + wire \blk00000003/sig000001e9 ; + wire \blk00000003/sig000001e8 ; + wire \blk00000003/sig000001e7 ; + wire \blk00000003/sig000001e6 ; + wire \blk00000003/sig000001e5 ; + wire \blk00000003/sig000001e4 ; + wire \blk00000003/sig000001e3 ; + wire \blk00000003/sig000001e2 ; + wire \blk00000003/sig000001e1 ; + wire \blk00000003/sig000001e0 ; + wire \blk00000003/sig000001df ; + wire \blk00000003/sig000001de ; + wire \blk00000003/sig000001dd ; + wire \blk00000003/sig000001dc ; + wire \blk00000003/sig000001db ; + wire \blk00000003/sig000001da ; + wire \blk00000003/sig000001d9 ; + wire \blk00000003/sig000001d8 ; + wire \blk00000003/sig000001d7 ; + wire \blk00000003/sig000001d6 ; + wire \blk00000003/sig000001d5 ; + wire \blk00000003/sig000001d4 ; + wire \blk00000003/sig000001d3 ; + wire \blk00000003/sig000001d2 ; + wire \blk00000003/sig000001d1 ; + wire \blk00000003/sig000001d0 ; + wire \blk00000003/sig000001cf ; + wire \blk00000003/sig000001ce ; + wire \blk00000003/sig000001cd ; + wire \blk00000003/sig000001cc ; + wire \blk00000003/sig000001cb ; + wire \blk00000003/sig000001ca ; + wire \blk00000003/sig000001c9 ; + wire \blk00000003/sig000001c8 ; + wire \blk00000003/sig000001c7 ; + wire \blk00000003/sig000001c6 ; + wire \blk00000003/sig000001c5 ; + wire \blk00000003/sig000001c4 ; + wire \blk00000003/sig000001c3 ; + wire \blk00000003/sig000001c2 ; + wire \blk00000003/sig000001c1 ; + wire \blk00000003/sig000001c0 ; + wire \blk00000003/sig000001bf ; + wire \blk00000003/sig000001be ; + wire \blk00000003/sig000001bd ; + wire \blk00000003/sig000001bc ; + wire \blk00000003/sig000001bb ; + wire \blk00000003/sig000001ba ; + wire \blk00000003/sig000001b9 ; + wire \blk00000003/sig000001b8 ; + wire \blk00000003/sig000001b7 ; + wire \blk00000003/sig000001b6 ; + wire \blk00000003/sig000001b5 ; + wire \blk00000003/sig000001b4 ; + wire \blk00000003/sig000001b3 ; + wire \blk00000003/sig000001b2 ; + wire \blk00000003/sig000001b1 ; + wire \blk00000003/sig000001b0 ; + wire \blk00000003/sig000001af ; + wire \blk00000003/sig000001ae ; + wire \blk00000003/sig000001ad ; + wire \blk00000003/sig000001ac ; + wire \blk00000003/sig000001ab ; + wire \blk00000003/sig000001aa ; + wire \blk00000003/sig000001a9 ; + wire \blk00000003/sig000001a8 ; + wire \blk00000003/sig000001a7 ; + wire \blk00000003/sig000001a6 ; + wire \blk00000003/sig000001a5 ; + wire \blk00000003/sig000001a4 ; + wire \blk00000003/sig000001a3 ; + wire \blk00000003/sig000001a2 ; + wire \blk00000003/sig000001a1 ; + wire \blk00000003/sig000001a0 ; + wire \blk00000003/sig0000019f ; + wire \blk00000003/sig0000019e ; + wire \blk00000003/sig0000019d ; + wire \blk00000003/sig0000019c ; + wire \blk00000003/sig0000019b ; + wire \blk00000003/sig0000019a ; + wire \blk00000003/sig00000199 ; + wire \blk00000003/sig00000198 ; + wire \blk00000003/sig00000197 ; + wire \blk00000003/sig00000196 ; + wire \blk00000003/sig00000195 ; + wire \blk00000003/sig00000194 ; + wire \blk00000003/sig00000193 ; + wire \blk00000003/sig00000192 ; + wire \blk00000003/sig00000191 ; + wire \blk00000003/sig00000190 ; + wire \blk00000003/sig0000018f ; + wire \blk00000003/sig0000018e ; + wire \blk00000003/sig0000018d ; + wire \blk00000003/sig0000018c ; + wire \blk00000003/sig0000018b ; + wire \blk00000003/sig0000018a ; + wire \blk00000003/sig00000189 ; + wire \blk00000003/sig00000188 ; + wire \blk00000003/sig00000187 ; + wire \blk00000003/sig00000186 ; + wire \blk00000003/sig00000185 ; + wire \blk00000003/sig00000184 ; + wire \blk00000003/sig00000183 ; + wire \blk00000003/sig00000182 ; + wire \blk00000003/sig00000181 ; + wire \blk00000003/sig00000180 ; + wire \blk00000003/sig0000017f ; + wire \blk00000003/sig0000017e ; + wire \blk00000003/sig0000017d ; + wire \blk00000003/sig0000017c ; + wire \blk00000003/sig0000017b ; + wire \blk00000003/sig0000017a ; + wire \blk00000003/sig00000179 ; + wire \blk00000003/sig00000178 ; + wire \blk00000003/sig00000177 ; + wire \blk00000003/sig00000176 ; + wire \blk00000003/sig00000175 ; + wire \blk00000003/sig00000174 ; + wire \blk00000003/sig00000173 ; + wire \blk00000003/sig00000172 ; + wire \blk00000003/sig00000171 ; + wire \blk00000003/sig00000170 ; + wire \blk00000003/sig0000016f ; + wire \blk00000003/sig0000016e ; + wire \blk00000003/sig0000016d ; + wire \blk00000003/sig0000016c ; + wire \blk00000003/sig0000016b ; + wire \blk00000003/sig0000016a ; + wire \blk00000003/sig00000169 ; + wire \blk00000003/sig00000168 ; + wire \blk00000003/sig00000167 ; + wire \blk00000003/sig00000166 ; + wire \blk00000003/sig00000165 ; + wire \blk00000003/sig00000164 ; + wire \blk00000003/sig00000163 ; + wire \blk00000003/sig00000162 ; + wire \blk00000003/sig00000161 ; + wire \blk00000003/sig00000160 ; + wire \blk00000003/sig0000015f ; + wire \blk00000003/sig0000015e ; + wire \blk00000003/sig0000015d ; + wire \blk00000003/sig0000015c ; + wire \blk00000003/sig0000015b ; + wire \blk00000003/sig0000015a ; + wire \blk00000003/sig00000159 ; + wire \blk00000003/sig00000158 ; + wire \blk00000003/sig00000157 ; + wire \blk00000003/sig00000156 ; + wire \blk00000003/sig00000155 ; + wire \blk00000003/sig00000154 ; + wire \blk00000003/sig00000153 ; + wire \blk00000003/sig00000152 ; + wire \blk00000003/sig00000151 ; + wire \blk00000003/sig00000150 ; + wire \blk00000003/sig0000014f ; + wire \blk00000003/sig0000014e ; + wire \blk00000003/sig0000014d ; + wire \blk00000003/sig0000014c ; + wire \blk00000003/sig0000014b ; + wire \blk00000003/sig0000014a ; + wire \blk00000003/sig00000149 ; + wire \blk00000003/sig00000148 ; + wire \blk00000003/sig00000147 ; + wire \blk00000003/sig00000146 ; + wire \blk00000003/sig00000145 ; + wire \blk00000003/sig00000144 ; + wire \blk00000003/sig00000143 ; + wire \blk00000003/sig00000142 ; + wire \blk00000003/sig00000141 ; + wire \blk00000003/sig00000140 ; + wire \blk00000003/sig0000013f ; + wire \blk00000003/sig0000013e ; + wire \blk00000003/sig0000013d ; + wire \blk00000003/sig0000013c ; + wire \blk00000003/sig0000013b ; + wire \blk00000003/sig0000013a ; + wire \blk00000003/sig00000139 ; + wire \blk00000003/sig00000138 ; + wire \blk00000003/sig00000137 ; + wire \blk00000003/sig00000136 ; + wire \blk00000003/sig00000135 ; + wire \blk00000003/sig00000134 ; + wire \blk00000003/sig00000133 ; + wire \blk00000003/sig00000132 ; + wire \blk00000003/sig00000131 ; + wire \blk00000003/sig00000130 ; + wire \blk00000003/sig0000012f ; + wire \blk00000003/sig0000012e ; + wire \blk00000003/sig0000012d ; + wire \blk00000003/sig0000012c ; + wire \blk00000003/sig0000012b ; + wire \blk00000003/sig0000012a ; + wire \blk00000003/sig00000129 ; + wire \blk00000003/sig00000128 ; + wire \blk00000003/sig00000127 ; + wire \blk00000003/sig00000126 ; + wire \blk00000003/sig00000125 ; + wire \blk00000003/sig00000124 ; + wire \blk00000003/sig00000123 ; + wire \blk00000003/sig00000122 ; + wire \blk00000003/sig00000121 ; + wire \blk00000003/sig00000120 ; + wire \blk00000003/sig0000011f ; + wire \blk00000003/sig0000011e ; + wire \blk00000003/sig0000011d ; + wire \blk00000003/sig0000011c ; + wire \blk00000003/sig0000011b ; + wire \blk00000003/sig0000011a ; + wire \blk00000003/sig00000119 ; + wire \blk00000003/sig00000118 ; + wire \blk00000003/sig00000117 ; + wire \blk00000003/sig00000116 ; + wire \blk00000003/sig00000115 ; + wire \blk00000003/sig00000114 ; + wire \blk00000003/sig00000113 ; + wire \blk00000003/sig00000112 ; + wire \blk00000003/sig00000111 ; + wire \blk00000003/sig00000110 ; + wire \blk00000003/sig0000010f ; + wire \blk00000003/sig0000010e ; + wire \blk00000003/sig0000010d ; + wire \blk00000003/sig0000010c ; + wire \blk00000003/sig0000010b ; + wire \blk00000003/sig0000010a ; + wire \blk00000003/sig00000109 ; + wire \blk00000003/sig00000108 ; + wire \blk00000003/sig00000107 ; + wire \blk00000003/sig00000106 ; + wire \blk00000003/sig00000105 ; + wire \blk00000003/sig00000104 ; + wire \blk00000003/sig00000103 ; + wire \blk00000003/sig00000102 ; + wire \blk00000003/sig00000101 ; + wire \blk00000003/sig00000100 ; + wire \blk00000003/sig000000ff ; + wire \blk00000003/sig000000fe ; + wire \blk00000003/sig000000fd ; + wire \blk00000003/sig000000fc ; + wire \blk00000003/sig000000fb ; + wire \blk00000003/sig000000fa ; + wire \blk00000003/sig000000f9 ; + wire \blk00000003/sig000000f8 ; + wire \blk00000003/sig000000f7 ; + wire \blk00000003/sig000000f6 ; + wire \blk00000003/sig000000f5 ; + wire \blk00000003/sig000000f4 ; + wire \blk00000003/sig000000f3 ; + wire \blk00000003/sig000000f2 ; + wire \blk00000003/sig000000f1 ; + wire \blk00000003/sig000000f0 ; + wire \blk00000003/sig000000ef ; + wire \blk00000003/sig000000ee ; + wire \blk00000003/sig000000ed ; + wire \blk00000003/sig000000ec ; + wire \blk00000003/sig000000eb ; + wire \blk00000003/sig000000ea ; + wire \blk00000003/sig000000e9 ; + wire \blk00000003/sig000000e8 ; + wire \blk00000003/sig000000e7 ; + wire \blk00000003/sig000000e6 ; + wire \blk00000003/sig000000e5 ; + wire \blk00000003/sig000000e4 ; + wire \blk00000003/sig000000e3 ; + wire \blk00000003/sig000000e2 ; + wire \blk00000003/sig000000e1 ; + wire \blk00000003/sig000000e0 ; + wire \blk00000003/sig000000df ; + wire \blk00000003/sig000000de ; + wire \blk00000003/sig000000dd ; + wire \blk00000003/sig000000dc ; + wire \blk00000003/sig000000db ; + wire \blk00000003/sig000000da ; + wire \blk00000003/sig000000d9 ; + wire \blk00000003/sig000000d8 ; + wire \blk00000003/sig000000d7 ; + wire \blk00000003/sig000000d6 ; + wire \blk00000003/sig000000d5 ; + wire \blk00000003/sig000000d4 ; + wire \blk00000003/sig000000d3 ; + wire \blk00000003/sig000000d2 ; + wire \blk00000003/sig000000d1 ; + wire \blk00000003/sig000000d0 ; + wire \blk00000003/sig000000cf ; + wire \blk00000003/sig000000ce ; + wire \blk00000003/sig000000cd ; + wire \blk00000003/sig000000cc ; + wire \blk00000003/sig000000cb ; + wire \blk00000003/sig000000ca ; + wire \blk00000003/sig000000c9 ; + wire \blk00000003/sig000000c8 ; + wire \blk00000003/sig000000c7 ; + wire \blk00000003/sig000000c6 ; + wire \blk00000003/sig000000c5 ; + wire \blk00000003/sig000000c4 ; + wire \blk00000003/sig000000c3 ; + wire \blk00000003/sig000000c2 ; + wire \blk00000003/sig000000c1 ; + wire \blk00000003/sig000000c0 ; + wire \blk00000003/sig000000bf ; + wire \blk00000003/sig000000be ; + wire \blk00000003/sig000000bd ; + wire \blk00000003/sig000000bc ; + wire \blk00000003/sig000000bb ; + wire \blk00000003/sig000000ba ; + wire \blk00000003/sig000000b9 ; + wire \blk00000003/sig000000b8 ; + wire \blk00000003/sig000000b7 ; + wire \blk00000003/sig000000b6 ; + wire \blk00000003/sig000000b5 ; + wire \blk00000003/sig000000b4 ; + wire \blk00000003/sig000000b3 ; + wire \blk00000003/sig000000b2 ; + wire \blk00000003/sig000000b1 ; + wire \blk00000003/sig000000b0 ; + wire \blk00000003/sig000000af ; + wire \blk00000003/sig000000ae ; + wire \blk00000003/sig000000ad ; + wire \blk00000003/sig000000ac ; + wire \blk00000003/sig0000004a ; + wire \blk00000003/sig00000049 ; + wire \blk00000003/blk0000002b/sig00000800 ; + wire \blk00000003/blk0000002b/sig000007ff ; + wire \blk00000003/blk0000002b/sig000007fe ; + wire \blk00000003/blk0000002b/sig000007fd ; + wire \blk00000003/blk0000002b/sig000007fc ; + wire \blk00000003/blk0000002b/sig000007fb ; + wire \blk00000003/blk0000002b/sig000007fa ; + wire \blk00000003/blk0000002b/sig000007f9 ; + wire \blk00000003/blk0000002b/sig000007f8 ; + wire \blk00000003/blk0000002b/sig000007f7 ; + wire \blk00000003/blk0000002b/sig000007f6 ; + wire \blk00000003/blk0000002b/sig000007f5 ; + wire \blk00000003/blk0000002b/sig000007f4 ; + wire \blk00000003/blk0000002b/sig000007f3 ; + wire \blk00000003/blk0000002b/sig000007f2 ; + wire \blk00000003/blk0000002b/sig000007f1 ; + wire \blk00000003/blk0000002b/sig000007f0 ; + wire \blk00000003/blk0000002b/sig000007ef ; + wire \blk00000003/blk0000002b/sig000007ee ; + wire \blk00000003/blk0000002b/sig000007ed ; + wire \blk00000003/blk0000002b/sig000007ec ; + wire \blk00000003/blk0000002b/sig000007eb ; + wire \blk00000003/blk0000002b/sig000007ea ; + wire \blk00000003/blk0000002b/sig000007e9 ; + wire \blk00000003/blk0000002b/sig000007e8 ; + wire \blk00000003/blk0000002b/sig000007e7 ; + wire \blk00000003/blk0000002b/sig000007e6 ; + wire \blk00000003/blk0000002b/sig000007e5 ; + wire \blk00000003/blk0000002b/sig000007e4 ; + wire \blk00000003/blk0000002b/sig000007e3 ; + wire \blk00000003/blk0000002b/sig000007e2 ; + wire \blk00000003/blk0000002b/sig000007e1 ; + wire \blk00000003/blk0000002b/sig000007e0 ; + wire \blk00000003/blk0000002b/sig000007df ; + wire \blk00000003/blk0000002b/sig000007de ; + wire \blk00000003/blk0000002b/sig000007dd ; + wire \blk00000003/blk0000002b/sig000007dc ; + wire \blk00000003/blk0000002b/sig000007db ; + wire \blk00000003/blk0000002b/sig000007da ; + wire \blk00000003/blk0000002b/sig000007d9 ; + wire \blk00000003/blk0000002b/sig000007d8 ; + wire \blk00000003/blk0000002b/sig000007d7 ; + wire \blk00000003/blk0000002b/sig000007d6 ; + wire \blk00000003/blk0000002b/sig000007d5 ; + wire \blk00000003/blk0000002b/sig000007d4 ; + wire \blk00000003/blk0000002b/sig000007d3 ; + wire \blk00000003/blk0000002b/sig000007d2 ; + wire \blk00000003/blk0000002b/sig000007d1 ; + wire \blk00000003/blk0000002b/sig000007d0 ; + wire \blk00000003/blk0000002b/sig000007cf ; + wire \blk00000003/blk00000117/sig0000084f ; + wire \blk00000003/blk00000117/sig0000084e ; + wire \blk00000003/blk00000117/sig0000084d ; + wire \blk00000003/blk00000117/sig0000084c ; + wire \blk00000003/blk00000117/sig0000084b ; + wire \blk00000003/blk00000117/sig0000084a ; + wire \blk00000003/blk00000117/sig00000849 ; + wire \blk00000003/blk00000117/sig00000848 ; + wire \blk00000003/blk00000117/sig00000847 ; + wire \blk00000003/blk00000117/sig00000846 ; + wire \blk00000003/blk00000117/sig00000845 ; + wire \blk00000003/blk00000117/sig00000844 ; + wire \blk00000003/blk00000117/sig00000843 ; + wire \blk00000003/blk00000117/sig00000842 ; + wire \blk00000003/blk00000117/sig00000841 ; + wire \blk00000003/blk00000117/sig00000840 ; + wire \blk00000003/blk00000117/sig0000083f ; + wire \blk00000003/blk00000117/sig0000083e ; + wire \blk00000003/blk00000117/sig0000083d ; + wire \blk00000003/blk00000117/sig0000083c ; + wire \blk00000003/blk00000117/sig0000083b ; + wire \blk00000003/blk00000117/sig0000083a ; + wire \blk00000003/blk00000117/sig00000839 ; + wire \blk00000003/blk00000117/sig00000838 ; + wire \blk00000003/blk00000117/sig00000837 ; + wire \blk00000003/blk00000117/sig00000836 ; + wire \blk00000003/blk0000014a/sig0000089e ; + wire \blk00000003/blk0000014a/sig0000089d ; + wire \blk00000003/blk0000014a/sig0000089c ; + wire \blk00000003/blk0000014a/sig0000089b ; + wire \blk00000003/blk0000014a/sig0000089a ; + wire \blk00000003/blk0000014a/sig00000899 ; + wire \blk00000003/blk0000014a/sig00000898 ; + wire \blk00000003/blk0000014a/sig00000897 ; + wire \blk00000003/blk0000014a/sig00000896 ; + wire \blk00000003/blk0000014a/sig00000895 ; + wire \blk00000003/blk0000014a/sig00000894 ; + wire \blk00000003/blk0000014a/sig00000893 ; + wire \blk00000003/blk0000014a/sig00000892 ; + wire \blk00000003/blk0000014a/sig00000891 ; + wire \blk00000003/blk0000014a/sig00000890 ; + wire \blk00000003/blk0000014a/sig0000088f ; + wire \blk00000003/blk0000014a/sig0000088e ; + wire \blk00000003/blk0000014a/sig0000088d ; + wire \blk00000003/blk0000014a/sig0000088c ; + wire \blk00000003/blk0000014a/sig0000088b ; + wire \blk00000003/blk0000014a/sig0000088a ; + wire \blk00000003/blk0000014a/sig00000889 ; + wire \blk00000003/blk0000014a/sig00000888 ; + wire \blk00000003/blk0000014a/sig00000887 ; + wire \blk00000003/blk0000014a/sig00000886 ; + wire \blk00000003/blk0000014a/sig00000885 ; + wire \blk00000003/blk0000017d/sig000008ed ; + wire \blk00000003/blk0000017d/sig000008ec ; + wire \blk00000003/blk0000017d/sig000008eb ; + wire \blk00000003/blk0000017d/sig000008ea ; + wire \blk00000003/blk0000017d/sig000008e9 ; + wire \blk00000003/blk0000017d/sig000008e8 ; + wire \blk00000003/blk0000017d/sig000008e7 ; + wire \blk00000003/blk0000017d/sig000008e6 ; + wire \blk00000003/blk0000017d/sig000008e5 ; + wire \blk00000003/blk0000017d/sig000008e4 ; + wire \blk00000003/blk0000017d/sig000008e3 ; + wire \blk00000003/blk0000017d/sig000008e2 ; + wire \blk00000003/blk0000017d/sig000008e1 ; + wire \blk00000003/blk0000017d/sig000008e0 ; + wire \blk00000003/blk0000017d/sig000008df ; + wire \blk00000003/blk0000017d/sig000008de ; + wire \blk00000003/blk0000017d/sig000008dd ; + wire \blk00000003/blk0000017d/sig000008dc ; + wire \blk00000003/blk0000017d/sig000008db ; + wire \blk00000003/blk0000017d/sig000008da ; + wire \blk00000003/blk0000017d/sig000008d9 ; + wire \blk00000003/blk0000017d/sig000008d8 ; + wire \blk00000003/blk0000017d/sig000008d7 ; + wire \blk00000003/blk0000017d/sig000008d6 ; + wire \blk00000003/blk0000017d/sig000008d5 ; + wire \blk00000003/blk0000017d/sig000008d4 ; + wire \blk00000003/blk000001b0/sig0000093c ; + wire \blk00000003/blk000001b0/sig0000093b ; + wire \blk00000003/blk000001b0/sig0000093a ; + wire \blk00000003/blk000001b0/sig00000939 ; + wire \blk00000003/blk000001b0/sig00000938 ; + wire \blk00000003/blk000001b0/sig00000937 ; + wire \blk00000003/blk000001b0/sig00000936 ; + wire \blk00000003/blk000001b0/sig00000935 ; + wire \blk00000003/blk000001b0/sig00000934 ; + wire \blk00000003/blk000001b0/sig00000933 ; + wire \blk00000003/blk000001b0/sig00000932 ; + wire \blk00000003/blk000001b0/sig00000931 ; + wire \blk00000003/blk000001b0/sig00000930 ; + wire \blk00000003/blk000001b0/sig0000092f ; + wire \blk00000003/blk000001b0/sig0000092e ; + wire \blk00000003/blk000001b0/sig0000092d ; + wire \blk00000003/blk000001b0/sig0000092c ; + wire \blk00000003/blk000001b0/sig0000092b ; + wire \blk00000003/blk000001b0/sig0000092a ; + wire \blk00000003/blk000001b0/sig00000929 ; + wire \blk00000003/blk000001b0/sig00000928 ; + wire \blk00000003/blk000001b0/sig00000927 ; + wire \blk00000003/blk000001b0/sig00000926 ; + wire \blk00000003/blk000001b0/sig00000925 ; + wire \blk00000003/blk000001b0/sig00000924 ; + wire \blk00000003/blk000001b0/sig00000923 ; + wire \blk00000003/blk000001e3/sig0000098b ; + wire \blk00000003/blk000001e3/sig0000098a ; + wire \blk00000003/blk000001e3/sig00000989 ; + wire \blk00000003/blk000001e3/sig00000988 ; + wire \blk00000003/blk000001e3/sig00000987 ; + wire \blk00000003/blk000001e3/sig00000986 ; + wire \blk00000003/blk000001e3/sig00000985 ; + wire \blk00000003/blk000001e3/sig00000984 ; + wire \blk00000003/blk000001e3/sig00000983 ; + wire \blk00000003/blk000001e3/sig00000982 ; + wire \blk00000003/blk000001e3/sig00000981 ; + wire \blk00000003/blk000001e3/sig00000980 ; + wire \blk00000003/blk000001e3/sig0000097f ; + wire \blk00000003/blk000001e3/sig0000097e ; + wire \blk00000003/blk000001e3/sig0000097d ; + wire \blk00000003/blk000001e3/sig0000097c ; + wire \blk00000003/blk000001e3/sig0000097b ; + wire \blk00000003/blk000001e3/sig0000097a ; + wire \blk00000003/blk000001e3/sig00000979 ; + wire \blk00000003/blk000001e3/sig00000978 ; + wire \blk00000003/blk000001e3/sig00000977 ; + wire \blk00000003/blk000001e3/sig00000976 ; + wire \blk00000003/blk000001e3/sig00000975 ; + wire \blk00000003/blk000001e3/sig00000974 ; + wire \blk00000003/blk000001e3/sig00000973 ; + wire \blk00000003/blk000001e3/sig00000972 ; + wire \blk00000003/blk00000216/sig000009da ; + wire \blk00000003/blk00000216/sig000009d9 ; + wire \blk00000003/blk00000216/sig000009d8 ; + wire \blk00000003/blk00000216/sig000009d7 ; + wire \blk00000003/blk00000216/sig000009d6 ; + wire \blk00000003/blk00000216/sig000009d5 ; + wire \blk00000003/blk00000216/sig000009d4 ; + wire \blk00000003/blk00000216/sig000009d3 ; + wire \blk00000003/blk00000216/sig000009d2 ; + wire \blk00000003/blk00000216/sig000009d1 ; + wire \blk00000003/blk00000216/sig000009d0 ; + wire \blk00000003/blk00000216/sig000009cf ; + wire \blk00000003/blk00000216/sig000009ce ; + wire \blk00000003/blk00000216/sig000009cd ; + wire \blk00000003/blk00000216/sig000009cc ; + wire \blk00000003/blk00000216/sig000009cb ; + wire \blk00000003/blk00000216/sig000009ca ; + wire \blk00000003/blk00000216/sig000009c9 ; + wire \blk00000003/blk00000216/sig000009c8 ; + wire \blk00000003/blk00000216/sig000009c7 ; + wire \blk00000003/blk00000216/sig000009c6 ; + wire \blk00000003/blk00000216/sig000009c5 ; + wire \blk00000003/blk00000216/sig000009c4 ; + wire \blk00000003/blk00000216/sig000009c3 ; + wire \blk00000003/blk00000216/sig000009c2 ; + wire \blk00000003/blk00000216/sig000009c1 ; + wire \blk00000003/blk00000249/sig00000a29 ; + wire \blk00000003/blk00000249/sig00000a28 ; + wire \blk00000003/blk00000249/sig00000a27 ; + wire \blk00000003/blk00000249/sig00000a26 ; + wire \blk00000003/blk00000249/sig00000a25 ; + wire \blk00000003/blk00000249/sig00000a24 ; + wire \blk00000003/blk00000249/sig00000a23 ; + wire \blk00000003/blk00000249/sig00000a22 ; + wire \blk00000003/blk00000249/sig00000a21 ; + wire \blk00000003/blk00000249/sig00000a20 ; + wire \blk00000003/blk00000249/sig00000a1f ; + wire \blk00000003/blk00000249/sig00000a1e ; + wire \blk00000003/blk00000249/sig00000a1d ; + wire \blk00000003/blk00000249/sig00000a1c ; + wire \blk00000003/blk00000249/sig00000a1b ; + wire \blk00000003/blk00000249/sig00000a1a ; + wire \blk00000003/blk00000249/sig00000a19 ; + wire \blk00000003/blk00000249/sig00000a18 ; + wire \blk00000003/blk00000249/sig00000a17 ; + wire \blk00000003/blk00000249/sig00000a16 ; + wire \blk00000003/blk00000249/sig00000a15 ; + wire \blk00000003/blk00000249/sig00000a14 ; + wire \blk00000003/blk00000249/sig00000a13 ; + wire \blk00000003/blk00000249/sig00000a12 ; + wire \blk00000003/blk00000249/sig00000a11 ; + wire \blk00000003/blk00000249/sig00000a10 ; + wire \blk00000003/blk0000027c/sig00000a78 ; + wire \blk00000003/blk0000027c/sig00000a77 ; + wire \blk00000003/blk0000027c/sig00000a76 ; + wire \blk00000003/blk0000027c/sig00000a75 ; + wire \blk00000003/blk0000027c/sig00000a74 ; + wire \blk00000003/blk0000027c/sig00000a73 ; + wire \blk00000003/blk0000027c/sig00000a72 ; + wire \blk00000003/blk0000027c/sig00000a71 ; + wire \blk00000003/blk0000027c/sig00000a70 ; + wire \blk00000003/blk0000027c/sig00000a6f ; + wire \blk00000003/blk0000027c/sig00000a6e ; + wire \blk00000003/blk0000027c/sig00000a6d ; + wire \blk00000003/blk0000027c/sig00000a6c ; + wire \blk00000003/blk0000027c/sig00000a6b ; + wire \blk00000003/blk0000027c/sig00000a6a ; + wire \blk00000003/blk0000027c/sig00000a69 ; + wire \blk00000003/blk0000027c/sig00000a68 ; + wire \blk00000003/blk0000027c/sig00000a67 ; + wire \blk00000003/blk0000027c/sig00000a66 ; + wire \blk00000003/blk0000027c/sig00000a65 ; + wire \blk00000003/blk0000027c/sig00000a64 ; + wire \blk00000003/blk0000027c/sig00000a63 ; + wire \blk00000003/blk0000027c/sig00000a62 ; + wire \blk00000003/blk0000027c/sig00000a61 ; + wire \blk00000003/blk0000027c/sig00000a60 ; + wire \blk00000003/blk0000027c/sig00000a5f ; + wire \blk00000003/blk000002af/sig00000ac7 ; + wire \blk00000003/blk000002af/sig00000ac6 ; + wire \blk00000003/blk000002af/sig00000ac5 ; + wire \blk00000003/blk000002af/sig00000ac4 ; + wire \blk00000003/blk000002af/sig00000ac3 ; + wire \blk00000003/blk000002af/sig00000ac2 ; + wire \blk00000003/blk000002af/sig00000ac1 ; + wire \blk00000003/blk000002af/sig00000ac0 ; + wire \blk00000003/blk000002af/sig00000abf ; + wire \blk00000003/blk000002af/sig00000abe ; + wire \blk00000003/blk000002af/sig00000abd ; + wire \blk00000003/blk000002af/sig00000abc ; + wire \blk00000003/blk000002af/sig00000abb ; + wire \blk00000003/blk000002af/sig00000aba ; + wire \blk00000003/blk000002af/sig00000ab9 ; + wire \blk00000003/blk000002af/sig00000ab8 ; + wire \blk00000003/blk000002af/sig00000ab7 ; + wire \blk00000003/blk000002af/sig00000ab6 ; + wire \blk00000003/blk000002af/sig00000ab5 ; + wire \blk00000003/blk000002af/sig00000ab4 ; + wire \blk00000003/blk000002af/sig00000ab3 ; + wire \blk00000003/blk000002af/sig00000ab2 ; + wire \blk00000003/blk000002af/sig00000ab1 ; + wire \blk00000003/blk000002af/sig00000ab0 ; + wire \blk00000003/blk000002af/sig00000aaf ; + wire \blk00000003/blk000002af/sig00000aae ; + wire \blk00000003/blk000002e2/sig00000b16 ; + wire \blk00000003/blk000002e2/sig00000b15 ; + wire \blk00000003/blk000002e2/sig00000b14 ; + wire \blk00000003/blk000002e2/sig00000b13 ; + wire \blk00000003/blk000002e2/sig00000b12 ; + wire \blk00000003/blk000002e2/sig00000b11 ; + wire \blk00000003/blk000002e2/sig00000b10 ; + wire \blk00000003/blk000002e2/sig00000b0f ; + wire \blk00000003/blk000002e2/sig00000b0e ; + wire \blk00000003/blk000002e2/sig00000b0d ; + wire \blk00000003/blk000002e2/sig00000b0c ; + wire \blk00000003/blk000002e2/sig00000b0b ; + wire \blk00000003/blk000002e2/sig00000b0a ; + wire \blk00000003/blk000002e2/sig00000b09 ; + wire \blk00000003/blk000002e2/sig00000b08 ; + wire \blk00000003/blk000002e2/sig00000b07 ; + wire \blk00000003/blk000002e2/sig00000b06 ; + wire \blk00000003/blk000002e2/sig00000b05 ; + wire \blk00000003/blk000002e2/sig00000b04 ; + wire \blk00000003/blk000002e2/sig00000b03 ; + wire \blk00000003/blk000002e2/sig00000b02 ; + wire \blk00000003/blk000002e2/sig00000b01 ; + wire \blk00000003/blk000002e2/sig00000b00 ; + wire \blk00000003/blk000002e2/sig00000aff ; + wire \blk00000003/blk000002e2/sig00000afe ; + wire \blk00000003/blk000002e2/sig00000afd ; + wire \blk00000003/blk00000315/sig00000b65 ; + wire \blk00000003/blk00000315/sig00000b64 ; + wire \blk00000003/blk00000315/sig00000b63 ; + wire \blk00000003/blk00000315/sig00000b62 ; + wire \blk00000003/blk00000315/sig00000b61 ; + wire \blk00000003/blk00000315/sig00000b60 ; + wire \blk00000003/blk00000315/sig00000b5f ; + wire \blk00000003/blk00000315/sig00000b5e ; + wire \blk00000003/blk00000315/sig00000b5d ; + wire \blk00000003/blk00000315/sig00000b5c ; + wire \blk00000003/blk00000315/sig00000b5b ; + wire \blk00000003/blk00000315/sig00000b5a ; + wire \blk00000003/blk00000315/sig00000b59 ; + wire \blk00000003/blk00000315/sig00000b58 ; + wire \blk00000003/blk00000315/sig00000b57 ; + wire \blk00000003/blk00000315/sig00000b56 ; + wire \blk00000003/blk00000315/sig00000b55 ; + wire \blk00000003/blk00000315/sig00000b54 ; + wire \blk00000003/blk00000315/sig00000b53 ; + wire \blk00000003/blk00000315/sig00000b52 ; + wire \blk00000003/blk00000315/sig00000b51 ; + wire \blk00000003/blk00000315/sig00000b50 ; + wire \blk00000003/blk00000315/sig00000b4f ; + wire \blk00000003/blk00000315/sig00000b4e ; + wire \blk00000003/blk00000315/sig00000b4d ; + wire \blk00000003/blk00000315/sig00000b4c ; + wire \blk00000003/blk00000348/sig00000bb4 ; + wire \blk00000003/blk00000348/sig00000bb3 ; + wire \blk00000003/blk00000348/sig00000bb2 ; + wire \blk00000003/blk00000348/sig00000bb1 ; + wire \blk00000003/blk00000348/sig00000bb0 ; + wire \blk00000003/blk00000348/sig00000baf ; + wire \blk00000003/blk00000348/sig00000bae ; + wire \blk00000003/blk00000348/sig00000bad ; + wire \blk00000003/blk00000348/sig00000bac ; + wire \blk00000003/blk00000348/sig00000bab ; + wire \blk00000003/blk00000348/sig00000baa ; + wire \blk00000003/blk00000348/sig00000ba9 ; + wire \blk00000003/blk00000348/sig00000ba8 ; + wire \blk00000003/blk00000348/sig00000ba7 ; + wire \blk00000003/blk00000348/sig00000ba6 ; + wire \blk00000003/blk00000348/sig00000ba5 ; + wire \blk00000003/blk00000348/sig00000ba4 ; + wire \blk00000003/blk00000348/sig00000ba3 ; + wire \blk00000003/blk00000348/sig00000ba2 ; + wire \blk00000003/blk00000348/sig00000ba1 ; + wire \blk00000003/blk00000348/sig00000ba0 ; + wire \blk00000003/blk00000348/sig00000b9f ; + wire \blk00000003/blk00000348/sig00000b9e ; + wire \blk00000003/blk00000348/sig00000b9d ; + wire \blk00000003/blk00000348/sig00000b9c ; + wire \blk00000003/blk00000348/sig00000b9b ; + wire \blk00000003/blk0000037b/sig00000c19 ; + wire \blk00000003/blk0000037b/sig00000c18 ; + wire \blk00000003/blk0000037b/sig00000c17 ; + wire \blk00000003/blk0000037b/sig00000c16 ; + wire \blk00000003/blk0000037b/sig00000c15 ; + wire \blk00000003/blk0000037b/sig00000c14 ; + wire \blk00000003/blk0000037b/sig00000c13 ; + wire \blk00000003/blk0000037b/sig00000c12 ; + wire \blk00000003/blk0000037b/sig00000c11 ; + wire \blk00000003/blk0000037b/sig00000c10 ; + wire \blk00000003/blk0000037b/sig00000c0f ; + wire \blk00000003/blk0000037b/sig00000c0e ; + wire \blk00000003/blk0000037b/sig00000c0d ; + wire \blk00000003/blk0000037b/sig00000c0c ; + wire \blk00000003/blk0000037b/sig00000c0b ; + wire \blk00000003/blk0000037b/sig00000c0a ; + wire \blk00000003/blk0000037b/sig00000c09 ; + wire \blk00000003/blk0000037b/sig00000c08 ; + wire \blk00000003/blk0000037b/sig00000c07 ; + wire \blk00000003/blk0000037b/sig00000c06 ; + wire \blk00000003/blk0000037b/sig00000c05 ; + wire \blk00000003/blk0000037b/sig00000c04 ; + wire \blk00000003/blk0000037b/sig00000c03 ; + wire \blk00000003/blk0000037b/sig00000c02 ; + wire \blk00000003/blk0000037b/sig00000c01 ; + wire \blk00000003/blk0000037b/sig00000c00 ; + wire \blk00000003/blk0000037b/sig00000bff ; + wire \blk00000003/blk0000037b/sig00000bfe ; + wire \blk00000003/blk0000037b/sig00000bfd ; + wire \blk00000003/blk0000037b/sig00000bfc ; + wire \blk00000003/blk0000037b/sig00000bfb ; + wire \blk00000003/blk0000037b/sig00000bfa ; + wire \blk00000003/blk0000037b/sig00000bf9 ; + wire \blk00000003/blk0000037b/sig00000bf8 ; + wire \blk00000003/blk0000037b/sig00000bf7 ; + wire \blk00000003/blk0000037b/sig00000bf6 ; + wire \blk00000003/blk0000037b/sig00000bf5 ; + wire \blk00000003/blk0000037b/sig00000bf4 ; + wire \blk00000003/blk000003b4/sig00000c7e ; + wire \blk00000003/blk000003b4/sig00000c7d ; + wire \blk00000003/blk000003b4/sig00000c7c ; + wire \blk00000003/blk000003b4/sig00000c7b ; + wire \blk00000003/blk000003b4/sig00000c7a ; + wire \blk00000003/blk000003b4/sig00000c79 ; + wire \blk00000003/blk000003b4/sig00000c78 ; + wire \blk00000003/blk000003b4/sig00000c77 ; + wire \blk00000003/blk000003b4/sig00000c76 ; + wire \blk00000003/blk000003b4/sig00000c75 ; + wire \blk00000003/blk000003b4/sig00000c74 ; + wire \blk00000003/blk000003b4/sig00000c73 ; + wire \blk00000003/blk000003b4/sig00000c72 ; + wire \blk00000003/blk000003b4/sig00000c71 ; + wire \blk00000003/blk000003b4/sig00000c70 ; + wire \blk00000003/blk000003b4/sig00000c6f ; + wire \blk00000003/blk000003b4/sig00000c6e ; + wire \blk00000003/blk000003b4/sig00000c6d ; + wire \blk00000003/blk000003b4/sig00000c6c ; + wire \blk00000003/blk000003b4/sig00000c6b ; + wire \blk00000003/blk000003b4/sig00000c6a ; + wire \blk00000003/blk000003b4/sig00000c69 ; + wire \blk00000003/blk000003b4/sig00000c68 ; + wire \blk00000003/blk000003b4/sig00000c67 ; + wire \blk00000003/blk000003b4/sig00000c66 ; + wire \blk00000003/blk000003b4/sig00000c65 ; + wire \blk00000003/blk000003b4/sig00000c64 ; + wire \blk00000003/blk000003b4/sig00000c63 ; + wire \blk00000003/blk000003b4/sig00000c62 ; + wire \blk00000003/blk000003b4/sig00000c61 ; + wire \blk00000003/blk000003b4/sig00000c60 ; + wire \blk00000003/blk000003b4/sig00000c5f ; + wire \blk00000003/blk000003b4/sig00000c5e ; + wire \blk00000003/blk000003b4/sig00000c5d ; + wire \blk00000003/blk000003b4/sig00000c5c ; + wire \blk00000003/blk000003b4/sig00000c5b ; + wire \blk00000003/blk000003b4/sig00000c5a ; + wire \blk00000003/blk000003b4/sig00000c59 ; + wire \blk00000003/blk0000044d/sig00000cbf ; + wire \blk00000003/blk0000044d/sig00000cbe ; + wire \blk00000003/blk0000044d/sig00000cbd ; + wire \blk00000003/blk0000044d/sig00000cbc ; + wire \blk00000003/blk0000044d/sig00000cbb ; + wire \blk00000003/blk0000044d/sig00000cba ; + wire \blk00000003/blk0000044d/sig00000cb9 ; + wire \blk00000003/blk0000044d/sig00000cb8 ; + wire \blk00000003/blk0000044d/sig00000cb7 ; + wire \blk00000003/blk0000044d/sig00000cb6 ; + wire \blk00000003/blk0000044d/sig00000cb5 ; + wire \blk00000003/blk0000044d/sig00000cb4 ; + wire \blk00000003/blk0000044d/sig00000cb3 ; + wire \blk00000003/blk0000044d/sig00000cb2 ; + wire \blk00000003/blk0000044d/sig00000cb1 ; + wire \blk00000003/blk0000044d/sig00000cb0 ; + wire \blk00000003/blk0000044d/sig00000caf ; + wire \blk00000003/blk0000044d/sig00000cae ; + wire \blk00000003/blk0000044d/sig00000cad ; + wire \blk00000003/blk0000044d/sig00000cac ; + wire \blk00000003/blk000004a4/sig00000cfc ; + wire \blk00000003/blk000004a4/sig00000cfb ; + wire \blk00000003/blk000004a4/sig00000cfa ; + wire \blk00000003/blk000004a4/sig00000cf9 ; + wire \blk00000003/blk000004a4/sig00000cf8 ; + wire \blk00000003/blk000004a4/sig00000cf7 ; + wire \blk00000003/blk000004a4/sig00000cf6 ; + wire \blk00000003/blk000004a4/sig00000cf5 ; + wire \blk00000003/blk000004a4/sig00000cf4 ; + wire \blk00000003/blk000004a4/sig00000cf3 ; + wire \blk00000003/blk000004a4/sig00000cf2 ; + wire \blk00000003/blk000004a4/sig00000cf1 ; + wire \blk00000003/blk000004a4/sig00000cf0 ; + wire \blk00000003/blk000004a4/sig00000cef ; + wire \blk00000003/blk000004a4/sig00000cee ; + wire \blk00000003/blk000004a4/sig00000ced ; + wire \blk00000003/blk000004a4/sig00000cec ; + wire \blk00000003/blk000004a4/sig00000ceb ; + wire \blk00000003/blk000004a4/sig00000cea ; + wire \blk00000003/blk000004a4/sig00000ce9 ; + wire NLW_blk00000001_P_UNCONNECTED; + wire NLW_blk00000002_G_UNCONNECTED; + wire \NLW_blk00000003/blk00000782_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000780_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000778_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000776_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000774_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000772_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000770_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000768_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000766_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000764_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000762_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000760_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000758_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000756_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000754_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000752_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000750_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000074e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000074c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000074a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000748_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000746_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000744_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000742_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000740_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000073e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000073c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000073a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000738_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000736_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000734_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000732_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000730_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000072e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000072c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000072a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000728_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000726_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000724_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000722_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000720_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000718_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000716_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000714_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000712_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000710_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000708_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000706_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000704_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000702_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000700_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006fe_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006fc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006fa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ee_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ec_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ea_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ce_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006cc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ca_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006be_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006bc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ba_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006b8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006b6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006b4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006b2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006b0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006a0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000698_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000696_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000694_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000692_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000690_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000068e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000068c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000068a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000688_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000686_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000684_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000682_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000680_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000067e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000067c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000067a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000678_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000676_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000674_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000672_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000670_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000066e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000066c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000066a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000668_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000666_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000664_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000662_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000660_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000065e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000065c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000065a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000658_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000656_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000654_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000652_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000650_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000648_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000646_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000644_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000642_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000640_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000638_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000636_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000634_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000632_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000630_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000062e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000062c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000062a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000628_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000053a_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000053a_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004d0_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000004d0_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e4_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e4_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e0_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e0_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000db_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000da_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d5_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d4_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d3_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d2_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d1_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d0_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cc_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cb_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ca_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c9_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c8_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c7_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c6_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c0_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c0_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000bc_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000bc_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b6_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b6_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b2_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b2_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a1_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a0_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk00000098_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000096_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000095_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000094_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000093_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000091_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000090_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001a_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk00000012_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000011_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000c_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000b_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000008c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000008b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000008a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000089_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000088_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000087_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000086_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000085_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000084_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000083_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000082_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000081_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000080_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000007f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000007e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000007d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000007c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000007b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000007a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000079_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000078_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000077_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000076_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000075_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000074_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000073_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000072_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000071_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000070_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000006f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000006e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000006d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000006c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000006b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000006a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000069_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000068_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000067_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000066_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000065_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000064_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000063_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000062_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000061_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk00000060_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000005f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000005e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002b/blk0000005d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000148_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000147_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000146_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000145_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000144_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000143_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000142_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000141_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000140_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk0000013f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk0000013e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk0000013d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk0000013c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk0000013b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk0000013a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000139_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000138_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000137_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000136_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000135_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000134_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000133_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000132_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000117/blk00000131_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk0000017b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk0000017a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000179_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000178_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000177_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000176_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000175_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000174_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000173_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000172_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000171_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000170_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk0000016f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk0000016e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk0000016d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk0000016c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk0000016b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk0000016a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000169_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000168_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000167_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000166_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000165_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014a/blk00000164_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk000001a0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk0000019f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk0000019e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk0000019d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk0000019c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk0000019b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk0000019a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk00000199_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk00000198_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017d/blk00000197_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001ce_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001cd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001cc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001cb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b0/blk000001ca_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000214_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000213_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000212_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000211_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000210_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk0000020f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk0000020e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk0000020d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk0000020c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk0000020b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk0000020a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000209_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000208_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000207_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000206_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000205_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000204_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000203_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000202_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000201_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk00000200_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk000001ff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk000001fe_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e3/blk000001fd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000247_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000246_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000245_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000244_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000243_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000242_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000241_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000240_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk0000023f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk0000023e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk0000023d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk0000023c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk0000023b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk0000023a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000239_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000238_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000237_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000236_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000235_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000234_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000233_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000232_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000231_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000216/blk00000230_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk0000027a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000279_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000278_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000277_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000276_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000275_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000274_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000273_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000272_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000271_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000270_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk0000026f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk0000026e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk0000026d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk0000026c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk0000026b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk0000026a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000269_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000268_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000267_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000266_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000265_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000264_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000249/blk00000263_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk000002ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk000002ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk000002ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk000002aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk000002a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk000002a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk000002a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk000002a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk000002a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk000002a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk000002a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk000002a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk000002a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk000002a0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk0000029f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk0000029e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk0000029d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk0000029c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk0000029b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk0000029a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk00000299_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk00000298_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk00000297_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027c/blk00000296_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002ce_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002cd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002cc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002cb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002ca_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002af/blk000002c9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk00000313_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk00000312_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk00000311_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk00000310_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk0000030f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk0000030e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk0000030d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk0000030c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk0000030b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk0000030a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk00000309_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk00000308_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk00000307_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk00000306_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk00000305_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk00000304_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk00000303_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk00000302_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk00000301_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk00000300_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk000002ff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk000002fe_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk000002fd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e2/blk000002fc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000346_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000345_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000344_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000343_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000342_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000341_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000340_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk0000033f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk0000033e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk0000033d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk0000033c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk0000033b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk0000033a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000339_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000338_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000337_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000336_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000335_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000334_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000333_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000332_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000331_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk00000330_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000315/blk0000032f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000379_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000378_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000377_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000376_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000375_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000374_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000373_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000372_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000371_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000370_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk0000036f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk0000036e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk0000036d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk0000036c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk0000036b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk0000036a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000369_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000368_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000367_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000366_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000365_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000364_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000363_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000348/blk00000362_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk00000472_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk00000471_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk00000470_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk0000046f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk0000046e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk0000046d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk0000046c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk0000046b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk0000046a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk00000469_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk00000468_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk00000467_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk00000466_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk00000465_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk00000464_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk00000463_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk00000462_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000044d/blk00000461_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004c9_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004c8_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004c7_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004c6_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004c5_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004c4_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004c3_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004c2_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004c1_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004c0_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004bf_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004be_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004bd_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004bc_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004bb_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004ba_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004b9_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004a4/blk000004b8_SPO_UNCONNECTED ; + wire [17 : 0] coef_din_0; + wire [23 : 0] din_1_1; + wire [23 : 0] din_2_2; + wire [46 : 0] NlwRenamedSig_OI_dout_1; + wire [46 : 0] NlwRenamedSig_OI_dout_2; + assign + rfd = NlwRenamedSig_OI_rfd, + dout_1[46] = NlwRenamedSig_OI_dout_1[46], + dout_1[45] = NlwRenamedSig_OI_dout_1[45], + dout_1[44] = NlwRenamedSig_OI_dout_1[44], + dout_1[43] = NlwRenamedSig_OI_dout_1[43], + dout_1[42] = NlwRenamedSig_OI_dout_1[42], + dout_1[41] = NlwRenamedSig_OI_dout_1[41], + dout_1[40] = NlwRenamedSig_OI_dout_1[40], + dout_1[39] = NlwRenamedSig_OI_dout_1[39], + dout_1[38] = NlwRenamedSig_OI_dout_1[38], + dout_1[37] = NlwRenamedSig_OI_dout_1[37], + dout_1[36] = NlwRenamedSig_OI_dout_1[36], + dout_1[35] = NlwRenamedSig_OI_dout_1[35], + dout_1[34] = NlwRenamedSig_OI_dout_1[34], + dout_1[33] = NlwRenamedSig_OI_dout_1[33], + dout_1[32] = NlwRenamedSig_OI_dout_1[32], + dout_1[31] = NlwRenamedSig_OI_dout_1[31], + dout_1[30] = NlwRenamedSig_OI_dout_1[30], + dout_1[29] = NlwRenamedSig_OI_dout_1[29], + dout_1[28] = NlwRenamedSig_OI_dout_1[28], + dout_1[27] = NlwRenamedSig_OI_dout_1[27], + dout_1[26] = NlwRenamedSig_OI_dout_1[26], + dout_1[25] = NlwRenamedSig_OI_dout_1[25], + dout_1[24] = NlwRenamedSig_OI_dout_1[24], + dout_1[23] = NlwRenamedSig_OI_dout_1[23], + dout_1[22] = NlwRenamedSig_OI_dout_1[22], + dout_1[21] = NlwRenamedSig_OI_dout_1[21], + dout_1[20] = NlwRenamedSig_OI_dout_1[20], + dout_1[19] = NlwRenamedSig_OI_dout_1[19], + dout_1[18] = NlwRenamedSig_OI_dout_1[18], + dout_1[17] = NlwRenamedSig_OI_dout_1[17], + dout_1[16] = NlwRenamedSig_OI_dout_1[16], + dout_1[15] = NlwRenamedSig_OI_dout_1[15], + dout_1[14] = NlwRenamedSig_OI_dout_1[14], + dout_1[13] = NlwRenamedSig_OI_dout_1[13], + dout_1[12] = NlwRenamedSig_OI_dout_1[12], + dout_1[11] = NlwRenamedSig_OI_dout_1[11], + dout_1[10] = NlwRenamedSig_OI_dout_1[10], + dout_1[9] = NlwRenamedSig_OI_dout_1[9], + dout_1[8] = NlwRenamedSig_OI_dout_1[8], + dout_1[7] = NlwRenamedSig_OI_dout_1[7], + dout_1[6] = NlwRenamedSig_OI_dout_1[6], + dout_1[5] = NlwRenamedSig_OI_dout_1[5], + dout_1[4] = NlwRenamedSig_OI_dout_1[4], + dout_1[3] = NlwRenamedSig_OI_dout_1[3], + dout_1[2] = NlwRenamedSig_OI_dout_1[2], + dout_1[1] = NlwRenamedSig_OI_dout_1[1], + dout_1[0] = NlwRenamedSig_OI_dout_1[0], + dout_2[46] = NlwRenamedSig_OI_dout_2[46], + dout_2[45] = NlwRenamedSig_OI_dout_2[45], + dout_2[44] = NlwRenamedSig_OI_dout_2[44], + dout_2[43] = NlwRenamedSig_OI_dout_2[43], + dout_2[42] = NlwRenamedSig_OI_dout_2[42], + dout_2[41] = NlwRenamedSig_OI_dout_2[41], + dout_2[40] = NlwRenamedSig_OI_dout_2[40], + dout_2[39] = NlwRenamedSig_OI_dout_2[39], + dout_2[38] = NlwRenamedSig_OI_dout_2[38], + dout_2[37] = NlwRenamedSig_OI_dout_2[37], + dout_2[36] = NlwRenamedSig_OI_dout_2[36], + dout_2[35] = NlwRenamedSig_OI_dout_2[35], + dout_2[34] = NlwRenamedSig_OI_dout_2[34], + dout_2[33] = NlwRenamedSig_OI_dout_2[33], + dout_2[32] = NlwRenamedSig_OI_dout_2[32], + dout_2[31] = NlwRenamedSig_OI_dout_2[31], + dout_2[30] = NlwRenamedSig_OI_dout_2[30], + dout_2[29] = NlwRenamedSig_OI_dout_2[29], + dout_2[28] = NlwRenamedSig_OI_dout_2[28], + dout_2[27] = NlwRenamedSig_OI_dout_2[27], + dout_2[26] = NlwRenamedSig_OI_dout_2[26], + dout_2[25] = NlwRenamedSig_OI_dout_2[25], + dout_2[24] = NlwRenamedSig_OI_dout_2[24], + dout_2[23] = NlwRenamedSig_OI_dout_2[23], + dout_2[22] = NlwRenamedSig_OI_dout_2[22], + dout_2[21] = NlwRenamedSig_OI_dout_2[21], + dout_2[20] = NlwRenamedSig_OI_dout_2[20], + dout_2[19] = NlwRenamedSig_OI_dout_2[19], + dout_2[18] = NlwRenamedSig_OI_dout_2[18], + dout_2[17] = NlwRenamedSig_OI_dout_2[17], + dout_2[16] = NlwRenamedSig_OI_dout_2[16], + dout_2[15] = NlwRenamedSig_OI_dout_2[15], + dout_2[14] = NlwRenamedSig_OI_dout_2[14], + dout_2[13] = NlwRenamedSig_OI_dout_2[13], + dout_2[12] = NlwRenamedSig_OI_dout_2[12], + dout_2[11] = NlwRenamedSig_OI_dout_2[11], + dout_2[10] = NlwRenamedSig_OI_dout_2[10], + dout_2[9] = NlwRenamedSig_OI_dout_2[9], + dout_2[8] = NlwRenamedSig_OI_dout_2[8], + dout_2[7] = NlwRenamedSig_OI_dout_2[7], + dout_2[6] = NlwRenamedSig_OI_dout_2[6], + dout_2[5] = NlwRenamedSig_OI_dout_2[5], + dout_2[4] = NlwRenamedSig_OI_dout_2[4], + dout_2[3] = NlwRenamedSig_OI_dout_2[3], + dout_2[2] = NlwRenamedSig_OI_dout_2[2], + dout_2[1] = NlwRenamedSig_OI_dout_2[1], + dout_2[0] = NlwRenamedSig_OI_dout_2[0], + din_1_1[23] = din_1[23], + din_1_1[22] = din_1[22], + din_1_1[21] = din_1[21], + din_1_1[20] = din_1[20], + din_1_1[19] = din_1[19], + din_1_1[18] = din_1[18], + din_1_1[17] = din_1[17], + din_1_1[16] = din_1[16], + din_1_1[15] = din_1[15], + din_1_1[14] = din_1[14], + din_1_1[13] = din_1[13], + din_1_1[12] = din_1[12], + din_1_1[11] = din_1[11], + din_1_1[10] = din_1[10], + din_1_1[9] = din_1[9], + din_1_1[8] = din_1[8], + din_1_1[7] = din_1[7], + din_1_1[6] = din_1[6], + din_1_1[5] = din_1[5], + din_1_1[4] = din_1[4], + din_1_1[3] = din_1[3], + din_1_1[2] = din_1[2], + din_1_1[1] = din_1[1], + din_1_1[0] = din_1[0], + din_2_2[23] = din_2[23], + din_2_2[22] = din_2[22], + din_2_2[21] = din_2[21], + din_2_2[20] = din_2[20], + din_2_2[19] = din_2[19], + din_2_2[18] = din_2[18], + din_2_2[17] = din_2[17], + din_2_2[16] = din_2[16], + din_2_2[15] = din_2[15], + din_2_2[14] = din_2[14], + din_2_2[13] = din_2[13], + din_2_2[12] = din_2[12], + din_2_2[11] = din_2[11], + din_2_2[10] = din_2[10], + din_2_2[9] = din_2[9], + din_2_2[8] = din_2[8], + din_2_2[7] = din_2[7], + din_2_2[6] = din_2[6], + din_2_2[5] = din_2[5], + din_2_2[4] = din_2[4], + din_2_2[3] = din_2[3], + din_2_2[2] = din_2[2], + din_2_2[1] = din_2[1], + din_2_2[0] = din_2[0], + coef_din_0[17] = coef_din[17], + coef_din_0[16] = coef_din[16], + coef_din_0[15] = coef_din[15], + coef_din_0[14] = coef_din[14], + coef_din_0[13] = coef_din[13], + coef_din_0[12] = coef_din[12], + coef_din_0[11] = coef_din[11], + coef_din_0[10] = coef_din[10], + coef_din_0[9] = coef_din[9], + coef_din_0[8] = coef_din[8], + coef_din_0[7] = coef_din[7], + coef_din_0[6] = coef_din[6], + coef_din_0[5] = coef_din[5], + coef_din_0[4] = coef_din[4], + coef_din_0[3] = coef_din[3], + coef_din_0[2] = coef_din[2], + coef_din_0[1] = coef_din[1], + coef_din_0[0] = coef_din[0]; + VCC blk00000001 ( + .P(NLW_blk00000001_P_UNCONNECTED) + ); + GND blk00000002 ( + .G(NLW_blk00000002_G_UNCONNECTED) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000783 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000767 ), + .Q(\blk00000003/sig00000679 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000782 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000004f6 ), + .Q(\blk00000003/sig00000767 ), + .Q15(\NLW_blk00000003/blk00000782_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000781 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000766 ), + .Q(\blk00000003/sig00000604 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000780 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000004f5 ), + .Q(\blk00000003/sig00000766 ), + .Q15(\NLW_blk00000003/blk00000780_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000765 ), + .Q(\blk00000003/sig000001c2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000072f ), + .Q(\blk00000003/sig00000765 ), + .Q15(\NLW_blk00000003/blk0000077e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000764 ), + .Q(\blk00000003/sig000001c1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000733 ), + .Q(\blk00000003/sig00000764 ), + .Q15(\NLW_blk00000003/blk0000077c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000763 ), + .Q(\blk00000003/sig000001c0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000731 ), + .Q(\blk00000003/sig00000763 ), + .Q15(\NLW_blk00000003/blk0000077a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000779 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000762 ), + .Q(\blk00000003/sig000001bf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000778 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000072d ), + .Q(\blk00000003/sig00000762 ), + .Q15(\NLW_blk00000003/blk00000778_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000777 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000761 ), + .Q(\blk00000003/sig000001bd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000776 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000725 ), + .Q(\blk00000003/sig00000761 ), + .Q15(\NLW_blk00000003/blk00000776_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000775 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000760 ), + .Q(\blk00000003/sig000001bc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000774 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000729 ), + .Q(\blk00000003/sig00000760 ), + .Q15(\NLW_blk00000003/blk00000774_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000773 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000075f ), + .Q(\blk00000003/sig000001be ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000772 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000072b ), + .Q(\blk00000003/sig0000075f ), + .Q15(\NLW_blk00000003/blk00000772_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000771 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000075e ), + .Q(\blk00000003/sig000001bb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000770 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000727 ), + .Q(\blk00000003/sig0000075e ), + .Q15(\NLW_blk00000003/blk00000770_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000075d ), + .Q(\blk00000003/sig000001ba ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000071f ), + .Q(\blk00000003/sig0000075d ), + .Q15(\NLW_blk00000003/blk0000076e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000075c ), + .Q(\blk00000003/sig000001b8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000721 ), + .Q(\blk00000003/sig0000075c ), + .Q15(\NLW_blk00000003/blk0000076c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000075b ), + .Q(\blk00000003/sig000001b7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000719 ), + .Q(\blk00000003/sig0000075b ), + .Q15(\NLW_blk00000003/blk0000076a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000769 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000075a ), + .Q(\blk00000003/sig000001b9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000768 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000723 ), + .Q(\blk00000003/sig0000075a ), + .Q15(\NLW_blk00000003/blk00000768_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000767 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000759 ), + .Q(\blk00000003/sig000001b5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000766 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000071b ), + .Q(\blk00000003/sig00000759 ), + .Q15(\NLW_blk00000003/blk00000766_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000765 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000758 ), + .Q(\blk00000003/sig000001b4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000764 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000717 ), + .Q(\blk00000003/sig00000758 ), + .Q15(\NLW_blk00000003/blk00000764_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000763 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000757 ), + .Q(\blk00000003/sig000001b6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000762 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000071d ), + .Q(\blk00000003/sig00000757 ), + .Q15(\NLW_blk00000003/blk00000762_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000761 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000756 ), + .Q(\blk00000003/sig000001b2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000760 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000070f ), + .Q(\blk00000003/sig00000756 ), + .Q15(\NLW_blk00000003/blk00000760_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000755 ), + .Q(\blk00000003/sig000001b1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000075e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000713 ), + .Q(\blk00000003/sig00000755 ), + .Q15(\NLW_blk00000003/blk0000075e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000754 ), + .Q(\blk00000003/sig000001b3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000075c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000715 ), + .Q(\blk00000003/sig00000754 ), + .Q15(\NLW_blk00000003/blk0000075c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000753 ), + .Q(\blk00000003/sig000001b0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000075a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000711 ), + .Q(\blk00000003/sig00000753 ), + .Q15(\NLW_blk00000003/blk0000075a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000759 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000752 ), + .Q(\blk00000003/sig000001af ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000758 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000709 ), + .Q(\blk00000003/sig00000752 ), + .Q15(\NLW_blk00000003/blk00000758_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000757 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000751 ), + .Q(\blk00000003/sig000001ad ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000756 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000070b ), + .Q(\blk00000003/sig00000751 ), + .Q15(\NLW_blk00000003/blk00000756_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000755 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000750 ), + .Q(\blk00000003/sig000001ac ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000754 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000703 ), + .Q(\blk00000003/sig00000750 ), + .Q15(\NLW_blk00000003/blk00000754_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000753 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000074f ), + .Q(\blk00000003/sig000001ae ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000752 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000070d ), + .Q(\blk00000003/sig0000074f ), + .Q15(\NLW_blk00000003/blk00000752_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000751 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000074e ), + .Q(\blk00000003/sig0000014b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000750 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000705 ), + .Q(\blk00000003/sig0000074e ), + .Q15(\NLW_blk00000003/blk00000750_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000074f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000074d ), + .Q(\blk00000003/sig0000014a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000074e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000701 ), + .Q(\blk00000003/sig0000074d ), + .Q15(\NLW_blk00000003/blk0000074e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000074d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000074c ), + .Q(\blk00000003/sig000001ab ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000074c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000707 ), + .Q(\blk00000003/sig0000074c ), + .Q15(\NLW_blk00000003/blk0000074c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000074b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000074b ), + .Q(\blk00000003/sig00000148 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000074a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006f9 ), + .Q(\blk00000003/sig0000074b ), + .Q15(\NLW_blk00000003/blk0000074a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000749 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000074a ), + .Q(\blk00000003/sig00000147 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000748 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006fd ), + .Q(\blk00000003/sig0000074a ), + .Q15(\NLW_blk00000003/blk00000748_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000747 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000749 ), + .Q(\blk00000003/sig00000149 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000746 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006ff ), + .Q(\blk00000003/sig00000749 ), + .Q15(\NLW_blk00000003/blk00000746_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000745 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000748 ), + .Q(\blk00000003/sig00000146 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000744 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006fb ), + .Q(\blk00000003/sig00000748 ), + .Q15(\NLW_blk00000003/blk00000744_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000743 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000747 ), + .Q(\blk00000003/sig00000145 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000742 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006f3 ), + .Q(\blk00000003/sig00000747 ), + .Q15(\NLW_blk00000003/blk00000742_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000741 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000746 ), + .Q(\blk00000003/sig00000143 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000740 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006f5 ), + .Q(\blk00000003/sig00000746 ), + .Q15(\NLW_blk00000003/blk00000740_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000073f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000745 ), + .Q(\blk00000003/sig00000142 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000073e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006ed ), + .Q(\blk00000003/sig00000745 ), + .Q15(\NLW_blk00000003/blk0000073e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000073d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000744 ), + .Q(\blk00000003/sig00000144 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000073c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006f7 ), + .Q(\blk00000003/sig00000744 ), + .Q15(\NLW_blk00000003/blk0000073c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000073b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000743 ), + .Q(\blk00000003/sig00000140 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000073a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006ef ), + .Q(\blk00000003/sig00000743 ), + .Q15(\NLW_blk00000003/blk0000073a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000739 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000742 ), + .Q(\blk00000003/sig0000013f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000738 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006eb ), + .Q(\blk00000003/sig00000742 ), + .Q15(\NLW_blk00000003/blk00000738_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000737 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000741 ), + .Q(\blk00000003/sig00000141 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000736 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006f1 ), + .Q(\blk00000003/sig00000741 ), + .Q15(\NLW_blk00000003/blk00000736_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000735 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000740 ), + .Q(\blk00000003/sig0000013d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000734 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006e3 ), + .Q(\blk00000003/sig00000740 ), + .Q15(\NLW_blk00000003/blk00000734_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000733 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000073f ), + .Q(\blk00000003/sig0000013c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000732 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006e7 ), + .Q(\blk00000003/sig0000073f ), + .Q15(\NLW_blk00000003/blk00000732_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000731 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000073e ), + .Q(\blk00000003/sig0000013e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000730 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006e9 ), + .Q(\blk00000003/sig0000073e ), + .Q15(\NLW_blk00000003/blk00000730_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000072f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000073d ), + .Q(\blk00000003/sig0000013b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000072e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006e5 ), + .Q(\blk00000003/sig0000073d ), + .Q15(\NLW_blk00000003/blk0000072e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000072d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000073c ), + .Q(\blk00000003/sig0000013a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000072c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006e1 ), + .Q(\blk00000003/sig0000073c ), + .Q15(\NLW_blk00000003/blk0000072c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000072b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000073b ), + .Q(\blk00000003/sig00000138 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000072a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006d9 ), + .Q(\blk00000003/sig0000073b ), + .Q15(\NLW_blk00000003/blk0000072a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000729 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000073a ), + .Q(\blk00000003/sig00000137 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000728 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006dd ), + .Q(\blk00000003/sig0000073a ), + .Q15(\NLW_blk00000003/blk00000728_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000727 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000739 ), + .Q(\blk00000003/sig00000139 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000726 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006df ), + .Q(\blk00000003/sig00000739 ), + .Q15(\NLW_blk00000003/blk00000726_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000725 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000738 ), + .Q(\blk00000003/sig00000135 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000724 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006d7 ), + .Q(\blk00000003/sig00000738 ), + .Q15(\NLW_blk00000003/blk00000724_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000723 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000737 ), + .Q(\blk00000003/sig00000134 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000722 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006d5 ), + .Q(\blk00000003/sig00000737 ), + .Q15(\NLW_blk00000003/blk00000722_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000721 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000736 ), + .Q(\blk00000003/sig00000136 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000720 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000006db ), + .Q(\blk00000003/sig00000736 ), + .Q15(\NLW_blk00000003/blk00000720_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000735 ), + .Q(\blk00000003/sig000004f6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001df ), + .Q(\blk00000003/sig00000735 ), + .Q15(\NLW_blk00000003/blk0000071e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000734 ), + .Q(\blk00000003/sig0000067a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071c ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001c3 ), + .Q(\blk00000003/sig00000734 ), + .Q15(\NLW_blk00000003/blk0000071c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071b ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000732 ), + .Q(\blk00000003/sig00000733 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000216 ), + .Q(\blk00000003/sig00000732 ), + .Q15(\NLW_blk00000003/blk0000071a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000719 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000730 ), + .Q(\blk00000003/sig00000731 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000718 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000215 ), + .Q(\blk00000003/sig00000730 ), + .Q15(\NLW_blk00000003/blk00000718_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000717 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig0000072e ), + .Q(\blk00000003/sig0000072f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000716 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000217 ), + .Q(\blk00000003/sig0000072e ), + .Q15(\NLW_blk00000003/blk00000716_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000715 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig0000072c ), + .Q(\blk00000003/sig0000072d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000714 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000214 ), + .Q(\blk00000003/sig0000072c ), + .Q15(\NLW_blk00000003/blk00000714_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000713 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig0000072a ), + .Q(\blk00000003/sig0000072b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000712 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000213 ), + .Q(\blk00000003/sig0000072a ), + .Q15(\NLW_blk00000003/blk00000712_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000711 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000728 ), + .Q(\blk00000003/sig00000729 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000710 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000211 ), + .Q(\blk00000003/sig00000728 ), + .Q15(\NLW_blk00000003/blk00000710_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070f ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000726 ), + .Q(\blk00000003/sig00000727 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000210 ), + .Q(\blk00000003/sig00000726 ), + .Q15(\NLW_blk00000003/blk0000070e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070d ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000724 ), + .Q(\blk00000003/sig00000725 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000212 ), + .Q(\blk00000003/sig00000724 ), + .Q15(\NLW_blk00000003/blk0000070c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070b ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000722 ), + .Q(\blk00000003/sig00000723 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig0000020e ), + .Q(\blk00000003/sig00000722 ), + .Q15(\NLW_blk00000003/blk0000070a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000709 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000720 ), + .Q(\blk00000003/sig00000721 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000708 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig0000020d ), + .Q(\blk00000003/sig00000720 ), + .Q15(\NLW_blk00000003/blk00000708_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000707 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig0000071e ), + .Q(\blk00000003/sig0000071f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000706 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig0000020f ), + .Q(\blk00000003/sig0000071e ), + .Q15(\NLW_blk00000003/blk00000706_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000705 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig0000071c ), + .Q(\blk00000003/sig0000071d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000704 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig0000020b ), + .Q(\blk00000003/sig0000071c ), + .Q15(\NLW_blk00000003/blk00000704_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000703 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig0000071a ), + .Q(\blk00000003/sig0000071b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000702 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig0000020a ), + .Q(\blk00000003/sig0000071a ), + .Q15(\NLW_blk00000003/blk00000702_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000701 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000718 ), + .Q(\blk00000003/sig00000719 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000700 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig0000020c ), + .Q(\blk00000003/sig00000718 ), + .Q15(\NLW_blk00000003/blk00000700_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ff ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000716 ), + .Q(\blk00000003/sig00000717 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006fe ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000209 ), + .Q(\blk00000003/sig00000716 ), + .Q15(\NLW_blk00000003/blk000006fe_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006fd ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000714 ), + .Q(\blk00000003/sig00000715 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006fc ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000208 ), + .Q(\blk00000003/sig00000714 ), + .Q15(\NLW_blk00000003/blk000006fc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006fb ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000712 ), + .Q(\blk00000003/sig00000713 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006fa ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000206 ), + .Q(\blk00000003/sig00000712 ), + .Q15(\NLW_blk00000003/blk000006fa_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f9 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000710 ), + .Q(\blk00000003/sig00000711 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000205 ), + .Q(\blk00000003/sig00000710 ), + .Q15(\NLW_blk00000003/blk000006f8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f7 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig0000070e ), + .Q(\blk00000003/sig0000070f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000207 ), + .Q(\blk00000003/sig0000070e ), + .Q15(\NLW_blk00000003/blk000006f6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f5 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig0000070c ), + .Q(\blk00000003/sig0000070d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000203 ), + .Q(\blk00000003/sig0000070c ), + .Q15(\NLW_blk00000003/blk000006f4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f3 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig0000070a ), + .Q(\blk00000003/sig0000070b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000202 ), + .Q(\blk00000003/sig0000070a ), + .Q15(\NLW_blk00000003/blk000006f2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f1 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000708 ), + .Q(\blk00000003/sig00000709 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000204 ), + .Q(\blk00000003/sig00000708 ), + .Q15(\NLW_blk00000003/blk000006f0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ef ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000706 ), + .Q(\blk00000003/sig00000707 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ee ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000200 ), + .Q(\blk00000003/sig00000706 ), + .Q15(\NLW_blk00000003/blk000006ee_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ed ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000704 ), + .Q(\blk00000003/sig00000705 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ec ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001ff ), + .Q(\blk00000003/sig00000704 ), + .Q15(\NLW_blk00000003/blk000006ec_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006eb ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000702 ), + .Q(\blk00000003/sig00000703 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ea ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig00000201 ), + .Q(\blk00000003/sig00000702 ), + .Q15(\NLW_blk00000003/blk000006ea_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e9 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig00000700 ), + .Q(\blk00000003/sig00000701 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001fe ), + .Q(\blk00000003/sig00000700 ), + .Q15(\NLW_blk00000003/blk000006e8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e7 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006fe ), + .Q(\blk00000003/sig000006ff ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001fd ), + .Q(\blk00000003/sig000006fe ), + .Q15(\NLW_blk00000003/blk000006e6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e5 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006fc ), + .Q(\blk00000003/sig000006fd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001fb ), + .Q(\blk00000003/sig000006fc ), + .Q15(\NLW_blk00000003/blk000006e4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e3 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006fa ), + .Q(\blk00000003/sig000006fb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001fa ), + .Q(\blk00000003/sig000006fa ), + .Q15(\NLW_blk00000003/blk000006e2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e1 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006f8 ), + .Q(\blk00000003/sig000006f9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001fc ), + .Q(\blk00000003/sig000006f8 ), + .Q15(\NLW_blk00000003/blk000006e0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006df ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006f6 ), + .Q(\blk00000003/sig000006f7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006de ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001f8 ), + .Q(\blk00000003/sig000006f6 ), + .Q15(\NLW_blk00000003/blk000006de_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006dd ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006f4 ), + .Q(\blk00000003/sig000006f5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006dc ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001f7 ), + .Q(\blk00000003/sig000006f4 ), + .Q15(\NLW_blk00000003/blk000006dc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006db ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006f2 ), + .Q(\blk00000003/sig000006f3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006da ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001f9 ), + .Q(\blk00000003/sig000006f2 ), + .Q15(\NLW_blk00000003/blk000006da_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d9 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006f0 ), + .Q(\blk00000003/sig000006f1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001f5 ), + .Q(\blk00000003/sig000006f0 ), + .Q15(\NLW_blk00000003/blk000006d8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d7 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006ee ), + .Q(\blk00000003/sig000006ef ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001f4 ), + .Q(\blk00000003/sig000006ee ), + .Q15(\NLW_blk00000003/blk000006d6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d5 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006ec ), + .Q(\blk00000003/sig000006ed ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001f6 ), + .Q(\blk00000003/sig000006ec ), + .Q15(\NLW_blk00000003/blk000006d4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d3 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006ea ), + .Q(\blk00000003/sig000006eb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001f3 ), + .Q(\blk00000003/sig000006ea ), + .Q15(\NLW_blk00000003/blk000006d2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d1 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006e8 ), + .Q(\blk00000003/sig000006e9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001f2 ), + .Q(\blk00000003/sig000006e8 ), + .Q15(\NLW_blk00000003/blk000006d0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cf ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006e6 ), + .Q(\blk00000003/sig000006e7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ce ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001f0 ), + .Q(\blk00000003/sig000006e6 ), + .Q15(\NLW_blk00000003/blk000006ce_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cd ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006e4 ), + .Q(\blk00000003/sig000006e5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006cc ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001ef ), + .Q(\blk00000003/sig000006e4 ), + .Q15(\NLW_blk00000003/blk000006cc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cb ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006e2 ), + .Q(\blk00000003/sig000006e3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ca ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001f1 ), + .Q(\blk00000003/sig000006e2 ), + .Q15(\NLW_blk00000003/blk000006ca_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c9 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006e0 ), + .Q(\blk00000003/sig000006e1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001ee ), + .Q(\blk00000003/sig000006e0 ), + .Q15(\NLW_blk00000003/blk000006c8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c7 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006de ), + .Q(\blk00000003/sig000006df ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001ed ), + .Q(\blk00000003/sig000006de ), + .Q15(\NLW_blk00000003/blk000006c6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c5 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006dc ), + .Q(\blk00000003/sig000006dd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001eb ), + .Q(\blk00000003/sig000006dc ), + .Q15(\NLW_blk00000003/blk000006c4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c3 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006da ), + .Q(\blk00000003/sig000006db ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001ea ), + .Q(\blk00000003/sig000006da ), + .Q15(\NLW_blk00000003/blk000006c2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c1 ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006d8 ), + .Q(\blk00000003/sig000006d9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001ec ), + .Q(\blk00000003/sig000006d8 ), + .Q15(\NLW_blk00000003/blk000006c0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006bf ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006d6 ), + .Q(\blk00000003/sig000006d7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006be ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001e9 ), + .Q(\blk00000003/sig000006d6 ), + .Q15(\NLW_blk00000003/blk000006be_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006bd ( + .C(clk), + .CE(\blk00000003/sig00000683 ), + .D(\blk00000003/sig000006d4 ), + .Q(\blk00000003/sig000006d5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006bc ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000683 ), + .CLK(clk), + .D(\blk00000003/sig000001e8 ), + .Q(\blk00000003/sig000006d4 ), + .Q15(\NLW_blk00000003/blk000006bc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006d3 ), + .Q(\blk00000003/sig00000682 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ba ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000004e5 ), + .Q(\blk00000003/sig000006d3 ), + .Q15(\NLW_blk00000003/blk000006ba_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006d2 ), + .Q(\blk00000003/sig0000056e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006b8 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000217 ), + .Q(\blk00000003/sig000006d2 ), + .Q15(\NLW_blk00000003/blk000006b8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006d1 ), + .Q(\blk00000003/sig00000681 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006b6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000004e6 ), + .Q(\blk00000003/sig000006d1 ), + .Q15(\NLW_blk00000003/blk000006b6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006d0 ), + .Q(\blk00000003/sig0000056c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006b4 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000215 ), + .Q(\blk00000003/sig000006d0 ), + .Q15(\NLW_blk00000003/blk000006b4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006cf ), + .Q(\blk00000003/sig0000056b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006b2 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000214 ), + .Q(\blk00000003/sig000006cf ), + .Q15(\NLW_blk00000003/blk000006b2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006ce ), + .Q(\blk00000003/sig0000056d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006b0 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000216 ), + .Q(\blk00000003/sig000006ce ), + .Q15(\NLW_blk00000003/blk000006b0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006af ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006cd ), + .Q(\blk00000003/sig00000569 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ae ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000212 ), + .Q(\blk00000003/sig000006cd ), + .Q15(\NLW_blk00000003/blk000006ae_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006cc ), + .Q(\blk00000003/sig00000568 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ac ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000211 ), + .Q(\blk00000003/sig000006cc ), + .Q15(\NLW_blk00000003/blk000006ac_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006cb ), + .Q(\blk00000003/sig0000056a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006aa ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000213 ), + .Q(\blk00000003/sig000006cb ), + .Q15(\NLW_blk00000003/blk000006aa_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006ca ), + .Q(\blk00000003/sig00000567 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006a8 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000210 ), + .Q(\blk00000003/sig000006ca ), + .Q15(\NLW_blk00000003/blk000006a8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006c9 ), + .Q(\blk00000003/sig00000566 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006a6 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020f ), + .Q(\blk00000003/sig000006c9 ), + .Q15(\NLW_blk00000003/blk000006a6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006a5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006c8 ), + .Q(\blk00000003/sig00000564 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006a4 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020d ), + .Q(\blk00000003/sig000006c8 ), + .Q15(\NLW_blk00000003/blk000006a4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006a3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006c7 ), + .Q(\blk00000003/sig00000563 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006a2 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020c ), + .Q(\blk00000003/sig000006c7 ), + .Q15(\NLW_blk00000003/blk000006a2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006a1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006c6 ), + .Q(\blk00000003/sig00000565 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006a0 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020e ), + .Q(\blk00000003/sig000006c6 ), + .Q15(\NLW_blk00000003/blk000006a0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006c5 ), + .Q(\blk00000003/sig00000561 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069e ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020a ), + .Q(\blk00000003/sig000006c5 ), + .Q15(\NLW_blk00000003/blk0000069e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006c4 ), + .Q(\blk00000003/sig00000560 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069c ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000209 ), + .Q(\blk00000003/sig000006c4 ), + .Q15(\NLW_blk00000003/blk0000069c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006c3 ), + .Q(\blk00000003/sig00000562 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069a ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020b ), + .Q(\blk00000003/sig000006c3 ), + .Q15(\NLW_blk00000003/blk0000069a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000699 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006c2 ), + .Q(\blk00000003/sig0000055e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000698 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000207 ), + .Q(\blk00000003/sig000006c2 ), + .Q15(\NLW_blk00000003/blk00000698_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000697 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006c1 ), + .Q(\blk00000003/sig0000055d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000696 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000206 ), + .Q(\blk00000003/sig000006c1 ), + .Q15(\NLW_blk00000003/blk00000696_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000695 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006c0 ), + .Q(\blk00000003/sig0000055f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000694 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000208 ), + .Q(\blk00000003/sig000006c0 ), + .Q15(\NLW_blk00000003/blk00000694_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000693 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006bf ), + .Q(\blk00000003/sig0000055c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000692 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000205 ), + .Q(\blk00000003/sig000006bf ), + .Q15(\NLW_blk00000003/blk00000692_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000691 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006be ), + .Q(\blk00000003/sig0000055b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000690 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000204 ), + .Q(\blk00000003/sig000006be ), + .Q15(\NLW_blk00000003/blk00000690_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000068f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006bd ), + .Q(\blk00000003/sig00000559 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000068e ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000202 ), + .Q(\blk00000003/sig000006bd ), + .Q15(\NLW_blk00000003/blk0000068e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000068d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006bc ), + .Q(\blk00000003/sig00000558 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000068c ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000201 ), + .Q(\blk00000003/sig000006bc ), + .Q15(\NLW_blk00000003/blk0000068c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000068b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006bb ), + .Q(\blk00000003/sig0000055a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000068a ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000203 ), + .Q(\blk00000003/sig000006bb ), + .Q15(\NLW_blk00000003/blk0000068a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000689 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006ba ), + .Q(\blk00000003/sig0000059e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000688 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ff ), + .Q(\blk00000003/sig000006ba ), + .Q15(\NLW_blk00000003/blk00000688_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000687 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006b9 ), + .Q(\blk00000003/sig0000059d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000686 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fe ), + .Q(\blk00000003/sig000006b9 ), + .Q15(\NLW_blk00000003/blk00000686_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000685 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006b8 ), + .Q(\blk00000003/sig00000557 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000684 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000200 ), + .Q(\blk00000003/sig000006b8 ), + .Q15(\NLW_blk00000003/blk00000684_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000683 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006b7 ), + .Q(\blk00000003/sig0000059b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000682 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fc ), + .Q(\blk00000003/sig000006b7 ), + .Q15(\NLW_blk00000003/blk00000682_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000681 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006b6 ), + .Q(\blk00000003/sig0000059a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000680 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fb ), + .Q(\blk00000003/sig000006b6 ), + .Q15(\NLW_blk00000003/blk00000680_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000067f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006b5 ), + .Q(\blk00000003/sig0000059c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000067e ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fd ), + .Q(\blk00000003/sig000006b5 ), + .Q15(\NLW_blk00000003/blk0000067e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000067d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006b4 ), + .Q(\blk00000003/sig00000599 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000067c ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fa ), + .Q(\blk00000003/sig000006b4 ), + .Q15(\NLW_blk00000003/blk0000067c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000067b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006b3 ), + .Q(\blk00000003/sig00000598 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000067a ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f9 ), + .Q(\blk00000003/sig000006b3 ), + .Q15(\NLW_blk00000003/blk0000067a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000679 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006b2 ), + .Q(\blk00000003/sig00000596 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000678 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f7 ), + .Q(\blk00000003/sig000006b2 ), + .Q15(\NLW_blk00000003/blk00000678_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000677 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006b1 ), + .Q(\blk00000003/sig00000595 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000676 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f6 ), + .Q(\blk00000003/sig000006b1 ), + .Q15(\NLW_blk00000003/blk00000676_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000675 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006b0 ), + .Q(\blk00000003/sig00000597 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000674 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f8 ), + .Q(\blk00000003/sig000006b0 ), + .Q15(\NLW_blk00000003/blk00000674_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000673 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006af ), + .Q(\blk00000003/sig00000593 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000672 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f4 ), + .Q(\blk00000003/sig000006af ), + .Q15(\NLW_blk00000003/blk00000672_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000671 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006ae ), + .Q(\blk00000003/sig00000592 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000670 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f3 ), + .Q(\blk00000003/sig000006ae ), + .Q15(\NLW_blk00000003/blk00000670_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000066f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006ad ), + .Q(\blk00000003/sig00000594 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000066e ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f5 ), + .Q(\blk00000003/sig000006ad ), + .Q15(\NLW_blk00000003/blk0000066e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000066d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006ac ), + .Q(\blk00000003/sig00000590 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000066c ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f1 ), + .Q(\blk00000003/sig000006ac ), + .Q15(\NLW_blk00000003/blk0000066c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000066b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006ab ), + .Q(\blk00000003/sig0000058f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000066a ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f0 ), + .Q(\blk00000003/sig000006ab ), + .Q15(\NLW_blk00000003/blk0000066a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000669 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006aa ), + .Q(\blk00000003/sig00000591 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000668 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f2 ), + .Q(\blk00000003/sig000006aa ), + .Q15(\NLW_blk00000003/blk00000668_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000667 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006a9 ), + .Q(\blk00000003/sig0000058e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000666 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ef ), + .Q(\blk00000003/sig000006a9 ), + .Q15(\NLW_blk00000003/blk00000666_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000665 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006a8 ), + .Q(\blk00000003/sig0000058d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000664 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ee ), + .Q(\blk00000003/sig000006a8 ), + .Q15(\NLW_blk00000003/blk00000664_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000663 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006a7 ), + .Q(\blk00000003/sig0000058b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000662 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ec ), + .Q(\blk00000003/sig000006a7 ), + .Q15(\NLW_blk00000003/blk00000662_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000661 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006a6 ), + .Q(\blk00000003/sig0000058a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000660 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001eb ), + .Q(\blk00000003/sig000006a6 ), + .Q15(\NLW_blk00000003/blk00000660_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006a5 ), + .Q(\blk00000003/sig0000058c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000065e ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ed ), + .Q(\blk00000003/sig000006a5 ), + .Q15(\NLW_blk00000003/blk0000065e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006a4 ), + .Q(\blk00000003/sig00000588 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000065c ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001e9 ), + .Q(\blk00000003/sig000006a4 ), + .Q15(\NLW_blk00000003/blk0000065c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006a3 ), + .Q(\blk00000003/sig00000587 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000065a ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001e8 ), + .Q(\blk00000003/sig000006a3 ), + .Q15(\NLW_blk00000003/blk0000065a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000659 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006a2 ), + .Q(\blk00000003/sig00000589 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000658 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ea ), + .Q(\blk00000003/sig000006a2 ), + .Q15(\NLW_blk00000003/blk00000658_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000657 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006a1 ), + .Q(\blk00000003/sig000002c2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000656 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002a8 ), + .Q(\blk00000003/sig000006a1 ), + .Q15(\NLW_blk00000003/blk00000656_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000655 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000006a0 ), + .Q(\blk00000003/sig00000680 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000654 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001d0 ), + .Q(\blk00000003/sig000006a0 ), + .Q15(\NLW_blk00000003/blk00000654_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000653 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000069f ), + .Q(\blk00000003/sig000002c1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000652 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001d4 ), + .Q(\blk00000003/sig0000069f ), + .Q15(\NLW_blk00000003/blk00000652_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000651 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000069e ), + .Q(\blk00000003/sig000005c8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000650 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[0]), + .Q(\blk00000003/sig0000069e ), + .Q15(\NLW_blk00000003/blk00000650_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000069d ), + .Q(\blk00000003/sig000005c7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[1]), + .Q(\blk00000003/sig0000069d ), + .Q15(\NLW_blk00000003/blk0000064e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000069c ), + .Q(\blk00000003/sig000005c5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[3]), + .Q(\blk00000003/sig0000069c ), + .Q15(\NLW_blk00000003/blk0000064c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000069b ), + .Q(\blk00000003/sig000005c4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[4]), + .Q(\blk00000003/sig0000069b ), + .Q15(\NLW_blk00000003/blk0000064a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000649 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000069a ), + .Q(\blk00000003/sig000005c6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000648 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[2]), + .Q(\blk00000003/sig0000069a ), + .Q15(\NLW_blk00000003/blk00000648_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000647 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000699 ), + .Q(\blk00000003/sig000005c2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000646 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[6]), + .Q(\blk00000003/sig00000699 ), + .Q15(\NLW_blk00000003/blk00000646_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000645 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000698 ), + .Q(\blk00000003/sig000005c1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000644 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[7]), + .Q(\blk00000003/sig00000698 ), + .Q15(\NLW_blk00000003/blk00000644_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000643 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000697 ), + .Q(\blk00000003/sig000005c3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000642 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[5]), + .Q(\blk00000003/sig00000697 ), + .Q15(\NLW_blk00000003/blk00000642_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000641 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000696 ), + .Q(\blk00000003/sig000005bf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000640 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[9]), + .Q(\blk00000003/sig00000696 ), + .Q15(\NLW_blk00000003/blk00000640_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000695 ), + .Q(\blk00000003/sig000005be ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[10]), + .Q(\blk00000003/sig00000695 ), + .Q15(\NLW_blk00000003/blk0000063e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000694 ), + .Q(\blk00000003/sig000005c0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[8]), + .Q(\blk00000003/sig00000694 ), + .Q15(\NLW_blk00000003/blk0000063c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000693 ), + .Q(\blk00000003/sig000005bd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[11]), + .Q(\blk00000003/sig00000693 ), + .Q15(\NLW_blk00000003/blk0000063a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000639 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000692 ), + .Q(\blk00000003/sig000005bc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000638 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[12]), + .Q(\blk00000003/sig00000692 ), + .Q15(\NLW_blk00000003/blk00000638_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000637 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000691 ), + .Q(\blk00000003/sig000005ba ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000636 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[14]), + .Q(\blk00000003/sig00000691 ), + .Q15(\NLW_blk00000003/blk00000636_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000635 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000690 ), + .Q(\blk00000003/sig000005b9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000634 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[15]), + .Q(\blk00000003/sig00000690 ), + .Q15(\NLW_blk00000003/blk00000634_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000633 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000068f ), + .Q(\blk00000003/sig000005bb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000632 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[13]), + .Q(\blk00000003/sig0000068f ), + .Q15(\NLW_blk00000003/blk00000632_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000631 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000068e ), + .Q(\blk00000003/sig000005b7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000630 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[17]), + .Q(\blk00000003/sig0000068e ), + .Q15(\NLW_blk00000003/blk00000630_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000062f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000068d ), + .Q(\blk00000003/sig000005b8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000062e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[16]), + .Q(\blk00000003/sig0000068d ), + .Q15(\NLW_blk00000003/blk0000062e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000062d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000068c ), + .Q(\blk00000003/sig000004f5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000062c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001d6 ), + .Q(\blk00000003/sig0000068c ), + .Q15(\NLW_blk00000003/blk0000062c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000062b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000068b ), + .Q(\blk00000003/sig000005f0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000062a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ce ), + .Q(\blk00000003/sig0000068b ), + .Q15(\NLW_blk00000003/blk0000062a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000629 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000068a ), + .Q(\blk00000003/sig000001df ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000628 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001e1 ), + .Q(\blk00000003/sig0000068a ), + .Q15(\NLW_blk00000003/blk00000628_Q15_UNCONNECTED ) + ); + INV \blk00000003/blk00000627 ( + .I(\blk00000003/sig0000023e ), + .O(\blk00000003/sig0000027e ) + ); + INV \blk00000003/blk00000626 ( + .I(\blk00000003/sig00000287 ), + .O(\blk00000003/sig00000277 ) + ); + INV \blk00000003/blk00000625 ( + .I(\blk00000003/sig000001ce ), + .O(\blk00000003/sig0000028c ) + ); + INV \blk00000003/blk00000624 ( + .I(\blk00000003/sig0000028e ), + .O(\blk00000003/sig0000027d ) + ); + INV \blk00000003/blk00000623 ( + .I(\blk00000003/sig000005ff ), + .O(\blk00000003/sig00000678 ) + ); + INV \blk00000003/blk00000622 ( + .I(\blk00000003/sig00000242 ), + .O(\blk00000003/sig0000028f ) + ); + INV \blk00000003/blk00000621 ( + .I(\blk00000003/sig0000023e ), + .O(\blk00000003/sig00000278 ) + ); + INV \blk00000003/blk00000620 ( + .I(\blk00000003/sig0000021b ), + .O(\blk00000003/sig00000243 ) + ); + INV \blk00000003/blk0000061f ( + .I(\blk00000003/sig000001cc ), + .O(\blk00000003/sig000000ba ) + ); + INV \blk00000003/blk0000061e ( + .I(\blk00000003/sig000000ad ), + .O(\blk00000003/sig000001c7 ) + ); + INV \blk00000003/blk0000061d ( + .I(\blk00000003/sig000000b7 ), + .O(\blk00000003/sig000000b8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000061c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000604 ), + .Q(\blk00000003/sig0000067c ) + ); + LUT3 #( + .INIT ( 8'h40 )) + \blk00000003/blk0000061b ( + .I0(\blk00000003/sig0000024b ), + .I1(\blk00000003/sig00000234 ), + .I2(coef_ld), + .O(\blk00000003/sig00000247 ) + ); + LUT5 #( + .INIT ( 32'h4F444444 )) + \blk00000003/blk0000061a ( + .I0(\blk00000003/sig00000248 ), + .I1(\blk00000003/sig0000023c ), + .I2(\blk00000003/sig0000024b ), + .I3(coef_ld), + .I4(\blk00000003/sig00000234 ), + .O(\blk00000003/sig0000023f ) + ); + LUT4 #( + .INIT ( 16'h1000 )) + \blk00000003/blk00000619 ( + .I0(coef_ld), + .I1(\blk00000003/sig00000236 ), + .I2(coef_we), + .I3(\blk00000003/sig00000234 ), + .O(\blk00000003/sig00000246 ) + ); + LUT5 #( + .INIT ( 32'h20AA2020 )) + \blk00000003/blk00000618 ( + .I0(\blk00000003/sig00000234 ), + .I1(\blk00000003/sig00000236 ), + .I2(coef_we), + .I3(\blk00000003/sig0000024b ), + .I4(coef_ld), + .O(\blk00000003/sig00000245 ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk00000617 ( + .I0(\blk00000003/sig00000287 ), + .I1(ce), + .I2(\blk00000003/sig0000023c ), + .I3(\blk00000003/sig00000219 ), + .O(\blk00000003/sig00000689 ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk00000616 ( + .I0(\blk00000003/sig0000028e ), + .I1(ce), + .I2(\blk00000003/sig0000023a ), + .I3(\blk00000003/sig0000027f ), + .O(\blk00000003/sig00000688 ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk00000615 ( + .I0(\blk00000003/sig0000067b ), + .I1(ce), + .I2(\blk00000003/sig000001d4 ), + .I3(\blk00000003/sig000001d6 ), + .O(\blk00000003/sig00000686 ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk00000614 ( + .I0(ce), + .I1(sclr), + .I2(\blk00000003/sig0000067f ), + .O(\blk00000003/sig00000685 ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk00000613 ( + .I0(ce), + .I1(\blk00000003/sig0000024b ), + .I2(\blk00000003/sig0000067d ), + .O(\blk00000003/sig00000684 ) + ); + LUT5 #( + .INIT ( 32'h6AAAAAAA )) + \blk00000003/blk00000612 ( + .I0(\blk00000003/sig0000067e ), + .I1(\blk00000003/sig00000291 ), + .I2(ce), + .I3(nd), + .I4(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig00000687 ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk00000611 ( + .C(clk), + .D(\blk00000003/sig00000689 ), + .Q(\blk00000003/sig00000287 ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk00000610 ( + .C(clk), + .D(\blk00000003/sig00000688 ), + .Q(\blk00000003/sig0000028e ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk0000060f ( + .C(clk), + .D(\blk00000003/sig00000687 ), + .R(sclr), + .Q(\blk00000003/sig0000067e ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk0000060e ( + .C(clk), + .D(\blk00000003/sig00000686 ), + .R(sclr), + .Q(\blk00000003/sig0000067b ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000060d ( + .I0(\blk00000003/sig00000602 ), + .O(\blk00000003/sig000005fd ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000060c ( + .I0(\blk00000003/sig00000601 ), + .O(\blk00000003/sig000005fa ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000060b ( + .I0(\blk00000003/sig00000600 ), + .O(\blk00000003/sig000005f7 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000060a ( + .I0(\blk00000003/sig000005ff ), + .O(\blk00000003/sig000005f4 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000609 ( + .I0(\blk00000003/sig000002be ), + .O(\blk00000003/sig000002bf ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000608 ( + .I0(\blk00000003/sig000002ba ), + .O(\blk00000003/sig000002bb ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000607 ( + .I0(\blk00000003/sig000002a6 ), + .O(\blk00000003/sig000002a0 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000606 ( + .I0(\blk00000003/sig0000067e ), + .O(\blk00000003/sig00000296 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000605 ( + .I0(\blk00000003/sig00000268 ), + .O(\blk00000003/sig00000269 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000604 ( + .I0(\blk00000003/sig00000264 ), + .O(\blk00000003/sig00000265 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000603 ( + .I0(\blk00000003/sig00000256 ), + .O(\blk00000003/sig00000254 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000602 ( + .I0(\blk00000003/sig0000024f ), + .O(\blk00000003/sig0000024d ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000601 ( + .I0(\blk00000003/sig0000024f ), + .I1(\blk00000003/sig00000252 ), + .O(\blk00000003/sig0000022a ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000600 ( + .I0(\blk00000003/sig000001cc ), + .O(\blk00000003/sig000000bb ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000672 ), + .R(sclr), + .Q(\blk00000003/sig00000677 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000066f ), + .R(sclr), + .Q(\blk00000003/sig00000676 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000066c ), + .R(sclr), + .Q(\blk00000003/sig00000675 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000669 ), + .R(sclr), + .Q(\blk00000003/sig00000674 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000666 ), + .R(sclr), + .Q(\blk00000003/sig00000673 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005f2 ), + .R(sclr), + .Q(\blk00000003/sig00000603 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000005f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005fe ), + .S(sclr), + .Q(\blk00000003/sig00000602 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005fb ), + .R(sclr), + .Q(\blk00000003/sig00000601 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005f8 ), + .R(sclr), + .Q(\blk00000003/sig00000600 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000005f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005f5 ), + .S(sclr), + .Q(\blk00000003/sig000005ff ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002c0 ), + .R(\blk00000003/sig000002c3 ), + .Q(\blk00000003/sig000002be ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002bd ), + .R(\blk00000003/sig000002c3 ), + .Q(\blk00000003/sig000002ba ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000005f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b3 ), + .S(\blk00000003/sig000002c2 ), + .Q(\blk00000003/sig000002b8 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000005f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b6 ), + .S(\blk00000003/sig000002c2 ), + .Q(\blk00000003/sig000002b7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ab ), + .R(\blk00000003/sig000002c2 ), + .Q(\blk00000003/sig000002b1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ae ), + .R(\blk00000003/sig000002c2 ), + .Q(\blk00000003/sig000002b0 ) + ); + FDR \blk00000003/blk000005ef ( + .C(clk), + .D(\blk00000003/sig00000685 ), + .R(ce), + .Q(\blk00000003/sig0000067f ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000005ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a1 ), + .S(sclr), + .Q(\blk00000003/sig000002a6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a4 ), + .R(sclr), + .Q(\blk00000003/sig000002a5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029b ), + .R(sclr), + .Q(\blk00000003/sig000001e7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029e ), + .R(sclr), + .Q(\blk00000003/sig000001e6 ) + ); + FDR \blk00000003/blk000005ea ( + .C(clk), + .D(\blk00000003/sig00000684 ), + .R(ce), + .Q(\blk00000003/sig0000067d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000026a ), + .R(\blk00000003/sig0000026d ), + .Q(\blk00000003/sig00000268 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000267 ), + .R(\blk00000003/sig0000026d ), + .Q(\blk00000003/sig00000264 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000025c ), + .R(coef_ld), + .Q(\blk00000003/sig00000262 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000025f ), + .R(coef_ld), + .Q(\blk00000003/sig00000261 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000258 ), + .R(sclr), + .Q(\blk00000003/sig00000259 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000255 ), + .R(sclr), + .Q(\blk00000003/sig00000256 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000251 ), + .R(coef_ld), + .Q(\blk00000003/sig00000252 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000024e ), + .R(coef_ld), + .Q(\blk00000003/sig0000024f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000000af ), + .R(sclr), + .Q(\blk00000003/sig000000ad ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000005e0 ( + .I0(\blk00000003/sig00000673 ), + .I1(\blk00000003/sig000005ff ), + .O(\blk00000003/sig00000665 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000005df ( + .I0(\blk00000003/sig00000674 ), + .I1(\blk00000003/sig000005ff ), + .O(\blk00000003/sig00000668 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000005de ( + .I0(\blk00000003/sig00000675 ), + .I1(\blk00000003/sig000005ff ), + .O(\blk00000003/sig0000066b ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000005dd ( + .I0(\blk00000003/sig00000676 ), + .I1(\blk00000003/sig000005ff ), + .O(\blk00000003/sig0000066e ) + ); + LUT3 #( + .INIT ( 8'hDE )) + \blk00000003/blk000005dc ( + .I0(\blk00000003/sig00000677 ), + .I1(\blk00000003/sig000005ff ), + .I2(\blk00000003/sig000001dd ), + .O(\blk00000003/sig00000671 ) + ); + LUT3 #( + .INIT ( 8'h04 )) + \blk00000003/blk000005db ( + .I0(\blk00000003/sig000001dd ), + .I1(\blk00000003/sig0000004a ), + .I2(\blk00000003/sig000005ff ), + .O(\blk00000003/sig00000663 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005da ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000106 ), + .I3(NlwRenamedSig_OI_dout_2[45]), + .O(\blk00000003/sig00000661 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d9 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000105 ), + .I3(NlwRenamedSig_OI_dout_2[46]), + .O(\blk00000003/sig00000662 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d8 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000107 ), + .I3(NlwRenamedSig_OI_dout_2[44]), + .O(\blk00000003/sig00000660 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d7 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000109 ), + .I3(NlwRenamedSig_OI_dout_2[42]), + .O(\blk00000003/sig0000065e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d6 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000108 ), + .I3(NlwRenamedSig_OI_dout_2[43]), + .O(\blk00000003/sig0000065f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d5 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000010a ), + .I3(NlwRenamedSig_OI_dout_2[41]), + .O(\blk00000003/sig0000065d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d4 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000010c ), + .I3(NlwRenamedSig_OI_dout_2[39]), + .O(\blk00000003/sig0000065b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d3 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000010b ), + .I3(NlwRenamedSig_OI_dout_2[40]), + .O(\blk00000003/sig0000065c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d2 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000010d ), + .I3(NlwRenamedSig_OI_dout_2[38]), + .O(\blk00000003/sig0000065a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d1 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000010f ), + .I3(NlwRenamedSig_OI_dout_2[36]), + .O(\blk00000003/sig00000658 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d0 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000010e ), + .I3(NlwRenamedSig_OI_dout_2[37]), + .O(\blk00000003/sig00000659 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005cf ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000110 ), + .I3(NlwRenamedSig_OI_dout_2[35]), + .O(\blk00000003/sig00000657 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ce ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000112 ), + .I3(NlwRenamedSig_OI_dout_2[33]), + .O(\blk00000003/sig00000655 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005cd ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000111 ), + .I3(NlwRenamedSig_OI_dout_2[34]), + .O(\blk00000003/sig00000656 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005cc ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000113 ), + .I3(NlwRenamedSig_OI_dout_2[32]), + .O(\blk00000003/sig00000654 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005cb ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000115 ), + .I3(NlwRenamedSig_OI_dout_2[30]), + .O(\blk00000003/sig00000652 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ca ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000114 ), + .I3(NlwRenamedSig_OI_dout_2[31]), + .O(\blk00000003/sig00000653 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c9 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000116 ), + .I3(NlwRenamedSig_OI_dout_2[29]), + .O(\blk00000003/sig00000651 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c8 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000118 ), + .I3(NlwRenamedSig_OI_dout_2[27]), + .O(\blk00000003/sig0000064f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c7 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000117 ), + .I3(NlwRenamedSig_OI_dout_2[28]), + .O(\blk00000003/sig00000650 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c6 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000119 ), + .I3(NlwRenamedSig_OI_dout_2[26]), + .O(\blk00000003/sig0000064e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c5 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000011b ), + .I3(NlwRenamedSig_OI_dout_2[24]), + .O(\blk00000003/sig0000064c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c4 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000011a ), + .I3(NlwRenamedSig_OI_dout_2[25]), + .O(\blk00000003/sig0000064d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c3 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000011c ), + .I3(NlwRenamedSig_OI_dout_2[23]), + .O(\blk00000003/sig0000064b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c2 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000011e ), + .I3(NlwRenamedSig_OI_dout_2[21]), + .O(\blk00000003/sig00000649 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c1 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000011d ), + .I3(NlwRenamedSig_OI_dout_2[22]), + .O(\blk00000003/sig0000064a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c0 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000011f ), + .I3(NlwRenamedSig_OI_dout_2[20]), + .O(\blk00000003/sig00000648 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005bf ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000121 ), + .I3(NlwRenamedSig_OI_dout_2[18]), + .O(\blk00000003/sig00000646 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005be ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000120 ), + .I3(NlwRenamedSig_OI_dout_2[19]), + .O(\blk00000003/sig00000647 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005bd ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000122 ), + .I3(NlwRenamedSig_OI_dout_2[17]), + .O(\blk00000003/sig00000645 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005bc ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000124 ), + .I3(NlwRenamedSig_OI_dout_2[15]), + .O(\blk00000003/sig00000643 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005bb ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000123 ), + .I3(NlwRenamedSig_OI_dout_2[16]), + .O(\blk00000003/sig00000644 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ba ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000125 ), + .I3(NlwRenamedSig_OI_dout_2[14]), + .O(\blk00000003/sig00000642 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005b9 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000127 ), + .I3(NlwRenamedSig_OI_dout_2[12]), + .O(\blk00000003/sig00000640 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005b8 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000126 ), + .I3(NlwRenamedSig_OI_dout_2[13]), + .O(\blk00000003/sig00000641 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005b7 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000128 ), + .I3(NlwRenamedSig_OI_dout_2[11]), + .O(\blk00000003/sig0000063f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005b6 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000012a ), + .I3(NlwRenamedSig_OI_dout_2[9]), + .O(\blk00000003/sig0000063d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005b5 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000129 ), + .I3(NlwRenamedSig_OI_dout_2[10]), + .O(\blk00000003/sig0000063e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005b4 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000012b ), + .I3(NlwRenamedSig_OI_dout_2[8]), + .O(\blk00000003/sig0000063c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005b3 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000012d ), + .I3(NlwRenamedSig_OI_dout_2[6]), + .O(\blk00000003/sig0000063a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005b2 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000012c ), + .I3(NlwRenamedSig_OI_dout_2[7]), + .O(\blk00000003/sig0000063b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005b1 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000012e ), + .I3(NlwRenamedSig_OI_dout_2[5]), + .O(\blk00000003/sig00000639 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005b0 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000130 ), + .I3(NlwRenamedSig_OI_dout_2[3]), + .O(\blk00000003/sig00000637 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005af ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000012f ), + .I3(NlwRenamedSig_OI_dout_2[4]), + .O(\blk00000003/sig00000638 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ae ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000131 ), + .I3(NlwRenamedSig_OI_dout_2[2]), + .O(\blk00000003/sig00000636 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ad ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000132 ), + .I3(NlwRenamedSig_OI_dout_2[1]), + .O(\blk00000003/sig00000635 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ac ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000017c ), + .I3(NlwRenamedSig_OI_dout_1[46]), + .O(\blk00000003/sig00000633 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ab ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000133 ), + .I3(NlwRenamedSig_OI_dout_2[0]), + .O(\blk00000003/sig00000634 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005aa ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000017d ), + .I3(NlwRenamedSig_OI_dout_1[45]), + .O(\blk00000003/sig00000632 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005a9 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000017f ), + .I3(NlwRenamedSig_OI_dout_1[43]), + .O(\blk00000003/sig00000630 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005a8 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000017e ), + .I3(NlwRenamedSig_OI_dout_1[44]), + .O(\blk00000003/sig00000631 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005a7 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000180 ), + .I3(NlwRenamedSig_OI_dout_1[42]), + .O(\blk00000003/sig0000062f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005a6 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000182 ), + .I3(NlwRenamedSig_OI_dout_1[40]), + .O(\blk00000003/sig0000062d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005a5 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000181 ), + .I3(NlwRenamedSig_OI_dout_1[41]), + .O(\blk00000003/sig0000062e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005a4 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000183 ), + .I3(NlwRenamedSig_OI_dout_1[39]), + .O(\blk00000003/sig0000062c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005a3 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000185 ), + .I3(NlwRenamedSig_OI_dout_1[37]), + .O(\blk00000003/sig0000062a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005a2 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000184 ), + .I3(NlwRenamedSig_OI_dout_1[38]), + .O(\blk00000003/sig0000062b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005a1 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000186 ), + .I3(NlwRenamedSig_OI_dout_1[36]), + .O(\blk00000003/sig00000629 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005a0 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000188 ), + .I3(NlwRenamedSig_OI_dout_1[34]), + .O(\blk00000003/sig00000627 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000059f ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000187 ), + .I3(NlwRenamedSig_OI_dout_1[35]), + .O(\blk00000003/sig00000628 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000059e ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000189 ), + .I3(NlwRenamedSig_OI_dout_1[33]), + .O(\blk00000003/sig00000626 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000059d ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000018b ), + .I3(NlwRenamedSig_OI_dout_1[31]), + .O(\blk00000003/sig00000624 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000059c ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000018a ), + .I3(NlwRenamedSig_OI_dout_1[32]), + .O(\blk00000003/sig00000625 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000059b ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000018c ), + .I3(NlwRenamedSig_OI_dout_1[30]), + .O(\blk00000003/sig00000623 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000059a ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000018e ), + .I3(NlwRenamedSig_OI_dout_1[28]), + .O(\blk00000003/sig00000621 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000599 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000018d ), + .I3(NlwRenamedSig_OI_dout_1[29]), + .O(\blk00000003/sig00000622 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000598 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000018f ), + .I3(NlwRenamedSig_OI_dout_1[27]), + .O(\blk00000003/sig00000620 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000597 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000191 ), + .I3(NlwRenamedSig_OI_dout_1[25]), + .O(\blk00000003/sig0000061e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000596 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000190 ), + .I3(NlwRenamedSig_OI_dout_1[26]), + .O(\blk00000003/sig0000061f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000595 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000192 ), + .I3(NlwRenamedSig_OI_dout_1[24]), + .O(\blk00000003/sig0000061d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000594 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000194 ), + .I3(NlwRenamedSig_OI_dout_1[22]), + .O(\blk00000003/sig0000061b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000593 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000193 ), + .I3(NlwRenamedSig_OI_dout_1[23]), + .O(\blk00000003/sig0000061c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000592 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000195 ), + .I3(NlwRenamedSig_OI_dout_1[21]), + .O(\blk00000003/sig0000061a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000591 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000197 ), + .I3(NlwRenamedSig_OI_dout_1[19]), + .O(\blk00000003/sig00000618 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000590 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000196 ), + .I3(NlwRenamedSig_OI_dout_1[20]), + .O(\blk00000003/sig00000619 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000058f ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000198 ), + .I3(NlwRenamedSig_OI_dout_1[18]), + .O(\blk00000003/sig00000617 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000058e ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000019a ), + .I3(NlwRenamedSig_OI_dout_1[16]), + .O(\blk00000003/sig00000615 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000058d ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig00000199 ), + .I3(NlwRenamedSig_OI_dout_1[17]), + .O(\blk00000003/sig00000616 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000058c ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000019b ), + .I3(NlwRenamedSig_OI_dout_1[15]), + .O(\blk00000003/sig00000614 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000058b ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000019d ), + .I3(NlwRenamedSig_OI_dout_1[13]), + .O(\blk00000003/sig00000612 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000058a ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000019c ), + .I3(NlwRenamedSig_OI_dout_1[14]), + .O(\blk00000003/sig00000613 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000589 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000019e ), + .I3(NlwRenamedSig_OI_dout_1[12]), + .O(\blk00000003/sig00000611 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000588 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig000001a0 ), + .I3(NlwRenamedSig_OI_dout_1[10]), + .O(\blk00000003/sig0000060f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000587 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig0000019f ), + .I3(NlwRenamedSig_OI_dout_1[11]), + .O(\blk00000003/sig00000610 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000586 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig000001a1 ), + .I3(NlwRenamedSig_OI_dout_1[9]), + .O(\blk00000003/sig0000060e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000585 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig000001a3 ), + .I3(NlwRenamedSig_OI_dout_1[7]), + .O(\blk00000003/sig0000060c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000584 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig000001a2 ), + .I3(NlwRenamedSig_OI_dout_1[8]), + .O(\blk00000003/sig0000060d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000583 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig000001a4 ), + .I3(NlwRenamedSig_OI_dout_1[6]), + .O(\blk00000003/sig0000060b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000582 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig000001a6 ), + .I3(NlwRenamedSig_OI_dout_1[4]), + .O(\blk00000003/sig00000609 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000581 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig000001a5 ), + .I3(NlwRenamedSig_OI_dout_1[5]), + .O(\blk00000003/sig0000060a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000580 ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig000001a7 ), + .I3(NlwRenamedSig_OI_dout_1[3]), + .O(\blk00000003/sig00000608 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000057f ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig000001a9 ), + .I3(NlwRenamedSig_OI_dout_1[1]), + .O(\blk00000003/sig00000606 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000057e ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig000001a8 ), + .I3(NlwRenamedSig_OI_dout_1[2]), + .O(\blk00000003/sig00000607 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000057d ( + .I0(\blk00000003/sig000001cc ), + .I1(\blk00000003/sig000001dd ), + .I2(\blk00000003/sig000001aa ), + .I3(NlwRenamedSig_OI_dout_1[0]), + .O(\blk00000003/sig00000605 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk0000057c ( + .I0(\blk00000003/sig00000603 ), + .I1(\blk00000003/sig000005ff ), + .O(\blk00000003/sig000005f1 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000057b ( + .I0(ce), + .I1(\blk00000003/sig000001df ), + .O(\blk00000003/sig00000683 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000057a ( + .I0(ce), + .I1(\blk00000003/sig000004e4 ), + .O(\blk00000003/sig000005ef ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000579 ( + .I0(ce), + .I1(\blk00000003/sig00000682 ), + .O(\blk00000003/sig000005ee ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000578 ( + .I0(ce), + .I1(\blk00000003/sig00000681 ), + .O(\blk00000003/sig000005ed ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000577 ( + .I0(\blk00000003/sig000002b7 ), + .I1(\blk00000003/sig000002c1 ), + .O(\blk00000003/sig000002b5 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000576 ( + .I0(\blk00000003/sig000002c1 ), + .I1(\blk00000003/sig000002b8 ), + .O(\blk00000003/sig000002b2 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000575 ( + .I0(\blk00000003/sig000002c1 ), + .I1(\blk00000003/sig00000680 ), + .O(\blk00000003/sig000002af ) + ); + LUT3 #( + .INIT ( 8'hEA )) + \blk00000003/blk00000574 ( + .I0(\blk00000003/sig000002b0 ), + .I1(\blk00000003/sig000002c1 ), + .I2(\blk00000003/sig00000680 ), + .O(\blk00000003/sig000002ad ) + ); + LUT3 #( + .INIT ( 8'hBC )) + \blk00000003/blk00000573 ( + .I0(\blk00000003/sig00000680 ), + .I1(\blk00000003/sig000002c1 ), + .I2(\blk00000003/sig000002b1 ), + .O(\blk00000003/sig000002aa ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk00000572 ( + .I0(sclr), + .I1(\blk00000003/sig0000067f ), + .O(\blk00000003/sig000002a7 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000571 ( + .I0(\blk00000003/sig000002a5 ), + .I1(\blk00000003/sig000001d6 ), + .O(\blk00000003/sig000002a3 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk00000570 ( + .I0(nd), + .I1(\blk00000003/sig00000298 ), + .I2(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig0000029f ) + ); + LUT4 #( + .INIT ( 16'hEAAA )) + \blk00000003/blk0000056f ( + .I0(\blk00000003/sig000001e6 ), + .I1(nd), + .I2(NlwRenamedSig_OI_rfd), + .I3(\blk00000003/sig00000298 ), + .O(\blk00000003/sig0000029d ) + ); + LUT4 #( + .INIT ( 16'hDFA0 )) + \blk00000003/blk0000056e ( + .I0(nd), + .I1(\blk00000003/sig00000298 ), + .I2(NlwRenamedSig_OI_rfd), + .I3(\blk00000003/sig000001e7 ), + .O(\blk00000003/sig0000029a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000056d ( + .I0(nd), + .I1(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig00000293 ) + ); + LUT3 #( + .INIT ( 8'h09 )) + \blk00000003/blk0000056c ( + .I0(\blk00000003/sig0000067e ), + .I1(\blk00000003/sig000001e6 ), + .I2(\blk00000003/sig000001e7 ), + .O(\blk00000003/sig00000295 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000056b ( + .I0(\blk00000003/sig0000023b ), + .I1(\blk00000003/sig00000242 ), + .O(\blk00000003/sig0000028d ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000056a ( + .I0(\blk00000003/sig00000242 ), + .I1(\blk00000003/sig0000023a ), + .O(\blk00000003/sig0000028a ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000569 ( + .I0(\blk00000003/sig00000242 ), + .I1(\blk00000003/sig0000023e ), + .O(\blk00000003/sig00000288 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk00000568 ( + .I0(\blk00000003/sig00000240 ), + .I1(\blk00000003/sig00000248 ), + .I2(\blk00000003/sig00000242 ), + .O(\blk00000003/sig00000283 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk00000567 ( + .I0(\blk00000003/sig00000240 ), + .I1(\blk00000003/sig0000023e ), + .I2(\blk00000003/sig00000242 ), + .O(\blk00000003/sig00000285 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000566 ( + .I0(\blk00000003/sig0000023b ), + .I1(\blk00000003/sig0000023e ), + .O(\blk00000003/sig0000027c ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000565 ( + .I0(\blk00000003/sig0000023a ), + .I1(\blk00000003/sig0000023e ), + .O(\blk00000003/sig0000027a ) + ); + LUT3 #( + .INIT ( 8'hDF )) + \blk00000003/blk00000564 ( + .I0(\blk00000003/sig00000238 ), + .I1(\blk00000003/sig00000242 ), + .I2(\blk00000003/sig0000023e ), + .O(\blk00000003/sig00000275 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000563 ( + .I0(\blk00000003/sig0000023d ), + .I1(\blk00000003/sig0000023e ), + .O(\blk00000003/sig00000271 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk00000562 ( + .I0(\blk00000003/sig0000023c ), + .I1(\blk00000003/sig0000023e ), + .I2(\blk00000003/sig00000248 ), + .O(\blk00000003/sig00000273 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk00000561 ( + .I0(\blk00000003/sig0000024b ), + .I1(\blk00000003/sig0000067d ), + .O(\blk00000003/sig0000026c ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk00000560 ( + .I0(coef_we), + .I1(\blk00000003/sig00000222 ), + .I2(\blk00000003/sig00000227 ), + .O(\blk00000003/sig00000260 ) + ); + LUT4 #( + .INIT ( 16'hEAAA )) + \blk00000003/blk0000055f ( + .I0(\blk00000003/sig00000261 ), + .I1(coef_we), + .I2(\blk00000003/sig00000227 ), + .I3(\blk00000003/sig00000222 ), + .O(\blk00000003/sig0000025e ) + ); + LUT4 #( + .INIT ( 16'hE6CC )) + \blk00000003/blk0000055e ( + .I0(coef_we), + .I1(\blk00000003/sig00000262 ), + .I2(\blk00000003/sig00000222 ), + .I3(\blk00000003/sig00000227 ), + .O(\blk00000003/sig0000025b ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk0000055d ( + .I0(\blk00000003/sig00000259 ), + .I1(\blk00000003/sig000001c3 ), + .O(\blk00000003/sig00000257 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk0000055c ( + .I0(\blk00000003/sig00000252 ), + .I1(coef_we), + .O(\blk00000003/sig00000250 ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk0000055b ( + .I0(coef_ld), + .I1(\blk00000003/sig0000024b ), + .O(\blk00000003/sig00000241 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000055a ( + .I0(coef_we), + .I1(\blk00000003/sig00000227 ), + .O(\blk00000003/sig00000223 ) + ); + LUT3 #( + .INIT ( 8'h40 )) + \blk00000003/blk00000559 ( + .I0(coef_ld), + .I1(coef_we), + .I2(\blk00000003/sig00000236 ), + .O(\blk00000003/sig00000249 ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000558 ( + .I0(\blk00000003/sig00000261 ), + .I1(\blk00000003/sig00000262 ), + .O(\blk00000003/sig0000022d ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000557 ( + .I0(\blk00000003/sig0000024f ), + .I1(\blk00000003/sig00000252 ), + .O(\blk00000003/sig00000229 ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000556 ( + .I0(\blk00000003/sig00000262 ), + .I1(\blk00000003/sig00000261 ), + .O(\blk00000003/sig00000225 ) + ); + LUT3 #( + .INIT ( 8'hDF )) + \blk00000003/blk00000555 ( + .I0(coef_ld), + .I1(\blk00000003/sig0000024b ), + .I2(\blk00000003/sig00000234 ), + .O(\blk00000003/sig00000220 ) + ); + LUT3 #( + .INIT ( 8'hDF )) + \blk00000003/blk00000554 ( + .I0(coef_we), + .I1(\blk00000003/sig00000236 ), + .I2(\blk00000003/sig00000234 ), + .O(\blk00000003/sig0000021d ) + ); + LUT5 #( + .INIT ( 32'hFFFF2AAA )) + \blk00000003/blk00000553 ( + .I0(\blk00000003/sig00000236 ), + .I1(coef_we), + .I2(\blk00000003/sig00000227 ), + .I3(\blk00000003/sig00000222 ), + .I4(coef_ld), + .O(\blk00000003/sig00000235 ) + ); + LUT4 #( + .INIT ( 16'hFF8A )) + \blk00000003/blk00000552 ( + .I0(\blk00000003/sig00000234 ), + .I1(\blk00000003/sig00000236 ), + .I2(coef_we), + .I3(coef_ld), + .O(\blk00000003/sig00000233 ) + ); + LUT3 #( + .INIT ( 8'h80 )) + \blk00000003/blk00000551 ( + .I0(nd), + .I1(\blk00000003/sig00000291 ), + .I2(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig000001e5 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000550 ( + .I0(\blk00000003/sig000000bf ), + .I1(\blk00000003/sig000001dd ), + .O(\blk00000003/sig000001e3 ) + ); + LUT3 #( + .INIT ( 8'h10 )) + \blk00000003/blk0000054f ( + .I0(\blk00000003/sig000000bf ), + .I1(\blk00000003/sig000005ff ), + .I2(\blk00000003/sig0000067c ), + .O(\blk00000003/sig000000c0 ) + ); + LUT3 #( + .INIT ( 8'hEA )) + \blk00000003/blk0000054e ( + .I0(sclr), + .I1(ce), + .I2(\blk00000003/sig000005ff ), + .O(\blk00000003/sig000001de ) + ); + LUT2 #( + .INIT ( 4'hD )) + \blk00000003/blk0000054d ( + .I0(NlwRenamedSig_OI_rfd), + .I1(nd), + .O(\blk00000003/sig000001ca ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk0000054c ( + .I0(\blk00000003/sig00000256 ), + .I1(\blk00000003/sig00000259 ), + .O(\blk00000003/sig000001c4 ) + ); + LUT5 #( + .INIT ( 32'h00002000 )) + \blk00000003/blk0000054b ( + .I0(\blk00000003/sig00000673 ), + .I1(\blk00000003/sig00000674 ), + .I2(\blk00000003/sig00000675 ), + .I3(\blk00000003/sig00000676 ), + .I4(\blk00000003/sig00000677 ), + .O(\blk00000003/sig000000c2 ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk0000054a ( + .I0(\blk00000003/sig000001d6 ), + .I1(\blk00000003/sig000001c3 ), + .I2(\blk00000003/sig000001e4 ), + .O(\blk00000003/sig000001d5 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000549 ( + .I0(\blk00000003/sig000002a6 ), + .I1(\blk00000003/sig000001e2 ), + .O(\blk00000003/sig000001db ) + ); + LUT3 #( + .INIT ( 8'hD8 )) + \blk00000003/blk00000548 ( + .I0(ce), + .I1(\blk00000003/sig00000679 ), + .I2(\blk00000003/sig000000b5 ), + .O(\blk00000003/sig000000b4 ) + ); + LUT3 #( + .INIT ( 8'h72 )) + \blk00000003/blk00000547 ( + .I0(ce), + .I1(\blk00000003/sig00000679 ), + .I2(\blk00000003/sig000000b3 ), + .O(\blk00000003/sig000000b2 ) + ); + LUT4 #( + .INIT ( 16'h8F88 )) + \blk00000003/blk00000546 ( + .I0(NlwRenamedSig_OI_rfd), + .I1(nd), + .I2(\blk00000003/sig000001d8 ), + .I3(\blk00000003/sig000001c6 ), + .O(\blk00000003/sig000001d7 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000545 ( + .I0(\blk00000003/sig000000ad ), + .I1(\blk00000003/sig000001c6 ), + .O(\blk00000003/sig000000ae ) + ); + LUT5 #( + .INIT ( 32'hCEEE8AAA )) + \blk00000003/blk00000544 ( + .I0(\blk00000003/sig000001c3 ), + .I1(\blk00000003/sig000001e4 ), + .I2(\blk00000003/sig000001d4 ), + .I3(\blk00000003/sig000001d6 ), + .I4(\blk00000003/sig000001d2 ), + .O(\blk00000003/sig000001d3 ) + ); + LUT4 #( + .INIT ( 16'h8808 )) + \blk00000003/blk00000543 ( + .I0(\blk00000003/sig000001d4 ), + .I1(\blk00000003/sig0000067b ), + .I2(\blk00000003/sig000001d6 ), + .I3(\blk00000003/sig000001e4 ), + .O(\blk00000003/sig000001cf ) + ); + LUT4 #( + .INIT ( 16'h5540 )) + \blk00000003/blk00000542 ( + .I0(\blk00000003/sig000001e4 ), + .I1(\blk00000003/sig000001d4 ), + .I2(\blk00000003/sig000001d6 ), + .I3(\blk00000003/sig000001d2 ), + .O(\blk00000003/sig000001d1 ) + ); + LUT3 #( + .INIT ( 8'h9A )) + \blk00000003/blk00000541 ( + .I0(\blk00000003/sig000002a5 ), + .I1(\blk00000003/sig000002a6 ), + .I2(\blk00000003/sig000001e2 ), + .O(\blk00000003/sig000001d9 ) + ); + LUT4 #( + .INIT ( 16'hFDA8 )) + \blk00000003/blk00000540 ( + .I0(ce), + .I1(\blk00000003/sig00000679 ), + .I2(\blk00000003/sig0000067a ), + .I3(\blk00000003/sig000000b1 ), + .O(\blk00000003/sig000000b0 ) + ); + MUXCY \blk00000003/blk0000053f ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ac ), + .S(\blk00000003/sig00000678 ), + .O(\blk00000003/sig00000670 ) + ); + MUXCY_L \blk00000003/blk0000053e ( + .CI(\blk00000003/sig00000670 ), + .DI(\blk00000003/sig00000677 ), + .S(\blk00000003/sig00000671 ), + .LO(\blk00000003/sig0000066d ) + ); + MUXCY_L \blk00000003/blk0000053d ( + .CI(\blk00000003/sig0000066d ), + .DI(\blk00000003/sig00000676 ), + .S(\blk00000003/sig0000066e ), + .LO(\blk00000003/sig0000066a ) + ); + MUXCY_L \blk00000003/blk0000053c ( + .CI(\blk00000003/sig0000066a ), + .DI(\blk00000003/sig00000675 ), + .S(\blk00000003/sig0000066b ), + .LO(\blk00000003/sig00000667 ) + ); + MUXCY_L \blk00000003/blk0000053b ( + .CI(\blk00000003/sig00000667 ), + .DI(\blk00000003/sig00000674 ), + .S(\blk00000003/sig00000668 ), + .LO(\blk00000003/sig00000664 ) + ); + MUXCY_D \blk00000003/blk0000053a ( + .CI(\blk00000003/sig00000664 ), + .DI(\blk00000003/sig00000673 ), + .S(\blk00000003/sig00000665 ), + .O(\NLW_blk00000003/blk0000053a_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk0000053a_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000539 ( + .CI(\blk00000003/sig00000670 ), + .LI(\blk00000003/sig00000671 ), + .O(\blk00000003/sig00000672 ) + ); + XORCY \blk00000003/blk00000538 ( + .CI(\blk00000003/sig0000066d ), + .LI(\blk00000003/sig0000066e ), + .O(\blk00000003/sig0000066f ) + ); + XORCY \blk00000003/blk00000537 ( + .CI(\blk00000003/sig0000066a ), + .LI(\blk00000003/sig0000066b ), + .O(\blk00000003/sig0000066c ) + ); + XORCY \blk00000003/blk00000536 ( + .CI(\blk00000003/sig00000667 ), + .LI(\blk00000003/sig00000668 ), + .O(\blk00000003/sig00000669 ) + ); + XORCY \blk00000003/blk00000535 ( + .CI(\blk00000003/sig00000664 ), + .LI(\blk00000003/sig00000665 ), + .O(\blk00000003/sig00000666 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000534 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000663 ), + .R(sclr), + .Q(\blk00000003/sig0000004a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000533 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000662 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[46]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000532 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000661 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[45]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000531 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000660 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[44]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000065f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[43]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000065e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[42]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000065d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[41]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000065c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[40]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000065b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[39]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000065a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[38]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000659 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[37]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000529 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000658 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[36]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000528 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000657 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[35]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000527 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000656 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[34]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000526 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000655 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[33]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000525 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000654 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[32]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000524 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000653 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[31]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000523 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000652 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[30]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000522 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000651 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[29]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000521 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000650 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[28]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000520 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000064f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[27]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000064e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[26]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000064d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[25]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000064c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[24]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000064b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[23]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000064a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[22]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000649 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[21]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000519 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000648 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[20]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000518 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000647 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[19]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000517 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000646 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[18]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000516 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000645 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[17]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000515 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000644 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[16]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000514 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000643 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[15]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000513 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000642 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[14]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000512 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000641 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[13]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000511 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000640 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[12]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000510 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000063f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[11]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000050f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000063e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[10]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000050e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000063d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[9]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000050d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000063c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[8]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000050c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000063b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[7]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000050b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000063a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[6]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000050a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000639 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[5]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000509 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000638 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[4]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000508 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000637 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[3]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000507 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000636 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[2]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000506 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000635 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[1]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000505 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000634 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[0]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000504 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000633 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[46]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000503 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000632 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[45]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000502 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000631 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[44]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000501 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000630 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[43]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000500 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000062f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[42]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000062e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[41]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000062d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[40]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000062c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[39]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000062b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[38]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000062a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[37]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000629 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[36]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000628 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[35]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000627 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[34]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000626 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[33]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000625 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[32]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000624 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[31]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000623 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[30]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000622 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[29]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000621 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[28]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000620 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[27]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000061f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[26]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000061e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[25]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000061d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[24]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000061c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[23]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000061b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[22]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000061a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[21]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000619 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[20]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000618 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[19]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000617 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[18]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000616 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[17]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000615 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[16]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000614 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[15]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000613 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[14]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000612 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[13]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000611 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[12]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000610 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[11]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000060f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[10]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004df ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000060e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[9]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004de ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000060d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[8]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004dd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000060c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[7]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000060b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[6]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004db ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000060a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[5]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004da ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000609 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[4]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000608 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[3]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000607 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[2]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000606 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[1]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000605 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[0]) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000604 ), + .Q(\blk00000003/sig000001dd ) + ); + MUXCY_L \blk00000003/blk000004d4 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000603 ), + .S(\blk00000003/sig000005f1 ), + .LO(\blk00000003/sig000005fc ) + ); + MUXCY_L \blk00000003/blk000004d3 ( + .CI(\blk00000003/sig000005fc ), + .DI(\blk00000003/sig00000602 ), + .S(\blk00000003/sig000005fd ), + .LO(\blk00000003/sig000005f9 ) + ); + MUXCY_L \blk00000003/blk000004d2 ( + .CI(\blk00000003/sig000005f9 ), + .DI(\blk00000003/sig00000601 ), + .S(\blk00000003/sig000005fa ), + .LO(\blk00000003/sig000005f6 ) + ); + MUXCY_L \blk00000003/blk000004d1 ( + .CI(\blk00000003/sig000005f6 ), + .DI(\blk00000003/sig00000600 ), + .S(\blk00000003/sig000005f7 ), + .LO(\blk00000003/sig000005f3 ) + ); + MUXCY_D \blk00000003/blk000004d0 ( + .CI(\blk00000003/sig000005f3 ), + .DI(\blk00000003/sig000005ff ), + .S(\blk00000003/sig000005f4 ), + .O(\NLW_blk00000003/blk000004d0_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000004d0_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000004cf ( + .CI(\blk00000003/sig000005fc ), + .LI(\blk00000003/sig000005fd ), + .O(\blk00000003/sig000005fe ) + ); + XORCY \blk00000003/blk000004ce ( + .CI(\blk00000003/sig000005f9 ), + .LI(\blk00000003/sig000005fa ), + .O(\blk00000003/sig000005fb ) + ); + XORCY \blk00000003/blk000004cd ( + .CI(\blk00000003/sig000005f6 ), + .LI(\blk00000003/sig000005f7 ), + .O(\blk00000003/sig000005f8 ) + ); + XORCY \blk00000003/blk000004cc ( + .CI(\blk00000003/sig000005f3 ), + .LI(\blk00000003/sig000005f4 ), + .O(\blk00000003/sig000005f5 ) + ); + XORCY \blk00000003/blk000004cb ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig000005f1 ), + .O(\blk00000003/sig000005f2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a3 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003f0 ), + .R(sclr), + .Q(\blk00000003/sig0000050e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a2 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003ef ), + .R(sclr), + .Q(\blk00000003/sig0000050d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a1 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003ee ), + .R(sclr), + .Q(\blk00000003/sig0000050c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a0 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003ed ), + .R(sclr), + .Q(\blk00000003/sig0000050b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049f ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003ec ), + .R(sclr), + .Q(\blk00000003/sig0000050a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049e ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003eb ), + .R(sclr), + .Q(\blk00000003/sig00000509 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049d ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003ea ), + .R(sclr), + .Q(\blk00000003/sig00000508 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049c ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003e9 ), + .R(sclr), + .Q(\blk00000003/sig00000507 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049b ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003e8 ), + .R(sclr), + .Q(\blk00000003/sig00000506 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049a ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003e7 ), + .R(sclr), + .Q(\blk00000003/sig00000505 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000499 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003e6 ), + .R(sclr), + .Q(\blk00000003/sig00000504 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000498 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003e5 ), + .R(sclr), + .Q(\blk00000003/sig00000503 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000497 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003e4 ), + .R(sclr), + .Q(\blk00000003/sig00000502 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000496 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003e3 ), + .R(sclr), + .Q(\blk00000003/sig00000501 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000495 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003e2 ), + .R(sclr), + .Q(\blk00000003/sig00000500 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000494 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003e1 ), + .R(sclr), + .Q(\blk00000003/sig000004ff ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000493 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003e0 ), + .R(sclr), + .Q(\blk00000003/sig000004fe ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000492 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003df ), + .R(sclr), + .Q(\blk00000003/sig000004fd ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000491 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003de ), + .R(sclr), + .Q(\blk00000003/sig000004fc ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000490 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003dd ), + .R(sclr), + .Q(\blk00000003/sig000004fb ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000048f ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003dc ), + .R(sclr), + .Q(\blk00000003/sig000004fa ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000048e ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003db ), + .R(sclr), + .Q(\blk00000003/sig000004f9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000048d ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003da ), + .R(sclr), + .Q(\blk00000003/sig000004f8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000048c ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig000003d9 ), + .R(sclr), + .Q(\blk00000003/sig000004f7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000048b ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig00000450 ), + .R(sclr), + .Q(\blk00000003/sig00000526 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000048a ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig0000044f ), + .R(sclr), + .Q(\blk00000003/sig00000525 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000489 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig0000044e ), + .R(sclr), + .Q(\blk00000003/sig00000524 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000488 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig0000044d ), + .R(sclr), + .Q(\blk00000003/sig00000523 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000487 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig0000044c ), + .R(sclr), + .Q(\blk00000003/sig00000522 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000486 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig0000044b ), + .R(sclr), + .Q(\blk00000003/sig00000521 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig0000044a ), + .R(sclr), + .Q(\blk00000003/sig00000520 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000484 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig00000449 ), + .R(sclr), + .Q(\blk00000003/sig0000051f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000483 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig00000448 ), + .R(sclr), + .Q(\blk00000003/sig0000051e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000482 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig00000447 ), + .R(sclr), + .Q(\blk00000003/sig0000051d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000481 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig00000446 ), + .R(sclr), + .Q(\blk00000003/sig0000051c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000480 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig00000445 ), + .R(sclr), + .Q(\blk00000003/sig0000051b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047f ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig00000444 ), + .R(sclr), + .Q(\blk00000003/sig0000051a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047e ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig00000443 ), + .R(sclr), + .Q(\blk00000003/sig00000519 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047d ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig00000442 ), + .R(sclr), + .Q(\blk00000003/sig00000518 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047c ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig00000441 ), + .R(sclr), + .Q(\blk00000003/sig00000517 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047b ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig00000440 ), + .R(sclr), + .Q(\blk00000003/sig00000516 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047a ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig0000043f ), + .R(sclr), + .Q(\blk00000003/sig00000515 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000479 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig0000043e ), + .R(sclr), + .Q(\blk00000003/sig00000514 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000478 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig0000043d ), + .R(sclr), + .Q(\blk00000003/sig00000513 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000477 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig0000043c ), + .R(sclr), + .Q(\blk00000003/sig00000512 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000476 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig0000043b ), + .R(sclr), + .Q(\blk00000003/sig00000511 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000475 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig0000043a ), + .R(sclr), + .Q(\blk00000003/sig00000510 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000474 ( + .C(clk), + .CE(\blk00000003/sig000005ef ), + .D(\blk00000003/sig00000439 ), + .R(sclr), + .Q(\blk00000003/sig0000050f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044c ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004aa ), + .R(sclr), + .Q(\blk00000003/sig00000586 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044b ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004a9 ), + .R(sclr), + .Q(\blk00000003/sig00000585 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044a ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004a8 ), + .R(sclr), + .Q(\blk00000003/sig00000584 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000449 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004a7 ), + .R(sclr), + .Q(\blk00000003/sig00000583 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000448 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004a6 ), + .R(sclr), + .Q(\blk00000003/sig00000582 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000447 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004a5 ), + .R(sclr), + .Q(\blk00000003/sig00000581 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000446 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004a4 ), + .R(sclr), + .Q(\blk00000003/sig00000580 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000445 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004a3 ), + .R(sclr), + .Q(\blk00000003/sig0000057f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000444 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004a2 ), + .R(sclr), + .Q(\blk00000003/sig0000057e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000443 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004a1 ), + .R(sclr), + .Q(\blk00000003/sig0000057d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000442 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004a0 ), + .R(sclr), + .Q(\blk00000003/sig0000057c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000441 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig0000049f ), + .R(sclr), + .Q(\blk00000003/sig0000057b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000440 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig0000049e ), + .R(sclr), + .Q(\blk00000003/sig0000057a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig0000049d ), + .R(sclr), + .Q(\blk00000003/sig00000579 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043e ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig0000049c ), + .R(sclr), + .Q(\blk00000003/sig00000578 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043d ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig0000049b ), + .R(sclr), + .Q(\blk00000003/sig00000577 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043c ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig0000049a ), + .R(sclr), + .Q(\blk00000003/sig00000576 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043b ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig00000499 ), + .R(sclr), + .Q(\blk00000003/sig00000575 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043a ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig00000498 ), + .R(sclr), + .Q(\blk00000003/sig00000574 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000439 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig00000497 ), + .R(sclr), + .Q(\blk00000003/sig00000573 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000438 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig00000496 ), + .R(sclr), + .Q(\blk00000003/sig00000572 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000437 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig00000495 ), + .R(sclr), + .Q(\blk00000003/sig00000571 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000436 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig00000494 ), + .R(sclr), + .Q(\blk00000003/sig00000570 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000435 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig00000493 ), + .R(sclr), + .Q(\blk00000003/sig0000056f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000434 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000408 ), + .R(sclr), + .Q(\blk00000003/sig0000053e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000433 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000407 ), + .R(sclr), + .Q(\blk00000003/sig0000053d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000432 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000406 ), + .R(sclr), + .Q(\blk00000003/sig0000053c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000431 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000405 ), + .R(sclr), + .Q(\blk00000003/sig0000053b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000430 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000404 ), + .R(sclr), + .Q(\blk00000003/sig0000053a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000042f ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000403 ), + .R(sclr), + .Q(\blk00000003/sig00000539 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000042e ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000402 ), + .R(sclr), + .Q(\blk00000003/sig00000538 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000042d ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000401 ), + .R(sclr), + .Q(\blk00000003/sig00000537 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000042c ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000400 ), + .R(sclr), + .Q(\blk00000003/sig00000536 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000042b ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003ff ), + .R(sclr), + .Q(\blk00000003/sig00000535 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000042a ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003fe ), + .R(sclr), + .Q(\blk00000003/sig00000534 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000429 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003fd ), + .R(sclr), + .Q(\blk00000003/sig00000533 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000428 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003fc ), + .R(sclr), + .Q(\blk00000003/sig00000532 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000427 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003fb ), + .R(sclr), + .Q(\blk00000003/sig00000531 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000426 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003fa ), + .R(sclr), + .Q(\blk00000003/sig00000530 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000425 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003f9 ), + .R(sclr), + .Q(\blk00000003/sig0000052f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000424 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003f8 ), + .R(sclr), + .Q(\blk00000003/sig0000052e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000423 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003f7 ), + .R(sclr), + .Q(\blk00000003/sig0000052d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000422 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003f6 ), + .R(sclr), + .Q(\blk00000003/sig0000052c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000421 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003f5 ), + .R(sclr), + .Q(\blk00000003/sig0000052b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000420 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003f4 ), + .R(sclr), + .Q(\blk00000003/sig0000052a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041f ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003f3 ), + .R(sclr), + .Q(\blk00000003/sig00000529 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041e ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003f2 ), + .R(sclr), + .Q(\blk00000003/sig00000528 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041d ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig000003f1 ), + .R(sclr), + .Q(\blk00000003/sig00000527 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004da ), + .R(sclr), + .Q(\blk00000003/sig000005b6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041b ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004d9 ), + .R(sclr), + .Q(\blk00000003/sig000005b5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041a ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004d8 ), + .R(sclr), + .Q(\blk00000003/sig000005b4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000419 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004d7 ), + .R(sclr), + .Q(\blk00000003/sig000005b3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000418 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004d6 ), + .R(sclr), + .Q(\blk00000003/sig000005b2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000417 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004d5 ), + .R(sclr), + .Q(\blk00000003/sig000005b1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000416 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004d4 ), + .R(sclr), + .Q(\blk00000003/sig000005b0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000415 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004d3 ), + .R(sclr), + .Q(\blk00000003/sig000005af ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000414 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004d2 ), + .R(sclr), + .Q(\blk00000003/sig000005ae ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000413 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004d1 ), + .R(sclr), + .Q(\blk00000003/sig000005ad ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000412 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004d0 ), + .R(sclr), + .Q(\blk00000003/sig000005ac ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000411 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004cf ), + .R(sclr), + .Q(\blk00000003/sig000005ab ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000410 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004ce ), + .R(sclr), + .Q(\blk00000003/sig000005aa ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040f ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004cd ), + .R(sclr), + .Q(\blk00000003/sig000005a9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040e ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004cc ), + .R(sclr), + .Q(\blk00000003/sig000005a8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040d ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004cb ), + .R(sclr), + .Q(\blk00000003/sig000005a7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040c ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004ca ), + .R(sclr), + .Q(\blk00000003/sig000005a6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040b ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004c9 ), + .R(sclr), + .Q(\blk00000003/sig000005a5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040a ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004c8 ), + .R(sclr), + .Q(\blk00000003/sig000005a4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000409 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004c7 ), + .R(sclr), + .Q(\blk00000003/sig000005a3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000408 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004c6 ), + .R(sclr), + .Q(\blk00000003/sig000005a2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000407 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004c5 ), + .R(sclr), + .Q(\blk00000003/sig000005a1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000406 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004c4 ), + .R(sclr), + .Q(\blk00000003/sig000005a0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000405 ( + .C(clk), + .CE(\blk00000003/sig000005ee ), + .D(\blk00000003/sig000004c3 ), + .R(sclr), + .Q(\blk00000003/sig0000059f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000404 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000468 ), + .R(sclr), + .Q(\blk00000003/sig00000556 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000403 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000467 ), + .R(sclr), + .Q(\blk00000003/sig00000555 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000402 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000466 ), + .R(sclr), + .Q(\blk00000003/sig00000554 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000401 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000465 ), + .R(sclr), + .Q(\blk00000003/sig00000553 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000400 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000464 ), + .R(sclr), + .Q(\blk00000003/sig00000552 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ff ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000463 ), + .R(sclr), + .Q(\blk00000003/sig00000551 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003fe ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000462 ), + .R(sclr), + .Q(\blk00000003/sig00000550 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003fd ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000461 ), + .R(sclr), + .Q(\blk00000003/sig0000054f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003fc ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000460 ), + .R(sclr), + .Q(\blk00000003/sig0000054e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003fb ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig0000045f ), + .R(sclr), + .Q(\blk00000003/sig0000054d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003fa ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig0000045e ), + .R(sclr), + .Q(\blk00000003/sig0000054c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig0000045d ), + .R(sclr), + .Q(\blk00000003/sig0000054b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f8 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig0000045c ), + .R(sclr), + .Q(\blk00000003/sig0000054a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f7 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig0000045b ), + .R(sclr), + .Q(\blk00000003/sig00000549 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f6 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig0000045a ), + .R(sclr), + .Q(\blk00000003/sig00000548 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f5 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000459 ), + .R(sclr), + .Q(\blk00000003/sig00000547 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f4 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000458 ), + .R(sclr), + .Q(\blk00000003/sig00000546 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f3 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000457 ), + .R(sclr), + .Q(\blk00000003/sig00000545 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f2 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000456 ), + .R(sclr), + .Q(\blk00000003/sig00000544 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f1 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000455 ), + .R(sclr), + .Q(\blk00000003/sig00000543 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f0 ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000454 ), + .R(sclr), + .Q(\blk00000003/sig00000542 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ef ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000453 ), + .R(sclr), + .Q(\blk00000003/sig00000541 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ee ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000452 ), + .R(sclr), + .Q(\blk00000003/sig00000540 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ed ( + .C(clk), + .CE(\blk00000003/sig000005ed ), + .D(\blk00000003/sig00000451 ), + .R(sclr), + .Q(\blk00000003/sig0000053f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000116 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b8 ), + .R(sclr), + .Q(\blk00000003/sig000004ef ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000115 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b7 ), + .R(sclr), + .Q(\blk00000003/sig000004ed ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000114 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004f6 ), + .R(sclr), + .Q(\blk00000003/sig000004e5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000113 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ba ), + .R(sclr), + .Q(\blk00000003/sig000004eb ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000112 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002be ), + .R(sclr), + .Q(\blk00000003/sig000004e9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000111 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002c4 ), + .R(sclr), + .Q(\blk00000003/sig000004e7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000110 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004f5 ), + .R(sclr), + .Q(\blk00000003/sig000004e3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b1 ), + .R(sclr), + .Q(\blk00000003/sig000004f3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b0 ), + .R(sclr), + .Q(\blk00000003/sig000004f1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004f3 ), + .R(sclr), + .Q(\blk00000003/sig000004f4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004f1 ), + .R(sclr), + .Q(\blk00000003/sig000004f2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004ef ), + .R(sclr), + .Q(\blk00000003/sig000004f0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004ed ), + .R(sclr), + .Q(\blk00000003/sig000004ee ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000109 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004eb ), + .R(sclr), + .Q(\blk00000003/sig000004ec ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000108 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004e9 ), + .R(sclr), + .Q(\blk00000003/sig000004ea ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000107 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004e7 ), + .R(sclr), + .Q(\blk00000003/sig000004e8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000106 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004e5 ), + .R(sclr), + .Q(\blk00000003/sig000004e6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000105 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004e3 ), + .R(sclr), + .Q(\blk00000003/sig000004e4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000104 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004e1 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000004e2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000103 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000232 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000004e1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000102 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004dd ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000004e0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000101 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004dc ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000004df ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000100 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004db ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000004de ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000026b ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000004dd ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000268 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000004dc ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000264 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000004db ) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000fc ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000fc_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000fc_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000fc_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000fc_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000fc_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000fc_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000fc_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac , +\blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .PCIN({\blk00000003/sig00000367 , \blk00000003/sig00000368 , \blk00000003/sig00000369 , \blk00000003/sig0000036a , \blk00000003/sig0000036b , +\blk00000003/sig0000036c , \blk00000003/sig0000036d , \blk00000003/sig0000036e , \blk00000003/sig0000036f , \blk00000003/sig00000370 , +\blk00000003/sig00000371 , \blk00000003/sig00000372 , \blk00000003/sig00000373 , \blk00000003/sig00000374 , \blk00000003/sig00000375 , +\blk00000003/sig00000376 , \blk00000003/sig00000377 , \blk00000003/sig00000378 , \blk00000003/sig00000379 , \blk00000003/sig0000037a , +\blk00000003/sig0000037b , \blk00000003/sig0000037c , \blk00000003/sig0000037d , \blk00000003/sig0000037e , \blk00000003/sig0000037f , +\blk00000003/sig00000380 , \blk00000003/sig00000381 , \blk00000003/sig00000382 , \blk00000003/sig00000383 , \blk00000003/sig00000384 , +\blk00000003/sig00000385 , \blk00000003/sig00000386 , \blk00000003/sig00000387 , \blk00000003/sig00000388 , \blk00000003/sig00000389 , +\blk00000003/sig0000038a , \blk00000003/sig0000038b , \blk00000003/sig0000038c , \blk00000003/sig0000038d , \blk00000003/sig0000038e , +\blk00000003/sig0000038f , \blk00000003/sig00000390 , \blk00000003/sig00000391 , \blk00000003/sig00000392 , \blk00000003/sig00000393 , +\blk00000003/sig00000394 , \blk00000003/sig00000395 , \blk00000003/sig00000396 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000fc_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fc_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fc_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig00000469 , \blk00000003/sig0000046a , \blk00000003/sig0000046b , \blk00000003/sig0000046c , \blk00000003/sig0000046d , +\blk00000003/sig0000046e , \blk00000003/sig0000046f , \blk00000003/sig00000470 , \blk00000003/sig00000471 , \blk00000003/sig00000472 , +\blk00000003/sig00000473 , \blk00000003/sig00000474 , \blk00000003/sig00000475 , \blk00000003/sig00000476 , \blk00000003/sig00000477 , +\blk00000003/sig00000478 , \blk00000003/sig00000479 , \blk00000003/sig0000047a }), + .BCOUT({\NLW_blk00000003/blk000000fc_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig000004ab , \blk00000003/sig000004ab , \blk00000003/sig000004ac , \blk00000003/sig000004ad , \blk00000003/sig000004ae , +\blk00000003/sig000004af , \blk00000003/sig000004b0 , \blk00000003/sig000004b1 , \blk00000003/sig000004b2 , \blk00000003/sig000004b3 , +\blk00000003/sig000004b4 , \blk00000003/sig000004b5 , \blk00000003/sig000004b6 , \blk00000003/sig000004b7 , \blk00000003/sig000004b8 , +\blk00000003/sig000004b9 , \blk00000003/sig000004ba , \blk00000003/sig000004bb , \blk00000003/sig000004bc , \blk00000003/sig000004bd , +\blk00000003/sig000004be , \blk00000003/sig000004bf , \blk00000003/sig000004c0 , \blk00000003/sig000004c1 , \blk00000003/sig000004c2 }), + .P({\NLW_blk00000003/blk000000fc_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<0>_UNCONNECTED }), + .A({\blk00000003/sig000004c3 , \blk00000003/sig000004c3 , \blk00000003/sig000004c3 , \blk00000003/sig000004c3 , \blk00000003/sig000004c3 , +\blk00000003/sig000004c3 , \blk00000003/sig000004c3 , \blk00000003/sig000004c4 , \blk00000003/sig000004c5 , \blk00000003/sig000004c6 , +\blk00000003/sig000004c7 , \blk00000003/sig000004c8 , \blk00000003/sig000004c9 , \blk00000003/sig000004ca , \blk00000003/sig000004cb , +\blk00000003/sig000004cc , \blk00000003/sig000004cd , \blk00000003/sig000004ce , \blk00000003/sig000004cf , \blk00000003/sig000004d0 , +\blk00000003/sig000004d1 , \blk00000003/sig000004d2 , \blk00000003/sig000004d3 , \blk00000003/sig000004d4 , \blk00000003/sig000004d5 , +\blk00000003/sig000004d6 , \blk00000003/sig000004d7 , \blk00000003/sig000004d8 , \blk00000003/sig000004d9 , \blk00000003/sig000004da }), + .PCOUT({\blk00000003/sig00000409 , \blk00000003/sig0000040a , \blk00000003/sig0000040b , \blk00000003/sig0000040c , \blk00000003/sig0000040d , +\blk00000003/sig0000040e , \blk00000003/sig0000040f , \blk00000003/sig00000410 , \blk00000003/sig00000411 , \blk00000003/sig00000412 , +\blk00000003/sig00000413 , \blk00000003/sig00000414 , \blk00000003/sig00000415 , \blk00000003/sig00000416 , \blk00000003/sig00000417 , +\blk00000003/sig00000418 , \blk00000003/sig00000419 , \blk00000003/sig0000041a , \blk00000003/sig0000041b , \blk00000003/sig0000041c , +\blk00000003/sig0000041d , \blk00000003/sig0000041e , \blk00000003/sig0000041f , \blk00000003/sig00000420 , \blk00000003/sig00000421 , +\blk00000003/sig00000422 , \blk00000003/sig00000423 , \blk00000003/sig00000424 , \blk00000003/sig00000425 , \blk00000003/sig00000426 , +\blk00000003/sig00000427 , \blk00000003/sig00000428 , \blk00000003/sig00000429 , \blk00000003/sig0000042a , \blk00000003/sig0000042b , +\blk00000003/sig0000042c , \blk00000003/sig0000042d , \blk00000003/sig0000042e , \blk00000003/sig0000042f , \blk00000003/sig00000430 , +\blk00000003/sig00000431 , \blk00000003/sig00000432 , \blk00000003/sig00000433 , \blk00000003/sig00000434 , \blk00000003/sig00000435 , +\blk00000003/sig00000436 , \blk00000003/sig00000437 , \blk00000003/sig00000438 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000fb ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000fb_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000fb_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000fb_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000fb_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000fb_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000fb_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000fb_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac , +\blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .PCIN({\blk00000003/sig00000307 , \blk00000003/sig00000308 , \blk00000003/sig00000309 , \blk00000003/sig0000030a , \blk00000003/sig0000030b , +\blk00000003/sig0000030c , \blk00000003/sig0000030d , \blk00000003/sig0000030e , \blk00000003/sig0000030f , \blk00000003/sig00000310 , +\blk00000003/sig00000311 , \blk00000003/sig00000312 , \blk00000003/sig00000313 , \blk00000003/sig00000314 , \blk00000003/sig00000315 , +\blk00000003/sig00000316 , \blk00000003/sig00000317 , \blk00000003/sig00000318 , \blk00000003/sig00000319 , \blk00000003/sig0000031a , +\blk00000003/sig0000031b , \blk00000003/sig0000031c , \blk00000003/sig0000031d , \blk00000003/sig0000031e , \blk00000003/sig0000031f , +\blk00000003/sig00000320 , \blk00000003/sig00000321 , \blk00000003/sig00000322 , \blk00000003/sig00000323 , \blk00000003/sig00000324 , +\blk00000003/sig00000325 , \blk00000003/sig00000326 , \blk00000003/sig00000327 , \blk00000003/sig00000328 , \blk00000003/sig00000329 , +\blk00000003/sig0000032a , \blk00000003/sig0000032b , \blk00000003/sig0000032c , \blk00000003/sig0000032d , \blk00000003/sig0000032e , +\blk00000003/sig0000032f , \blk00000003/sig00000330 , \blk00000003/sig00000331 , \blk00000003/sig00000332 , \blk00000003/sig00000333 , +\blk00000003/sig00000334 , \blk00000003/sig00000335 , \blk00000003/sig00000336 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000fb_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fb_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fb_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig00000469 , \blk00000003/sig0000046a , \blk00000003/sig0000046b , \blk00000003/sig0000046c , \blk00000003/sig0000046d , +\blk00000003/sig0000046e , \blk00000003/sig0000046f , \blk00000003/sig00000470 , \blk00000003/sig00000471 , \blk00000003/sig00000472 , +\blk00000003/sig00000473 , \blk00000003/sig00000474 , \blk00000003/sig00000475 , \blk00000003/sig00000476 , \blk00000003/sig00000477 , +\blk00000003/sig00000478 , \blk00000003/sig00000479 , \blk00000003/sig0000047a }), + .BCOUT({\NLW_blk00000003/blk000000fb_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig0000047b , \blk00000003/sig0000047b , \blk00000003/sig0000047c , \blk00000003/sig0000047d , \blk00000003/sig0000047e , +\blk00000003/sig0000047f , \blk00000003/sig00000480 , \blk00000003/sig00000481 , \blk00000003/sig00000482 , \blk00000003/sig00000483 , +\blk00000003/sig00000484 , \blk00000003/sig00000485 , \blk00000003/sig00000486 , \blk00000003/sig00000487 , \blk00000003/sig00000488 , +\blk00000003/sig00000489 , \blk00000003/sig0000048a , \blk00000003/sig0000048b , \blk00000003/sig0000048c , \blk00000003/sig0000048d , +\blk00000003/sig0000048e , \blk00000003/sig0000048f , \blk00000003/sig00000490 , \blk00000003/sig00000491 , \blk00000003/sig00000492 }), + .P({\NLW_blk00000003/blk000000fb_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<0>_UNCONNECTED }), + .A({\blk00000003/sig00000493 , \blk00000003/sig00000493 , \blk00000003/sig00000493 , \blk00000003/sig00000493 , \blk00000003/sig00000493 , +\blk00000003/sig00000493 , \blk00000003/sig00000493 , \blk00000003/sig00000494 , \blk00000003/sig00000495 , \blk00000003/sig00000496 , +\blk00000003/sig00000497 , \blk00000003/sig00000498 , \blk00000003/sig00000499 , \blk00000003/sig0000049a , \blk00000003/sig0000049b , +\blk00000003/sig0000049c , \blk00000003/sig0000049d , \blk00000003/sig0000049e , \blk00000003/sig0000049f , \blk00000003/sig000004a0 , +\blk00000003/sig000004a1 , \blk00000003/sig000004a2 , \blk00000003/sig000004a3 , \blk00000003/sig000004a4 , \blk00000003/sig000004a5 , +\blk00000003/sig000004a6 , \blk00000003/sig000004a7 , \blk00000003/sig000004a8 , \blk00000003/sig000004a9 , \blk00000003/sig000004aa }), + .PCOUT({\blk00000003/sig00000397 , \blk00000003/sig00000398 , \blk00000003/sig00000399 , \blk00000003/sig0000039a , \blk00000003/sig0000039b , +\blk00000003/sig0000039c , \blk00000003/sig0000039d , \blk00000003/sig0000039e , \blk00000003/sig0000039f , \blk00000003/sig000003a0 , +\blk00000003/sig000003a1 , \blk00000003/sig000003a2 , \blk00000003/sig000003a3 , \blk00000003/sig000003a4 , \blk00000003/sig000003a5 , +\blk00000003/sig000003a6 , \blk00000003/sig000003a7 , \blk00000003/sig000003a8 , \blk00000003/sig000003a9 , \blk00000003/sig000003aa , +\blk00000003/sig000003ab , \blk00000003/sig000003ac , \blk00000003/sig000003ad , \blk00000003/sig000003ae , \blk00000003/sig000003af , +\blk00000003/sig000003b0 , \blk00000003/sig000003b1 , \blk00000003/sig000003b2 , \blk00000003/sig000003b3 , \blk00000003/sig000003b4 , +\blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 , \blk00000003/sig000003b8 , \blk00000003/sig000003b9 , +\blk00000003/sig000003ba , \blk00000003/sig000003bb , \blk00000003/sig000003bc , \blk00000003/sig000003bd , \blk00000003/sig000003be , +\blk00000003/sig000003bf , \blk00000003/sig000003c0 , \blk00000003/sig000003c1 , \blk00000003/sig000003c2 , \blk00000003/sig000003c3 , +\blk00000003/sig000003c4 , \blk00000003/sig000003c5 , \blk00000003/sig000003c6 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000fa ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000fa_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000fa_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000fa_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000fa_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000fa_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000fa_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000fa_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac , +\blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .PCIN({\blk00000003/sig00000409 , \blk00000003/sig0000040a , \blk00000003/sig0000040b , \blk00000003/sig0000040c , \blk00000003/sig0000040d , +\blk00000003/sig0000040e , \blk00000003/sig0000040f , \blk00000003/sig00000410 , \blk00000003/sig00000411 , \blk00000003/sig00000412 , +\blk00000003/sig00000413 , \blk00000003/sig00000414 , \blk00000003/sig00000415 , \blk00000003/sig00000416 , \blk00000003/sig00000417 , +\blk00000003/sig00000418 , \blk00000003/sig00000419 , \blk00000003/sig0000041a , \blk00000003/sig0000041b , \blk00000003/sig0000041c , +\blk00000003/sig0000041d , \blk00000003/sig0000041e , \blk00000003/sig0000041f , \blk00000003/sig00000420 , \blk00000003/sig00000421 , +\blk00000003/sig00000422 , \blk00000003/sig00000423 , \blk00000003/sig00000424 , \blk00000003/sig00000425 , \blk00000003/sig00000426 , +\blk00000003/sig00000427 , \blk00000003/sig00000428 , \blk00000003/sig00000429 , \blk00000003/sig0000042a , \blk00000003/sig0000042b , +\blk00000003/sig0000042c , \blk00000003/sig0000042d , \blk00000003/sig0000042e , \blk00000003/sig0000042f , \blk00000003/sig00000430 , +\blk00000003/sig00000431 , \blk00000003/sig00000432 , \blk00000003/sig00000433 , \blk00000003/sig00000434 , \blk00000003/sig00000435 , +\blk00000003/sig00000436 , \blk00000003/sig00000437 , \blk00000003/sig00000438 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000fa_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fa_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fa_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000003c7 , \blk00000003/sig000003c8 , \blk00000003/sig000003c9 , \blk00000003/sig000003ca , \blk00000003/sig000003cb , +\blk00000003/sig000003cc , \blk00000003/sig000003cd , \blk00000003/sig000003ce , \blk00000003/sig000003cf , \blk00000003/sig000003d0 , +\blk00000003/sig000003d1 , \blk00000003/sig000003d2 , \blk00000003/sig000003d3 , \blk00000003/sig000003d4 , \blk00000003/sig000003d5 , +\blk00000003/sig000003d6 , \blk00000003/sig000003d7 , \blk00000003/sig000003d8 }), + .BCOUT({\NLW_blk00000003/blk000000fa_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig00000439 , \blk00000003/sig00000439 , \blk00000003/sig0000043a , \blk00000003/sig0000043b , \blk00000003/sig0000043c , +\blk00000003/sig0000043d , \blk00000003/sig0000043e , \blk00000003/sig0000043f , \blk00000003/sig00000440 , \blk00000003/sig00000441 , +\blk00000003/sig00000442 , \blk00000003/sig00000443 , \blk00000003/sig00000444 , \blk00000003/sig00000445 , \blk00000003/sig00000446 , +\blk00000003/sig00000447 , \blk00000003/sig00000448 , \blk00000003/sig00000449 , \blk00000003/sig0000044a , \blk00000003/sig0000044b , +\blk00000003/sig0000044c , \blk00000003/sig0000044d , \blk00000003/sig0000044e , \blk00000003/sig0000044f , \blk00000003/sig00000450 }), + .P({\NLW_blk00000003/blk000000fa_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<0>_UNCONNECTED }), + .A({\blk00000003/sig00000451 , \blk00000003/sig00000451 , \blk00000003/sig00000451 , \blk00000003/sig00000451 , \blk00000003/sig00000451 , +\blk00000003/sig00000451 , \blk00000003/sig00000451 , \blk00000003/sig00000452 , \blk00000003/sig00000453 , \blk00000003/sig00000454 , +\blk00000003/sig00000455 , \blk00000003/sig00000456 , \blk00000003/sig00000457 , \blk00000003/sig00000458 , \blk00000003/sig00000459 , +\blk00000003/sig0000045a , \blk00000003/sig0000045b , \blk00000003/sig0000045c , \blk00000003/sig0000045d , \blk00000003/sig0000045e , +\blk00000003/sig0000045f , \blk00000003/sig00000460 , \blk00000003/sig00000461 , \blk00000003/sig00000462 , \blk00000003/sig00000463 , +\blk00000003/sig00000464 , \blk00000003/sig00000465 , \blk00000003/sig00000466 , \blk00000003/sig00000467 , \blk00000003/sig00000468 }), + .PCOUT({\blk00000003/sig000000c3 , \blk00000003/sig000000c4 , \blk00000003/sig000000c5 , \blk00000003/sig000000c6 , \blk00000003/sig000000c7 , +\blk00000003/sig000000c8 , \blk00000003/sig000000c9 , \blk00000003/sig000000ca , \blk00000003/sig000000cb , \blk00000003/sig000000cc , +\blk00000003/sig000000cd , \blk00000003/sig000000ce , \blk00000003/sig000000cf , \blk00000003/sig000000d0 , \blk00000003/sig000000d1 , +\blk00000003/sig000000d2 , \blk00000003/sig000000d3 , \blk00000003/sig000000d4 , \blk00000003/sig000000d5 , \blk00000003/sig000000d6 , +\blk00000003/sig000000d7 , \blk00000003/sig000000d8 , \blk00000003/sig000000d9 , \blk00000003/sig000000da , \blk00000003/sig000000db , +\blk00000003/sig000000dc , \blk00000003/sig000000dd , \blk00000003/sig000000de , \blk00000003/sig000000df , \blk00000003/sig000000e0 , +\blk00000003/sig000000e1 , \blk00000003/sig000000e2 , \blk00000003/sig000000e3 , \blk00000003/sig000000e4 , \blk00000003/sig000000e5 , +\blk00000003/sig000000e6 , \blk00000003/sig000000e7 , \blk00000003/sig000000e8 , \blk00000003/sig000000e9 , \blk00000003/sig000000ea , +\blk00000003/sig000000eb , \blk00000003/sig000000ec , \blk00000003/sig000000ed , \blk00000003/sig000000ee , \blk00000003/sig000000ef , +\blk00000003/sig000000f0 , \blk00000003/sig000000f1 , \blk00000003/sig000000f2 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000f9 ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000f9_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000f9_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000f9_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000f9_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000f9_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000f9_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000f9_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac , +\blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .PCIN({\blk00000003/sig00000397 , \blk00000003/sig00000398 , \blk00000003/sig00000399 , \blk00000003/sig0000039a , \blk00000003/sig0000039b , +\blk00000003/sig0000039c , \blk00000003/sig0000039d , \blk00000003/sig0000039e , \blk00000003/sig0000039f , \blk00000003/sig000003a0 , +\blk00000003/sig000003a1 , \blk00000003/sig000003a2 , \blk00000003/sig000003a3 , \blk00000003/sig000003a4 , \blk00000003/sig000003a5 , +\blk00000003/sig000003a6 , \blk00000003/sig000003a7 , \blk00000003/sig000003a8 , \blk00000003/sig000003a9 , \blk00000003/sig000003aa , +\blk00000003/sig000003ab , \blk00000003/sig000003ac , \blk00000003/sig000003ad , \blk00000003/sig000003ae , \blk00000003/sig000003af , +\blk00000003/sig000003b0 , \blk00000003/sig000003b1 , \blk00000003/sig000003b2 , \blk00000003/sig000003b3 , \blk00000003/sig000003b4 , +\blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 , \blk00000003/sig000003b8 , \blk00000003/sig000003b9 , +\blk00000003/sig000003ba , \blk00000003/sig000003bb , \blk00000003/sig000003bc , \blk00000003/sig000003bd , \blk00000003/sig000003be , +\blk00000003/sig000003bf , \blk00000003/sig000003c0 , \blk00000003/sig000003c1 , \blk00000003/sig000003c2 , \blk00000003/sig000003c3 , +\blk00000003/sig000003c4 , \blk00000003/sig000003c5 , \blk00000003/sig000003c6 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000f9_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f9_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f9_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000003c7 , \blk00000003/sig000003c8 , \blk00000003/sig000003c9 , \blk00000003/sig000003ca , \blk00000003/sig000003cb , +\blk00000003/sig000003cc , \blk00000003/sig000003cd , \blk00000003/sig000003ce , \blk00000003/sig000003cf , \blk00000003/sig000003d0 , +\blk00000003/sig000003d1 , \blk00000003/sig000003d2 , \blk00000003/sig000003d3 , \blk00000003/sig000003d4 , \blk00000003/sig000003d5 , +\blk00000003/sig000003d6 , \blk00000003/sig000003d7 , \blk00000003/sig000003d8 }), + .BCOUT({\NLW_blk00000003/blk000000f9_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig000003d9 , \blk00000003/sig000003d9 , \blk00000003/sig000003da , \blk00000003/sig000003db , \blk00000003/sig000003dc , +\blk00000003/sig000003dd , \blk00000003/sig000003de , \blk00000003/sig000003df , \blk00000003/sig000003e0 , \blk00000003/sig000003e1 , +\blk00000003/sig000003e2 , \blk00000003/sig000003e3 , \blk00000003/sig000003e4 , \blk00000003/sig000003e5 , \blk00000003/sig000003e6 , +\blk00000003/sig000003e7 , \blk00000003/sig000003e8 , \blk00000003/sig000003e9 , \blk00000003/sig000003ea , \blk00000003/sig000003eb , +\blk00000003/sig000003ec , \blk00000003/sig000003ed , \blk00000003/sig000003ee , \blk00000003/sig000003ef , \blk00000003/sig000003f0 }), + .P({\NLW_blk00000003/blk000000f9_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<0>_UNCONNECTED }), + .A({\blk00000003/sig000003f1 , \blk00000003/sig000003f1 , \blk00000003/sig000003f1 , \blk00000003/sig000003f1 , \blk00000003/sig000003f1 , +\blk00000003/sig000003f1 , \blk00000003/sig000003f1 , \blk00000003/sig000003f2 , \blk00000003/sig000003f3 , \blk00000003/sig000003f4 , +\blk00000003/sig000003f5 , \blk00000003/sig000003f6 , \blk00000003/sig000003f7 , \blk00000003/sig000003f8 , \blk00000003/sig000003f9 , +\blk00000003/sig000003fa , \blk00000003/sig000003fb , \blk00000003/sig000003fc , \blk00000003/sig000003fd , \blk00000003/sig000003fe , +\blk00000003/sig000003ff , \blk00000003/sig00000400 , \blk00000003/sig00000401 , \blk00000003/sig00000402 , \blk00000003/sig00000403 , +\blk00000003/sig00000404 , \blk00000003/sig00000405 , \blk00000003/sig00000406 , \blk00000003/sig00000407 , \blk00000003/sig00000408 }), + .PCOUT({\blk00000003/sig0000014c , \blk00000003/sig0000014d , \blk00000003/sig0000014e , \blk00000003/sig0000014f , \blk00000003/sig00000150 , +\blk00000003/sig00000151 , \blk00000003/sig00000152 , \blk00000003/sig00000153 , \blk00000003/sig00000154 , \blk00000003/sig00000155 , +\blk00000003/sig00000156 , \blk00000003/sig00000157 , \blk00000003/sig00000158 , \blk00000003/sig00000159 , \blk00000003/sig0000015a , +\blk00000003/sig0000015b , \blk00000003/sig0000015c , \blk00000003/sig0000015d , \blk00000003/sig0000015e , \blk00000003/sig0000015f , +\blk00000003/sig00000160 , \blk00000003/sig00000161 , \blk00000003/sig00000162 , \blk00000003/sig00000163 , \blk00000003/sig00000164 , +\blk00000003/sig00000165 , \blk00000003/sig00000166 , \blk00000003/sig00000167 , \blk00000003/sig00000168 , \blk00000003/sig00000169 , +\blk00000003/sig0000016a , \blk00000003/sig0000016b , \blk00000003/sig0000016c , \blk00000003/sig0000016d , \blk00000003/sig0000016e , +\blk00000003/sig0000016f , \blk00000003/sig00000170 , \blk00000003/sig00000171 , \blk00000003/sig00000172 , \blk00000003/sig00000173 , +\blk00000003/sig00000174 , \blk00000003/sig00000175 , \blk00000003/sig00000176 , \blk00000003/sig00000177 , \blk00000003/sig00000178 , +\blk00000003/sig00000179 , \blk00000003/sig0000017a , \blk00000003/sig0000017b }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000f8 ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000f8_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000f8_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000f8_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000f8_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000f8_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000f8_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000f8_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac , +\blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000f8_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f8_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f8_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000002c5 , \blk00000003/sig000002c6 , \blk00000003/sig000002c7 , \blk00000003/sig000002c8 , \blk00000003/sig000002c9 , +\blk00000003/sig000002ca , \blk00000003/sig000002cb , \blk00000003/sig000002cc , \blk00000003/sig000002cd , \blk00000003/sig000002ce , +\blk00000003/sig000002cf , \blk00000003/sig000002d0 , \blk00000003/sig000002d1 , \blk00000003/sig000002d2 , \blk00000003/sig000002d3 , +\blk00000003/sig000002d4 , \blk00000003/sig000002d5 , \blk00000003/sig000002d6 }), + .BCOUT({\NLW_blk00000003/blk000000f8_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig00000337 , \blk00000003/sig00000337 , \blk00000003/sig00000338 , \blk00000003/sig00000339 , \blk00000003/sig0000033a , +\blk00000003/sig0000033b , \blk00000003/sig0000033c , \blk00000003/sig0000033d , \blk00000003/sig0000033e , \blk00000003/sig0000033f , +\blk00000003/sig00000340 , \blk00000003/sig00000341 , \blk00000003/sig00000342 , \blk00000003/sig00000343 , \blk00000003/sig00000344 , +\blk00000003/sig00000345 , \blk00000003/sig00000346 , \blk00000003/sig00000347 , \blk00000003/sig00000348 , \blk00000003/sig00000349 , +\blk00000003/sig0000034a , \blk00000003/sig0000034b , \blk00000003/sig0000034c , \blk00000003/sig0000034d , \blk00000003/sig0000034e }), + .P({\NLW_blk00000003/blk000000f8_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<0>_UNCONNECTED }), + .A({\blk00000003/sig0000034f , \blk00000003/sig0000034f , \blk00000003/sig0000034f , \blk00000003/sig0000034f , \blk00000003/sig0000034f , +\blk00000003/sig0000034f , \blk00000003/sig0000034f , \blk00000003/sig00000350 , \blk00000003/sig00000351 , \blk00000003/sig00000352 , +\blk00000003/sig00000353 , \blk00000003/sig00000354 , \blk00000003/sig00000355 , \blk00000003/sig00000356 , \blk00000003/sig00000357 , +\blk00000003/sig00000358 , \blk00000003/sig00000359 , \blk00000003/sig0000035a , \blk00000003/sig0000035b , \blk00000003/sig0000035c , +\blk00000003/sig0000035d , \blk00000003/sig0000035e , \blk00000003/sig0000035f , \blk00000003/sig00000360 , \blk00000003/sig00000361 , +\blk00000003/sig00000362 , \blk00000003/sig00000363 , \blk00000003/sig00000364 , \blk00000003/sig00000365 , \blk00000003/sig00000366 }), + .PCOUT({\blk00000003/sig00000367 , \blk00000003/sig00000368 , \blk00000003/sig00000369 , \blk00000003/sig0000036a , \blk00000003/sig0000036b , +\blk00000003/sig0000036c , \blk00000003/sig0000036d , \blk00000003/sig0000036e , \blk00000003/sig0000036f , \blk00000003/sig00000370 , +\blk00000003/sig00000371 , \blk00000003/sig00000372 , \blk00000003/sig00000373 , \blk00000003/sig00000374 , \blk00000003/sig00000375 , +\blk00000003/sig00000376 , \blk00000003/sig00000377 , \blk00000003/sig00000378 , \blk00000003/sig00000379 , \blk00000003/sig0000037a , +\blk00000003/sig0000037b , \blk00000003/sig0000037c , \blk00000003/sig0000037d , \blk00000003/sig0000037e , \blk00000003/sig0000037f , +\blk00000003/sig00000380 , \blk00000003/sig00000381 , \blk00000003/sig00000382 , \blk00000003/sig00000383 , \blk00000003/sig00000384 , +\blk00000003/sig00000385 , \blk00000003/sig00000386 , \blk00000003/sig00000387 , \blk00000003/sig00000388 , \blk00000003/sig00000389 , +\blk00000003/sig0000038a , \blk00000003/sig0000038b , \blk00000003/sig0000038c , \blk00000003/sig0000038d , \blk00000003/sig0000038e , +\blk00000003/sig0000038f , \blk00000003/sig00000390 , \blk00000003/sig00000391 , \blk00000003/sig00000392 , \blk00000003/sig00000393 , +\blk00000003/sig00000394 , \blk00000003/sig00000395 , \blk00000003/sig00000396 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk000000f7 ( + .PATTERNBDETECT(\NLW_blk00000003/blk000000f7_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk000000f7_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk000000f7_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk000000f7_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk000000f7_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk000000f7_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk000000f7_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac , +\blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk000000f7_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f7_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f7_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000002c5 , \blk00000003/sig000002c6 , \blk00000003/sig000002c7 , \blk00000003/sig000002c8 , \blk00000003/sig000002c9 , +\blk00000003/sig000002ca , \blk00000003/sig000002cb , \blk00000003/sig000002cc , \blk00000003/sig000002cd , \blk00000003/sig000002ce , +\blk00000003/sig000002cf , \blk00000003/sig000002d0 , \blk00000003/sig000002d1 , \blk00000003/sig000002d2 , \blk00000003/sig000002d3 , +\blk00000003/sig000002d4 , \blk00000003/sig000002d5 , \blk00000003/sig000002d6 }), + .BCOUT({\NLW_blk00000003/blk000000f7_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f7_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f7_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f7_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f7_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f7_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f7_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f7_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f7_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f7_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig000002d7 , \blk00000003/sig000002d7 , \blk00000003/sig000002d8 , \blk00000003/sig000002d9 , \blk00000003/sig000002da , +\blk00000003/sig000002db , \blk00000003/sig000002dc , \blk00000003/sig000002dd , \blk00000003/sig000002de , \blk00000003/sig000002df , +\blk00000003/sig000002e0 , \blk00000003/sig000002e1 , \blk00000003/sig000002e2 , \blk00000003/sig000002e3 , \blk00000003/sig000002e4 , +\blk00000003/sig000002e5 , \blk00000003/sig000002e6 , \blk00000003/sig000002e7 , \blk00000003/sig000002e8 , \blk00000003/sig000002e9 , +\blk00000003/sig000002ea , \blk00000003/sig000002eb , \blk00000003/sig000002ec , \blk00000003/sig000002ed , \blk00000003/sig000002ee }), + .P({\NLW_blk00000003/blk000000f7_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f7_P<0>_UNCONNECTED }), + .A({\blk00000003/sig000002ef , \blk00000003/sig000002ef , \blk00000003/sig000002ef , \blk00000003/sig000002ef , \blk00000003/sig000002ef , +\blk00000003/sig000002ef , \blk00000003/sig000002ef , \blk00000003/sig000002f0 , \blk00000003/sig000002f1 , \blk00000003/sig000002f2 , +\blk00000003/sig000002f3 , \blk00000003/sig000002f4 , \blk00000003/sig000002f5 , \blk00000003/sig000002f6 , \blk00000003/sig000002f7 , +\blk00000003/sig000002f8 , \blk00000003/sig000002f9 , \blk00000003/sig000002fa , \blk00000003/sig000002fb , \blk00000003/sig000002fc , +\blk00000003/sig000002fd , \blk00000003/sig000002fe , \blk00000003/sig000002ff , \blk00000003/sig00000300 , \blk00000003/sig00000301 , +\blk00000003/sig00000302 , \blk00000003/sig00000303 , \blk00000003/sig00000304 , \blk00000003/sig00000305 , \blk00000003/sig00000306 }), + .PCOUT({\blk00000003/sig00000307 , \blk00000003/sig00000308 , \blk00000003/sig00000309 , \blk00000003/sig0000030a , \blk00000003/sig0000030b , +\blk00000003/sig0000030c , \blk00000003/sig0000030d , \blk00000003/sig0000030e , \blk00000003/sig0000030f , \blk00000003/sig00000310 , +\blk00000003/sig00000311 , \blk00000003/sig00000312 , \blk00000003/sig00000313 , \blk00000003/sig00000314 , \blk00000003/sig00000315 , +\blk00000003/sig00000316 , \blk00000003/sig00000317 , \blk00000003/sig00000318 , \blk00000003/sig00000319 , \blk00000003/sig0000031a , +\blk00000003/sig0000031b , \blk00000003/sig0000031c , \blk00000003/sig0000031d , \blk00000003/sig0000031e , \blk00000003/sig0000031f , +\blk00000003/sig00000320 , \blk00000003/sig00000321 , \blk00000003/sig00000322 , \blk00000003/sig00000323 , \blk00000003/sig00000324 , +\blk00000003/sig00000325 , \blk00000003/sig00000326 , \blk00000003/sig00000327 , \blk00000003/sig00000328 , \blk00000003/sig00000329 , +\blk00000003/sig0000032a , \blk00000003/sig0000032b , \blk00000003/sig0000032c , \blk00000003/sig0000032d , \blk00000003/sig0000032e , +\blk00000003/sig0000032f , \blk00000003/sig00000330 , \blk00000003/sig00000331 , \blk00000003/sig00000332 , \blk00000003/sig00000333 , +\blk00000003/sig00000334 , \blk00000003/sig00000335 , \blk00000003/sig00000336 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001cd ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002c2 ), + .Q(\blk00000003/sig000002c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002c1 ), + .Q(\blk00000003/sig000002b9 ) + ); + XORCY \blk00000003/blk000000f3 ( + .CI(\blk00000003/sig000002bc ), + .LI(\blk00000003/sig000002bf ), + .O(\blk00000003/sig000002c0 ) + ); + MUXCY_D \blk00000003/blk000000f2 ( + .CI(\blk00000003/sig000002bc ), + .DI(\blk00000003/sig000002be ), + .S(\blk00000003/sig000002bf ), + .O(\NLW_blk00000003/blk000000f2_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000f2_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000f1 ( + .CI(\blk00000003/sig000002b9 ), + .LI(\blk00000003/sig000002bb ), + .O(\blk00000003/sig000002bd ) + ); + MUXCY_L \blk00000003/blk000000f0 ( + .CI(\blk00000003/sig000002b9 ), + .DI(\blk00000003/sig000002ba ), + .S(\blk00000003/sig000002bb ), + .LO(\blk00000003/sig000002bc ) + ); + MUXCY_L \blk00000003/blk000000ef ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000002b8 ), + .S(\blk00000003/sig000002b2 ), + .LO(\blk00000003/sig000002b4 ) + ); + MUXCY_D \blk00000003/blk000000ee ( + .CI(\blk00000003/sig000002b4 ), + .DI(\blk00000003/sig000002b7 ), + .S(\blk00000003/sig000002b5 ), + .O(\NLW_blk00000003/blk000000ee_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000ee_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000ed ( + .CI(\blk00000003/sig000002b4 ), + .LI(\blk00000003/sig000002b5 ), + .O(\blk00000003/sig000002b6 ) + ); + XORCY \blk00000003/blk000000ec ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig000002b2 ), + .O(\blk00000003/sig000002b3 ) + ); + MUXCY_L \blk00000003/blk000000eb ( + .CI(\blk00000003/sig000002a9 ), + .DI(\blk00000003/sig000002b1 ), + .S(\blk00000003/sig000002aa ), + .LO(\blk00000003/sig000002ac ) + ); + MUXCY_D \blk00000003/blk000000ea ( + .CI(\blk00000003/sig000002ac ), + .DI(\blk00000003/sig000002b0 ), + .S(\blk00000003/sig000002ad ), + .O(\NLW_blk00000003/blk000000ea_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000ea_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000e9 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ac ), + .S(\blk00000003/sig000002af ), + .O(\blk00000003/sig000002a9 ) + ); + XORCY \blk00000003/blk000000e8 ( + .CI(\blk00000003/sig000002ac ), + .LI(\blk00000003/sig000002ad ), + .O(\blk00000003/sig000002ae ) + ); + XORCY \blk00000003/blk000000e7 ( + .CI(\blk00000003/sig000002a9 ), + .LI(\blk00000003/sig000002aa ), + .O(\blk00000003/sig000002ab ) + ); + FDE \blk00000003/blk000000e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a7 ), + .Q(\blk00000003/sig000002a8 ) + ); + MUXCY_L \blk00000003/blk000000e5 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000002a6 ), + .S(\blk00000003/sig000002a0 ), + .LO(\blk00000003/sig000002a2 ) + ); + MUXCY_D \blk00000003/blk000000e4 ( + .CI(\blk00000003/sig000002a2 ), + .DI(\blk00000003/sig000002a5 ), + .S(\blk00000003/sig000002a3 ), + .O(\NLW_blk00000003/blk000000e4_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000e4_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000e3 ( + .CI(\blk00000003/sig000002a2 ), + .LI(\blk00000003/sig000002a3 ), + .O(\blk00000003/sig000002a4 ) + ); + XORCY \blk00000003/blk000000e2 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig000002a0 ), + .O(\blk00000003/sig000002a1 ) + ); + MUXCY_L \blk00000003/blk000000e1 ( + .CI(\blk00000003/sig00000299 ), + .DI(\blk00000003/sig000001e7 ), + .S(\blk00000003/sig0000029a ), + .LO(\blk00000003/sig0000029c ) + ); + MUXCY_D \blk00000003/blk000000e0 ( + .CI(\blk00000003/sig0000029c ), + .DI(\blk00000003/sig000001e6 ), + .S(\blk00000003/sig0000029d ), + .O(\NLW_blk00000003/blk000000e0_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000e0_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000df ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ac ), + .S(\blk00000003/sig0000029f ), + .O(\blk00000003/sig00000299 ) + ); + XORCY \blk00000003/blk000000de ( + .CI(\blk00000003/sig0000029c ), + .LI(\blk00000003/sig0000029d ), + .O(\blk00000003/sig0000029e ) + ); + XORCY \blk00000003/blk000000dd ( + .CI(\blk00000003/sig00000299 ), + .LI(\blk00000003/sig0000029a ), + .O(\blk00000003/sig0000029b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000297 ), + .R(sclr), + .Q(\blk00000003/sig00000298 ) + ); + MUXCY_D \blk00000003/blk000000db ( + .CI(\blk00000003/sig00000294 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000296 ), + .O(\NLW_blk00000003/blk000000db_O_UNCONNECTED ), + .LO(\blk00000003/sig00000297 ) + ); + MUXCY_D \blk00000003/blk000000da ( + .CI(\blk00000003/sig000000ac ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000295 ), + .O(\blk00000003/sig00000292 ), + .LO(\NLW_blk00000003/blk000000da_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d9 ( + .CI(\blk00000003/sig00000292 ), + .DI(\blk00000003/sig00000291 ), + .S(\blk00000003/sig00000293 ), + .O(\blk00000003/sig00000294 ), + .LO(\blk00000003/sig00000290 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000290 ), + .R(sclr), + .Q(\blk00000003/sig00000291 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000000d7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000281 ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000021f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000280 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000021c ) + ); + MUXCY_D \blk00000003/blk000000d5 ( + .CI(\blk00000003/sig0000021c ), + .DI(\blk00000003/sig0000028e ), + .S(\blk00000003/sig0000028f ), + .O(\blk00000003/sig0000028b ), + .LO(\NLW_blk00000003/blk000000d5_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d4 ( + .CI(\blk00000003/sig0000028b ), + .DI(\blk00000003/sig0000028c ), + .S(\blk00000003/sig0000028d ), + .O(\blk00000003/sig00000289 ), + .LO(\NLW_blk00000003/blk000000d4_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d3 ( + .CI(\blk00000003/sig00000289 ), + .DI(\blk00000003/sig0000027f ), + .S(\blk00000003/sig0000028a ), + .O(\blk00000003/sig00000286 ), + .LO(\NLW_blk00000003/blk000000d3_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d2 ( + .CI(\blk00000003/sig00000286 ), + .DI(\blk00000003/sig00000287 ), + .S(\blk00000003/sig00000288 ), + .O(\blk00000003/sig00000284 ), + .LO(\NLW_blk00000003/blk000000d2_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d1 ( + .CI(\blk00000003/sig00000284 ), + .DI(\blk00000003/sig00000244 ), + .S(\blk00000003/sig00000285 ), + .O(\blk00000003/sig00000282 ), + .LO(\NLW_blk00000003/blk000000d1_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d0 ( + .CI(\blk00000003/sig00000282 ), + .DI(\blk00000003/sig00000219 ), + .S(\blk00000003/sig00000283 ), + .O(\NLW_blk00000003/blk000000d0_O_UNCONNECTED ), + .LO(\blk00000003/sig00000280 ) + ); + XORCY \blk00000003/blk000000cf ( + .CI(\blk00000003/sig00000280 ), + .LI(\blk00000003/sig000000ac ), + .O(\blk00000003/sig00000281 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000000ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000026f ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000027f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000026e ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000001cd ) + ); + MUXCY_D \blk00000003/blk000000cc ( + .CI(\blk00000003/sig000001cd ), + .DI(\blk00000003/sig0000027d ), + .S(\blk00000003/sig0000027e ), + .O(\blk00000003/sig0000027b ), + .LO(\NLW_blk00000003/blk000000cc_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000cb ( + .CI(\blk00000003/sig0000027b ), + .DI(\blk00000003/sig000001ce ), + .S(\blk00000003/sig0000027c ), + .O(\blk00000003/sig00000279 ), + .LO(\NLW_blk00000003/blk000000cb_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000ca ( + .CI(\blk00000003/sig00000279 ), + .DI(\blk00000003/sig000001cd ), + .S(\blk00000003/sig0000027a ), + .O(\blk00000003/sig00000276 ), + .LO(\NLW_blk00000003/blk000000ca_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000c9 ( + .CI(\blk00000003/sig00000276 ), + .DI(\blk00000003/sig00000277 ), + .S(\blk00000003/sig00000278 ), + .O(\blk00000003/sig00000274 ), + .LO(\NLW_blk00000003/blk000000c9_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000c8 ( + .CI(\blk00000003/sig00000274 ), + .DI(\blk00000003/sig0000021f ), + .S(\blk00000003/sig00000275 ), + .O(\blk00000003/sig00000270 ), + .LO(\NLW_blk00000003/blk000000c8_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000c7 ( + .CI(\blk00000003/sig00000272 ), + .DI(\blk00000003/sig0000021f ), + .S(\blk00000003/sig00000273 ), + .O(\NLW_blk00000003/blk000000c7_O_UNCONNECTED ), + .LO(\blk00000003/sig0000026e ) + ); + MUXCY_D \blk00000003/blk000000c6 ( + .CI(\blk00000003/sig00000270 ), + .DI(\blk00000003/sig00000239 ), + .S(\blk00000003/sig00000271 ), + .O(\blk00000003/sig00000272 ), + .LO(\NLW_blk00000003/blk000000c6_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000c5 ( + .CI(\blk00000003/sig0000026e ), + .LI(\blk00000003/sig000000ac ), + .O(\blk00000003/sig0000026f ) + ); + FDE \blk00000003/blk000000c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000026c ), + .Q(\blk00000003/sig0000026d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000021c ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000026b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000024a ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000263 ) + ); + XORCY \blk00000003/blk000000c1 ( + .CI(\blk00000003/sig00000266 ), + .LI(\blk00000003/sig00000269 ), + .O(\blk00000003/sig0000026a ) + ); + MUXCY_D \blk00000003/blk000000c0 ( + .CI(\blk00000003/sig00000266 ), + .DI(\blk00000003/sig00000268 ), + .S(\blk00000003/sig00000269 ), + .O(\NLW_blk00000003/blk000000c0_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000c0_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000bf ( + .CI(\blk00000003/sig00000263 ), + .LI(\blk00000003/sig00000265 ), + .O(\blk00000003/sig00000267 ) + ); + MUXCY_L \blk00000003/blk000000be ( + .CI(\blk00000003/sig00000263 ), + .DI(\blk00000003/sig00000264 ), + .S(\blk00000003/sig00000265 ), + .LO(\blk00000003/sig00000266 ) + ); + MUXCY_L \blk00000003/blk000000bd ( + .CI(\blk00000003/sig0000025a ), + .DI(\blk00000003/sig00000262 ), + .S(\blk00000003/sig0000025b ), + .LO(\blk00000003/sig0000025d ) + ); + MUXCY_D \blk00000003/blk000000bc ( + .CI(\blk00000003/sig0000025d ), + .DI(\blk00000003/sig00000261 ), + .S(\blk00000003/sig0000025e ), + .O(\NLW_blk00000003/blk000000bc_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000bc_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000bb ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ac ), + .S(\blk00000003/sig00000260 ), + .O(\blk00000003/sig0000025a ) + ); + XORCY \blk00000003/blk000000ba ( + .CI(\blk00000003/sig0000025d ), + .LI(\blk00000003/sig0000025e ), + .O(\blk00000003/sig0000025f ) + ); + XORCY \blk00000003/blk000000b9 ( + .CI(\blk00000003/sig0000025a ), + .LI(\blk00000003/sig0000025b ), + .O(\blk00000003/sig0000025c ) + ); + MUXCY_L \blk00000003/blk000000b8 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000259 ), + .S(\blk00000003/sig00000257 ), + .LO(\blk00000003/sig00000253 ) + ); + XORCY \blk00000003/blk000000b7 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000257 ), + .O(\blk00000003/sig00000258 ) + ); + MUXCY_D \blk00000003/blk000000b6 ( + .CI(\blk00000003/sig00000253 ), + .DI(\blk00000003/sig00000256 ), + .S(\blk00000003/sig00000254 ), + .O(\NLW_blk00000003/blk000000b6_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000b6_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000b5 ( + .CI(\blk00000003/sig00000253 ), + .LI(\blk00000003/sig00000254 ), + .O(\blk00000003/sig00000255 ) + ); + MUXCY_L \blk00000003/blk000000b4 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000252 ), + .S(\blk00000003/sig00000250 ), + .LO(\blk00000003/sig0000024c ) + ); + XORCY \blk00000003/blk000000b3 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000250 ), + .O(\blk00000003/sig00000251 ) + ); + MUXCY_D \blk00000003/blk000000b2 ( + .CI(\blk00000003/sig0000024c ), + .DI(\blk00000003/sig0000024f ), + .S(\blk00000003/sig0000024d ), + .O(\NLW_blk00000003/blk000000b2_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000b2_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000b1 ( + .CI(\blk00000003/sig0000024c ), + .LI(\blk00000003/sig0000024d ), + .O(\blk00000003/sig0000024e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b0 ( + .C(clk), + .CE(ce), + .D(coef_ld), + .Q(\blk00000003/sig0000024b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000af ( + .C(clk), + .CE(ce), + .D(coef_we), + .Q(\blk00000003/sig0000024a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e0 ), + .Q(\blk00000003/sig0000023e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000249 ), + .Q(\blk00000003/sig00000231 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000247 ), + .Q(\blk00000003/sig00000248 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000246 ), + .Q(\blk00000003/sig0000022f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000245 ), + .Q(\blk00000003/sig0000023c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000243 ), + .Q(\blk00000003/sig00000244 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000241 ), + .Q(\blk00000003/sig00000242 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000023f ), + .Q(\blk00000003/sig00000240 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000023e ), + .Q(\blk00000003/sig0000023a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000023c ), + .Q(\blk00000003/sig0000023d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000023a ), + .Q(\blk00000003/sig0000023b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000021b ), + .Q(\blk00000003/sig00000239 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000234 ), + .Q(\blk00000003/sig00000238 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000022e ), + .R(coef_ld), + .Q(\NLW_blk00000003/blk000000a1_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000022c ), + .R(coef_ld), + .Q(\NLW_blk00000003/blk000000a0_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000228 ), + .R(coef_ld), + .Q(\blk00000003/sig00000227 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000224 ), + .R(coef_ld), + .Q(\blk00000003/sig00000222 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000021c ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000237 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000235 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000236 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000233 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000234 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000231 ), + .Q(\blk00000003/sig00000232 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000099 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000022f ), + .Q(\blk00000003/sig00000230 ) + ); + MUXCY_D \blk00000003/blk00000098 ( + .CI(\blk00000003/sig0000022b ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig0000022d ), + .O(\NLW_blk00000003/blk00000098_O_UNCONNECTED ), + .LO(\blk00000003/sig0000022e ) + ); + MUXCY_D \blk00000003/blk00000097 ( + .CI(coef_we), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig0000022a ), + .O(\blk00000003/sig0000022b ), + .LO(\blk00000003/sig0000022c ) + ); + MUXCY_D \blk00000003/blk00000096 ( + .CI(\blk00000003/sig000000ac ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000229 ), + .O(\blk00000003/sig00000226 ), + .LO(\NLW_blk00000003/blk00000096_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk00000095 ( + .CI(\blk00000003/sig00000226 ), + .DI(\blk00000003/sig00000227 ), + .S(coef_we), + .O(\NLW_blk00000003/blk00000095_O_UNCONNECTED ), + .LO(\blk00000003/sig00000228 ) + ); + MUXCY_D \blk00000003/blk00000094 ( + .CI(\blk00000003/sig000000ac ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000225 ), + .O(\blk00000003/sig00000221 ), + .LO(\NLW_blk00000003/blk00000094_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk00000093 ( + .CI(\blk00000003/sig00000221 ), + .DI(\blk00000003/sig00000222 ), + .S(\blk00000003/sig00000223 ), + .O(\NLW_blk00000003/blk00000093_O_UNCONNECTED ), + .LO(\blk00000003/sig00000224 ) + ); + XORCY \blk00000003/blk00000092 ( + .CI(\blk00000003/sig0000021a ), + .LI(\blk00000003/sig000000ac ), + .O(\blk00000003/sig00000218 ) + ); + MUXCY_D \blk00000003/blk00000091 ( + .CI(\blk00000003/sig0000021e ), + .DI(\blk00000003/sig0000021f ), + .S(\blk00000003/sig00000220 ), + .O(\NLW_blk00000003/blk00000091_O_UNCONNECTED ), + .LO(\blk00000003/sig0000021a ) + ); + MUXCY_D \blk00000003/blk00000090 ( + .CI(\blk00000003/sig0000021b ), + .DI(\blk00000003/sig0000021c ), + .S(\blk00000003/sig0000021d ), + .O(\blk00000003/sig0000021e ), + .LO(\NLW_blk00000003/blk00000090_LO_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000008f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000021a ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000021b ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk0000008e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000218 ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000219 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e5 ), + .R(sclr), + .Q(\blk00000003/sig000001e4 ) + ); + FDR #( + .INIT ( 1'b1 )) + \blk00000003/blk00000029 ( + .C(clk), + .D(\blk00000003/sig000000b7 ), + .R(sclr), + .Q(\blk00000003/sig000000b7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000028 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e4 ), + .R(sclr), + .Q(\blk00000003/sig000001e1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000027 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e3 ), + .R(\blk00000003/sig000001de ), + .Q(data_valid) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000026 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e1 ), + .R(sclr), + .Q(\blk00000003/sig000001e2 ) + ); + FDRE \blk00000003/blk00000025 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001df ), + .R(sclr), + .Q(\blk00000003/sig000001e0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000024 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001dd ), + .R(\blk00000003/sig000001de ), + .Q(rdy) + ); + FDSE \blk00000003/blk00000023 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001db ), + .S(sclr), + .Q(\blk00000003/sig000001dc ) + ); + FDRE \blk00000003/blk00000022 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d9 ), + .R(sclr), + .Q(\blk00000003/sig000001da ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000021 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001cb ), + .S(sclr), + .Q(NlwRenamedSig_OI_rfd) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000020 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001c9 ), + .R(sclr), + .Q(\blk00000003/sig000001d8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d7 ), + .R(sclr), + .Q(\blk00000003/sig000001c6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001c5 ), + .R(sclr), + .Q(\blk00000003/sig000001d6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d5 ), + .R(sclr), + .Q(\blk00000003/sig000001c3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d3 ), + .R(sclr), + .Q(\blk00000003/sig000001d4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d1 ), + .R(sclr), + .Q(\blk00000003/sig000001d2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001cf ), + .R(sclr), + .Q(\NLW_blk00000003/blk0000001a_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000019 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001cf ), + .R(sclr), + .Q(\blk00000003/sig000001d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000018 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001cd ), + .Q(\blk00000003/sig000001ce ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000017 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000000c1 ), + .R(sclr), + .Q(\blk00000003/sig000000bf ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000016 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000000bc ), + .R(sclr), + .Q(\NLW_blk00000003/blk00000016_Q_UNCONNECTED ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000015 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000000bd ), + .S(sclr), + .Q(\blk00000003/sig000001cc ) + ); + MUXCY \blk00000003/blk00000014 ( + .CI(\blk00000003/sig000001c8 ), + .DI(\blk00000003/sig000000ac ), + .S(\blk00000003/sig000001ca ), + .O(\blk00000003/sig000001cb ) + ); + MUXCY_D \blk00000003/blk00000013 ( + .CI(\blk00000003/sig000001c6 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000001c7 ), + .O(\blk00000003/sig000001c8 ), + .LO(\blk00000003/sig000001c9 ) + ); + MUXCY_D \blk00000003/blk00000012 ( + .CI(\blk00000003/sig000001c3 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000001c4 ), + .O(\NLW_blk00000003/blk00000012_O_UNCONNECTED ), + .LO(\blk00000003/sig000001c5 ) + ); + DSP48E1 #( + .ACASCREG ( 2 ), + .ADREG ( 0 ), + .ALUMODEREG ( 1 ), + .AREG ( 2 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 2 ), + .BREG ( 2 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 0 ), + .INMODEREG ( 0 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "FALSE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk00000011 ( + .PATTERNBDETECT(\NLW_blk00000003/blk00000011_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(ce), + .CEAD(\blk00000003/sig00000049 ), + .MULTSIGNOUT(\NLW_blk00000003/blk00000011_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk00000011_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk00000011_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk00000011_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(\blk00000003/sig00000049 ), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(ce), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk00000011_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk00000011_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000011_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000011_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000011_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000011_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000011_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000011_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000011_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000011_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000011_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000011_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000011_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000011_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000011_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000011_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000b1 , \blk00000003/sig00000049 , \blk00000003/sig000000b5 , +\blk00000003/sig000000b3 , \blk00000003/sig000000b5 }), + .PCIN({\blk00000003/sig0000014c , \blk00000003/sig0000014d , \blk00000003/sig0000014e , \blk00000003/sig0000014f , \blk00000003/sig00000150 , +\blk00000003/sig00000151 , \blk00000003/sig00000152 , \blk00000003/sig00000153 , \blk00000003/sig00000154 , \blk00000003/sig00000155 , +\blk00000003/sig00000156 , \blk00000003/sig00000157 , \blk00000003/sig00000158 , \blk00000003/sig00000159 , \blk00000003/sig0000015a , +\blk00000003/sig0000015b , \blk00000003/sig0000015c , \blk00000003/sig0000015d , \blk00000003/sig0000015e , \blk00000003/sig0000015f , +\blk00000003/sig00000160 , \blk00000003/sig00000161 , \blk00000003/sig00000162 , \blk00000003/sig00000163 , \blk00000003/sig00000164 , +\blk00000003/sig00000165 , \blk00000003/sig00000166 , \blk00000003/sig00000167 , \blk00000003/sig00000168 , \blk00000003/sig00000169 , +\blk00000003/sig0000016a , \blk00000003/sig0000016b , \blk00000003/sig0000016c , \blk00000003/sig0000016d , \blk00000003/sig0000016e , +\blk00000003/sig0000016f , \blk00000003/sig00000170 , \blk00000003/sig00000171 , \blk00000003/sig00000172 , \blk00000003/sig00000173 , +\blk00000003/sig00000174 , \blk00000003/sig00000175 , \blk00000003/sig00000176 , \blk00000003/sig00000177 , \blk00000003/sig00000178 , +\blk00000003/sig00000179 , \blk00000003/sig0000017a , \blk00000003/sig0000017b }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk00000011_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000011_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000011_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000011_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000000f3 , \blk00000003/sig000000f4 , \blk00000003/sig000000f5 , \blk00000003/sig000000f6 , \blk00000003/sig000000f7 , +\blk00000003/sig000000f8 , \blk00000003/sig000000f9 , \blk00000003/sig000000fa , \blk00000003/sig000000fb , \blk00000003/sig000000fc , +\blk00000003/sig000000fd , \blk00000003/sig000000fe , \blk00000003/sig000000ff , \blk00000003/sig00000100 , \blk00000003/sig00000101 , +\blk00000003/sig00000102 , \blk00000003/sig00000103 , \blk00000003/sig00000104 }), + .BCOUT({\NLW_blk00000003/blk00000011_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000011_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000011_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000011_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000011_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000011_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000011_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000011_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000011_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000011_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000011_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000011_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000011_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000011_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000011_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000011_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000011_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000011_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk00000011_P<47>_UNCONNECTED , \blk00000003/sig0000017c , \blk00000003/sig0000017d , \blk00000003/sig0000017e , +\blk00000003/sig0000017f , \blk00000003/sig00000180 , \blk00000003/sig00000181 , \blk00000003/sig00000182 , \blk00000003/sig00000183 , +\blk00000003/sig00000184 , \blk00000003/sig00000185 , \blk00000003/sig00000186 , \blk00000003/sig00000187 , \blk00000003/sig00000188 , +\blk00000003/sig00000189 , \blk00000003/sig0000018a , \blk00000003/sig0000018b , \blk00000003/sig0000018c , \blk00000003/sig0000018d , +\blk00000003/sig0000018e , \blk00000003/sig0000018f , \blk00000003/sig00000190 , \blk00000003/sig00000191 , \blk00000003/sig00000192 , +\blk00000003/sig00000193 , \blk00000003/sig00000194 , \blk00000003/sig00000195 , \blk00000003/sig00000196 , \blk00000003/sig00000197 , +\blk00000003/sig00000198 , \blk00000003/sig00000199 , \blk00000003/sig0000019a , \blk00000003/sig0000019b , \blk00000003/sig0000019c , +\blk00000003/sig0000019d , \blk00000003/sig0000019e , \blk00000003/sig0000019f , \blk00000003/sig000001a0 , \blk00000003/sig000001a1 , +\blk00000003/sig000001a2 , \blk00000003/sig000001a3 , \blk00000003/sig000001a4 , \blk00000003/sig000001a5 , \blk00000003/sig000001a6 , +\blk00000003/sig000001a7 , \blk00000003/sig000001a8 , \blk00000003/sig000001a9 , \blk00000003/sig000001aa }), + .A({\blk00000003/sig000001ab , \blk00000003/sig000001ab , \blk00000003/sig000001ab , \blk00000003/sig000001ab , \blk00000003/sig000001ab , +\blk00000003/sig000001ab , \blk00000003/sig000001ab , \blk00000003/sig000001ac , \blk00000003/sig000001ad , \blk00000003/sig000001ae , +\blk00000003/sig000001af , \blk00000003/sig000001b0 , \blk00000003/sig000001b1 , \blk00000003/sig000001b2 , \blk00000003/sig000001b3 , +\blk00000003/sig000001b4 , \blk00000003/sig000001b5 , \blk00000003/sig000001b6 , \blk00000003/sig000001b7 , \blk00000003/sig000001b8 , +\blk00000003/sig000001b9 , \blk00000003/sig000001ba , \blk00000003/sig000001bb , \blk00000003/sig000001bc , \blk00000003/sig000001bd , +\blk00000003/sig000001be , \blk00000003/sig000001bf , \blk00000003/sig000001c0 , \blk00000003/sig000001c1 , \blk00000003/sig000001c2 }), + .PCOUT({\NLW_blk00000003/blk00000011_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000011_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<0>_UNCONNECTED }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 2 ), + .ADREG ( 0 ), + .ALUMODEREG ( 1 ), + .AREG ( 2 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 2 ), + .BREG ( 2 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 0 ), + .INMODEREG ( 0 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "FALSE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk00000010 ( + .PATTERNBDETECT(\NLW_blk00000003/blk00000010_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(ce), + .CEAD(\blk00000003/sig00000049 ), + .MULTSIGNOUT(\NLW_blk00000003/blk00000010_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk00000010_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk00000010_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk00000010_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(\blk00000003/sig00000049 ), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(ce), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk00000010_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk00000010_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000010_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000010_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000010_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000010_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000010_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000010_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000010_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000010_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000010_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000010_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000010_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000010_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000010_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000010_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000b1 , \blk00000003/sig00000049 , \blk00000003/sig000000b5 , +\blk00000003/sig000000b3 , \blk00000003/sig000000b5 }), + .PCIN({\blk00000003/sig000000c3 , \blk00000003/sig000000c4 , \blk00000003/sig000000c5 , \blk00000003/sig000000c6 , \blk00000003/sig000000c7 , +\blk00000003/sig000000c8 , \blk00000003/sig000000c9 , \blk00000003/sig000000ca , \blk00000003/sig000000cb , \blk00000003/sig000000cc , +\blk00000003/sig000000cd , \blk00000003/sig000000ce , \blk00000003/sig000000cf , \blk00000003/sig000000d0 , \blk00000003/sig000000d1 , +\blk00000003/sig000000d2 , \blk00000003/sig000000d3 , \blk00000003/sig000000d4 , \blk00000003/sig000000d5 , \blk00000003/sig000000d6 , +\blk00000003/sig000000d7 , \blk00000003/sig000000d8 , \blk00000003/sig000000d9 , \blk00000003/sig000000da , \blk00000003/sig000000db , +\blk00000003/sig000000dc , \blk00000003/sig000000dd , \blk00000003/sig000000de , \blk00000003/sig000000df , \blk00000003/sig000000e0 , +\blk00000003/sig000000e1 , \blk00000003/sig000000e2 , \blk00000003/sig000000e3 , \blk00000003/sig000000e4 , \blk00000003/sig000000e5 , +\blk00000003/sig000000e6 , \blk00000003/sig000000e7 , \blk00000003/sig000000e8 , \blk00000003/sig000000e9 , \blk00000003/sig000000ea , +\blk00000003/sig000000eb , \blk00000003/sig000000ec , \blk00000003/sig000000ed , \blk00000003/sig000000ee , \blk00000003/sig000000ef , +\blk00000003/sig000000f0 , \blk00000003/sig000000f1 , \blk00000003/sig000000f2 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk00000010_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000010_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000010_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000010_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000000f3 , \blk00000003/sig000000f4 , \blk00000003/sig000000f5 , \blk00000003/sig000000f6 , \blk00000003/sig000000f7 , +\blk00000003/sig000000f8 , \blk00000003/sig000000f9 , \blk00000003/sig000000fa , \blk00000003/sig000000fb , \blk00000003/sig000000fc , +\blk00000003/sig000000fd , \blk00000003/sig000000fe , \blk00000003/sig000000ff , \blk00000003/sig00000100 , \blk00000003/sig00000101 , +\blk00000003/sig00000102 , \blk00000003/sig00000103 , \blk00000003/sig00000104 }), + .BCOUT({\NLW_blk00000003/blk00000010_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000010_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000010_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000010_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000010_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000010_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000010_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000010_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000010_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000010_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000010_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000010_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000010_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000010_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000010_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000010_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000010_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000010_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk00000010_P<47>_UNCONNECTED , \blk00000003/sig00000105 , \blk00000003/sig00000106 , \blk00000003/sig00000107 , +\blk00000003/sig00000108 , \blk00000003/sig00000109 , \blk00000003/sig0000010a , \blk00000003/sig0000010b , \blk00000003/sig0000010c , +\blk00000003/sig0000010d , \blk00000003/sig0000010e , \blk00000003/sig0000010f , \blk00000003/sig00000110 , \blk00000003/sig00000111 , +\blk00000003/sig00000112 , \blk00000003/sig00000113 , \blk00000003/sig00000114 , \blk00000003/sig00000115 , \blk00000003/sig00000116 , +\blk00000003/sig00000117 , \blk00000003/sig00000118 , \blk00000003/sig00000119 , \blk00000003/sig0000011a , \blk00000003/sig0000011b , +\blk00000003/sig0000011c , \blk00000003/sig0000011d , \blk00000003/sig0000011e , \blk00000003/sig0000011f , \blk00000003/sig00000120 , +\blk00000003/sig00000121 , \blk00000003/sig00000122 , \blk00000003/sig00000123 , \blk00000003/sig00000124 , \blk00000003/sig00000125 , +\blk00000003/sig00000126 , \blk00000003/sig00000127 , \blk00000003/sig00000128 , \blk00000003/sig00000129 , \blk00000003/sig0000012a , +\blk00000003/sig0000012b , \blk00000003/sig0000012c , \blk00000003/sig0000012d , \blk00000003/sig0000012e , \blk00000003/sig0000012f , +\blk00000003/sig00000130 , \blk00000003/sig00000131 , \blk00000003/sig00000132 , \blk00000003/sig00000133 }), + .A({\blk00000003/sig00000134 , \blk00000003/sig00000134 , \blk00000003/sig00000134 , \blk00000003/sig00000134 , \blk00000003/sig00000134 , +\blk00000003/sig00000134 , \blk00000003/sig00000134 , \blk00000003/sig00000135 , \blk00000003/sig00000136 , \blk00000003/sig00000137 , +\blk00000003/sig00000138 , \blk00000003/sig00000139 , \blk00000003/sig0000013a , \blk00000003/sig0000013b , \blk00000003/sig0000013c , +\blk00000003/sig0000013d , \blk00000003/sig0000013e , \blk00000003/sig0000013f , \blk00000003/sig00000140 , \blk00000003/sig00000141 , +\blk00000003/sig00000142 , \blk00000003/sig00000143 , \blk00000003/sig00000144 , \blk00000003/sig00000145 , \blk00000003/sig00000146 , +\blk00000003/sig00000147 , \blk00000003/sig00000148 , \blk00000003/sig00000149 , \blk00000003/sig0000014a , \blk00000003/sig0000014b }), + .PCOUT({\NLW_blk00000003/blk00000010_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000010_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<0>_UNCONNECTED }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + MUXCY_D \blk00000003/blk0000000f ( + .CI(\blk00000003/sig000000ac ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000c2 ), + .O(\blk00000003/sig000000be ), + .LO(\NLW_blk00000003/blk0000000f_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk0000000e ( + .CI(\blk00000003/sig000000be ), + .DI(\blk00000003/sig000000bf ), + .S(\blk00000003/sig000000c0 ), + .O(\blk00000003/sig000000b6 ), + .LO(\blk00000003/sig000000c1 ) + ); + XORCY \blk00000003/blk0000000d ( + .CI(\blk00000003/sig000000bc ), + .LI(\blk00000003/sig000000ac ), + .O(\blk00000003/sig000000bd ) + ); + MUXCY_D \blk00000003/blk0000000c ( + .CI(\blk00000003/sig000000b9 ), + .DI(\blk00000003/sig000000ba ), + .S(\blk00000003/sig000000bb ), + .O(\NLW_blk00000003/blk0000000c_O_UNCONNECTED ), + .LO(\blk00000003/sig000000bc ) + ); + MUXCY_D \blk00000003/blk0000000b ( + .CI(\blk00000003/sig000000b6 ), + .DI(\blk00000003/sig000000b7 ), + .S(\blk00000003/sig000000b8 ), + .O(\blk00000003/sig000000b9 ), + .LO(\NLW_blk00000003/blk0000000b_LO_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk0000000a ( + .C(clk), + .D(\blk00000003/sig000000b4 ), + .Q(\blk00000003/sig000000b5 ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk00000009 ( + .C(clk), + .D(\blk00000003/sig000000b2 ), + .Q(\blk00000003/sig000000b3 ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk00000008 ( + .C(clk), + .D(\blk00000003/sig000000b0 ), + .Q(\blk00000003/sig000000b1 ) + ); + XORCY \blk00000003/blk00000007 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig000000ae ), + .O(\blk00000003/sig000000af ) + ); + MUXCY_D \blk00000003/blk00000006 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ad ), + .S(\blk00000003/sig000000ae ), + .O(\NLW_blk00000003/blk00000006_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk00000006_LO_UNCONNECTED ) + ); + VCC \blk00000003/blk00000005 ( + .P(\blk00000003/sig000000ac ) + ); + GND \blk00000003/blk00000004 ( + .G(\blk00000003/sig00000049 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000002b/blk0000008d ( + .I0(nd), + .I1(ce), + .O(\blk00000003/blk0000002b/sig00000800 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000008c ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[22]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000008c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007fe ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000008b ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[21]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000008b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007fd ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000008a ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[23]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000008a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007ff ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000089 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[19]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000089_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007fb ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000088 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[18]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000088_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007fa ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000087 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[20]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000087_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007fc ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000086 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[16]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000086_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007f8 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000085 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[15]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000085_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007f7 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000084 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[17]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000084_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007f9 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000083 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[13]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000083_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007f5 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000082 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[12]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000082_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007f4 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000081 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[14]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000081_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007f6 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000080 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[10]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000080_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007f2 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000007f ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[9]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000007f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007f1 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000007e ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[11]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000007e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007f3 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000007d ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[7]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000007d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007ef ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000007c ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[6]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000007c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007ee ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000007b ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[8]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000007b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007f0 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000007a ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[4]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000007a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007ec ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000079 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[3]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000079_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007eb ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000078 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[5]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000078_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007ed ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000077 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[1]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000077_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007e9 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000076 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[0]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000076_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007e8 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000075 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_2_2[2]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000075_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007ea ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000074 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[22]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000074_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007e6 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000073 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[21]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000073_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007e5 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000072 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[23]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000072_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007e7 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000071 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[19]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000071_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007e3 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000070 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[18]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000070_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007e2 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000006f ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[20]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000006f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007e4 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000006e ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[16]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000006e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007e0 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000006d ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[15]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000006d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007df ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000006c ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[17]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000006c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007e1 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000006b ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[13]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000006b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007dd ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000006a ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[12]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000006a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007dc ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000069 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[14]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000069_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007de ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000068 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[10]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000068_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007da ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000067 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[9]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000067_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007d9 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000066 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[11]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000066_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007db ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000065 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[7]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000065_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007d7 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000064 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[6]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000064_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007d6 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000063 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[8]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000063_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007d8 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000062 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[4]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000062_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007d4 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000061 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[3]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000061_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007d3 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk00000060 ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[5]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk00000060_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007d5 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000005f ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[1]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000005f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007d1 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000005e ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[0]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000005e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007d0 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002b/blk0000005d ( + .A0(\blk00000003/sig000001e7 ), + .A1(\blk00000003/sig000001e6 ), + .A2(\blk00000003/blk0000002b/sig000007cf ), + .A3(\blk00000003/blk0000002b/sig000007cf ), + .A4(\blk00000003/blk0000002b/sig000007cf ), + .D(din_1_1[2]), + .DPRA0(\blk00000003/sig000001dc ), + .DPRA1(\blk00000003/sig000001da ), + .DPRA2(\blk00000003/blk0000002b/sig000007cf ), + .DPRA3(\blk00000003/blk0000002b/sig000007cf ), + .DPRA4(\blk00000003/blk0000002b/sig000007cf ), + .WCLK(clk), + .WE(\blk00000003/blk0000002b/sig00000800 ), + .SPO(\NLW_blk00000003/blk0000002b/blk0000005d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002b/sig000007d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000005c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007ff ), + .Q(\blk00000003/sig000001e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000005b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007fe ), + .Q(\blk00000003/sig000001e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000005a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007fd ), + .Q(\blk00000003/sig000001ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000059 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007fc ), + .Q(\blk00000003/sig000001eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000058 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007fb ), + .Q(\blk00000003/sig000001ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000057 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007fa ), + .Q(\blk00000003/sig000001ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000056 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007f9 ), + .Q(\blk00000003/sig000001ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000055 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007f8 ), + .Q(\blk00000003/sig000001ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000054 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007f7 ), + .Q(\blk00000003/sig000001f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000053 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007f6 ), + .Q(\blk00000003/sig000001f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000052 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007f5 ), + .Q(\blk00000003/sig000001f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000051 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007f4 ), + .Q(\blk00000003/sig000001f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000050 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007f3 ), + .Q(\blk00000003/sig000001f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000004f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007f2 ), + .Q(\blk00000003/sig000001f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000004e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007f1 ), + .Q(\blk00000003/sig000001f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000004d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007f0 ), + .Q(\blk00000003/sig000001f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000004c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007ef ), + .Q(\blk00000003/sig000001f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000004b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007ee ), + .Q(\blk00000003/sig000001f9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000004a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007ed ), + .Q(\blk00000003/sig000001fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000049 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007ec ), + .Q(\blk00000003/sig000001fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000048 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007eb ), + .Q(\blk00000003/sig000001fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000047 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007ea ), + .Q(\blk00000003/sig000001fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000046 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007e9 ), + .Q(\blk00000003/sig000001fe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000045 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007e8 ), + .Q(\blk00000003/sig000001ff ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000044 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007e7 ), + .Q(\blk00000003/sig00000200 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000043 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007e6 ), + .Q(\blk00000003/sig00000201 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000042 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007e5 ), + .Q(\blk00000003/sig00000202 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000041 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007e4 ), + .Q(\blk00000003/sig00000203 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000040 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007e3 ), + .Q(\blk00000003/sig00000204 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000003f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007e2 ), + .Q(\blk00000003/sig00000205 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000003e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007e1 ), + .Q(\blk00000003/sig00000206 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000003d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007e0 ), + .Q(\blk00000003/sig00000207 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000003c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007df ), + .Q(\blk00000003/sig00000208 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000003b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007de ), + .Q(\blk00000003/sig00000209 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000003a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007dd ), + .Q(\blk00000003/sig0000020a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000039 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007dc ), + .Q(\blk00000003/sig0000020b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000038 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007db ), + .Q(\blk00000003/sig0000020c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000037 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007da ), + .Q(\blk00000003/sig0000020d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000036 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007d9 ), + .Q(\blk00000003/sig0000020e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000035 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007d8 ), + .Q(\blk00000003/sig0000020f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000034 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007d7 ), + .Q(\blk00000003/sig00000210 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000033 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007d6 ), + .Q(\blk00000003/sig00000211 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000032 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007d5 ), + .Q(\blk00000003/sig00000212 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000031 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007d4 ), + .Q(\blk00000003/sig00000213 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk00000030 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007d3 ), + .Q(\blk00000003/sig00000214 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000002f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007d2 ), + .Q(\blk00000003/sig00000215 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000002e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007d1 ), + .Q(\blk00000003/sig00000216 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b/blk0000002d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002b/sig000007d0 ), + .Q(\blk00000003/sig00000217 ) + ); + GND \blk00000003/blk0000002b/blk0000002c ( + .G(\blk00000003/blk0000002b/sig000007cf ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000117/blk00000149 ( + .I0(ce), + .I1(\blk00000003/sig000004e6 ), + .O(\blk00000003/blk00000117/sig0000084f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000148 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig0000047c ), + .Q(\blk00000003/blk00000117/sig0000084d ), + .Q15(\NLW_blk00000003/blk00000117/blk00000148_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000147 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig0000047d ), + .Q(\blk00000003/blk00000117/sig0000084c ), + .Q15(\NLW_blk00000003/blk00000117/blk00000147_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000146 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig0000047b ), + .Q(\blk00000003/blk00000117/sig0000084e ), + .Q15(\NLW_blk00000003/blk00000117/blk00000146_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000145 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig0000047f ), + .Q(\blk00000003/blk00000117/sig0000084a ), + .Q15(\NLW_blk00000003/blk00000117/blk00000145_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000144 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig00000480 ), + .Q(\blk00000003/blk00000117/sig00000849 ), + .Q15(\NLW_blk00000003/blk00000117/blk00000144_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000143 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig0000047e ), + .Q(\blk00000003/blk00000117/sig0000084b ), + .Q15(\NLW_blk00000003/blk00000117/blk00000143_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000142 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig00000482 ), + .Q(\blk00000003/blk00000117/sig00000847 ), + .Q15(\NLW_blk00000003/blk00000117/blk00000142_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000141 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig00000483 ), + .Q(\blk00000003/blk00000117/sig00000846 ), + .Q15(\NLW_blk00000003/blk00000117/blk00000141_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000140 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig00000481 ), + .Q(\blk00000003/blk00000117/sig00000848 ), + .Q15(\NLW_blk00000003/blk00000117/blk00000140_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk0000013f ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig00000485 ), + .Q(\blk00000003/blk00000117/sig00000844 ), + .Q15(\NLW_blk00000003/blk00000117/blk0000013f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk0000013e ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig00000486 ), + .Q(\blk00000003/blk00000117/sig00000843 ), + .Q15(\NLW_blk00000003/blk00000117/blk0000013e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk0000013d ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig00000484 ), + .Q(\blk00000003/blk00000117/sig00000845 ), + .Q15(\NLW_blk00000003/blk00000117/blk0000013d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk0000013c ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig00000488 ), + .Q(\blk00000003/blk00000117/sig00000841 ), + .Q15(\NLW_blk00000003/blk00000117/blk0000013c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk0000013b ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig00000489 ), + .Q(\blk00000003/blk00000117/sig00000840 ), + .Q15(\NLW_blk00000003/blk00000117/blk0000013b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk0000013a ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig00000487 ), + .Q(\blk00000003/blk00000117/sig00000842 ), + .Q15(\NLW_blk00000003/blk00000117/blk0000013a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000139 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig0000048b ), + .Q(\blk00000003/blk00000117/sig0000083e ), + .Q15(\NLW_blk00000003/blk00000117/blk00000139_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000138 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig0000048c ), + .Q(\blk00000003/blk00000117/sig0000083d ), + .Q15(\NLW_blk00000003/blk00000117/blk00000138_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000137 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig0000048a ), + .Q(\blk00000003/blk00000117/sig0000083f ), + .Q15(\NLW_blk00000003/blk00000117/blk00000137_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000136 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig0000048e ), + .Q(\blk00000003/blk00000117/sig0000083b ), + .Q15(\NLW_blk00000003/blk00000117/blk00000136_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000135 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig0000048f ), + .Q(\blk00000003/blk00000117/sig0000083a ), + .Q15(\NLW_blk00000003/blk00000117/blk00000135_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000134 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig0000048d ), + .Q(\blk00000003/blk00000117/sig0000083c ), + .Q15(\NLW_blk00000003/blk00000117/blk00000134_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000133 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig00000491 ), + .Q(\blk00000003/blk00000117/sig00000838 ), + .Q15(\NLW_blk00000003/blk00000117/blk00000133_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000132 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig00000492 ), + .Q(\blk00000003/blk00000117/sig00000837 ), + .Q15(\NLW_blk00000003/blk00000117/blk00000132_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000117/blk00000131 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk00000117/sig00000836 ), + .A3(\blk00000003/blk00000117/sig00000836 ), + .CE(\blk00000003/blk00000117/sig0000084f ), + .CLK(clk), + .D(\blk00000003/sig00000490 ), + .Q(\blk00000003/blk00000117/sig00000839 ), + .Q15(\NLW_blk00000003/blk00000117/blk00000131_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk00000130 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig0000084e ), + .Q(\blk00000003/sig000003d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk0000012f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig0000084d ), + .Q(\blk00000003/sig000003da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk0000012e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig0000084c ), + .Q(\blk00000003/sig000003db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk0000012d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig0000084b ), + .Q(\blk00000003/sig000003dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk0000012c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig0000084a ), + .Q(\blk00000003/sig000003dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk0000012b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig00000849 ), + .Q(\blk00000003/sig000003de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk0000012a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig00000848 ), + .Q(\blk00000003/sig000003df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk00000129 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig00000847 ), + .Q(\blk00000003/sig000003e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk00000128 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig00000846 ), + .Q(\blk00000003/sig000003e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk00000127 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig00000845 ), + .Q(\blk00000003/sig000003e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk00000126 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig00000844 ), + .Q(\blk00000003/sig000003e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk00000125 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig00000843 ), + .Q(\blk00000003/sig000003e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk00000124 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig00000842 ), + .Q(\blk00000003/sig000003e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk00000123 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig00000841 ), + .Q(\blk00000003/sig000003e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk00000122 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig00000840 ), + .Q(\blk00000003/sig000003e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk00000121 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig0000083f ), + .Q(\blk00000003/sig000003e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk00000120 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig0000083e ), + .Q(\blk00000003/sig000003e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk0000011f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig0000083d ), + .Q(\blk00000003/sig000003ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk0000011e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig0000083c ), + .Q(\blk00000003/sig000003eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk0000011d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig0000083b ), + .Q(\blk00000003/sig000003ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk0000011c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig0000083a ), + .Q(\blk00000003/sig000003ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk0000011b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig00000839 ), + .Q(\blk00000003/sig000003ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk0000011a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig00000838 ), + .Q(\blk00000003/sig000003ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117/blk00000119 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000117/sig00000837 ), + .Q(\blk00000003/sig000003f0 ) + ); + GND \blk00000003/blk00000117/blk00000118 ( + .G(\blk00000003/blk00000117/sig00000836 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000014a/blk0000017c ( + .I0(ce), + .I1(\blk00000003/sig000004e4 ), + .O(\blk00000003/blk0000014a/sig0000089e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk0000017b ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig000004f8 ), + .Q(\blk00000003/blk0000014a/sig0000089c ), + .Q15(\NLW_blk00000003/blk0000014a/blk0000017b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk0000017a ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig000004f9 ), + .Q(\blk00000003/blk0000014a/sig0000089b ), + .Q15(\NLW_blk00000003/blk0000014a/blk0000017a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000179 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig000004f7 ), + .Q(\blk00000003/blk0000014a/sig0000089d ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000179_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000178 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig000004fb ), + .Q(\blk00000003/blk0000014a/sig00000899 ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000178_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000177 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig000004fc ), + .Q(\blk00000003/blk0000014a/sig00000898 ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000177_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000176 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig000004fa ), + .Q(\blk00000003/blk0000014a/sig0000089a ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000176_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000175 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig000004fe ), + .Q(\blk00000003/blk0000014a/sig00000896 ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000175_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000174 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig000004ff ), + .Q(\blk00000003/blk0000014a/sig00000895 ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000174_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000173 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig000004fd ), + .Q(\blk00000003/blk0000014a/sig00000897 ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000173_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000172 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig00000501 ), + .Q(\blk00000003/blk0000014a/sig00000893 ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000172_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000171 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig00000502 ), + .Q(\blk00000003/blk0000014a/sig00000892 ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000171_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000170 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig00000500 ), + .Q(\blk00000003/blk0000014a/sig00000894 ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000170_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk0000016f ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig00000504 ), + .Q(\blk00000003/blk0000014a/sig00000890 ), + .Q15(\NLW_blk00000003/blk0000014a/blk0000016f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk0000016e ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig00000505 ), + .Q(\blk00000003/blk0000014a/sig0000088f ), + .Q15(\NLW_blk00000003/blk0000014a/blk0000016e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk0000016d ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig00000503 ), + .Q(\blk00000003/blk0000014a/sig00000891 ), + .Q15(\NLW_blk00000003/blk0000014a/blk0000016d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk0000016c ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig00000507 ), + .Q(\blk00000003/blk0000014a/sig0000088d ), + .Q15(\NLW_blk00000003/blk0000014a/blk0000016c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk0000016b ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig00000508 ), + .Q(\blk00000003/blk0000014a/sig0000088c ), + .Q15(\NLW_blk00000003/blk0000014a/blk0000016b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk0000016a ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig00000506 ), + .Q(\blk00000003/blk0000014a/sig0000088e ), + .Q15(\NLW_blk00000003/blk0000014a/blk0000016a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000169 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig0000050a ), + .Q(\blk00000003/blk0000014a/sig0000088a ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000169_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000168 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig0000050b ), + .Q(\blk00000003/blk0000014a/sig00000889 ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000168_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000167 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig00000509 ), + .Q(\blk00000003/blk0000014a/sig0000088b ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000167_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000166 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig0000050d ), + .Q(\blk00000003/blk0000014a/sig00000887 ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000166_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000165 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig0000050e ), + .Q(\blk00000003/blk0000014a/sig00000886 ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000165_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014a/blk00000164 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk0000014a/sig00000885 ), + .A3(\blk00000003/blk0000014a/sig00000885 ), + .CE(\blk00000003/blk0000014a/sig0000089e ), + .CLK(clk), + .D(\blk00000003/sig0000050c ), + .Q(\blk00000003/blk0000014a/sig00000888 ), + .Q15(\NLW_blk00000003/blk0000014a/blk00000164_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk00000163 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig0000089d ), + .Q(\blk00000003/sig000003f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk00000162 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig0000089c ), + .Q(\blk00000003/sig000003f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk00000161 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig0000089b ), + .Q(\blk00000003/sig000003f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk00000160 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig0000089a ), + .Q(\blk00000003/sig000003f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk0000015f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig00000899 ), + .Q(\blk00000003/sig000003f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk0000015e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig00000898 ), + .Q(\blk00000003/sig000003f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk0000015d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig00000897 ), + .Q(\blk00000003/sig000003f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk0000015c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig00000896 ), + .Q(\blk00000003/sig000003f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk0000015b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig00000895 ), + .Q(\blk00000003/sig000003f9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk0000015a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig00000894 ), + .Q(\blk00000003/sig000003fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk00000159 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig00000893 ), + .Q(\blk00000003/sig000003fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk00000158 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig00000892 ), + .Q(\blk00000003/sig000003fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk00000157 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig00000891 ), + .Q(\blk00000003/sig000003fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk00000156 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig00000890 ), + .Q(\blk00000003/sig000003fe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk00000155 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig0000088f ), + .Q(\blk00000003/sig000003ff ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk00000154 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig0000088e ), + .Q(\blk00000003/sig00000400 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk00000153 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig0000088d ), + .Q(\blk00000003/sig00000401 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk00000152 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig0000088c ), + .Q(\blk00000003/sig00000402 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk00000151 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig0000088b ), + .Q(\blk00000003/sig00000403 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk00000150 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig0000088a ), + .Q(\blk00000003/sig00000404 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk0000014f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig00000889 ), + .Q(\blk00000003/sig00000405 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk0000014e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig00000888 ), + .Q(\blk00000003/sig00000406 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk0000014d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig00000887 ), + .Q(\blk00000003/sig00000407 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014a/blk0000014c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014a/sig00000886 ), + .Q(\blk00000003/sig00000408 ) + ); + GND \blk00000003/blk0000014a/blk0000014b ( + .G(\blk00000003/blk0000014a/sig00000885 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000017d/blk000001af ( + .I0(ce), + .I1(\blk00000003/sig000004e6 ), + .O(\blk00000003/blk0000017d/sig000008ed ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001ae ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004ac ), + .Q(\blk00000003/blk0000017d/sig000008eb ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001ae_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001ad ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004ad ), + .Q(\blk00000003/blk0000017d/sig000008ea ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001ad_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001ac ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004ab ), + .Q(\blk00000003/blk0000017d/sig000008ec ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001ac_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001ab ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004af ), + .Q(\blk00000003/blk0000017d/sig000008e8 ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001ab_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001aa ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004b0 ), + .Q(\blk00000003/blk0000017d/sig000008e7 ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001aa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001a9 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004ae ), + .Q(\blk00000003/blk0000017d/sig000008e9 ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001a9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001a8 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004b2 ), + .Q(\blk00000003/blk0000017d/sig000008e5 ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001a8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001a7 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004b3 ), + .Q(\blk00000003/blk0000017d/sig000008e4 ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001a7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001a6 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004b1 ), + .Q(\blk00000003/blk0000017d/sig000008e6 ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001a6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001a5 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004b5 ), + .Q(\blk00000003/blk0000017d/sig000008e2 ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001a5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001a4 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004b6 ), + .Q(\blk00000003/blk0000017d/sig000008e1 ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001a4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001a3 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004b4 ), + .Q(\blk00000003/blk0000017d/sig000008e3 ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001a3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001a2 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004b8 ), + .Q(\blk00000003/blk0000017d/sig000008df ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001a2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001a1 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004b9 ), + .Q(\blk00000003/blk0000017d/sig000008de ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001a1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk000001a0 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004b7 ), + .Q(\blk00000003/blk0000017d/sig000008e0 ), + .Q15(\NLW_blk00000003/blk0000017d/blk000001a0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk0000019f ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004bb ), + .Q(\blk00000003/blk0000017d/sig000008dc ), + .Q15(\NLW_blk00000003/blk0000017d/blk0000019f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk0000019e ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004bc ), + .Q(\blk00000003/blk0000017d/sig000008db ), + .Q15(\NLW_blk00000003/blk0000017d/blk0000019e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk0000019d ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004ba ), + .Q(\blk00000003/blk0000017d/sig000008dd ), + .Q15(\NLW_blk00000003/blk0000017d/blk0000019d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk0000019c ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004be ), + .Q(\blk00000003/blk0000017d/sig000008d9 ), + .Q15(\NLW_blk00000003/blk0000017d/blk0000019c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk0000019b ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004bf ), + .Q(\blk00000003/blk0000017d/sig000008d8 ), + .Q15(\NLW_blk00000003/blk0000017d/blk0000019b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk0000019a ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004bd ), + .Q(\blk00000003/blk0000017d/sig000008da ), + .Q15(\NLW_blk00000003/blk0000017d/blk0000019a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk00000199 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004c1 ), + .Q(\blk00000003/blk0000017d/sig000008d6 ), + .Q15(\NLW_blk00000003/blk0000017d/blk00000199_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk00000198 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004c2 ), + .Q(\blk00000003/blk0000017d/sig000008d5 ), + .Q15(\NLW_blk00000003/blk0000017d/blk00000198_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017d/blk00000197 ( + .A0(\blk00000003/sig000004f4 ), + .A1(\blk00000003/sig000004f2 ), + .A2(\blk00000003/blk0000017d/sig000008d4 ), + .A3(\blk00000003/blk0000017d/sig000008d4 ), + .CE(\blk00000003/blk0000017d/sig000008ed ), + .CLK(clk), + .D(\blk00000003/sig000004c0 ), + .Q(\blk00000003/blk0000017d/sig000008d7 ), + .Q15(\NLW_blk00000003/blk0000017d/blk00000197_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000196 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008ec ), + .Q(\blk00000003/sig00000439 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000195 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008eb ), + .Q(\blk00000003/sig0000043a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000194 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008ea ), + .Q(\blk00000003/sig0000043b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000193 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008e9 ), + .Q(\blk00000003/sig0000043c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000192 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008e8 ), + .Q(\blk00000003/sig0000043d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000191 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008e7 ), + .Q(\blk00000003/sig0000043e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000190 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008e6 ), + .Q(\blk00000003/sig0000043f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk0000018f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008e5 ), + .Q(\blk00000003/sig00000440 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk0000018e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008e4 ), + .Q(\blk00000003/sig00000441 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk0000018d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008e3 ), + .Q(\blk00000003/sig00000442 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk0000018c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008e2 ), + .Q(\blk00000003/sig00000443 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk0000018b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008e1 ), + .Q(\blk00000003/sig00000444 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk0000018a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008e0 ), + .Q(\blk00000003/sig00000445 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000189 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008df ), + .Q(\blk00000003/sig00000446 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000188 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008de ), + .Q(\blk00000003/sig00000447 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000187 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008dd ), + .Q(\blk00000003/sig00000448 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000186 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008dc ), + .Q(\blk00000003/sig00000449 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000185 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008db ), + .Q(\blk00000003/sig0000044a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000184 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008da ), + .Q(\blk00000003/sig0000044b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000183 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008d9 ), + .Q(\blk00000003/sig0000044c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000182 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008d8 ), + .Q(\blk00000003/sig0000044d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000181 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008d7 ), + .Q(\blk00000003/sig0000044e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk00000180 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008d6 ), + .Q(\blk00000003/sig0000044f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017d/blk0000017f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017d/sig000008d5 ), + .Q(\blk00000003/sig00000450 ) + ); + GND \blk00000003/blk0000017d/blk0000017e ( + .G(\blk00000003/blk0000017d/sig000008d4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000001b0/blk000001e2 ( + .I0(ce), + .I1(\blk00000003/sig000004e4 ), + .O(\blk00000003/blk000001b0/sig0000093c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001e1 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000510 ), + .Q(\blk00000003/blk000001b0/sig0000093a ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001e1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001e0 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000511 ), + .Q(\blk00000003/blk000001b0/sig00000939 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001e0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001df ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig0000050f ), + .Q(\blk00000003/blk000001b0/sig0000093b ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001df_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001de ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000513 ), + .Q(\blk00000003/blk000001b0/sig00000937 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001de_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001dd ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000514 ), + .Q(\blk00000003/blk000001b0/sig00000936 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001dd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001dc ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000512 ), + .Q(\blk00000003/blk000001b0/sig00000938 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001dc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001db ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000516 ), + .Q(\blk00000003/blk000001b0/sig00000934 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001db_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001da ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000517 ), + .Q(\blk00000003/blk000001b0/sig00000933 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001da_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001d9 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000515 ), + .Q(\blk00000003/blk000001b0/sig00000935 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001d9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001d8 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000519 ), + .Q(\blk00000003/blk000001b0/sig00000931 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001d8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001d7 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig0000051a ), + .Q(\blk00000003/blk000001b0/sig00000930 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001d7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001d6 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000518 ), + .Q(\blk00000003/blk000001b0/sig00000932 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001d6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001d5 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig0000051c ), + .Q(\blk00000003/blk000001b0/sig0000092e ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001d5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001d4 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig0000051d ), + .Q(\blk00000003/blk000001b0/sig0000092d ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001d4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001d3 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig0000051b ), + .Q(\blk00000003/blk000001b0/sig0000092f ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001d3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001d2 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig0000051f ), + .Q(\blk00000003/blk000001b0/sig0000092b ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001d2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001d1 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000520 ), + .Q(\blk00000003/blk000001b0/sig0000092a ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001d1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001d0 ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig0000051e ), + .Q(\blk00000003/blk000001b0/sig0000092c ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001d0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001cf ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000522 ), + .Q(\blk00000003/blk000001b0/sig00000928 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001cf_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001ce ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000523 ), + .Q(\blk00000003/blk000001b0/sig00000927 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001ce_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001cd ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000521 ), + .Q(\blk00000003/blk000001b0/sig00000929 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001cd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001cc ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000525 ), + .Q(\blk00000003/blk000001b0/sig00000925 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001cc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001cb ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000526 ), + .Q(\blk00000003/blk000001b0/sig00000924 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001cb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b0/blk000001ca ( + .A0(\blk00000003/sig000004f0 ), + .A1(\blk00000003/sig000004ee ), + .A2(\blk00000003/blk000001b0/sig00000923 ), + .A3(\blk00000003/blk000001b0/sig00000923 ), + .CE(\blk00000003/blk000001b0/sig0000093c ), + .CLK(clk), + .D(\blk00000003/sig00000524 ), + .Q(\blk00000003/blk000001b0/sig00000926 ), + .Q15(\NLW_blk00000003/blk000001b0/blk000001ca_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig0000093b ), + .Q(\blk00000003/sig00000451 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig0000093a ), + .Q(\blk00000003/sig00000452 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000939 ), + .Q(\blk00000003/sig00000453 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000938 ), + .Q(\blk00000003/sig00000454 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000937 ), + .Q(\blk00000003/sig00000455 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000936 ), + .Q(\blk00000003/sig00000456 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000935 ), + .Q(\blk00000003/sig00000457 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000934 ), + .Q(\blk00000003/sig00000458 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000933 ), + .Q(\blk00000003/sig00000459 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000932 ), + .Q(\blk00000003/sig0000045a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000931 ), + .Q(\blk00000003/sig0000045b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000930 ), + .Q(\blk00000003/sig0000045c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig0000092f ), + .Q(\blk00000003/sig0000045d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig0000092e ), + .Q(\blk00000003/sig0000045e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig0000092d ), + .Q(\blk00000003/sig0000045f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig0000092c ), + .Q(\blk00000003/sig00000460 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig0000092b ), + .Q(\blk00000003/sig00000461 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig0000092a ), + .Q(\blk00000003/sig00000462 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000929 ), + .Q(\blk00000003/sig00000463 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000928 ), + .Q(\blk00000003/sig00000464 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000927 ), + .Q(\blk00000003/sig00000465 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000926 ), + .Q(\blk00000003/sig00000466 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000925 ), + .Q(\blk00000003/sig00000467 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b0/blk000001b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b0/sig00000924 ), + .Q(\blk00000003/sig00000468 ) + ); + GND \blk00000003/blk000001b0/blk000001b1 ( + .G(\blk00000003/blk000001b0/sig00000923 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000001e3/blk00000215 ( + .I0(ce), + .I1(\blk00000003/sig000004e5 ), + .O(\blk00000003/blk000001e3/sig0000098b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000214 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002d8 ), + .Q(\blk00000003/blk000001e3/sig00000989 ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000214_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000213 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002d9 ), + .Q(\blk00000003/blk000001e3/sig00000988 ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000213_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000212 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002d7 ), + .Q(\blk00000003/blk000001e3/sig0000098a ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000212_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000211 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002db ), + .Q(\blk00000003/blk000001e3/sig00000986 ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000211_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000210 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002dc ), + .Q(\blk00000003/blk000001e3/sig00000985 ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000210_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk0000020f ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002da ), + .Q(\blk00000003/blk000001e3/sig00000987 ), + .Q15(\NLW_blk00000003/blk000001e3/blk0000020f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk0000020e ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002de ), + .Q(\blk00000003/blk000001e3/sig00000983 ), + .Q15(\NLW_blk00000003/blk000001e3/blk0000020e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk0000020d ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002df ), + .Q(\blk00000003/blk000001e3/sig00000982 ), + .Q15(\NLW_blk00000003/blk000001e3/blk0000020d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk0000020c ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002dd ), + .Q(\blk00000003/blk000001e3/sig00000984 ), + .Q15(\NLW_blk00000003/blk000001e3/blk0000020c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk0000020b ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002e1 ), + .Q(\blk00000003/blk000001e3/sig00000980 ), + .Q15(\NLW_blk00000003/blk000001e3/blk0000020b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk0000020a ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002e2 ), + .Q(\blk00000003/blk000001e3/sig0000097f ), + .Q15(\NLW_blk00000003/blk000001e3/blk0000020a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000209 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002e0 ), + .Q(\blk00000003/blk000001e3/sig00000981 ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000209_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000208 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002e4 ), + .Q(\blk00000003/blk000001e3/sig0000097d ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000208_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000207 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002e5 ), + .Q(\blk00000003/blk000001e3/sig0000097c ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000207_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000206 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002e3 ), + .Q(\blk00000003/blk000001e3/sig0000097e ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000206_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000205 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002e7 ), + .Q(\blk00000003/blk000001e3/sig0000097a ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000205_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000204 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002e8 ), + .Q(\blk00000003/blk000001e3/sig00000979 ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000204_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000203 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002e6 ), + .Q(\blk00000003/blk000001e3/sig0000097b ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000203_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000202 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002ea ), + .Q(\blk00000003/blk000001e3/sig00000977 ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000202_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000201 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002eb ), + .Q(\blk00000003/blk000001e3/sig00000976 ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000201_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk00000200 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002e9 ), + .Q(\blk00000003/blk000001e3/sig00000978 ), + .Q15(\NLW_blk00000003/blk000001e3/blk00000200_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk000001ff ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002ed ), + .Q(\blk00000003/blk000001e3/sig00000974 ), + .Q15(\NLW_blk00000003/blk000001e3/blk000001ff_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk000001fe ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002ee ), + .Q(\blk00000003/blk000001e3/sig00000973 ), + .Q15(\NLW_blk00000003/blk000001e3/blk000001fe_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e3/blk000001fd ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk000001e3/sig00000972 ), + .A3(\blk00000003/blk000001e3/sig00000972 ), + .CE(\blk00000003/blk000001e3/sig0000098b ), + .CLK(clk), + .D(\blk00000003/sig000002ec ), + .Q(\blk00000003/blk000001e3/sig00000975 ), + .Q15(\NLW_blk00000003/blk000001e3/blk000001fd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig0000098a ), + .Q(\blk00000003/sig0000047b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000989 ), + .Q(\blk00000003/sig0000047c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000988 ), + .Q(\blk00000003/sig0000047d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000987 ), + .Q(\blk00000003/sig0000047e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000986 ), + .Q(\blk00000003/sig0000047f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000985 ), + .Q(\blk00000003/sig00000480 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000984 ), + .Q(\blk00000003/sig00000481 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000983 ), + .Q(\blk00000003/sig00000482 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000982 ), + .Q(\blk00000003/sig00000483 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000981 ), + .Q(\blk00000003/sig00000484 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000980 ), + .Q(\blk00000003/sig00000485 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig0000097f ), + .Q(\blk00000003/sig00000486 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig0000097e ), + .Q(\blk00000003/sig00000487 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig0000097d ), + .Q(\blk00000003/sig00000488 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig0000097c ), + .Q(\blk00000003/sig00000489 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig0000097b ), + .Q(\blk00000003/sig0000048a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig0000097a ), + .Q(\blk00000003/sig0000048b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000979 ), + .Q(\blk00000003/sig0000048c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000978 ), + .Q(\blk00000003/sig0000048d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000977 ), + .Q(\blk00000003/sig0000048e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000976 ), + .Q(\blk00000003/sig0000048f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000975 ), + .Q(\blk00000003/sig00000490 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000974 ), + .Q(\blk00000003/sig00000491 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e3/blk000001e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e3/sig00000973 ), + .Q(\blk00000003/sig00000492 ) + ); + GND \blk00000003/blk000001e3/blk000001e4 ( + .G(\blk00000003/blk000001e3/sig00000972 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000216/blk00000248 ( + .I0(ce), + .I1(\blk00000003/sig000004e3 ), + .O(\blk00000003/blk00000216/sig000009da ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000247 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig00000528 ), + .Q(\blk00000003/blk00000216/sig000009d8 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000247_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000246 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig00000529 ), + .Q(\blk00000003/blk00000216/sig000009d7 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000246_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000245 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig00000527 ), + .Q(\blk00000003/blk00000216/sig000009d9 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000245_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000244 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig0000052b ), + .Q(\blk00000003/blk00000216/sig000009d5 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000244_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000243 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig0000052c ), + .Q(\blk00000003/blk00000216/sig000009d4 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000243_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000242 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig0000052a ), + .Q(\blk00000003/blk00000216/sig000009d6 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000242_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000241 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig0000052e ), + .Q(\blk00000003/blk00000216/sig000009d2 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000241_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000240 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig0000052f ), + .Q(\blk00000003/blk00000216/sig000009d1 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000240_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk0000023f ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig0000052d ), + .Q(\blk00000003/blk00000216/sig000009d3 ), + .Q15(\NLW_blk00000003/blk00000216/blk0000023f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk0000023e ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig00000531 ), + .Q(\blk00000003/blk00000216/sig000009cf ), + .Q15(\NLW_blk00000003/blk00000216/blk0000023e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk0000023d ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig00000532 ), + .Q(\blk00000003/blk00000216/sig000009ce ), + .Q15(\NLW_blk00000003/blk00000216/blk0000023d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk0000023c ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig00000530 ), + .Q(\blk00000003/blk00000216/sig000009d0 ), + .Q15(\NLW_blk00000003/blk00000216/blk0000023c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk0000023b ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig00000534 ), + .Q(\blk00000003/blk00000216/sig000009cc ), + .Q15(\NLW_blk00000003/blk00000216/blk0000023b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk0000023a ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig00000535 ), + .Q(\blk00000003/blk00000216/sig000009cb ), + .Q15(\NLW_blk00000003/blk00000216/blk0000023a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000239 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig00000533 ), + .Q(\blk00000003/blk00000216/sig000009cd ), + .Q15(\NLW_blk00000003/blk00000216/blk00000239_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000238 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig00000537 ), + .Q(\blk00000003/blk00000216/sig000009c9 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000238_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000237 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig00000538 ), + .Q(\blk00000003/blk00000216/sig000009c8 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000237_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000236 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig00000536 ), + .Q(\blk00000003/blk00000216/sig000009ca ), + .Q15(\NLW_blk00000003/blk00000216/blk00000236_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000235 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig0000053a ), + .Q(\blk00000003/blk00000216/sig000009c6 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000235_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000234 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig0000053b ), + .Q(\blk00000003/blk00000216/sig000009c5 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000234_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000233 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig00000539 ), + .Q(\blk00000003/blk00000216/sig000009c7 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000233_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000232 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig0000053d ), + .Q(\blk00000003/blk00000216/sig000009c3 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000232_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000231 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig0000053e ), + .Q(\blk00000003/blk00000216/sig000009c2 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000231_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000216/blk00000230 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk00000216/sig000009c1 ), + .A3(\blk00000003/blk00000216/sig000009c1 ), + .CE(\blk00000003/blk00000216/sig000009da ), + .CLK(clk), + .D(\blk00000003/sig0000053c ), + .Q(\blk00000003/blk00000216/sig000009c4 ), + .Q15(\NLW_blk00000003/blk00000216/blk00000230_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk0000022f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009d9 ), + .Q(\blk00000003/sig00000493 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk0000022e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009d8 ), + .Q(\blk00000003/sig00000494 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk0000022d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009d7 ), + .Q(\blk00000003/sig00000495 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk0000022c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009d6 ), + .Q(\blk00000003/sig00000496 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk0000022b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009d5 ), + .Q(\blk00000003/sig00000497 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk0000022a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009d4 ), + .Q(\blk00000003/sig00000498 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk00000229 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009d3 ), + .Q(\blk00000003/sig00000499 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk00000228 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009d2 ), + .Q(\blk00000003/sig0000049a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk00000227 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009d1 ), + .Q(\blk00000003/sig0000049b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk00000226 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009d0 ), + .Q(\blk00000003/sig0000049c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk00000225 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009cf ), + .Q(\blk00000003/sig0000049d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk00000224 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009ce ), + .Q(\blk00000003/sig0000049e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk00000223 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009cd ), + .Q(\blk00000003/sig0000049f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk00000222 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009cc ), + .Q(\blk00000003/sig000004a0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk00000221 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009cb ), + .Q(\blk00000003/sig000004a1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk00000220 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009ca ), + .Q(\blk00000003/sig000004a2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk0000021f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009c9 ), + .Q(\blk00000003/sig000004a3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk0000021e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009c8 ), + .Q(\blk00000003/sig000004a4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk0000021d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009c7 ), + .Q(\blk00000003/sig000004a5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk0000021c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009c6 ), + .Q(\blk00000003/sig000004a6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk0000021b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009c5 ), + .Q(\blk00000003/sig000004a7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk0000021a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009c4 ), + .Q(\blk00000003/sig000004a8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk00000219 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009c3 ), + .Q(\blk00000003/sig000004a9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000216/blk00000218 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000216/sig000009c2 ), + .Q(\blk00000003/sig000004aa ) + ); + GND \blk00000003/blk00000216/blk00000217 ( + .G(\blk00000003/blk00000216/sig000009c1 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000249/blk0000027b ( + .I0(ce), + .I1(\blk00000003/sig000004e5 ), + .O(\blk00000003/blk00000249/sig00000a29 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk0000027a ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig00000338 ), + .Q(\blk00000003/blk00000249/sig00000a27 ), + .Q15(\NLW_blk00000003/blk00000249/blk0000027a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000279 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig00000339 ), + .Q(\blk00000003/blk00000249/sig00000a26 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000279_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000278 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig00000337 ), + .Q(\blk00000003/blk00000249/sig00000a28 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000278_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000277 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig0000033b ), + .Q(\blk00000003/blk00000249/sig00000a24 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000277_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000276 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig0000033c ), + .Q(\blk00000003/blk00000249/sig00000a23 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000276_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000275 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig0000033a ), + .Q(\blk00000003/blk00000249/sig00000a25 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000275_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000274 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig0000033e ), + .Q(\blk00000003/blk00000249/sig00000a21 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000274_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000273 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig0000033f ), + .Q(\blk00000003/blk00000249/sig00000a20 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000273_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000272 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig0000033d ), + .Q(\blk00000003/blk00000249/sig00000a22 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000272_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000271 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig00000341 ), + .Q(\blk00000003/blk00000249/sig00000a1e ), + .Q15(\NLW_blk00000003/blk00000249/blk00000271_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000270 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig00000342 ), + .Q(\blk00000003/blk00000249/sig00000a1d ), + .Q15(\NLW_blk00000003/blk00000249/blk00000270_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk0000026f ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig00000340 ), + .Q(\blk00000003/blk00000249/sig00000a1f ), + .Q15(\NLW_blk00000003/blk00000249/blk0000026f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk0000026e ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig00000344 ), + .Q(\blk00000003/blk00000249/sig00000a1b ), + .Q15(\NLW_blk00000003/blk00000249/blk0000026e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk0000026d ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig00000345 ), + .Q(\blk00000003/blk00000249/sig00000a1a ), + .Q15(\NLW_blk00000003/blk00000249/blk0000026d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk0000026c ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig00000343 ), + .Q(\blk00000003/blk00000249/sig00000a1c ), + .Q15(\NLW_blk00000003/blk00000249/blk0000026c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk0000026b ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig00000347 ), + .Q(\blk00000003/blk00000249/sig00000a18 ), + .Q15(\NLW_blk00000003/blk00000249/blk0000026b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk0000026a ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig00000348 ), + .Q(\blk00000003/blk00000249/sig00000a17 ), + .Q15(\NLW_blk00000003/blk00000249/blk0000026a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000269 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig00000346 ), + .Q(\blk00000003/blk00000249/sig00000a19 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000269_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000268 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig0000034a ), + .Q(\blk00000003/blk00000249/sig00000a15 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000268_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000267 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig0000034b ), + .Q(\blk00000003/blk00000249/sig00000a14 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000267_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000266 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig00000349 ), + .Q(\blk00000003/blk00000249/sig00000a16 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000266_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000265 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig0000034d ), + .Q(\blk00000003/blk00000249/sig00000a12 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000265_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000264 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig0000034e ), + .Q(\blk00000003/blk00000249/sig00000a11 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000264_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000249/blk00000263 ( + .A0(\blk00000003/sig000004f3 ), + .A1(\blk00000003/sig000004f1 ), + .A2(\blk00000003/blk00000249/sig00000a10 ), + .A3(\blk00000003/blk00000249/sig00000a10 ), + .CE(\blk00000003/blk00000249/sig00000a29 ), + .CLK(clk), + .D(\blk00000003/sig0000034c ), + .Q(\blk00000003/blk00000249/sig00000a13 ), + .Q15(\NLW_blk00000003/blk00000249/blk00000263_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk00000262 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a28 ), + .Q(\blk00000003/sig000004ab ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk00000261 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a27 ), + .Q(\blk00000003/sig000004ac ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk00000260 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a26 ), + .Q(\blk00000003/sig000004ad ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk0000025f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a25 ), + .Q(\blk00000003/sig000004ae ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk0000025e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a24 ), + .Q(\blk00000003/sig000004af ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk0000025d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a23 ), + .Q(\blk00000003/sig000004b0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk0000025c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a22 ), + .Q(\blk00000003/sig000004b1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk0000025b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a21 ), + .Q(\blk00000003/sig000004b2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk0000025a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a20 ), + .Q(\blk00000003/sig000004b3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk00000259 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a1f ), + .Q(\blk00000003/sig000004b4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk00000258 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a1e ), + .Q(\blk00000003/sig000004b5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk00000257 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a1d ), + .Q(\blk00000003/sig000004b6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk00000256 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a1c ), + .Q(\blk00000003/sig000004b7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk00000255 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a1b ), + .Q(\blk00000003/sig000004b8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk00000254 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a1a ), + .Q(\blk00000003/sig000004b9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk00000253 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a19 ), + .Q(\blk00000003/sig000004ba ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk00000252 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a18 ), + .Q(\blk00000003/sig000004bb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk00000251 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a17 ), + .Q(\blk00000003/sig000004bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk00000250 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a16 ), + .Q(\blk00000003/sig000004bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk0000024f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a15 ), + .Q(\blk00000003/sig000004be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk0000024e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a14 ), + .Q(\blk00000003/sig000004bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk0000024d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a13 ), + .Q(\blk00000003/sig000004c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk0000024c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a12 ), + .Q(\blk00000003/sig000004c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000249/blk0000024b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000249/sig00000a11 ), + .Q(\blk00000003/sig000004c2 ) + ); + GND \blk00000003/blk00000249/blk0000024a ( + .G(\blk00000003/blk00000249/sig00000a10 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000027c/blk000002ae ( + .I0(ce), + .I1(\blk00000003/sig000004e3 ), + .O(\blk00000003/blk0000027c/sig00000a78 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk000002ad ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000540 ), + .Q(\blk00000003/blk0000027c/sig00000a76 ), + .Q15(\NLW_blk00000003/blk0000027c/blk000002ad_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk000002ac ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000541 ), + .Q(\blk00000003/blk0000027c/sig00000a75 ), + .Q15(\NLW_blk00000003/blk0000027c/blk000002ac_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk000002ab ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig0000053f ), + .Q(\blk00000003/blk0000027c/sig00000a77 ), + .Q15(\NLW_blk00000003/blk0000027c/blk000002ab_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk000002aa ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000543 ), + .Q(\blk00000003/blk0000027c/sig00000a73 ), + .Q15(\NLW_blk00000003/blk0000027c/blk000002aa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk000002a9 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000544 ), + .Q(\blk00000003/blk0000027c/sig00000a72 ), + .Q15(\NLW_blk00000003/blk0000027c/blk000002a9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk000002a8 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000542 ), + .Q(\blk00000003/blk0000027c/sig00000a74 ), + .Q15(\NLW_blk00000003/blk0000027c/blk000002a8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk000002a7 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000546 ), + .Q(\blk00000003/blk0000027c/sig00000a70 ), + .Q15(\NLW_blk00000003/blk0000027c/blk000002a7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk000002a6 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000547 ), + .Q(\blk00000003/blk0000027c/sig00000a6f ), + .Q15(\NLW_blk00000003/blk0000027c/blk000002a6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk000002a5 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000545 ), + .Q(\blk00000003/blk0000027c/sig00000a71 ), + .Q15(\NLW_blk00000003/blk0000027c/blk000002a5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk000002a4 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000549 ), + .Q(\blk00000003/blk0000027c/sig00000a6d ), + .Q15(\NLW_blk00000003/blk0000027c/blk000002a4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk000002a3 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig0000054a ), + .Q(\blk00000003/blk0000027c/sig00000a6c ), + .Q15(\NLW_blk00000003/blk0000027c/blk000002a3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk000002a2 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000548 ), + .Q(\blk00000003/blk0000027c/sig00000a6e ), + .Q15(\NLW_blk00000003/blk0000027c/blk000002a2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk000002a1 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig0000054c ), + .Q(\blk00000003/blk0000027c/sig00000a6a ), + .Q15(\NLW_blk00000003/blk0000027c/blk000002a1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk000002a0 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig0000054d ), + .Q(\blk00000003/blk0000027c/sig00000a69 ), + .Q15(\NLW_blk00000003/blk0000027c/blk000002a0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk0000029f ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig0000054b ), + .Q(\blk00000003/blk0000027c/sig00000a6b ), + .Q15(\NLW_blk00000003/blk0000027c/blk0000029f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk0000029e ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig0000054f ), + .Q(\blk00000003/blk0000027c/sig00000a67 ), + .Q15(\NLW_blk00000003/blk0000027c/blk0000029e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk0000029d ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000550 ), + .Q(\blk00000003/blk0000027c/sig00000a66 ), + .Q15(\NLW_blk00000003/blk0000027c/blk0000029d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk0000029c ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig0000054e ), + .Q(\blk00000003/blk0000027c/sig00000a68 ), + .Q15(\NLW_blk00000003/blk0000027c/blk0000029c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk0000029b ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000552 ), + .Q(\blk00000003/blk0000027c/sig00000a64 ), + .Q15(\NLW_blk00000003/blk0000027c/blk0000029b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk0000029a ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000553 ), + .Q(\blk00000003/blk0000027c/sig00000a63 ), + .Q15(\NLW_blk00000003/blk0000027c/blk0000029a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk00000299 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000551 ), + .Q(\blk00000003/blk0000027c/sig00000a65 ), + .Q15(\NLW_blk00000003/blk0000027c/blk00000299_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk00000298 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000555 ), + .Q(\blk00000003/blk0000027c/sig00000a61 ), + .Q15(\NLW_blk00000003/blk0000027c/blk00000298_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk00000297 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000556 ), + .Q(\blk00000003/blk0000027c/sig00000a60 ), + .Q15(\NLW_blk00000003/blk0000027c/blk00000297_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027c/blk00000296 ( + .A0(\blk00000003/sig000004ef ), + .A1(\blk00000003/sig000004ed ), + .A2(\blk00000003/blk0000027c/sig00000a5f ), + .A3(\blk00000003/blk0000027c/sig00000a5f ), + .CE(\blk00000003/blk0000027c/sig00000a78 ), + .CLK(clk), + .D(\blk00000003/sig00000554 ), + .Q(\blk00000003/blk0000027c/sig00000a62 ), + .Q15(\NLW_blk00000003/blk0000027c/blk00000296_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000295 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a77 ), + .Q(\blk00000003/sig000004c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000294 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a76 ), + .Q(\blk00000003/sig000004c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000293 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a75 ), + .Q(\blk00000003/sig000004c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000292 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a74 ), + .Q(\blk00000003/sig000004c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000291 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a73 ), + .Q(\blk00000003/sig000004c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000290 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a72 ), + .Q(\blk00000003/sig000004c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk0000028f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a71 ), + .Q(\blk00000003/sig000004c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk0000028e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a70 ), + .Q(\blk00000003/sig000004ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk0000028d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a6f ), + .Q(\blk00000003/sig000004cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk0000028c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a6e ), + .Q(\blk00000003/sig000004cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk0000028b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a6d ), + .Q(\blk00000003/sig000004cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk0000028a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a6c ), + .Q(\blk00000003/sig000004ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000289 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a6b ), + .Q(\blk00000003/sig000004cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000288 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a6a ), + .Q(\blk00000003/sig000004d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000287 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a69 ), + .Q(\blk00000003/sig000004d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000286 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a68 ), + .Q(\blk00000003/sig000004d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000285 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a67 ), + .Q(\blk00000003/sig000004d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000284 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a66 ), + .Q(\blk00000003/sig000004d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000283 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a65 ), + .Q(\blk00000003/sig000004d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000282 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a64 ), + .Q(\blk00000003/sig000004d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000281 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a63 ), + .Q(\blk00000003/sig000004d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk00000280 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a62 ), + .Q(\blk00000003/sig000004d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk0000027f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a61 ), + .Q(\blk00000003/sig000004d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027c/blk0000027e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027c/sig00000a60 ), + .Q(\blk00000003/sig000004da ) + ); + GND \blk00000003/blk0000027c/blk0000027d ( + .G(\blk00000003/blk0000027c/sig00000a5f ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000002af/blk000002e1 ( + .I0(ce), + .I1(\blk00000003/sig000004f6 ), + .O(\blk00000003/blk000002af/sig00000ac7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002e0 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig00000558 ), + .Q(\blk00000003/blk000002af/sig00000ac5 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002e0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002df ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig00000559 ), + .Q(\blk00000003/blk000002af/sig00000ac4 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002df_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002de ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig00000557 ), + .Q(\blk00000003/blk000002af/sig00000ac6 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002de_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002dd ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig0000055b ), + .Q(\blk00000003/blk000002af/sig00000ac2 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002dd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002dc ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig0000055c ), + .Q(\blk00000003/blk000002af/sig00000ac1 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002dc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002db ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig0000055a ), + .Q(\blk00000003/blk000002af/sig00000ac3 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002db_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002da ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig0000055e ), + .Q(\blk00000003/blk000002af/sig00000abf ), + .Q15(\NLW_blk00000003/blk000002af/blk000002da_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002d9 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig0000055f ), + .Q(\blk00000003/blk000002af/sig00000abe ), + .Q15(\NLW_blk00000003/blk000002af/blk000002d9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002d8 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig0000055d ), + .Q(\blk00000003/blk000002af/sig00000ac0 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002d8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002d7 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig00000561 ), + .Q(\blk00000003/blk000002af/sig00000abc ), + .Q15(\NLW_blk00000003/blk000002af/blk000002d7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002d6 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig00000562 ), + .Q(\blk00000003/blk000002af/sig00000abb ), + .Q15(\NLW_blk00000003/blk000002af/blk000002d6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002d5 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig00000560 ), + .Q(\blk00000003/blk000002af/sig00000abd ), + .Q15(\NLW_blk00000003/blk000002af/blk000002d5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002d4 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig00000564 ), + .Q(\blk00000003/blk000002af/sig00000ab9 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002d4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002d3 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig00000565 ), + .Q(\blk00000003/blk000002af/sig00000ab8 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002d3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002d2 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig00000563 ), + .Q(\blk00000003/blk000002af/sig00000aba ), + .Q15(\NLW_blk00000003/blk000002af/blk000002d2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002d1 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig00000567 ), + .Q(\blk00000003/blk000002af/sig00000ab6 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002d1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002d0 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig00000568 ), + .Q(\blk00000003/blk000002af/sig00000ab5 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002d0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002cf ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig00000566 ), + .Q(\blk00000003/blk000002af/sig00000ab7 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002cf_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002ce ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig0000056a ), + .Q(\blk00000003/blk000002af/sig00000ab3 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002ce_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002cd ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig0000056b ), + .Q(\blk00000003/blk000002af/sig00000ab2 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002cd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002cc ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig00000569 ), + .Q(\blk00000003/blk000002af/sig00000ab4 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002cc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002cb ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig0000056d ), + .Q(\blk00000003/blk000002af/sig00000ab0 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002cb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002ca ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig0000056e ), + .Q(\blk00000003/blk000002af/sig00000aaf ), + .Q15(\NLW_blk00000003/blk000002af/blk000002ca_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002af/blk000002c9 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk000002af/sig00000aae ), + .A3(\blk00000003/blk000002af/sig00000aae ), + .CE(\blk00000003/blk000002af/sig00000ac7 ), + .CLK(clk), + .D(\blk00000003/sig0000056c ), + .Q(\blk00000003/blk000002af/sig00000ab1 ), + .Q15(\NLW_blk00000003/blk000002af/blk000002c9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ac6 ), + .Q(\blk00000003/sig000002d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ac5 ), + .Q(\blk00000003/sig000002d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ac4 ), + .Q(\blk00000003/sig000002d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ac3 ), + .Q(\blk00000003/sig000002da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ac2 ), + .Q(\blk00000003/sig000002db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ac1 ), + .Q(\blk00000003/sig000002dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ac0 ), + .Q(\blk00000003/sig000002dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000abf ), + .Q(\blk00000003/sig000002de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000abe ), + .Q(\blk00000003/sig000002df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000abd ), + .Q(\blk00000003/sig000002e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000abc ), + .Q(\blk00000003/sig000002e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000abb ), + .Q(\blk00000003/sig000002e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000aba ), + .Q(\blk00000003/sig000002e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ab9 ), + .Q(\blk00000003/sig000002e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ab8 ), + .Q(\blk00000003/sig000002e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ab7 ), + .Q(\blk00000003/sig000002e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ab6 ), + .Q(\blk00000003/sig000002e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ab5 ), + .Q(\blk00000003/sig000002e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ab4 ), + .Q(\blk00000003/sig000002e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ab3 ), + .Q(\blk00000003/sig000002ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ab2 ), + .Q(\blk00000003/sig000002eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ab1 ), + .Q(\blk00000003/sig000002ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000ab0 ), + .Q(\blk00000003/sig000002ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002af/blk000002b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002af/sig00000aaf ), + .Q(\blk00000003/sig000002ee ) + ); + GND \blk00000003/blk000002af/blk000002b0 ( + .G(\blk00000003/blk000002af/sig00000aae ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000002e2/blk00000314 ( + .I0(ce), + .I1(\blk00000003/sig000004f5 ), + .O(\blk00000003/blk000002e2/sig00000b16 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk00000313 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000570 ), + .Q(\blk00000003/blk000002e2/sig00000b14 ), + .Q15(\NLW_blk00000003/blk000002e2/blk00000313_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk00000312 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000571 ), + .Q(\blk00000003/blk000002e2/sig00000b13 ), + .Q15(\NLW_blk00000003/blk000002e2/blk00000312_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk00000311 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig0000056f ), + .Q(\blk00000003/blk000002e2/sig00000b15 ), + .Q15(\NLW_blk00000003/blk000002e2/blk00000311_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk00000310 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000573 ), + .Q(\blk00000003/blk000002e2/sig00000b11 ), + .Q15(\NLW_blk00000003/blk000002e2/blk00000310_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk0000030f ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000574 ), + .Q(\blk00000003/blk000002e2/sig00000b10 ), + .Q15(\NLW_blk00000003/blk000002e2/blk0000030f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk0000030e ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000572 ), + .Q(\blk00000003/blk000002e2/sig00000b12 ), + .Q15(\NLW_blk00000003/blk000002e2/blk0000030e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk0000030d ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000576 ), + .Q(\blk00000003/blk000002e2/sig00000b0e ), + .Q15(\NLW_blk00000003/blk000002e2/blk0000030d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk0000030c ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000577 ), + .Q(\blk00000003/blk000002e2/sig00000b0d ), + .Q15(\NLW_blk00000003/blk000002e2/blk0000030c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk0000030b ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000575 ), + .Q(\blk00000003/blk000002e2/sig00000b0f ), + .Q15(\NLW_blk00000003/blk000002e2/blk0000030b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk0000030a ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000579 ), + .Q(\blk00000003/blk000002e2/sig00000b0b ), + .Q15(\NLW_blk00000003/blk000002e2/blk0000030a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk00000309 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig0000057a ), + .Q(\blk00000003/blk000002e2/sig00000b0a ), + .Q15(\NLW_blk00000003/blk000002e2/blk00000309_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk00000308 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000578 ), + .Q(\blk00000003/blk000002e2/sig00000b0c ), + .Q15(\NLW_blk00000003/blk000002e2/blk00000308_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk00000307 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig0000057c ), + .Q(\blk00000003/blk000002e2/sig00000b08 ), + .Q15(\NLW_blk00000003/blk000002e2/blk00000307_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk00000306 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig0000057d ), + .Q(\blk00000003/blk000002e2/sig00000b07 ), + .Q15(\NLW_blk00000003/blk000002e2/blk00000306_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk00000305 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig0000057b ), + .Q(\blk00000003/blk000002e2/sig00000b09 ), + .Q15(\NLW_blk00000003/blk000002e2/blk00000305_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk00000304 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig0000057f ), + .Q(\blk00000003/blk000002e2/sig00000b05 ), + .Q15(\NLW_blk00000003/blk000002e2/blk00000304_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk00000303 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000580 ), + .Q(\blk00000003/blk000002e2/sig00000b04 ), + .Q15(\NLW_blk00000003/blk000002e2/blk00000303_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk00000302 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig0000057e ), + .Q(\blk00000003/blk000002e2/sig00000b06 ), + .Q15(\NLW_blk00000003/blk000002e2/blk00000302_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk00000301 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000582 ), + .Q(\blk00000003/blk000002e2/sig00000b02 ), + .Q15(\NLW_blk00000003/blk000002e2/blk00000301_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk00000300 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000583 ), + .Q(\blk00000003/blk000002e2/sig00000b01 ), + .Q15(\NLW_blk00000003/blk000002e2/blk00000300_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk000002ff ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000581 ), + .Q(\blk00000003/blk000002e2/sig00000b03 ), + .Q15(\NLW_blk00000003/blk000002e2/blk000002ff_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk000002fe ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000585 ), + .Q(\blk00000003/blk000002e2/sig00000aff ), + .Q15(\NLW_blk00000003/blk000002e2/blk000002fe_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk000002fd ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000586 ), + .Q(\blk00000003/blk000002e2/sig00000afe ), + .Q15(\NLW_blk00000003/blk000002e2/blk000002fd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e2/blk000002fc ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk000002e2/sig00000afd ), + .A3(\blk00000003/blk000002e2/sig00000afd ), + .CE(\blk00000003/blk000002e2/sig00000b16 ), + .CLK(clk), + .D(\blk00000003/sig00000584 ), + .Q(\blk00000003/blk000002e2/sig00000b00 ), + .Q15(\NLW_blk00000003/blk000002e2/blk000002fc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b15 ), + .Q(\blk00000003/sig000002ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b14 ), + .Q(\blk00000003/sig000002f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b13 ), + .Q(\blk00000003/sig000002f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b12 ), + .Q(\blk00000003/sig000002f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b11 ), + .Q(\blk00000003/sig000002f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b10 ), + .Q(\blk00000003/sig000002f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b0f ), + .Q(\blk00000003/sig000002f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b0e ), + .Q(\blk00000003/sig000002f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b0d ), + .Q(\blk00000003/sig000002f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b0c ), + .Q(\blk00000003/sig000002f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b0b ), + .Q(\blk00000003/sig000002f9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b0a ), + .Q(\blk00000003/sig000002fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b09 ), + .Q(\blk00000003/sig000002fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b08 ), + .Q(\blk00000003/sig000002fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b07 ), + .Q(\blk00000003/sig000002fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b06 ), + .Q(\blk00000003/sig000002fe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b05 ), + .Q(\blk00000003/sig000002ff ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b04 ), + .Q(\blk00000003/sig00000300 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b03 ), + .Q(\blk00000003/sig00000301 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b02 ), + .Q(\blk00000003/sig00000302 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b01 ), + .Q(\blk00000003/sig00000303 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000b00 ), + .Q(\blk00000003/sig00000304 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000aff ), + .Q(\blk00000003/sig00000305 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e2/blk000002e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e2/sig00000afe ), + .Q(\blk00000003/sig00000306 ) + ); + GND \blk00000003/blk000002e2/blk000002e3 ( + .G(\blk00000003/blk000002e2/sig00000afd ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000315/blk00000347 ( + .I0(ce), + .I1(\blk00000003/sig000004f6 ), + .O(\blk00000003/blk00000315/sig00000b65 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000346 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig00000588 ), + .Q(\blk00000003/blk00000315/sig00000b63 ), + .Q15(\NLW_blk00000003/blk00000315/blk00000346_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000345 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig00000589 ), + .Q(\blk00000003/blk00000315/sig00000b62 ), + .Q15(\NLW_blk00000003/blk00000315/blk00000345_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000344 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig00000587 ), + .Q(\blk00000003/blk00000315/sig00000b64 ), + .Q15(\NLW_blk00000003/blk00000315/blk00000344_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000343 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig0000058b ), + .Q(\blk00000003/blk00000315/sig00000b60 ), + .Q15(\NLW_blk00000003/blk00000315/blk00000343_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000342 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig0000058c ), + .Q(\blk00000003/blk00000315/sig00000b5f ), + .Q15(\NLW_blk00000003/blk00000315/blk00000342_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000341 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig0000058a ), + .Q(\blk00000003/blk00000315/sig00000b61 ), + .Q15(\NLW_blk00000003/blk00000315/blk00000341_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000340 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig0000058e ), + .Q(\blk00000003/blk00000315/sig00000b5d ), + .Q15(\NLW_blk00000003/blk00000315/blk00000340_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk0000033f ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig0000058f ), + .Q(\blk00000003/blk00000315/sig00000b5c ), + .Q15(\NLW_blk00000003/blk00000315/blk0000033f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk0000033e ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig0000058d ), + .Q(\blk00000003/blk00000315/sig00000b5e ), + .Q15(\NLW_blk00000003/blk00000315/blk0000033e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk0000033d ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig00000591 ), + .Q(\blk00000003/blk00000315/sig00000b5a ), + .Q15(\NLW_blk00000003/blk00000315/blk0000033d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk0000033c ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig00000592 ), + .Q(\blk00000003/blk00000315/sig00000b59 ), + .Q15(\NLW_blk00000003/blk00000315/blk0000033c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk0000033b ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig00000590 ), + .Q(\blk00000003/blk00000315/sig00000b5b ), + .Q15(\NLW_blk00000003/blk00000315/blk0000033b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk0000033a ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig00000594 ), + .Q(\blk00000003/blk00000315/sig00000b57 ), + .Q15(\NLW_blk00000003/blk00000315/blk0000033a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000339 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig00000595 ), + .Q(\blk00000003/blk00000315/sig00000b56 ), + .Q15(\NLW_blk00000003/blk00000315/blk00000339_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000338 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig00000593 ), + .Q(\blk00000003/blk00000315/sig00000b58 ), + .Q15(\NLW_blk00000003/blk00000315/blk00000338_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000337 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig00000597 ), + .Q(\blk00000003/blk00000315/sig00000b54 ), + .Q15(\NLW_blk00000003/blk00000315/blk00000337_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000336 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig00000598 ), + .Q(\blk00000003/blk00000315/sig00000b53 ), + .Q15(\NLW_blk00000003/blk00000315/blk00000336_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000335 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig00000596 ), + .Q(\blk00000003/blk00000315/sig00000b55 ), + .Q15(\NLW_blk00000003/blk00000315/blk00000335_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000334 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig0000059a ), + .Q(\blk00000003/blk00000315/sig00000b51 ), + .Q15(\NLW_blk00000003/blk00000315/blk00000334_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000333 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig0000059b ), + .Q(\blk00000003/blk00000315/sig00000b50 ), + .Q15(\NLW_blk00000003/blk00000315/blk00000333_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000332 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig00000599 ), + .Q(\blk00000003/blk00000315/sig00000b52 ), + .Q15(\NLW_blk00000003/blk00000315/blk00000332_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000331 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig0000059d ), + .Q(\blk00000003/blk00000315/sig00000b4e ), + .Q15(\NLW_blk00000003/blk00000315/blk00000331_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk00000330 ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig0000059e ), + .Q(\blk00000003/blk00000315/sig00000b4d ), + .Q15(\NLW_blk00000003/blk00000315/blk00000330_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000315/blk0000032f ( + .A0(\blk00000003/sig000002b1 ), + .A1(\blk00000003/sig000002b0 ), + .A2(\blk00000003/blk00000315/sig00000b4c ), + .A3(\blk00000003/blk00000315/sig00000b4c ), + .CE(\blk00000003/blk00000315/sig00000b65 ), + .CLK(clk), + .D(\blk00000003/sig0000059c ), + .Q(\blk00000003/blk00000315/sig00000b4f ), + .Q15(\NLW_blk00000003/blk00000315/blk0000032f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk0000032e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b64 ), + .Q(\blk00000003/sig00000337 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk0000032d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b63 ), + .Q(\blk00000003/sig00000338 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk0000032c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b62 ), + .Q(\blk00000003/sig00000339 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk0000032b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b61 ), + .Q(\blk00000003/sig0000033a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk0000032a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b60 ), + .Q(\blk00000003/sig0000033b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk00000329 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b5f ), + .Q(\blk00000003/sig0000033c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk00000328 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b5e ), + .Q(\blk00000003/sig0000033d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk00000327 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b5d ), + .Q(\blk00000003/sig0000033e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk00000326 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b5c ), + .Q(\blk00000003/sig0000033f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk00000325 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b5b ), + .Q(\blk00000003/sig00000340 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk00000324 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b5a ), + .Q(\blk00000003/sig00000341 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk00000323 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b59 ), + .Q(\blk00000003/sig00000342 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk00000322 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b58 ), + .Q(\blk00000003/sig00000343 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk00000321 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b57 ), + .Q(\blk00000003/sig00000344 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk00000320 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b56 ), + .Q(\blk00000003/sig00000345 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk0000031f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b55 ), + .Q(\blk00000003/sig00000346 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk0000031e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b54 ), + .Q(\blk00000003/sig00000347 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk0000031d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b53 ), + .Q(\blk00000003/sig00000348 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk0000031c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b52 ), + .Q(\blk00000003/sig00000349 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk0000031b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b51 ), + .Q(\blk00000003/sig0000034a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk0000031a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b50 ), + .Q(\blk00000003/sig0000034b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk00000319 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b4f ), + .Q(\blk00000003/sig0000034c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk00000318 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b4e ), + .Q(\blk00000003/sig0000034d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315/blk00000317 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000315/sig00000b4d ), + .Q(\blk00000003/sig0000034e ) + ); + GND \blk00000003/blk00000315/blk00000316 ( + .G(\blk00000003/blk00000315/sig00000b4c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000348/blk0000037a ( + .I0(ce), + .I1(\blk00000003/sig000004f5 ), + .O(\blk00000003/blk00000348/sig00000bb4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000379 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005a0 ), + .Q(\blk00000003/blk00000348/sig00000bb2 ), + .Q15(\NLW_blk00000003/blk00000348/blk00000379_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000378 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005a1 ), + .Q(\blk00000003/blk00000348/sig00000bb1 ), + .Q15(\NLW_blk00000003/blk00000348/blk00000378_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000377 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig0000059f ), + .Q(\blk00000003/blk00000348/sig00000bb3 ), + .Q15(\NLW_blk00000003/blk00000348/blk00000377_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000376 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005a3 ), + .Q(\blk00000003/blk00000348/sig00000baf ), + .Q15(\NLW_blk00000003/blk00000348/blk00000376_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000375 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005a4 ), + .Q(\blk00000003/blk00000348/sig00000bae ), + .Q15(\NLW_blk00000003/blk00000348/blk00000375_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000374 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005a2 ), + .Q(\blk00000003/blk00000348/sig00000bb0 ), + .Q15(\NLW_blk00000003/blk00000348/blk00000374_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000373 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005a6 ), + .Q(\blk00000003/blk00000348/sig00000bac ), + .Q15(\NLW_blk00000003/blk00000348/blk00000373_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000372 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005a7 ), + .Q(\blk00000003/blk00000348/sig00000bab ), + .Q15(\NLW_blk00000003/blk00000348/blk00000372_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000371 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005a5 ), + .Q(\blk00000003/blk00000348/sig00000bad ), + .Q15(\NLW_blk00000003/blk00000348/blk00000371_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000370 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005a9 ), + .Q(\blk00000003/blk00000348/sig00000ba9 ), + .Q15(\NLW_blk00000003/blk00000348/blk00000370_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk0000036f ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005aa ), + .Q(\blk00000003/blk00000348/sig00000ba8 ), + .Q15(\NLW_blk00000003/blk00000348/blk0000036f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk0000036e ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005a8 ), + .Q(\blk00000003/blk00000348/sig00000baa ), + .Q15(\NLW_blk00000003/blk00000348/blk0000036e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk0000036d ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005ac ), + .Q(\blk00000003/blk00000348/sig00000ba6 ), + .Q15(\NLW_blk00000003/blk00000348/blk0000036d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk0000036c ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005ad ), + .Q(\blk00000003/blk00000348/sig00000ba5 ), + .Q15(\NLW_blk00000003/blk00000348/blk0000036c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk0000036b ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005ab ), + .Q(\blk00000003/blk00000348/sig00000ba7 ), + .Q15(\NLW_blk00000003/blk00000348/blk0000036b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk0000036a ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005af ), + .Q(\blk00000003/blk00000348/sig00000ba3 ), + .Q15(\NLW_blk00000003/blk00000348/blk0000036a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000369 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005b0 ), + .Q(\blk00000003/blk00000348/sig00000ba2 ), + .Q15(\NLW_blk00000003/blk00000348/blk00000369_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000368 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005ae ), + .Q(\blk00000003/blk00000348/sig00000ba4 ), + .Q15(\NLW_blk00000003/blk00000348/blk00000368_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000367 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005b2 ), + .Q(\blk00000003/blk00000348/sig00000ba0 ), + .Q15(\NLW_blk00000003/blk00000348/blk00000367_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000366 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005b3 ), + .Q(\blk00000003/blk00000348/sig00000b9f ), + .Q15(\NLW_blk00000003/blk00000348/blk00000366_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000365 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005b1 ), + .Q(\blk00000003/blk00000348/sig00000ba1 ), + .Q15(\NLW_blk00000003/blk00000348/blk00000365_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000364 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005b5 ), + .Q(\blk00000003/blk00000348/sig00000b9d ), + .Q15(\NLW_blk00000003/blk00000348/blk00000364_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000363 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005b6 ), + .Q(\blk00000003/blk00000348/sig00000b9c ), + .Q15(\NLW_blk00000003/blk00000348/blk00000363_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000348/blk00000362 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000348/sig00000b9b ), + .A3(\blk00000003/blk00000348/sig00000b9b ), + .CE(\blk00000003/blk00000348/sig00000bb4 ), + .CLK(clk), + .D(\blk00000003/sig000005b4 ), + .Q(\blk00000003/blk00000348/sig00000b9e ), + .Q15(\NLW_blk00000003/blk00000348/blk00000362_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk00000361 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000bb3 ), + .Q(\blk00000003/sig0000034f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk00000360 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000bb2 ), + .Q(\blk00000003/sig00000350 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk0000035f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000bb1 ), + .Q(\blk00000003/sig00000351 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk0000035e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000bb0 ), + .Q(\blk00000003/sig00000352 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk0000035d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000baf ), + .Q(\blk00000003/sig00000353 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk0000035c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000bae ), + .Q(\blk00000003/sig00000354 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk0000035b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000bad ), + .Q(\blk00000003/sig00000355 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk0000035a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000bac ), + .Q(\blk00000003/sig00000356 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk00000359 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000bab ), + .Q(\blk00000003/sig00000357 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk00000358 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000baa ), + .Q(\blk00000003/sig00000358 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk00000357 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000ba9 ), + .Q(\blk00000003/sig00000359 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk00000356 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000ba8 ), + .Q(\blk00000003/sig0000035a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk00000355 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000ba7 ), + .Q(\blk00000003/sig0000035b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk00000354 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000ba6 ), + .Q(\blk00000003/sig0000035c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk00000353 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000ba5 ), + .Q(\blk00000003/sig0000035d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk00000352 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000ba4 ), + .Q(\blk00000003/sig0000035e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk00000351 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000ba3 ), + .Q(\blk00000003/sig0000035f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk00000350 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000ba2 ), + .Q(\blk00000003/sig00000360 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk0000034f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000ba1 ), + .Q(\blk00000003/sig00000361 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk0000034e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000ba0 ), + .Q(\blk00000003/sig00000362 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk0000034d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000b9f ), + .Q(\blk00000003/sig00000363 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk0000034c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000b9e ), + .Q(\blk00000003/sig00000364 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk0000034b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000b9d ), + .Q(\blk00000003/sig00000365 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348/blk0000034a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000348/sig00000b9c ), + .Q(\blk00000003/sig00000366 ) + ); + GND \blk00000003/blk00000348/blk00000349 ( + .G(\blk00000003/blk00000348/sig00000b9b ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000037b/blk000003b3 ( + .I0(ce), + .I1(\blk00000003/sig00000232 ), + .O(\blk00000003/blk0000037b/sig00000c19 ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk0000037b/blk000003b2 ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005b7 ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000c06 ), + .DPO(\blk00000003/blk0000037b/sig00000c18 ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk0000037b/blk000003b1 ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005b8 ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000c05 ), + .DPO(\blk00000003/blk0000037b/sig00000c17 ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk0000037b/blk000003b0 ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005b9 ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000c04 ), + .DPO(\blk00000003/blk0000037b/sig00000c16 ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk0000037b/blk000003af ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005ba ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000c03 ), + .DPO(\blk00000003/blk0000037b/sig00000c15 ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk0000037b/blk000003ae ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005bb ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000c02 ), + .DPO(\blk00000003/blk0000037b/sig00000c14 ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk0000037b/blk000003ad ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005bc ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000c01 ), + .DPO(\blk00000003/blk0000037b/sig00000c13 ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk0000037b/blk000003ac ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005be ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000bff ), + .DPO(\blk00000003/blk0000037b/sig00000c11 ) + ); + RAM32X1D #( + .INIT ( 32'h0000000D )) + \blk00000003/blk0000037b/blk000003ab ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005bf ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000bfe ), + .DPO(\blk00000003/blk0000037b/sig00000c10 ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk0000037b/blk000003aa ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005bd ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000c00 ), + .DPO(\blk00000003/blk0000037b/sig00000c12 ) + ); + RAM32X1D #( + .INIT ( 32'h00000009 )) + \blk00000003/blk0000037b/blk000003a9 ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005c0 ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000bfd ), + .DPO(\blk00000003/blk0000037b/sig00000c0f ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk0000037b/blk000003a8 ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005c1 ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000bfc ), + .DPO(\blk00000003/blk0000037b/sig00000c0e ) + ); + RAM32X1D #( + .INIT ( 32'h0000000F )) + \blk00000003/blk0000037b/blk000003a7 ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005c2 ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000bfb ), + .DPO(\blk00000003/blk0000037b/sig00000c0d ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000037b/blk000003a6 ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005c3 ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000bfa ), + .DPO(\blk00000003/blk0000037b/sig00000c0c ) + ); + RAM32X1D #( + .INIT ( 32'h00000008 )) + \blk00000003/blk0000037b/blk000003a5 ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005c4 ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000bf9 ), + .DPO(\blk00000003/blk0000037b/sig00000c0b ) + ); + RAM32X1D #( + .INIT ( 32'h00000004 )) + \blk00000003/blk0000037b/blk000003a4 ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005c5 ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000bf8 ), + .DPO(\blk00000003/blk0000037b/sig00000c0a ) + ); + RAM32X1D #( + .INIT ( 32'h0000000B )) + \blk00000003/blk0000037b/blk000003a3 ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005c7 ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000bf6 ), + .DPO(\blk00000003/blk0000037b/sig00000c08 ) + ); + RAM32X1D #( + .INIT ( 32'h00000008 )) + \blk00000003/blk0000037b/blk000003a2 ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005c8 ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000bf5 ), + .DPO(\blk00000003/blk0000037b/sig00000c07 ) + ); + RAM32X1D #( + .INIT ( 32'h00000008 )) + \blk00000003/blk0000037b/blk000003a1 ( + .A0(\blk00000003/sig00000264 ), + .A1(\blk00000003/sig00000268 ), + .A2(\blk00000003/sig0000026b ), + .A3(\blk00000003/blk0000037b/sig00000bf4 ), + .A4(\blk00000003/blk0000037b/sig00000bf4 ), + .D(\blk00000003/sig000005c6 ), + .DPRA0(\blk00000003/sig000002ba ), + .DPRA1(\blk00000003/sig000002be ), + .DPRA2(\blk00000003/sig000002c4 ), + .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ), + .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ), + .WCLK(clk), + .WE(\blk00000003/blk0000037b/sig00000c19 ), + .SPO(\blk00000003/blk0000037b/sig00000bf7 ), + .DPO(\blk00000003/blk0000037b/sig00000c09 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk000003a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c18 ), + .Q(\blk00000003/sig000002c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000039f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c17 ), + .Q(\blk00000003/sig000002c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000039e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c16 ), + .Q(\blk00000003/sig000002c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000039d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c15 ), + .Q(\blk00000003/sig000002c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000039c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c14 ), + .Q(\blk00000003/sig000002c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000039b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c13 ), + .Q(\blk00000003/sig000002ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000039a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c12 ), + .Q(\blk00000003/sig000002cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000399 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c11 ), + .Q(\blk00000003/sig000002cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000398 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c10 ), + .Q(\blk00000003/sig000002cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000397 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c0f ), + .Q(\blk00000003/sig000002ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000396 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c0e ), + .Q(\blk00000003/sig000002cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000395 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c0d ), + .Q(\blk00000003/sig000002d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000394 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c0c ), + .Q(\blk00000003/sig000002d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000393 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c0b ), + .Q(\blk00000003/sig000002d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000392 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c0a ), + .Q(\blk00000003/sig000002d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000391 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c09 ), + .Q(\blk00000003/sig000002d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000390 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c08 ), + .Q(\blk00000003/sig000002d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000038f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c07 ), + .Q(\blk00000003/sig000002d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000038e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c06 ), + .Q(\blk00000003/sig000005c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000038d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c05 ), + .Q(\blk00000003/sig000005ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000038c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c04 ), + .Q(\blk00000003/sig000005cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000038b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c03 ), + .Q(\blk00000003/sig000005cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000038a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c02 ), + .Q(\blk00000003/sig000005cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000389 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c01 ), + .Q(\blk00000003/sig000005ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000388 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000c00 ), + .Q(\blk00000003/sig000005cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000387 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000bff ), + .Q(\blk00000003/sig000005d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000386 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000bfe ), + .Q(\blk00000003/sig000005d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000385 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000bfd ), + .Q(\blk00000003/sig000005d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000384 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000bfc ), + .Q(\blk00000003/sig000005d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000383 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000bfb ), + .Q(\blk00000003/sig000005d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000382 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000bfa ), + .Q(\blk00000003/sig000005d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000381 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000bf9 ), + .Q(\blk00000003/sig000005d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk00000380 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000bf8 ), + .Q(\blk00000003/sig000005d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000037f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000bf7 ), + .Q(\blk00000003/sig000005d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000037e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000bf6 ), + .Q(\blk00000003/sig000005d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b/blk0000037d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000037b/sig00000bf5 ), + .Q(\blk00000003/sig000005da ) + ); + GND \blk00000003/blk0000037b/blk0000037c ( + .G(\blk00000003/blk0000037b/sig00000bf4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003b4/blk000003ec ( + .I0(ce), + .I1(\blk00000003/sig000004e1 ), + .O(\blk00000003/blk000003b4/sig00000c7e ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk000003b4/blk000003eb ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005c9 ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c6b ), + .DPO(\blk00000003/blk000003b4/sig00000c7d ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk000003b4/blk000003ea ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005ca ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c6a ), + .DPO(\blk00000003/blk000003b4/sig00000c7c ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk000003b4/blk000003e9 ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005cb ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c69 ), + .DPO(\blk00000003/blk000003b4/sig00000c7b ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk000003b4/blk000003e8 ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005cc ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c68 ), + .DPO(\blk00000003/blk000003b4/sig00000c7a ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk000003b4/blk000003e7 ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005cd ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c67 ), + .DPO(\blk00000003/blk000003b4/sig00000c79 ) + ); + RAM32X1D #( + .INIT ( 32'h0000000D )) + \blk00000003/blk000003b4/blk000003e6 ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005ce ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c66 ), + .DPO(\blk00000003/blk000003b4/sig00000c78 ) + ); + RAM32X1D #( + .INIT ( 32'h00000008 )) + \blk00000003/blk000003b4/blk000003e5 ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005d0 ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c64 ), + .DPO(\blk00000003/blk000003b4/sig00000c76 ) + ); + RAM32X1D #( + .INIT ( 32'h00000009 )) + \blk00000003/blk000003b4/blk000003e4 ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005d1 ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c63 ), + .DPO(\blk00000003/blk000003b4/sig00000c75 ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk000003b4/blk000003e3 ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005cf ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c65 ), + .DPO(\blk00000003/blk000003b4/sig00000c77 ) + ); + RAM32X1D #( + .INIT ( 32'h0000000A )) + \blk00000003/blk000003b4/blk000003e2 ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005d2 ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c62 ), + .DPO(\blk00000003/blk000003b4/sig00000c74 ) + ); + RAM32X1D #( + .INIT ( 32'h00000006 )) + \blk00000003/blk000003b4/blk000003e1 ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005d3 ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c61 ), + .DPO(\blk00000003/blk000003b4/sig00000c73 ) + ); + RAM32X1D #( + .INIT ( 32'h0000000C )) + \blk00000003/blk000003b4/blk000003e0 ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005d4 ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c60 ), + .DPO(\blk00000003/blk000003b4/sig00000c72 ) + ); + RAM32X1D #( + .INIT ( 32'h0000000A )) + \blk00000003/blk000003b4/blk000003df ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005d5 ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c5f ), + .DPO(\blk00000003/blk000003b4/sig00000c71 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000003b4/blk000003de ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005d6 ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c5e ), + .DPO(\blk00000003/blk000003b4/sig00000c70 ) + ); + RAM32X1D #( + .INIT ( 32'h00000003 )) + \blk00000003/blk000003b4/blk000003dd ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005d7 ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c5d ), + .DPO(\blk00000003/blk000003b4/sig00000c6f ) + ); + RAM32X1D #( + .INIT ( 32'h0000000B )) + \blk00000003/blk000003b4/blk000003dc ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005d9 ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c5b ), + .DPO(\blk00000003/blk000003b4/sig00000c6d ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000003b4/blk000003db ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005da ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c5a ), + .DPO(\blk00000003/blk000003b4/sig00000c6c ) + ); + RAM32X1D #( + .INIT ( 32'h0000000F )) + \blk00000003/blk000003b4/blk000003da ( + .A0(\blk00000003/sig000004db ), + .A1(\blk00000003/sig000004dc ), + .A2(\blk00000003/sig000004dd ), + .A3(\blk00000003/blk000003b4/sig00000c59 ), + .A4(\blk00000003/blk000003b4/sig00000c59 ), + .D(\blk00000003/sig000005d8 ), + .DPRA0(\blk00000003/sig000004eb ), + .DPRA1(\blk00000003/sig000004e9 ), + .DPRA2(\blk00000003/sig000004e7 ), + .DPRA3(\blk00000003/blk000003b4/sig00000c59 ), + .DPRA4(\blk00000003/blk000003b4/sig00000c59 ), + .WCLK(clk), + .WE(\blk00000003/blk000003b4/sig00000c7e ), + .SPO(\blk00000003/blk000003b4/sig00000c5c ), + .DPO(\blk00000003/blk000003b4/sig00000c6e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c7d ), + .Q(\blk00000003/sig00000469 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c7c ), + .Q(\blk00000003/sig0000046a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003d7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c7b ), + .Q(\blk00000003/sig0000046b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c7a ), + .Q(\blk00000003/sig0000046c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c79 ), + .Q(\blk00000003/sig0000046d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c78 ), + .Q(\blk00000003/sig0000046e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003d3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c77 ), + .Q(\blk00000003/sig0000046f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c76 ), + .Q(\blk00000003/sig00000470 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c75 ), + .Q(\blk00000003/sig00000471 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c74 ), + .Q(\blk00000003/sig00000472 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c73 ), + .Q(\blk00000003/sig00000473 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c72 ), + .Q(\blk00000003/sig00000474 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c71 ), + .Q(\blk00000003/sig00000475 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c70 ), + .Q(\blk00000003/sig00000476 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c6f ), + .Q(\blk00000003/sig00000477 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c6e ), + .Q(\blk00000003/sig00000478 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c6d ), + .Q(\blk00000003/sig00000479 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c6c ), + .Q(\blk00000003/sig0000047a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c6b ), + .Q(\blk00000003/sig000005db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c6a ), + .Q(\blk00000003/sig000005dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c69 ), + .Q(\blk00000003/sig000005dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c68 ), + .Q(\blk00000003/sig000005de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c67 ), + .Q(\blk00000003/sig000005df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c66 ), + .Q(\blk00000003/sig000005e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c65 ), + .Q(\blk00000003/sig000005e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c64 ), + .Q(\blk00000003/sig000005e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c63 ), + .Q(\blk00000003/sig000005e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c62 ), + .Q(\blk00000003/sig000005e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c61 ), + .Q(\blk00000003/sig000005e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c60 ), + .Q(\blk00000003/sig000005e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c5f ), + .Q(\blk00000003/sig000005e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c5e ), + .Q(\blk00000003/sig000005e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c5d ), + .Q(\blk00000003/sig000005e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c5c ), + .Q(\blk00000003/sig000005ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c5b ), + .Q(\blk00000003/sig000005eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4/blk000003b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b4/sig00000c5a ), + .Q(\blk00000003/sig000005ec ) + ); + GND \blk00000003/blk000003b4/blk000003b5 ( + .G(\blk00000003/blk000003b4/sig00000c59 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000044d/blk00000473 ( + .I0(ce), + .I1(\blk00000003/sig000004e2 ), + .O(\blk00000003/blk0000044d/sig00000cbf ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk0000044d/blk00000472 ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005db ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk00000472_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cbe ) + ); + RAM32X1D #( + .INIT ( 32'h0000000D )) + \blk00000003/blk0000044d/blk00000471 ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005dc ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk00000471_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cbd ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk0000044d/blk00000470 ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005dd ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk00000470_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cbc ) + ); + RAM32X1D #( + .INIT ( 32'h00000009 )) + \blk00000003/blk0000044d/blk0000046f ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005de ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk0000046f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cbb ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk0000044d/blk0000046e ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005df ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk0000046e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cba ) + ); + RAM32X1D #( + .INIT ( 32'h00000007 )) + \blk00000003/blk0000044d/blk0000046d ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005e0 ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk0000046d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cb9 ) + ); + RAM32X1D #( + .INIT ( 32'h00000009 )) + \blk00000003/blk0000044d/blk0000046c ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005e2 ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk0000046c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cb7 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000044d/blk0000046b ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005e3 ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk0000046b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cb6 ) + ); + RAM32X1D #( + .INIT ( 32'h00000007 )) + \blk00000003/blk0000044d/blk0000046a ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005e1 ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk0000046a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cb8 ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk0000044d/blk00000469 ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005e4 ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk00000469_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cb5 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000044d/blk00000468 ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005e5 ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk00000468_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cb4 ) + ); + RAM32X1D #( + .INIT ( 32'h0000000C )) + \blk00000003/blk0000044d/blk00000467 ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005e6 ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk00000467_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cb3 ) + ); + RAM32X1D #( + .INIT ( 32'h00000002 )) + \blk00000003/blk0000044d/blk00000466 ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005e7 ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk00000466_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cb2 ) + ); + RAM32X1D #( + .INIT ( 32'h00000005 )) + \blk00000003/blk0000044d/blk00000465 ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005e8 ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk00000465_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cb1 ) + ); + RAM32X1D #( + .INIT ( 32'h00000007 )) + \blk00000003/blk0000044d/blk00000464 ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005e9 ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk00000464_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cb0 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000044d/blk00000463 ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005eb ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk00000463_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cae ) + ); + RAM32X1D #( + .INIT ( 32'h00000009 )) + \blk00000003/blk0000044d/blk00000462 ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005ec ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk00000462_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000cad ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk0000044d/blk00000461 ( + .A0(\blk00000003/sig000004de ), + .A1(\blk00000003/sig000004df ), + .A2(\blk00000003/sig000004e0 ), + .A3(\blk00000003/blk0000044d/sig00000cac ), + .A4(\blk00000003/blk0000044d/sig00000cac ), + .D(\blk00000003/sig000005ea ), + .DPRA0(\blk00000003/sig000004ec ), + .DPRA1(\blk00000003/sig000004ea ), + .DPRA2(\blk00000003/sig000004e8 ), + .DPRA3(\blk00000003/blk0000044d/sig00000cac ), + .DPRA4(\blk00000003/blk0000044d/sig00000cac ), + .WCLK(clk), + .WE(\blk00000003/blk0000044d/sig00000cbf ), + .SPO(\NLW_blk00000003/blk0000044d/blk00000461_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000044d/sig00000caf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk00000460 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cbe ), + .Q(\blk00000003/sig000003c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk0000045f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cbd ), + .Q(\blk00000003/sig000003c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk0000045e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cbc ), + .Q(\blk00000003/sig000003c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk0000045d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cbb ), + .Q(\blk00000003/sig000003ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk0000045c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cba ), + .Q(\blk00000003/sig000003cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk0000045b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cb9 ), + .Q(\blk00000003/sig000003cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk0000045a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cb8 ), + .Q(\blk00000003/sig000003cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk00000459 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cb7 ), + .Q(\blk00000003/sig000003ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk00000458 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cb6 ), + .Q(\blk00000003/sig000003cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk00000457 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cb5 ), + .Q(\blk00000003/sig000003d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk00000456 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cb4 ), + .Q(\blk00000003/sig000003d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk00000455 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cb3 ), + .Q(\blk00000003/sig000003d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk00000454 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cb2 ), + .Q(\blk00000003/sig000003d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk00000453 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cb1 ), + .Q(\blk00000003/sig000003d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk00000452 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cb0 ), + .Q(\blk00000003/sig000003d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk00000451 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000caf ), + .Q(\blk00000003/sig000003d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk00000450 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cae ), + .Q(\blk00000003/sig000003d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000044d/blk0000044f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000044d/sig00000cad ), + .Q(\blk00000003/sig000003d8 ) + ); + GND \blk00000003/blk0000044d/blk0000044e ( + .G(\blk00000003/blk0000044d/sig00000cac ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000004a4/blk000004ca ( + .I0(ce), + .I1(\blk00000003/sig00000230 ), + .O(\blk00000003/blk000004a4/sig00000cfc ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk000004a4/blk000004c9 ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005b7 ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004c9_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cfb ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004c8 ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005b8 ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004c8_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cfa ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004c7 ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005b9 ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004c7_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cf9 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004c6 ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005ba ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004c6_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cf8 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004c5 ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005bb ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004c5_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cf7 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004c4 ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005bc ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004c4_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cf6 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004c3 ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005be ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004c3_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cf4 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004c2 ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005bf ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004c2_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cf3 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004c1 ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005bd ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004c1_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cf5 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004c0 ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005c0 ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004c0_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cf2 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004bf ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005c1 ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004bf_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cf1 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004be ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005c2 ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004be_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cf0 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004bd ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005c3 ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004bd_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cef ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004bc ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005c4 ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004bc_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cee ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004bb ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005c5 ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004bb_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000ced ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004ba ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005c7 ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004ba_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000ceb ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004b9 ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005c8 ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004b9_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cea ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk000004a4/blk000004b8 ( + .A0(\blk00000003/sig00000237 ), + .A1(\blk00000003/blk000004a4/sig00000ce9 ), + .A2(\blk00000003/blk000004a4/sig00000ce9 ), + .A3(\blk00000003/blk000004a4/sig00000ce9 ), + .A4(\blk00000003/blk000004a4/sig00000ce9 ), + .D(\blk00000003/sig000005c6 ), + .DPRA0(\blk00000003/sig000005f0 ), + .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ), + .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ), + .WCLK(clk), + .WE(\blk00000003/blk000004a4/sig00000cfc ), + .SPO(\NLW_blk00000003/blk000004a4/blk000004b8_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004a4/sig00000cec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cfb ), + .Q(\blk00000003/sig000000f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cfa ), + .Q(\blk00000003/sig000000f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cf9 ), + .Q(\blk00000003/sig000000f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cf8 ), + .Q(\blk00000003/sig000000f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cf7 ), + .Q(\blk00000003/sig000000f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cf6 ), + .Q(\blk00000003/sig000000f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cf5 ), + .Q(\blk00000003/sig000000f9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cf4 ), + .Q(\blk00000003/sig000000fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004af ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cf3 ), + .Q(\blk00000003/sig000000fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cf2 ), + .Q(\blk00000003/sig000000fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cf1 ), + .Q(\blk00000003/sig000000fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cf0 ), + .Q(\blk00000003/sig000000fe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cef ), + .Q(\blk00000003/sig000000ff ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cee ), + .Q(\blk00000003/sig00000100 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000ced ), + .Q(\blk00000003/sig00000101 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cec ), + .Q(\blk00000003/sig00000102 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000ceb ), + .Q(\blk00000003/sig00000103 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4/blk000004a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004a4/sig00000cea ), + .Q(\blk00000003/sig00000104 ) + ); + GND \blk00000003/blk000004a4/blk000004a5 ( + .G(\blk00000003/blk000004a4/sig00000ce9 ) + ); + +// synthesis translate_on + +endmodule + +// synthesis translate_off + +`ifndef GLBL +`define GLBL + +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (weak1, weak0) GSR = GSR_int; + assign (weak1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + +endmodule + +`endif + +// synthesis translate_on diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec2.veo b/fpga/usrp3/top/x300/coregen_dsp/hbdec2.veo new file mode 100644 index 000000000..ce561daa2 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec2.veo @@ -0,0 +1,79 @@ +/******************************************************************************* +* (c) Copyright 1995 - 2010 Xilinx, Inc. All rights reserved. * +* * +* This file contains confidential and proprietary information * +* of Xilinx, Inc. and is protected under U.S. and * +* international copyright and other intellectual property * +* laws. * +* * +* DISCLAIMER * +* This disclaimer is not a license and does not grant any * +* rights to the materials distributed herewith. Except as * +* otherwise provided in a valid license issued to you by * +* Xilinx, and to the maximum extent permitted by applicable * +* law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND * +* WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES * +* AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING * +* BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- * +* INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and * +* (2) Xilinx shall not be liable (whether in contract or tort, * +* including negligence, or under any other theory of * +* liability) for any loss or damage of any kind or nature * +* related to, arising under or in connection with these * +* materials, including for any direct, or any indirect, * +* special, incidental, or consequential loss or damage * +* (including loss of data, profits, goodwill, or any type of * +* loss or damage suffered as a result of any action brought * +* by a third party) even if such damage or loss was * +* reasonably foreseeable or Xilinx had been advised of the * +* possibility of the same. * +* * +* CRITICAL APPLICATIONS * +* Xilinx products are not designed or intended to be fail- * +* safe, or for use in any application requiring fail-safe * +* performance, such as life-support or safety devices or * +* systems, Class III medical devices, nuclear facilities, * +* applications related to the deployment of airbags, or any * +* other applications that could lead to death, personal * +* injury, or severe property or environmental damage * +* (individually and collectively, "Critical * +* Applications"). Customer assumes the sole risk and * +* liability of any use of Xilinx products in Critical * +* Applications, subject only to applicable laws and * +* regulations governing limitations on product liability. * +* * +* THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS * +* PART OF THIS FILE AT ALL TIMES. * +*******************************************************************************/ + +// Generated from component ID: xilinx.com:ip:fir_compiler:5.0 + + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +hbdec2 YourInstanceName ( + .sclr(sclr), // input sclr + .clk(clk), // input clk + .ce(ce), // input ce + .nd(nd), // input nd + .coef_ld(coef_ld), // input coef_ld + .coef_we(coef_we), // input coef_we + .coef_din(coef_din), // input [17 : 0] coef_din + .rfd(rfd), // output rfd + .rdy(rdy), // output rdy + .data_valid(data_valid), // output data_valid + .din_1(din_1), // input [23 : 0] din_1 + .din_2(din_2), // input [23 : 0] din_2 + .dout_1(dout_1), // output [46 : 0] dout_1 + .dout_2(dout_2)); // output [46 : 0] dout_2 + +// INST_TAG_END ------ End INSTANTIATION Template --------- + +// You must compile the wrapper file hbdec2.v when simulating +// the core, hbdec2. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec2.xco b/fpga/usrp3/top/x300/coregen_dsp/hbdec2.xco new file mode 100644 index 000000000..47574a352 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec2.xco @@ -0,0 +1,102 @@ +############################################################## +# +# Xilinx Core Generator version 14.4 +# Date: Wed Dec 4 21:33:01 2013 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:fir_compiler:5.0 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc7k325t +SET devicefamily = kintex7 +SET flowvendor = Other +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = ffg900 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -2 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT FIR_Compiler family Xilinx,_Inc. 5.0 +# END Select +# BEGIN Parameters +CSET allow_rounding_approximation=false +CSET bestprecision=false +CSET chan_in_adv=0 +CSET clock_frequency=200 +CSET coefficient_buffer_type=Automatic +CSET coefficient_file=./hb47.coe +CSET coefficient_fractional_bits=0 +CSET coefficient_reload=true +CSET coefficient_sets=1 +CSET coefficient_sign=Signed +CSET coefficient_structure=Half_Band +CSET coefficient_width=18 +CSET coefficientsource=COE_File +CSET coefficientvector=6,0,-4,-3,5,6,-6,-13,7,44,64,44,7,-13,-6,6,5,-3,-4,0,6 +CSET columnconfig=4 +CSET component_name=hbdec2 +CSET data_buffer_type=Automatic +CSET data_fractional_bits=0 +CSET data_sign=Signed +CSET data_width=24 +CSET decimation_rate=2 +CSET displayreloadorder=false +CSET filter_architecture=Systolic_Multiply_Accumulate +CSET filter_selection=1 +CSET filter_type=Decimation +CSET gui_behaviour=Coregen +CSET hardwareoversamplingrate=1 +CSET has_ce=true +CSET has_data_valid=true +CSET has_nd=true +CSET has_sclr=true +CSET input_buffer_type=Automatic +CSET inter_column_pipe_length=4 +CSET interpolation_rate=1 +CSET multi_column_support=Disabled +CSET number_channels=1 +CSET number_paths=2 +CSET optimization_goal=Area +CSET output_buffer_type=Automatic +CSET output_rounding_mode=Full_Precision +CSET output_width=47 +CSET passband_max=0.5 +CSET passband_min=0.0 +CSET preference_for_other_storage=Automatic +CSET quantization=Integer_Coefficients +CSET rate_change_type=Integer +CSET ratespecification=Frequency_Specification +CSET registered_output=true +CSET sample_frequency=100 +CSET sampleperiod=1 +CSET sclr_deterministic=true +CSET stopband_max=1.0 +CSET stopband_min=0.5 +CSET usechan_in_adv=false +CSET zero_pack_factor=1 +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2012-12-18T05:23:34Z +# END Extra information +GENERATE +# CRC: b27c3487 diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec2COEFF_auto0_0.mif b/fpga/usrp3/top/x300/coregen_dsp/hbdec2COEFF_auto0_0.mif new file mode 100644 index 000000000..079623297 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec2COEFF_auto0_0.mif @@ -0,0 +1,9 @@ +111111111111000010 +000000000011000010 +111111111001001000 +000000001101010111 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec2COEFF_auto0_1.mif b/fpga/usrp3/top/x300/coregen_dsp/hbdec2COEFF_auto0_1.mif new file mode 100644 index 000000000..d4a0b357e --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec2COEFF_auto0_1.mif @@ -0,0 +1,9 @@ +111111101000011111 +000000100110101110 +111111000011000100 +000001011101100110 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec2COEFF_auto0_2.mif b/fpga/usrp3/top/x300/coregen_dsp/hbdec2COEFF_auto0_2.mif new file mode 100644 index 000000000..6d9d6044f --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec2COEFF_auto0_2.mif @@ -0,0 +1,9 @@ +111101110000011101 +000011100100101000 +111001100001011000 +010100010001000001 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec2COEFF_auto_HALFBAND_CENTRE0.mif b/fpga/usrp3/top/x300/coregen_dsp/hbdec2COEFF_auto_HALFBAND_CENTRE0.mif new file mode 100644 index 000000000..ca072e0fc --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec2COEFF_auto_HALFBAND_CENTRE0.mif @@ -0,0 +1,3 @@ +011111111111111111 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec2_flist.txt b/fpga/usrp3/top/x300/coregen_dsp/hbdec2_flist.txt new file mode 100644 index 000000000..d0a6a5443 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec2_flist.txt @@ -0,0 +1,19 @@ +# Output products list for +hbdec2.asy +hbdec2.gise +hbdec2.mif +hbdec2.ngc +hbdec2.v +hbdec2.veo +hbdec2.xco +hbdec2.xise +hbdec2COEFF_auto0_0.mif +hbdec2COEFF_auto0_1.mif +hbdec2COEFF_auto0_2.mif +hbdec2COEFF_auto_HALFBAND_CENTRE0.mif +hbdec2_flist.txt +hbdec2_readme.txt +hbdec2_reload_addrfilt_decode_rom.mif +hbdec2_reload_order.txt +hbdec2_xmdf.tcl +hbdec2filt_decode_rom.mif diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec2_readme.txt b/fpga/usrp3/top/x300/coregen_dsp/hbdec2_readme.txt new file mode 100644 index 000000000..b65eaf925 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec2_readme.txt @@ -0,0 +1,64 @@ +The following files were generated for 'hbdec2' in directory +/home/matt/fpgadev/usrp3/top/b250/coregen_dsp/ + +Opens the IP Customization GUI: + Allows the user to customize or recustomize the IP instance. + + * hbdec2.mif + * hbdec2_reload_order.txt + +XCO file generator: + Generate an XCO file for compatibility with legacy flows. + + * hbdec2.xco + +Creates an implementation netlist: + Creates an implementation netlist for the IP. + + * hbdec2.ngc + * hbdec2.v + * hbdec2.veo + * hbdec2COEFF_auto0_0.mif + * hbdec2COEFF_auto0_1.mif + * hbdec2COEFF_auto0_2.mif + * hbdec2COEFF_auto_HALFBAND_CENTRE0.mif + * hbdec2_reload_addrfilt_decode_rom.mif + * hbdec2filt_decode_rom.mif + +Creates an HDL instantiation template: + Creates an HDL instantiation template for the IP. + + * hbdec2.veo + +IP Symbol Generator: + Generate an IP symbol based on the current project options'. + + * hbdec2.asy + * hbdec2.mif + * hbdec2_reload_order.txt + +Generate ISE metadata: + Create a metadata file for use when including this core in ISE designs + + * hbdec2_xmdf.tcl + +Generate ISE subproject: + Create an ISE subproject for use when including this core in ISE designs + + * hbdec2.gise + * hbdec2.xise + +Deliver Readme: + Readme file for the IP. + + * hbdec2_readme.txt + +Generate FLIST file: + Text file listing all of the output files produced when a customized core was + generated in the CORE Generator. + + * hbdec2_flist.txt + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec2_reload_addrfilt_decode_rom.mif b/fpga/usrp3/top/x300/coregen_dsp/hbdec2_reload_addrfilt_decode_rom.mif new file mode 100644 index 000000000..92e61d005 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec2_reload_addrfilt_decode_rom.mif @@ -0,0 +1,17 @@ +000 +100 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec2_reload_order.txt b/fpga/usrp3/top/x300/coregen_dsp/hbdec2_reload_order.txt new file mode 100644 index 000000000..071b84e5b --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec2_reload_order.txt @@ -0,0 +1,25 @@ +Reload index 0 = Index 16 +Reload index 1 = Index 18 +Reload index 2 = Index 20 +Reload index 3 = Index 22 +Reload index 4 = Index 8 +Reload index 5 = Index 10 +Reload index 6 = Index 12 +Reload index 7 = Index 14 +Reload index 8 = Index 0 +Reload index 9 = Index 2 +Reload index 10 = Index 4 +Reload index 11 = Index 6 +Reload index 12 = Index 23 +Reload index 13 = Index 0 +Reload index 14 = Index 0 +Reload index 15 = Index 0 +Reload index 16 = Index 0 +Reload index 17 = Index 0 +Reload index 18 = Index 0 +Reload index 19 = Index 0 +Reload index 20 = Index 0 +Reload index 21 = Index 0 +Reload index 22 = Index 0 +Reload index 23 = Index 0 + diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec2_xmdf.tcl b/fpga/usrp3/top/x300/coregen_dsp/hbdec2_xmdf.tcl new file mode 100644 index 000000000..efebbe070 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec2_xmdf.tcl @@ -0,0 +1,99 @@ +# The package naming convention is _xmdf +package provide hbdec2_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::hbdec2_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::hbdec2_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name hbdec2 +} +# ::hbdec2_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::hbdec2_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2COEFF_auto0_0.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2COEFF_auto0_1.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2COEFF_auto0_2.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2COEFF_auto_HALFBAND_CENTRE0.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2_reload_addrfilt_decode_rom.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2_reload_order.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type text +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2filt_decode_rom.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module hbdec2 +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec2filt_decode_rom.mif b/fpga/usrp3/top/x300/coregen_dsp/hbdec2filt_decode_rom.mif new file mode 100644 index 000000000..92e61d005 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec2filt_decode_rom.mif @@ -0,0 +1,17 @@ +000 +100 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec3.asy b/fpga/usrp3/top/x300/coregen_dsp/hbdec3.asy new file mode 100644 index 000000000..693fa2b1e --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec3.asy @@ -0,0 +1,61 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 hbdec3 +RECTANGLE Normal 32 32 544 2048 +LINE Normal 0 144 32 144 +PIN 0 144 LEFT 36 +PINATTR PinName nd +PINATTR Polarity IN +LINE Wide 0 240 32 240 +PIN 0 240 LEFT 36 +PINATTR PinName din_1[23:0] +PINATTR Polarity IN +LINE Wide 0 272 32 272 +PIN 0 272 LEFT 36 +PINATTR PinName din_2[23:0] +PINATTR Polarity IN +LINE Normal 0 848 32 848 +PIN 0 848 LEFT 36 +PINATTR PinName coef_ld +PINATTR Polarity IN +LINE Normal 0 880 32 880 +PIN 0 880 LEFT 36 +PINATTR PinName coef_we +PINATTR Polarity IN +LINE Wide 0 912 32 912 +PIN 0 912 LEFT 36 +PINATTR PinName coef_din[17:0] +PINATTR Polarity IN +LINE Normal 0 1008 32 1008 +PIN 0 1008 LEFT 36 +PINATTR PinName clk +PINATTR Polarity IN +LINE Normal 0 1040 32 1040 +PIN 0 1040 LEFT 36 +PINATTR PinName ce +PINATTR Polarity IN +LINE Normal 0 1072 32 1072 +PIN 0 1072 LEFT 36 +PINATTR PinName sclr +PINATTR Polarity IN +LINE Wide 576 240 544 240 +PIN 576 240 RIGHT 36 +PINATTR PinName dout_1[47:0] +PINATTR Polarity OUT +LINE Wide 576 272 544 272 +PIN 576 272 RIGHT 36 +PINATTR PinName dout_2[47:0] +PINATTR Polarity OUT +LINE Normal 576 1840 544 1840 +PIN 576 1840 RIGHT 36 +PINATTR PinName rfd +PINATTR Polarity OUT +LINE Normal 576 1872 544 1872 +PIN 576 1872 RIGHT 36 +PINATTR PinName rdy +PINATTR Polarity OUT +LINE Normal 576 1904 544 1904 +PIN 576 1904 RIGHT 36 +PINATTR PinName data_valid +PINATTR Polarity OUT + diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec3.mif b/fpga/usrp3/top/x300/coregen_dsp/hbdec3.mif new file mode 100644 index 000000000..e26979353 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec3.mif @@ -0,0 +1,63 @@ +111111111111011101 +000000000000000000 +000000000001011111 +000000000000000000 +111111111100111101 +000000000000000000 +000000000101100000 +000000000000000000 +111111110110111010 +000000000000000000 +000000001110001011 +000000000000000000 +111111101010110110 +000000000000000000 +000000011110100001 +000000000000000000 +111111010101000001 +000000000000000000 +000000111011100101 +000000000000000000 +111110101101111111 +000000000000000000 +000001110001100000 +000000000000000000 +111101011111001000 +000000000000000000 +000011110010000110 +000000000000000000 +111001011000110101 +000000000000000000 +010100010011111100 +011111111111111111 +010100010011111100 +000000000000000000 +111001011000110101 +000000000000000000 +000011110010000110 +000000000000000000 +111101011111001000 +000000000000000000 +000001110001100000 +000000000000000000 +111110101101111111 +000000000000000000 +000000111011100101 +000000000000000000 +111111010101000001 +000000000000000000 +000000011110100001 +000000000000000000 +111111101010110110 +000000000000000000 +000000001110001011 +000000000000000000 +111111110110111010 +000000000000000000 +000000000101100000 +000000000000000000 +111111111100111101 +000000000000000000 +000000000001011111 +000000000000000000 +111111111111011101 diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ngc b/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ngc new file mode 100644 index 000000000..5eaff1030 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$0dg2~753-Xnzd}foo8#5+421).97 ?>;168456702:;<=>?01a8456789:;<=>?8:2345678820<=>?012A<>6789:;=8640123454302:;<=>?24:84567898N46>?012372><89:;<=:88:234567=<20<=>?0175<>6789:;9:640123451502:;<=>?78:84567893?46>?0123=0><89:;<=7I8:234567I>20<=>?01@1<>6789:;NI64012345E302:;<=>?CD:8456789N>46>?0123@F><89:;<=K:8:234567M?20<=>?01D2<>6789:;JO640123<567:2:?>6>72:2:5>7438$;>6??4:3345773821EC^ZT;@?52<76890=54FNQWW>GENF5;<6=0>4:3;>LHW]]0OMRGA<0594;703821EC^ZT;alacYcag6:;7>1149223?699?1:47AZTQWW>AGXG\^7=:4?>0485=DBCZH6;6=0>2:39MKVR\3KOH_L30;2=5f=62@D[YY4lodd\bljsm{Uxia2?:1<23>7=AGZ^X7hflugq[vck490;2<;41;MVPUSS2jbjbRga<183:40<93E^X][[:bjbjZir|5:1<3?429914DG58;:::6<;:HLSQQ94FNQWW>aoiW8:7>>4?>0786194FNQWW>aoiW887>>4?>0786194FNQWW>aoiW8>7>>4?>0786194FNQWW>aoiW8<7>>4?>0686117?699=1987GAPTV9`lhX:5886=0>4:07>LHW]]0oecQ<<3194;733;>1EC^ZT;fjjZ2;::0;2<:4258JJUSS2mceS82=3;2=51=5<3CE\XZ5dhl\2944294:86<;:HLSQQ0>94FNQWW>aoiW069?7>119910?IR\Y__6IO[A^MVP944294::6<;:NWWTPR=lfSd2=3;2=5<=5<3E^X][[:emvpZoX9969?7>118910?IR\Y__6iazt^k\54:5;3:5=45=4;MVPUSS2me~xRgP13>17?69901987AZTQWW>air|VcT=>2=3;2=5<=5<3E^X][[:emvpZoX9=69?7>118910?IR\Y__6iazt^k\50:5;3:5=45=4;MVPUSS2me~xRgP17>17?69911987AZTQWW>air|VcT=1<<:1<2<>432F__\XZ5dnww[lY54;91<3?7;369KPRW]]0ocxzPi^1?66<76820>94@UURVP?bh}}UbS92=3;2=5==5<3E^X][[:emvpZoX=5886=0>8:07>JSSX\^1hb{{_h]5875=87;37?:5OTVSQQ<:=0DYY^ZT;flqqYnW169?7>119910?IR\Y__6iazt^k\=944294:<6<::HLSQQ1<3?=;38JJUSS2HNO^L2>:1<26>4=AGZ^X7OKDS@?5?699918<7GAPTV9E94>294:?6=?:HLSQQ44?>078751>58682>36D@_UU8@811=87;?7965IORVP?BFW@D78:4?>0180=586>2>36B[[PTV9@DYH]]6?;7>117970;2<;4498LQQVR\3ZHCXZ34683:42<<10DYY^ZT;flqq:3?3:5=:5;8;MVPUSS2me~xR??<5594;703=21CXZ_UU8gkprX986?;7>116972;7;2=52=303E^X][[:emvpZ734==1<3?8;5:9KPRW]]0ocxzP14>73?699>1?47AZTQWW>air|V;=09950?35?1>=G\^[YY4kotv\5920294::6:7:NWWTPR=lfS?2;7;2=53=303E^X][[:emvpZ5;<>0;2<84498LQQVR\3ndyyQ;<5594;713=21CXZ_UU8gkprX=5><6=0>6:6;>JSSX\^1hb{{_7>73?699?1?47AZTQWW>air|V=78:4?>0480=2?2F__\XZ5dnww[t:3?3:5=45;8;MVPUSS2me~xRP11>73?69901?47AZTQWW>air|V{T=<2;7;2=5<=303E^X][[:emvpZwX9;6?;7>1189773?69901?47AZTQWW>air|V{T=82;7;2=5<=303E^X][[:emvpZwX9?6?;7>119970:80=58602>36B[[PTV9`jssWxU=09950?3;?1>=G\^[YY4kotv\uZ1;<>0;2<64498LQQVR\3ndyyQ~_9>73?69911?47AZTQWW>air|V{T51:8:1<26>2=AGZ^X7OKDSC?7?699;1?6D@_UU8B@ATE4:0;2<:44;KMTPR=IE_FCIK33;2=53=32F__\XZ5C@PQ]JSS4:0;255;F56701233<;?<95:55227>3=AGZ^X7GAMNFF81<7681=468NA@CBED7<=47;KMTPR=GYGDHH29:1<1?2@b3>a8>8ziff;34(4631804=:4892;0>>A01805L<4A108E44<4A568E969=2K7==0:;@>25;3G;9=4>7L2>5?78E9716<1J0<915:C?5=823H6:53:4A=3=1>G;:94>7L2=1?78E9456<1J0?=15:C?61823H6993;4A=05:0=F4;=596O329<4?D:513:596O328<7?D:56=1J0>0;;@>7:1=F4<4?7L29>59B82833H63295N<8<;?DEF[JXNK:5NCHL?4;>18:C@MK:69720MNGA<00=<>GDAG6:?364ABKM842902KHEC2>5?:8EFOI48<546OLIO>23;>99BGLH;::437LMFN=07:==FK@D7>807;@AJJ941611JOD@326<;?DENF5832l5NCHL?6<<7611JOD@328<4?DENF585;6OLIO>0:2=FK@D78394ABKM80803HIBB1817:C@MK:06>1JOD@38?58EFOI40437LM@UU>3:<=FKF__0<>19:C@KPR;98427LM@UU>26;?89BGJSS48>556OLOTV?508>3HIDYY2>6?;8EFIR\5;<245NCNWW84>912KHCXZ318<;?DEH]]6:245NCNWW876912KHCXZ320<:?DEH]]69>374ABMVP944601JOB[[<36==>GDG\^7>806;@ALQQ:5>730MNAZT=04:<=FKF__0?61b:C@KPR;:00;245NCNWW87?902KHCXZ32?:8EFIR\59546OLOTV?0;>720MNAZT=5=<>GDG\^74364ABMVP9?9?2KOH_2?>69B@AT;9730MIJ]<383:2=FLMX7>3o4AEFQE96=8720MIJ]A=2=e>GCL[K7=7>18:CG@WG;9720MIJ]A=0=e>GCL[K7?7>18:CG@WG;;7k0MIJ]B=294;>1a:CG@WD;93:546OKDS@?5;>2:d=FD\GDHH2=>b9BHPKHLL686=0n;@NVIJBB4:4j7LBZMNFFV@A33HXNK<>4ATVLV@UB\VZJXIK[7:C\MKVR\=1I0=0:;C>24;3D;9:4>7O2>4?78F9726<1I0<817:@?52<76<1I0<914:@?5;2D;?7>0N1614:@?=;4DDAG6;255MCHL?558?3KIBB1?>>99AGLH;9;437OMFN=30:==EK@D7=907;CAJJ972611IOD@3171IOD@31?58FFOI4;4<7OMFN=1=3>DDAG6?2:5MCHL?1;169AGLH;1720NNAZT=2==>DDG\^7==06;CALQQ:69730NNAZT=31:<=EKF__0<=19:@@KPR;9=427OM@UU>21;?c9AGJSS48=1<374BBMVP970611IOB[[<0<;?GEH]]69255MCNWW868?3KIDYY2;>99AGJSS4<437OM@UU>5:==EKF__0:07;CALQQ:?611IOB[[<8<7?GTBO11IY^QFNGM4?GYNFY__=6M;;B>3:0=D48:596M310<6?F:6:7?0O1?<>49@8429=2I7=80:;B>22;3E;904?7N2>>49@8769=2I7><0:;B>16;3E;:<4>7N2=6?78G9406<1H0?615:A?6<833J69285L<22=1>E;;84>7N2<2?78G9546<1H0>:15:A?70823J68:3;4C=14:0=D4:2596M338<7?F:46<1H09>15:A?04823J6?>3;4C=60:0=D4=>596M344<6?F:3>7=0O1:8:1<6?F:3?7>0O1:14:A?1;29<2I753l4C@PQ]FGTK@Dh7NO]RXABWFIR\>1HM_\VIOc8GDTUQ@DYIJj4C@PQ]LHTME6;2i5LASPZMKUBD5;5j6MNRS[JJVCK4;0;2i5LASPZMKUBD585h6MNRS[JJVCKZLMi7NO]RXMVP969j2IJ^_W@UU>2:g=DI[XRCXZ32?f8GDTUQF__0>4?>c9@EWT^G\^7?3<4CD68G@G6<2INM?:4CDCG=>EBIE_FCIK;;BGA51=DMK887NKL9:AFGDTUQ@D=7NKLTSN0?FCC02INIH6NA@:8G@OIEFNN?6MJM29@AU753JBNOFQCIBGMW@YSQYO97NG<;BNH=>EHEDC_XHJ>4:ALV@Y@MGOYMYG@N^KMBJ149G84?9<2N7=3;4D=03:0=C4;;596J323<6?A:5;7=0H1<;:1<6?A:5<7>0H1<14:F?7;2586J35?68@909<2N7;3:4D=:=0>B;17>0HLONa:FBPDYNF5:5n6JNT@]JJ9776k1OMYOPIO>25;dBF\HUBB1?7>c9GEQGXAG6:53o4D@VB[LH;97h0HLZN_HL?658e3MK_MRGA<33=f>BF\HUBB1<=>e9GEQGXAG69?7>1b:FBPDYNF5882l5KAUC\MK:56h1OMYOPIO>0:d=CI]KTEC2;>`9GEQGXAG6>2l5KAUC\MK:16h1OMYOPIO>4:d=CI]KTEC27>`9GEQGXAG622o5KAUC\KPR;87i0HLZN_NWW8469k2NJXLQ@UU>25;eBF\HUDYY2>3?a8@DRFWF__0<:1c:FBPDYH]]6:93m4D@VB[JSS48<5o6JNT@]LQQ:6?7i0HLZN_NWW84>9k2NJXLQ@UU>2=;db9GEQGXG\^7><0l;ECWEZIR\5892h5KAUC\KPR;::0;2n5KAUC\KPR;::4i7IO[A^MVP949j2NJXLQ@UU>0:g=CI]KTCXZ34?`8@DRFWF__080m;ECWEZIR\5<5n6JNT@]LQQ:06k1OMYOPOTV?<;d374D@]JJ974601OMRGA<06==>BFW@D7=806;EC\MK:6>7h0HLQFN=34>58>3MKTEC2>7?;8@DYNF5;3245KA^KM84?902NJSD@31?;8@DYNF58;245KA^KM877912NJSD@323<:?AGXAG69?374D@]JJ943601OMRGA<37==>BFW@D7>;06;EC\MK:5?730HLQFN=0;:<=CIVCE0?718:FB[LH;:730HLQFN=13:<=CIVCE0>?19:FB[LH;;;427IOPIO>07;?89GEZOI4:?556JN_HL?738>3MKTEC2<7?;8@DYNF593245KA^KM86?902NJSD@33?;8@DYNF5>;245KA^KM817912NJSD@343<:?AGXAG6??374D@]JJ923601OMRGA<57==>BFW@D78;0m;EC\MK:3?3:556JN_HL?028?3MKTEC2;>99GEZOI4<437IOPIO>5:==CIVCE0:07;EC\MK:?611OMRGA<8<:?AGXG\^7<3o4D@]LQQ:687k0HLQ@UU>25;g2?c8@DYH]]6:?3o4D@]LQQ:6<7k0HLQ@UU>21;g6?a8@DYH]]6:;7>1a:FB[JSS48=5m6JN_NWW84>9i2NJSB[[<0;==>BFWF__0<0n;EC\KPR;:94j7IOPOTV?648f3MKTCXZ323>0n;EC\KPR;:=4j7IOPOTV?608f3MKTCXZ327:0n;EC\KPR;:14j7IOPOTV?6<8>3MKTCXZ32?c8@DYH]]68<3o4D@]LQQ:497k0HLQ@UU>06;g02;g0:d=CIVE^X1:?>`9GEZIR\5>:2l5KA^MVP9256h1OMRAZT=60:d=CIVE^X1:;>`9GEZIR\5>>2l5KA^MVP9216j1OMRAZT=64>58f3MKTCXZ346<:?AGXG\^78374D@]LQQ:2601OMRAZT=4==>BFWF__0:06;EC\KPR;0730HLQ@UU>::<=CJVE^X1>1a:FA[JSS48:5m6JM_NWW8479i2NISB[[<00=e>BEWF__0<=1a:FA[JSS48>5m6JM_NWW8439i2NISB[[<04=g>BEWF__0<950?c8@GYH]]6:;3o4DC]LQQ:607k0HOQ@UU>2=;?>`9GFZIR\58;2l5KB^MVP9466h1ONRAZT=01:d=CJVE^X1<<>`9GFZIR\58?2l5KB^MVP9426h1ONRAZT=05:d=CJVE^X1<8>`9GFZIR\5832l5KB^MVP94>601ONRAZT=0=e>BEWF__0>>1a:FA[JSS4:;5m6JM_NWW8649i2NISB[[<21=e>BEWF__0>:1a:FA[JSS4:?5m6JM_NWW8609i2NISB[[<25=e>BEWF__0>61a:FA[JSS4:3556JM_NWW868f3MHTCXZ34189GFZIR\52556JM_NWW8<853MO97IH;;EDB47=CA?1OE_KLT29GTJ3BWZH8>7I^]A278@UTF<=1O^HI8;EQS0=C692O97HO;;DC1E1=BIHK97K:=;G:6?CGK[L80JI:4FEC;7>@CM:1MH_:4FEPF0>@C[L>0JK6N8:DEBC4FIHi0JKHIFGDEBC@B92M87J@K1:K1?L653@;97D<=;H11?L2>3@DBX^ZNTD;8MKKHLL6;245FNLMGA97912CEABJJ<3<:?LHJGMO7?3l4IOOL@@:329427D@BOEG?0;?H6?=1E=:9;;O34<1=I9>387C?74:L2<528368J4>4<2D:49:4N0:60>H60?>0B<684:L2<=2959M5<633G;2=95A1807?K7>;=1E=4:;;O3:11=I9033G;25?5A229M652:4N3270>H58<>0B?>94:L1422<=;;O0201=I:8??7C<>659M64133G8:495A20;0?K45<2D9>=:4N3020>H5:;>0B?<<4:L1615H4;2D8=1E9;9;;O75<1=I=?3?7C;8059M12733G?<>95A5617?K30<=1E9:;;;O7421=I=>=?7C;8859M12?33G?3<95A5937?K3?:=1E95=;;O7;01=I=1??7C;7659M1=133G?3495A59;7?K3>8=1E94?;;O7:61=I=09?7C;6459M1<333G?2:95A5857?K3>0=1E947;;O4341=I>9;?7C8?259M25533G<;895A6177?K07>=1E:=9;;O43<1=I>1;?7C87259M2=533G<3895A6977?K0?>=1E:59;;O4;<1=I>13?7C86059M2<733G<2>95A6817?K0><=1E:4;;;O4:21=I>0=?7C86859M295A7117?K17<=1E;=;;;O5321=I?9=?7C9?859M35?33G=:<95A7037?K16:=1E;<=;;O5201=I?8??7C9>659M34133G=:495A70;7?K158=1E;??;;O5161=I?;9?7C9=459M37333G=9:95A7357?K150;1E4?5A9g9MFZDR[YCES]\@PR58J@RPG[A<7CABESEF=>HHWYK_HHZ7;OM\V@UB\81D>6AD5:MMA1>>3FZFCIK30?;8KUKHLL6:245@PLMGA94912E[ABJJ<2<:?JVJGMO78374OQOL@@:26k1D\@AKE=494;?89LTHICM[OL=:5@PUKNM_CXX[CFEYGYER]MCF>3:0=W48:596^310<6?U:6:7?0\1?<>49S8429=2Z7=80:;Q>22;3V;904?7]2>>49S8769=2Z7><0:;Q>16;3V;:<4>7]2=6?78T9406<1[0?615:R?6<833Y69285_<22=1>V;;84>7]2<2?78T9546<1[0>:15:R?70823Y68:3;4P=14:0=W4:2596^338<7?U:46<1[09>15:R?04823Y6?>3;4P=60:0=W4=>596^344<6?U:3>7=0\1:8:1<6?U:3?7>0\1:14:R?1;29<2Z753:4P@PW3>VF\]OYBh5_AUVFVKDCM]OHXi5_AUVFVKBB\LI_;6^LIO>3:==WK@D7==07;QAJJ976611[OD@313<;?UENF5;8255_CHL?518?3YIBB1?:>99SGLH;9?437]MFN=34:==WK@D7=507;QAJJ97>6>1[OD@31?:8TFOI4;:546^LIO>15;>VDAG699364PBKM870902ZHEC2=7?:8TFOI4;2546^LIO>1=;199SGLH;;<437]MFN=15:==WK@D7?:07;QAJJ95?611[OD@338<4?UENF59546^LIO>74;>VDAG6?8364PBKM813902ZHEC2;6?c8TFOI4==1<364PBKM8119?2ZHEC2;>69SGLH;=7=0\NGA<7<4?UENF5=5;6^LIO>;:2=WK@D75364PBMVP96912ZHCXZ311<:?UEH]]6:=374PBMVP975601[OB[[<01==>VDG\^7=906;QALQQ:6=730\NAZT=35:<=WKF__0<919:R@KPR;91427]M@UU>2=;>15;?89SGJSS4;9556^LOTV?618>3YIDYY2=5?;8TFIR\58=245_CNWW871912ZHCXZ329<:?UEH]]695364PBMVP94912ZHCXZ331<:?UEH]]68=374PBMVP955601[OB[[<21==>VDG\^7?906;QALQQ:4=730\NAZT=15:<=WKF__0>919:R@KPR;;1427]M@UU>0=;>75;?89SGJSS4=9556^LOTV?018>3YIDYY2;5?;8TFIR\5>=2o5_CNWW811=8730\NAZT=64:==WKF__0907;QALQQ:2611[OB[[<7<;?UEH]]6<255_CNWW8=8?3YIDYY26>`9SMKYE]ZCOTo5_IO]AQVHFEL30\FQGLTVMI1=WZLM:7\=4Q072?W>U?K4:PPPDbUOZLMTIUZ]ABV\JBEb3ZBYIJQBIO]PM_C13ZE^^NK<;RRL3>UUDJ;=I95[RTG7?Q_WM01^BIK]FMMTe>STM[UJ@DO\c:WPAWYQAZCI@H74URG\@UIU\11^_HQBUMV26>STMVZJXYK]N^FFP@ES02_XIR]FME18RFE>3_CN[RZVPD68SFJL:2]N?6YJA0f8\LJNFQ'SHO.?.0"PPPD'8';+M^MFI49[KWE^>2RXXAGMb:Z\GJTBW@DMC;5Wdc]J`c=_laU[~dcYesqjkk773QnfS@oeosTfvvohf8:0TicPMhllvScu{`ee?6Wjs938[ZY_DGGTSR>P_^W3+bYjij$fmn!iis]paicd|&mnbRfnlg`bjaYcmjcfmyg`n/djv*abfVxn`bok.sgokdbXkg~y`"|jlncg[`hfjeoT~hb`ae]kfZciikfnSB\P10]l50b85]l534 g^obg+kfk&lb~R}jldaw+bciWakgjooad^ffglkf|`ee"kg}/nr`kacXkg~y`Rjcy=3=[`wi9>20SRQWLOO\[Z1XWV_;#jQbab,nef)aa{Uxiaklt.efjZnfdohjbiQkebkneqohf'lb~"ijn^pfhjgc&{ogcljPcovqh*EHMOUGHRm`eg]o`ZbkqVKEHR?<8^m22`=XWVRGB@QP_9]\[P6(oVgjo#cnc.djvZubdli#jka_icobggilVnnodcnthmm*cou'noeSnkatsg\pdvXlh~jSao{cigg+FCX_LUJBIQ>87]l5f2]/efjZuwd`~TobbT0\,dakYsiyyP>P heo]geqgX{pgTol}l.fgm[kiue'njxlQ|yl]`eveXles$OHQXE^CM@Z71?Ve:n6lck12345679k1i`f>?012347d?011a?gjl89:;<=>;b:`oo56789:;9o5mlj2345678?h0nae?0123451e3kf`<=>?012;f>dkc9:;<=>?9c9ahn6789:;?0123`g=edb:;<=>?0d`8fim789:;<=hm;cnh456789;;n6lck12345669k1i`f>?012357d?001a?gjl89:;<=?;b:`oo56789::9o5mlj2345679?h0nae?0123441e3kf`<=>?013;f>dkc9:;<=>>9c9ahn6789:;=ll4bmi3456788hi7obd0123457dj2hgg=>?0122`g=edb:;<=>?1d`8fim789:;<?012367d?031a?gjl89:;<=<;b:`oo56789:99o5mlj234567:?h0nae?0123471e3kf`<=>?010;f>dkc9:;<=>=9c9ahn6789:;>ll4bmi345678;hi7obd0123454dj2hgg=>?0121`g=edb:;<=>?2d`8fim789:;?012377d?021a?gjl89:;<==;b:`oo56789:89o5mlj234567;?h0nae?0123461e3kf`<=>?011;f>dkc9:;<=><9c9ahn6789:;?ll4bmi345678:hi7obd0123455dj2hgg=>?0120`g=edb:;<=>?3d`8fim789:;<>hm;cnh456789>;n6lck12345639k1i`f>?012307d?051a?gjl89:;<=:;b:`oo56789:?9o5mlj234567?016;f>dkc9:;<=>;9c9ahn6789:;8ll4bmi345678=hi7obd0123452dj2hgg=>?0127`g=edb:;<=>?4d`8fim789:;<9hm;cnh456789?;n6lck12345629k1i`f>?012317d?041a?gjl89:;<=;;b:`oo56789:>9o5mlj234567=?h0nae?0123401e3kf`<=>?017;f>dkc9:;<=>:9c9ahn6789:;9ll4bmi345678?0126`g=edb:;<=>?5d`8fim789:;<8hm;cnh456789<;n6lck12345619k1i`f>?012327d?071a?gjl89:;<=8;b:`oo56789:=9o5mlj234567>?h0nae?0123431e3kf`<=>?014;f>dkc9:;<=>99c9ahn6789:;:ll4bmi345678?hi7obd0123450dj2hgg=>?0125`g=edb:;<=>?6d`8fim789:;<;hm;cnh456789=;n6lck12345609k1i`f>?012337d?061a?gjl89:;<=9;b:`oo56789:<9o5mlj234567??h0nae?0123421e3kf`<=>?015;f>dkc9:;<=>89c9ahn6789:;;ll4bmi345678>hi7obd0123451dj2hgg=>?0124`g=edb:;<=>?7d`8fim789:;<:hm;cnh4567892;n6lck123456?9k1i`f>?0123<7d?091a?gjl89:;<=6;b:`oo56789:39o5mlj2345670?h0nae?01234=1e3kf`<=>?01:;f>dkc9:;<=>79c9ahn6789:;4ll4bmi3456781hi7obd012345>dj2hgg=>?012;`g=edb:;<=>?8d`8fim789:;<5hm;cnh4567893;n6lck123456>9k1i`f>?0123=7d?081a?gjl89:;<=7;b:`oo56789:29o5mlj2345671?h0nae?01234<1e3kf`<=>?01;;f>dkc9:;<=>69c9ahn6789:;5ll4bmi3456780hi7obd012345?dj2hgg=>?012:`g=edb:;<=>?9d`8fim789:;<4hm;cnh456789k;n6lck123456f9k1i`f>?0123e7d?0`1a?gjl89:;<=o;b:`oo56789:j9o5mlj234567i?h0nae?01234d1e3kf`<=>?01c;f>dkc9:;<=>n9c9ahn6789:;mll4bmi345678hhi7obd012345gdj2hgg=>?012b`g=edb:;<=>?ad`8fim789:;?0123f7d?0c1a?gjl89:;<=l;b:`oo56789:i9o5mlj234567j?h0nae?01234g1e3kf`<=>?01`;f>dkc9:;<=>m9c9ahn6789:;nll4bmi345678khi7obd012345ddj2hgg=>?012a`g=edb:;<=>?bd`8fim789:;?0123g7d?0b1a?gjl89:;<=m;b:`oo56789:h9o5mlj234567k?h0nae?01234f1e3kf`<=>?01a;f>dkc9:;<=>l9c9ahn6789:;oll4bmi345678jhi7obd012345edj2hgg=>?012``g=edb:;<=>?cd`8fim789:;?0123`7d?0e1a?gjl89:;<=j;b:`oo56789:o9o5mlj234567l?h0nae?01234a1e3kf`<=>?01f;f>dkc9:;<=>k9c9ahn6789:;hll4bmi345678mhi7obd012345bdj2hgg=>?012g`g=edb:;<=>?dd`8fim789:;?0123a7d?0d1a?gjl89:;<=k;b:`oo56789:n9o5mlj234567m?h0nae?01234`1e3kf`<=>?01g;f>dkc9:;<=>j9c9ahn6789:;ill4bmi345678lhi7obd012345cdj2hgg=>?012f`g=edb:;<=>?ed`8fim789:;?0123b7d?0g1a?gjl89:;<=h;b:`oo56789:m9o5mlj234567n?h0nae?01234c1e3kf`<=>?01d;f>dkc9:;<=>i9c9ahn6789:;jll4bmi345678ohi7obd012345`dj2hgg=>?012e`g=edb:;<=>?fd`8fim789:;?012247d?111a?gjl89:;<<>;b:`oo56789;;9o5mlj2345668?h0nae?0123551e3kf`<=>?002;f>dkc9:;<=??9c9ahn6789::?0133`g=edb:;<=>>0d`8fim789:;==hm;cnh456788;;n6lck12345769k1i`f>?012257d?101a?gjl89:;<?003;f>dkc9:;<=?>9c9ahn6789::=ll4bmi3456798hi7obd0123447dj2hgg=>?0132`g=edb:;<=>>1d`8fim789:;=?012267d?131a?gjl89:;<<<;b:`oo56789;99o5mlj234566:?h0nae?0123571e3kf`<=>?000;f>dkc9:;<=?=9c9ahn6789::>ll4bmi345679;hi7obd0123444dj2hgg=>?0131`g=edb:;<=>>2d`8fim789:;=?hm;cnh4567889;n6lck12345749k1i`f>?012277d?121a?gjl89:;<<=;b:`oo56789;89o5mlj234566;?h0nae?0123561e3kf`<=>?001;f>dkc9:;<=?<9c9ahn6789::?ll4bmi345679:hi7obd0123445dj2hgg=>?0130`g=edb:;<=>>3d`8fim789:;=>hm;cnh456788>;n6lck12345739k1i`f>?012207d?151a?gjl89:;<<:;b:`oo56789;?9o5mlj234566?006;f>dkc9:;<=?;9c9ahn6789::8ll4bmi345679=hi7obd0123442dj2hgg=>?0137`g=edb:;<=>>4d`8fim789:;=9hm;cnh456788?;n6lck12345729k1i`f>?012217d?141a?gjl89:;<<;;b:`oo56789;>9o5mlj234566=?h0nae?0123501e3kf`<=>?007;f>dkc9:;<=?:9c9ahn6789::9ll4bmi345679?0136`g=edb:;<=>>5d`8fim789:;=8hm;cnh456788<;n6lck12345719k1i`f>?012227d?171a?gjl89:;<<8;b:`oo56789;=9o5mlj234566>?h0nae?0123531e3kf`<=>?004;f>dkc9:;<=?99c9ahn6789:::ll4bmi345679?hi7obd0123440dj2hgg=>?0135`g=edb:;<=>>6d`8fim789:;=;hm;cnh456788=;n6lck12345709k1i`f>?012237d?161a?gjl89:;<<9;b:`oo56789;<9o5mlj234566??h0nae?0123521e3kf`<=>?005;f>dkc9:;<=?89c9ahn6789::;ll4bmi345679>hi7obd0123441dj2hgg=>?0134`g=edb:;<=>>7d`8fim789:;=:hm;cnh4567882;n6lck123457?9k1i`f>?0122<7d?191a?gjl89:;<<6;b:`oo56789;39o5mlj2345660?h0nae?01235=1e3kf`<=>?00:;f>dkc9:;<=?79c9ahn6789::4ll4bmi3456791hi7obd012344>dj2hgg=>?013;`g=edb:;<=>>8d`8fim789:;=5hm;cnh4567883;n6lck123457>9k1i`f>?0122=7d?181a?gjl89:;<<7;b:`oo56789;29o5mlj2345661?h0nae?01235<1e3kf`<=>?00;;f>dkc9:;<=?69c9ahn6789::5ll4bmi3456790hi7obd012344?dj2hgg=>?013:`g=edb:;<=>>9d`8fim789:;=4hm;cnh456788k;n6lck123457f9k1i`f>?0122e7d?1`1a?gjl89:;<?00c;f>dkc9:;<=?n9c9ahn6789::mll4bmi345679hhi7obd012344gdj2hgg=>?013b`g=edb:;<=>>ad`8fim789:;=lhm;cnh456788h;n6lck123457e9k1i`f>?0122f7d?1c1a?gjl89:;<?00`;f>dkc9:;<=?m9c9ahn6789::nll4bmi345679khi7obd012344ddj2hgg=>?013a`g=edb:;<=>>bd`8fim789:;=ohm;cnh456788i;n6lck123457d9k1i`f>?0122g7d?1b1a?gjl89:;<?00a;f>dkc9:;<=?l9c9ahn6789::oll4bmi345679jhi7obd012344edj2hgg=>?013``g=edb:;<=>>cd`8fim789:;=nhm;cnh456788n;n6lck123457c9k1i`f>?0122`7d?1e1a?gjl89:;<?00f;f>dkc9:;<=?k9c9ahn6789::hll4bmi345679mhi7obd012344bdj2hgg=>?013g`g=edb:;<=>>dd`8fim789:;=ihm;cnh456788o;n6lck123457b9k1i`f>?0122a7d?1d1a?gjl89:;<?00g;f>dkc9:;<=?j9c9ahn6789::ill4bmi345679lhi7obd012344cdj2hgg=>?013f`g=edb:;<=>>ed`8fim789:;=hhm;cnh456788l;n6lck123457a9k1i`f>?0122b7d?1g1a?gjl89:;<?00d;f>dkc9:;<=?i9c9ahn6789::jll4bmi345679ohi7obd012344`dj2hgg=>?013e`g=edb:;<=>>fd`8fim789:;=khm;cnh45678;:;n6lck12345479k1i`f>?012147d?211a?gjl89:;;b:`oo567898;9o5mlj2345658?h0nae?0123651e3kf`<=>?032;f>dkc9:;<=?0103`g=edb:;<=>=0d`8fim789:;>=hm;cnh45678;;;n6lck12345469k1i`f>?012157d?201a?gjl89:;?033;f>dkc9:;<=<>9c9ahn6789:9=ll4bmi34567:8hi7obd0123477dj2hgg=>?0102`g=edb:;<=>=1d`8fim789:;>?012167d?231a?gjl89:;?030;f>dkc9:;<=<=9c9ahn6789:9>ll4bmi34567:;hi7obd0123474dj2hgg=>?0101`g=edb:;<=>=2d`8fim789:;>?hm;cnh45678;9;n6lck12345449k1i`f>?012177d?221a?gjl89:;?031;f>dkc9:;<=<<9c9ahn6789:9?ll4bmi34567::hi7obd0123475dj2hgg=>?0100`g=edb:;<=>=3d`8fim789:;>>hm;cnh45678;>;n6lck12345439k1i`f>?012107d?251a?gjl89:;?036;f>dkc9:;<=<;9c9ahn6789:98ll4bmi34567:=hi7obd0123472dj2hgg=>?0107`g=edb:;<=>=4d`8fim789:;>9hm;cnh45678;?;n6lck12345429k1i`f>?012117d?241a?gjl89:;9o5mlj234565=?h0nae?0123601e3kf`<=>?037;f>dkc9:;<=<:9c9ahn6789:99ll4bmi34567:?0106`g=edb:;<=>=5d`8fim789:;>8hm;cnh45678;<;n6lck12345419k1i`f>?012127d?271a?gjl89:;?h0nae?0123631e3kf`<=>?034;f>dkc9:;<=<99c9ahn6789:9:ll4bmi34567:?hi7obd0123470dj2hgg=>?0105`g=edb:;<=>=6d`8fim789:;>;hm;cnh45678;=;n6lck12345409k1i`f>?012137d?261a?gjl89:;?035;f>dkc9:;<=<89c9ahn6789:9;ll4bmi34567:>hi7obd0123471dj2hgg=>?0104`g=edb:;<=>=7d`8fim789:;>:hm;cnh45678;2;n6lck123454?9k1i`f>?0121<7d?291a?gjl89:;?03:;f>dkc9:;<=<79c9ahn6789:94ll4bmi34567:1hi7obd012347>dj2hgg=>?010;`g=edb:;<=>=8d`8fim789:;>5hm;cnh45678;3;n6lck123454>9k1i`f>?0121=7d?281a?gjl89:;?03;;f>dkc9:;<=<69c9ahn6789:95ll4bmi34567:0hi7obd012347?dj2hgg=>?010:`g=edb:;<=>=9d`8fim789:;>4hm;cnh45678;k;n6lck123454f9k1i`f>?0121e7d?2`1a?gjl89:;?03c;f>dkc9:;<=?010b`g=edb:;<=>=ad`8fim789:;>lhm;cnh45678;h;n6lck123454e9k1i`f>?0121f7d?2c1a?gjl89:;?03`;f>dkc9:;<=?010a`g=edb:;<=>=bd`8fim789:;>ohm;cnh45678;i;n6lck123454d9k1i`f>?0121g7d?2b1a?gjl89:;?03a;f>dkc9:;<=?010``g=edb:;<=>=cd`8fim789:;>nhm;cnh45678;n;n6lck123454c9k1i`f>?0121`7d?2e1a?gjl89:;?03f;f>dkc9:;<=?010g`g=edb:;<=>=dd`8fim789:;>ihm;cnh45678;o;n6lck123454b9k1i`f>?0121a7d?2d1a?gjl89:;?03g;f>dkc9:;<=?010f`g=edb:;<=>=ed`8fim789:;>hhm;cnh45678;l;n6lck123454a9k1i`f>?0121b7d?2g1a?gjl89:;?03d;f>dkc9:;<=?010e`g=edb:;<=>=fd`8fim789:;>khm;cnh45678::;n6lck12345579k1i`f>?012047d?311a?gjl89:;<>>;b:`oo567899;9o5mlj2345648?h0nae?0123751e3kf`<=>?022;f>dkc9:;<==?9c9ahn6789:8?0113`g=edb:;<=><0d`8fim789:;?=hm;cnh45678:;;n6lck12345569k1i`f>?012057d?301a?gjl89:;<>?;b:`oo567899:9o5mlj2345649?h0nae?0123741e3kf`<=>?023;f>dkc9:;<==>9c9ahn6789:8=ll4bmi34567;8hi7obd0123467dj2hgg=>?0112`g=edb:;<=><1d`8fim789:;??012067d?331a?gjl89:;<><;b:`oo56789999o5mlj234564:?h0nae?0123771e3kf`<=>?020;f>dkc9:;<===9c9ahn6789:8>ll4bmi34567;;hi7obd0123464dj2hgg=>?0111`g=edb:;<=><2d`8fim789:;??hm;cnh45678:9;n6lck12345549k1i`f>?012077d?321a?gjl89:;<>=;b:`oo56789989o5mlj234564;?h0nae?0123761e3kf`<=>?021;f>dkc9:;<==<9c9ahn6789:8?ll4bmi34567;:hi7obd0123465dj2hgg=>?0110`g=edb:;<=><3d`8fim789:;?>hm;cnh45678:>;n6lck12345539k1i`f>?012007d?351a?gjl89:;<>:;b:`oo567899?9o5mlj234564?026;f>dkc9:;<==;9c9ahn6789:88ll4bmi34567;=hi7obd0123462dj2hgg=>?0117`g=edb:;<=><4d`8fim789:;?9hm;cnh45678:?;n6lck12345529k1i`f>?012017d?341a?gjl89:;<>;;b:`oo567899>9o5mlj234564=?h0nae?0123701e3kf`<=>?027;f>dkc9:;<==:9c9ahn6789:89ll4bmi34567;?0116`g=edb:;<=><5d`8fim789:;?8hm;cnh45678:<;n6lck12345519k1i`f>?012027d?371a?gjl89:;<>8;b:`oo567899=9o5mlj234564>?h0nae?0123731e3kf`<=>?024;f>dkc9:;<==99c9ahn6789:8:ll4bmi34567;?hi7obd0123460dj2hgg=>?0115`g=edb:;<=><6d`8fim789:;?;hm;cnh45678:=;n6lck12345509k1i`f>?012037d?361a?gjl89:;<>9;b:`oo567899<9o5mlj234564??h0nae?0123721e3kf`<=>?025;f>dkc9:;<==89c9ahn6789:8;ll4bmi34567;>hi7obd0123461dj2hgg=>?0114`g=edb:;<=><7d`8fim789:;?:hm;cnh45678:2;n6lck123455?9k1i`f>?0120<7d?391a?gjl89:;<>6;b:`oo56789939o5mlj2345640?h0nae?01237=1e3kf`<=>?02:;f>dkc9:;<==79c9ahn6789:84ll4bmi34567;1hi7obd012346>dj2hgg=>?011;`g=edb:;<=><8d`8fim789:;?5hm;cnh45678:3;n6lck123455>9k1i`f>?0120=7d?381a?gjl89:;<>7;b:`oo56789929o5mlj2345641?h0nae?01237<1e3kf`<=>?02;;f>dkc9:;<==69c9ahn6789:85ll4bmi34567;0hi7obd012346?dj2hgg=>?011:`g=edb:;<=><9d`8fim789:;?4hm;cnh45678:k;n6lck123455f9k1i`f>?0120e7d?3`1a?gjl89:;<>o;b:`oo567899j9o5mlj234564i?h0nae?01237d1e3kf`<=>?02c;f>dkc9:;<==n9c9ahn6789:8mll4bmi34567;hhi7obd012346gdj2hgg=>?011b`g=edb:;<=>?0120f7d?3c1a?gjl89:;<>l;b:`oo567899i9o5mlj234564j?h0nae?01237g1e3kf`<=>?02`;f>dkc9:;<==m9c9ahn6789:8nll4bmi34567;khi7obd012346ddj2hgg=>?011a`g=edb:;<=>?0120g7d?3b1a?gjl89:;<>m;b:`oo567899h9o5mlj234564k?h0nae?01237f1e3kf`<=>?02a;f>dkc9:;<==l9c9ahn6789:8oll4bmi34567;jhi7obd012346edj2hgg=>?011``g=edb:;<=>?0120`7d?3e1a?gjl89:;<>j;b:`oo567899o9o5mlj234564l?h0nae?01237a1e3kf`<=>?02f;f>dkc9:;<==k9c9ahn6789:8hll4bmi34567;mhi7obd012346bdj2hgg=>?011g`g=edb:;<=>?0120a7d?3d1a?gjl89:;<>k;b:`oo567899n9o5mlj234564m?h0nae?01237`1e3kf`<=>?02g;f>dkc9:;<==j9c9ahn6789:8ill4bmi34567;lhi7obd012346cdj2hgg=>?011f`g=edb:;<=>?0120b7d?3g1a?gjl89:;<>h;b:`oo567899m9o5mlj234564n?h0nae?01237c1e3kf`<=>?02d;f>dkc9:;<==i9c9ahn6789:8jll4bmi34567;ohi7obd012346`dj2hgg=>?011e`g=edb:;<=>?012747d?411a?gjl89:;<9>;b:`oo56789>;9o5mlj2345638?h0nae?0123051e3kf`<=>?052;f>dkc9:;<=:?9c9ahn6789:??0163`g=edb:;<=>;0d`8fim789:;8=hm;cnh45678=;;n6lck12345269k1i`f>?012757d?401a?gjl89:;<9?;b:`oo56789>:9o5mlj2345639?h0nae?0123041e3kf`<=>?053;f>dkc9:;<=:>9c9ahn6789:?=ll4bmi34567<8hi7obd0123417dj2hgg=>?0162`g=edb:;<=>;1d`8fim789:;8?012767d?431a?gjl89:;<9<;b:`oo56789>99o5mlj234563:?h0nae?0123071e3kf`<=>?050;f>dkc9:;<=:=9c9ahn6789:?>ll4bmi34567<;hi7obd0123414dj2hgg=>?0161`g=edb:;<=>;2d`8fim789:;8?hm;cnh45678=9;n6lck12345249k1i`f>?012777d?421a?gjl89:;<9=;b:`oo56789>89o5mlj234563;?h0nae?0123061e3kf`<=>?051;f>dkc9:;<=:<9c9ahn6789:??ll4bmi34567<:hi7obd0123415dj2hgg=>?0160`g=edb:;<=>;3d`8fim789:;8>hm;cnh45678=>;n6lck12345239k1i`f>?012707d?451a?gjl89:;<9:;b:`oo56789>?9o5mlj234563?056;f>dkc9:;<=:;9c9ahn6789:?8ll4bmi34567<=hi7obd0123412dj2hgg=>?0167`g=edb:;<=>;4d`8fim789:;89hm;cnh45678=?;n6lck12345229k1i`f>?012717d?441a?gjl89:;<9;;b:`oo56789>>9o5mlj234563=?h0nae?0123001e3kf`<=>?057;f>dkc9:;<=::9c9ahn6789:?9ll4bmi34567<?0166`g=edb:;<=>;5d`8fim789:;88hm;cnh45678=<;n6lck12345219k1i`f>?012727d?471a?gjl89:;<98;b:`oo56789>=9o5mlj234563>?h0nae?0123031e3kf`<=>?054;f>dkc9:;<=:99c9ahn6789:?:ll4bmi34567?0165`g=edb:;<=>;6d`8fim789:;8;hm;cnh45678==;n6lck12345209k1i`f>?012737d?461a?gjl89:;<99;b:`oo56789><9o5mlj234563??h0nae?0123021e3kf`<=>?055;f>dkc9:;<=:89c9ahn6789:?;ll4bmi34567<>hi7obd0123411dj2hgg=>?0164`g=edb:;<=>;7d`8fim789:;8:hm;cnh45678=2;n6lck123452?9k1i`f>?0127<7d?491a?gjl89:;<96;b:`oo56789>39o5mlj2345630?h0nae?01230=1e3kf`<=>?05:;f>dkc9:;<=:79c9ahn6789:?4ll4bmi34567<1hi7obd012341>dj2hgg=>?016;`g=edb:;<=>;8d`8fim789:;85hm;cnh45678=3;n6lck123452>9k1i`f>?0127=7d?481a?gjl89:;<97;b:`oo56789>29o5mlj2345631?h0nae?01230<1e3kf`<=>?05;;f>dkc9:;<=:69c9ahn6789:?5ll4bmi34567<0hi7obd012341?dj2hgg=>?016:`g=edb:;<=>;9d`8fim789:;84hm;cnh45678=k;n6lck123452f9k1i`f>?0127e7d?4`1a?gjl89:;<9o;b:`oo56789>j9o5mlj234563i?h0nae?01230d1e3kf`<=>?05c;f>dkc9:;<=:n9c9ahn6789:?mll4bmi34567?016b`g=edb:;<=>;ad`8fim789:;8lhm;cnh45678=h;n6lck123452e9k1i`f>?0127f7d?4c1a?gjl89:;<9l;b:`oo56789>i9o5mlj234563j?h0nae?01230g1e3kf`<=>?05`;f>dkc9:;<=:m9c9ahn6789:?nll4bmi34567?016a`g=edb:;<=>;bd`8fim789:;8ohm;cnh45678=i;n6lck123452d9k1i`f>?0127g7d?4b1a?gjl89:;<9m;b:`oo56789>h9o5mlj234563k?h0nae?01230f1e3kf`<=>?05a;f>dkc9:;<=:l9c9ahn6789:?oll4bmi34567?016``g=edb:;<=>;cd`8fim789:;8nhm;cnh45678=n;n6lck123452c9k1i`f>?0127`7d?4e1a?gjl89:;<9j;b:`oo56789>o9o5mlj234563l?h0nae?01230a1e3kf`<=>?05f;f>dkc9:;<=:k9c9ahn6789:?hll4bmi34567?016g`g=edb:;<=>;dd`8fim789:;8ihm;cnh45678=o;n6lck123452b9k1i`f>?0127a7d?4d1a?gjl89:;<9k;b:`oo56789>n9o5mlj234563m?h0nae?01230`1e3kf`<=>?05g;f>dkc9:;<=:j9c9ahn6789:?ill4bmi34567?016f`g=edb:;<=>;ed`8fim789:;8hhm;cnh45678=l;n6lck123452a9k1i`f>?0127b7d?4g1a?gjl89:;<9h;b:`oo56789>m9o5mlj234563n?h0nae?01230c1e3kf`<=>?05d;f>dkc9:;<=:i9c9ahn6789:?jll4bmi34567?016e`g=edb:;<=>;fd`8fim789:;8khm;cnh45678<:;n6lck12345379k1i`f>?012647d?511a?gjl89:;<8>;b:`oo56789?;9o5mlj2345628?h0nae?0123151e3kf`<=>?042;f>dkc9:;<=;?9c9ahn6789:>?0173`g=edb:;<=>:0d`8fim789:;9=hm;cnh45678<;;n6lck12345369k1i`f>?012657d?501a?gjl89:;<8?;b:`oo56789?:9o5mlj2345629?h0nae?0123141e3kf`<=>?043;f>dkc9:;<=;>9c9ahn6789:>=ll4bmi34567=8hi7obd0123407dj2hgg=>?0172`g=edb:;<=>:1d`8fim789:;9?012667d?531a?gjl89:;<8<;b:`oo56789?99o5mlj234562:?h0nae?0123171e3kf`<=>?040;f>dkc9:;<=;=9c9ahn6789:>>ll4bmi34567=;hi7obd0123404dj2hgg=>?0171`g=edb:;<=>:2d`8fim789:;9?hm;cnh45678<9;n6lck12345349k1i`f>?012677d?521a?gjl89:;<8=;b:`oo56789?89o5mlj234562;?h0nae?0123161e3kf`<=>?041;f>dkc9:;<=;<9c9ahn6789:>?ll4bmi34567=:hi7obd0123405dj2hgg=>?0170`g=edb:;<=>:3d`8fim789:;9>hm;cnh45678<>;n6lck12345339k1i`f>?012607d?551a?gjl89:;<8:;b:`oo56789??9o5mlj234562?046;f>dkc9:;<=;;9c9ahn6789:>8ll4bmi34567==hi7obd0123402dj2hgg=>?0177`g=edb:;<=>:4d`8fim789:;99hm;cnh45678?012617d?541a?gjl89:;<8;;b:`oo56789?>9o5mlj234562=?h0nae?0123101e3kf`<=>?047;f>dkc9:;<=;:9c9ahn6789:>9ll4bmi34567=?0176`g=edb:;<=>:5d`8fim789:;98hm;cnh45678<<;n6lck12345319k1i`f>?012627d?571a?gjl89:;<88;b:`oo56789?=9o5mlj234562>?h0nae?0123131e3kf`<=>?044;f>dkc9:;<=;99c9ahn6789:>:ll4bmi34567=?hi7obd0123400dj2hgg=>?0175`g=edb:;<=>:6d`8fim789:;9;hm;cnh45678<=;n6lck12345309k1i`f>?012637d?561a?gjl89:;<89;b:`oo56789?<9o5mlj234562??h0nae?0123121e3kf`<=>?045;f>dkc9:;<=;89c9ahn6789:>;ll4bmi34567=>hi7obd0123401dj2hgg=>?0174`g=edb:;<=>:7d`8fim789:;9:hm;cnh45678<2;n6lck123453?9k1i`f>?0126<7d?591a?gjl89:;<86;b:`oo56789?39o5mlj2345620?h0nae?01231=1e3kf`<=>?04:;f>dkc9:;<=;79c9ahn6789:>4ll4bmi34567=1hi7obd012340>dj2hgg=>?017;`g=edb:;<=>:8d`8fim789:;95hm;cnh45678<3;n6lck123453>9k1i`f>?0126=7d?581a?gjl89:;<87;b:`oo56789?29o5mlj2345621?h0nae?01231<1e3kf`<=>?04;;f>dkc9:;<=;69c9ahn6789:>5ll4bmi34567=0hi7obd012340?dj2hgg=>?017:`g=edb:;<=>:9d`8fim789:;94hm;cnh45678?0126e7d?5`1a?gjl89:;<8o;b:`oo56789?j9o5mlj234562i?h0nae?01231d1e3kf`<=>?04c;f>dkc9:;<=;n9c9ahn6789:>mll4bmi34567=hhi7obd012340gdj2hgg=>?017b`g=edb:;<=>:ad`8fim789:;9lhm;cnh45678?0126f7d?5c1a?gjl89:;<8l;b:`oo56789?i9o5mlj234562j?h0nae?01231g1e3kf`<=>?04`;f>dkc9:;<=;m9c9ahn6789:>nll4bmi34567=khi7obd012340ddj2hgg=>?017a`g=edb:;<=>:bd`8fim789:;9ohm;cnh45678?0126g7d?5b1a?gjl89:;<8m;b:`oo56789?h9o5mlj234562k?h0nae?01231f1e3kf`<=>?04a;f>dkc9:;<=;l9c9ahn6789:>oll4bmi34567=jhi7obd012340edj2hgg=>?017``g=edb:;<=>:cd`8fim789:;9nhm;cnh45678?0126`7d?5e1a?gjl89:;<8j;b:`oo56789?o9o5mlj234562l?h0nae?01231a1e3kf`<=>?04f;f>dkc9:;<=;k9c9ahn6789:>hll4bmi34567=mhi7obd012340bdj2hgg=>?017g`g=edb:;<=>:dd`8fim789:;9ihm;cnh45678?0126a7d?5d1a?gjl89:;<8k;b:`oo56789?n9o5mlj234562m?h0nae?01231`1e3kf`<=>?04g;f>dkc9:;<=;j9c9ahn6789:>ill4bmi34567=lhi7obd012340cdj2hgg=>?017f`g=edb:;<=>:ed`8fim789:;9hhm;cnh45678?0126b7d?5g1a?gjl89:;<8h;b:`oo56789?m9o5mlj234562n?h0nae?01231c1e3kf`<=>?04d;f>dkc9:;<=;i9c9ahn6789:>jll4bmi34567=ohi7obd012340`dj2hgg=>?017e`g=edb:;<=>:fd`8fim789:;9khm;cnh45678?:;n6lck12345079k1i`f>?012547d?611a?gjl89:;<;>;b:`oo56789<;9o5mlj2345618?h0nae?0123251e3kf`<=>?072;f>dkc9:;<=8?9c9ahn6789:=9hi7obd0123436dj2hgg=>?0143`g=edb:;<=>90d`8fim789:;:=hm;cnh45678?;;n6lck12345069k1i`f>?012557d?601a?gjl89:;<;?;b:`oo56789<:9o5mlj2345619?h0nae?0123241e3kf`<=>?073;f>dkc9:;<=8>9c9ahn6789:==ll4bmi34567>8hi7obd0123437dj2hgg=>?0142`g=edb:;<=>91d`8fim789:;:?012567d?631a?gjl89:;<;<;b:`oo56789<99o5mlj234561:?h0nae?0123271e3kf`<=>?070;f>dkc9:;<=8=9c9ahn6789:=>ll4bmi34567>;hi7obd0123434dj2hgg=>?0141`g=edb:;<=>92d`8fim789:;:?hm;cnh45678?9;n6lck12345049k1i`f>?012577d?621a?gjl89:;<;=;b:`oo56789<89o5mlj234561;?h0nae?0123261e3kf`<=>?071;f>dkc9:;<=8<9c9ahn6789:=?ll4bmi34567>:hi7obd0123435dj2hgg=>?0140`g=edb:;<=>93d`8fim789:;:>hm;cnh45678?>;n6lck12345039k1i`f>?012507d?651a?gjl89:;<;:;b:`oo56789?076;f>dkc9:;<=8;9c9ahn6789:=8ll4bmi34567>=hi7obd0123432dj2hgg=>?0147`g=edb:;<=>94d`8fim789:;:9hm;cnh45678??;n6lck12345029k1i`f>?012517d?641a?gjl89:;<;;;b:`oo56789<>9o5mlj234561=?h0nae?0123201e3kf`<=>?077;f>dkc9:;<=8:9c9ahn6789:=9ll4bmi34567>ehmoUoec&>0(a8gjcaWmce$$m4cnge[aoi 89"o6m`eg]gmk.6< i0obki_ekm,43.k2idikQkio*22,eehmoUoec&=)c9`k``Xl`d#?$l4cnge[aoi =#i7najf^fjj-3.j2idikQkio*5-g=dgllThd`'7(`8gjcaWmce$5'm;bmfbZbnf!3"n6m`eg]gmk:76j1hchhPdhl?558d3jenjRjfn=32:f=dgllThd`313<`?fibnVnbb1?<>b9`k``Xl`d7=90l;bmfbZbnf5;>2n5lodd\`lh;9?4n7najf^fjj970294h7najf^fjj9706k1hchhPdhl?5;dehmoUoec27>c9`k``Xl`d753?=;bmfbZ`nd}oyS~kc(1+20>ehmoUmeazjr^qfh96=87=0obki_mf4?fibnV}nm6jnt`]ueioc>2nbb%>&6:fjj-7.?2nbb%??)69gmk.69 =0hd`'13+4?aoi 89";6jfn)37-2=cag":9$94dhl+53/03mce$<9&7:fjj-7?!>1oec&>9(48`lh/: =0hd`'21+4?aoi ;;";6jfn)01-2=cag"9?$84dhl+7,0>4?>99gmkY6 9#37iga_0*2-<=cagU:$<>&9:fjjZ7/98#27iga_0*26,?"56jfn^3+50/>3mceS<&>6(;8`lhX9!;<%45kio]2,4>.12nbbR?'18+;?aoiW8"9%45kio]2,76.12nbbR?'20+:?aoiW8"9>$74dhl\5-44!11oecQ>(2+;?aoiW8"?%55kio]2,0/?3mceS<&9)99gmkY6 >#37iga_0*;-==cagU:$4'6;ekm[46/8 30hd`P11*2-d=cagU:<%??)`9gmkY68!;:%l5kio]24-75!h1oecQ>0)30-d=cagU:<%?;)`9gmkY68!;>%l5kio]24-71!h1oecQ>0)34-d=cagU:<%?7)`9gmkY68!;2%45kio]24-4.i2nbbR??(32*e>bnfV;;$??&a:fjjZ77 ;8"m6jfn^33,75.12nbbR??(2+:?aoiW8:#8$74dhl\55.2!01oecQ>0)4*=>bnfV;;$:'6;ekm[46/0 30hd`P11*:-f=cagU:<1<<:1<:?aoiW8;#<$74dhl\54.6!h1oecQ>1)33-d=cagU:=%?>)`9gmkY69!;9%l5kio]25-74!h1oecQ>1)37-d=cagU:=%?:)`9gmkY69!;=%l5kio]25-70!h1oecQ>1)3;-d=cagU:=%?6)89gmkY69!8"m6jfn^32,76.i2nbbR?>(33*e>bnfV;:$?<&a:fjjZ76 ;9"56jfn^32,6/>3mceS%45kio]25-0.12nbbR?>(6+:?aoiW8;#4$74dhl\54.>!j1oecQ>1=00>58>3mceS<<'0(;8`lhX9;":%l5kio]26-77!h1oecQ>2)32-d=cagU:>%?=)`9gmkY6:!;8%l5kio]26-73!h1oecQ>2)36-d=cagU:>%?9)`9gmkY6:!;<%l5kio]26-7?!h1oecQ>2)3:-<=cagU:>%<&a:fjjZ75 ;:"m6jfn^31,77.i2nbbR?=(30*e>bnfV;9$?=&9:fjjZ75 :#27iga_00+0,?3mceS<<'8(;8`lhX9;"2%n5kio]2694429427iga_01+4,?&>)`9gmkY6;!;;%l5kio]27-76!h1oecQ>3)31-d=cagU:?%?<)`9gmkY6;!;?%l5kio]27-72!h1oecQ>3)35-d=cagU:?%?8)`9gmkY6;!;3%l5kio]27-7>!01oecQ>3)0*e>bnfV;8$?>&a:fjjZ74 ;;"m6jfn^30,74.i2nbbR?<(31*=>bnfV;8$>'6;ekm[45/< 30hd`P12*6-<=cagU:?%8&9:fjjZ74 >#27iga_01+<,?&6)b9gmkY6;5886=06;ekm[42/8 30hd`P15*2-d=cagU:8%??)`9gmkY64)30-d=cagU:8%?;)`9gmkY6%l5kio]20-71!h1oecQ>4)34-d=cagU:8%?7)`9gmkY6bnfV;?$??&a:fjjZ73 ;8"m6jfn^37,75.12nbbR?;(2+:?aoiW8>#8$74dhl\51.2!01oecQ>4)4*=>bnfV;?$:'6;ekm[42/0 30hd`P15*:-f=cagU:81<<:1<:?aoiW8?#<$74dhl\50.6!h1oecQ>5)33-d=cagU:9%?>)`9gmkY6=!;9%l5kio]21-74!h1oecQ>5)37-d=cagU:9%?:)`9gmkY6=!;=%l5kio]21-70!h1oecQ>5)3;-d=cagU:9%?6)89gmkY6=!8"m6jfn^36,76.i2nbbR?:(33*e>bnfV;>$?<&a:fjjZ72 ;9"56jfn^36,6/>3mceS<;'4(;8`lhX9<">%45kio]21-0.12nbbR?:(6+:?aoiW8?#4$74dhl\50.>!j1oecQ>5=00>58>3mceS<8'0(;8`lhX9?":%l5kio]22-77!h1oecQ>6)32-d=cagU::%?=)`9gmkY6>!;8%l5kio]22-73!h1oecQ>6)36-d=cagU::%?9)`9gmkY6>!;<%l5kio]22-7?!h1oecQ>6)3:-<=cagU::%<&a:fjjZ71 ;:"m6jfn^35,77.i2nbbR?9(30*e>bnfV;=$?=&9:fjjZ71 :#27iga_04+0,?!<"56jfn^35,2/>3mceS<8'8(;8`lhX9?"2%n5kio]2294429437iga_0>3:<=cagU:0<>19:fjjZ7;98427iga_0>26;?89gmkY648>556jfn^3?508>3mceS<2>6?;8`lhX95;<245kio]284>912nbbR?318<;?aoiW86:245kio]2876912nbbR?320<:?aoiW869>3l4dhl\594429427iga_0>17;>bnfV;79364dhl\590902nbbR?37?:8`lhX952546jfn^3?=;>%>&8:fjjZ4/9 30hd`P2)33-<=cagU9$%?;)89gmkY5 8?"56jfn^0+53/>3mceS?&>7(;8`lhX:!;3%45kio]1,4?.02nbbR<'2(;8`lhX:!8;%45kio]1,77.12nbbR<'23+:?aoiW;"9?$64dhl\6-5.02nbbR<'4(:8`lhX:!?"46jfn^0+2,>%9&8:fjjZ4/0 20hd`P2);*<>bnfV87<374dhl\6977601oecQ=<03==>bnfV87=?06;ekm[7:6;730hd`P2=37:<=cagU90<;19:fjjZ4;9?427iga_3>23;?1?7>89gmkY5483546jfn^0?5;?189gmkY54;;556jfn^0?678e3mceS?2=3;2==>bnfV87>>07;ekm[7:5611oecQ=<2<;?aoiW;6?255kio]1808?3mceS?29>99gmkY54>437iga_3>;:==cagU90407;ekm[6.7!11oecQ<(0+:?aoiW:":<$74dhl\7-76!01oecQ<(00*=>bnfV9#=>'6;ekm[6.6< 30hd`P3)36-<=cagU8$<8&9:fjjZ5/9>#27iga_2*2<,?)89gmkY4 ;8"56jfn^1+66/?3mceS>&<)99gmkY4 =#37iga_2*6-==cagU8$;'7;ekm[6.0!11oecQ<(9+;?aoiW:"2%o5kio]0875=8720hd`P4)2*<>bnfV>#=$74dhl\0-77!01oecQ;(03*=>bnfV>#=?'6;ekm[1.6; 30hd`P4)37-<=cagU?$<;&9:fjjZ2/9?#27iga_5*23,?3mceS9&=3(:8`lhX 20hd`P4)5*<>bnfV>#4$64dhl\0-?.j2nbbR:32283:==cagU>$='7;ekm[0.6!01oecQ:(02*=>bnfV?#=<'6;ekm[0.6: 30hd`P5)30-<=cagU>$<:&9:fjjZ3/9<#27iga_4*22,?3mceS8&=2(;8`lhX=!88%55kio]6,6/?3mceS8&;)99gmkY2 <#37iga_4*5-==cagU>$:'7;ekm[0.?!11oecQ:(8+a?aoiW<69?7>18:fjjZ0/8 20hd`P6)3*=>bnfV<#=='6;ekm[3.69 30hd`P6)31-<=cagU=$<=&9:fjjZ0/9=#27iga_7*21,?3mceS;&=1(;8`lhX>!89%45kio]5,75.02nbbR8'3(:8`lhX>!>"46jfn^4+1,>bnfV<#5$l4dhl\294429437iga_6*3-==cagU<$<'6;ekm[2.68 30hd`P7)32-<=cagU<$<<&9:fjjZ1/9:#27iga_6*20,?3mceS:&>8(;8`lhX?!;2%55kio]4,7/>3mceS:&=0(;8`lhX?!8:%45kio]4,74.12nbbR9'22+;?aoiW>"8%55kio]4,1/?3mceS:&:)99gmkY0 ?#37iga_6*4-==cagU<$5'7;ekm[2.>!k1oecQ8<3194;>&8:fjjZ>/9 30hd`P8)33-<=cagU3$/9;#27iga_9*27,?3mceS5&>7(;8`lhX0!;3%45kio];,4?.02nbbR6'2(;8`lhX0!8;%45kio];,77.12nbbR6'23+:?aoiW1"9?$64dhl\<-5.02nbbR6'4(:8`lhX0!?"46jfn^:+2,>/0 20hd`P8);*f>bnfV27>>4?>99gmkY> 9#37iga_8*2-<=cagU2$<>&9:fjjZ?/98#27iga_8*26,? 8>"56jfn^;+50/>3mceS4&>6(;8`lhX1!;<%45kio]:,4>.12nbbR7'18+;?aoiW0"9%45kio]:,76.12nbbR7'20+:?aoiW0"9>$74dhl\=-44!11oecQ6(2+;?aoiW0"?%55kio]:,0/?3mceS4&9)99gmkY> >#37iga_8*;-==cagU2$4'm;ekm[<:5;3:5;6j`uu*3-2=cg|~#=$64dnww,46.02ndyy&>1(:8`jss 88"46j`uu*27,>bh}}":;$64dnww,4>.02ndyy&>9(58`jss ;#37iazt)03-==cg|~#><'7;emvp-45!11ocxz'22+;?air|!8?%55kotv+60/?3me~x%<9)99gkpr/:>#37iazt)0;-==cg|~#>4'8;emvp-5.02ndyy&<0(:8`jss :;"46j`uu*06,>=&8:flqq.4< 20hb{{(27*<>bh}}"8:$64dnww,61.02ndyy&<8(:8`jss :3";6j`uu*7-==cg|~#8='7;emvp-26!11ocxz'43+;?air|!>8%55kotv+01/?3me~x%::)99gkpr/1ocxz'9(c8`jss4==1<374dnww[4.7!01ocxzP1)3*e>bh}}U:$<>&a:flqqY6 8;"m6j`uu]2,44.i2ndyyQ>(01*e>bh}}U:$<:&a:flqqY6 8?"m6j`uu]2,40.i2ndyyQ>(05*e>bh}}U:$<6&a:flqqY6 83"56j`uu]2,7/f3me~xR?'21+b?air|V;#><'n;emvpZ7/:;#j7iazt^3+66/f3me~xR?'25+b?air|V;#>8'n;emvpZ7/:?#j7iazt^3+62/f3me~xR?'29+b?air|V;#>4'6;emvpZ7/; k0hb{{_0*04,g$o4dnww[4.4; k0hb{{_0*00,g8%l5kotv\5-23!h1ocxzP1)66-d=cg|~T=%:9)`9gkprX9!><%45kotv\5-3.12ndyyQ>(7+:?air|V;#;$74dnww[4.?!01ocxzP1);*e>bh}}U:<%>&a:flqqY68!;"n6j`uu]24-77!k1ocxzP11*25,d'13+a?air|V;;$<=&b:flqqY68!;?%o5kotv\55.6= h0hb{{_02+53/e3me~xR??(05*f>bh}}U:<%?7)c9gkprX99":5$o4dnww[46/: h0hb{{_02+65/e3me~xR??(33*f>bh}}U:<%<=)c9gkprX99"9?$l4dnww[46/:=#i7iazt^33,73.j2ndyyQ>0)05-g=cg|~T==&=7(`8`jssW8:#>5'm;emvpZ77 ;3"m6j`uu]24-5.j2ndyyQ>0)13-g=cg|~T==&<1(`8`jssW8:#??'m;emvpZ77 :9"n6j`uu]24-53!k1ocxzP11*01,d'37+a?air|V;;$>9&b:flqqY68!93%o5kotv\55.41 k0hb{{_02+0,d'41+a?air|V;;$9?&b:flqqY68!>9%o5kotv\55.3; h0hb{{_02+01/e3me~xR??(57*f>bh}}U:<%:9)c9gkprX99"?;$o4dnww[46/= k0hb{{_02+2,g'7(c8`jssW8:#4$o4dnww[46/1 n0hb{{_02?02<76h1ocxzP10*3-d=cg|~T=<&>)c9gkprX98":<$l4dnww[47/98#i7iazt^32,44.j2ndyyQ>1)30-g=cg|~T=<&>4(`8`jssW8;#=8'm;emvpZ76 8<"n6j`uu]25-70!k1ocxzP10*2<,d%o5kotv\54.5> h0hb{{_03+62/e3me~xR?>(3:*f>bh}}U:=%<6)`9gkprX98"8%o5kotv\54.48 h0hb{{_03+74/e3me~xR?>(20*f>bh}}U:=%=<)c9gkprX98"88$l4dnww[47/;<#i7iazt^32,60.j2ndyyQ>1)14-g=cg|~T=<&<8(`8`jssW8;#?4'n;emvpZ76 =#i7iazt^32,16.j2ndyyQ>1)62-g=cg|~T=<&;2(`8`jssW8;#8>'m;emvpZ76 =>"n6j`uu]25-22!k1ocxzP10*72,d(9+b?air|V;:$4'k;emvpZ764==1<3o4dnww[44/8 k0hb{{_00+5,dbh}}U:>%?9)c9gkprX9;":;$l4dnww[44/91#i7iazt^31,4?.i2ndyyQ>2)0*f>bh}}U:>%2)07-g=cg|~T=?&=5(`8`jssW88#>;'m;emvpZ75 ;="n6j`uu]26-4?!k1ocxzP13*1=,g;&b:flqqY6:!9=%o5kotv\57.4? h0hb{{_00+7=/e3me~xR?=(2;*e>bh}}U:>%:&b:flqqY6:!>;%o5kotv\57.39 h0hb{{_00+07/e3me~xR?=(51*f>bh}}U:>%:;)c9gkprX9;"?9$l4dnww[44/2)7*e>bh}}U:>%8&a:flqqY6:!="m6j`uu]26->.i2ndyyQ>2);*`>bh}}U:>1:8:13)32-g=cg|~T=>&>2(`8`jssW89#=>'m;emvpZ74 8>"n6j`uu]27-72!k1ocxzP12*22,dbh}}U:?%<8)c9gkprX9:"94$l4dnww[45/:0#j7iazt^30,6/e3me~xR?<(22*f>bh}}U:?%=>)c9gkprX9:"8>$l4dnww[45/;:#i7iazt^30,62.j2ndyyQ>3)16-g=cg|~T=>&<6(`8`jssW89#?:'m;emvpZ74 :2"n6j`uu]27-5>!h1ocxzP12*7-g=cg|~T=>&;0(`8`jssW89#8<'m;emvpZ74 =8"n6j`uu]27-24!k1ocxzP12*70,d<%l5kotv\56.2!h1ocxzP12*5-d=cg|~T=>&8)`9gkprX9:"3%l5kotv\56.>!m1ocxzP12>73?69i2ndyyQ>4)2*e>bh}}U:8%?&b:flqqY6bh}}U:8%?;)c9gkprX9=":9$l4dnww[42/9?#i7iazt^37,41.j2ndyyQ>4)3;-g=cg|~T=9&>9(c8`jssW8>#>$l4dnww[42/:9#i7iazt^37,77.j2ndyyQ>4)01-g=cg|~T=9&=3(`8`jssW8>#>9'm;emvpZ73 ;?"n6j`uu]20-41!k1ocxzP15*13,d=&b:flqqY6bh}}U:8%=7)c9gkprX9="85$o4dnww[42/< h0hb{{_06+05/e3me~xR?;(53*f>bh}}U:8%:=)c9gkprX9="??$l4dnww[42/<=#i7iazt^37,13.j2ndyyQ>4)65-g=cg|~T=9&;7(c8`jssW8>#9$o4dnww[42/> k0hb{{_06+3,g#5$j4dnww[42;<>0;2l5kotv\50.7!h1ocxzP14*2-g=cg|~T=8&>0(`8`jssW8?#=<'m;emvpZ72 88"n6j`uu]21-74!k1ocxzP14*20,d$<8&b:flqqY6=!;<%o5kotv\50.60 h0hb{{_07+5$?>&b:flqqY6=!8:%o5kotv\50.5: h0hb{{_07+66/e3me~xR?:(36*f>bh}}U:9%<:)c9gkprX9<"9:$l4dnww[43/:>#i7iazt^36,7>.j2ndyyQ>5)0:-d=cg|~T=8&<)c9gkprX9<"8<$l4dnww[43/;8#i7iazt^36,64.j2ndyyQ>5)10-g=cg|~T=8&<4(`8`jssW8?#?8'm;emvpZ72 :<"n6j`uu]21-50!k1ocxzP14*0<,d$9'm;emvpZ72 =:"n6j`uu]21-26!k1ocxzP14*76,d$9:&b:flqqY6=!>>%o5kotv\50.3> h0hb{{_07+02/f3me~xR?:(4+b?air|V;>$;'n;emvpZ72 >#j7iazt^36,=/f3me~xR?:(8+g?air|V;>09950?c8`jssW8<#<$o4dnww[40/9 h0hb{{_04+55/e3me~xR?9(03*f>bh}}U::%?=)c9gkprX9?":?$l4dnww[40/9=#i7iazt^35,43.j2ndyyQ>6)35-g=cg|~T=;&>7(`8`jssW8<#=5'm;emvpZ71 83"m6j`uu]22-4.j2ndyyQ>6)03-g=cg|~T=;&=1(`8`jssW8<#>?'m;emvpZ71 ;9"n6j`uu]22-43!k1ocxzP17*11,d!83%o5kotv\53.51 k0hb{{_04+7,d?&b:flqqY6>!99%o5kotv\53.4; h0hb{{_04+71/e3me~xR?9(27*f>bh}}U::%=9)c9gkprX9?"8;$l4dnww[40/;1#i7iazt^35,6?.i2ndyyQ>6)6*f>bh}}U::%:?)c9gkprX9?"?=$l4dnww[40/<;#i7iazt^35,15.j2ndyyQ>6)67-g=cg|~T=;&;5(`8`jssW8<#8;'m;emvpZ71 =="m6j`uu]22-3.i2ndyyQ>6)4*e>bh}}U::%9&a:flqqY6>!2"m6j`uu]22-?.l2ndyyQ>6=64>58>3me~xR?30?c8`jssW86:<3o4dnww[4:697k0hb{{_0>26;g3?c8`jssW86:83o4dnww[4:6=7k0hb{{_0>22;g7?c8`jssW86:43o4dnww[4:61730hb{{_0>2:d=cg|~T=1`9gkprX958:2l5kotv\59456h1ocxzP1=00:d=cg|~T=1<;>`9gkprX958>2l5kotv\59416h1ocxzP1=04:d=cg|~T=1<7>`9gkprX9582245kotv\5949i2ndyyQ><22=e>bh}}U:0>?1a:flqqY64:85m6j`uu]28659i2ndyyQ><26=e>bh}}U:0>;1a:flqqY64:<5m6j`uu]28619i2ndyyQ><2:=e>bh}}U:0>719:flqqY64:4j7iazt^3?058f3me~xR?3400;2l5kotv\5920601ocxzP1=6==>bh}}U:0806;emvpZ7;>730hb{{_0>4:<=cg|~T=1619:flqqY640427iazt^0+4,?)`9gkprX:!;;%l5kotv\6-76!h1ocxzP2)31-d=cg|~T>%?<)`9gkprX:!;?%l5kotv\6-72!h1ocxzP2)35-d=cg|~T>%?8)`9gkprX:!;3%l5kotv\6-7>!01ocxzP2)0*e>bh}}U9$?>&a:flqqY5 ;;"m6j`uu]1,74.i2ndyyQ=(31*e>bh}}U9$?:&a:flqqY5 ;?"m6j`uu]1,70.i2ndyyQ=(35*e>bh}}U9$?6&a:flqqY5 ;3"56j`uu]1,6/f3me~xR<'31+b?air|V8#?<'n;emvpZ4/;;#j7iazt^0+76/f3me~xR<'35+b?air|V8#?8'n;emvpZ4/;?#j7iazt^0+72/f3me~xR<'39+b?air|V8#?4'6;emvpZ4/< k0hb{{_3*74,g$o4dnww[7.3; k0hb{{_3*70,g%8&9:flqqY5 >#27iazt^0+<,?bh}}U90<<1a:flqqY54895m6j`uu]18429i2ndyyQ=<07=e>bh}}U90<81a:flqqY548=5m6j`uu]184>9i2ndyyQ=<0;==>bh}}U90<0n;emvpZ4;:94j7iazt^0?648f3me~xR<323>0n;emvpZ4;:=4j7iazt^0?608f3me~xR<327:0n;emvpZ4;:14j7iazt^0?6<8>3me~xR<32?c8`jssW;68<3o4dnww[7:497k0hb{{_3>06;g02;g0:d=cg|~T>1:?>`9gkprX:5>:2l5kotv\69256h1ocxzP2=60:d=cg|~T>1:;>`9gkprX:5>>2l5kotv\69216j1ocxzP2=64>58f3me~xR<346<:?air|V878374dnww[7:2601ocxzP2=4==>bh}}U90:06;emvpZ4;0730hb{{_3>::<=cg|~T?%>&9:flqqY4 8#j7iazt^1+55/f3me~xR='10+b?air|V9#=?'n;emvpZ5/9:#j7iazt^1+51/f3me~xR='14+b?air|V9#=;'n;emvpZ5/9>#j7iazt^1+5=/f3me~xR='18+:?air|V9#>$o4dnww[6.58 k0hb{{_2*15,g&=2(c8`jssW:"9?$o4dnww[6.5< k0hb{{_2*11,g&=6(c8`jssW:"9;$o4dnww[6.50 k0hb{{_2*1=,?&<)`9gkprX;!9;%l5kotv\7-56!h1ocxzP3)11-d=cg|~T?%=<)`9gkprX;!9?%l5kotv\7-52!h1ocxzP3)15-d=cg|~T?%=8)`9gkprX;!93%l5kotv\7-5>!01ocxzP3)6*e>bh}}U8$9>&a:flqqY4 =;"m6j`uu]0,14.i2ndyyQ<(51*e>bh}}U8$9:&a:flqqY4 =?"m6j`uu]0,10.i2ndyyQ<(55*=>bh}}U8$8'6;emvpZ5/> 30hb{{_2*4-<=cg|~T?%6&9:flqqY4 0#h7iazt^1?02<7601ocxzP4)2*=>bh}}U?$<'n;emvpZ2/99#j7iazt^6+54/f3me~xR:'13+b?air|V>#=>'n;emvpZ2/9=#j7iazt^6+50/f3me~xR:'17+b?air|V>#=:'n;emvpZ2/91#j7iazt^6+53me~xR:'2(c8`jssW="9<$o4dnww[1.59 k0hb{{_5*16,g%l5kotv\0-51!h1ocxzP4)14-d=cg|~T8%=7)`9gkprXbh}}U?$9?&a:flqqY3 =8"m6j`uu]7,15.i2ndyyQ;(56*e>bh}}U?$9;&a:flqqY3 =<"m6j`uu]7,11.12ndyyQ;(4+:?air|V>#:$74dnww[1.0!01ocxzP4):*=>bh}}U?$4'l;emvpZ2;<>0;245kotv\1-6.12ndyyQ:(0+b?air|V?#=='n;emvpZ3/98#j7iazt^7+57/f3me~xR;'12+b?air|V?#=9'n;emvpZ3/9<#j7iazt^7+53/f3me~xR;'16+b?air|V?#=5'n;emvpZ3/90#27iazt^7+6,g k0hb{{_4*13,g)`9gkprX=!99%l5kotv\1-54!h1ocxzP5)17-d=cg|~T9%=:)`9gkprX=!9=%l5kotv\1-50!h1ocxzP5)1;-d=cg|~T9%=6)89gkprX=!>"m6j`uu]6,16.i2ndyyQ:(53*e>bh}}U>$9<&a:flqqY2 =9"m6j`uu]6,12.i2ndyyQ:(57*e>bh}}U>$98&a:flqqY2 =="56j`uu]6,0/>3me~xR;'6(;8`jssW<"<%45kotv\1->.12ndyyQ:(8+`?air|V?78:4?>89gkprX>!:"56j`uu]5,4/f3me~xR8'11+b?air|V<#=<'n;emvpZ0/9;#j7iazt^4+56/f3me~xR8'15+b?air|V<#=8'n;emvpZ0/9?#j7iazt^4+52/f3me~xR8'19+b?air|V<#=4'6;emvpZ0/: k0hb{{_7*14,g$o4dnww[3.5; k0hb{{_7*10,g!98%l5kotv\2-53!h1ocxzP6)16-d=cg|~T:%=9)`9gkprX>!9<%l5kotv\2-5?!h1ocxzP6)1:-<=cg|~T:%:&a:flqqY1 =:"m6j`uu]5,17.i2ndyyQ9(50*e>bh}}U=$9=&a:flqqY1 =>"m6j`uu]5,13.i2ndyyQ9(54*e>bh}}U=$99&9:flqqY1 <#27iazt^4+2,?!2"56j`uu]5,&9:flqqY0 8#j7iazt^5+55/f3me~xR9'10+b?air|V=#=?'n;emvpZ1/9:#j7iazt^5+51/f3me~xR9'14+b?air|V=#=;'n;emvpZ1/9>#j7iazt^5+5=/f3me~xR9'18+:?air|V=#>$o4dnww[2.58 k0hb{{_6*15,g"9?$o4dnww[2.5< k0hb{{_6*11,g"9;$o4dnww[2.50 k0hb{{_6*1=,?!01ocxzP7)6*e>bh}}U<$9>&a:flqqY0 =;"m6j`uu]4,14.i2ndyyQ8(51*e>bh}}U<$9:&a:flqqY0 =?"m6j`uu]4,10.i2ndyyQ8(55*=>bh}}U<$8'6;emvpZ1/> 30hb{{_6*4-<=cg|~T;%6&9:flqqY0 0#h7iazt^5?02<7601ocxzP8)2*=>bh}}U3$<'n;emvpZ>/99#j7iazt^:+54/f3me~xR6'13+b?air|V2#=>'n;emvpZ>/9=#j7iazt^:+50/f3me~xR6'17+b?air|V2#=:'n;emvpZ>/91#j7iazt^:+53me~xR6'2(c8`jssW1"9<$o4dnww[=.59 k0hb{{_9*16,g%l5kotv\<-51!h1ocxzP8)14-d=cg|~T4%=7)`9gkprX0!92%45kotv\<-2.i2ndyyQ7(52*e>bh}}U3$9?&a:flqqY? =8"m6j`uu];,15.i2ndyyQ7(56*e>bh}}U3$9;&a:flqqY? =<"m6j`uu];,11.12ndyyQ7(4+:?air|V2#:$74dnww[=.0!01ocxzP8):*=>bh}}U3$4'l;emvpZ>;<>0;245kotv\=-6.12ndyyQ6(0+b?air|V3#=='n;emvpZ?/98#j7iazt^;+57/f3me~xR7'12+b?air|V3#=9'n;emvpZ?/9<#j7iazt^;+53/f3me~xR7'16+b?air|V3#=5'n;emvpZ?/90#27iazt^;+6,g k0hb{{_8*13,g)`9gkprX1!99%l5kotv\=-54!h1ocxzP9)17-d=cg|~T5%=:)`9gkprX1!9=%l5kotv\=-50!h1ocxzP9)1;-d=cg|~T5%=6)89gkprX1!>"m6j`uu]:,16.i2ndyyQ6(53*e>bh}}U2$9<&a:flqqY> =9"m6j`uu]:,12.i2ndyyQ6(57*e>bh}}U2$98&a:flqqY> =="56j`uu]:,0/>3me~xR7'6(;8`jssW0"<%45kotv\=->.12ndyyQ6(8+`?air|V378:4?>89gkprXa!:"56j`uu]j,4/f3me~xRg'11+b?air|Vc#=<'n;emvpZo/9;#j7iazt^k+56/f3me~xRg'15+b?air|Vc#=8'n;emvpZo/9?#j7iazt^k+52/f3me~xRg'19+b?air|Vc#=4'6;emvpZo/: k0hb{{_h*14,g$o4dnww[l.5; 30hb{{_h*0-<=cg|~Te%:&9:flqqYn <#27iazt^k+2,?(33*g>bh}}UbS<&=2(a8`jssW`U:$?=&b:flqqYnW8"8%o5kotv\mZ7/< h0hb{{_h]2,0/e3me~xRgP1)4*f>bh}}UbS<&8)c9gkprXaV;#4$l4dnww[lY6 0#h7iazt^k\55.7!j1ocxzPi^33,4/c3me~xRgP11*24,b0)32-a=cg|~TeR??(00*`>bh}}UbS<>'12+g?air|VcT==&>4(f8`jssW`U:<%?:)e9gkprXaV;;$<8&d:flqqYnW8:#=:'k;emvpZoX99":4$j4dnww[lY68!;2%n5kotv\mZ77 ;#o7iazt^k\55.58 n0hb{{_h]24-46!m1ocxzPi^33,74.l2ndyyQf_02+66/d3me~xRgP11*0-f=cg|~TeR??(5+`?air|VcT==&:)b9gkprXaV;;$;'l;emvpZoX99"<%n5kotv\mZ77 1#h7iazt^k\55.>!o1ocxzPi^33875=87i0hb{{_h]25-6.k2ndyyQf_03+5,b1)33-a=cg|~TeR?>(03*`>bh}}UbS3(f8`jssW`U:=%?;)e9gkprXaV;:$<;&d:flqqYnW8;#=;'k;emvpZoX98":;$j4dnww[lY69!;3%i5kotv\mZ76 83"o6j`uu]j[47/: n0hb{{_h]25-47!m1ocxzPi^32,77.l2ndyyQf_03+67/c3me~xRgP10*17,e1)1*g>bh}}UbSbh}}UbS<<'10+g?air|VcT=?&>2(f8`jssW`U:>%?<)e9gkprXaV;9$<:&d:flqqYnW88#=8'k;emvpZoX9;"::$j4dnww[lY6:!;<%i5kotv\mZ75 82"h6j`uu]j[44/90#h7iazt^k\57.5!m1ocxzPi^31,76.l2ndyyQf_00+64/c3me~xRgP13*16,b2)00-f=cg|~TeR?=(2+`?air|VcT=?&;)b9gkprXaV;9$8'l;emvpZoX9;"=%n5kotv\mZ75 >#h7iazt^k\57.?!j1ocxzPi^31,17?69k2ndyyQf_01+4,e3)3*`>bh}}UbS<='11+g?air|VcT=>&>1(f8`jssW`U:?%?=)e9gkprXaV;8$<=&d:flqqYnW89#=9'k;emvpZoX9:":9$j4dnww[lY6;!;=%i5kotv\mZ74 8="h6j`uu]j[45/91#o7iazt^k\56.61 i0hb{{_h]27-4.l2ndyyQf_01+65/c3me~xRgP12*15,b3)01-a=cg|~TeR?<(31*g>bh}}UbS<='3(a8`jssW`U:?%:&c:flqqYnW89#9$m4dnww[lY6;!<"o6j`uu]j[45/? i0hb{{_h]27->.k2ndyyQf_01+=,`3=00>58d3me~xRgP15*3-f=cg|~TeR?;(0+g?air|VcT=9&>0(f8`jssW`U:8%?>)e9gkprXaV;?$<<&d:flqqYnW8>#=>'k;emvpZoX9=":8$j4dnww[lY6%i5kotv\mZ73 8<"h6j`uu]j[42/9>#o7iazt^k\51.60 n0hb{{_h]20-7>!j1ocxzPi^37,7/c3me~xRgP15*14,b4)02-a=cg|~TeR?;(30*`>bh}}UbS<:'22+`?air|VcT=9&<)b9gkprXaV;?$9'l;emvpZoX9=">%n5kotv\mZ73 ?#h7iazt^k\51.0!j1ocxzPi^37,=/d3me~xRgP15*:-c=cg|~TeR?;<3194;e5)2*g>bh}}UbS<;'1(f8`jssW`U:9%??)e9gkprXaV;>$5)03-a=cg|~TeR?:(33*`>bh}}UbS<;'23+g?air|VcT=8&=3(a8`jssW`U:9%=&c:flqqYnW8?#8$m4dnww[lY6=!?"o6j`uu]j[43/> i0hb{{_h]21-1.k2ndyyQf_07+<,e5);*b>bh}}UbS<;32283:f=cg|~TeR?9(1+`?air|VcT=;&>)e9gkprXaV;=$<>&d:flqqYnW8<#=<'k;emvpZoX9?":>$j4dnww[lY6>!;8%i5kotv\mZ71 8>"h6j`uu]j[40/9<#o7iazt^k\53.6> n0hb{{_h]22-70!m1ocxzPi^35,4>.l2ndyyQf_04+5bh}}UbS<8'20+g?air|VcT=;&=2(f8`jssW`U::%<<)b9gkprXaV;=$>'l;emvpZoX9?"?%n5kotv\mZ71 <#h7iazt^k\53.1!j1ocxzPi^35,2/d3me~xRgP17*;-f=cg|~TeR?9(8+e?air|VcT=;2=3;2=a>bh}}UbS<2=3;2=f>bh}}UbS?&?)c9gkprXaV8#=$m4dnww[lY5 8:"o6j`uu]j[7.69 i0hb{{_h]1,44.k2ndyyQf_3*27,ebh}}UbS?&>5(a8`jssW`U9$<8&c:flqqYnW;":;$m4dnww[lY5 82"o6j`uu]j[7.61 h0hb{{_h]1,7/d3me~xRgP2)03-f=cg|~TeR<'20+`?air|VcT>%<=)b9gkprXaV8#>>'m;emvpZoX:!9"n6j`uu]j[7.3!k1ocxzPi^0+1,d%9&b:flqqYnW;"3%o5kotv\mZ4/1 o0hb{{_h]1875=87h0hb{{_h]0,5/e3me~xRgP3)3*g>bh}}UbS>&>0(a8`jssW`U8$$m4dnww[lY4 89"o6j`uu]j[6.6< i0hb{{_h]0,43.k2ndyyQf_2*22,ebh}}UbS>&>8(a8`jssW`U8$<7&b:flqqYnW:"9%n5kotv\mZ5/:9#h7iazt^k\7-46!j1ocxzPi^1+67/d3me~xRgP3)00-g=cg|~TeR='3(`8`jssW`U8$9'm;emvpZoX;!?"n6j`uu]j[6.1!k1ocxzPi^1+3,d1b:flqqYnW=";%o5kotv\mZ2/9 i0hb{{_h]7,46.k2ndyyQf_5*25,ebh}}UbS9&>3(a8`jssW`U?$<:&c:flqqYnW=":9$m4dnww[lY3 8<"o6j`uu]j[1.6? i0hb{{_h]7,4>.k2ndyyQf_5*2=,d#><'l;emvpZoXbh}}UbS8&>6(a8`jssW`U>$<9&c:flqqYnW<":4$m4dnww[lY2 83"n6j`uu]j[0.5!j1ocxzPi^7+65/d3me~xRgP5)02-f=cg|~TeR;'23+`?air|VcT9%<<)c9gkprXaV?#?$l4dnww[lY2 =#i7iazt^k\1-3.j2ndyyQf_4*5-g=cg|~TeR;'7(`8`jssW`U>$5'm;emvpZoX=!3"i6j`uu]j[0:5;3:5n6j`uu]j[3.7!k1ocxzPi^4+5,ebh}}UbS;&>1(a8`jssW`U=$<<&c:flqqYnW?":?$m4dnww[lY1 8>"o6j`uu]j[3.6= i0hb{{_h]5,40.k2ndyyQf_7*23,ebh}}UbS;&>9(`8`jssW`U=$?'l;emvpZoX>!8;%n5kotv\mZ0/:8#h7iazt^k\2-45!j1ocxzPi^4+66/e3me~xRgP6)1*f>bh}}UbS;&;)c9gkprXaV<#9$l4dnww[lY1 ?#i7iazt^k\2-1.j2ndyyQf_7*;-g=cg|~TeR8'9(g8`jssW`U=0?=50?`8`jssW`U<$='m;emvpZoX?!;"o6j`uu]j[2.68 i0hb{{_h]4,47.k2ndyyQf_6*26,ebh}}UbS:&>4(a8`jssW`U<$<;&c:flqqYnW>"::$m4dnww[lY0 8="o6j`uu]j[2.60 i0hb{{_h]4,4?.j2ndyyQf_6*1-f=cg|~TeR9'21+`?air|VcT;%<>)b9gkprXaV=#>?'l;emvpZoX?!88%o5kotv\mZ1/; h0hb{{_h]4,1/e3me~xRgP7)7*f>bh}}UbS:&9)c9gkprXaV=#;$l4dnww[lY0 1#i7iazt^k\3-?.m2ndyyQf_6>17?69j2ndyyQf_9*3-g=cg|~TeR6'1(a8`jssW`U3$<>&c:flqqYnW1":=$m4dnww[lY? 88"o6j`uu]j[=.6; i0hb{{_h];,42.k2ndyyQf_9*21,ebh}}UbS5&>7(a8`jssW`U3$<6&c:flqqYnW1":5$l4dnww[lY? ;#h7iazt^k\<-47!j1ocxzPi^:+64/d3me~xRgP8)01-f=cg|~TeR6'22+a?air|VcT4%=&b:flqqYnW1"?%o5kotv\mZ>/= h0hb{{_h];,3/e3me~xRgP8)5*f>bh}}UbS5&7)c9gkprXaV2#5$k4dnww[lY?4;91<3l4dnww[lY> 9#i7iazt^k\=-7.k2ndyyQf_8*24,ebh}}UbS4&>2(a8`jssW`U2$<=&c:flqqYnW0":8$m4dnww[lY> 8?"o6j`uu]j[<.6> i0hb{{_h]:,41.k2ndyyQf_8*2<,ebh}}UbS4&=)b9gkprXaV3#>='l;emvpZoX1!8:%n5kotv\mZ?/:;#h7iazt^k\=-44!k1ocxzPi^;+7,dbh}}UbS42=3;2==>bh}}Uz$='6;emvpZw/9 k0hb{{_p*24,g1(c8`jssWx":>$o4dnww[t.6; k0hb{{_p*20,g5(c8`jssWx"::$o4dnww[t.6? k0hb{{_p*2<,g9(;8`jssWx"9%l5kotv\u-47!h1ocxzPq)02-d=cg|~T}%<=)`9gkprXy!88%l5kotv\u-43!h1ocxzPq)06-d=cg|~T}%<9)`9gkprXy!8<%l5kotv\u-4?!h1ocxzPq)0:-<=cg|~T}%=&a:flqqYv ::"m6j`uu]r,67.i2ndyyQ~(20*e>bh}}Uz$>=&a:flqqYv :>"m6j`uu]r,63.i2ndyyQ~(24*e>bh}}Uz$>9&a:flqqYv :2"m6j`uu]r,6?.12ndyyQ~(5+b?air|V{#8='n;emvpZw/<8#j7iazt^s+07/f3me~xR'42+b?air|V{#89'n;emvpZw/<<#j7iazt^s+03/f3me~xR'46+:?air|V{#9$74dnww[t.1!01ocxzPq)5*=>bh}}Uz$5'6;emvpZw/1 i0hb{{_p>73?69j2ndyyQ~_0*3-g=cg|~T}R?'1(a8`jssWxU:$<>&c:flqqYvW8":=$m4dnww[tY6 88"o6j`uu]r[4.6; i0hb{{_p]2,42.k2ndyyQ~_0*21,e(04*g>bh}}UzS<&>7(a8`jssWxU:$<6&c:flqqYvW8":5$l4dnww[tY6 ;#h7iazt^s\5-47!j1ocxzPq^3+64/d3me~xRP1)01-f=cg|~T}R?'22+`?air|V{T=%<;)b9gkprXyV;#>8'l;emvpZwX9!8=%n5kotv\uZ7/:>#h7iazt^s\5-4?!j1ocxzPq^3+6bh}}UzS<&<0(a8`jssWxU:$>?&c:flqqYvW8"8>$m4dnww[tY6 :9"o6j`uu]r[4.4< i0hb{{_p]2,63.k2ndyyQ~_0*02,e(25*g>bh}}UzS<&<8(a8`jssWxU:$>7&b:flqqYvW8"?%n5kotv\uZ7/<9#h7iazt^s\5-26!j1ocxzPq^3+07/d3me~xRP1)60-f=cg|~T}R?'45+`?air|V{T=%::)b9gkprXyV;#8;'l;emvpZwX9!><%o5kotv\uZ7/= h0hb{{_p]2,3/e3me~xRP1)5*f>bh}}UzS<&7)c9gkprXyV;#5$m4dnww[tY68!:"o6j`uu]r[46/9 n0hb{{_p]24-77!m1ocxzPq^33,47.l2ndyyQ~_02+57/c3me~xRP11*27,b0)37-a=cg|~T}R??(07*`>bh}}UzS<>'17+g?air|V{T==&>7(f8`jssWxU:<%?7)e9gkprXyV;;$<7&c:flqqYvW8:#>$j4dnww[tY68!8;%i5kotv\uZ77 ;;"h6j`uu]r[46/:;#o7iazt^s\55.5; n0hb{{_p]24-43!m1ocxzPq^33,73.l2ndyyQ~_02+63/c3me~xRP11*13,b0)0;-a=cg|~T}R??(3;*g>bh}}UzS<>'3(f8`jssWxU:<%=?)e9gkprXyV;;$>?&d:flqqYvW8:#??'k;emvpZwX99"8?$j4dnww[tY68!9?%i5kotv\uZ77 :?"h6j`uu]r[46/;?#o7iazt^s\55.4? n0hb{{_p]24-5?!m1ocxzPq^33,6?.k2ndyyQ~_02+0,b0)63-a=cg|~T}R??(53*`>bh}}UzS<>'43+g?air|V{T==&;3(f8`jssWxU:<%:;)e9gkprXyV;;$9;&d:flqqYvW8:#8;'k;emvpZwX99"?;$m4dnww[tY68!?"o6j`uu]r[46/> i0hb{{_p]24-1.k2ndyyQ~_02+<,e0);*b>bh}}UzS<>34683:f=cg|~T}R?>(1+`?air|V{T=<&>)e9gkprXyV;:$<>&d:flqqYvW8;#=<'k;emvpZwX98":>$j4dnww[tY69!;8%i5kotv\uZ76 8>"h6j`uu]r[47/9<#o7iazt^s\54.6> n0hb{{_p]25-70!m1ocxzPq^32,4>.l2ndyyQ~_03+5(32*`>bh}}UzS8'k;emvpZwX98"9:$j4dnww[tY69!8<%i5kotv\uZ76 ;2"h6j`uu]r[47/:0#h7iazt^s\54.4!m1ocxzPq^32,66.l2ndyyQ~_03+74/c3me~xRP10*06,b1)10-a=cg|~T}R?>(26*`>bh}}UzS6&d:flqqYvW8;#?4'l;emvpZwX98"?%i5kotv\uZ76 =:"h6j`uu]r[47/<8#o7iazt^s\54.3: n0hb{{_p]25-24!m1ocxzPq^32,12.l2ndyyQ~_03+00/c3me~xRP10*72,b1)64-f=cg|~T}R?>(4+`?air|V{T=<&9)b9gkprXyV;:$:'l;emvpZwX98"3%n5kotv\uZ76 0#m7iazt^s\54:3?3:5o6j`uu]r[44/8 i0hb{{_p]26-7.l2ndyyQ~_00+55/c3me~xRP13*25,b2)31-a=cg|~T}R?=(01*`>bh}}UzS<<'15+g?air|V{T=?&>5(f8`jssWxU:>%?9)e9gkprXyV;9$<9&d:flqqYvW88#=5'k;emvpZwX9;":5$m4dnww[tY6:!8"h6j`uu]r[44/:9#o7iazt^s\57.59 n0hb{{_p]26-45!m1ocxzPq^31,75.l2ndyyQ~_00+61/c3me~xRP13*11,b2)05-a=cg|~T}R?=(35*`>bh}}UzS<<'29+g?air|V{T=?&=9(a8`jssWxU:>%=&d:flqqYvW88#?='k;emvpZwX9;"8=$j4dnww[tY6:!99%i5kotv\uZ75 :9"h6j`uu]r[44/;=#o7iazt^s\57.4= n0hb{{_p]26-51!m1ocxzPq^31,61.l2ndyyQ~_00+7=/c3me~xRP13*0=,e2)6*`>bh}}UzS<<'41+g?air|V{T=?&;1(f8`jssWxU:>%:=)e9gkprXyV;9$9=&d:flqqYvW88#89'k;emvpZwX9;"?9$j4dnww[tY6:!>=%i5kotv\uZ75 =="o6j`uu]r[44/= i0hb{{_p]26-0.k2ndyyQ~_00+3,e2):*g>bh}}UzS<<'9(d8`jssWxU:>1:8:1<`?air|V{T=>&?)b9gkprXyV;8$<'k;emvpZwX9:":<$j4dnww[tY6;!;:%i5kotv\uZ74 88"h6j`uu]r[45/9:#o7iazt^s\56.6< n0hb{{_p]27-72!m1ocxzPq^30,40.l2ndyyQ~_01+52/c3me~xRP12*2<,b3)3:-f=cg|~T}R?<(3+g?air|V{T=>&=0(f8`jssWxU:?%<>)e9gkprXyV;8$?<&d:flqqYvW89#>>'k;emvpZwX9:"98$j4dnww[tY6;!8>%i5kotv\uZ74 ;<"h6j`uu]r[45/:>#o7iazt^s\56.50 n0hb{{_p]27-4>!j1ocxzPq^30,6/c3me~xRP12*04,b3)12-a=cg|~T}R?<(20*`>bh}}UzS<='32+g?air|V{T=>&<4(f8`jssWxU:?%=:)e9gkprXyV;8$>8&d:flqqYvW89#?:'k;emvpZwX9:"84$j4dnww[tY6;!92%n5kotv\uZ74 =#o7iazt^s\56.38 n0hb{{_p]27-26!m1ocxzPq^30,14.l2ndyyQ~_01+06/c3me~xRP12*70,b3)66-a=cg|~T}R?<(54*`>bh}}UzS<='46+`?air|V{T=>&:)b9gkprXyV;8$;'l;emvpZwX9:"<%n5kotv\uZ74 1#h7iazt^s\56.>!o1ocxzPq^30811=87i0hb{{_p]20-6.k2ndyyQ~_06+5,b4)33-a=cg|~T}R?;(03*`>bh}}UzS<:'13+g?air|V{T=9&>3(f8`jssWxU:8%?;)e9gkprXyV;?$<;&d:flqqYvW8>#=;'k;emvpZwX9=":;$j4dnww[tY64)07-a=cg|~T}R?;(37*`>bh}}UzS<:'27+g?air|V{T=9&=7(f8`jssWxU:8%<7)e9gkprXyV;?$?7&c:flqqYvW8>#?$j4dnww[tY64)1;-a=cg|~T}R?;(2;*g>bh}}UzS<:'4(f8`jssWxU:8%:?)e9gkprXyV;?$9?&d:flqqYvW8>#8?'k;emvpZwX9="??$j4dnww[tY6?%i5kotv\uZ73 =?"h6j`uu]r[42/4)5*g>bh}}UzS<:'8(a8`jssWxU:8%7&f:flqqYvW8>78:4?>b9gkprXyV;>$='l;emvpZwX9<":%i5kotv\uZ72 8:"h6j`uu]r[43/98#o7iazt^s\50.6: n0hb{{_p]21-74!m1ocxzPq^36,42.l2ndyyQ~_07+50/c3me~xRP14*22,b5)34-a=cg|~T}R?:(0:*`>bh}}UzS<;'18+`?air|V{T=8&=)e9gkprXyV;>$?>&d:flqqYvW8?#><'k;emvpZwX9<"9>$j4dnww[tY6=!88%i5kotv\uZ72 ;>"h6j`uu]r[43/:<#o7iazt^s\50.5> n0hb{{_p]21-40!m1ocxzPq^36,7>.l2ndyyQ~_07+6bh}}UzS<;'30+g?air|V{T=8&<2(f8`jssWxU:9%=<)e9gkprXyV;>$>:&d:flqqYvW8?#?8'k;emvpZwX9<"8:$j4dnww[tY6=!9<%i5kotv\uZ72 :2"h6j`uu]r[43/;0#h7iazt^s\50.3!m1ocxzPq^36,16.l2ndyyQ~_07+04/c3me~xRP14*76,b5)60-a=cg|~T}R?:(56*`>bh}}UzS<;'44+g?air|V{T=8&;6(f8`jssWxU:9%:8)b9gkprXyV;>$8'l;emvpZwX9<"=%n5kotv\uZ72 >#h7iazt^s\50.?!j1ocxzPq^36,73?69k2ndyyQ~_04+4,e6)3*`>bh}}UzS<8'11+g?air|V{T=;&>1(f8`jssWxU::%?=)e9gkprXyV;=$<=&d:flqqYvW8<#=9'k;emvpZwX9?":9$j4dnww[tY6>!;=%i5kotv\uZ71 8="h6j`uu]r[40/91#o7iazt^s\53.61 i0hb{{_p]22-4.l2ndyyQ~_04+65/c3me~xRP17*15,b6)01-a=cg|~T}R?9(31*`>bh}}UzS<8'25+g?air|V{T=;&=5(f8`jssWxU::%<9)e9gkprXyV;=$?9&d:flqqYvW8<#>5'k;emvpZwX9?"95$m4dnww[tY6>!9"h6j`uu]r[40/;9#o7iazt^s\53.49 n0hb{{_p]22-55!m1ocxzPq^35,65.l2ndyyQ~_04+71/c3me~xRP17*01,b6)15-a=cg|~T}R?9(25*`>bh}}UzS<8'39+g?air|V{T=;&<9(a8`jssWxU::%:&d:flqqYvW8<#8='k;emvpZwX9?"?=$j4dnww[tY6>!>9%i5kotv\uZ71 =9"h6j`uu]r[40/<=#o7iazt^s\53.3= n0hb{{_p]22-21!m1ocxzPq^35,11.k2ndyyQ~_04+1,e6)4*g>bh}}UzS<8'7(a8`jssWxU::%6&c:flqqYvW8<#5$h4dnww[tY6>5><6=0j;emvpZwX95><6=0m;emvpZwX:!:"n6j`uu]r[7.6!j1ocxzPq^0+55/d3me~xRP2)32-f=cg|~T}R<'13+`?air|V{T>%?<)b9gkprXyV8#=9'l;emvpZwX:!;>%n5kotv\uZ4/9?#h7iazt^s\6-70!j1ocxzPq^0+5=/d3me~xRP2)3:-g=cg|~T}R<'2(a8`jssWxU9$?>&c:flqqYvW;"9=$m4dnww[tY5 ;8"o6j`uu]r[7.5; i0hb{{_p]1,72.k2ndyyQ~_3*11,ebh}}UzS?&=7(a8`jssWxU9$?6&c:flqqYvW;"95$l4dnww[tY5 :#h7iazt^s\6-57!j1ocxzPq^0+74/d3me~xRP2)11-f=cg|~T}R<'32+`?air|V{T>%=;)b9gkprXyV8#?8'l;emvpZwX:!9=%n5kotv\uZ4/;>#h7iazt^s\6-5?!j1ocxzPq^0+7bh}}UzS?&;0(a8`jssWxU9$9?&c:flqqYvW;"?>$m4dnww[tY5 =9"o6j`uu]r[7.3< i0hb{{_p]1,13.k2ndyyQ~_3*72,ebh}}UzS?&:)c9gkprXyV8#:$l4dnww[tY5 >#i7iazt^s\6->.j2ndyyQ~_3*:-`=cg|~T}R<34683:g=cg|~T}R='0(`8`jssWxU8$<'l;emvpZwX;!;;%n5kotv\uZ5/98#h7iazt^s\7-75!j1ocxzPq^1+56/d3me~xRP3)37-f=cg|~T}R='14+`?air|V{T?%?9)b9gkprXyV9#=:'l;emvpZwX;!;3%n5kotv\uZ5/90#i7iazt^s\7-4.k2ndyyQ~_2*14,ebh}}UzS>&=2(a8`jssWxU8$?=&c:flqqYvW:"98$m4dnww[tY4 ;?"o6j`uu]r[6.5> i0hb{{_p]0,71.k2ndyyQ~_2*1<,ebh}}UzS>&<)b9gkprXyV9#?='l;emvpZwX;!9:%n5kotv\uZ5/;;#h7iazt^s\7-54!j1ocxzPq^1+71/d3me~xRP3)16-f=cg|~T}R='37+`?air|V{T?%=8)b9gkprXyV9#?5'l;emvpZwX;!92%o5kotv\uZ5/< i0hb{{_p]0,16.k2ndyyQ~_2*75,ebh}}UzS>&;3(a8`jssWxU8$9:&c:flqqYvW:"?9$m4dnww[tY4 =<"o6j`uu]r[6.3? h0hb{{_p]0,0/e3me~xRP3)4*f>bh}}UzS>&8)c9gkprXyV9#4$l4dnww[tY4 0#n7iazt^s\7920294i7iazt^s\0-6.j2ndyyQ~_5*2-f=cg|~T}R:'11+`?air|V{T8%?>)b9gkprXyV>#=?'l;emvpZwX#>$m4dnww[tY3 ;:"o6j`uu]r[1.59 i0hb{{_p]7,74.k2ndyyQ~_5*17,ebh}}UzS9&=5(a8`jssWxU?$?8&c:flqqYvW="9;$m4dnww[tY3 ;2"o6j`uu]r[1.51 h0hb{{_p]7,6/d3me~xRP4)13-f=cg|~T}R:'30+`?air|V{T8%==)b9gkprXyV>#?>'l;emvpZwXbh}}UzS9&;6(a8`jssWxU?$99&b:flqqYvW=">%o5kotv\uZ2/> h0hb{{_p]7,2/e3me~xRP4):*f>bh}}UzS9&6)d9gkprXyV>78:4?>c9gkprXyV?#<$l4dnww[tY2 8#h7iazt^s\1-77!j1ocxzPq^7+54/d3me~xRP5)31-f=cg|~T}R;'12+`?air|V{T9%?;)b9gkprXyV?#=8'l;emvpZwX=!;=%n5kotv\uZ3/9>#h7iazt^s\1-7?!j1ocxzPq^7+5bh}}UzS8&=0(a8`jssWxU>$??&c:flqqYvW<"9>$m4dnww[tY2 ;9"o6j`uu]r[0.5< i0hb{{_p]6,73.k2ndyyQ~_4*12,ebh}}UzS8&=8(a8`jssWxU>$?7&b:flqqYvW<"8%n5kotv\uZ3/;9#h7iazt^s\1-56!j1ocxzPq^7+77/d3me~xRP5)10-f=cg|~T}R;'35+`?air|V{T9%=:)b9gkprXyV?#?;'l;emvpZwX=!9<%n5kotv\uZ3/;1#h7iazt^s\1-5>!k1ocxzPq^7+0,ebh}}UzS8&;1(a8`jssWxU>$9<&c:flqqYvW<"??$m4dnww[tY2 =>"o6j`uu]r[0.3= i0hb{{_p]6,10.k2ndyyQ~_4*73,d58e3me~xRP6)2*f>bh}}UzS;&>)b9gkprXyV<#=='l;emvpZwX>!;:%n5kotv\uZ0/9;#h7iazt^s\2-74!j1ocxzPq^4+51/d3me~xRP6)36-f=cg|~T}R8'17+`?air|V{T:%?8)b9gkprXyV<#=5'l;emvpZwX>!;2%o5kotv\uZ0/: i0hb{{_p]5,76.k2ndyyQ~_7*15,ebh}}UzS;&=3(a8`jssWxU=$?:&c:flqqYvW?"99$m4dnww[tY1 ;<"o6j`uu]r[3.5? i0hb{{_p]5,7>.k2ndyyQ~_7*1=,d!99%n5kotv\uZ0/;:#h7iazt^s\2-53!j1ocxzPq^4+70/d3me~xRP6)15-f=cg|~T}R8'36+`?air|V{T:%=7)b9gkprXyV<#?4'm;emvpZwX>!>"o6j`uu]r[3.38 i0hb{{_p]5,17.k2ndyyQ~_7*76,ebh}}UzS;&;4(a8`jssWxU=$9;&c:flqqYvW?"?:$m4dnww[tY1 =="n6j`uu]r[3.2!k1ocxzPq^4+2,d0;2o5kotv\uZ1/8 h0hb{{_p]4,4/d3me~xRP7)33-f=cg|~T}R9'10+`?air|V{T;%?=)b9gkprXyV=#=>'l;emvpZwX?!;?%n5kotv\uZ1/9<#h7iazt^s\3-71!j1ocxzPq^5+52/d3me~xRP7)3;-f=cg|~T}R9'18+a?air|V{T;%<&c:flqqYvW>"9<$m4dnww[tY0 ;;"o6j`uu]r[2.5: i0hb{{_p]4,75.k2ndyyQ~_6*10,ebh}}UzS:&=6(a8`jssWxU<$?9&c:flqqYvW>"94$m4dnww[tY0 ;3"n6j`uu]r[2.4!j1ocxzPq^5+75/d3me~xRP7)12-f=cg|~T}R9'33+`?air|V{T;%=<)b9gkprXyV=#?9'l;emvpZwX?!9>%n5kotv\uZ1/;?#h7iazt^s\3-50!j1ocxzPq^5+7=/d3me~xRP7)1:-g=cg|~T}R9'4(a8`jssWxU<$9>&c:flqqYvW>"?=$m4dnww[tY0 =8"o6j`uu]r[2.3; i0hb{{_p]4,12.k2ndyyQ~_6*71,ebh}}UzS:&;7(`8`jssWxU<$8'm;emvpZwX?!<"n6j`uu]r[2.0!k1ocxzPq^5+<,d&b:flqqYvW1":%n5kotv\uZ>/99#h7iazt^s\<-76!j1ocxzPq^:+57/d3me~xRP8)30-f=cg|~T}R6'15+`?air|V{T4%?:)b9gkprXyV2#=;'l;emvpZwX0!;<%n5kotv\uZ>/91#h7iazt^s\<-7>!k1ocxzPq^:+6,ebh}}UzS5&=1(a8`jssWxU3$?<&c:flqqYvW1"9?$m4dnww[tY? ;>"o6j`uu]r[=.5= i0hb{{_p];,70.k2ndyyQ~_9*13,ebh}}UzS5&=9(`8`jssWxU3$>'l;emvpZwX0!9;%n5kotv\uZ>/;8#h7iazt^s\<-55!j1ocxzPq^:+76/d3me~xRP8)17-f=cg|~T}R6'34+`?air|V{T4%=9)b9gkprXyV2#?:'l;emvpZwX0!93%n5kotv\uZ>/;0#i7iazt^s\<-2.k2ndyyQ~_9*74,ebh}}UzS5&;2(a8`jssWxU3$9=&c:flqqYvW1"?8$m4dnww[tY? =?"o6j`uu]r[=.3> i0hb{{_p];,11.j2ndyyQ~_9*6-g=cg|~T}R6'6(`8`jssWxU3$:'m;emvpZwX0!2"n6j`uu]r[=.>!l1ocxzPq^:?02<76k1ocxzPq^;+4,dbh}}UzS4&=4(a8`jssWxU2$?;&c:flqqYvW0"9:$m4dnww[tY> ;="o6j`uu]r[<.50 i0hb{{_p]:,7?.j2ndyyQ~_8*0-f=cg|~T}R7'31+`?air|V{T5%=>)b9gkprXyV3#??'l;emvpZwX1!98%n5kotv\uZ?/;=#h7iazt^s\=-52!j1ocxzPq^;+73/d3me~xRP9)14-f=cg|~T}R7'39+`?air|V{T5%=6)c9gkprXyV3#8$m4dnww[tY> =:"o6j`uu]r[<.39 i0hb{{_p]:,14.k2ndyyQ~_8*77,ebh}}UzS4&;5(a8`jssWxU2$98&c:flqqYvW0"?;$l4dnww[tY> <#i7iazt^s\=-0.j2ndyyQ~_8*4-g=cg|~T}R7'8(`8`jssWxU2$4'j;emvpZwX15><6=06;erq[wgjW830h}|Pr`o\6<=cx{Uym`Q<9:fsvZtfeV>37hjff3ld`a=aae~n~R}jl)2*b>`nd}oyS~kc<183:7bnelli8 kg}_bmntljbzV|>S="tabaviZqnl}b685"nlmmt[dvwzfr6?,bmfbZkbe}s{i0>#cnge[wckghn6=!m`eg]w}uc:8%idikQxievk94>+kffTob`iif?0(fikWdeoi0>#cnn\tlvbWeoe19"kauc\i`ksqyo65)`nd}oyS~kc_vkgpm;6$ocgxh|Ptxrf93*nf}oy|R|ntd?2(lve}olTahc{yqg>4)hboVyra`k{rx?3(ksjWjbjbckcs<3/jpkXn`f0?#nto\tdro{48'bxcPt`rp935+gmnTtcbeupz94*hxkmjRcjmu{sa86+g|~{yyQ}ef?2(jssx|~T{dj{h<6;(wgsmVicmcij_u{sa86+zfehRc`dd?3(vgjxeoTjk~=42345678%yh`Qkeugqilhn{}ch1<"|ylofpw:9%pn~bQabijjbYaij~d~0?#}0g8lgbbk:UmeQlolrjh`tX~tcq:1yji:4sbnqf>uno9:;<=>?1c9pmb6789:;?01231g=tan:;<=>?07`8wla789:;<=9m;rkd456789:3n6}fg12345671k1xej>?01234dd<{`m;<=>?01`a?vo`89:;<=>lb:qjc56789:;ho5|if2345678lh0di?012345`e3zcl<=>?0133f>uno9:;<=>>1c9pmb6789:;=?l4she34567889i7~gh01234573j2ybk=>?01221g=tan:;<=>?17`8wla789:;<<9m;rkd456789;3n6}fg12345661k1xej>?01235dd<{`m;<=>?00`a?vo`89:;<=?lb:qjc56789::ho5|if2345679lh0di?012344`e3zcl<=>?0103f>uno9:;<=>=1c9pmb6789:;>?l4she345678;9i7~gh01234543j2ybk=>?01211g=tan:;<=>?27`8wla789:;?01236dd<{`m;<=>?03`a?vo`89:;<=?0113f>uno9:;<=><1c9pmb6789:;??l4she345678:9i7~gh01234553j2ybk=>?01201g=tan:;<=>?37`8wla789:;<>9m;rkd45678993n6}fg12345641k1xej>?01237dd<{`m;<=>?02`a?vo`89:;<==lb:qjc56789:8ho5|if234567;lh0di?012346`e3zcl<=>?0163f>uno9:;<=>;1c9pmb6789:;8?l4she345678=9i7~gh01234523j2ybk=>?01271g=tan:;<=>?47`8wla789:;<99m;rkd456789>3n6}fg12345631k1xej>?01230dd<{`m;<=>?05`a?vo`89:;<=:lb:qjc56789:?ho5|if234567?0173f>uno9:;<=>:1c9pmb6789:;9?l4she345678<9i7~gh01234533j2ybk=>?01261g=tan:;<=>?57`8wla789:;<89m;rkd456789?3n6}fg12345621k1xej>?01231dd<{`m;<=>?04`a?vo`89:;<=;lb:qjc56789:>ho5|if234567=lh0di?012340`e3zcl<=>?0143f>uno9:;<=>91c9pmb6789:;:?l4she345678?9i7~gh01234503j2ybk=>?01251g=tan:;<=>?67`8wla789:;<;9m;rkd456789<3n6}fg12345611k1xej>?01232dd<{`m;<=>?07`a?vo`89:;<=8lb:qjc56789:=ho5|if234567>lh0di?012343`e3zcl<=>?0153f>uno9:;<=>81c9pmb6789:;;?l4she345678>9i7~gh01234513j2ybk=>?01241g=tan:;<=>?77`8wla789:;<:9m;rkd456789=3n6}fg12345601k1xej>?01233dd<{`m;<=>?06`a?vo`89:;<=9lb:qjc56789:?01:3f>uno9:;<=>71c9pmb6789:;4?l4she34567819i7~gh012345>3j2ybk=>?012;1g=tan:;<=>?87`8wla789:;<59m;rkd45678923n6}fg123456?1k1xej>?0123?09`a?vo`89:;<=6lb:qjc56789:3ho5|if2345670lh0di?01234=`e3zcl<=>?01;3f>uno9:;<=>61c9pmb6789:;5?l4she34567809i7~gh012345?3j2ybk=>?012:1g=tan:;<=>?97`8wla789:;<49m;rkd45678933n6}fg123456>1k1xej>?0123=dd<{`m;<=>?08`a?vo`89:;<=7lb:qjc56789:2ho5|if2345671lh0di?01234<`e3zcl<=>?01c3f>uno9:;<=>n1c9pmb6789:;m?l4she345678h9i7~gh012345g3j2ybk=>?012b1g=tan:;<=>?a7`8wla789:;?0123edd<{`m;<=>?0``a?vo`89:;<=olb:qjc56789:jho5|if234567ilh0di?01234d`e3zcl<=>?01`3f>uno9:;<=>m1c9pmb6789:;n?l4she345678k9i7~gh012345d3j2ybk=>?012a1g=tan:;<=>?b7`8wla789:;?0123fdd<{`m;<=>?0c`a?vo`89:;<=llb:qjc56789:iho5|if234567jlh0di?01234g`e3zcl<=>?01a3f>uno9:;<=>l1c9pmb6789:;o?l4she345678j9i7~gh012345e3j2ybk=>?012`1g=tan:;<=>?c7`8wla789:;?0123gdd<{`m;<=>?0b`a?vo`89:;<=mlb:qjc56789:hho5|if234567klh0di?01234f`e3zcl<=>?01f3f>uno9:;<=>k1c9pmb6789:;h?l4she345678m9i7~gh012345b3j2ybk=>?012g1g=tan:;<=>?d7`8wla789:;?0123`dd<{`m;<=>?0e`a?vo`89:;<=jlb:qjc56789:oho5|if234567llh0di?01234a`e3zcl<=>?01g3f>uno9:;<=>j1c9pmb6789:;i?l4she345678l9i7~gh012345c3j2ybk=>?012f1g=tan:;<=>?e7`8wla789:;?0123add<{`m;<=>?0d`a?vo`89:;<=klb:qjc56789:nho5|if234567mlh0di?01234``e3zcl<=>?01d3f>uno9:;<=>i1c9pmb6789:;j?l4she345678o9i7~gh012345`3j2ybk=>?012e1g=tan:;<=>?f7`8wla789:;?0123bdd<{`m;<=>?0g`a?vo`89:;<=hlb:qjc56789:mho5|if234567nlh0di?01234c`e3zcl<=>?0023f>uno9:;<=??1c9pmb6789::?01331g=tan:;<=>>07`8wla789:;==9m;rkd456788:3n6}fg12345771k1xej>?01224dd<{`m;<=>?11`a?vo`89:;<<>lb:qjc56789;;ho5|if2345668lh0di?012355`e3zcl<=>?0033f>uno9:;<=?>1c9pmb6789::=?l4she34567989i7~gh01234473j2ybk=>?01321g=tan:;<=>>17`8wla789:;=<9m;rkd456788;3n6}fg12345761k1xej>?01225dd<{`m;<=>?10`a?vo`89:;<?0003f>uno9:;<=?=1c9pmb6789::>?l4she345679;9i7~gh01234443j2ybk=>?01311g=tan:;<=>>27`8wla789:;=?9m;rkd45678883n6}fg12345751k1xej>?01226dd<{`m;<=>?13`a?vo`89:;<<?0013f>uno9:;<=?<1c9pmb6789::??l4she345679:9i7~gh01234453j2ybk=>?01301g=tan:;<=>>37`8wla789:;=>9m;rkd45678893n6}fg12345741k1xej>?01227dd<{`m;<=>?12`a?vo`89:;<<=lb:qjc56789;8ho5|if234566;lh0di?012356`e3zcl<=>?0063f>uno9:;<=?;1c9pmb6789::8?l4she345679=9i7~gh01234423j2ybk=>?01371g=tan:;<=>>47`8wla789:;=99m;rkd456788>3n6}fg12345731k1xej>?01220dd<{`m;<=>?15`a?vo`89:;<<:lb:qjc56789;?ho5|if234566?0073f>uno9:;<=?:1c9pmb6789::9?l4she345679<9i7~gh01234433j2ybk=>?01361g=tan:;<=>>57`8wla789:;=89m;rkd456788?3n6}fg12345721k1xej>?01221dd<{`m;<=>?14`a?vo`89:;<<;lb:qjc56789;>ho5|if234566=lh0di?012350`e3zcl<=>?0043f>uno9:;<=?91c9pmb6789:::?l4she345679?9i7~gh01234403j2ybk=>?01351g=tan:;<=>>67`8wla789:;=;9m;rkd456788<3n6}fg12345711k1xej>?01222dd<{`m;<=>?17`a?vo`89:;<<8lb:qjc56789;=ho5|if234566>lh0di?012353`e3zcl<=>?0053f>uno9:;<=?81c9pmb6789::;?l4she345679>9i7~gh01234413j2ybk=>?01341g=tan:;<=>>77`8wla789:;=:9m;rkd456788=3n6}fg12345701k1xej>?01223dd<{`m;<=>?16`a?vo`89:;<<9lb:qjc56789;?00:3f>uno9:;<=?71c9pmb6789::4?l4she34567919i7~gh012344>3j2ybk=>?013;1g=tan:;<=>>87`8wla789:;=59m;rkd45678823n6}fg123457?1k1xej>?0122?19`a?vo`89:;<<6lb:qjc56789;3ho5|if2345660lh0di?01235=`e3zcl<=>?00;3f>uno9:;<=?61c9pmb6789::5?l4she34567909i7~gh012344?3j2ybk=>?013:1g=tan:;<=>>97`8wla789:;=49m;rkd45678833n6}fg123457>1k1xej>?0122=dd<{`m;<=>?18`a?vo`89:;<<7lb:qjc56789;2ho5|if2345661lh0di?01235<`e3zcl<=>?00c3f>uno9:;<=?n1c9pmb6789::m?l4she345679h9i7~gh012344g3j2ybk=>?013b1g=tan:;<=>>a7`8wla789:;=l9m;rkd456788k3n6}fg123457f1k1xej>?0122edd<{`m;<=>?1``a?vo`89:;<?00`3f>uno9:;<=?m1c9pmb6789::n?l4she345679k9i7~gh012344d3j2ybk=>?013a1g=tan:;<=>>b7`8wla789:;=o9m;rkd456788h3n6}fg123457e1k1xej>?0122fdd<{`m;<=>?1c`a?vo`89:;<?00a3f>uno9:;<=?l1c9pmb6789::o?l4she345679j9i7~gh012344e3j2ybk=>?013`1g=tan:;<=>>c7`8wla789:;=n9m;rkd456788i3n6}fg123457d1k1xej>?0122gdd<{`m;<=>?1b`a?vo`89:;<?00f3f>uno9:;<=?k1c9pmb6789::h?l4she345679m9i7~gh012344b3j2ybk=>?013g1g=tan:;<=>>d7`8wla789:;=i9m;rkd456788n3n6}fg123457c1k1xej>?0122`dd<{`m;<=>?1e`a?vo`89:;<?00g3f>uno9:;<=?j1c9pmb6789::i?l4she345679l9i7~gh012344c3j2ybk=>?013f1g=tan:;<=>>e7`8wla789:;=h9m;rkd456788o3n6}fg123457b1k1xej>?0122add<{`m;<=>?1d`a?vo`89:;<?00d3f>uno9:;<=?i1c9pmb6789::j?l4she345679o9i7~gh012344`3j2ybk=>?013e1g=tan:;<=>>f7`8wla789:;=k9m;rkd456788l3n6}fg123457a1k1xej>?0122bdd<{`m;<=>?1g`a?vo`89:;<?0323f>uno9:;<=?01031g=tan:;<=>=07`8wla789:;>=9m;rkd45678;:3n6}fg12345471k1xej>?01214dd<{`m;<=>?21`a?vo`89:;lb:qjc567898;ho5|if2345658lh0di?012365`e3zcl<=>?0333f>uno9:;<=<>1c9pmb6789:9=?l4she34567:89i7~gh01234773j2ybk=>?01021g=tan:;<=>=17`8wla789:;><9m;rkd45678;;3n6}fg12345461k1xej>?01215dd<{`m;<=>?20`a?vo`89:;?0303f>uno9:;<=<=1c9pmb6789:9>?l4she34567:;9i7~gh01234743j2ybk=>?01011g=tan:;<=>=27`8wla789:;>?9m;rkd45678;83n6}fg12345451k1xej>?01216dd<{`m;<=>?23`a?vo`89:;?0313f>uno9:;<=<<1c9pmb6789:9??l4she34567::9i7~gh01234753j2ybk=>?01001g=tan:;<=>=37`8wla789:;>>9m;rkd45678;93n6}fg12345441k1xej>?01217dd<{`m;<=>?22`a?vo`89:;?0363f>uno9:;<=<;1c9pmb6789:98?l4she34567:=9i7~gh01234723j2ybk=>?01071g=tan:;<=>=47`8wla789:;>99m;rkd45678;>3n6}fg12345431k1xej>?01210dd<{`m;<=>?25`a?vo`89:;?0373f>uno9:;<=<:1c9pmb6789:99?l4she34567:<9i7~gh01234733j2ybk=>?01061g=tan:;<=>=57`8wla789:;>89m;rkd45678;?3n6}fg12345421k1xej>?01211dd<{`m;<=>?24`a?vo`89:;ho5|if234565=lh0di?012360`e3zcl<=>?0343f>uno9:;<=<91c9pmb6789:9:?l4she34567:?9i7~gh01234703j2ybk=>?01051g=tan:;<=>=67`8wla789:;>;9m;rkd45678;<3n6}fg12345411k1xej>?01212dd<{`m;<=>?27`a?vo`89:;lh0di?012363`e3zcl<=>?0353f>uno9:;<=<81c9pmb6789:9;?l4she34567:>9i7~gh01234713j2ybk=>?01041g=tan:;<=>=77`8wla789:;>:9m;rkd45678;=3n6}fg12345401k1xej>?01213dd<{`m;<=>?26`a?vo`89:;?03:3f>uno9:;<=<71c9pmb6789:94?l4she34567:19i7~gh012347>3j2ybk=>?010;1g=tan:;<=>=87`8wla789:;>59m;rkd45678;23n6}fg123454?1k1xej>?0121?29`a?vo`89:;?03;3f>uno9:;<=<61c9pmb6789:95?l4she34567:09i7~gh012347?3j2ybk=>?010:1g=tan:;<=>=97`8wla789:;>49m;rkd45678;33n6}fg123454>1k1xej>?0121=dd<{`m;<=>?28`a?vo`89:;?03c3f>uno9:;<=?010b1g=tan:;<=>=a7`8wla789:;>l9m;rkd45678;k3n6}fg123454f1k1xej>?0121edd<{`m;<=>?2``a?vo`89:;?03`3f>uno9:;<=?010a1g=tan:;<=>=b7`8wla789:;>o9m;rkd45678;h3n6}fg123454e1k1xej>?0121fdd<{`m;<=>?2c`a?vo`89:;?03a3f>uno9:;<=?010`1g=tan:;<=>=c7`8wla789:;>n9m;rkd45678;i3n6}fg123454d1k1xej>?0121gdd<{`m;<=>?2b`a?vo`89:;?03f3f>uno9:;<=?010g1g=tan:;<=>=d7`8wla789:;>i9m;rkd45678;n3n6}fg123454c1k1xej>?0121`dd<{`m;<=>?2e`a?vo`89:;?03g3f>uno9:;<=?010f1g=tan:;<=>=e7`8wla789:;>h9m;rkd45678;o3n6}fg123454b1k1xej>?0121add<{`m;<=>?2d`a?vo`89:;?03d3f>uno9:;<=?010e1g=tan:;<=>=f7`8wla789:;>k9m;rkd45678;l3n6}fg123454a1k1xej>?0121bdd<{`m;<=>?2g`a?vo`89:;?0223f>uno9:;<==?1c9pmb6789:8?01131g=tan:;<=><07`8wla789:;?=9m;rkd45678::3n6}fg12345571k1xej>?01204dd<{`m;<=>?31`a?vo`89:;<>>lb:qjc567899;ho5|if2345648lh0di?012375`e3zcl<=>?0233f>uno9:;<==>1c9pmb6789:8=?l4she34567;89i7~gh01234673j2ybk=>?01121g=tan:;<=><17`8wla789:;?<9m;rkd45678:;3n6}fg12345561k1xej>?01205dd<{`m;<=>?30`a?vo`89:;<>?lb:qjc567899:ho5|if2345649lh0di?012374`e3zcl<=>?0203f>uno9:;<===1c9pmb6789:8>?l4she34567;;9i7~gh01234643j2ybk=>?01111g=tan:;<=><27`8wla789:;??9m;rkd45678:83n6}fg12345551k1xej>?01206dd<{`m;<=>?33`a?vo`89:;<>?0213f>uno9:;<==<1c9pmb6789:8??l4she34567;:9i7~gh01234653j2ybk=>?01101g=tan:;<=><37`8wla789:;?>9m;rkd45678:93n6}fg12345541k1xej>?01207dd<{`m;<=>?32`a?vo`89:;<>=lb:qjc5678998ho5|if234564;lh0di?012376`e3zcl<=>?0263f>uno9:;<==;1c9pmb6789:88?l4she34567;=9i7~gh01234623j2ybk=>?01171g=tan:;<=><47`8wla789:;?99m;rkd45678:>3n6}fg12345531k1xej>?01200dd<{`m;<=>?35`a?vo`89:;<>:lb:qjc567899?ho5|if234564?0273f>uno9:;<==:1c9pmb6789:89?l4she34567;<9i7~gh01234633j2ybk=>?01161g=tan:;<=><57`8wla789:;?89m;rkd45678:?3n6}fg12345521k1xej>?01201dd<{`m;<=>?34`a?vo`89:;<>;lb:qjc567899>ho5|if234564=lh0di?012370`e3zcl<=>?0243f>uno9:;<==91c9pmb6789:8:?l4she34567;?9i7~gh01234603j2ybk=>?01151g=tan:;<=><67`8wla789:;?;9m;rkd45678:<3n6}fg12345511k1xej>?01202dd<{`m;<=>?37`a?vo`89:;<>8lb:qjc567899=ho5|if234564>lh0di?012373`e3zcl<=>?0253f>uno9:;<==81c9pmb6789:8;?l4she34567;>9i7~gh01234613j2ybk=>?01141g=tan:;<=><77`8wla789:;?:9m;rkd45678:=3n6}fg12345501k1xej>?01203dd<{`m;<=>?36`a?vo`89:;<>9lb:qjc567899?02:3f>uno9:;<==71c9pmb6789:84?l4she34567;19i7~gh012346>3j2ybk=>?011;1g=tan:;<=><87`8wla789:;?59m;rkd45678:23n6}fg123455?1k1xej>?0120?39`a?vo`89:;<>6lb:qjc5678993ho5|if2345640lh0di?01237=`e3zcl<=>?02;3f>uno9:;<==61c9pmb6789:85?l4she34567;09i7~gh012346?3j2ybk=>?011:1g=tan:;<=><97`8wla789:;?49m;rkd45678:33n6}fg123455>1k1xej>?0120=dd<{`m;<=>?38`a?vo`89:;<>7lb:qjc5678992ho5|if2345641lh0di?01237<`e3zcl<=>?02c3f>uno9:;<==n1c9pmb6789:8m?l4she34567;h9i7~gh012346g3j2ybk=>?011b1g=tan:;<=>?0120edd<{`m;<=>?3``a?vo`89:;<>olb:qjc567899jho5|if234564ilh0di?01237d`e3zcl<=>?02`3f>uno9:;<==m1c9pmb6789:8n?l4she34567;k9i7~gh012346d3j2ybk=>?011a1g=tan:;<=>?0120fdd<{`m;<=>?3c`a?vo`89:;<>llb:qjc567899iho5|if234564jlh0di?01237g`e3zcl<=>?02a3f>uno9:;<==l1c9pmb6789:8o?l4she34567;j9i7~gh012346e3j2ybk=>?011`1g=tan:;<=>?0120gdd<{`m;<=>?3b`a?vo`89:;<>mlb:qjc567899hho5|if234564klh0di?01237f`e3zcl<=>?02f3f>uno9:;<==k1c9pmb6789:8h?l4she34567;m9i7~gh012346b3j2ybk=>?011g1g=tan:;<=>?0120`dd<{`m;<=>?3e`a?vo`89:;<>jlb:qjc567899oho5|if234564llh0di?01237a`e3zcl<=>?02g3f>uno9:;<==j1c9pmb6789:8i?l4she34567;l9i7~gh012346c3j2ybk=>?011f1g=tan:;<=>?0120add<{`m;<=>?3d`a?vo`89:;<>klb:qjc567899nho5|if234564mlh0di?01237``e3zcl<=>?02d3f>uno9:;<==i1c9pmb6789:8j?l4she34567;o9i7~gh012346`3j2ybk=>?011e1g=tan:;<=>?0120bdd<{`m;<=>?3g`a?vo`89:;<>hlb:qjc567899mho5|if234564nlh0di?01237c`e3zcl<=>?0523f>uno9:;<=:?1c9pmb6789:??01631g=tan:;<=>;07`8wla789:;8=9m;rkd45678=:3n6}fg12345271k1xej>?01274dd<{`m;<=>?41`a?vo`89:;<9>lb:qjc56789>;ho5|if2345638lh0di?012305`e3zcl<=>?0533f>uno9:;<=:>1c9pmb6789:?=?l4she34567<89i7~gh01234173j2ybk=>?01621g=tan:;<=>;17`8wla789:;8<9m;rkd45678=;3n6}fg12345261k1xej>?01275dd<{`m;<=>?40`a?vo`89:;<9?lb:qjc56789>:ho5|if2345639lh0di?012304`e3zcl<=>?0503f>uno9:;<=:=1c9pmb6789:?>?l4she34567<;9i7~gh01234143j2ybk=>?01611g=tan:;<=>;27`8wla789:;8?9m;rkd45678=83n6}fg12345251k1xej>?01276dd<{`m;<=>?43`a?vo`89:;<99ho5|if234563:lh0di?012307`e3zcl<=>?0513f>uno9:;<=:<1c9pmb6789:???l4she34567<:9i7~gh01234153j2ybk=>?01601g=tan:;<=>;37`8wla789:;8>9m;rkd45678=93n6}fg12345241k1xej>?01277dd<{`m;<=>?42`a?vo`89:;<9=lb:qjc56789>8ho5|if234563;lh0di?012306`e3zcl<=>?0563f>uno9:;<=:;1c9pmb6789:?8?l4she34567<=9i7~gh01234123j2ybk=>?01671g=tan:;<=>;47`8wla789:;899m;rkd45678=>3n6}fg12345231k1xej>?01270dd<{`m;<=>?45`a?vo`89:;<9:lb:qjc56789>?ho5|if234563?0573f>uno9:;<=::1c9pmb6789:?9?l4she34567<<9i7~gh01234133j2ybk=>?01661g=tan:;<=>;57`8wla789:;889m;rkd45678=?3n6}fg12345221k1xej>?01271dd<{`m;<=>?44`a?vo`89:;<9;lb:qjc56789>>ho5|if234563=lh0di?012300`e3zcl<=>?0543f>uno9:;<=:91c9pmb6789:?:?l4she34567?01651g=tan:;<=>;67`8wla789:;8;9m;rkd45678=<3n6}fg12345211k1xej>?01272dd<{`m;<=>?47`a?vo`89:;<98lb:qjc56789>=ho5|if234563>lh0di?012303`e3zcl<=>?0553f>uno9:;<=:81c9pmb6789:?;?l4she34567<>9i7~gh01234113j2ybk=>?01641g=tan:;<=>;77`8wla789:;8:9m;rkd45678==3n6}fg12345201k1xej>?01273dd<{`m;<=>?46`a?vo`89:;<99lb:qjc56789>?05:3f>uno9:;<=:71c9pmb6789:?4?l4she34567<19i7~gh012341>3j2ybk=>?016;1g=tan:;<=>;87`8wla789:;859m;rkd45678=23n6}fg123452?1k1xej>?0127?49`a?vo`89:;<96lb:qjc56789>3ho5|if2345630lh0di?01230=`e3zcl<=>?05;3f>uno9:;<=:61c9pmb6789:?5?l4she34567<09i7~gh012341?3j2ybk=>?016:1g=tan:;<=>;97`8wla789:;849m;rkd45678=33n6}fg123452>1k1xej>?0127=dd<{`m;<=>?48`a?vo`89:;<97lb:qjc56789>2ho5|if2345631lh0di?01230<`e3zcl<=>?05c3f>uno9:;<=:n1c9pmb6789:?m?l4she34567?016b1g=tan:;<=>;a7`8wla789:;8l9m;rkd45678=k3n6}fg123452f1k1xej>?0127edd<{`m;<=>?4``a?vo`89:;<9olb:qjc56789>jho5|if234563ilh0di?01230d`e3zcl<=>?05`3f>uno9:;<=:m1c9pmb6789:?n?l4she34567?016a1g=tan:;<=>;b7`8wla789:;8o9m;rkd45678=h3n6}fg123452e1k1xej>?0127fdd<{`m;<=>?4c`a?vo`89:;<9llb:qjc56789>iho5|if234563jlh0di?01230g`e3zcl<=>?05a3f>uno9:;<=:l1c9pmb6789:?o?l4she34567?016`1g=tan:;<=>;c7`8wla789:;8n9m;rkd45678=i3n6}fg123452d1k1xej>?0127gdd<{`m;<=>?4b`a?vo`89:;<9mlb:qjc56789>hho5|if234563klh0di?01230f`e3zcl<=>?05f3f>uno9:;<=:k1c9pmb6789:?h?l4she34567?016g1g=tan:;<=>;d7`8wla789:;8i9m;rkd45678=n3n6}fg123452c1k1xej>?0127`dd<{`m;<=>?4e`a?vo`89:;<9jlb:qjc56789>oho5|if234563llh0di?01230a`e3zcl<=>?05g3f>uno9:;<=:j1c9pmb6789:?i?l4she34567?016f1g=tan:;<=>;e7`8wla789:;8h9m;rkd45678=o3n6}fg123452b1k1xej>?0127add<{`m;<=>?4d`a?vo`89:;<9klb:qjc56789>nho5|if234563mlh0di?01230``e3zcl<=>?05d3f>uno9:;<=:i1c9pmb6789:?j?l4she34567?016e1g=tan:;<=>;f7`8wla789:;8k9m;rkd45678=l3n6}fg123452a1k1xej>?0127bdd<{`m;<=>?4g`a?vo`89:;<9hlb:qjc56789>mho5|if234563nlh0di?01230c`e3zcl<=>?0423f>uno9:;<=;?1c9pmb6789:>?01731g=tan:;<=>:07`8wla789:;9=9m;rkd45678<:3n6}fg12345371k1xej>?01264dd<{`m;<=>?51`a?vo`89:;<8>lb:qjc56789?;ho5|if2345628lh0di?012315`e3zcl<=>?0433f>uno9:;<=;>1c9pmb6789:>=?l4she34567=89i7~gh01234073j2ybk=>?01721g=tan:;<=>:17`8wla789:;9<9m;rkd45678<;3n6}fg12345361k1xej>?01265dd<{`m;<=>?50`a?vo`89:;<8?lb:qjc56789?:ho5|if2345629lh0di?012314`e3zcl<=>?0403f>uno9:;<=;=1c9pmb6789:>>?l4she34567=;9i7~gh01234043j2ybk=>?01711g=tan:;<=>:27`8wla789:;9?9m;rkd45678<83n6}fg12345351k1xej>?01266dd<{`m;<=>?53`a?vo`89:;<8?0413f>uno9:;<=;<1c9pmb6789:>??l4she34567=:9i7~gh01234053j2ybk=>?01701g=tan:;<=>:37`8wla789:;9>9m;rkd45678<93n6}fg12345341k1xej>?01267dd<{`m;<=>?52`a?vo`89:;<8=lb:qjc56789?8ho5|if234562;lh0di?012316`e3zcl<=>?0463f>uno9:;<=;;1c9pmb6789:>8?l4she34567==9i7~gh01234023j2ybk=>?01771g=tan:;<=>:47`8wla789:;999m;rkd45678<>3n6}fg12345331k1xej>?01260dd<{`m;<=>?55`a?vo`89:;<8:lb:qjc56789??ho5|if234562?0473f>uno9:;<=;:1c9pmb6789:>9?l4she34567=<9i7~gh01234033j2ybk=>?01761g=tan:;<=>:57`8wla789:;989m;rkd45678?01261dd<{`m;<=>?54`a?vo`89:;<8;lb:qjc56789?>ho5|if234562=lh0di?012310`e3zcl<=>?0443f>uno9:;<=;91c9pmb6789:>:?l4she34567=?9i7~gh01234003j2ybk=>?01751g=tan:;<=>:67`8wla789:;9;9m;rkd45678<<3n6}fg12345311k1xej>?01262dd<{`m;<=>?57`a?vo`89:;<88lb:qjc56789?=ho5|if234562>lh0di?012313`e3zcl<=>?0453f>uno9:;<=;81c9pmb6789:>;?l4she34567=>9i7~gh01234013j2ybk=>?01741g=tan:;<=>:77`8wla789:;9:9m;rkd45678<=3n6}fg12345301k1xej>?01263dd<{`m;<=>?56`a?vo`89:;<89lb:qjc56789??04:3f>uno9:;<=;71c9pmb6789:>4?l4she34567=19i7~gh012340>3j2ybk=>?017;1g=tan:;<=>:87`8wla789:;959m;rkd45678<23n6}fg123453?1k1xej>?0126?59`a?vo`89:;<86lb:qjc56789?3ho5|if2345620lh0di?01231=`e3zcl<=>?04;3f>uno9:;<=;61c9pmb6789:>5?l4she34567=09i7~gh012340?3j2ybk=>?017:1g=tan:;<=>:97`8wla789:;949m;rkd45678<33n6}fg123453>1k1xej>?0126=dd<{`m;<=>?58`a?vo`89:;<87lb:qjc56789?2ho5|if2345621lh0di?01231<`e3zcl<=>?04c3f>uno9:;<=;n1c9pmb6789:>m?l4she34567=h9i7~gh012340g3j2ybk=>?017b1g=tan:;<=>:a7`8wla789:;9l9m;rkd45678?0126edd<{`m;<=>?5``a?vo`89:;<8olb:qjc56789?jho5|if234562ilh0di?01231d`e3zcl<=>?04`3f>uno9:;<=;m1c9pmb6789:>n?l4she34567=k9i7~gh012340d3j2ybk=>?017a1g=tan:;<=>:b7`8wla789:;9o9m;rkd45678?0126fdd<{`m;<=>?5c`a?vo`89:;<8llb:qjc56789?iho5|if234562jlh0di?01231g`e3zcl<=>?04a3f>uno9:;<=;l1c9pmb6789:>o?l4she34567=j9i7~gh012340e3j2ybk=>?017`1g=tan:;<=>:c7`8wla789:;9n9m;rkd45678?0126gdd<{`m;<=>?5b`a?vo`89:;<8mlb:qjc56789?hho5|if234562klh0di?01231f`e3zcl<=>?04f3f>uno9:;<=;k1c9pmb6789:>h?l4she34567=m9i7~gh012340b3j2ybk=>?017g1g=tan:;<=>:d7`8wla789:;9i9m;rkd45678?0126`dd<{`m;<=>?5e`a?vo`89:;<8jlb:qjc56789?oho5|if234562llh0di?01231a`e3zcl<=>?04g3f>uno9:;<=;j1c9pmb6789:>i?l4she34567=l9i7~gh012340c3j2ybk=>?017f1g=tan:;<=>:e7`8wla789:;9h9m;rkd45678?0126add<{`m;<=>?5d`a?vo`89:;<8klb:qjc56789?nho5|if234562mlh0di?01231``e3zcl<=>?04d3f>uno9:;<=;i1c9pmb6789:>j?l4she34567=o9i7~gh012340`3j2ybk=>?017e1g=tan:;<=>:f7`8wla789:;9k9m;rkd45678?0126bdd<{`m;<=>?5g`a?vo`89:;<8hlb:qjc56789?mho5|if234562nlh0di?01231c`e3zcl<=>?0723f>uno9:;<=8?1c9pmb6789:=99i7~gh01234363j2ybk=>?01431g=tan:;<=>907`8wla789:;:=9m;rkd45678?:3n6}fg12345071k1xej>?01254dd<{`m;<=>?61`a?vo`89:;<;>lb:qjc56789<;ho5|if2345618lh0di?012325`e3zcl<=>?0733f>uno9:;<=8>1c9pmb6789:==?l4she34567>89i7~gh01234373j2ybk=>?01421g=tan:;<=>917`8wla789:;:<9m;rkd45678?;3n6}fg12345061k1xej>?01255dd<{`m;<=>?60`a?vo`89:;<;?lb:qjc56789<:ho5|if2345619lh0di?012324`e3zcl<=>?0703f>uno9:;<=8=1c9pmb6789:=>?l4she34567>;9i7~gh01234343j2ybk=>?01411g=tan:;<=>927`8wla789:;:?9m;rkd45678?83n6}fg12345051k1xej>?01256dd<{`m;<=>?63`a?vo`89:;<;?0713f>uno9:;<=8<1c9pmb6789:=??l4she34567>:9i7~gh01234353j2ybk=>?01401g=tan:;<=>937`8wla789:;:>9m;rkd45678?93n6}fg12345041k1xej>?01257dd<{`m;<=>?62`a?vo`89:;<;=lb:qjc56789<8ho5|if234561;lh0di?012326`e3zcl<=>?0763f>uno9:;<=8;1c9pmb6789:=8?l4she34567>=9i7~gh01234323j2ybk=>?01471g=tan:;<=>947`8wla789:;:99m;rkd45678?>3n6}fg12345031k1xej>?01250dd<{`m;<=>?65`a?vo`89:;<;:lb:qjc56789?0773f>uno9:;<=8:1c9pmb6789:=9?l4she34567><9i7~gh01234333j2ybk=>?01461g=tan:;<=>957`8wla789:;:89m;rkd45678??3n6}fg12345021k1xej>?01251dd<{`m;<=>?64`a?vo`89:;<;;lb:qjc56789<>ho5|if234561=lh0di?012320`e3zcl<=>?0743f>uno9:;<=891c9pmb6789:=:?l4she34567>?9i7~gh01234303j2ybk=>?01451g=tan:;<=>967`8wla789:;:;9m;rkd45678?<3n6}fg12345011k1xej>?01252dd<{`m;<=>?67`a?vo`89:;<;8lb:qjc56789<=ho5|if234561>lh0di?012323`e3zcl<=>?0753f>uno9:;<=881c9pmb6789:=;?l4she34567>>9i7~gh01234313j2ybk=>?01441g=tan:;<=>977`8wla789:;::9m;rkd45678?=3n6}fg12345001k1xej>?01253dd<{`m;<=>?66`a?vo`89:;<;9lb:qjc56789<?07:3f>uno9:;<=871c9pmb6789:=4?l4she34567>19i7~gh012343>3j2ybk=>?014;1g=tan:;<=>987`8wla789:;:59m;rkd45678?23n6}fg123450?1k1xej>?0125?69`a?vo`89:;<;6lb:qjc56789<3ho5|if2345610lh0di?01232=`e3zcl<=>?07;3f>uno9:;<=861c9pmb6789:=5?l4she34567>09i7~gh012343?3j2ybk=>?014:1g=tan:;<=>997`8wla789:;:49m;rkd45678?33n6}fg123450>1k1xej>?0125=dd<{`m;<=>?68`a?vo`89:;<;7lb:qjc56789<2ho5|if2345611lh0di?01232<`e3zcl<=>?07c3f>uno9:;<=8n1c9pmb6789:=m?l4she34567>h9i7~gh012343g3j2ybk=>?014b1g=tan:;<=>9a7`8wla789:;:l9m;rkd45678?k3n6}fg123450f1k1xej>?0125edd<{`m;<=>?6``a?vo`89:;<;olb:qjc56789?07`3f>uno9:;<=8m1c9pmb6789:=n?l4she34567>k9i7~gh012343d3j2ybk=>?014a1g=tan:;<=>9b7`8wla789:;:o9m;rkd45678?h3n6}fg123450e1k1xej>?0125fdd<{`m;<=>?6c`a?vo`89:;<;llb:qjc56789?07a3f>uno9:;<=8l1c9pmb6789:=o?l4she34567>j9i7~gh012343e3j2ybk=>?014`1g=tan:;<=>9c7`8wla789:;:n9m;rkd45678?i3n6}fg123450d1k1xej>?0125gdd<{`m;<=>?6b`a?vo`89:;<;mlb:qjc56789?07f3f>uno9:;<=8k1c9pmb6789:=h?l4she34567>m9i7~gh012343b3j2ybk=>?014g1g=tan:;<=>9d7`8wla789:;:i9m;rkd45678?n3n6}fg123450c1k1xej>?0125`dd<{`m;<=>?6e`a?vo`89:;<;jlb:qjc56789?07g3f>uno9:;<=8j1c9pmb6789:=i?l4she34567>l9i7~gh012343c3j2ybk=>?014f1g=tan:;<=>9e7`8wla789:;:h9m;rkd45678?o3n6}fg123450b1k1xej>?0125add<{`m;<=>?6d`a?vo`89:;<;klb:qjc56789?07d3f>uno9:;<=8i1c9pmb6789:=j?l4she34567>o9i7~gh012343`3j2ybk=>?014e1g=tan:;<=>9f7`8wla789:;:k9m;rkd45678?l3n6}fg123450a1k1xej>?0125bdd<{`m;<=>?6g`a?vo`89:;<;hlb:qjc56789?0623f>uno9:;<=9?1c9pmb6789:<?01531g=tan:;<=>807`8wla789:;;=9m;rkd45678>:3n6}fg12345171k1xej>?01244dd<{`m;<=>?71`a?vo`89:;<:>lb:qjc56789=;ho5|if2345608lh0di?012335`e3zcl<=>?0633f>uno9:;<=9>1c9pmb6789:<=?l4she34567?89i7~gh01234273j2ybk=>?01521g=tan:;<=>817`8wla789:;;<9m;rkd45678>;3n6}fg12345161k1xej>?01245dd<{`m;<=>?70`a?vo`89:;<:?lb:qjc56789=:ho5|if2345609lh0di?012334`e3zcl<=>?0603f>uno9:;<=9=1c9pmb6789:<>?l4she34567?;9i7~gh01234243j2ybk=>?01511g=tan:;<=>827`8wla789:;;?9m;rkd45678>83n6}fg12345151k1xej>?01246dd<{`m;<=>?73`a?vo`89:;<:?0613f>uno9:;<=9<1c9pmb6789:?01501g=tan:;<=>837`8wla789:;;>9m;rkd45678>93n6}fg12345141k1xej>?01247dd<{`m;<=>?72`a?vo`89:;<:=lb:qjc56789=8ho5|if234560;lh0di?012336`e3zcl<=>?0663f>uno9:;<=9;1c9pmb6789:<8?l4she34567?=9i7~gh01234223j2ybk=>?01571g=tan:;<=>847`8wla789:;;99m;rkd45678>>3n6}fg12345131k1xej>?01240dd<{`m;<=>?75`a?vo`89:;<::lb:qjc56789=?ho5|if234560?0673f>uno9:;<=9:1c9pmb6789:<9?l4she34567?<9i7~gh01234233j2ybk=>?01561g=tan:;<=>857`8wla789:;;89m;rkd45678>?3n6}fg12345121k1xej>?01241dd<{`m;<=>?74`a?vo`89:;<:;lb:qjc56789=>ho5|if234560=lh0di?012330`e3zcl<=>?0643f>uno9:;<=991c9pmb6789:<:?l4she34567??9i7~gh01234203j2ybk=>?01551g=tan:;<=>867`8wla789:;;;9m;rkd45678><3n6}fg12345111k1xej>?01242dd<{`m;<=>?77`a?vo`89:;<:8lb:qjc56789==ho5|if234560>lh0di?012333`e3zcl<=>?0653f>uno9:;<=981c9pmb6789:<;?l4she34567?>9i7~gh01234213j2ybk=>?01541g=tan:;<=>877`8wla789:;;:9m;rkd45678>=3n6}fg12345101k1xej>?01243dd<{`m;<=>?76`a?vo`89:;<:9lb:qjc56789=?06:3f>uno9:;<=971c9pmb6789:<4?l4she34567?19i7~gh012342>3j2ybk=>?015;1g=tan:;<=>887`8wla789:;;59m;rkd45678>23n6}fg123451?1k1xej>?0124?79`a?vo`89:;<:6lb:qjc56789=3ho5|if2345600lh0di?01233=`e3zcl<=>?06;3f>uno9:;<=961c9pmb6789:<5?l4she34567?09i7~gh012342?3j2ybk=>?015:1g=tan:;<=>897`8wla789:;;49m;rkd45678>33n6}fg123451>1k1xej>?0124=dd<{`m;<=>?78`a?vo`89:;<:7lb:qjc56789=2ho5|if2345601lh0di?01233<`e3zcl<=>?06c3f>uno9:;<=9n1c9pmb6789:?015b1g=tan:;<=>8a7`8wla789:;;l9m;rkd45678>k3n6}fg123451f1k1xej>?0124edd<{`m;<=>?7``a?vo`89:;<:olb:qjc56789=jho5|if234560ilh0di?01233d`e3zcl<=>?06`3f>uno9:;<=9m1c9pmb6789:?015a1g=tan:;<=>8b7`8wla789:;;o9m;rkd45678>h3n6}fg123451e1k1xej>?0124fdd<{`m;<=>?7c`a?vo`89:;<:llb:qjc56789=iho5|if234560jlh0di?01233g`e3zcl<=>?06a3f>uno9:;<=9l1c9pmb6789:?015`1g=tan:;<=>8c7`8wla789:;;n9m;rkd45678>i3n6}fg123451d1k1xej>?0124gdd<{`m;<=>?7b`a?vo`89:;<:mlb:qjc56789=hho5|if234560klh0di?01233f`e3zcl<=>?06f3f>uno9:;<=9k1c9pmb6789:?015g1g=tan:;<=>8d7`8wla789:;;i9m;rkd45678>n3n6}fg123451c1k1xej>?0124`dd<{`m;<=>?7e`a?vo`89:;<:jlb:qjc56789=oho5|if234560llh0di?01233a`e3zcl<=>?06g3f>uno9:;<=9j1c9pmb6789:?015f1g=tan:;<=>8e7`8wla789:;;h9m;rkd45678>o3n6}fg123451b1k1xej>?0124add<{`m;<=>?7d`a?vo`89:;<:klb:qjc56789=nho5|if234560mlh0di?01233``e3zcl<=>?06d3f>uno9:;<=9i1c9pmb6789:?015e1g=tan:;<=>8f7`8wla789:;;k9m;rkd45678>l3n6}fg123451a1k1xej>?0124bdd<{`m;<=>?7g`a?vo`89:;<:hlb:qjc56789=mho5|if234560nlh0di?01233c`e3zcl<=>?0923f>uno9:;<=6?1c9pmb6789:3?01:31g=tan:;<=>707`8wla789:;4=9m;rkd456781:3n6}fg12345>71k1xej>?012;4dd<{`m;<=>?81`a?vo`89:;<5>lb:qjc567892;ho5|if23456?8lh0di?0123<5`e3zcl<=>?0933f>uno9:;<=6>1c9pmb6789:3=?l4she34567089i7~gh01234=73j2ybk=>?01:21g=tan:;<=>717`8wla789:;4<9m;rkd456781;3n6}fg12345>61k1xej>?012;5dd<{`m;<=>?80`a?vo`89:;<5?lb:qjc567892:ho5|if23456?9lh0di?0123<4`e3zcl<=>?0903f>uno9:;<=6=1c9pmb6789:3>?l4she345670;9i7~gh01234=43j2ybk=>?01:11g=tan:;<=>727`8wla789:;4?9m;rkd45678183n6}fg12345>51k1xej>?012;6dd<{`m;<=>?83`a?vo`89:;<5?0913f>uno9:;<=6<1c9pmb6789:3??l4she345670:9i7~gh01234=53j2ybk=>?01:01g=tan:;<=>737`8wla789:;4>9m;rkd45678193n6}fg12345>41k1xej>?012;7dd<{`m;<=>?82`a?vo`89:;<5=lb:qjc5678928ho5|if23456?;lh0di?0123<6`e3zcl<=>?0963f>uno9:;<=6;1c9pmb6789:38?l4she345670=9i7~gh01234=23j2ybk=>?01:71g=tan:;<=>747`8wla789:;499m;rkd456781>3n6}fg12345>31k1xej>?012;0dd<{`m;<=>?85`a?vo`89:;<5:lb:qjc567892?ho5|if23456??0973f>uno9:;<=6:1c9pmb6789:39?l4she345670<9i7~gh01234=33j2ybk=>?01:61g=tan:;<=>757`8wla789:;489m;rkd456781?3n6}fg12345>21k1xej>?012;1dd<{`m;<=>?84`a?vo`89:;<5;lb:qjc567892>ho5|if23456?=lh0di?0123<0`e3zcl<=>?0943f>uno9:;<=691c9pmb6789:3:?l4she345670?9i7~gh01234=03j2ybk=>?01:51g=tan:;<=>767`8wla789:;4;9m;rkd456781<3n6}fg12345>11k1xej>?012;2dd<{`m;<=>?87`a?vo`89:;<58lb:qjc567892=ho5|if23456?>lh0di?0123<3`e3zcl<=>?0953f>uno9:;<=681c9pmb6789:3;?l4she345670>9i7~gh01234=13j2ybk=>?01:41g=tan:;<=>777`8wla789:;4:9m;rkd456781=3n6}fg12345>01k1xej>?012;3dd<{`m;<=>?86`a?vo`89:;<59lb:qjc567892?09:3f>uno9:;<=671c9pmb6789:34?l4she34567019i7~gh01234=>3j2ybk=>?01:;1g=tan:;<=>787`8wla789:;459m;rkd45678123n6}fg12345>?1k1xej>?012;?89`a?vo`89:;<56lb:qjc5678923ho5|if23456?0lh0di?0123<=`e3zcl<=>?09;3f>uno9:;<=661c9pmb6789:35?l4she34567009i7~gh01234=?3j2ybk=>?01::1g=tan:;<=>797`8wla789:;449m;rkd45678133n6}fg12345>>1k1xej>?012;=dd<{`m;<=>?88`a?vo`89:;<57lb:qjc5678922ho5|if23456?1lh0di?0123<<`e3zcl<=>?09c3f>uno9:;<=6n1c9pmb6789:3m?l4she345670h9i7~gh01234=g3j2ybk=>?01:b1g=tan:;<=>7a7`8wla789:;4l9m;rkd456781k3n6}fg12345>f1k1xej>?012;edd<{`m;<=>?8``a?vo`89:;<5olb:qjc567892jho5|if23456?ilh0di?0123?09`3f>uno9:;<=6m1c9pmb6789:3n?l4she345670k9i7~gh01234=d3j2ybk=>?01:a1g=tan:;<=>7b7`8wla789:;4o9m;rkd456781h3n6}fg12345>e1k1xej>?012;fdd<{`m;<=>?8c`a?vo`89:;<5llb:qjc567892iho5|if23456?jlh0di?0123?09a3f>uno9:;<=6l1c9pmb6789:3o?l4she345670j9i7~gh01234=e3j2ybk=>?01:`1g=tan:;<=>7c7`8wla789:;4n9m;rkd456781i3n6}fg12345>d1k1xej>?012;gdd<{`m;<=>?8b`a?vo`89:;<5mlb:qjc567892hho5|if23456?klh0di?0123?09f3f>uno9:;<=6k1c9pmb6789:3h?l4she345670m9i7~gh01234=b3j2ybk=>?01:g1g=tan:;<=>7d7`8wla789:;4i9m;rkd456781n3n6}fg12345>c1k1xej>?012;`dd<{`m;<=>?8e`a?vo`89:;<5jlb:qjc567892oho5|if23456?llh0di?0123?09g3f>uno9:;<=6j1c9pmb6789:3i?l4she345670l9i7~gh01234=c3j2ybk=>?01:f1g=tan:;<=>7e7`8wla789:;4h9m;rkd456781o3n6}fg12345>b1k1xej>?012;add<{`m;<=>?8d`a?vo`89:;<5klb:qjc567892nho5|if23456?mlh0di?0123<``e3zcl<=>?09d3f>uno9:;<=6i1c9pmb6789:3j?l4she345670o9i7~gh01234=`3j2ybk=>?01:e1g=tan:;<=>7f7`8wla789:;4k9m;rkd456781l3n6}fg12345>a1k1xej>?012;bdd<{`m;<=>?8g`a?vo`89:;<5hlb:qjc567892mho5|if23456?nlh0di?0123?0823f>uno9:;<=7?1c9pmb6789:2?01;31g=tan:;<=>607`8wla789:;5=9m;rkd456780:3n6}fg12345?71k1xej>?012:4dd<{`m;<=>?91`a?vo`89:;<4>lb:qjc567893;ho5|if23456>8lh0di?0123=5`e3zcl<=>?0833f>uno9:;<=7>1c9pmb6789:2=?l4she34567189i7~gh01234<73j2ybk=>?01;21g=tan:;<=>617`8wla789:;5<9m;rkd456780;3n6}fg12345?61k1xej>?012:5dd<{`m;<=>?90`a?vo`89:;<4?lb:qjc567893:ho5|if23456>9lh0di?0123=4`e3zcl<=>?0803f>uno9:;<=7=1c9pmb6789:2>?l4she345671;9i7~gh01234<43j2ybk=>?01;11g=tan:;<=>627`8wla789:;5?9m;rkd45678083n6}fg12345?51k1xej>?012:6dd<{`m;<=>?93`a?vo`89:;<4:lh0di?0123=7`e3zcl<=>?0813f>uno9:;<=7<1c9pmb6789:2??l4she345671:9i7~gh01234<53j2ybk=>?01;01g=tan:;<=>637`8wla789:;5>9m;rkd45678093n6}fg12345?41k1xej>?012:7dd<{`m;<=>?92`a?vo`89:;<4=lb:qjc5678938ho5|if23456>;lh0di?0123=6`e3zcl<=>?0863f>uno9:;<=7;1c9pmb6789:28?l4she345671=9i7~gh01234<23j2ybk=>?01;71g=tan:;<=>647`8wla789:;599m;rkd456780>3n6}fg12345?31k1xej>?012:0dd<{`m;<=>?95`a?vo`89:;<4:lb:qjc567893?ho5|if23456>?0873f>uno9:;<=7:1c9pmb6789:29?l4she345671<9i7~gh01234<33j2ybk=>?01;61g=tan:;<=>657`8wla789:;589m;rkd456780?3n6}fg12345?21k1xej>?012:1dd<{`m;<=>?94`a?vo`89:;<4;lb:qjc567893>ho5|if23456>=lh0di?0123=0`e3zcl<=>?0843f>uno9:;<=791c9pmb6789:2:?l4she345671?9i7~gh01234<03j2ybk=>?01;51g=tan:;<=>667`8wla789:;5;9m;rkd456780<3n6}fg12345?11k1xej>?012:2dd<{`m;<=>?97`a?vo`89:;<48lb:qjc567893=ho5|if23456>>lh0di?0123=3`e3zcl<=>?0853f>uno9:;<=781c9pmb6789:2;?l4she345671>9i7~gh01234<13j2ybk=>?01;41g=tan:;<=>677`8wla789:;5:9m;rkd456780=3n6}fg12345?01k1xej>?012:3dd<{`m;<=>?96`a?vo`89:;<49lb:qjc567893?lh0di?0123=2`e3zcl<=>?08:3f>uno9:;<=771c9pmb6789:24?l4she34567119i7~gh01234<>3j2ybk=>?01;;1g=tan:;<=>687`8wla789:;559m;rkd45678023n6}fg12345??1k1xej>?012:?99`a?vo`89:;<46lb:qjc5678933ho5|if23456>0lh0di?0123==`e3zcl<=>?08;3f>uno9:;<=761c9pmb6789:25?l4she34567109i7~gh01234?01;:1g=tan:;<=>697`8wla789:;549m;rkd45678033n6}fg12345?>1k1xej>?012:=dd<{`m;<=>?98`a?vo`89:;<47lb:qjc5678932ho5|if23456>1lh0di?0123=<`e3zcl<=>?08c3f>uno9:;<=7n1c9pmb6789:2m?l4she345671h9i7~gh01234?01;b1g=tan:;<=>6a7`8wla789:;5l9m;rkd456780k3n6}fg12345?f1k1xej>?012:edd<{`m;<=>?9``a?vo`89:;<4olb:qjc567893jho5|if23456>ilh0di?0123=d`e3zcl<=>?08`3f>uno9:;<=7m1c9pmb6789:2n?l4she345671k9i7~gh01234?01;a1g=tan:;<=>6b7`8wla789:;5o9m;rkd456780h3n6}fg12345?e1k1xej>?012:fdd<{`m;<=>?9c`a?vo`89:;<4llb:qjc567893iho5|if23456>jlh0di?0123=g`e3zcl<=>?08a3f>uno9:;<=7l1c9pmb6789:2o?l4she345671j9i7~gh01234?01;`1g=tan:;<=>6c7`8wla789:;5n9m;rkd456780i3n6}fg12345?d1k1xej>?012:gdd<{`m;<=>?9b`a?vo`89:;<4mlb:qjc567893hho5|if23456>klh0di?0123=f`e3zcl<=>?08f3f>uno9:;<=7k1c9pmb6789:2h?l4she345671m9i7~gh01234?01;g1g=tan:;<=>6d7`8wla789:;5i9m;rkd456780n3n6}fg12345?c1k1xej>?012:`dd<{`m;<=>?9e`a?vo`89:;<4jlb:qjc567893oho5|if23456>llh0di?0123=a`e3zcl<=>?08g3f>uno9:;<=7j1c9pmb6789:2i?l4she345671l9i7~gh01234?01;f1g=tan:;<=>6e7`8wla789:;5h9m;rkd456780o3n6}fg12345?b1k1xej>?012:add<{`m;<=>?9d`a?vo`89:;<4klb:qjc567893nho5|if23456>mlh0di?0123=``e3zcl<=>?08d3f>uno9:;<=7i1c9pmb6789:2j?l4she345671o9i7~gh01234<`3j2ybk=>?01;e1g=tan:;<=>6f7`8wla789:;5k9m;rkd456780l3n6}fg12345?a1k1xej>?012:bdd<{`m;<=>?9g`a?vo`89:;<4hlb:qjc567893mho5|if23456>nlh0di?0123=c`e3zcl<=>?0`23f>uno9:;<=o?1c9pmb6789:j?01c31g=tan:;<=>n07`8wla789:;m=9m;rkd45678h:3n6}fg12345g71k1xej>?012b4dd<{`m;<=>?a1`a?vo`89:;lb:qjc56789k;ho5|if23456f8lh0di?0123e5`e3zcl<=>?0`33f>uno9:;<=o>1c9pmb6789:j=?l4she34567i89i7~gh01234d73j2ybk=>?01c21g=tan:;<=>n17`8wla789:;m<9m;rkd45678h;3n6}fg12345g61k1xej>?012b5dd<{`m;<=>?a0`a?vo`89:;?0`03f>uno9:;<=o=1c9pmb6789:j>?l4she34567i;9i7~gh01234d43j2ybk=>?01c11g=tan:;<=>n27`8wla789:;m?9m;rkd45678h83n6}fg12345g51k1xej>?012b6dd<{`m;<=>?a3`a?vo`89:;?0`13f>uno9:;<=o<1c9pmb6789:j??l4she34567i:9i7~gh01234d53j2ybk=>?01c01g=tan:;<=>n37`8wla789:;m>9m;rkd45678h93n6}fg12345g41k1xej>?012b7dd<{`m;<=>?a2`a?vo`89:;?0`63f>uno9:;<=o;1c9pmb6789:j8?l4she34567i=9i7~gh01234d23j2ybk=>?01c71g=tan:;<=>n47`8wla789:;m99m;rkd45678h>3n6}fg12345g31k1xej>?012b0dd<{`m;<=>?a5`a?vo`89:;?0`73f>uno9:;<=o:1c9pmb6789:j9?l4she34567i<9i7~gh01234d33j2ybk=>?01c61g=tan:;<=>n57`8wla789:;m89m;rkd45678h?3n6}fg12345g21k1xej>?012b1dd<{`m;<=>?a4`a?vo`89:;ho5|if23456f=lh0di?0123e0`e3zcl<=>?0`43f>uno9:;<=o91c9pmb6789:j:?l4she34567i?9i7~gh01234d03>2y{Sob139wiu)Xkn%cnikl3/ldk1=q9':vLM~:5g78DE~6nm>1J7851zQ2f7<6=?;1>;4>320g<1<4<9o3wc:90;38j1062?1/88k544c8yV7e83;>:<4=6;3077b?<39?431i3:1=>==d969716bi2Y:n=4>57c94?74;;n387=;0df8W7e>2:3366??28lo97?<33f;0?538lo0h<;95;295?7|[8h96<;91;05>454:m2?6>:?e99uP12>290:6<48b5yP5g4=9<<:6?851211`=2=;=:n46*;5781gd=Q<v{=d982?p4c13:0q)=lb;;a?g72><0;6ll53;caM2282P:9n4:{61931<393>96?m5}%67`?72><1/88j51447?l72>l0;66gnc883>>i6=?n1<75`144`>5<5<#<:81io=4n512>4=5<#<:81io=4n512>6=5<#<:81io=4n512>0=5<#<:81io=4n512>2=5<#<:81io=4n512><=5<#<:81io=4n512>g=5<#<:81io=4n512>a=5<#<:81io=4n512>c=4;nf2f?6=,=996hl<;o605?7632eo=44?:%606?ce;2d??<4>2:9l`4>=83.???4jb29m067=9:10ci?8:18'064=mk90b9=>:068?jb6>3:1(9==:d`0?k2493;>76ak1483>!24:3oi?6`;30822>=hl8>1<7*;338ff6=i<:;1=:54oe30>5<#<:81io=4n512>4><3fn:>7>5$511>`d43g>8=7?6;:mg54<72->8>7km3:l774<6i21dh<>50;&777?51c98ka6b290/8><5ec18j15628i07bj?d;29 1552lh87c:<1;3g?>ic8j0;6):<2;ga7>h3;80:i65`d1`94?"3;;0nn>5a42395c=4;nf3=?6=,=996hl<;o605?4632eo<54?:%606?ce;2d??<4=2:9l`51=83.???4jb29m067=::10ci>9:18'064=mk90b9=>:368?jb7=3:1(9==:d`0?k24938>76ak3383>!24:3oi?6`;30812>=hl:;1<7*;338ff6=i<:;1>:54oe13>5<#<:81io=4n512>7><3fn9j7>5$511>`d43g>8=7<6;:mg6`<72->8>7km3:l774<5i21dh?;50;&777?52c98ka7f290/8><5ec18j1562;i07bj?f;29 1552lh87c:<1;0g?>ic8=0;6):<2;ga7>h3;809i65`d1194?"3;;0nn>5a42396c=6=4+4209b425<#<:81j<:4n512>4=5<#<:81j<:4n512>6=5<#<:81j<:4n512>0=5<#<:81j<:4n512>2=5<#<:81j<:4n512><=5<#<:81j<:4n512>g=5<#<:81j<:4n512>a=5<#<:81j<:4n512>c=4;ngg7?6=,=996k?;;o605?7632enh<4?:%606?`6<2d??<4>2:9laa6=83.???4i159m067=9:10chmi:18'064=n8>0b9=>:068?jcdm3:1(9==:g37?k2493;>76ajce83>!24:3l:86`;30822>=hmji1<7*;338e51=i<:;1=:54odaa>5<#<:81j<:4n512>4><3fohm7>5$511>c733g>8=7?6;:mfg<<72->8>7h>4:l774<6i21din650;&777?51c98k`e1290/8><5f068j15628i07bkl5;29 1552o;?7c:<1;3g?>ibk=0;6):<2;d20>h3;80:i65`eb194?"3;;0m=95a42395c=4;ng`5?6=,=996k?;;o605?4632eno=4?:%606?`6<2d??<4=2:9lag`=83.???4i159m067=::10chlj:18'064=n8>0b9=>:368?jcel3:1(9==:g37?k24938>76aje`83>!24:3l:86`;30812>=hml31<7*;338e51=i<:;1>:54odg;>5<#<:81j<:4n512>7><3fon;7>5$511>c733g>8=7<6;:mfa3<72->8>7h>4:l774<5i21diij50;&777?52c98k`b5290/8><5f068j1562;i07bkl7;29 1552o;?7c:<1;0g?>ibjj0;6):<2;d20>h3;809i65`ec`94?"3;;0m=95a42396c=5<#<:81nh64n512>4=5<#<:81nh64n512>6=5<#<:81nh64n512>0=5<#<:81nh64n512>2=5<#<:81nh64n512><=5<#<:81nh64n512>g=6=4+4209f`>5<#<:81nh64n512>a=5<#<:81nh64n512>c=4;h`12?6=,=996ok7;o605?7632ci>84?:%606?db02d??<4>2:9jf72=83.???4me99m067=9:10eo<<:18'064=jl20b9=>:068?ld6m3:1(9==:cg;?k2493;>76gm1283>!24:3hn46`;30822>=nj881<7*;338aa==i<:;1=:54o`d;>5<5<#<:81o<74n512>4=5<#<:81o<74n512>6=5<#<:81o<74n512>0=5<#<:81o<74n512>2=5<#<:81o<74n512><=5<#<:81o<74n512>g=6=4+4209g4?5<#<:81o<74n512>a=5<#<:81o<74n512>c=4;ha32?6=,=996n?6;o605?7632ch<84?:%606?e612d??<4>2:9jg52=83.???4l189m067=9:10en><:18'064=k830b9=>:068?ldam3:1(9==:b3:?k2493;>76gmf283>!24:3i:56`;30822>=njo81<7*;338`5<=i<:;1=:54i`d4>5<5<#<:81mh74n512>4=5<#<:81mh74n512>6=5<#<:81mh74n512>0=5<#<:81mh74n512>2=5<#<:81mh74n512><=5<#<:81mh74n512>g=5<#<:81mh74n512>a=5<#<:81mh74n512>c=4;hc`b?6=,=996lk6;o605?7632c:9;750;9jefc=831bmk850;9a03c=83;1<7>tH573?!23l3>>96a<9883>>{e?h750;;bb?5b:3<=8vF;519Y50e=1;q=o78k:7g92c<083=:6:<54b87`?2b2=l19=4:1;71>6g=;k08o7=k:2g97c<383996:=575841?112=;18?487;60>2>=<=0<57:::6c903<0j3><69654884`?1b2>l14=471;:1>=5=:j0?n79l:41911<2=3?=6895598;0?3>21?19l476;7a>=1=0103576n:9`9:534807?542;i1??4<9;62>14=<:0?87:::54902<303>26>o53c80g?5c2:o1?k4;0;17>63=>k0?n79l:6191621==>034797:4:9<<<013?265o57`86e?>e2>h19o47c;4`>1e=?m0=h7:k:6g92`<3m3=m6;h54g8;4?172<:14<481;72>=4=?;0>>76<:|&70a<6=?20("6j80:9;94$56;>4=#<==18;<4$2:6>6>e3`;>:h4?::mg1a<72->8>7j93:l774<732eo9n4?:%606?b1;2d??<4>;:mg1g<72->8>7j93:l774<532eo9l4?:%606?b1;2d??<4<;:mg1<<72->8>7j93:l774<332eo954?:%606?b1;2d??<4:;:mg12<72->8>7j93:l774<132eo9;4?:%606?b1;2d??<48;:mg11<72->8>7j93:l7744?:%606?b1;2d??<46;:mg17<72->8>7j93:l7748>7j93:l7748>7j93:l7748>7j93:l774<6821dh9l50;&777:1e8>?51098ka2>290/8><5d718j15628807bj;8;29 1552m<87c:<1;30?>ic<>0;6):<2;f57>h3;80:865`d5494?"3;;0o:>5a423950=>6=4+4209`354?:%606?b1;2d??<4>8:9l`14=83.???4k629m067=9010ci:>:18'064=l?90b9=>:0c8?jb383:1(9==:e40?k2493;i76ak3d83>!24:3n=?6`;3082g>=hl:n1<7*;338g26=i<:;1=i54oe1`>5<#<:81h;=4n512>4c<3fn8n7>5$511>a043g>8=7?i;:mg7d<72->8>7j93:l774<5821dh>750;&777:1e8>?52098ka5?290/8><5d718j1562;807bj<7;29 1552m<87c:<1;00?>ic;?0;6):<2;f57>h3;809865`d2794?"3;;0o:>5a423960=:3c8?jb2=3:1(9==:e40?k24938i76ak4`83>!24:3n=?6`;3081g>=hl:l1<7*;338g26=i<:;1>i54oe17>5<#<:81h;=4n512>7c<3fn8?7>5$511>a043g>8=78>7j64:l774<732eo4i4?:%606?b><2d??<4>;:mg8>7j64:l774<532eo4o4?:%606?b><2d??<4<;:mg8>7j64:l774<332eo444?:%606?b><2d??<4:;:mg<=<72->8>7j64:l774<132eo4:4?:%606?b><2d??<48;:mg<0<72->8>7j64:l774<2d??<46;:mg<6<72->8>7j64:l774<2d??<4m;:mg<4<72->8>7j64:l774<2d??<4k;:mg3c<72->8>7j64:l774<2d??<4i;:mg3a<72->8>7j64:l774<6821dh:m50;&777?51098ka1f290/8><5d868j15628807bj89;29 1552m3?7c:<1;30?>ic?10;6):<2;f:0>h3;80:865`d6594?"3;;0o595a423950=<2d??<4>8:9l`25=83.???4k959m067=9010ci9=:18'064=l0>0b9=>:0c8?jb093:1(9==:e;7?k2493;i76ak6g83>!24:3n286`;3082g>=hl?o1<7*;338g=1=i<:;1=i54oe4g>5<#<:81h4:4n512>4c<3fn=o7>5$511>a?33g>8=7?i;:mg2g<72->8>7j64:l774<5821dh;o50;&777?52098ka0>290/8><5d868j1562;807bj98;29 1552m3?7c:<1;00?>ic>>0;6):<2;f:0>h3;809865`d7494?"3;;0o595a423960=<2d??<4=8:9l`<6=83.???4k959m067=:010ci6i:18'064=l0>0b9=>:3c8?jb?>3:1(9==:e;7?k24938i76ak7c83>!24:3n286`;3081g>=hl>:1<7*;338g=1=i<:;1>i54oe46>5<#<:81h4:4n512>7c<3fn=87>5$511>a?33g>8=78>7jl5:l774<732eonh4?:%606?bd=2d??<4>;:mgfa<72->8>7jl5:l774<532eonn4?:%606?bd=2d??<4<;:mgfg<72->8>7jl5:l774<332eonl4?:%606?bd=2d??<4:;:mgf<<72->8>7jl5:l774<132eon54?:%606?bd=2d??<48;:mgf3<72->8>7jl5:l7748>7jl5:l7744?:%606?bd=2d??<4m;:mgf7<72->8>7jl5:l7748>7jl5:l7748>7jl5:l774<6821dhlj50;&777?51098kage290/8><5db78j15628807bjna;29 1552mi>7c:<1;30?>ici00;6):<2;f`1>h3;80:865`d`:94?"3;;0oo85a423950=8:9l`d2=83.???4kc49m067=9010cio<:18'064=lj?0b9=>:0c8?jbf:3:1(9==:ea6?k2493;i76aka183>!24:3nh96`;3082g>=hl0l1<7*;338gg0=i<:;1=i54oe;f>5<#<:81hn;4n512>4c<3fn2h7>5$511>ae23g>8=7?i;:mg=f<72->8>7jl5:l774<5821dh4l50;&777?52098ka?f290/8><5db78j1562;807bj69;29 1552mi>7c:<1;00?>ic110;6):<2;f`1>h3;809865`d8594?"3;;0oo85a423960=:3c8?jbe?3:1(9==:ea6?k24938i76akab83>!24:3nh96`;3081g>=hlh;1<7*;338gg0=i<:;1>i54oe;5>5<#<:81hn;4n512>7c<3fn297>5$511>ae23g>8=78>7ji6:l774<732eoik4?:%606?ba>2d??<4>;:mga`<72->8>7ji6:l774<532eoii4?:%606?ba>2d??<4<;:mgaf<72->8>7ji6:l774<332eoio4?:%606?ba>2d??<4:;:mgad<72->8>7ji6:l774<132eoi44?:%606?ba>2d??<48;:mga2<72->8>7ji6:l7742d??<46;:mga0<72->8>7ji6:l7742d??<4m;:mga6<72->8>7ji6:l7742d??<4k;:mga4<72->8>7ji6:l7742d??<4i;:mg`c<72->8>7ji6:l774<6821dhik50;&777?51098kabd290/8><5dg48j15628807bjkb;29 1552ml=7c:<1;30?>iclh0;6):<2;fe2>h3;80:865`de;94?"3;;0oj;5a423950=2d??<4>8:9l`a3=83.???4kf79m067=9010cij;:18'064=lo<0b9=>:0c8?jbc;3:1(9==:ed5?k2493;i76akd083>!24:3nm:6`;3082g>=hlm:1<7*;338gb3=i<:;1=i54oeae>5<#<:81hk84n512>4c<3fnhi7>5$511>a`13g>8=7?i;:mgga<72->8>7ji6:l774<5821dhnm50;&777?52098kaee290/8><5dg48j1562;807bjla;29 1552ml=7c:<1;00?>ick00;6):<2;fe2>h3;809865`db:94?"3;;0oj;5a423960=6=4+4209`c04?:%606?ba>2d??<4=8:9l`c4=83.???4kf79m067=:010cih>:18'064=lo<0b9=>:3c8?jbb03:1(9==:ed5?k24938i76akde83>!24:3nm:6`;3081g>=hlm81<7*;338gb3=i<:;1>i54oea4>5<#<:81hk84n512>7c<3fnh:7>5$511>a`13g>8=78>7k=7:l774<732en>=4?:%606?c5?2d??<4>;:mf5c<72->8>7k=7:l774<532en=h4?:%606?c5?2d??<4<;:mf5a<72->8>7k=7:l774<332en=n4?:%606?c5?2d??<4:;:mf5g<72->8>7k=7:l774<132en=l4?:%606?c5?2d??<48;:mf5=<72->8>7k=7:l7748>7k=7:l7748>7k=7:l7744?:%606?c5?2d??<4k;:mf57<72->8>7k=7:l7748>7k=7:l774<6821di=h50;&7771e8>?51098k`6c290/8><5e358j15628807bk?c;29 1552l8<7c:<1;30?>ib8k0;6):<2;g13>h3;80:865`e1c94?"3;;0n>:5a423950=8:9la50=83.???4j269m067=9010ch>::18'064=m;=0b9=>:0c8?jc7<3:1(9==:d04?k2493;i76aj0383>!24:3o9;6`;3082g>=hm9;1<7*;338f62=i<:;1=i54od23>5<#<:81i?94n512>4c<3fnmj7>5$511>`403g>8=7?i;:mgb`<72->8>7k=7:l774<5821dhkj50;&7771e8>?52098ka`d290/8><5e358j1562;807bjib;29 1552l8<7c:<1;00?>icnh0;6):<2;g13>h3;809865`dg;94?"3;;0n>:5a423960=94?:%606?c5?2d??<4=8:9la75=83.???4j269m067=:010ch<=:18'064=m;=0b9=>:3c8?jc613:1(9==:d04?k24938i76aj0d83>!24:3o9;6`;3081g>=hm991<7*;338f62=i<:;1>i54oed;>5<#<:81i?94n512>7c<3fnm;7>5$511>`403g>8=78>7k:8:l774<732en9<4?:%606?c202d??<4>;:mf15<72->8>7k:8:l774<532en8k4?:%606?c202d??<4<;:mf0`<72->8>7k:8:l774<332en8i4?:%606?c202d??<4:;:mf0f<72->8>7k:8:l774<132en8o4?:%606?c202d??<48;:mf0<<72->8>7k:8:l7748>7k:8:l7748>7k:8:l7748>7k:8:l7748>7k:8:l774<6821di9>50;&777?51098k`5b290/8><5e4:8j15628807bkib;j0;6):<2;g6<>h3;80:865`e2`94?"3;;0n955a423950=8:9la61=83.???4j599m067=9010ch=9:18'064=m<20b9=>:0c8?jc4=3:1(9==:d7;?k2493;i76aj3283>!24:3o>46`;3082g>=hm:81<7*;338f1==i<:;1=i54od12>5<#<:81i864n512>4c<3fo8<7>5$511>`3?3g>8=7?i;:mf6c<72->8>7k:8:l774<5821di?k50;&777?52098k`4c290/8><5e4:8j1562;807bk=c;29 1552l?37c:<1;00?>ib:k0;6):<2;g6<>h3;809865`e3c94?"3;;0n955a423960=:3c8?jc3i3:1(9==:d7;?k24938i76aj3g83>!24:3o>46`;3081g>=hm:>1<7*;338f1==i<:;1>i54od0:>5<#<:81i864n512>7c<3fo947>5$511>`3?3g>8=78>7k79:l774<732en4?4?:%606?c?12d??<4>;:mf<4<72->8>7k79:l774<532en4=4?:%606?c?12d??<4<;:mf3c<72->8>7k79:l774<332en;h4?:%606?c?12d??<4:;:mf3a<72->8>7k79:l774<132en;n4?:%606?c?12d??<48;:mf3d<72->8>7k79:l7748>7k79:l7748>7k79:l7748>7k79:l7744?:%606?c?12d??<4i;:mf37<72->8>7k79:l774<6821di:?50;&777?51098k`0a290/8><5e9;8j15628807bk9e;29 1552l227c:<1;30?>ib>m0;6):<2;g;=>h3;80:865`e7a94?"3;;0n445a423950=8:9la3>=83.???4j889m067=9010ch88:18'064=m130b9=>:0c8?jc1>3:1(9==:d::?k2493;i76aj6583>!24:3o356`;3082g>=hm?91<7*;338f<<=i<:;1=i54od41>5<#<:81i574n512>4c<3fo==7>5$511>`>>3g>8=7?i;:mf25<72->8>7k79:l774<5821di8h50;&777?52098k`3b290/8><5e9;8j1562;807bk:d;29 1552l227c:<1;00?>ib=j0;6):<2;g;=>h3;809865`e4`94?"3;;0n445a423960=:3c8?jc0j3:1(9==:d::?k24938i76aj7183>!24:3o356`;3081g>=hm??1<7*;338f<<=i<:;1>i54od7b>5<#<:81i574n512>7c<3fo>57>5$511>`>>3g>8=7:198k46e;3:1(9==:02ag>h3;80:76a>0c094?"3;;0:7=5$511>46ek2d??<4<;:m24d`=83.???4>0ca8j1562=10c<>ne;29 15528:io6`;3086?>i68hn1<7*;33824gemc:l774<032e::998k46fi3:1(9==:02ag>h3;80276a>0`;94?"3;;0:d=5$511>46ek2d??<4m;:m24d1=83.???4>0ca8j1562j10c<>n6;29 15528:io6`;308g?>i68kh1<7*;33824gemc:l774:028?j77j10;6):<2;33ff=i<:;1=<54o02a3?6=,=996<>mc:l774<6:21d==l9:18'064=99hh7c:<1;30?>i68k?1<7*;33824ge8>7??bb9m067=9<10c<>n5;29 15528:io6`;30822>=h99k?6=4+420955dd3g>8=7?8;:m24fb=83.???4>0e78j1562910c<>lc;29 15528:o96`;3082?>i68jh1<7*;33824a3k5:l774<432e::598k46d?3:1(9==:02g1>h3;80>76a>0b494?"3;;0:3=5$511>46c=2d??<48;:m24f2=83.???4>0e78j1562110c<>l3;29 15528:o96`;308:?>i68j81<7*;33824a3k5:l77450;&777<68m?0b9=>:b98k46en3:1(9==:02g1>h3;80o76a>0e694?"3;;0:`=5$511>46c=2d??<4i;:m24a4=83.???4>0e78j15628:07b??d083>!24:3;;h85a423954=5$511>46c=2d??<4>2:9l55ea290/8><511f6?k2493;876a>0bg94?"3;;0:42<3f;;o44?:%606?77l<1e8>?51498k46em3:1(9==:02g1>h3;80::65`11`g>5<#<:81==j:;o605?7032e::198k46b=3:1(9==:02fa>h3;80:76a>0d694?"3;;0:7=5$511>46bm2d??<4<;:m24`7=83.???4>0dg8j1562=10c<>j0;29 15528:ni6`;3086?>i68ml1<7*;33824`cje:l774<032e::998k46ck3:1(9==:02fa>h3;80276a>0e`94?"3;;0:d=5$511>46bm2d??<4m;:m24a?=83.???4>0dg8j1562j10c<>k8;29 15528:ni6`;308g?>i68ln1<7*;33824`cje:l774:028?j77mh0;6):<2;33a`=i<:;1=<54o02f=?6=,=996<>je:l774<6:21d==k7:18'064=99on7c:<1;30?>i68l=1<7*;33824`c8>7??ed9m067=9<10c<>k7;29 15528:ni6`;30822>=h99n=6=4+420955cb3g>8=7?8;:m24c`=83.???4>1158j1562910c<>ie;29 15528;;;6`;3082?>i68on1<7*;3382551:598k46a13:1(9==:0333>h3;80>76a>0g:94?"3;;0:==94n512>3=5$511>477?2d??<48;:m24c0=83.???4>1158j1562110c<>i5;29 15528;;;6`;308:?>i68o>1<7*;3382551:b98k46a93:1(9==:0333>h3;80o76a>11494?"3;;0:==94n512>`=5$511>477?2d??<4i;:m2552=83.???4>1158j15628:07b?>0283>!24:3;:<:5a423954=7>5$511>477?2d??<4>2:9l5466290/8><51024?k2493;876a>11294?"3;;0:==94n512>42<3f;;jo4?:%606?768>1e8>?51498k46a83:1(9==:0333>h3;80::65`11ge>5<#<:81=<>8;o605?7032e:=<650;&777<69;:0b9=>:198k476?3:1(9==:0314>h3;80:76a>10494?"3;;0:=?>4n512>7=5$511>47582d??<4<;:m2545=83.???4>1328j1562=10c2;29 15528;9<6`;3086?>i698;1<7*;3382576:998k477m3:1(9==:0314>h3;80276a>11f94?"3;;0:=?>4n512>d=5$511>47582d??<4m;:m255d=83.???4>1328j1562j10ci698l1<7*;3382576:028?j769j0;6):<2;3265=i<:;1=<54o032f?6=,=996i69831<7*;33825768>7?>219m067=9<10c=h98:36=4+420954473g>8=7?8;:m2567=83.???4>12;8j1562910ci69;l1<7*;338256?:598k475j3:1(9==:030=>h3;80>76a>13c94?"3;;0:=>74n512>3=5$511>47412d??<48;:m257>=83.???4>12;8j1562110ci69;<1<7*;338256?:b98k475;3:1(9==:030=>h3;80o76a>12:94?"3;;0:=>74n512>`=5$511>47412d??<4i;:m2560=83.???4>12;8j15628:07b?>3483>!24:3;:?45a423954=5$511>47412d??<4>2:9l5454290/8><5101:?k2493;876a>12094?"3;;0:=>74n512>42<3f;:>i4?:%606?76;01e8>?51498k475:3:1(9==:030=>h3;80::65`1002>5<#<:81=<=6;o605?7032e:=9o50;&777<69<80b9=>:198k47313:1(9==:0366>h3;80:76a>15:94?"3;;0:=8<4n512>7=5$511>472:2d??<4<;:m2513=83.???4>1408j1562=10c>6`;3086?>i69=91<7*;3382504:998k47383:1(9==:0366>h3;80276a>12d94?"3;;0:=8<4n512>d=5$511>472:2d??<4m;:m256b=83.???4>1408j1562j10c>6`;308g?>i69<;1<7*;3382504:028?j76i69=h1<7*;33825048>7?>539m067=9<10c>6`;30822>=h989j6=4+420954353g>8=7?8;:m213b=831bn>o50;&777?50:9jf6?=83.???4m439m067=921bn>650;&777?52:9jf61=83.???4m439m067=;21bn>;50;&777?54:9jf62=83.???4m439m067==21bn>=50;&777?56:9jf64=83.???4m439m067=?21bn>?50;&777?58:9jf66=83.???4m439m067=121bn?h50;&777?5a:9jf7c=83.???4m439m067=j21bn?j50;&777?5c:9jf7e=83.???4m439m067=l21bn9?50;&777?5e:9jf16=83.???4m439m067=n21bn>h50;&777?51198mg5b290/8><5b508j15628;07dl97c:<1;31?>oe;j0;6):<2;`76>h3;80:?65fb2`94?"3;;0i8?5a423951=l4?:%606?d3:2d??<4>7:9jf05=83.???4m5c9m067=821bn8<50;&777?51:9jf07=83.???4m5c9m067=:21bn8>50;&777?53:9jf1c=83.???4m5c9m067=<21bn9j50;&777?55:9jf1e=83.???4m5c9m067=>21bn9l50;&777?57:9jf1g=83.???4m5c9m067=021bn9750;&777?59:9jf1>=83.???4m5c9m067=i21bn9950;&777?5b:9jf10=83.???4m5c9m067=k21bn9;50;&777?5d:9jf0g=83.???4m5c9m067=m21bn8750;&777?5f:9jf0>=83.???4m5c9m067=9910eo;8:18'064=j:038?ld2>3:1(9==:c7a?k2493;976gm5483>!24:3h>n6`;30827>=nj<>1<7*;338a1g=i<:;1=954ic6e>5<#<:81n8l4n512>43<3`h?87>5$511>g3e3g>8=7?9;:ka06<72->8>7l:b:l774<6?21bn;m50;&777?50:9jf3d=83.???4m759m067=921bn;o50;&777?52:9jf3?=83.???4m759m067=;21bn;950;&777?54:9jf30=83.???4m759m067==21bn;;50;&777?56:9jf32=83.???4m759m067=?21bn;=50;&777?58:9jf34=83.???4m759m067=121bn;?50;&777?5a:9jf36=83.???4m759m067=j21bn8h50;&777?5c:9jf0c=83.???4m759m067=l21bn:=50;&777?5e:9jf24=83.???4m759m067=n21bn:?50;&777?51198mg17290/8><5b668j15628;07dl9f;29 1552k=?7c:<1;31?>oe>l0;6):<2;`40>h3;80:?65fb7f94?"3;;0i;95a423951=7:9jf=3=83.???4m8e9m067=821bn5:50;&777?51:9jf=5=83.???4m8e9m067=:21bn5<50;&777?53:9jf=6=83.???4m8e9m067=<21bn:h50;&777?55:9jf2c=83.???4m8e9m067=>21bn:j50;&777?57:9jf2e=83.???4m8e9m067=021bn:l50;&777?59:9jf2g=83.???4m8e9m067=i21bn:750;&777?5b:9jf2>=83.???4m8e9m067=k21bn:950;&777?5d:9jf=e=83.???4m8e9m067=m21bn5l50;&777?5f:9jf=g=83.???4m8e9m067=9910eo66:18'064=j1n0b9=>:038?ld?03:1(9==:c:g?k2493;976gm8683>!24:3h3h6`;30827>=nj1<1<7*;338a5<#<:81n5j4n512>43<3`h<:7>5$511>g>c3g>8=7?9;:ka30<72->8>7l7d:l774<6?21bn4k50;&777?50:9jf?52:9jf?54:9jf<>=83.???4ma79m067==21bn4950;&777?56:9jf<0=83.???4ma79m067=?21bn4;50;&777?58:9jf<2=83.???4ma79m067=121bn4=50;&777?5a:9jf<4=83.???4ma79m067=j21bn4?50;&777?5c:9jf<6=83.???4ma79m067=l21bnl;50;&777?5e:9jfd2=83.???4ma79m067=n21bnl=50;&777?51198mgg5290/8><5b`48j15628;07dln1;29 1552kk=7c:<1;31?>oei90;6):<2;`b2>h3;80:?65fb8d94?"3;;0im;5a423951=2d??<4>7:9l500d2900eol8:18'064=jkl0b9=>:198mgd1290/8><5bcd8j1562810eol::18'064=jkl0b9=>:398mgd3290/8><5bcd8j1562:10eol=:18'064=jkl0b9=>:598mgd6290/8><5bcd8j1562<10eol?:18'064=jkl0b9=>:798mgga290/8><5bcd8j1562>10eooj:18'064=jkl0b9=>:998mggc290/8><5bcd8j1562010eool:18'064=jkl0b9=>:`98mgge290/8><5bcd8j1562k10eoon:18'064=jkl0b9=>:b98mgg>290/8><5bcd8j1562m10eolj:18'064=jkl0b9=>:d98mgdc290/8><5bcd8j1562o10eoll:18'064=jkl0b9=>:028?ldej3:1(9==:c`e?k2493;:76gmb`83>!24:3hij6`;30826>=njk31<7*;338afc=i<:;1=>54ic`;>5<#<:81noh4n512>42<3`hi?7>5$511>gda3g>8=7?:;:kae=<72->8>7lmf:l774<6>21bnl950;&777?51698mgb7290/8><5be:8j1562910eomi:18'064=jm20b9=>:098mgeb290/8><5be:8j1562;10eomk:18'064=jm20b9=>:298mgee290/8><5be:8j1562=10eomn:18'064=jm20b9=>:498mge>290/8><5be:8j1562?10eom7:18'064=jm20b9=>:698mge0290/8><5be:8j1562110eom9:18'064=jm20b9=>:898mge2290/8><5be:8j1562h10eom;:18'064=jm20b9=>:c98mge4290/8><5be:8j1562j10eom=:18'064=jm20b9=>:e98mgb0290/8><5be:8j1562l10eoj9:18'064=jm20b9=>:g98mgb2290/8><5be:8j15628:07dlk4;29 1552kn37c:<1;32?>oel:0;6):<2;`g<>h3;80:>65fbe094?"3;;0ih55a423956=6:9jff6=83.???4md99m067=9>10elh;:18'064=io?0b9=>:198ka4c290/8><5ec18j1562910ci:098ka4e290/8><5ec18j1562;10ci:298ka4>290/8><5ec18j1562=10ci<7:18'064=mk90b9=>:498ka40290/8><5ec18j1562?10ci<9:18'064=mk90b9=>:698ka43290/8><5ec18j1562110ci<<:18'064=mk90b9=>:898ka45290/8><5ec18j1562h10ci<>:18'064=mk90b9=>:c98ka47290/8><5ec18j1562j10ci?i:18'064=mk90b9=>:e98ka7b290/8><5ec18j1562l10ci?k:18'064=mk90b9=>:g98ka7d290/8><5ec18j15628:07bj>b;29 1552lh87c:<1;32?>ic900;6):<2;ga7>h3;80:>65`d0:94?"3;;0nn>5a423956=6:9l`42=83.???4jb29m067=9>10ci?<:18'064=mk90b9=>:0:8?jb6:3:1(9==:d`0?k2493;276ak1083>!24:3oi?6`;3082e>=hl8:1<7*;338ff6=i<:;1=o54oe2f>5<#<:81io=4n512>4e<3fn;h7>5$511>`d43g>8=7?k;:mg4f<72->8>7km3:l774<6m21dh=l50;&777?51g98ka6f290/8><5ec18j1562;:07bj?9;29 1552lh87c:<1;02?>ic810;6):<2;ga7>h3;809>65`d1594?"3;;0nn>5a423966=10ci=?:18'064=mk90b9=>:3:8?jb5n3:1(9==:d`0?k24938276ak2d83>!24:3oi?6`;3081e>=hl;?1<7*;338ff6=i<:;1>o54oe3b>5<#<:81io=4n512>7e<3fn;j7>5$511>`d43g>8=78>7km3:l774<5m21dh==50;&777?52g98k`c2290/8><5f068j1562910chk;:18'064=n8>0b9=>:098k`c4290/8><5f068j1562;10chk=:18'064=n8>0b9=>:298k`c6290/8><5f068j1562=10chk?:18'064=n8>0b9=>:498k`ba290/8><5f068j1562?10chjj:18'064=n8>0b9=>:698k`bd290/8><5f068j1562110chjm:18'064=n8>0b9=>:898k`bf290/8><5f068j1562h10chj6:18'064=n8>0b9=>:c98k`b?290/8><5f068j1562j10chj8:18'064=n8>0b9=>:e98k`b1290/8><5f068j1562l10chj::18'064=n8>0b9=>:g98k`b3290/8><5f068j15628:07bkk3;29 1552o;?7c:<1;32?>ibl80;6):<2;d20>h3;80:>65`ee294?"3;;0m=95a423956=6:9lafe=83.???4i159m067=9>10chmm:18'064=n8>0b9=>:0:8?jcdi3:1(9==:g37?k2493;276ajc883>!24:3l:86`;3082e>=hmj21<7*;338e51=i<:;1=o54oda5>5<#<:81j<:4n512>4e<3foh97>5$511>c733g>8=7?k;:mfg1<72->8>7h>4:l774<6m21din=50;&777?51g98k`e5290/8><5f068j1562;:07bkl1;29 1552o;?7c:<1;02?>ibk90;6):<2;d20>h3;809>65`ecd94?"3;;0m=95a423966=10chk7:18'064=n8>0b9=>:3:8?jcb?3:1(9==:g37?k24938276aje783>!24:3l:86`;3081e>=hmmn1<7*;338e51=i<:;1>o54odf1>5<#<:81j<:4n512>7e<3foh;7>5$511>c733g>8=78>7h>4:l774<5m21diol50;&777?52g98kc21290/8><5f5a8j1562910ck:::18'064=n=i0b9=>:098kc23290/8><5f5a8j1562;10ck:<:18'064=n=i0b9=>:298kc25290/8><5f5a8j1562=10ck:>:18'064=n=i0b9=>:498kc27290/8><5f5a8j1562?10ck=i:18'064=n=i0b9=>:698kc5c290/8><5f5a8j1562110ck=l:18'064=n=i0b9=>:898kc5e290/8><5f5a8j1562h10ck=n:18'064=n=i0b9=>:c98kc5>290/8><5f5a8j1562j10ck=7:18'064=n=i0b9=>:e98kc50290/8><5f5a8j1562l10ck=9:18'064=n=i0b9=>:g98kc52290/8><5f5a8j15628:07bh<4;29 1552o>h7c:<1;32?>ia;;0;6):<2;d7g>h3;80:>65`f2394?"3;;0m8n5a423956=h4?:%606?`3k2d??<4>6:9lb7b=83.???4i4b9m067=9>10ck:0:8?j`5j3:1(9==:g6`?k2493;276ai2`83>!24:3l?o6`;3082e>=hn;31<7*;338e0f=i<:;1=o54og04>5<#<:81j9m4n512>4e<3fl9:7>5$511>c2d3g>8=7?k;:me60<72->8>7h;c:l774<6m21dj?:50;&777?51g98kc44290/8><5f5a8j1562;:07bh=2;29 1552o>h7c:<1;02?>ia:80;6):<2;d7g>h3;809>65`f3294?"3;;0m8n5a423966=10ck:6:18'064=n=i0b9=>:3:8?j`303:1(9==:g6`?k24938276ai4683>!24:3l?o6`;3081e>=hn:o1<7*;338e0f=i<:;1>o54og10>5<#<:81j9m4n512>7e<3fl947>5$511>c2d3g>8=78>7h;c:l774<5m21dj?52g98kd`?2900ck98:18'064=n>n0b9=>:198kc11290/8><5f6f8j1562810ck9::18'064=n>n0b9=>:398kc13290/8><5f6f8j1562:10ck9<:18'064=n>n0b9=>:598kc15290/8><5f6f8j1562<10ck9>:18'064=n>n0b9=>:798kc17290/8><5f6f8j1562>10ck8j:18'064=n>n0b9=>:998kc0c290/8><5f6f8j1562010ck8l:18'064=n>n0b9=>:`98kc0e290/8><5f6f8j1562k10ck8n:18'064=n>n0b9=>:b98kc0>290/8><5f6f8j1562m10ck87:18'064=n>n0b9=>:d98kc00290/8><5f6f8j1562o10ck89:18'064=n>n0b9=>:028?j`1=3:1(9==:g5g?k2493;:76ai6283>!24:3l=hn?81<7*;338e3a=i<:;1=>54og42>5<#<:81j:j4n512>42<3fl=<7>5$511>c1c3g>8=7?:;:me1c<72->8>7h8d:l774<6>21dj8k50;&777?51698kc3c290/8><5f6f8j15628207bh:c;29 1552o=o7c:<1;3:?>ia=k0;6):<2;d4`>h3;80:m65`f4c94?"3;;0m;i5a42395g=e:9lb03=83.???4i7e9m067=9o10ck;;:18'064=n>n0b9=>:328?j`2;3:1(9==:g5g?k24938:76ai5383>!24:3l=hn<;1<7*;338e3a=i<:;1>>54og73>5<#<:81j:j4n512>72<3fl?j7>5$511>c1c3g>8=7<:;:me3f<72->8>7h8d:l774<5>21dj:l50;&777?52698kc1f290/8><5f6f8j1562;207bh89;29 1552o=o7c:<1;0:?>ia?10;6):<2;d4`>h3;809m65`f7d94?"3;;0m;i5a42396g=:198mg46290/8><5bd:8j1562810eo:398mg7a290/8><5bd:8j1562:10eo?k:18'064=jl20b9=>:598mg7d290/8><5bd:8j1562<10eo?m:18'064=jl20b9=>:798mg7f290/8><5bd:8j1562>10eo?6:18'064=jl20b9=>:998mg7?290/8><5bd:8j1562010eo?8:18'064=jl20b9=>:`98mg71290/8><5bd:8j1562k10eo?::18'064=jl20b9=>:b98mg73290/8><5bd:8j1562m10eo<6:18'064=jl20b9=>:d98mg4?290/8><5bd:8j1562o10eo<8:18'064=jl20b9=>:028?ld5>3:1(9==:cg;?k2493;:76gm2483>!24:3hn46`;30826>=nj;>1<7*;338aa==i<:;1=>54ic00>5<#<:81nh64n512>42<3`h:i7>5$511>gc?3g>8=7?:;:ka56<72->8>7lj8:l774<6>21bn<<50;&777?51698mf65290/8><5c0;8j1562910en>>:18'064=k830b9=>:098mf67290/8><5c0;8j1562;10eohi:18'064=k830b9=>:298mg`c290/8><5c0;8j1562=10eohl:18'064=k830b9=>:498mg`e290/8><5c0;8j1562?10eohn:18'064=k830b9=>:698mg`>290/8><5c0;8j1562110eoh7:18'064=k830b9=>:898mg`0290/8><5c0;8j1562h10eoh9:18'064=k830b9=>:c98mg`2290/8><5c0;8j1562j10eoh;:18'064=k830b9=>:e98mf6>290/8><5c0;8j1562l10en>7:18'064=k830b9=>:g98mf60290/8><5c0;8j15628:07dm?6;29 1552j;27c:<1;32?>od8<0;6):<2;a2=>h3;80:>65fc1694?"3;;0h=45a423956=4?:%606?e612d??<4>6:9jfc4=83.???4l189m067=9>10cko7:18'064=nho0b9=>:198kcg0290/8><5f`g8j1562810cko9:18'064=nho0b9=>:398kcg2290/8><5f`g8j1562:10cko;:18'064=nho0b9=>:598kcg4290/8><5f`g8j1562<10cko=:18'064=nho0b9=>:798kcg6290/8><5f`g8j1562>10ck7i:18'064=nho0b9=>:998kc?b290/8><5f`g8j1562010ck7k:18'064=nho0b9=>:`98kc?d290/8><5f`g8j1562k10ck7m:18'064=nho0b9=>:b98kc?f290/8><5f`g8j1562m10ck76:18'064=nho0b9=>:d98kc??290/8><5f`g8j1562o10ck78:18'064=nho0b9=>:028?j`>>3:1(9==:gcf?k2493;:76ai9583>!24:3lji6`;30826>=hn091<7*;338ee`=i<:;1=>54og;1>5<#<:81jlk4n512>42<3fl2=7>5$511>cgb3g>8=7?:;:me=5<72->8>7hne:l774<6>21dj5h50;&777?51698kc>b290/8><5f`g8j15628207bh7d;29 1552okn7c:<1;3:?>ia0j0;6):<2;dba>h3;80:m65`f9`94?"3;;0mmh5a42395g=e:9lb=0=83.???4iad9m067=9o10ck6::18'064=nho0b9=>:328?j`?<3:1(9==:gcf?k24938:76ai8283>!24:3lji6`;30816>=hn181<7*;338ee`=i<:;1>>54og:2>5<#<:81jlk4n512>72<3fl3<7>5$511>cgb3g>8=7<:;:meea<72->8>7hne:l774<5>21djlm50;&777?52698kcge290/8><5f`g8j1562;207bhna;29 1552okn7c:<1;0:?>iai00;6):<2;dba>h3;809m65`f`294?"3;;0mmh5a42396g=6=4+4209bdc:198mf55290/8><5c2`8j1562810en=>:18'064=k:h0b9=>:398mf57290/8><5c2`8j1562:10en:598mf4c290/8><5c2`8j1562<10en:798mf4e290/8><5c2`8j1562>10en:998mf4>290/8><5c2`8j1562010en<7:18'064=k:h0b9=>:`98mf40290/8><5c2`8j1562k10en<9:18'064=k:h0b9=>:b98mf42290/8><5c2`8j1562m10en=n:18'064=k:h0b9=>:d98mf5>290/8><5c2`8j1562o10en=7:18'064=k:h0b9=>:028?le4?3:1(9==:b1a?k2493;:76gl3783>!24:3i8n6`;30826>=nk:?1<7*;338`7g=i<:;1=>54ib17>5<#<:81o>l4n512>42<3`i9j7>5$511>f5e3g>8=7?:;:k`61<72->8>7m21bo?=50;&777?51698kcb>290/8><5fed8j1562910ckj7:18'064=nml0b9=>:098kcb0290/8><5fed8j1562;10ckj9:18'064=nml0b9=>:298kcb2290/8><5fed8j1562=10ckj;:18'064=nml0b9=>:498kcb4290/8><5fed8j1562?10ckj=:18'064=nml0b9=>:698kcb7290/8><5fed8j1562110ckmi:18'064=nml0b9=>:898kceb290/8><5fed8j1562h10ckmk:18'064=nml0b9=>:c98kced290/8><5fed8j1562j10ckmm:18'064=nml0b9=>:e98kcef290/8><5fed8j1562l10ckm6:18'064=nml0b9=>:g98kce?290/8><5fed8j15628:07bhl7;29 1552onm7c:<1;32?>iak<0;6):<2;dgb>h3;80:>65`fb694?"3;;0mhk5a423956=6:9lbf6=83.???4idg9m067=9>10ckli:18'064=nml0b9=>:0:8?j`em3:1(9==:gfe?k2493;276aibe83>!24:3loj6`;3082e>=hnki1<7*;338e`c=i<:;1=o54og`b>5<#<:81jih4n512>4e<3fli57>5$511>cba3g>8=7?k;:mef=<72->8>7hkf:l774<6m21djo950;&777?51g98kcd1290/8><5fed8j1562;:07bhm5;29 1552onm7c:<1;02?>iaj=0;6):<2;dgb>h3;809>65`fc194?"3;;0mhk5a423966=10ckjl:18'064=nml0b9=>:3:8?j`cj3:1(9==:gfe?k24938276aid`83>!24:3loj6`;3081e>=hnm;1<7*;338e`c=i<:;1>o54oga5>5<#<:81jih4n512>7e<3flin7>5$511>cba3g>8=78>7hkf:l774<5m21djlh50;&777?52g98mf2d290/8><5c468j1562910en:m:18'064=k<>0b9=>:098mf2f290/8><5c468j1562;10en:6:18'064=k<>0b9=>:298mf20290/8><5c468j1562=10en:9:18'064=k<>0b9=>:498mf22290/8><5c468j1562?10en:;:18'064=k<>0b9=>:698mf24290/8><5c468j1562110en:=:18'064=k<>0b9=>:898mf26290/8><5c468j1562h10en:?:18'064=k<>0b9=>:c98mf5a290/8><5c468j1562j10en=j:18'064=k<>0b9=>:e98mf34290/8><5c468j1562l10en;=:18'064=k<>0b9=>:g98mf36290/8><5c468j15628:07dm:0;29 1552j??7c:<1;32?>odh3;80:>65fc5g94?"3;;0h995a423956=o6=4+4209g026:9jg6e=83.???4l559m067=9>10c<>?a;29 15528::<6`;3083?>i68931<7*;3382446>0:l774<532e:<=950;&777<688:0b9=>:298k467>3:1(9==:0224>h3;80?76a>01794?"3;;0:<<>4n512>0=5$511>46682d??<49;:m2455=83.???4>0028j1562>10c<>?1;29 15528::<6`;308;?>i689:1<7*;33824465<#<:81==??;o605?g<3flmi7>5$511>46682d??<4m;:meba<72->8>7??119m067=k21djkm50;&777<688:0b9=>:e98kc`e290/8><51133?k2493o07bhia;29 15528::<6`;308e?>ian00;6):<2;3355=i<:;1==54ogd;>5<#<:81==??;o605?7632emj;4?:%606?77991e8>?51398kc`2290/8><51133?k2493;876aif583>!24:3;;==5a423951=8=7?:;:meb7<72->8>7??119m067=9?10ckh>:18'064=99;;7c:<1;34?>ian90;6):<2;3355=i<:;1=554ogge>5<#<:81==??;o605?7>32emih4?:%606?77991e8>?51`98kccc290/8><51133?k2493;i76aiec83>!24:3;;==5a42395f=8=7?k;:mea<<72->8>7??119m067=9l10ckk7:18'064=99;;7c:<1;3e?>iam>0;6):<2;3355=i<:;1>=54ogg5>5<#<:81==??;o605?4632emi84?:%606?77991e8>?52398kcc3290/8><51133?k24938876aie283>!24:3;;==5a423961=8=7<:;:m245`=83.???4>0028j1562;<07b??0d83>!24:3;;==5a423962=5$511>46682d??<4=8:9l556d290/8><51133?k24938276a>01`94?"3;;0:<<>4n512>7g<3f;;?52c98kc`0290/8><51133?k24938h76aieb83>!24:3;;==5a42396a=8=78>7??119m067=:o10en8::18'064=k?n0b9=>:198mf03290/8><5c7f8j1562810en8<:18'064=k?n0b9=>:398mf05290/8><5c7f8j1562:10en8?:18'064=k?n0b9=>:598mf3a290/8><5c7f8j1562<10en;j:18'064=k?n0b9=>:798mf3c290/8><5c7f8j1562>10en;l:18'064=k?n0b9=>:998mf3e290/8><5c7f8j1562010en;n:18'064=k?n0b9=>:`98mf3>290/8><5c7f8j1562k10en;7:18'064=k?n0b9=>:b98mf30290/8><5c7f8j1562m10en8l:18'064=k?n0b9=>:d98mf0e290/8><5c7f8j1562o10en8n:18'064=k?n0b9=>:028?le113:1(9==:b4g?k2493;:76gl6983>!24:3i=h6`;30826>=nk?=1<7*;338`2a=i<:;1=>54ib45>5<#<:81o;j4n512>42<3`i==7>5$511>f0c3g>8=7?:;:k`13<72->8>7m9d:l774<6>21bo8;50;&777m1e8>?51698k464j3:1(9==:0275>h3;80;76a>02c94?"3;;0:<9?4n512>4=5$511>46392d??<4=;:m246>=83.???4>0538j1562:10c<><7;29 15528:?=6`;3087?>i68:<1<7*;3382417;1:l774<132e:<>:50;&777<68=;0b9=>:698k464:3:1(9==:0275>h3;80376a>02394?"3;;0:<9?4n512><=5$511>46392d??<4n;:m247`=83.???4>0538j1562k10c<>=e;29 15528:?=6`;308`?>i68;n1<7*;3382417;1:l774:g98k465i3:1(9==:0275>h3;80:<65`110:>5<#<:81==:>;o605?7632e::008?j77:?0;6):<2;3304=i<:;1=>54o0211?6=,=996<>;1:l774<6<21d==<;:18'064=99>:7c:<1;36?>i68;91<7*;33824178>7??409m067=9>10c<>=1;29 15528:?=6`;3082<>=h998;6=4+420955263g>8=7?6;:m244`=83.???4>0538j15628k07b??1d83>!24:3;;8<5a42395g=5$511>46392d??<4>c:9l557e290/8><51162?k2493;o76a>00c94?"3;;0:<9?4n512>4c<3f;;=44?:%606?77<81e8>?51g98k46603:1(9==:0275>h3;809<65`1134>5<#<:81==:>;o605?4632e:<<850;&777<68=;0b9=>:308?j779<0;6):<2;3304=i<:;1>>54o0220?6=,=996<>;1:l774<5<21d==?<:18'064=99>:7c:<1;06?>i68=:1<7*;33824178>7??409m067=:>10c<>=h999o6=4+420955263g>8=7<6;:m246e=83.???4>0538j1562;k07b??3283>!24:3;;8<5a42396g=5$511>46392d??<4=c:9l557c290/8><51162?k24938o76a>00094?"3;;0:<9?4n512>7c<3f;;=<4?:%606?77<81e8>?52g98mf1b290/8><5c948j1562910en9k:18'064=k1<0b9=>:098mf1d290/8><5c948j1562;10en9m:18'064=k1<0b9=>:298mf1>290/8><5c948j1562=10en97:18'064=k1<0b9=>:498mf10290/8><5c948j1562?10en99:18'064=k1<0b9=>:698mf12290/8><5c948j1562110en9;:18'064=k1<0b9=>:898mf14290/8><5c948j1562h10en9=:18'064=k1<0b9=>:c98mf16290/8><5c948j1562j10en9?:18'064=k1<0b9=>:e98mf>2290/8><5c948j1562l10en6;:18'064=k1<0b9=>:g98mf>4290/8><5c948j15628:07dm72;29 1552j2=7c:<1;32?>od080;6):<2;a;2>h3;80:>65fc9294?"3;;0h4;5a423956=2d??<4>6:9jg3c=83.???4l879m067=9>10c<>9c;29 15528:<>6`;3083?>i68?h1<7*;338242482:l774<532e:<;750;&777<68>80b9=>:298k46103:1(9==:0246>h3;80?76a>07594?"3;;0:<:<4n512>0=5$511>460:2d??<49;:m2433=83.???4>0608j1562>10c<>93;29 15528:<>6`;308;?>i68?81<7*;338242482:l77450;&777<68>80b9=>:c98k462n3:1(9==:0246>h3;80h76a>04g94?"3;;0:<:<4n512>a=h7>5$511>460:2d??<4j;:m240e=83.???4>0608j1562o10c<>:b;29 15528:<>6`;30824>=h99?j6=4+420955153g>8=7?>;:m240>=83.???4>0608j15628807b??5683>!24:3;;;?5a423956=:7>5$511>460:2d??<4>4:9l5532290/8><51151?k2493;>76a>04694?"3;;0:<:<4n512>40<3f;;9>4?:%606?77?;1e8>?51698k462:3:1(9==:0246>h3;80:465`1172>5<#<:81==9=;o605?7>32e:<8>50;&777<68>80b9=>:0c8?j7782:l774<6k21d==:l:18'064=99=97c:<1;3g?>i68=h1<7*;33824248>7??739m067=9o10c<>;9;29 15528:<>6`;30814>=h99>36=4+420955153g>8=7<>;:m2411=83.???4>0608j1562;807b??4783>!24:3;;;?5a423966=5$511>460:2d??<4=4:9l5523290/8><51151?k24938>76a>06394?"3;;0:<:<4n512>70<3f;;;=4?:%606?77?;1e8>?52698k461n3:1(9==:0246>h3;809465`114f>5<#<:81==9=;o605?4>32e:<;j50;&777<68>80b9=>:3c8?j77>=0;6):<2;3337=i<:;1>o54o026=?6=,=996<>82:l774<5k21d==:j:18'064=99=97c:<1;0g?>i68=91<7*;33824248>7??739m067=:o10en78:18'064=k0l0b9=>:198mf?1290/8><5c8d8j1562810en7::18'064=k0l0b9=>:398mf?3290/8><5c8d8j1562:10en7=:18'064=k0l0b9=>:598mf?6290/8><5c8d8j1562<10en7?:18'064=k0l0b9=>:798mf>a290/8><5c8d8j1562>10en6j:18'064=k0l0b9=>:998mf>c290/8><5c8d8j1562010en6l:18'064=k0l0b9=>:`98mf>e290/8><5c8d8j1562k10en6n:18'064=k0l0b9=>:b98mf>>290/8><5c8d8j1562m10en7j:18'064=k0l0b9=>:d98mf?c290/8><5c8d8j1562o10en7l:18'064=k0l0b9=>:028?le>j3:1(9==:b;e?k2493;:76gl9`83>!24:3i2j6`;30826>=nk031<7*;338`=c=i<:;1=>54ib;;>5<#<:81o4h4n512>42<3`i2?7>5$511>f?a3g>8=7?:;:k`<=<72->8>7m6f:l774<6>21bo5950;&777?51698mfd7290/8><5cc:8j1562910enoi:18'064=kk20b9=>:098mfgb290/8><5cc:8j1562;10enok:18'064=kk20b9=>:298mfge290/8><5cc:8j1562=10enon:18'064=kk20b9=>:498mfg>290/8><5cc:8j1562?10eno7:18'064=kk20b9=>:698mfg0290/8><5cc:8j1562110eno9:18'064=kk20b9=>:898mfg2290/8><5cc:8j1562h10eno;:18'064=kk20b9=>:c98mfg4290/8><5cc:8j1562j10eno=:18'064=kk20b9=>:e98mfd0290/8><5cc:8j1562l10enl9:18'064=kk20b9=>:g98mfd2290/8><5cc:8j15628:07dmm4;29 1552jh37c:<1;32?>odj:0;6):<2;aa<>h3;80:>65fcc094?"3;;0hn55a423956=6:9jgd6=83.???4lb99m067=9>10enm6:18'064=km;0b9=>:198mfe?290/8><5ce38j1562810enm8:18'064=km;0b9=>:398mfe1290/8><5ce38j1562:10enm;:18'064=km;0b9=>:598mfe4290/8><5ce38j1562<10enm=:18'064=km;0b9=>:798mfe6290/8><5ce38j1562>10enm?:18'064=km;0b9=>:998mfda290/8><5ce38j1562010enlj:18'064=km;0b9=>:`98mfdc290/8><5ce38j1562k10enll:18'064=km;0b9=>:b98mfde290/8><5ce38j1562m10enj?:18'064=km;0b9=>:d98mfea290/8><5ce38j1562o10enmj:18'064=km;0b9=>:028?ledl3:1(9==:bf2?k2493;:76glcb83>!24:3io=6`;30826>=nkjh1<7*;338``4=i<:;1=>54ibab>5<#<:81oi?4n512>42<3`ih97>5$511>fb63g>8=7?:;:k`fd<72->8>7mk1:l774<6>21boo750;&777?51698k44f?3:1(9==:00b`>h3;80;76a>2`494?"3;;0:>lj4n512>4=5$511>44fl2d??<4=;:m26d2=83.???4>2`f8j1562:10c<i6:h81<7*;33826dbl>50;&777<6:hn0b9=>:698k44>m3:1(9==:00b`>h3;80376a>28f94?"3;;0:>lj4n512><=5$511>44fl2d??<4n;:m262`f8j1562k10c<<6a;29 155288jh6`;308`?>i6:031<7*;33826db4950;&777<6:hn0b9=>:g98k44>>3:1(9==:00b`>h3;80:<65`13;6>5<#<:81=?ok;o605?7632e:>4=50;&777<6:hn0b9=>:008?j751;0;6):<2;31ea=i<:;1=>54o00:5?6=,=996<i6:1l1<7*;33826db8>7?=ae9m067=9>10c<<7d;29 155288jh6`;3082<>=h9;2h6=4+420957gc3g>8=7?6;:m26=d=83.???4>2`f8j15628k07b?=8`83>!24:3;9mi5a42395g=5$511>44fl2d??<4>c:9l57>0290/8><513cg?k2493;o76a>29494?"3;;0:>lj4n512>4c<3f;9484?:%606?75im1e8>?51g98k44?<3:1(9==:00b`>h3;809<65`13:0>5<#<:81=?ok;o605?4632e:>5<50;&777<6:hn0b9=>:308?j75080;6):<2;31ea=i<:;1>>54o00;4?6=,=996<i6:hi1<7*;33826db8>7?=ae9m067=:>10c<=h9;k26=4+420957gc3g>8=7<6;:m26d>=83.???4>2`f8j1562;k07b?=9g83>!24:3;9mi5a42396g=5$511>44fl2d??<4=c:9l57>>290/8><513cg?k24938o76a>26g94?"3;;0:>lj4n512>7c<3f;9;i4?:%606?75im1e8>?52g98k44c03:1(9==:00ga>h3;80;76a>2e594?"3;;0:>ik4n512>4=5$511>44cm2d??<4=;:m26a3=83.???4>2eg8j1562:10c<i6:m91<7*;33826aci?50;&777<6:mo0b9=>:698k44dn3:1(9==:00ga>h3;80376a>2bg94?"3;;0:>ik4n512><=5$511>44cm2d??<4n;:m26fe=83.???4>2eg8j1562k10c<i6:jk1<7*;33826acn650;&777<6:mo0b9=>:g98k44d?3:1(9==:00ga>h3;80:<65`13a5>5<#<:81=?jj;o605?7632e:>n:50;&777<6:mo0b9=>:008?j75k:0;6):<2;31``=i<:;1=>54o00`6?6=,=996<:18'064=9;nn7c:<1;36?>i6:j:1<7*;33826ac8>7?=dd9m067=9>10c<=h9;ho6=4+420957bb3g>8=7?6;:m26ge=83.???4>2eg8j15628k07b?=bc83>!24:3;9hh5a42395g=5$511>44cm2d??<4>c:9l57d?290/8><513ff?k2493;o76a>2c594?"3;;0:>ik4n512>4c<3f;9n;4?:%606?75ll1e8>?51g98k44e=3:1(9==:00ga>h3;809<65`13`7>5<#<:81=?jj;o605?4632e:>o=50;&777<6:mo0b9=>:308?j75j;0;6):<2;31``=i<:;1>>54o00a5?6=,=996<i6:mn1<7*;33826ac8>7?=dd9m067=:>10c<=h9;nj6=4+420957bb3g>8=7<6;:m26a?=83.???4>2eg8j1562;k07b?=d183>!24:3;9hh5a42396g=5$511>44cm2d??<4=c:9l57df290/8><513ff?k24938o76a>2`d94?"3;;0:>ik4n512>7c<3f;9mh4?:%606?75ll1e8>?52g98k45713:1(9==:013b>h3;80;76a>31:94?"3;;0:?=h4n512>4=5$511>457n2d??<4=;:m2750=83.???4>31d8j1562:10c<=?5;29 155289;j6`;3087?>i6;9>1<7*;338275`:698k45783:1(9==:013b>h3;80376a>2gd94?"3;;0:?=h4n512><=5$511>457n2d??<4n;:m26cb=83.???4>31d8j1562k10c<i6:oh1<7*;338275`k750;&777<6;9l0b9=>:g98k44a03:1(9==:013b>h3;80:<65`13d4>5<#<:81=>>i;o605?7632e:>k;50;&777<6;9l0b9=>:008?j75n=0;6):<2;304c=i<:;1=>54o00e7?6=,=996<=?f:l774<6<21d=?h=:18'064=9::m7c:<1;36?>i6:o;1<7*;338275`8>7?<0g9m067=9>10c<=h9;on6=4+4209566a3g>8=7?6;:m26`b=83.???4>31d8j15628k07b?=eb83>!24:3;85$511>457n2d??<4>c:9l57c>290/8><5122e?k2493;o76a>2d:94?"3;;0:?=h4n512>4c<3f;9i:4?:%606?748o1e8>?51g98k44b>3:1(9==:013b>h3;809<65`13g6>5<#<:81=>>i;o605?4632e:>h:50;&777<6;9l0b9=>:308?j75m:0;6):<2;304c=i<:;1>>54o00f6?6=,=996<=?f:l774<5<21d=?k>:18'064=9::m7c:<1;06?>i6;9o1<7*;338275`8>7?<0g9m067=:>10c<=?c;29 155289;j6`;3081<>=h9::i6=4+4209566a3g>8=7<6;:m275g=83.???4>31d8j1562;k07b?<0083>!24:3;85$511>457n2d??<4=c:9l57ce290/8><5122e?k24938o76a>2d294?"3;;0:?=h4n512>7c<3f;9hk4?:%606?748o1e8>?52g98k454i3:1(9==:0174>h3;80;76a>32;94?"3;;0:?9>4n512>4=5$511>45382d??<4=;:m2761=83.???4>3528j1562:10c<=<6;29 155289?<6`;3087?>i6;:?1<7*;3382716=50;&777<6;=:0b9=>:698k45493:1(9==:0174>h3;80376a>32294?"3;;0:?9>4n512><=5$511>45382d??<4n;:m277c=83.???4>3528j1562k10c<==d;29 155289?<6`;308`?>i6;;i1<7*;3382716:g98k45513:1(9==:0174>h3;80:<65`120;>5<#<:81=>:?;o605?7632e:??850;&777<6;=:0b9=>:008?j74:<0;6):<2;3005=i<:;1=>54o0110?6=,=996<=;0:l774<6<21d=><<:18'064=9:>;7c:<1;36?>i6;;81<7*;33827168>7?<419m067=9>10c<==0;29 155289?<6`;3082<>=h9:;m6=4+420956273g>8=7?6;:m274c=83.???4>3528j15628k07b?<1e83>!24:3;88=5a42395g=5$511>45382d??<4>c:9l567f290/8><51263?k2493;o76a>30;94?"3;;0:?9>4n512>4c<3f;8=54?:%606?74<91e8>?51g98k456?3:1(9==:0174>h3;809<65`1235>5<#<:81=>:?;o605?4632e:?<;50;&777<6;=:0b9=>:308?j749=0;6):<2;3005=i<:;1>>54o0127?6=,=996<=;0:l774<5<21d=>?=:18'064=9:>;7c:<1;06?>i6;:l1<7*;33827168>7?<419m067=:>10c<==h9:9h6=4+420956273g>8=7<6;:m276d=83.???4>3528j1562;k07b?<3383>!24:3;88=5a42396g=5$511>45382d??<4=c:9l567d290/8><51263?k24938o76a>30394?"3;;0:?9>4n512>7c<3f;8==4?:%606?74<91e8>?52g98k451j3:1(9==:0145>h3;80;76a>37c94?"3;;0:?:?4n512>4=5$511>45092d??<4=;:m273>=83.???4>3638j1562:10c<=97;29 155289<=6`;3087?>i6;?<1<7*;3382727;0b9=>:698k451:3:1(9==:0145>h3;80376a>37394?"3;;0:?:?4n512><=5$511>45092d??<4n;:m270`=83.???4>3638j1562k10c<=:e;29 155289<=6`;308`?>i6;;0b9=>:g98k452i3:1(9==:0145>h3;80:<65`127:>5<#<:81=>9>;o605?7632e:?8950;&777<6;>;0b9=>:008?j74=?0;6):<2;3034=i<:;1=>54o0161?6=,=996<=81:l774<6<21d=>;;:18'064=9:=:7c:<1;36?>i6;<91<7*;33827278>7?<709m067=9>10c<=:1;29 155289<=6`;3082<>=h9:?;6=4+420956163g>8=7?6;:m271`=83.???4>3638j15628k07b?<4d83>!24:3;8;<5a42395g=5$511>45092d??<4>c:9l562e290/8><51252?k2493;o76a>35c94?"3;;0:?:?4n512>4c<3f;8844?:%606?74?81e8>?51g98k45303:1(9==:0145>h3;809<65`1264>5<#<:81=>9>;o605?4632e:?9850;&777<6;>;0b9=>:308?j74<<0;6):<2;3034=i<:;1>>54o0170?6=,=996<=81:l774<5<21d=>:<:18'064=9:=:7c:<1;06?>i6;>:1<7*;33827278>7?<709m067=:>10c<=9e;29 155289<=6`;3081<>=h9:8=7<6;:m273e=83.???4>3638j1562;k07b?<6283>!24:3;8;<5a42396g=47>5$511>45092d??<4=c:9l562c290/8><51252?k24938o76a>35094?"3;;0:?:?4n512>7c<3f;88<4?:%606?74?81e8>?52g98md`02900c<=6c;29 155289j>6`;3083?>i6;0h1<7*;33827d4:298k45>03:1(9==:01b6>h3;80?76a>38594?"3;;0:?l<4n512>0=5$511>45f:2d??<49;:m27<3=83.???4>3`08j1562>10c<=63;29 155289j>6`;308;?>i6;081<7*;33827d450;&777<6;h80b9=>:c98k45?n3:1(9==:01b6>h3;80h76a>39g94?"3;;0:?l<4n512>a=5$511>45f:2d??<4j;:m27=e=83.???4>3`08j1562o10c<=7b;29 155289j>6`;30824>=h9:2j6=4+420956g53g>8=7?>;:m27=>=83.???4>3`08j15628807b?<8683>!24:3;8m?5a423956=5$511>45f:2d??<4>4:9l56>2290/8><512c1?k2493;>76a>39694?"3;;0:?l<4n512>40<3f;84>4?:%606?74i;1e8>?51698k45?:3:1(9==:01b6>h3;80:465`12:2>5<#<:81=>o=;o605?7>32e:?5>50;&777<6;h80b9=>:0c8?j74?o0;6):<2;30e7=i<:;1=o54o014`?6=,=996<=n2:l774<6k21d=>9l:18'064=9:k97c:<1;3g?>i6;>h1<7*;33827d48>7?6`;30814>=h9:=36=4+420956g53g>8=7<>;:m2721=83.???4>3`08j1562;807b?<7783>!24:3;8m?5a423966=5$511>45f:2d??<4=4:9l5613290/8><512c1?k24938>76a>3`394?"3;;0:?l<4n512>70<3f;8m=4?:%606?74i;1e8>?52698k45>n3:1(9==:01b6>h3;809465`12;f>5<#<:81=>o=;o605?4>32e:?4j50;&777<6;h80b9=>:3c8?j741=0;6):<2;30e7=i<:;1>o54o01;=?6=,=996<=n2:l774<5k21d=>9j:18'064=9:k97c:<1;0g?>i6;>91<7*;33827d48>7?i6;ji1<7*;33827a5:298k45d13:1(9==:01g7>h3;80?76a>3b:94?"3;;0:?i=4n512>0=5$511>45c;2d??<49;:m27f0=83.???4>3e18j1562>10c<=l4;29 155289o?6`;308;?>i6;j91<7*;33827a5:c98k45d83:1(9==:01g7>h3;80h76a>3cd94?"3;;0:?i=4n512>a=5$511>45c;2d??<4j;:m27gb=83.???4>3e18j1562o10c<=mc;29 155289o?6`;30824>=h9:hi6=4+420956b43g>8=7?>;:m27g?=83.???4>3e18j15628807b?!24:3;8h>5a423956=5$511>45c;2d??<4>4:9l56d1290/8><512f0?k2493;>76a>3c794?"3;;0:?i=4n512>40<3f;8n94?:%606?74l:1e8>?51698k45e;3:1(9==:01g7>h3;80:465`12`1>5<#<:81=>j<;o605?7>32e:?o?50;&777<6;m90b9=>:0c8?j74j90;6):<2;30`6=i<:;1=o54o01ba?6=,=996<=k3:l774<6k21d=>ok:18'064=9:n87c:<1;3g?>i6;hi1<7*;33827a58>7?=h9:k26=4+420956b43g>8=7<>;:m27d>=83.???4>3e18j1562;807b?!24:3;8h>5a423966=5$511>45c;2d??<4=4:9l56g2290/8><512f0?k24938>76a>3e094?"3;;0:?i=4n512>70<3f;8h<4?:%606?74l:1e8>?52698k45c83:1(9==:01g7>h3;809465`12ae>5<#<:81=>j<;o605?4>32e:?nk50;&777<6;m90b9=>:3c8?j74k<0;6):<2;30`6=i<:;1>o54o01ae?6=,=996<=k3:l774<5k21d=>oi:18'064=9:n87c:<1;0g?>i6;h>1<7*;33827a58>7?:198mdb0290/8><5ad;8j1562810elj9:18'064=il30b9=>:398mdb2290/8><5ad;8j1562:10elj;:18'064=il30b9=>:598mdb4290/8><5ad;8j1562<10elj=:18'064=il30b9=>:798mdb6290/8><5ad;8j1562>10elk?:18'064=il30b9=>:998mdba290/8><5ad;8j1562010eljj:18'064=il30b9=>:`98mdbc290/8><5ad;8j1562k10eljl:18'064=il30b9=>:b98mdbe290/8><5ad;8j1562m10eljn:18'064=il30b9=>:d98mdb>290/8><5ad;8j1562o10elj?:18'064=il30b9=>:028?lgdn3:1(9==:`g:?k2493;:76g>57;94?=h99286=4+420955>e3g>8=7>4;n33<7<72->8>7??8c9m067=921d==6>:18'064=992i7c:<1;08?j77090;6):<2;335<#<:81==6m;o605?2<3f;;;i4?:%606?770k1e8>?55:9l551d290/8><511:a?k2493<07b??7c83>!24:3;;4o5a42393>=h99=j6=4+420955>e3g>8=764;n333<<72->8>7??8c9m067=121d==97:18'064=992i7c:<1;c8?j77?>0;6):<2;335<#<:81==6m;o605?e<3f;;;84?:%606?770k1e8>?5d:9l55>f290/8><511:a?k2493o07b??8883>!24:3;;4o5a4239b>=h99236=4+420955>e3g>8=7??;:m24=1=83.???4>09`8j15628;07b??8783>!24:3;;4o5a423957=5$511>46?j2d??<4>3:9l55>3290/8><511:a?k2493;?76a>06d94?"3;;0:<5l4n512>43<3f;;;94?:%606?770k1e8>?51798k460;3:1(9==:02;f>h3;80:;65`1365>5<#<:81=?:l;o605?6<3f;9884?:%606?75?51:9l5723290/8><5136`?k2493807b?=4283>!24:3;98n5a42397>=h9;>96=4+4209572d3g>8=7:4;n3104<72->8>7?=4b9m067==21d=?:?:18'064=9;>h7c:<1;48?j75;o0;6):<2;310f=i<:;1;65`131g>5<#<:81=?:l;o605?><3f;9?n4?:%606?75?59:9l575e290/8><5136`?k2493k07b?=3`83>!24:3;98n5a4239f>=h9;926=4+4209572d3g>8=7m4;n317=<72->8>7?=4b9m067=l21d=?=8:18'064=9;>h7c:<1;g8?j75;?0;6):<2;310f=i<:;1j65`1316>5<#<:81=?:l;o605?7732e:>>:50;&777<6:=i0b9=>:038?j75;;0;6):<2;310f=i<:;1=?54o0005?6=,=996<<;c:l774<6;21d=?=?:18'064=9;>h7c:<1;37?>i6:;l1<7*;338261e8>7?=4b9m067=9?10c<<=d;29 155288?o6`;30823>=h9;8h6=4+4209572d3g>8=7?7;:m267d=83.???4>25a8j15628307b?=2`83>!24:3;98n5a42395d=5$511>443k2d??<4>b:9l5740290/8><5136`?k2493;h76a>23494?"3;;0:>9m4n512>4b<3f;9>84?:%606?75?51d98k445<3:1(9==:007g>h3;80:j65`1300>5<#<:81=?:l;o605?4732e:>?<50;&777<6:=i0b9=>:338?j75:80;6):<2;310f=i<:;1>?54o0014?6=,=996<<;c:l774<5;21d=??i:18'064=9;>h7c:<1;07?>i6:8o1<7*;338261e8>7?=4b9m067=:?10c<<;a;29 155288?o6`;30813>=h9;>26=4+4209572d3g>8=7<7;:m261>=83.???4>25a8j1562;307b?=4683>!24:3;98n5a42396d=5$511>443k2d??<4=b:9l5754290/8><5136`?k24938h76a>23:94?"3;;0:>9m4n512>7b<3f;9=i4?:%606?75?52d98k446k3:1(9==:007g>h3;809j65fabg94?=h993h6=4+420954343g>8=7>4;n33=g<72->8>7?>529m067=921d==7n:18'064=98?87c:<1;08?j77100;6):<2;3216=i<:;1?65`11;4>5<#<:81=<;<;o605?2<3f;;5;4?:%606?76=:1e8>?55:9l55?2290/8><51070?k2493<07b??9583>!24:3;:9>5a42393>=h99386=4+420954343g>8=764;n33=7<72->8>7?>529m067=121d==7>:18'064=98?87c:<1;c8?j77190;6):<2;3216=i<:;1n65`11:e>5<#<:81=<;<;o605?e<3f;;4h4?:%606?76=:1e8>?5d:9l55g4290/8><51070?k2493o07b??a383>!24:3;:9>5a4239b>=h99k:6=4+420954343g>8=7??;:m24d6=83.???4>1418j15628;07b??9g83>!24:3;:9>5a423957=5$511>472;2d??<4>3:9l55?c290/8><51070?k2493;?76a>08:94?"3;;0:=8=4n512>43<3f;;4i4?:%606?76=:1e8>?51798k46?k3:1(9==:0367>h3;80:;65`1047>5<#<:81=<8l;o605?6<3f;::>4?:%606?76>j1e8>?51:9l5405290/8><5104`?k2493807b?>6083>!24:3;::n5a42397>=h98?m6=4+4209540d3g>8=7:4;n321`<72->8>7?>6b9m067==21d=<;k:18'064=985<#<:81=<8l;o605?><3f;:9l4?:%606?76>j1e8>?59:9l543>290/8><5104`?k2493k07b?>5983>!24:3;::n5a4239f>=h98?<6=4+4209540d3g>8=7m4;n3213<72->8>7?>6b9m067=l21d=<8m:18'064=98h0;6):<2;322f=i<:;1j65`104:>5<#<:81=<8l;o605?7732e:=;650;&777<69?i0b9=>:038?j76>>0;6):<2;322f=i<:;1=?54o0352?6=,=996i69?:1<7*;338253e8>7?>6b9m067=9?10c=h98=o6=4+420954>23g>8=7>4;n323f<72->8>7?>849m067=921d=<9m:18'064=982>7c:<1;08?j76?h0;6):<2;32<0=i<:;1?65`105;>5<#<:81=<6:;o605?2<3f;:;:4?:%606?760<1e8>?55:9l5411290/8><510:6?k2493<07b?>7483>!24:3;:485a42393>=h98=?6=4+420954>23g>8=764;n3236<72->8>7?>849m067=121d=<9=:18'064=982>7c:<1;c8?j76?80;6):<2;32<0=i<:;1n65`1053>5<#<:81=<6:;o605?e<3f;::k4?:%606?760<1e8>?5d:9l54>3290/8><510:6?k2493o07b?>8283>!24:3;:485a4239b>=h98296=4+420954>23g>8=7??;:m25=7=83.???4>1978j15628;07b?>8183>!24:3;:485a423957=5$511>47?=2d??<4>3:9l541b290/8><510:6?k2493;?76a>16;94?"3;;0:=5;4n512>43<3f;::h4?:%606?760<1e8>?51798k471l3:1(9==:03;1>h3;80:;65`10;5>5<#<:81=<7j;o605?6<3f;:584?:%606?761l1e8>?51:9l54?3290/8><510;f?k2493807b?>9283>!24:3;:5h5a42397>=h983:6=4+420954?b3g>8=7:4;n32=5<72->8>7?>9d9m067==21d=<6i:18'064=983n7c:<1;48?j760l0;6):<2;32=`=i<:;1;65`10:g>5<#<:81=<7j;o605?><3f;:4n4?:%606?761l1e8>?59:9l54>e290/8><510;f?k2493k07b?>8`83>!24:3;:5h5a4239f>=h98226=4+420954?b3g>8=7m4;n32<=<72->8>7?>9d9m067=l21d=<7k:18'064=983n7c:<1;g8?j761j0;6):<2;32=`=i<:;1j65`10;a>5<#<:81=<7j;o605?7732e:=4o50;&777<690o0b9=>:038?j76100;6):<2;32=`=i<:;1=?54o03:i69081<7*;338258>7?>9d9m067=9?10c=h98km6=4+420954d03g>8=7>4;n32e`<72->8>7?>b69m067=921d=5<#<:81=1e8>?55:9l54g?290/8><510`4?k2493<07b?>a683>!24:3;:n:5a42393>=h98k=6=4+420954d03g>8=764;n32e0<72->8>7?>b69m067=121d=5<#<:81=1e8>?5d:9l54d1290/8><510`4?k2493o07b?>b483>!24:3;:n:5a4239b>=h98h?6=4+420954d03g>8=7??;:m25g5=83.???4>1c58j15628;07b?>b383>!24:3;:n:5a423957=5$511>47e?2d??<4>3:9l54d7290/8><510`4?k2493;?76a>1``94?"3;;0:=o94n512>43<3f;:m=4?:%606?76j>1e8>?51798k47>n3:1(9==:03a3>h3;80:;65`10a;>5<#<:81=?51:9l54e1290/8><510f3?k2493807b?>c483>!24:3;:h=5a42397>=h98i86=4+420954b73g>8=7:4;n32g7<72->8>7?>d19m067==21d=:18'064=98n;7c:<1;48?j76k90;6):<2;32`5=i<:;1;65`10`e>5<#<:81=<3f;:nh4?:%606?76l91e8>?59:9l54dc290/8><510f3?k2493k07b?>bb83>!24:3;:h=5a4239f>=h98hi6=4+420954b73g>8=7m4;n32fd<72->8>7?>d19m067=l21d=5<#<:81=:038?j76kk0;6):<2;32`5=i<:;1=?54o03`e?6=,=996i69j>1<7*;33825a68>7?>d19m067=9?10c=h9;=<6=4+420956b33g>8=7>4;n3133<72->8>7?5<#<:81=>j;;o605?2<3f;9;?4?:%606?74l=1e8>?55:9l5716290/8><512f7?k2493<07b?=7183>!24:3;8h95a42393>=h9;8=764;n312a<72->8>7?k0;6):<2;30`1=i<:;1n65`134b>5<#<:81=>j;;o605?e<3f;9:44?:%606?74l=1e8>?5d:9l570?290/8><512f7?k2493o07b?=6683>!24:3;8h95a4239b>=h9;<=6=4+420956b33g>8=7??;:m2633=83.???4>3e68j15628;07b?=6283>!24:3;8h95a423957=7>5$511>45c<2d??<4>3:9l5706290/8><512f7?k2493;?76a>27294?"3;;0:?i:4n512>43<3f;99k4?:%606?74l=1e8>?51798k442m3:1(9==:01g0>h3;80:;65`137g>5<#<:81=>j;;o605?7?32e:>8m50;&777<6;m>0b9=>:0;8?j75=k0;6):<2;30`1=i<:;1=l54o006e?6=,=996<=k4:l774<6j21d=?;7:18'064=9:n?7c:<1;3`?>i6:<=1<7*;33827a28>7?=h9;??6=4+420956b33g>8=73e68j1562;;07b?=5383>!24:3;8h95a423967==7>5$511>45c<2d??<4=3:9l5737290/8><512f7?k24938?76a>25d94?"3;;0:?i:4n512>73<3f;9;n4?:%606?74l=1e8>?52798k440j3:1(9==:01g0>h3;809;65`135b>5<#<:81=>j;;o605?4?32e:>:750;&777<6;m>0b9=>:3;8?j75?10;6):<2;30`1=i<:;1>l54o005b?6=,=996<=k4:l774<5j21d=?8;:18'064=9:n?7c:<1;0`?>i6:<31<7*;33827a28>7?=h98o:6=4+420954c>3g>8=7>4;n32a5<72->8>7?>e89m067=921d=5<#<:81=?55:9l54bf290/8><510g:?k2493<07b?>d883>!24:3;:i45a42393>=h98n36=4+420954c>3g>8=764;n32`2<72->8>7?>e89m067=121d=5<#<:81=4?:%606?76m01e8>?5d:9l54c?290/8><510g:?k2493o07b?>e683>!24:3;:i45a4239b>=h98o=6=4+420954c>3g>8=7??;:m25`3=83.???4>1d;8j15628;07b?>e583>!24:3;:i45a423957=5$511>47b12d??<4>3:9l54c5290/8><510g:?k2493;?76a>1ef94?"3;;0:=h74n512>43<3f;:h?4?:%606?76m01e8>?51798k47c93:1(9==:03f=>h3;80:;65`12de>5<#<:81=9>:;o605?6<3f;8jh4?:%606?738<1e8>?51:9l56`c290/8><51526?k2493807b?!24:3;?<85a42397>=h9:li6=4+420951623g>8=7:4;n30bd<72->8>7?;049m067==21d=>h6:18'064=9=:>7c:<1;48?j74n10;6):<2;3740=i<:;1;65`12d5>5<#<:81=9>:;o605?><3f;8j84?:%606?738<1e8>?59:9l56`3290/8><51526?k2493k07b?!24:3;?<85a4239f>=h9:l96=4+420951623g>8=7m4;n30b4<72->8>7?;049m067=l21d=>h?:18'064=9=:>7c:<1;g8?j74mo0;6):<2;3740=i<:;1j65`12gf>5<#<:81=9>:;o605?7732e:?hj50;&777<6<9?0b9=>:038?j74mk0;6):<2;3740=i<:;1=?54o01fe?6=,=996<:?5:l774<6;21d=>k6:18'064=9=:>7c:<1;37?>i6;l21<7*;33820538>7?;049m067=9?10c<=j6;29 15528>;96`;30823>=h9:o>6=4+420951623g>8=7?7;:m27`2=83.???4>4178j15628307b?!24:3;?<85a42395d=7>5$511>427=2d??<4>b:9l56c7290/8><51526?k2493;h76a>3ed94?"3;;0:8=;4n512>4b<3f;8hh4?:%606?738<1e8>?51d98k45cl3:1(9==:0631>h3;80:j65`12f`>5<#<:81=9>:;o605?4732e:?il50;&777<6<9?0b9=>:338?j74lh0;6):<2;3740=i<:;1>?54o01g=?6=,=996<:?5:l774<5;21d=>j7:18'064=9=:>7c:<1;07?>i6;m=1<7*;33820538>7?;049m067=:?10c<:?3;29 15528>;96`;30813>=h9=:96=4+420951623g>8=7<7;:m2057=83.???4>4178j1562;307b?;0183>!24:3;?<85a42396d=5$511>427=2d??<4=b:9l56cd290/8><51526?k24938h76a>3d394?"3;;0:8=;4n512>7b<3f;8h;4?:%606?738<1e8>?52d98k45c=3:1(9==:0631>h3;809j65`10db>5<#<:81=?>=;o605?6<3f;:j44?:%606?758;1e8>?51:9l54`?290/8><51321?k2493807b?>f683>!24:3;9=h98l>6=4+420957653g>8=7:4;n32b1<72->8>7?=039m067==21d=5<#<:81=?>=;o605?><3f;:j=4?:%606?758;1e8>?59:9l54ca290/8><51321?k2493k07b?>ed83>!24:3;9=h98oo6=4+420957653g>8=7m4;n32af<72->8>7?=039m067=l21d=?>>:18'064=9;:97c:<1;g8?j75890;6):<2;3147=i<:;1j65`10de>5<#<:81=?>=;o605?7732e:=kk50;&777<6:980b9=>:038?j76nm0;6):<2;3147=i<:;1=?54o03eg?6=,=996<i69o<1<7*;33826548>7?=039m067=9?10c6`;30823>=h9=9;6=4+420951513g>8=7>4;n376c<72->8>7?;379m067=921d=95<#<:81=9=9;o605?2<3f;?>o4?:%606?73;?1e8>?55:9l514f290/8><51515?k2493<07b?;2883>!24:3;??;5a42393>=h9=8<6=4+420951513g>8=764;n3763<72->8>7?;379m067=121d=9<::18'064=9=9=7c:<1;c8?j73:=0;6):<2;3773=i<:;1n65`1500>5<#<:81=9=9;o605?e<3f;?>?4?:%606?73;?1e8>?5d:9l5146290/8><51515?k2493o07b?;2183>!24:3;??;5a4239b>=h9=;m6=4+420951513g>8=7??;:m204c=83.???4>4248j15628;07b?;1b83>!24:3;??;5a423957=:n7>5$511>424>2d??<4>3:9l517f290/8><51515?k2493;?76a>40;94?"3;;0:8>84n512>43<3f;?=54?:%606?73;?1e8>?51798k426?3:1(9==:0602>h3;80:;65`1535>5<#<:81=9=9;o605?7?32e:8<;50;&777<6<:<0b9=>:0;8?j739=0;6):<2;3773=i<:;1=l54o0627?6=,=996<:<6:l774<6j21d=9?>:18'064=9=9=7c:<1;3`?>i6<8:1<7*;33820608>7?;379m067=9l10c<:?e;29 15528>8:6`;3082b>=h9=:o6=4+420951513g>8=74248j1562;;07b?;0c83>!24:3;??;5a423967=;m7>5$511>424>2d??<4=3:9l516>290/8><51515?k24938?76a>41:94?"3;;0:8>84n512>73<3f;??84?:%606?73;?1e8>?52798k424<3:1(9==:0602>h3;809;65`1510>5<#<:81=9=9;o605?4?32e:8><50;&777<6<:<0b9=>:3;8?j73;80;6):<2;3773=i<:;1>l54o061i6<881<7*;33820608>7?;379m067=:l10c<:?6;29 15528>8:6`;3081b>=h9;;86=4+4209577e3g>8=7>4;n3157<72->8>7?=1c9m067=921d=??>:18'064=9;;i7c:<1;08?j75990;6):<2;315g=i<:;1?65`132f>5<#<:81=??m;o605?2<3f;9?55:9l576d290/8><5133a?k2493<07b?=0c83>!24:3;9=o5a42393>=h9;:j6=4+4209577e3g>8=764;n314<<72->8>7?=1c9m067=121d=?>7:18'064=9;;i7c:<1;c8?j758>0;6):<2;315g=i<:;1n65`1325>5<#<:81=??m;o605?e<3f;9<84?:%606?759k1e8>?5d:9l577f290/8><5133a?k2493o07b?=1883>!24:3;9=o5a4239b>=h9;;36=4+4209577e3g>8=7??;:m2641=83.???4>20`8j15628;07b?=1783>!24:3;9=o5a423957=5$511>446j2d??<4>3:9l5773290/8><5133a?k2493;?76a>21d94?"3;;0:>43<3f;9<94?:%606?759k1e8>?51798k447;3:1(9==:002f>h3;80:;65`1542>5<#<:81=988;o605?6<3f;?:=4?:%606?73>>1e8>?51:9l513a290/8><51544?k2493807b?;5d83>!24:3;?::5a42397>=h9=?o6=4+420951003g>8=7:4;n371f<72->8>7?;669m067==21d=9;m:18'064=9=<<7c:<1;48?j73=h0;6):<2;3722=i<:;1;65`157;>5<#<:81=988;o605?><3f;?9:4?:%606?73>>1e8>?59:9l5131290/8><51544?k2493k07b?;5483>!24:3;?::5a4239f>=h9=??6=4+420951003g>8=7m4;n3716<72->8>7?;669m067=l21d=9;=:18'064=9=<<7c:<1;g8?j73=80;6):<2;3722=i<:;1j65`1573>5<#<:81=988;o605?7732e:89h50;&777<6:038?j73i6<=k1<7*;33820318>7?;669m067=9?10c<:;8;29 15528>=;6`;30823>=h9=><6=4+420951003g>8=7?7;:m2010=83.???4>4758j15628307b?;4483>!24:3;?::5a42395d=?87>5$511>421?2d??<4>b:9l5125290/8><51544?k2493;h76a>45394?"3;;0:8;94n512>4b<3f;?8=4?:%606?73>>1e8>?51d98k424n3:1(9==:0653>h3;80:j65`151f>5<#<:81=988;o605?4732e:8>j50;&777<6:338?j73;j0;6):<2;3722=i<:;1>?54o060f?6=,=996<:97:l774<5;21d=9=n:18'064=9=<<7c:<1;07?>i6<:31<7*;33820318>7?;669m067=:?10c<:95;29 15528>=;6`;30813>=h9=8=7<7;:m2035=83.???4>4758j1562;307b?;6383>!24:3;?::5a42396d=>57>5$511>421?2d??<4=b:9l512b290/8><51544?k24938h76a>45194?"3;;0:8;94n512>7b<3f;??54?:%606?73>>1e8>?52d98k424?3:1(9==:0653>h3;809j65`15;1>5<#<:81=977;o605?6<3f;?5<4?:%606?73111e8>?51:9l51?7290/8><515;;?k2493807b?;8g83>!24:3;?555a42397>=h9=2n6=4+420951??3g>8=7:4;n378>7?;999m067==21d=96l:18'064=9=337c:<1;48?j730k0;6):<2;37===i<:;1;65`15::>5<#<:81=977;o605?><3f;?454?:%606?73111e8>?59:9l51>0290/8><515;;?k2493k07b?;8783>!24:3;?555a4239f>=h9=2>6=4+420951??3g>8=7m4;n37<1<72->8>7?;999m067=l21d=96<:18'064=9=337c:<1;g8?j730;0;6):<2;37===i<:;1j65`15:2>5<#<:81=977;o605?7732e:85>50;&777<6<020b9=>:038?j73?l0;6):<2;37===i<:;1=?54o064`?6=,=996<:68:l774<6;21d=99l:18'064=9=337c:<1;37?>i6<>h1<7*;33820<>8>7?;999m067=9?10c<:89;29 15528>246`;30823>=h9==36=4+420951??3g>8=7?7;:m2021=83.???4>48:8j15628307b?;7783>!24:3;?555a42395d=<97>5$511>42>02d??<4>b:9l5114290/8><515;;?k2493;h76a>46094?"3;;0:8464n512>4b<3f;?;<4?:%606?73111e8>?51d98k42083:1(9==:06:<>h3;80:j65`154e>5<#<:81=977;o605?4732e:8;k50;&777<6<020b9=>:338?j73>m0;6):<2;37===i<:;1>?54o065g?6=,=996<:68:l774<5;21d=98m:18'064=9=337c:<1;07?>i68>7?;999m067=:?10c<:66;29 15528>246`;30813>=h9=3>6=4+420951??3g>8=7<7;:m20<2=83.???4>48:8j1562;307b?;9283>!24:3;?555a42396d=3m7>5$511>42>02d??<4=b:9l511a290/8><515;;?k24938h76a>46694?"3;;0:8464n512>7b<3f;?:44?:%606?73111e8>?52d98k42103:1(9==:06:<>h3;809j65`15a0>5<#<:81=9m6;o605?6<3f;?o?4?:%606?73k01e8>?51:9l51e6290/8><515a:?k2493807b?;c183>!24:3;?o45a42397>=h9=hm6=4+420951e>3g>8=7:4;n37f`<72->8>7?;c89m067==21d=9lk:18'064=9=i27c:<1;48?j73jj0;6):<2;37g<=i<:;1;65`15`b>5<#<:81=9m6;o605?><3f;?n44?:%606?73k01e8>?59:9l51d?290/8><515a:?k2493k07b?;b683>!24:3;?o45a4239f>=h9=h=6=4+420951e>3g>8=7m4;n37f0<72->8>7?;c89m067=l21d=9l;:18'064=9=i27c:<1;g8?j73j:0;6):<2;37g<=i<:;1j65`15`1>5<#<:81=9m6;o605?7732e:8o?50;&777<6:038?j73io0;6):<2;37g<=i<:;1=?54o06ba?6=,=996<:l9:l774<6;21d=9ok:18'064=9=i27c:<1;37?>i68>7?;c89m067=9?10c<:na;29 15528>h56`;30823>=h9=k26=4+420951e>3g>8=7?7;:m20d>=83.???4>4b;8j15628307b?;a683>!24:3;?o45a42395d=j:7>5$511>42d12d??<4>b:9l51g3290/8><515a:?k2493;h76a>4`194?"3;;0:8n74n512>4b<3f;?m?4?:%606?73k01e8>?51d98k42f93:1(9==:06`=>h3;80:j65`15c3>5<#<:81=9m6;o605?4732e:84h50;&777<6:338?j731l0;6):<2;37g<=i<:;1>?54o06:`?6=,=996<:l9:l774<5;21d=97l:18'064=9=i27c:<1;07?>i6<0h1<7*;33820f?8>7?;c89m067=:?10c<:l7;29 15528>h56`;30813>=h9=i=6=4+420951e>3g>8=7<7;:m20f3=83.???4>4b;8j1562;307b?;c583>!24:3;?o45a42396d=in7>5$511>42d12d??<4=b:9l51d7290/8><515a:?k24938h76a>4`794?"3;;0:8n74n512>7b<3f;?5l4?:%606?73k01e8>?52d98k42>13:1(9==:06`=>h3;809j65`15d7>5<#<:81=9hn;o605?6<3f;?j>4?:%606?73nh1e8>?51:9l51`5290/8><515db?k2493807b?;f083>!24:3;?jl5a42397>=h9=l;6=4+420951`f3g>8=7:4;n37ac<72->8>7?;f`9m067==21d=9kj:18'064=9=lj7c:<1;48?j73mm0;6):<2;37bd=i<:;1;65`15ga>5<#<:81=9hn;o605?><3f;?il4?:%606?73nh1e8>?59:9l51c>290/8><515db?k2493k07b?;e983>!24:3;?jl5a4239f>=h9=o<6=4+420951`f3g>8=7m4;n37a3<72->8>7?;f`9m067=l21d=9k::18'064=9=lj7c:<1;g8?j73m=0;6):<2;37bd=i<:;1j65`15g0>5<#<:81=9hn;o605?7732e:8h<50;&777<6:038?j73m90;6):<2;37bd=i<:;1=?54o06gb?6=,=996<:ia:l774<6;21d=9jj:18'064=9=lj7c:<1;37?>i68>7?;f`9m067=9?10c<:kb;29 15528>mm6`;30823>=h9=nj6=4+420951`f3g>8=7?7;:m20a?=83.???4>4gc8j15628307b?;d983>!24:3;?jl5a42395d=o;7>5$511>42ai2d??<4>b:9l51b2290/8><515db?k2493;h76a>4e694?"3;;0:8ko4n512>4b<3f;?h>4?:%606?73nh1e8>?51d98k42c:3:1(9==:06ee>h3;80:j65`15f2>5<#<:81=9hn;o605?4732e:8i>50;&777<6:338?j73ko0;6):<2;37bd=i<:;1>?54o06`a?6=,=996<:ia:l774<5;21d=9mk:18'064=9=lj7c:<1;07?>i68>7?;f`9m067=:?10c<:i8;29 15528>mm6`;30813>=h9=l<6=4+420951`f3g>8=7<7;:m20c0=83.???4>4gc8j1562;307b?;f483>!24:3;?jl5a42396d=no7>5$511>42ai2d??<4=b:9l51c6290/8><515db?k24938h76a>4e494?"3;;0:8ko4n512>7b<3f;?oo4?:%606?73nh1e8>?52d98k42di3:1(9==:06ee>h3;809j65`1406>5<#<:81=8>94?:%606?72:k1e8>?51:9l5044290/8><5140a?k2493807b?:2383>!24:3;>>o5a42397>=h9<8:6=4+4209504e3g>8=7:4;n3665<72->8>7?:2c9m067==21d=8?i:18'064=9<8i7c:<1;48?j729l0;6):<2;366g=i<:;1;65`143`>5<#<:81=8<3f;>=o4?:%606?72:k1e8>?59:9l507f290/8><5140a?k2493k07b?:1883>!24:3;>>o5a4239f>=h9<;36=4+4209504e3g>8=7m4;n3652<72->8>7?:2c9m067=l21d=8?9:18'064=9<8i7c:<1;g8?j729<0;6):<2;366g=i<:;1j65`1437>5<#<:81=8:038?j72980;6):<2;366g=i<:;1=?54o0724?6=,=996<;=b:l774<6;21d=8>i:18'064=9<8i7c:<1;37?>i6=9o1<7*;338217d8>7?:2c9m067=9?10c<;?c;29 15528?9n6`;30823>=h9<:i6=4+4209504e3g>8=7?7;:m215g=83.???4>53`8j15628307b?:0883>!24:3;>>o5a42395d=5$511>435j2d??<4>b:9l5061290/8><5140a?k2493;h76a>51794?"3;;0:9?l4n512>4b<3f;><94?:%606?72:k1e8>?51d98k437;3:1(9==:071f>h3;80:j65`1421>5<#<:81=8:338?j72890;6):<2;366g=i<:;1>?54o06eb?6=,=996<;=b:l774<5;21d=9hj:18'064=9<8i7c:<1;07?>i68>7?:2c9m067=:?10c<;=9;29 15528?9n6`;30813>=h9<836=4+4209504e3g>8=7<7;:m2171=83.???4>53`8j1562;307b?:2783>!24:3;>>o5a42396d=5$511>435j2d??<4=b:9l5075290/8><5140a?k24938h76a>51594?"3;;0:9?l4n512>7b<3f;?jn4?:%606?72:k1e8>?52d98k42aj3:1(9==:071f>h3;809j65`1475>5<#<:81=8;l;o605?6<3f;>984?:%606?72=j1e8>?51:9l5033290/8><5147`?k2493807b?:5283>!24:3;>9n5a42397>=h98=7:4;n3614<72->8>7?:5b9m067==21d=8;?:18'064=95<#<:81=8;l;o605?><3f;>8n4?:%606?72=j1e8>?59:9l502e290/8><5147`?k2493k07b?:4`83>!24:3;>9n5a4239f>=h9<>26=4+4209503d3g>8=7m4;n360=<72->8>7?:5b9m067=l21d=8:8:18'064=95<#<:81=8;l;o605?7732e:99:50;&777<6=:038?j72<;0;6):<2;361f=i<:;1=?54o0775?6=,=996<;:c:l774<6;21d=8:?:18'064=9i6=:l1<7*;338210e8>7?:5b9m067=9?10c<;o6`;30823>=h9<9h6=4+4209503d3g>8=7?7;:m216d=83.???4>54a8j15628307b?:3`83>!24:3;>9n5a42395d=5$511>432k2d??<4>b:9l5050290/8><5147`?k2493;h76a>52494?"3;;0:98m4n512>4b<3f;>?84?:%606?72=j1e8>?51d98k434<3:1(9==:076g>h3;80:j65`1410>5<#<:81=8;l;o605?4732e:9><50;&777<6=:338?j72;80;6):<2;361f=i<:;1>?54o0704?6=,=996<;:c:l774<5;21d=8i6=;o1<7*;338210e8>7?:5b9m067=:?10c<;:a;29 15528?>o6`;30813>=h98=7<7;:m210>=83.???4>54a8j1562;307b?:5683>!24:3;>9n5a42396d=5$511>432k2d??<4=b:9l5024290/8><5147`?k24938h76a>52:94?"3;;0:98m4n512>7b<3f;>>i4?:%606?72=j1e8>?52d98k435k3:1(9==:076g>h3;809j65`cga94?"3;;0o=hkoh1<7*;338g47=i<:;1=65`cgc94?"3;;0o=hko31<7*;338g47=i<:;1?65`cg:94?"3;;0o=hko=1<7*;338g47=i<:;1965`cg494?"3;;0o=hko?1<7*;338g47=i<:;1;65`cg194?"3;;0o=hko81<7*;338g47=i<:;1565`cg394?"3;;0o=hko:1<7*;338g47=i<:;1n65`cdd94?"3;;0o=hklo1<7*;338g47=i<:;1h65`cdf94?"3;;0o=hkli1<7*;338g47=i<:;1j65`cd`94?"3;;0o=;o605?7532ehi:4?:%606?b7:2d??<4>3:9lg`0=83.???4k039m067=9=10cnk::18'064=l980b9=>:078?jeb<3:1(9==:e21?k2493;=76ale283>!24:3n;>6`;30823>=hkl81<7*;338g47=i<:;1=554obg2>5<#<:81h=<4n512>4?<3fin<7>5$511>a653g>8=7?n;:m``c<72->8>7j?2:l774<6j21doij50;&777?51b98kfbd290/8><5d108j15628n07bmkb;29 1552m:97c:<1;3f?>idlh0;6):<2;f36>h3;80:j65`ce;94?"3;;0o=;o605?4532ehh;4?:%606?b7:2d??<4=3:9lga3=83.???4k039m067=:=10cnj;:18'064=l980b9=>:378?jb793:1(9==:e21?k24938=76ak0183>!24:3n;>6`;30813>=hkol1<7*;338g47=i<:;1>554obdf>5<#<:81h=<4n512>7?<3fimh7>5$511>a653g>8=78>7j?2:l774<5j21doh750;&777?52b98kfbb290/8><5d108j1562;n07bmk3;29 1552m:97c:<1;0f?>idl;0;6):<2;f36>h3;809j65fb1;94?"3;;0i=<5a42394>=nj921<7*;338a54=i<:;1=65fb1594?"3;;0i=<5a42396>=nj9<1<7*;338a54=i<:;1?65fb1694?"3;;0i=<5a42390>=nj991<7*;338a54=i<:;1965fb1094?"3;;0i=<5a42392>=nj9;1<7*;338a54=i<:;1;65fb1294?"3;;0i=<5a4239<>=niol1<7*;338a54=i<:;1565fagg94?"3;;0i=<5a4239e>=nion1<7*;338a54=i<:;1n65faga94?"3;;0i=<5a4239g>=nioh1<7*;338a54=i<:;1h65fb0294?"3;;0i=<5a4239a>=nj9l1<7*;338a54=i<:;1j65fb1g94?"3;;0i=<5a423955=;o605?7532ci3:9jf5g=83.???4m109m067=9=10eo>::18'064=j8;0b9=>:078?lgai3:1(9==:c32?k2493;=76gnf883>!24:3h:=6`;30823>=hijk1<7*;338bgg=i<:;1<65`aba94?"3;;0joi5a42394>=n9<<96=4+420950043g>8=7>4;hce2?6=3k><=7>51;294~"35;|`737<7280;6=u+45f9003<@=?h7E::0:m0=<<722wi8:=50;194?6|,=>o6?ok;I66g>N3=91/>ok52:k21`<722c:n94?::m770<722wi8::50;194?6|,=>o6?ok;I66g>N3=91/>ok52:k21`<722c:n94?::m770<722wi8:;50;194?6|,=>o6?ok;I66g>N3=91/>ok52:k21`<722c:n94?::m770<722wi8:850;794?6|,=>o6?j9;I66g>N3=91/?485144a?l7fm3:17d<6c;29?l23;3:17b=7a;29?j4d03:17pl;7683>0<729q/89j52e48L13d3A>><6*<978213d5<86=44o2:b>5<k1b=lk50;9j6f1=831d?5o50;9~f11>290>6=4?{%67`?4c>2B?9n5G4428 6?128?=n6g>ad83>>o51j0;66g;4283>>i40h0;66a=c983>>{e<>k1<7;50;2x 12c2;n=7E::c:J715=#;0<1=88m;h3ba?6=3`82o7>5;h677?6=3f93m7>5;n0`5<7s->?h7832m647595855?4c2?k1:;4=a;43>32=:00>o786:4d9y!5>>3;>:o5ac481?k76>3:0b;o3a7?7"5l909n85a2e395>h41<0:7)=lc;78j153281/89;52e28 1212:ih7)::1;0bg>"3=;09h?5+44197=><,=??6>6l;n1``?6=3`>8h7>5;h3b7?6=3`;j=7>5;n0g0?6=3`;j97>5;h675?6=3`8o?7>5;h3b0?6=3`>8i7>5;h3bg?6=3f;2=7>5;h60=?6=3`;j:7>5;n67a?6=3f9hi7>5;h60f?6=3`>8m7>5;h3b8j7>5;h3b6?6=3`;j<7>5;h3bb?6=3`;2j7>5;h60g?6=3f92;7>5;h3b3?6=3`;jn7>5;h3:6?6=3`;2<7>5;h674?6=3`;jm7>5;h676?6=3fli6=4+4209bd=i<:;1<65`f883>!24:3lj7c:<1;38?j`?290/8><5f`9m067=:21dj:4?:%606?`f3g>8=7=4;nd5>5<#<:81jl5a42390>=hn<0;6):<2;db?k2493?07bh;:18'064=nh1e8>?56:9lb6<72->8>7hn;o605?1<3fl96=4+4209bd=i<:;1465`f083>!24:3lj7c:<1;;8?jca290/8><5f`9m067=i21dih4?:%606?`f3g>8=7l4;ngg>5<#<:81jl5a4239g>=hmj0;6):<2;db?k2493n07bkm:18'064=nh1e8>?5e:9lad<72->8>7hn;o605?`<3fo26=4+4209bd=i<:;1==54od:94?"3;;0mm6`;30825>=hm>0;6):<2;db?k2493;976aj6;29 1552ok0b9=>:018?j77;3:1(9==:gc8j15628>07b??2;29 1552ok0b9=>:078?j7793:1(9==:gc8j15628<07b??0;29 1552ok0b9=>:058?j`a290/8><5f`9m067=9110ckk50;&7779:9lba<72->8>7hn;o605?7f32emo7>5$511>cg5<#<:81jl5a42395f=h3;80:h65f38694?"3;;085>5a42394>=n;081<7*;3380=6=i<:;1=65f38394?"3;;085>5a42396>=n;0:1<7*;3380=6=i<:;1?65f39d94?"3;;085>5a42390>=n;1o1<7*;3380=6=i<:;1965f39f94?"3;;085>5a42392>=n;o31<7*;3380b==i<:;1<65f3g594?"3;;08j55a42395>=n;o<1<7*;3380b==i<:;1>65f3g794?"3;;08j55a42397>=n;o>1<7*;3380b==i<:;1865f3g194?"3;;08j55a42391>=n;o81<7*;3380b==i<:;1:65f3g394?"3;;08j55a42393>=n;ll1<7*;3380b==i<:;1465f3dg94?"3;;08j55a4239=>=n;ln1<7*;3380b==i<:;1m65f3da94?"3;;08j55a4239f>=n;lh1<7*;3380b==i<:;1o65f3dc94?"3;;08j55a4239`>=n;l31<7*;3380b==i<:;1i65f3d:94?"3;;08j55a4239b>=n;l=1<7*;3380b==i<:;1==54i2g5>5<#<:81?k64n512>47<3`9n87>5$511>6`?3g>8=7?=;:k0a6<72->8>7=i8:l774<6;21b?h<50;&777<4n11e8>?51598m6c6290/8><53g:8j15628?07d=j0;29 1552:l37c:<1;35?>o4lo0;6):<2;1e<>h3;80:;65f3eg94?"3;;08j55a42395==h7;o605?7f32c8ho4?:%606?5a02d??<4>b:9j7a?=83.???4j7:18'064=;o20b9=>:0f8?l5c?3:1(9==:2d;?k2493;n76g!24:39m46`;3082b>=n;m?1<7*;3380b==i<:;1>=54i2f7>5<#<:81?k64n512>77<3`9o?7>5$511>6`?3g>8=7<=;:k0`7<72->8>7=i8:l774<5;21b?i?50;&777<4n11e8>?52598m6b7290/8><53g:8j1562;?07d=if;29 1552:l37c:<1;05?>o4nl0;6):<2;1e<>h3;809;65f3gf94?"3;;08j55a42396==h7;o605?4f32c8jl4?:%606?5a02d??<4=b:9j7c6=83.???4k::18'064=;o20b9=>:3f8?l5ci3:1(9==:2d;?k24938n76g!24:39m46`;3081b>=n98h1<7*;33825d=i<:;1<65f10;94?"3;;0:=l5a42395>=n9821<7*;33825d=i<:;1>65f10594?"3;;0:=l5a42397>=n9131<7*;3382<==i<:;1<65f19594?"3;;0:455a42395>=n91<1<7*;3382<==i<:;1>65f19794?"3;;0:455a42397>=n91>1<7*;3382<==i<:;1865f19194?"3;;0:455a42391>=n9181<7*;3382<==i<:;1:65f19394?"3;;0:455a42393>=n9>l1<7*;3382<==i<:;1465f16g94?"3;;0:455a4239=>=n9>n1<7*;3382<==i<:;1m65f16a94?"3;;0:455a4239f>=n9>h1<7*;3382<==i<:;1o65f16c94?"3;;0:455a4239`>=n9>31<7*;3382<==i<:;1i65f16:94?"3;;0:455a4239b>=n9>=1<7*;3382<==i<:;1==54i055>5<#<:81=564n512>47<3`;<87>5$511>4>?3g>8=7?=;:k236<72->8>7?78:l774<6;21b=:<50;&777<6011e8>?51598m416290/8><519:8j15628?07d?80;29 15528237c:<1;35?>o6>o0;6):<2;3;<>h3;80:;65f17g94?"3;;0:455a42395==b:9j53?=83.???4>899m067=9j10e<87:18'064=9120b9=>:0f8?l71?3:1(9==:0:;?k2493;n76g>6783>!24:3;346`;3082b>=n9??1<7*;3382<==i<:;1>=54i047>5<#<:81=564n512>77<3`;=?7>5$511>4>?3g>8=7<=;:k227<72->8>7?78:l774<5;21b=;?50;&777<6011e8>?52598m407290/8><519:8j1562;?07d?7f;29 15528237c:<1;05?>o60l0;6):<2;3;<>h3;809;65f19f94?"3;;0:455a42396==899m067=:j10e<9::18'064=9120b9=>:3f8?l71i3:1(9==:0:;?k24938n76g>5g83>!24:3;346`;3081b>=h90n1<7*;3382=f=i<:;1<65`18`94?"3;;0:5n5a42395>=h90k1<7*;3382=f=i<:;1>65`18;94?"3;;0:5n5a42397>=n:j>1<7*;3381g6=i<:;1<65f2b094?"3;;09o>5a42395>=n:j;1<7*;3381g6=i<:;1>65f2b294?"3;;09o>5a42397>=n:kl1<7*;3381g6=i<:;1865f12g94?"3;;0:?i5a42394>=n9:i1<7*;33827a=i<:;1=65f12`94?"3;;0:?i5a42396>=n9:k1<7*;33827a=i<:;1?65f12;94?"3;;0:?i5a42390>=n9:21<7*;33827a=i<:;1965f12594?"3;;0:?i5a42392>=n9:<1<7*;33827a=i<:;1;65f15594?"3;;0:?i5a4239<>=n9=<1<7*;33827a=i<:;1565f15794?"3;;0:?i5a4239e>=n9=>1<7*;33827a=i<:;1n65f15194?"3;;0:?i5a4239g>=n9=81<7*;33827a=i<:;1h65f15394?"3;;0:?i5a4239a>=n9=:1<7*;33827a=i<:;1j65f12d94?"3;;0:?i5a423955=6=4+420956b5$511>44?3g>8=7?4;h312?6=,=996<<7;o605?4<3`;997>5$511>44?3g>8=7=4;h310?6=,=996<<7;o605?2<3`;9?7>5$511>44?3g>8=7;4;h316?6=,=996<<7;o605?0<3`;9=7>5$511>44?3g>8=794;h306?6=,=996<<7;o605?><3`;8=7>5$511>44?3g>8=774;h304?6=,=996<<7;o605?g<3`;9j7>5$511>44?3g>8=7l4;h31a?6=,=996<<7;o605?e<3`;9h7>5$511>44?3g>8=7j4;h31g?6=,=996<<7;o605?c<3`;9n7>5$511>44?3g>8=7h4;h31e?6=,=996<<7;o605?7732c:>=4?:%606?7502d??<4>1:9l507=83.???4>519m067=821d=9h50;&777<6=91e8>?51:9l51c=83.???4>519m067=:21d=9j50;&777<6=91e8>?53:9l51e=83.???4>519m067=<21d=9l50;&777<6=91e8>?55:9l51g=83.???4>519m067=>21d=9750;&777<6=91e8>?57:9l50g=83.???4>519m067=021d=8750;&777<6=91e8>?59:9l50>=83.???4>519m067=i21d=8950;&777<6=91e8>?5b:9l500=83.???4>519m067=k21d=8;50;&777<6=91e8>?5d:9l502=83.???4>519m067=m21d=8=50;&777<6=91e8>?5f:9l504=83.???4>519m067=9910c<:7:18'064=9<:0b9=>:038?l7d?3:1(9==:0a5?k2493:07d?l5;29 15528i=7c:<1;38?l7d<3:1(9==:0a5?k2493807d?l3;29 15528i=7c:<1;18?l7d:3:1(9==:0a5?k2493>07d?l0;29 15528i=7c:<1;78?l7en3:1(9==:0a5?k2493<07d?me;29 15528i=7c:<1;58?l7el3:1(9==:0a5?k2493207d?mc;29 15528i=7c:<1;;8?l7ej3:1(9==:0a5?k2493k07d?ma;29 15528i=7c:<1;`8?l7e13:1(9==:0a5?k2493i07d?m8;29 15528i=7c:<1;f8?l7e?3:1(9==:0a5?k2493o07d?lf;29 15528i=7c:<1;d8?l7dm3:1(9==:0a5?k2493;;76g>ce83>!24:3;h:6`;30825>=n9ji1<7*;3382g3=i<:;1=?54i0aa>5<#<:81=n84n512>45<3`;hm7>5$511>4e13g>8=7?;;:k2g<<72->8>7?l6:l774<6=21b=n650;&777<6k?1e8>?51798m4e6290/8><51b48j15628=07d?m6;29 15528i=7c:<1;3;?>i4k=0;6):<2;1`7>h3;80;76a!24:39h?6`;3082?>i4k80;6):<2;1`7>h3;80976a!24:39h?6`;3080?>i4jo0;6):<2;1`7>h3;80?76a!24:39h?6`;3086?>i4jm0;6):<2;1`7>h3;80=76a!24:39h?6`;3084?>i4jh0;6):<2;1`7>h3;80376a!24:39h?6`;308:?>i4j10;6):<2;1`7>h3;80j76a!24:39h?6`;308a?>i4j?0;6):<2;1`7>h3;80h76a!24:39h?6`;308g?>i4j=0;6):<2;1`7>h3;80n76a!24:39h?6`;308e?>i4j;0;6):<2;1`7>h3;80:<65`3c394?"3;;08o>5a423954=m<;o605?7432e8mi4?:%606?5d;2d??<4>4:9l7de=83.???4om:18'064=;j90b9=>:048?j5fi3:1(9==:2a0?k2493;<76a!24:39h?6`;3082<>=h;h21<7*;3380g6=i<:;1=454o2c4>5<#<:81?n=4n512>4g<3f9j:7>5$511>6e43g>8=7?m;:m0e1<72->8>7=l3:l774<6k21d?l=50;&777<4k:1e8>?51e98k6g5290/8><53b18j15628o07b=n1;29 1552:i87c:<1;3e?>i4i90;6):<2;1`7>h3;809<65`38d94?"3;;08o>5a423964=m<;o605?4432e85n4?:%606?5d;2d??<4=4:9l7mn:18'064=;j90b9=>:348?j5d13:1(9==:2a0?k24938<76a!24:39h?6`;3081<>=h;j=1<7*;3380g6=i<:;1>454o2a5>5<#<:81?n=4n512>7g<3f9h97>5$511>6e43g>8=78>7=l3:l774<5k21d?o>50;&777<4k:1e8>?52e98k6g2290/8><53b18j1562;o07b=6a;29 1552:i87c:<1;0e?>oek3:1(9==:c`8j1562910eoo50;&777;:ka=?6=,=996ol4n512>7=h3;80876gm7;29 1552kh0b9=>:598mg0=83.???4mb:l774<232ci97>5$511>gdoe;3:1(9==:c`8j1562110eo<50;&777d=h3;80i76gne;29 1552kh0b9=>:b98mdb=83.???4mb:l7745$511>gdofi3:1(9==:c`8j15628:07do6:18'064=jk1e8>?51098md>=83.???4mb:l774<6:21bm:4?:%606?de3g>8=7?<;:k`0?6=,=996ol4n512>42<3`i86=4+4209fg=i<:;1=854ib094?"3;;0in6`;30822>=nk80;6):<2;`a?k2493;<76gl0;29 1552kh0b9=>:0:8?lda290/8><5bc9m067=9010eok50;&777a:9jfa<72->8>7lm;o605?7e32ci=7>5$511>gd5<#<:81no5a42395a=5<#<:818?74n512>4=5<#<:818?74n512>6=6=4+420907?5<#<:818?74n512>0=5<#<:818?74n512>2=5<#<:818?74n512><=5<#<:818?74n512>g=5<#<:818?74n512>a=5<#<:818?74n512>c=4;n623?6=,=9969<6;o605?7632e?=84?:%606?2512d??<4>2:9l042=83.???4;289m067=9:10c9?<:18'064=<;30b9=>:068?j26:3:1(9==:50:?k2493;>76a;1083>!24:3>956`;30822>=h<8:1<7*;33876<=i<:;1=:54o52e>5<#<:818?74n512>4><3f>;i7>5$511>14>3g>8=7?6;:m74a<72->8>7:=9:l774<6i21d8=m50;&777<3:01e8>?51c98k16f290/8><543;8j15628i07b:?9;29 1552=827c:<1;3g?>i3810;6):<2;61=>h3;80:i65`41594?"3;;0?>45a42395c=4;n631?6=,=9969<6;o605?4632e?<94?:%606?2512d??<4=2:9l055=83.???4;289m067=::10c9>=:18'064=<;30b9=>:368?j2793:1(9==:50:?k24938>76a;3183>!24:3>956`;30812>=h<;l1<7*;33876<=i<:;1>:54o50f>5<#<:818?74n512>7><3f>9h7>5$511>14>3g>8=7<6;:m76f<72->8>7:=9:l774<5i21d8?l50;&777<3:01e8>?52c98k146290/8><543;8j1562;i07b:>6;29 1552=827c:<1;0g?>i38k0;6):<2;61=>h3;809i65`41294?"3;;0?>45a42396c=h3;80;76gka;29 1552mh0b9=>:098ma?=83.???4kb:l774<532co47>5$511>ad54ie594?"3;;0on6`;3087?>oc>3:1(9==:e`8j1562<10ei;50;&7772=h3;80376gk2;29 1552mh0b9=>:898ma6=83.???4kb:l7745$511>adodl3:1(9==:e`8j1562m10enm50;&777c=h3;80:<65fc883>!24:3ni7c:<1;32?>od03:1(9==:e`8j15628807dm8:18'064=lk1e8>?51298m`2=83.???4kb:l774<6<21bi>4?:%606?be3g>8=7?:;:kf6?6=,=996il4n512>40<3`o:6=4+4209`g=i<:;1=:54id294?"3;;0on6`;3082<>=nlo0;6):<2;fa?k2493;276gke;29 1552mh0b9=>:0c8?lbc290/8><5dc9m067=9k10ei?50;&777c:9jg3<72->8>7jm;o605?7c32c:5:4?:%606?7>>2d??<4?;:k2=0<72->8>7?66:l774<632c:594?:%606?7>>2d??<4=;:a02e=83;j=7>50z&70a<5i<1C88m4H573?_72k3;;w;=5918;b??>20>1:<4=d;4b>30=:h0=<78;:3;91f<113?m6p*<978213d6`>1783?k76k3;0b=92d:n>4>;o0b0?66=5+2e296g3"4kj0>7c:<4;38 1222;n;7):;6;1`g>"3=809mn5+44096a4<,=?86>67;%660?5?k2e8oi4?::k77a<722c:m>4?::k2e4<722e9h94?::k2e0<722c?8<4?::k1`6<722c:m94?::k77`<722c:mn4?::m2=4<722c??44?::k2e3<722e?8h4?::m0g`<722c??o4?::k77d<722c:m54?::k77c<722c:m?4?::k2e5<722c:mk4?::k2=c<722c??n4?::m0=2<722c:m:4?::k2eg<722c:5?4?::k2=5<722c?8=4?::k2ed<722c?8?4?::mef?6=,=996ko4n512>5=h3;80:76ai8;29 1552ok0b9=>:398kc1=83.???4ia:l774<432em:7>5$511>cgia<3:1(9==:gc8j1562?10ck=50;&777==h3;80276ajf;29 1552ok0b9=>:`98k`c=83.???4ia:l7745$511>cgibj3:1(9==:gc8j1562l10cho50;&77746<3fo36=4+4209bd=i<:;1=<54od594?"3;;0mm6`;30826>=hm?0;6):<2;db?k2493;876a>0283>!24:3lj7c:<1;37?>i68;0;6):<2;db?k2493;>76a>0083>!24:3lj7c:<1;35?>i6890;6):<2;db?k2493;<76aif;29 1552ok0b9=>:0:8?j`b290/8><5f`9m067=9010ckj50;&777a:9lbf<72->8>7hn;o605?7e32em<7>5$511>cg5<#<:81jl5a42395a=5<#<:81?4=4n512>4=5<#<:81?4=4n512>6=5<#<:81?4=4n512>0=5<#<:81?k64n512>5=5<#<:81?k64n512>7=6=4+42097c>54i2d7>5<#<:81?k64n512>1=5<#<:81?k64n512>3=5<#<:81?k64n512>==5<#<:81?k64n512>d=5<#<:81?k64n512>f=5<#<:81?k64n512>`=5<#<:81?k64n512>46<3`9n:7>5$511>6`?3g>8=7?>;:k0a1<72->8>7=i8:l774<6:21b?h=50;&777<4n11e8>?51298m6c5290/8><53g:8j15628>07d=j1;29 1552:l37c:<1;36?>o4m90;6):<2;1e<>h3;80::65f3ed94?"3;;08j55a423952=h7;o605?7>32c8hn4?:%606?5a02d??<4>a:9j7ad=83.???4j6:18'064=;o20b9=>:0a8?l5c03:1(9==:2d;?k2493;o76g!24:39m46`;3082a>=n;m<1<7*;3380b==i<:;1=k54i2f6>5<#<:81?k64n512>76<3`9o87>5$511>6`?3g>8=7<>;:k0`6<72->8>7=i8:l774<5:21b?i<50;&777<4n11e8>?52298m6b6290/8><53g:8j1562;>07d=k0;29 1552:l37c:<1;06?>o4no0;6):<2;1e<>h3;809:65f3gg94?"3;;08j55a423962=h7;o605?4>32c8jo4?:%606?5a02d??<4=a:9j7cg=83.???4h?:18'064=;o20b9=>:3a8?l5b=3:1(9==:2d;?k24938o76g!24:39m46`;3081a>=n;jl1<7*;3380b==i<:;1>k54i03a>5<#<:81=5=5<#<:81=7=54i0::>5<#<:81=564n512>5=5<#<:81=564n512>7=6=4+42095=>54i0:7>5<#<:81=564n512>1=5<#<:81=564n512>3=5<#<:81=564n512>==5<#<:81=564n512>d=5<#<:81=564n512>f=5<#<:81=564n512>`=5<#<:81=564n512>46<3`;<:7>5$511>4>?3g>8=7?>;:k231<72->8>7?78:l774<6:21b=:=50;&777<6011e8>?51298m415290/8><519:8j15628>07d?81;29 15528237c:<1;36?>o6?90;6):<2;3;<>h3;80::65f17d94?"3;;0:455a423952=32c::n4?:%606?7?02d??<4>a:9j53d=83.???4>899m067=9k10e<86:18'064=9120b9=>:0a8?l7103:1(9==:0:;?k2493;o76g>6683>!24:3;346`;3082a>=n9?<1<7*;3382<==i<:;1=k54i046>5<#<:81=564n512>76<3`;=87>5$511>4>?3g>8=7<>;:k226<72->8>7?78:l774<5:21b=;<50;&777<6011e8>?52298m406290/8><519:8j1562;>07d?90;29 15528237c:<1;06?>o60o0;6):<2;3;<>h3;809:65f19g94?"3;;0:455a423962=32c:4o4?:%606?7?02d??<4=a:9j5=g=83.???4>899m067=:k10e<6?:18'064=9120b9=>:3a8?l70=3:1(9==:0:;?k24938o76g>6`83>!24:3;346`;3081a>=n9k54o0;g>5<#<:81=4m4n512>5=5<#<:81=4m4n512>7=54i3a7>5<#<:81>n=4n512>5=5<#<:81>n=4n512>7=54i3`e>5<#<:81>n=4n512>1=5<#<:81=>j4n512>4=5<#<:81=>j4n512>6=5<#<:81=>j4n512>0=5<#<:81=>j4n512>2=<6=4+420956b5<#<:81=>j4n512><=>6=4+420956b5<#<:81=>j4n512>g=86=4+420956b5<#<:81=>j4n512>a=:6=4+420956b5<#<:81=>j4n512>c=4;h301?6=,=996<=k;o605?7632c:>44?:%606?7502d??<4?;:k262<72->8>7?=8:l774<632c:>;4?:%606?7502d??<4=;:k260<72->8>7?=8:l774<432c:>94?:%606?7502d??<4;;:k266<72->8>7?=8:l774<232c:>?4?:%606?7502d??<49;:k264<72->8>7?=8:l774<032c:??4?:%606?7502d??<47;:k274<72->8>7?=8:l774<>32c:?=4?:%606?7502d??<4n;:k26c<72->8>7?=8:l774h4?:%606?7502d??<4l;:k26a<72->8>7?=8:l774n4?:%606?7502d??<4j;:k26g<72->8>7?=8:l774l4?:%606?7502d??<4>0:9j576=83.???4>299m067=9810c<;>:18'064=9<:0b9=>:198k42a290/8><51428j1562810c<:j:18'064=9<:0b9=>:398k42c290/8><51428j1562:10c<:l:18'064=9<:0b9=>:598k42e290/8><51428j1562<10c<:n:18'064=9<:0b9=>:798k42>290/8><51428j1562>10c<;n:18'064=9<:0b9=>:998k43>290/8><51428j1562010c<;7:18'064=9<:0b9=>:`98k430290/8><51428j1562k10c<;9:18'064=9<:0b9=>:b98k432290/8><51428j1562m10c<;;:18'064=9<:0b9=>:d98k434290/8><51428j1562o10c<;=:18'064=9<:0b9=>:028?j7303:1(9==:073?k2493;:76g>c683>!24:3;h:6`;3083?>o6k<0;6):<2;3`2>h3;80:76g>c583>!24:3;h:6`;3081?>o6k:0;6):<2;3`2>h3;80876g>c383>!24:3;h:6`;3087?>o6k90;6):<2;3`2>h3;80>76g>bg83>!24:3;h:6`;3085?>o6jl0;6):<2;3`2>h3;80<76g>be83>!24:3;h:6`;308;?>o6jj0;6):<2;3`2>h3;80276g>bc83>!24:3;h:6`;308b?>o6jh0;6):<2;3`2>h3;80i76g>b883>!24:3;h:6`;308`?>o6j10;6):<2;3`2>h3;80o76g>b683>!24:3;h:6`;308f?>o6ko0;6):<2;3`2>h3;80m76g>cd83>!24:3;h:6`;30824>=n9jn1<7*;3382g3=i<:;1=<54i0a`>5<#<:81=n84n512>44<3`;hn7>5$511>4e13g>8=7?<;:k2gd<72->8>7?l6:l774<6<21b=n750;&777<6k?1e8>?51498m4e?290/8><51b48j15628<07d?l1;29 15528i=7c:<1;34?>o6j?0;6):<2;3`2>h3;80:465`3b694?"3;;08o>5a42394>=h;j81<7*;3380g6=i<:;1=65`3b394?"3;;08o>5a42396>=h;j:1<7*;3380g6=i<:;1?65`3cd94?"3;;08o>5a42390>=h;ko1<7*;3380g6=i<:;1965`3cf94?"3;;08o>5a42392>=h;ki1<7*;3380g6=i<:;1;65`3cc94?"3;;08o>5a4239<>=h;k31<7*;3380g6=i<:;1565`3c:94?"3;;08o>5a4239e>=h;k=1<7*;3380g6=i<:;1n65`3c494?"3;;08o>5a4239g>=h;k?1<7*;3380g6=i<:;1h65`3c694?"3;;08o>5a4239a>=h;k91<7*;3380g6=i<:;1j65`3c094?"3;;08o>5a423955=m<;o605?7532e8mh4?:%606?5d;2d??<4>3:9l7db=83.???4ol:18'064=;j90b9=>:078?j5fj3:1(9==:2a0?k2493;=76a!24:39h?6`;30823>=h;h31<7*;3380g6=i<:;1=554o2c;>5<#<:81?n=4n512>4?<3f9j;7>5$511>6e43g>8=7?n;:m0e3<72->8>7=l3:l774<6j21d?l:50;&777<4k:1e8>?51b98k6g4290/8><53b18j15628n07b=n2;29 1552:i87c:<1;3f?>i4i80;6):<2;1`7>h3;80:j65`3`294?"3;;08o>5a423965=m<;o605?4532e85i4?:%606?5d;2d??<4=3:9l77m:18'064=;j90b9=>:378?j5di3:1(9==:2a0?k24938=76a!24:39h?6`;30813>=h;j21<7*;3380g6=i<:;1>554o2a4>5<#<:81?n=4n512>7?<3f9h:7>5$511>6e43g>8=78>7=l3:l774<5j21d?ol50;&777<4k:1e8>?52b98k6d7290/8><53b18j1562;n07b=n5;29 1552:i87c:<1;0f?>i41h0;6):<2;1`7>h3;809j65fbb83>!24:3hi7c:<1;28?ldf290/8><5bc9m067=921bn44?:%606?de3g>8=7<4;h`;>5<#<:81no5a42397>=nj>0;6):<2;`a?k2493>07dl9:18'064=jk1e8>?55:9jf0<72->8>7lm;o605?0<3`h?6=4+4209fg=i<:;1;65fb283>!24:3hi7c:<1;:8?ld5290/8><5bc9m067=121bn=4?:%606?de3g>8=7o4;hce>5<#<:81no5a4239f>=nil0;6):<2;`a?k2493i07dok:18'064=jk1e8>?5d:9jef<72->8>7lm;o605?c<3`ki6=4+4209fg=i<:;1j65fa`83>!24:3hi7c:<1;33?>of13:1(9==:c`8j15628;07do7:18'064=jk1e8>?51398md1=83.???4mb:l774<6;21bo94?:%606?de3g>8=7?;;:k`7?6=,=996ol4n512>43<3`i96=4+4209fg=i<:;1=;54ib394?"3;;0in6`;30823>=nk90;6):<2;`a?k2493;376gmf;29 1552kh0b9=>:0;8?ldb290/8><5bc9m067=9h10eoj50;&777b:9jf4<72->8>7lm;o605?7d32cj:7>5$511>gd947>5$511>14>3g>8=7?4;n613?6=,=9969<6;o605?4<3f>9:7>5$511>14>3g>8=7=4;n611?6=,=9969<6;o605?2<3f>987>5$511>14>3g>8=7;4;n617?6=,=9969<6;o605?0<3f>9>7>5$511>14>3g>8=794;n614?6=,=9969<6;o605?><3f>:j7>5$511>14>3g>8=774;n62a?6=,=9969<6;o605?g<3f>:h7>5$511>14>3g>8=7l4;n62g?6=,=9969<6;o605?e<3f>:n7>5$511>14>3g>8=7j4;n62e?6=,=9969<6;o605?c<3f>:57>5$511>14>3g>8=7h4;n621:9l043=83.???4;289m067=9;10c9?;:18'064=<;30b9=>:018?j26;3:1(9==:50:?k2493;?76a;1383>!24:3>956`;30821>=h<8;1<7*;33876<=i<:;1=;54o533>5<#<:818?74n512>41<3f>;j7>5$511>14>3g>8=7?7;:m74`<72->8>7:=9:l774<6121d8=j50;&777<3:01e8>?51`98k16d290/8><543;8j15628h07b:?a;29 1552=827c:<1;3`?>i3800;6):<2;61=>h3;80:h65`41:94?"3;;0?>45a42395`=<:18'064=<;30b9=>:318?j27:3:1(9==:50:?k24938?76a;0083>!24:3>956`;30811>=h<::1<7*;33876<=i<:;1>;54o50e>5<#<:818?74n512>71<3f>9i7>5$511>14>3g>8=7<7;:m76a<72->8>7:=9:l774<5121d8?m50;&777<3:01e8>?52`98k14e290/8><543;8j1562;h07b:=1;29 1552=827c:<1;0`?>i39?0;6):<2;61=>h3;809h65`41`94?"3;;0?>45a42396`=5<#<:81ho5a42394>=nlh0;6):<2;fa?k2493;07dj6:18'064=lk1e8>?52:9j`=<72->8>7jm;o605?5<3`n<6=4+4209`g=i<:;1865fd783>!24:3ni7c:<1;78?lb2290/8><5dc9m067=>21bh94?:%606?be3g>8=794;hf0>5<#<:81ho5a4239<>=nl;0;6):<2;fa?k2493307dj?:18'064=lk1e8>?5a:9jgc<72->8>7jm;o605?d<3`in6=4+4209`g=i<:;1o65fce83>!24:3ni7c:<1;f8?led290/8><5dc9m067=m21boo4?:%606?be3g>8=7h4;hab>5<#<:81ho5a423955=h3;80:=65fc983>!24:3ni7c:<1;31?>od?3:1(9==:e`8j15628907dk;:18'064=lk1e8>?51598m`5=83.???4kb:l774<6=21bi?4?:%606?be3g>8=7?9;:kf5?6=,=996il4n512>41<3`o;6=4+4209`g=i<:;1=554ied94?"3;;0on6`;3082=>=nll0;6):<2;fa?k2493;j76gkd;29 1552mh0b9=>:0`8?lb6290/8><5dc9m067=9j10en850;&777d:9j5<1=83.???4>979m067=821b=4;50;&777<61?1e8>?51:9j5<2=83.???4>979m067=:21vn99k:186>5<7s->?h779:075f>o6il0;66g=9b83>>o3<:0;66a<8`83>>i5k10;66sm46g94?3=83:p(9:k:3f5?M22k2B?9=5+3849500e3`;ji7>5;h0:g?6=3`>??7>5;n1;e?6=3f8h47>5;|`73c<72=0;6=u+45f96a3<@=?h7E::0:&0=3<6=?h0ef2900qo:70;291?6=8r.?8i4=b39K00e<@=?;7)=66;362g=#:ko1>85f14g94?=n90o1<75f1c694?=n<=91<75`42794?=zj=2:6=4::183!23l38i=6F;5b9K006<,:3=6<;9b:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`7<7<72<0;6=u+45f96g7<@=?h7E::0:&0=3<6=?h0(?lj:39j50c=831b=4k50;9j5g2=831b8>950;9l063=831vn96<:187>5<7s->?h7;50;9~f1>3290?6=4?{%67`?4fn2B?9n5G4428 7db2;1b=8k50;9j55<7s->?h779:075f>"5jl097d?:e;29?l7>m3:17d?m4;29?l24?3:17b:<5;29?xd30?0;684?:1y'01b=:k;0D9;l;I664>"41?0:9;l4$3`f>7=n91<75f42594?=h<:?1<75rb5:4>5<2290;w):;d;0a5>N3=j1C88>4$2;5>431j2.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th?454?:483>5}#<=n1>o?4H57`?M2282.85;4>57`8 7db2;1b=8k50;9j5;50;9~f1>>290>6=4?{%67`?4e92B?9n5G4428 6?128?=n6*=bd81?l72m3:17d?6e;29?l7e<3:17d:<7;29?j24=3:17pl;8`83>0<729q/89j52c38L13d3A>><6*<978213d<,;hn6?5f14g94?=n90o1<75f1c694?=n<:=1<75`42794?=zj=2i6=4::183!23l38i=6F;5b9K006<,:3=6<;9b:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`7950;9l063=831vn96k:186>5<7s->?h779:075f>"5jl0996g>5d83>>o61l0;66g>b583>>o3<:0;66a;3483>>{e<1o1<7;50;2x 12c2;h:7E::c:J715=n91<75f42594?=h<:?1<75rb5:e>5<2290;w):;d;0a6>N3=j1C88>4i07f>5<5<86=44o516>5<2<7>55;294~"35<5<5<2=7>55;294~"3i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`7=7<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`7=6<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`7=1<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`7=0<72=0;6=u+45f96g6<@=?h7E::0:&1f`<5=2c:9h4?::k2f1<722c??:4?::m770<722wi84850;794?6|,=>o6?l>;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722c??:4?::m770<722wi84950;;;>34=10qC88>4$56g>432l2P:9n4:{4f920<2m3<<6;65}h33f?6=,=996<>n;o605?6<3`;;57>5$511>46f3g>8=7?4;h01a?6=,=996?5$511>74c3g>8=7?4;h01f?6=,=996?5$511>74c3g>8=7=4;h01=?6=,=996?5$511>74c3g>8=7;4;h013?6=,=996?5$511>74c3g>8=794;h010?6=,=996?<3`89?7>5$511>74c3g>8=774;h016?6=,=996?5$511>74c3g>8=7l4;h014?6=,=996?5$511>74c3g>8=7j4;h02a?6=,=996?5$511>74c3g>8=7h4;h02g?6=,=996?1:9j64?=83.???4=2e9m067=9;10e??7:18'064=:;n0b9=>:018?l46?3:1(9==:30g?k2493;?76g=1783>!24:389h6`;30821>=n:8?1<7*;33816a=i<:;1=;54i337>5<#<:81>?j4n512>41<3`8:?7>5$511>74c3g>8=7?7;:k157<72->8>7<=d:l774<6121b>?51`98m777290/8><523f8j15628h07do58m0;6):<2;01`>h3;80:h65f21a94?"3;;09>i5a42395`=9:18'064=:;n0b9=>:318?l47=3:1(9==:30g?k24938?76g=0583>!24:389h6`;30811>=n::>1<7*;33816a=i<:;1>;54i310>5<#<:81>?j4n512>71<3`88>7>5$511>74c3g>8=7<7;:k174<72->8>7<=d:l774<5121b>>>50;&777<5:m1e8>?52`98m74a290/8><523f8j1562;h07d<=5;29 1552;8o7c:<1;0`?>o59h0;6):<2;01`>h3;809h65f21d94?"3;;09>i5a42396`=;o605?6<3`;:<7>5$511>4763g>8=7?4;h66=?6=3`;2i7>5;h670?6=3`;jj7>5;n054?6=,=996?;i;o605?6<3f8>i7>5$511>73a3g>8=7?4;n06`?6=,=996?;i;o605?4<3f8>o7>5$511>73a3g>8=7=4;n06f?6=,=996?;i;o605?2<3f8>m7>5$511>73a3g>8=7;4;n06=?6=,=996?;i;o605?0<3f8>47>5$511>73a3g>8=794;n062?6=,=996?;i;o605?><3f8>97>5$511>73a3g>8=774;n060?6=,=996?;i;o605?g<3f8>?7>5$511>73a3g>8=7l4;n066?6=,=996?;i;o605?e<3f8>=7>5$511>73a3g>8=7j4;n064?6=,=996?;i;o605?c<3f8?j7>5$511>73a3g>8=7h4;n07a?6=,=996?;i;o605?7732e98i4?:%606?42n2d??<4>1:9l61d=83.???4=5g9m067=9;10c?:n:18'064=::018?j4313:1(9==:37e?k2493;?76a=4983>!24:38>j6`;30821>=h:==1<7*;33811c=i<:;1=;54o365>5<#<:81>8h4n512>41<3f8?97>5$511>73a3g>8=7?7;:m101<72->8>7<:f:l774<6121d>9=50;&777<5=o1e8>?51`98k725290/8><524d8j15628h07b<;0;29 1552;?m7c:<1;3`?>i5;o0;6):<2;06b>h3;80:h65`22g94?"3;;099k5a42395`=:318?j44?3:1(9==:37e?k24938?76a=3783>!24:38>j6`;30811>=h:?<1<7*;33811c=i<:;1>;54o346>5<#<:81>8h4n512>71<3f8=87>5$511>73a3g>8=7<7;:m126<72->8>7<:f:l774<5121d>;<50;&777<5=o1e8>?52`98k706290/8><524d8j1562;h07b<:7;29 1552;?m7c:<1;0`?>i5h3;809h65`25394?"3;;099k5a42396`=6=4+420960`;o605?6<3f82<7>5$511>7?63g>8=7?4;n0;b?6=,=996?7>;o605?4<3f83i7>5$511>7?63g>8=7=4;n0;`?6=,=996?7>;o605?2<3f83o7>5$511>7?63g>8=7;4;n0;f?6=,=996?7>;o605?0<3f83m7>5$511>7?63g>8=794;n0;;o605?><3f83;7>5$511>7?63g>8=774;n0;2?6=,=996?7>;o605?g<3f8397>5$511>7?63g>8=7l4;n0;0?6=,=996?7>;o605?e<3f83?7>5$511>7?63g>8=7j4;n0;6?6=,=996?7>;o605?c<3f83=7>5$511>7?63g>8=7h4;n0;4?6=,=996?7>;o605?7732e9;k4?:%606?4>92d??<4>1:9l62b=83.???4=909m067=9;10c?9l:18'064=:0;0b9=>:018?j40j3:1(9==:3;2?k2493;?76a=7`83>!24:382=6`;30821>=h:>31<7*;3381=4=i<:;1=;54o35;>5<#<:81>4?4n512>41<3f8<;7>5$511>7?63g>8=7?7;:m133<72->8>7<61:l774<6121d>:;50;&777<5181e8>?51`98k713290/8><52838j15628h07b<82;29 1552;3:7c:<1;3`?>i5?80;6):<2;0:5>h3;80:h65`26294?"3;;095<5a42395`=;o605?4732e9:n4?:%606?4>92d??<4=1:9l63d=83.???4=909m067=:;10c?8n:18'064=:0;0b9=>:318?j4113:1(9==:3;2?k24938?76a=6983>!24:382=6`;30811>=h:021<7*;3381=4=i<:;1>;54o3;4>5<#<:81>4?4n512>71<3f82:7>5$511>7?63g>8=7<7;:m1=0<72->8>7<61:l774<5121d>4:50;&777<5181e8>?52`98k7?4290/8><52838j1562;h07b<79;29 1552;3:7c:<1;0`?>i5?l0;6):<2;0:5>h3;809h65`26194?"3;;095<5a42396`=5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7=d<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?5o4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7=f<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?5i4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7=`<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?5k4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7e5<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?m<4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7e7<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?m>4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7e1<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?m84?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7e3<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?m:4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7e=<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?m44?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7ed<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?mo4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7ef<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?mi4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7e`<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?mk4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7f5<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?n<4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7f7<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?n>4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7f1<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?n84?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7f3<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?n:4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7f=<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?n44?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7fd<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?no4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7ff<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?ni4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7f`<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?nk4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7g5<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?o<4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7g7<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?o>4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7g1<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?o84?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7g3<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?o:4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`7g=<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th?o44?:g83>5}#<=n18>64H57`?M2282.9nh4;;hc2>5<>of<3:17do::188m4d32900e?7i:188m7g72900e?o>:188m7g52900e?o<:188m1302900e9;7:188k12f2900c?7j:188yg2di3:1j7>50z&70a<3;11C88m4H573?!4em3>0el?50;9je7<722cj?7>5;hc7>5<5N3=j1C88>4$3`f>1=ni80;66gn2;29?lg42900el:50;9je0<722c:n94?::k1=c<722c9m=4?::k1e4<722c9m?4?::k1e6<722c?9:4?::k71=<722e?8l4?::m1=`<722wi8nm50;d94?6|,=>o69=7;I66g>N3=91/>ok54:kb5?6=3`k96=44i`194?=ni=0;66gn5;29?l7e<3:17d<6f;29?l4f83:17d"5jl0?7do>:188md4=831bm>4?::kb0?6=3`k>6=44i0`7>5<5<5<5<5<>of;3:17do;:188md3=831b=o:50;9j6<`=831b>l>50;9j6d7=831b>l<50;9j6d5=831b88950;9j00>=831d89o50;9l65<7s->?h7:<8:J71f=O<<:0(?lj:59je4<722cj>7>5;hc0>5<1<75fa483>>o6j=0;66g=9g83>>o5i90;66g=a083>>o5i;0;66g=a283>>o3=>0;66g;5983>>i3>{e5;h3a0?6=3`82j7>5;h0b4?6=3`8j=7>5;h0b6?6=3`8j?7>5;h663?6=3`>>47>5;n67e?6=3f82i7>5;|`7`4<72o0;6=u+45f906><@=?h7E::0:&1f`<33`k:6=44i`094?=ni:0;66gn4;29?lg22900e1<75f28d94?=n:h:1<75f2`394?=n:h81<75f2`194?=n<<=1<75f44:94?=h<=k1<75`28g94?=zj=n86=4i:183!23l3>846F;5b9K006<,;hn695fa083>>of:3:17do<:188md2=831bm84?::k2f1<722c95k4?::k1e5<722c9m<4?::k1e7<722c9m>4?::k712<722c?954?::m70d<722e95h4?::a0a2=83l1<7>t$56g>15?3A>>o6F;519'6gc=<2cj=7>5;hc1>5<>of=3:17d?m4;29?l4>n3:17dm3:17pl;d483>c<729q/89j542:8L13d3A>><6*=bd87?lg62900el<50;9je6<722cj87>5;hc6>5<5<5<5<5<j6=44o3;f>5<o:7>5f;294~"34h50;9j6d6=831b>l?50;9j6d4=831b>l=50;9j001=831b88650;9l01g=831d>4k50;9~f1b0290m6=4?{%67`?2402B?9n5G4428 7db2=1bm<4?::kb6?6=3`k86=44i`694?=ni<0;66g>b583>>o51o0;66g=a183>>o5i80;66g=a383>>o5i:0;66g;5683>>o3=10;66a;4`83>>i51l0;66sm4e:94?`=83:p(9:k:51;?M22k2B?9=5+2cg90>of93:17do=:188md5=831bm94?::kb1?6=3`;i87>5;h0:b?6=3`8j<7>5;h0b5?6=3`8j>7>5;h0b7?6=3`>>;7>5;h66?m7>5;n0:a?6=3th?h44?:g83>5}#<=n18>64H57`?M2282.9nh4;;hc2>5<>of<3:17do::188m4d32900e?7i:188m7g72900e?o>:188m7g52900e?o<:188m1302900e9;7:188k12f2900c?7j:188yg2ci3:1j7>50z&70a<3;11C88m4H573?!4em3>0el?50;9je7<722cj?7>5;hc7>5<5N3=j1C88>4$3`f>1=ni80;66gn2;29?lg42900el:50;9je0<722c:n94?::k1=c<722c9m=4?::k1e4<722c9m?4?::k1e6<722c?9:4?::k71=<722e?8l4?::m1=`<722wi8im50;d94?6|,=>o69=7;I66g>N3=91/>ok54:kb5?6=3`k96=44i`194?=ni=0;66gn5;29?l7e<3:17d<6f;29?l4f83:17d"5jl0?7do>:188md4=831bm>4?::kb0?6=3`k>6=44i0`7>5<5<5<5<5<>of;3:17do;:188md3=831b=o:50;9j6<`=831b>l>50;9j6d7=831b>l<50;9j6d5=831b88950;9j00>=831d89o50;9l65<7s->?h7:<8:J71f=O<<:0(?lj:59je4<722cj>7>5;hc0>5<1<75fa483>>o6j=0;66g=9g83>>o5i90;66g=a083>>o5i;0;66g=a283>>o3=>0;66g;5983>>i3>{e5;h3a0?6=3`82j7>5;h0b4?6=3`8j=7>5;h0b6?6=3`8j?7>5;h663?6=3`>>47>5;n67e?6=3f82i7>5;|`7a4<72o0;6=u+45f906><@=?h7E::0:&1f`<33`k:6=44i`094?=ni:0;66gn4;29?lg22900e1<75f28d94?=n:h:1<75f2`394?=n:h81<75f2`194?=n<<=1<75f44:94?=h<=k1<75`28g94?=zj=o86=4i:183!23l3>846F;5b9K006<,;hn695fa083>>of:3:17do<:188md2=831bm84?::k2f1<722c95k4?::k1e5<722c9m<4?::k1e7<722c9m>4?::k712<722c?954?::m70d<722e95h4?::a0`2=83l1<7>t$56g>15?3A>>o6F;519'6gc=<2cj=7>5;hc1>5<>of=3:17d?m4;29?l4>n3:17dm3:17pl;e483>c<729q/89j542:8L13d3A>><6*=bd87?lg62900el<50;9je6<722cj87>5;hc6>5<5<5<5<5<j6=44o3;f>5<n:7>5f;294~"34h50;9j6d6=831b>l?50;9j6d4=831b>l=50;9j001=831b88650;9l01g=831d>4k50;9~f1c0290m6=4?{%67`?2402B?9n5G4428 7db2=1bm<4?::kb6?6=3`k86=44i`694?=ni<0;66g>b583>>o51o0;66g=a183>>o5i80;66g=a383>>o5i:0;66g;5683>>o3=10;66a;4`83>>i51l0;66sm4d:94?`=83:p(9:k:51;?M22k2B?9=5+2cg90>of93:17do=:188md5=831bm94?::kb1?6=3`;i87>5;h0:b?6=3`8j<7>5;h0b5?6=3`8j>7>5;h0b7?6=3`>>;7>5;h66?m7>5;n0:a?6=3th?i44?:g83>5}#<=n18>64H57`?M2282.9nh4;;hc2>5<>of<3:17do::188m4d32900e?7i:188m7g72900e?o>:188m7g52900e?o<:188m1302900e9;7:188k12f2900c?7j:188yg2bi3:1j7>50z&70a<3;11C88m4H573?!4em3>0el?50;9je7<722cj?7>5;hc7>5<5N3=j1C88>4$3`f>1=ni80;66gn2;29?lg42900el:50;9je0<722c:n94?::k1=c<722c9m=4?::k1e4<722c9m?4?::k1e6<722c?9:4?::k71=<722e?8l4?::m1=`<722wi8hm50;d94?6|,=>o69=7;I66g>N3=91/>ok54:kb5?6=3`k96=44i`194?=ni=0;66gn5;29?l7e<3:17d<6f;29?l4f83:17d"5jl0?7do>:188md4=831bm>4?::kb0?6=3`k>6=44i0`7>5<5<5<5<5<>of;3:17do;:188md3=831b=o:50;9j6<`=831b>l>50;9j6d7=831b>l<50;9j6d5=831b88950;9j00>=831d89o50;9l65<7s->?h7:<8:J71f=O<<:0(?lj:59je4<722cj>7>5;hc0>5<1<75fa483>>o6j=0;66g=9g83>>o5i90;66g=a083>>o5i;0;66g=a283>>o3=>0;66g;5983>>i3>{e5;h3a0?6=3`82j7>5;h0b4?6=3`8j=7>5;h0b6?6=3`8j?7>5;h663?6=3`>>47>5;n67e?6=3f82i7>5;|`7b4<72o0;6=u+45f906><@=?h7E::0:&1f`<33`k:6=44i`094?=ni:0;66gn4;29?lg22900e1<75f28d94?=n:h:1<75f2`394?=n:h81<75f2`194?=n<<=1<75f44:94?=h<=k1<75`28g94?=zj=l86=4i:183!23l3>846F;5b9K006<,;hn695fa083>>of:3:17do<:188md2=831bm84?::k2f1<722c95k4?::k1e5<722c9m<4?::k1e7<722c9m>4?::k712<722c?954?::m70d<722e95h4?::a0c2=83l1<7>t$56g>15?3A>>o6F;519'6gc=<2cj=7>5;hc1>5<>of=3:17d?m4;29?l4>n3:17dm3:17pl;f483>c<729q/89j542:8L13d3A>><6*=bd87?lg62900el<50;9je6<722cj87>5;hc6>5<5<5<5<5<j6=44o3;f>5<m:7>5f;294~"34h50;9j6d6=831b>l?50;9j6d4=831b>l=50;9j001=831b88650;9l01g=831d>4k50;9~f1`0290m6=4?{%67`?2402B?9n5G4428 7db2=1bm<4?::kb6?6=3`k86=44i`694?=ni<0;66g>b583>>o51o0;66g=a183>>o5i80;66g=a383>>o5i:0;66g;5683>>o3=10;66a;4`83>>i51l0;66sm4g:94?`=83:p(9:k:51;?M22k2B?9=5+2cg90>of93:17do=:188md5=831bm94?::kb1?6=3`;i87>5;h0:b?6=3`8j<7>5;h0b5?6=3`8j>7>5;h0b7?6=3`>>;7>5;h66?m7>5;n0:a?6=3th?j44?:283>5}#<=n1>nm4H57`?M2282.9nh46c:k1f<<722c9nl4?::m00fg=i;168nl5a39>0fe=i;168nj5a39>0fc=i;168nh5a39>0a6=i;168i?5a39>0a4=i;168i=5a39>0a2=i;168i;5a39>0a0=i;168i95a39>0a>=i;168i75a39>0ag=i;168il5a39>0ae=i;168ij5a39>0ac=i;168ih5a39>0`6=i;168h?5a39>0`4=i;168h=5a39>0`2=i;168h;5a39>0`0=i;168h95a39>0`>=i;168h75a39>0`g=i;168hl5a39>0`e=i;168hj5a39>0`c=i;168hh5a39>0c6=i;168k?5a39>0c4=i;168k=5a39>0c2=i;168k;5a39>0c0=i;168k95a39>0c>=i;1v:`3891b52h;019j<:`3891b32h;019j::`3891b12h;019j8:`3891b?2h;019j6:`3891bf2h;019jm:`3891bd2h;019jk:`3891bb2h;019ji:`3891c72h;019k>:`3891c52h;019k<:`3891c32h;019k::`3891c12h;019k8:`3891c?2h;019k6:`3891cf2h;019km:`3891cd2h;019kk:`3891cb2h;019ki:`3891`72h;019h>:`3891`52h;019h<:`3891`32h;019h::`3891`12h;019h8:`3891`?2h;0q~?md483>7}Y:;o019h9:0`7?xu6jm<1<75<5sW89n63;f682f1=z{8ho47>52z\16d=:?74=5d6>4d33ty:nio50;0xZ74?34>m87?m4:p5gbe2909wS<=7:?7b5<6j=1vbeg94?4|V;8870:jd;3a0>{t9knm6=4={_016>;3mo0:n95rs0`f4?6=:rT9><524dg95g2b59~w4db<3:1>vP=1e9>0`1=9k>0q~?me483>7}Y:8i019k6:0`7?xu6jl<1<75<5sW8:563;e582f1=z{8hn47>52z\15==:<94=5g6>4d33ty:nho50;0xZ77134>n=7?m4:p5gce2909wS<>5:?7a6<6j=1vbdg94?4|V;;970:j0;3a0>{t9kom6=4={_025>;3lo0:n95rs0`e4?6=:rT9==524e`95g2k;<6gg?7e<2wx=oh<:181[47k27?h54>b59~w4da<3:1>vP=0`9>0ag=9k>0q~?mf483>7}Y:93019j6:0`7?xu6jo<1<75<5sW8;;63;d682f1=z{8hm47>52z\143=:=;4=5f1>4d33ty:nko50;0xZ76334>o87?m4:p5g`e2909wS<<4:?7`6<6j=1vbgg94?4|V;9:70:k0;3a0>{t9klm6=4={_004>;3kj0:n95rs0a34?6=:rT9>k524bg95g2<:181[47n27?oo4>b59~w4e7<3:1>vP=029>0fg=9k>0q~?l0483>67|V8;970:l9;0b4>;3kh09m=524b`96d6<5=ih6?o?;<6``?4f827?oh4=a19>0f`=:h:019j?:3c3?82c938j<63;d381e5=:l>4=5f7>7g734>o97;3lh09m=524e`96d6<5=nh6?o?;<6g`?4f827?hh4=a19>0a`=:h:019k?:3c3?82b938j<63;e381e5=:l>4=5g7>7g734>n97;3mh09m=524d`96d6<5=oh6?o?;<6f`?4f827?ih4=a19>0``=:h:019h?:3c3?82a938j<63;f381e5=:l>4=5d7>7g734>m97c1494?56sW;:<63;c881=c=:4h4=5aa>7?a34>ho7<6f:?7ga<51o168nk528d891ea2;3m70:k0;0:b>;3l8095k524e096<`<5=n86?7i;<6g0?4>n27?h84=9g9>0a0=:0l019j8:3;e?82c0382j63;d881=c=:4h4=5fa>7?a34>oo7<6f:?7`a<51o168ik528d891ba2;3m70:j0;0:b>;3m8095k524d096<`<5=o86?7i;<6f0?4>n27?i84=9g9>0`0=:0l019k8:3;e?82b0382j63;e881=c=:4h4=5ga>7?a34>no7<6f:?7aa<51o168hk528d891ca2;3m70:i0;0:b>;3n8095k524g096<`<5=l86?7i;<6e0?4>n27?j84=9g9>0c0=:0l019h8:3;e?82a0382j6s|1b24>5<5sW>>563;f881f<=z{8i;47>533y]5m27?5o4>9d9>0m3;2i63;9g82=`=:4?b34>j>7?6e:?7e6<61l168l:518g891g2283n70:n6;3:a>;3i>0:5h524`:95m27?mo4>9d9>0de=90o019ok:0;f?82fm3;2i63;ag82=`=:4?b34>i>7?6e:?7f6<61l168o:518g891d2283n70:m6;3:a>;3j>0:5h524c:95m27?no4>9d9>0ge=90o019lk:0;f?82em3;2i63;bg82=`=:4?b34>h>7?6e:?7g6<61l168n:518g891e2283n70:l6;3:a>;3k>0:5h524b:95;31m0:9h5248g950c<5=3m6<;j;<6b4?72m27?m<4>5d9>0d4=9i63;a4821`=:43b34>j47?:e:?7e<<6=l168lo514g891ge28?n70:nc;36a>;3im0:9h524`g950c<5=km6<;j;<6a4?72m27?n<4>5d9>0g4=9i63;b4821`=:43b34>i47?:e:?7f<<6=l168oo514g891de28?n70:mc;36a>;3jm0:9h524cg950c<5=hm6<;j;<6`4?72m27?o<4>5d9>0f4=9i63;c4821`=:43b34>h47?:e:?7g<<3=>168no5445891ee2=?<70:lc;663>;3km0?9:524bg9001<5=im69;8;<6g4?22?27?h<4;569>0a4=<<=019j<:574?82c<3>>;63;d48712=:13034>o47::7:?7`<<3=>168io5445891be2=?<70:kc;663>;3lm0?9:524eg9001<5=nm69;8;<6f4?22?27?i<4;569>0`4=<<=019k<:574?82b<3>>;63;e48712=:13034>n47::7:?7a<<3=>168ho5445891ce2=?<70:jc;663>;3mm0?9:524dg9001<5=om69;8;<6e4?22?27?j<4;569>0c4=<<=019h<:574?82a<3>>;63;f48712=:13034>m47::7:p5f6f2909wS<62:?7g=<3;<1v7p}>c1f94?4|V;2n70:l5;601>{t9j:n6=4={_0;`>;3k=0??85rs0a3b?6=:rT94n524b19063vP=869>0g`=<:?0q~?l1583>7}Y:1<019lj:516?xu6k8?1<7896s|1b35>5<5sW83863;bb8770=z{8i:;7>52z\1<6=:;4}r3`5=<72;qU>5<4=5`b>1523ty:o<750;0xZ7>634>i57:<5:p5f7f2909wS<70:?7f=<3;<1vb;296~X5?o168o954278yv7d9j0;6?uQ26f891d12=9>7p}>c0f94?4|V;=h70:m5;601>{t9j;n6=4={_04f>;3j=0??85rs0a2b?6=:rT9;l524c19063=4?:3y]62?<5=h969=:;|q2g77=838pR?97;<6a5?24=2wx=n<=:181[40?27?n=4;349~w4e5;3:1>vP=779>0d`=<:?0q~?l2583>7}Y:>?019oj:516?xu6k;?1<7896s|1b05>5<5sW8<>63;ab8770=z{8i9;7>52z\134=:;4}r3`6=<72;qU>:>4=5cb>1523ty:o?750;0xZ70b34>j57:<5:p5f4f2909wS<9d:?7e=<3;<1vj168l954278yv7d:j0;6?uQ27`891g12=9>7p}>c3f94?4|V;{t9j8n6=4={_05=>;3i=0??85rs0a1b?6=:rT9:5524`19063<5=k969=:;|q2g67=838pR?78;<6b5?24=2wx=n==:181[4>>27?m=4;349~w4e4;3:1>vP=949>0<`=<:?0q~?l3583>7}Y:0>0197j:516?xu6k:?1<7l3>896s|1b15>5<5sW83563;9b8770=z{8i8;7>52z\13`=:<0h18>;4}r3`7=<72;qU>:=4=5;b>1523ty:o>750;0xZ70034>257:<5:p5f5f290:>d2<5=i26l;4=5a:>7g634>h570fg=i=168no5a49>0fg=:h;019mn:3c1?82di38j?63;cc8b7>;3kk0j863;cc8b1>;3kk09m<524b`96d4<5=ii6?o<;<6`g?g434>ho7o;;<6`g?g234>ho763;ce81e6=:524bg9e1=:l=4=5f2>d5<5=n:6l:4=5f2>d3<5=n:6?o>;<6g5?4f:27?h<4=a29>0a4=i:168i<5a59>0a4=i<168i<52`3891b52;k970:k2;0b7>;3l:0j?63;d28b0>;3l:0j963;d281e4=:l<4=5f0>7g434>o87o<;<6g0?g334>o87o:;<6g0?4f927?h94=a39>0a2=:h9019j::`1891b22h>019j::`7891b22;k:70:k5;0b6>;3l<09m>524e49e6=:l?4=5f5>7g534>o:70a1=:h8019j8:3c0?82c03k870:k8;c7?82c03k>70:k8;0b5>;3l109m?524e:96d5<5=n26l=4=5f:>d2<5=n26l;4=5f:>7g634>o570ag=i=168io5a49>0ag=:h;019jn:3c1?82ci38j?63;dc8b7>;3lk0j863;dc8b1>;3lk09m<524e`96d4<5=ni6?o<;<6gg?g434>oo7o;;<6gg?g234>oo763;de81e6=:524eg9e1=:l=4=5g2>d5<5=o:6l:4=5g2>d3<5=o:6?o>;<6f5?4f:27?i<4=a29>0`4=i:168h<5a59>0`4=i<168h<52`3891c52;k970:j2;0b7>;3m:0j?63;e28b0>;3m:0j963;e281e4=:l<4=5g0>7g434>n87o<;<6f0?g334>n87o:;<6f0?4f927?i94=a39>0`2=:h9019k::`1891c22h>019k::`7891c22;k:70:j5;0b6>;3m<09m>524d49e6=:l?4=5g5>7g534>n:70`1=:h8019k8:3c0?82b03k870:j8;c7?82b03k>70:j8;0b5>;3m109m?524d:96d5<5=o26l=4=5g:>d2<5=o26l;4=5g:>7g634>n570`g=i=168ho5a49>0`g=:h;019kn:3c1?82bi38j?63;ec8b7>;3mk0j863;ec8b1>;3mk09m<524d`96d4<5=oi6?o<;<6fg?g434>no7o;;<6fg?g234>no763;ee81e6=:524dg9e1=:l=4=5d2>d5<5=l:6l:4=5d2>d3<5=l:6?o>;<6e5?4f:27?j<4=a29>0c4=i:168k<5a59>0c4=i<168k<52`3891`52;k970:i2;0b7>;3n:0j?63;f28b0>;3n:0j963;f281e4=:l<4=5d0>7g434>m87o<;<6e0?g334>m87o:;<6e0?4f927?j94=a39>0c2=:h9019h::`1891`22h>019h::`7891`22;k:70:i5;0b6>;3n<09m>524g49e6=:l?4=5d5>7g534>m:70c1=:h8019h8:3c0?82a03k870:i8;c7?82a03k>70:i8;0b5>;3n109m?524g:96d50019mn:3;f?xu6k:i1<74d334>hn7<6e:p5f5c2909w0:6b;3a0>;3k0095h5rs0a0a?6=:r7?5n4>b59>0fb=:0o0q~?l3g83>7}:<0n1=o:4=5af>7?b3ty:o9>50;0x91?b28h?70:lc;0:a>{t9j>:6=4={<6:b?7e<27?h=4=9d9~w4e3:3:1>v3;a182f1=:4k4}r3`06<72;q68l?51c6891ea2;3n7p}>c5694?4|5=k96m2wx=n:::18182f;3;i863;d581=`=z{8i?:7>52z?7e1<6j=168i<528g8yv7d<>0;6?u24`795g2<5=n=6?7j;|q2g1>=838p19o9:0`7?82c?382i6s|1b6:>5<5s4>j;7?m4:?7`0<51l1v0d?=9k>019jn:3;f?xu6k=i1<74d334>o47<6e:p5f2c2909w0:nb;3a0>;3lj095h5rs0a7a?6=:r7?mn4>b59>0ab=:0o0q~?l4g83>7}:7?b3ty:o8>50;0x91gb28h?70:kf;0:a>{t9j?:6=4={<6bb?7e<27?i=4=9d9~w4e2:3:1>v3;b182f1=:4k4}r3`16<72;q68o?51c6891c52;3n7p}>c4694?4|5=h96m2wx=n;::18182e;3;i863;e081=`=z{8i>:7>52z?7f1<6j=168h;528g8yv7d=>0;6?u24c795g2<5=o=6?7j;|q2g0>=838p19l9:0`7?82b<382i6s|1b7:>5<5s4>i;7?m4:?7a=<51l1v0g?=9k>019k8:3;f?xu6k4d334>nn7<6e:p5f3c2909w0:mb;3a0>;3mj095h5rs0a6a?6=:r7?nn4>b59>0`g=:0o0q~?l5g83>7}:7?b3ty:o;>50;0x91db28h?70:jf;0:a>{t9j<:6=4={<6ab?7e<27?ii4=9d9~w4e1:3:1>v3;c182f1=:4k4}r3`26<72;q68n?51c6891`52;3n7p}>c7694?4|5=i96m2wx=n8::18182d;3;i863;f581=`=z{8i=:7>52z?7g1<6j=168k;528g8yv7d>>0;6?u24b795g2<5=l86?7j;|q2g3>=838p19m9:0`7?82a?382i6s|1b4:>5<5s4>h;7?m4:?7b=<51l1v2=?370:la;66<>;3kk0?95524ba900><5=io69;7;<6`a?22027?ok4;599>0a6=<<2019j>:57;?82c:3>>463;d2871==:18864=5f6>13?34>o:7::8:?7`2<3=1168i6544:891b>2=?370:ka;66<>;3lk0?95524ea900><5=no69;7;<6ga?22027?hk4;599>0`6=<<2019k>:57;?82b:3>>463;e2871==:18864=5g6>13?34>n:7::8:?7a2<3=1168h6544:891c>2=?370:ja;66<>;3mk0?95524da900><5=oo69;7;<6fa?22027?ik4;599>0c6=<<2019h>:57;?82a:3>>463;f2871==:18864=5d6>13?34>m:7::8:?7b2<3=1168k6544:891`>2:2j7psm4gc94?3=83:p(9:k:3`1?M22k2B?9=5+3849500e3-8ii7<:;h36a?6=3`;2i7>5;h3a0?6=3`>??7>5;n601?6=3th?jo4?:483>5}#<=n1>o?4H57`?M2282.85;4>57`8 7db2;1b=8k50;9j5;50;9~f1`d290>6=4?{%67`?4c>2B?9n5G4428 6?128?=n6g>ad83>>o51j0;66g;4283>>i40h0;66a=c983>>{e5;h677?6=3f93m7>5;n0`5}#<=n188l4H57`?M2282.85;4>57`8m4gb2900e?m8:188k6>f2900qo:if;291?6=8r.?8i4=d79K00e<@=?;7)=66;362g=n9ho1<75f28a94?=n<=91<75`39c94?=h:j21<75rb423>5<2290;w):;d;0g2>N3=j1C88>4$2;5>431j2c:mh4?::k1=f<722c?8>4?::m0t$56g>7b13A>>o6F;519'7<0=9<k3:17d:;3;29?j5?i3:17b"41?0:9;l4i0cf>5<5<5<55;294~"34m50;9j015=831d?5o50;9l6f>=831vn8>;:186>5<7s->?h779:075f>o6il0;66g=9b83>>o3<:0;66a<8`83>>i5k10;66sm51794?3=83:p(9:k:3`2?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm51494?3=83:p(9:k:3`2?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm51594?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<55;294~"3t$56g>7d63A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::k772<722e??84?::a15g=83?1<7>t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e=9i1<7;50;2x 12c2;h:7E::c:J715=#;0<1=88m;%0aa?45<5<6=44}c73`?6==3:1k1/>ok52:k21`<722c:5h4?::k2f1<722c??:4?::m770<722wi9=k50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a15`=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9<>50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a147=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9<<50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a145=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9<:50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a143=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9<850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a141=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9<650;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a14?=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a14d=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a14b=8391<7>t$56g>13e3A>>o6F;519'7<0=9<4?:1y'01b=<"41?0:9;l4i0cf>5<5<55;294~"34m50;9j015=831d?5o50;9l6f>=831vn85<7s->?h779:075f>o6il0;66g=9b83>>o3<:0;66a=c983>>{e=;;1<7=50;2x 12c2=?i7E::c:J715=#;0<1=88m;h3ba?6=3`8h;7>5;n1;e?6=3th>>?4?:583>5}#<=n1>i94H57`?M2282.85;4>57`8m4gb2900e?7l:188m1242900c?m7:188yg35;3:1?7>50z&70a<3=k1C88m4H573?!5>>3;>:o5f1`g94?=n:j=1<75`39c94?=zj<8?6=4<:183!23l3>>n6F;5b9K006<,:3=6<;9b:k2e`<722c9o:4?::m0o6?j9;I66g>N3=91/?485144a?l7fm3:17d<6c;29?l23;3:17b=7a;29?j4d03:17pl:2783>1<729q/89j52e58L13d3A>><6*<978213d5<86=44o3a;>5<53;294~"3n950;9l7=g=831vn8<7:187>5<7s->?h779:075f>o6il0;66g=9b83>>o3<:0;66a=c983>>{e=;31<7=50;2x 12c2=?i7E::c:J715=#;0<1=88m;h3ba?6=3`8h;7>5;n1;e?6=3th>>l4?:483>5}#<=n1>i84H57`?M2282.85;4>57`8m4gb2900e?7l:188m1242900c>6n:188k7e?2900qo;=b;290?6=8r.?8i4=d49K00e<@=?;7)=66;362g=n9ho1<75f28a94?=n<=91<75`39c94?=zj<8h6=4;:183!23l38o;6F;5b9K006<,:3=6<;9b:k2e`<722c95n4?::k706<722e9o54?::a17b=8391<7>t$56g>13e3A>>o6F;519'7<0=9<"41?0:9;l4i0cf>5<5<k1b=lk50;9j6n650;9~f05729086=4?{%67`?22j2B?9n5G4428 6?128?=n6g>ad83>>o5k>0;66a<8`83>>{e=:;1<7=50;2x 12c2=?i7E::c:J715=#;0<1=88m;h3ba?6=3`8h;7>5;n1;e?6=3th>??4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th>?>4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th>?94?:583>5}#<=n1>lh4H57`?M2282c:9h4?::k2=`<722c:n94?::m770<722wi9>;50;194?6|,=>o69;m;I66g>N3=91/?485144a?l7fm3:17d0<729q/89j52e48L13d3A>><6*<978213d5<86=44o2:b>5<k1b=lk50;9j6n650;9~f05?290>6=4?{%67`?4c>2B?9n5G4428 6?128?=n6g>ad83>>o51j0;66g;4283>>i40h0;66a=c983>>{e=:31<7;50;2x 12c2;n=7E::c:J715=#;0<1=88m;h3ba?6=3`82o7>5;h677?6=3f93m7>5;n0`?l4?:483>5}#<=n1>i84H57`?M2282.85;4>57`8m4gb2900e?7l:188m1242900c>6n:188k7e?2900qo;5<2290;w):;d;0g2>N3=j1C88>4$2;5>431j2c:mh4?::k1=f<722c?8>4?::m0t$56g>7d63A>>o6F;519'7<0=9<85f14g94?=n90o1<75f1c694?=n<=91<75`42794?=zj<9m6=4<:183!23l3>>n6F;5b9K006<,:3=6<;9b:k2e`<722c9o:4?::m050;794?6|,=>o6?j9;I66g>N3=91/?485144a?l7fm3:17d<6c;29?l23;3:17b=7a;29?j4d03:17pl:4083>0<729q/89j52e48L13d3A>><6*<978213d5<86=44o2:b>5<k1b=lk50;9j6n650;9~f024290>6=4?{%67`?4c>2B?9n5G4428 6?128?=n6g>ad83>>o51j0;66g;4283>>i40h0;66a=c983>>{e==>1<7;50;2x 12c2;n=7E::c:J715=#;0<1=88m;h3ba?6=3`82o7>5;h677?6=3f93m7>5;n0`884?:483>5}#<=n1>i84H57`?M2282.85;4>57`8m4gb2900e?7l:188m1242900c>6n:188k7e?2900qo;;6;291?6=8r.?8i4=b09K00e<@=?;7)=66;362g=#:ko1>6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e===1<7;50;2x 12c2;h97E::c:J715=#;0<1=88m;%0aa?423`;>i7>5;h3:a?6=3`;i87>5;h677?6=3f>897>5;|`60=<72:0;6=u+45f900d<@=?h7E::0:&0=3<6=?h0e6n:188yg3313:1?7>50z&70a<3=k1C88m4H573?!5>>3;>:o5f1`g94?=n:j=1<75`39c94?=zj<>j6=4::183!23l38o:6F;5b9K006<,:3=6<;9b:k2e`<722c95n4?::k706<722e84l4?::m1g=<722wi99l50;694?6|,=>o6?j8;I66g>N3=91/?485144a?l7fm3:17d<6c;29?l23;3:17b"41?0:9;l4$3`f>7=n91<75f42594?=h<:?1<75rb46g>5<2290;w):;d;0g2>N3=j1C88>4$2;5>431j2c:mh4?::k1=f<722c?8>4?::m0t$56g>7b13A>>o6F;519'7<0=9<k3:17d:;3;29?j5?i3:17b"41?0:9;l4i0cf>5<5<5<<7>55;294~"35<5<5<=7>53;294~"3n950;9l7=g=831vn8;=:180>5<7s->?h7::b:J71f=O<<:0(>79:075f>o6il0;66g=c683>>i40h0;66sm54194?2=83:p(9:k:3f6?M22k2B?9=5+3849500e3`;ji7>5;h0:g?6=3`>??7>5;n1;e?6=3th>994?:483>5}#<=n1>i84H57`?M2282.85;4>57`8m4gb2900e?7l:188m1242900c>6n:188k7e?2900qo;:5;290?6=8r.?8i4=d69K00e<@=?;7)=66;362g=n9ho1<75f28a94?=n<=91<75`2b:94?=zj>n6F;5b9K006<,:3=6<;9b:k2e`<722c9o:4?::m0o69;m;I66g>N3=91/?485144a?l7fm3:17d0<729q/89j52e48L13d3A>><6*<978213d5<86=44o2:b>5<k1b=lk50;9j6=831vn8;n:187>5<7s->?h75<4290;w):;d;66f>N3=j1C88>4$2;5>431j2c:mh4?::k1g2<722e84l4?::a10b=8391<7>t$56g>13e3A>>o6F;519'7<0=9<"41?0:9;l4i0cf>5<5<k1b=lk50;9j6n650;9~f007290?6=4?{%67`?4c?2B?9n5G4428 6?128?=n6g>ad83>>o51j0;66g;4283>>i5k10;66sm57394?2=83:p(9:k:3f4?M22k2B?9=5+3849500e3`;ji7>5;h0:g?6=3`>??7>5;n0`:?4?:283>5}#<=n188l4H57`?M2282.85;4>57`8m4gb2900e?m8:188k6>f2900qo;93;297?6=8r.?8i4;5c9K00e<@=?;7)=66;362g=n9ho1<75f2b594?=h;1k1<75rb447>5<4290;w):;d;66f>N3=j1C88>4$2;5>431j2c:mh4?::k1g2<722e84l4?::a133=83?1<7>t$56g>7b13A>>o6F;519'7<0=9<k3:17d:;3;29?j5?i3:17b?0;694?:1y'01b=:m=0D9;l;I664>"41?0:9;l4i0cf>5<5<k1b=lk50;9j6=831vn887:187>5<7s->?h779:075f>o6il0;66g=9b83>>o3<:0;66a=c983>>{e=?31<7=50;2x 12c2=?i7E::c:J715=#;0<1=88m;h3ba?6=3`8h;7>5;n1;e?6=3th>:l4?:583>5}#<=n1>i94H57`?M2282.85;4>57`8m4gb2900e?7l:188m1242900c?m7:188yg31j3:197>50z&70a<5l?1C88m4H573?!5>>3;>:o5f1`g94?=n:0i1<75f45194?=h;1k1<75`2b:94?=zj<>n6F;5b9K006<,:3=6<;9b:k2e`<722c9o:4?::m0o69;m;I66g>N3=91/?485144a?l7fm3:17d1<729q/89j52`d8L13d3A>><6*=bd81?l72m3:17d?6e;29?l7e<3:17b:<5;29?xd2>o0;694?:1y'01b=:hl0D9;l;I664>"5jl097d?:e;29?l7>m3:17d?m4;29?j24=3:17pl:7183>0<729q/89j52c38L13d3A>><6*=bd81?l72m3:17d?6e;29?l7e<3:17d:<7;29?j24=3:17pl:7083>4g6290;w):;d;0b1>N3=j1C88>4Z07`>46|>:02<76i:8;9=1<1938o6;o56781e?072?>1>44:c;4:>0`=u-92:7?:6c9mg0<63g;::7?4n03`>5=i98n1=6*>1d80<<=#98l1>4j4n017>4=i95e81=a=i9091=6`>9982?k7e;3;0b?o;:09m6f3=92.9h=4=b49m6a7=92d8584?;%1`g?3=6>ml;%665?23k2.?9?4=d39'005=;120(9;;:2:`?j5dl3:17d::3:17d?60;29?l2383:17d?na;29?l23:3:17bhm:18'064=nh1e8>?50:9lb<<72->8>7hn;o605?7<3fl36=4+4209bd=i<:;1>65`f683>!24:3lj7c:<1;18?j`1290/8><5f`9m067=<21dj84?:%606?`f3g>8=7;4;nd7>5<#<:81jl5a42392>=hn:0;6):<2;db?k2493=07bh=:18'064=nh1e8>?58:9lb4<72->8>7hn;o605??<3fom6=4+4209bd=i<:;1m65`ed83>!24:3lj7c:<1;`8?jcc290/8><5f`9m067=k21din4?:%606?`f3g>8=7j4;nga>5<#<:81jl5a4239a>=hmh0;6):<2;db?k2493l07bk6:18'064=nh1e8>?51198k`>=83.???4ia:l774<6921di:4?:%606?`f3g>8=7?=;:mf2?6=,=996ko4n512>45<3f;;?7>5$511>cg43<3f;;=7>5$511>cg41<3flm6=4+4209bd=i<:;1=554ogg94?"3;;0mm6`;3082=>=hnm0;6):<2;db?k2493;j76aic;29 1552ok0b9=>:0`8?j`7290/8><5f`9m067=9j10ch;50;&777d:9j7<2=83.???4<929m067=821b?4<50;&777<41:1e8>?51:9j7<7=83.???4<929m067=:21b?4>50;&777<41:1e8>?53:9j7=`=83.???4<929m067=<21b?5k50;&777<41:1e8>?55:9j7=b=83.???4<929m067=>21b?k750;&777<4n11e8>?50:9j7c1=83.???4?52:9j7c3=83.???4?54:9j7c5=83.???4?56:9j7c7=83.???4?58:9j7`c=83.???4?5a:9j7`e=83.???4?5c:9j7`g=83.???4?5e:9j7`>=83.???4?51198m6c1290/8><53g:8j15628;07d=j4;29 1552:l37c:<1;31?>o4m:0;6):<2;1e<>h3;80:?65f3d094?"3;;08j55a423951=h7;o605?7132c8hk4?:%606?5a02d??<4>7:9j7ac=83.???4jk:18'064=;o20b9=>:0;8?l5ck3:1(9==:2d;?k2493;j76g!24:39m46`;3082f>=n;m31<7*;3380b==i<:;1=n54i2f;>5<#<:81?k64n512>4b<3`9o;7>5$511>6`?3g>8=7?j;:k0`3<72->8>7=i8:l774<6n21b?i;50;&777<4n11e8>?52198m6b3290/8><53g:8j1562;;07d=k3;29 1552:l37c:<1;01?>o4l;0;6):<2;1e<>h3;809?65f3e394?"3;;08j55a423961=h7;o605?4132c8jh4?:%606?5a02d??<4=7:9j7cb=83.???4hl:18'064=;o20b9=>:3;8?l5aj3:1(9==:2d;?k24938j76g!24:39m46`;3081f>=n;o:1<7*;3380b==i<:;1>n54i2g6>5<#<:81?k64n512>7b<3`9om7>5$511>6`?3g>8=78>7=i8:l774<5n21b=?50:9j54?=83.???4>1`9m067=921b=<650;&777<69h1e8>?52:9j541=83.???4>1`9m067=;21b=5750;&777<6011e8>?50:9j5=1=83.???4>899m067=921b=5850;&777<6011e8>?52:9j5=3=83.???4>899m067=;21b=5:50;&777<6011e8>?54:9j5=5=83.???4>899m067==21b=5<50;&777<6011e8>?56:9j5=7=83.???4>899m067=?21b=:h50;&777<6011e8>?58:9j52c=83.???4>899m067=121b=:j50;&777<6011e8>?5a:9j52e=83.???4>899m067=j21b=:l50;&777<6011e8>?5c:9j52g=83.???4>899m067=l21b=:750;&777<6011e8>?5e:9j52>=83.???4>899m067=n21b=:950;&777<6011e8>?51198m411290/8><519:8j15628;07d?84;29 15528237c:<1;31?>o6?:0;6):<2;3;<>h3;80:?65f16094?"3;;0:455a423951=7:9j53c=83.???4>899m067=9110e<8k:18'064=9120b9=>:0;8?l71k3:1(9==:0:;?k2493;j76g>6c83>!24:3;346`;3082f>=n9?31<7*;3382<==i<:;1=n54i04;>5<#<:81=564n512>4b<3`;=;7>5$511>4>?3g>8=7?j;:k223<72->8>7?78:l774<6n21b=;;50;&777<6011e8>?52198m403290/8><519:8j1562;;07d?93;29 15528237c:<1;01?>o6>;0;6):<2;3;<>h3;809?65f17394?"3;;0:455a423961=899m067=:110e<6l:18'064=9120b9=>:3;8?l7?j3:1(9==:0:;?k24938j76g>8`83>!24:3;346`;3081f>=n91:1<7*;3382<==i<:;1>n54i056>5<#<:81=564n512>7b<3`;=m7>5$511>4>?3g>8=78>7?78:l774<5n21d=4j50;&777<61j1e8>?50:9l59b9m067=921d=4o50;&777<61j1e8>?52:9l59b9m067=;21b>n:50;&777<5k:1e8>?50:9j6f4=83.???4=c29m067=921b>n?50;&777<5k:1e8>?52:9j6f6=83.???4=c29m067=;21b>oh50;&777<5k:1e8>?54:9j56c=83.???4>3e9m067=821b=>m50;&777<6;m1e8>?51:9j56d=83.???4>3e9m067=:21b=>o50;&777<6;m1e8>?53:9j56?=83.???4>3e9m067=<21b=>650;&777<6;m1e8>?55:9j561=83.???4>3e9m067=>21b=>850;&777<6;m1e8>?57:9j511=83.???4>3e9m067=021b=9850;&777<6;m1e8>?59:9j513=83.???4>3e9m067=i21b=9:50;&777<6;m1e8>?5b:9j515=83.???4>3e9m067=k21b=9<50;&777<6;m1e8>?5d:9j517=83.???4>3e9m067=m21b=9>50;&777<6;m1e8>?5f:9j56`=83.???4>3e9m067=9910e<=::18'064=9:n0b9=>:038?l7513:1(9==:00;?k2493:07d?=7;29 15528837c:<1;38?l75>3:1(9==:00;?k2493807d?=5;29 15528837c:<1;18?l75<3:1(9==:00;?k2493>07d?=3;29 15528837c:<1;78?l75:3:1(9==:00;?k2493<07d?=1;29 15528837c:<1;58?l74:3:1(9==:00;?k2493207d?<1;29 15528837c:<1;;8?l7483:1(9==:00;?k2493k07d?=f;29 15528837c:<1;`8?l75m3:1(9==:00;?k2493i07d?=d;29 15528837c:<1;f8?l75k3:1(9==:00;?k2493o07d?=b;29 15528837c:<1;d8?l75i3:1(9==:00;?k2493;;76g>2183>!24:3;946`;30825>=h9<;1<7*;338215=i<:;1<65`15d94?"3;;0:9=5a42395>=h9=o1<7*;338215=i<:;1>65`15f94?"3;;0:9=5a42397>=h9=i1<7*;338215=i<:;1865`15`94?"3;;0:9=5a42391>=h9=k1<7*;338215=i<:;1:65`15;94?"3;;0:9=5a42393>=h9=h9<21<7*;338215=i<:;1m65`14594?"3;;0:9=5a4239f>=h9<<1<7*;338215=i<:;1o65`14794?"3;;0:9=5a4239`>=h9<>1<7*;338215=i<:;1i65`14194?"3;;0:9=5a4239b>=h9<81<7*;338215=i<:;1==54o06;>5<#<:81=8>4n512>47<3`;h;7>5$511>4e13g>8=7>4;h3`1?6=,=9965$511>4e13g>8=7<4;h3`7?6=,=9967>5$511>4e13g>8=7:4;h3`4?6=,=9965$511>4e13g>8=784;h3aa?6=,=9965$511>4e13g>8=764;h3ag?6=,=9965$511>4e13g>8=7o4;h3ae?6=,=9965$511>4e13g>8=7m4;h3a5$511>4e13g>8=7k4;h3`b?6=,=9965$511>4e13g>8=7??;:k2ga<72->8>7?l6:l774<6921b=nm50;&777<6k?1e8>?51398m4ee290/8><51b48j15628907d?la;29 15528i=7c:<1;37?>o6k00;6):<2;3`2>h3;80:965f1b:94?"3;;0:o;5a423953=8>7=l3:l774<632e8o<4?:%606?5d;2d??<4=;:m0g5<72->8>7=l3:l774<432e8nk4?:%606?5d;2d??<4;;:m0f`<72->8>7=l3:l774<232e8ni4?:%606?5d;2d??<49;:m0ff<72->8>7=l3:l774<032e8nl4?:%606?5d;2d??<47;:m0f<<72->8>7=l3:l774<>32e8n54?:%606?5d;2d??<4n;:m0f2<72->8>7=l3:l7748>7=l3:l7748>7=l3:l7740:9l7g7=83.???4oi:18'064=;j90b9=>:008?j5fm3:1(9==:2a0?k2493;876a!24:39h?6`;30820>=h;hi1<7*;3380g6=i<:;1=854o2ca>5<#<:81?n=4n512>40<3f9jm7>5$511>6e43g>8=7?8;:m0e<<72->8>7=l3:l774<6021d?l650;&777<4k:1e8>?51898k6g0290/8><53b18j15628k07b=n6;29 1552:i87c:<1;3a?>i4i=0;6):<2;1`7>h3;80:o65`3`194?"3;;08o>5a42395a=m<;o605?7a32e8m=4?:%606?5d;2d??<4=0:9l7<`=83.???47j:18'064=;j90b9=>:308?j5>l3:1(9==:2a0?k24938876a<9b83>!24:39h?6`;30810>=h;0h1<7*;3380g6=i<:;1>854o2ab>5<#<:81?n=4n512>70<3f9h57>5$511>6e43g>8=7<8;:m0g=<72->8>7=l3:l774<5021d?n950;&777<4k:1e8>?52898k6e1290/8><53b18j1562;k07b=l5;29 1552:i87c:<1;0a?>i4jk0;6):<2;1`7>h3;809o65`3c294?"3;;08o>5a42396a=6=4+42097f5m<;o605?4a32cio7>5$511>gdoe13:1(9==:c`8j1562;10eo650;&7771=h3;80>76gm5;29 1552kh0b9=>:798mg2=83.???4mb:l774<032ci?7>5$511>gdoe83:1(9==:c`8j1562h10elh50;&777f=h3;80o76gnc;29 1552kh0b9=>:d98mdd=83.???4mb:l7745$511>gd4;hc:>5<#<:81no5a423954=h3;80:>65fa683>!24:3hi7c:<1;30?>od<3:1(9==:c`8j15628>07dm<:18'064=jk1e8>?51498mf4=83.???4mb:l774<6>21bo<4?:%606?de3g>8=7?8;:k`4?6=,=996ol4n512>4><3`hm6=4+4209fg=i<:;1=454icg94?"3;;0in6`;3082e>=njm0;6):<2;`a?k2493;i76gm1;29 1552kh0b9=>:0a8?lg1290/8><5bc9m067=9m10c9:198k14?290/8><543;8j1562810c9<8:18'064=<;30b9=>:398k141290/8><543;8j1562:10c9<::18'064=<;30b9=>:598k143290/8><543;8j1562<10c9<<:18'064=<;30b9=>:798k145290/8><543;8j1562>10c9:998k17a290/8><543;8j1562010c9?j:18'064=<;30b9=>:`98k17c290/8><543;8j1562k10c9?l:18'064=<;30b9=>:b98k17e290/8><543;8j1562m10c9?n:18'064=<;30b9=>:d98k17>290/8><543;8j1562o10c9?7:18'064=<;30b9=>:028?j26?3:1(9==:50:?k2493;:76a;1483>!24:3>956`;30826>=h<8>1<7*;33876<=i<:;1=>54o530>5<#<:818?74n512>42<3f>:>7>5$511>14>3g>8=7?:;:m754<72->8>7:=9:l774<6>21d8<>50;&777<3:01e8>?51698k16a290/8><543;8j15628207b:?e;29 1552=827c:<1;3:?>i38m0;6):<2;61=>h3;80:m65`41a94?"3;;0?>45a42395g=e:9l051=83.???4;289m067=9o10c9>9:18'064=<;30b9=>:328?j27=3:1(9==:50:?k24938:76a;0583>!24:3>956`;30816>=h<991<7*;33876<=i<:;1>>54o521>5<#<:818?74n512>72<3f>;=7>5$511>14>3g>8=7<:;:m775<72->8>7:=9:l774<5>21d8?h50;&777<3:01e8>?52698k14b290/8><543;8j1562;207b:=d;29 1552=827c:<1;0:?>i3:j0;6):<2;61=>h3;809m65`43`94?"3;;0?>45a42396g=4=h3;80976gk8;29 1552mh0b9=>:298ma1=83.???4kb:l774<332co:7>5$511>adoc<3:1(9==:e`8j1562>10ei=50;&777<=h3;80j76glf;29 1552mh0b9=>:c98mfc=83.???4kb:l7745$511>adodj3:1(9==:e`8j1562o10eno50;&7770:9jg<<72->8>7jm;o605?7632ch47>5$511>ad5<#<:81ho5a423956=1<7*;338gf>h3;80:865fe283>!24:3ni7c:<1;36?>ob:3:1(9==:e`8j15628<07dk>:18'064=lk1e8>?51698m`6=83.???4kb:l774<6021bhk4?:%606?be3g>8=7?6;:kga?6=,=996il4n512>4g<3`no6=4+4209`g=i<:;1=o54ie394?"3;;0on6`;3082g>=nk?0;6):<2;fa?k2493;o76g>9683>!24:3;2:6`;3083?>o61<0;6):<2;3:2>h3;80:76g>9583>!24:3;2:6`;3081?>{e=>81<7?n1;294~"3o7??{719=5l490;47>7?==j0=57;i:|&0=3<6=?h0bn;51:l253<63g;:o7>4n03g>4=#98o1?574$03e>7?c3g;887?4n07a>4=#94j4n0;0>4=i9021=6`>b282?k4f<3;0b?m::09'6a6=:k?0b?j>:09m7<3=82.8on4:;o600?7<,=>>6?j?;%672?5dk2.?9<4;4b9'004=:m80(9;<:2:;?!22<393o6a>o3;m0;66g>a283>>o6i80;66a=d583>>o6i<0;66g;4083>>o5l:0;66g>a583>>o3;l0;66g>ab83>>i6180;66g;3883>>o6i?0;66a;4d83>>i4kl0;66g;3c83>>o3;h0;66g>a983>>o3;o0;66g>a383>>o6i90;66g>ag83>>o61o0;66g;3b83>>i41>0;66g>a683>>o6ik0;66g>9383>>o6190;66g;4183>>o6ih0;66g;4383>>iaj3:1(9==:gc8j1562910ck750;&777;:me7=h3;80876ai6;29 1552ok0b9=>:598kc3=83.???4ia:l774<232em87>5$511>cgia:3:1(9==:gc8j1562110ck?50;&777d=h3;80i76ajd;29 1552ok0b9=>:b98k`e=83.???4ia:l7745$511>cgib13:1(9==:gc8j15628:07bk7:18'064=nh1e8>?51098k`1=83.???4ia:l774<6:21di;4?:%606?`f3g>8=7?<;:m246<72->8>7hn;o605?7332e:8=7?:;:m244<72->8>7hn;o605?7132e:<=4?:%606?`f3g>8=7?8;:meb?6=,=996ko4n512>4><3fln6=4+4209bd=i<:;1=454ogf94?"3;;0mm6`;3082e>=hnj0;6):<2;db?k2493;i76ai0;29 1552ok0b9=>:0a8?jc2290/8><5f`9m067=9m10e>7;:18'064=;090b9=>:198m6?5290/8><53818j1562810e>7>:18'064=;090b9=>:398m6?7290/8><53818j1562:10e>6i:18'064=;090b9=>:598m6>b290/8><53818j1562<10e>6k:18'064=;090b9=>:798m6`>290/8><53g:8j1562910e>h8:18'064=;o20b9=>:098m6`1290/8><53g:8j1562;10e>h::18'064=;o20b9=>:298m6`3290/8><53g:8j1562=10e>h<:18'064=;o20b9=>:498m6`5290/8><53g:8j1562?10e>h>:18'064=;o20b9=>:698m6ca290/8><53g:8j1562110e>kj:18'064=;o20b9=>:898m6cc290/8><53g:8j1562h10e>kl:18'064=;o20b9=>:c98m6ce290/8><53g:8j1562j10e>kn:18'064=;o20b9=>:e98m6c>290/8><53g:8j1562l10e>k7:18'064=;o20b9=>:g98m6c0290/8><53g:8j15628:07d=j6;29 1552:l37c:<1;32?>o4m=0;6):<2;1e<>h3;80:>65f3d194?"3;;08j55a423956=h7;o605?7232c8i=4?:%606?5a02d??<4>6:9j7a`=83.???410e>jj:18'064=;o20b9=>:0:8?l5cl3:1(9==:2d;?k2493;276g!24:39m46`;3082e>=n;mh1<7*;3380b==i<:;1=o54i2f:>5<#<:81?k64n512>4e<3`9o47>5$511>6`?3g>8=7?k;:k0`2<72->8>7=i8:l774<6m21b?i850;&777<4n11e8>?51g98m6b2290/8><53g:8j1562;:07d=k4;29 1552:l37c:<1;02?>o4l:0;6):<2;1e<>h3;809>65f3e094?"3;;08j55a423966=h7;o605?4232c8jk4?:%606?5a02d??<4=6:9j7cc=83.???410e>hk:18'064=;o20b9=>:3:8?l5ak3:1(9==:2d;?k24938276g!24:39m46`;3081e>=n;ok1<7*;3380b==i<:;1>o54i2d3>5<#<:81?k64n512>7e<3`9n97>5$511>6`?3g>8=78>7=i8:l774<5m21b?nh50;&777<4n11e8>?52g98m47e290/8><510c8j1562910e:098m47?290/8><510c8j1562;10e:298m4>>290/8><519:8j1562910e<68:18'064=9120b9=>:098m4>1290/8><519:8j1562;10e<6::18'064=9120b9=>:298m4>3290/8><519:8j1562=10e<6<:18'064=9120b9=>:498m4>5290/8><519:8j1562?10e<6>:18'064=9120b9=>:698m41a290/8><519:8j1562110e<9j:18'064=9120b9=>:898m41c290/8><519:8j1562h10e<9l:18'064=9120b9=>:c98m41e290/8><519:8j1562j10e<9n:18'064=9120b9=>:e98m41>290/8><519:8j1562l10e<97:18'064=9120b9=>:g98m410290/8><519:8j15628:07d?86;29 15528237c:<1;32?>o6?=0;6):<2;3;<>h3;80:>65f16194?"3;;0:455a423956=6:9j53`=83.???4>899m067=9>10e<8j:18'064=9120b9=>:0:8?l71l3:1(9==:0:;?k2493;276g>6b83>!24:3;346`;3082e>=n9?h1<7*;3382<==i<:;1=o54i04:>5<#<:81=564n512>4e<3`;=47>5$511>4>?3g>8=7?k;:k222<72->8>7?78:l774<6m21b=;850;&777<6011e8>?51g98m402290/8><519:8j1562;:07d?94;29 15528237c:<1;02?>o6>:0;6):<2;3;<>h3;809>65f17094?"3;;0:455a423966=899m067=:>10e<6k:18'064=9120b9=>:3:8?l7?k3:1(9==:0:;?k24938276g>8c83>!24:3;346`;3081e>=n91k1<7*;3382<==i<:;1>o54i0:3>5<#<:81=564n512>7e<3`;<97>5$511>4>?3g>8=78>7?78:l774<5m21b=8h50;&777<6011e8>?52g98k4?c290/8><518a8j1562910c<7m:18'064=90i0b9=>:098k4?f290/8><518a8j1562;10c<76:18'064=90i0b9=>:298m7e3290/8><52b18j1562910e?m=:18'064=:j90b9=>:098m7e6290/8><52b18j1562;10e?m?:18'064=:j90b9=>:298m7da290/8><52b18j1562=10e<=j:18'064=9:n0b9=>:198m45d290/8><512f8j1562810e<=m:18'064=9:n0b9=>:398m45f290/8><512f8j1562:10e<=6:18'064=9:n0b9=>:598m45?290/8><512f8j1562<10e<=8:18'064=9:n0b9=>:798m451290/8><512f8j1562>10e<:8:18'064=9:n0b9=>:998m421290/8><512f8j1562010e<:::18'064=9:n0b9=>:`98m423290/8><512f8j1562k10e<:<:18'064=9:n0b9=>:b98m425290/8><512f8j1562m10e<:>:18'064=9:n0b9=>:d98m427290/8><512f8j1562o10e<=i:18'064=9:n0b9=>:028?l74=3:1(9==:01g?k2493;:76g>2883>!24:3;946`;3083?>o6:>0;6):<2;31<>h3;80:76g>2783>!24:3;946`;3081?>o6:<0;6):<2;31<>h3;80876g>2583>!24:3;946`;3087?>o6::0;6):<2;31<>h3;80>76g>2383>!24:3;946`;3085?>o6:80;6):<2;31<>h3;80<76g>3383>!24:3;946`;308;?>o6;80;6):<2;31<>h3;80276g>3183>!24:3;946`;308b?>o6:o0;6):<2;31<>h3;80i76g>2d83>!24:3;946`;308`?>o6:m0;6):<2;31<>h3;80o76g>2b83>!24:3;946`;308f?>o6:k0;6):<2;31<>h3;80m76g>2`83>!24:3;946`;30824>=n9;:1<7*;33826==i<:;1=<54o072>5<#<:81=8>4n512>5=m6=4+42095065<#<:81=8>4n512>7=o6=4+420950654o06`>5<#<:81=8>4n512>1=i6=4+42095065<#<:81=8>4n512>3=26=4+42095065<#<:81=8>4n512>==5<#<:81=8>4n512>d=5<#<:81=8>4n512>f=6=4+42095065<#<:81=8>4n512>`=5<#<:81=8>4n512>46<3f;?47>5$511>4373g>8=7?>;:k2g2<72->8>7?l6:l774<732c:o84?:%606?7d>2d??<4>;:k2g1<72->8>7?l6:l774<532c:o>4?:%606?7d>2d??<4<;:k2g7<72->8>7?l6:l774<332c:o=4?:%606?7d>2d??<4:;:k2fc<72->8>7?l6:l774<132c:nh4?:%606?7d>2d??<48;:k2fa<72->8>7?l6:l7742d??<46;:k2fg<72->8>7?l6:l7742d??<4m;:k2f<<72->8>7?l6:l7742d??<4k;:k2f2<72->8>7?l6:l7742d??<4i;:k2g`<72->8>7?l6:l774<6821b=nj50;&777<6k?1e8>?51098m4ed290/8><51b48j15628807d?lb;29 15528i=7c:<1;30?>o6kh0;6):<2;3`2>h3;80:865f1b;94?"3;;0:o;5a423950=2d??<4>8:9l7f2=83.???4?51:9l7f7=83.???450;&777<4k:1e8>?53:9l7g`=83.???4?55:9l7gb=83.???421d?om50;&777<4k:1e8>?57:9l7gg=83.???4?59:9l7g>=83.???4?5b:9l7g0=83.???4?5d:9l7g2=83.???4?5f:9l7g4=83.???4l>:18'064=;j90b9=>:038?j5fn3:1(9==:2a0?k2493;976a!24:39h?6`;30827>=h;hn1<7*;3380g6=i<:;1=954o2c`>5<#<:81?n=4n512>43<3f9jn7>5$511>6e43g>8=7?9;:m0ed<72->8>7=l3:l774<6?21d?l750;&777<4k:1e8>?51998k6g?290/8><53b18j15628307b=n7;29 1552:i87c:<1;3b?>i4i?0;6):<2;1`7>h3;80:n65`3`694?"3;;08o>5a42395f=m<;o605?7b32e8m<4?:%606?5d;2d??<4>f:9l7d6=83.???47i:18'064=;j90b9=>:338?j5>m3:1(9==:2a0?k24938976a<9e83>!24:39h?6`;30817>=h;0i1<7*;3380g6=i<:;1>954o2;a>5<#<:81?n=4n512>73<3f9hm7>5$511>6e43g>8=7<9;:m0g<<72->8>7=l3:l774<5?21d?n650;&777<4k:1e8>?52998k6e0290/8><53b18j1562;307b=l6;29 1552:i87c:<1;0b?>i4k<0;6):<2;1`7>h3;809n65`3c`94?"3;;08o>5a42396f=m<;o605?4b32e85l4?:%606?5d;2d??<4=f:9jff<72->8>7lm;o605?6<3`hj6=4+4209fg=i<:;1=65fb883>!24:3hi7c:<1;08?ld?290/8><5bc9m067=;21bn:4?:%606?de3g>8=7:4;h`5>5<#<:81no5a42391>=nj<0;6):<2;`a?k2493<07dl;:18'064=jk1e8>?57:9jf6<72->8>7lm;o605?><3`h96=4+4209fg=i<:;1565fb183>!24:3hi7c:<1;c8?lga290/8><5bc9m067=j21bmh4?:%606?de3g>8=7m4;hcg>5<#<:81no5a4239`>=nij0;6):<2;`a?k2493o07dom:18'064=jk1e8>?5f:9jed<72->8>7lm;o605?7732cj57>5$511>gd5<#<:81no5a423957=h3;80:?65fc583>!24:3hi7c:<1;37?>od;3:1(9==:c`8j15628?07dm=:18'064=jk1e8>?51798mf7=83.???4mb:l774<6?21bo=4?:%606?de3g>8=7?7;:kab?6=,=996ol4n512>4?<3`hn6=4+4209fg=i<:;1=l54icf94?"3;;0in6`;3082f>=nj80;6):<2;`a?k2493;h76gn6;29 1552kh0b9=>:0f8?j25i3:1(9==:50:?k2493:07b:=8;29 1552=827c:<1;38?j25?3:1(9==:50:?k2493807b:=6;29 1552=827c:<1;18?j25=3:1(9==:50:?k2493>07b:=4;29 1552=827c:<1;78?j25;3:1(9==:50:?k2493<07b:=2;29 1552=827c:<1;58?j2583:1(9==:50:?k2493207b:>f;29 1552=827c:<1;;8?j26m3:1(9==:50:?k2493k07b:>d;29 1552=827c:<1;`8?j26k3:1(9==:50:?k2493i07b:>b;29 1552=827c:<1;f8?j26i3:1(9==:50:?k2493o07b:>9;29 1552=827c:<1;d8?j2603:1(9==:50:?k2493;;76a;1683>!24:3>956`;30825>=h<8?1<7*;33876<=i<:;1=?54o537>5<#<:818?74n512>45<3f>:?7>5$511>14>3g>8=7?;;:m757<72->8>7:=9:l774<6=21d8?51798k177290/8><543;8j15628=07b:?f;29 1552=827c:<1;3;?>i38l0;6):<2;61=>h3;80:565`41f94?"3;;0?>45a42395d=d:9l05>=83.???4;289m067=9l10c9>8:18'064=<;30b9=>:0d8?j27>3:1(9==:50:?k24938;76a;0483>!24:3>956`;30815>=h<9>1<7*;33876<=i<:;1>?54o520>5<#<:818?74n512>75<3f>;>7>5$511>14>3g>8=7<;;:m744<72->8>7:=9:l774<5=21d8>>50;&777<3:01e8>?52798k14a290/8><543;8j1562;=07b:=e;29 1552=827c:<1;0;?>i3:m0;6):<2;61=>h3;809565`43a94?"3;;0?>45a42396d=?:18'064=<;30b9=>:3d8?lbd290/8><5dc9m067=821bhl4?:%606?be3g>8=7?4;hf:>5<#<:81ho5a42396>=nl10;6):<2;fa?k2493907dj8:18'064=lk1e8>?54:9j`3<72->8>7jm;o605?3<3`n>6=4+4209`g=i<:;1:65fd583>!24:3ni7c:<1;58?lb4290/8><5dc9m067=021bh?4?:%606?be3g>8=774;hf3>5<#<:81ho5a4239e>=nko0;6):<2;fa?k2493h07dmj:18'064=lk1e8>?5c:9jga<72->8>7jm;o605?b<3`ih6=4+4209`g=i<:;1i65fcc83>!24:3ni7c:<1;d8?lef290/8><5dc9m067=9910en750;&7771:9jg=<72->8>7jm;o605?7532ch;7>5$511>ad5<#<:81ho5a423951=h3;80:965fe383>!24:3ni7c:<1;35?>ob93:1(9==:e`8j15628=07dk?:18'064=lk1e8>?51998ma`=83.???4kb:l774<6121bhh4?:%606?be3g>8=7?n;:kg`?6=,=996il4n512>4d<3`n:6=4+4209`g=i<:;1=n54ib494?"3;;0on6`;3082`>=n90=1<7*;3382=3=i<:;1<65f18794?"3;;0:5;5a42395>=n90>1<7*;3382=3=i<:;1>65rb450>5<6i80;6=u+45f96d3<@=?h7E::0:X21f<68r<864>58g8:=??32?;1>i49a;45>7g=>90=87<6:4a92<<2n3w/?485144a?ke2281e=<851:l25f<73g;:h7?4$03f>6>>3-;:j7<6d:l271<63g;>n7?4$07g>7?c3g;2?7?4n0;;>4=i9k91=6`=a582?k4d=3;0(?j?:3`6?k4c93;0b>7::19'7fe==2d??94>;%671?4c82.?8;41<75f1`794?=n<=;1<75f2e194?=n9h>1<75f42g94?=n9hi1<75`18394?=n<:31<75f1`494?=h<=o1<75`3bg94?=n<:h1<75f42c94?=n9h21<75f42d94?=n9h81<75f1`294?=n9hl1<75f18d94?=n<:i1<75`38594?=n9h=1<75f1``94?=n9081<75f18294?=n<=:1<75f1`c94?=n<=81<75`fc83>!24:3lj7c:<1;28?j`>290/8><5f`9m067=921dj54?:%606?`f3g>8=7<4;nd4>5<#<:81jl5a42397>=hn?0;6):<2;db?k2493>07bh::18'064=nh1e8>?55:9lb1<72->8>7hn;o605?0<3fl86=4+4209bd=i<:;1;65`f383>!24:3lj7c:<1;:8?j`6290/8><5f`9m067=121dik4?:%606?`f3g>8=7o4;ngf>5<#<:81jl5a4239f>=hmm0;6):<2;db?k2493i07bkl:18'064=nh1e8>?5d:9lag<72->8>7hn;o605?c<3foj6=4+4209bd=i<:;1j65`e883>!24:3lj7c:<1;33?>ib03:1(9==:gc8j15628;07bk8:18'064=nh1e8>?51398k`0=83.???4ia:l774<6;21d===50;&7774:9l554=83.???4ia:l774<6=21d==?50;&7776:9l556=83.???4ia:l774<6?21djk4?:%606?`f3g>8=7?7;:mea?6=,=996ko4n512>4?<3flo6=4+4209bd=i<:;1=l54oga94?"3;;0mm6`;3082f>=hn90;6):<2;db?k2493;h76aj5;29 1552ok0b9=>:0f8?l5><3:1(9==:2;0?k2493:07d=62;29 1552:387c:<1;38?l5>93:1(9==:2;0?k2493807d=60;29 1552:387c:<1;18?l5?n3:1(9==:2;0?k2493>07d=7e;29 1552:387c:<1;78?l5?l3:1(9==:2;0?k2493<07d=i9;29 1552:l37c:<1;28?l5a?3:1(9==:2d;?k2493;07d=i6;29 1552:l37c:<1;08?l5a=3:1(9==:2d;?k2493907d=i4;29 1552:l37c:<1;68?l5a;3:1(9==:2d;?k2493?07d=i2;29 1552:l37c:<1;48?l5a93:1(9==:2d;?k2493=07d=jf;29 1552:l37c:<1;:8?l5bm3:1(9==:2d;?k2493307d=jd;29 1552:l37c:<1;c8?l5bk3:1(9==:2d;?k2493h07d=jb;29 1552:l37c:<1;a8?l5bi3:1(9==:2d;?k2493n07d=j9;29 1552:l37c:<1;g8?l5b03:1(9==:2d;?k2493l07d=j7;29 1552:l37c:<1;33?>o4m?0;6):<2;1e<>h3;80:=65f3d694?"3;;08j55a423957=h7;o605?7332c8i<4?:%606?5a02d??<4>5:9j7`6=83.???4ji:18'064=;o20b9=>:058?l5cm3:1(9==:2d;?k2493;376g!24:39m46`;3082=>=n;mi1<7*;3380b==i<:;1=l54i2fa>5<#<:81?k64n512>4d<3`9o57>5$511>6`?3g>8=7?l;:k0`=<72->8>7=i8:l774<6l21b?i950;&777<4n11e8>?51d98m6b1290/8><53g:8j15628l07d=k5;29 1552:l37c:<1;03?>o4l=0;6):<2;1e<>h3;809=65f3e194?"3;;08j55a423967=h7;o605?4332c8h=4?:%606?5a02d??<4=5:9j7c`=83.???4hj:18'064=;o20b9=>:358?l5al3:1(9==:2d;?k24938376g!24:39m46`;3081=>=n;oh1<7*;3380b==i<:;1>l54i2db>5<#<:81?k64n512>7d<3`9m<7>5$511>6`?3g>8=78>7=i8:l774<5l21b?io50;&777<4n11e8>?52d98m6ea290/8><53g:8j1562;l07d?>b;29 15528;j7c:<1;28?l7613:1(9==:03b?k2493;07d?>8;29 15528;j7c:<1;08?l76?3:1(9==:03b?k2493907d?79;29 15528237c:<1;28?l7??3:1(9==:0:;?k2493;07d?76;29 15528237c:<1;08?l7?=3:1(9==:0:;?k2493907d?74;29 15528237c:<1;68?l7?;3:1(9==:0:;?k2493?07d?72;29 15528237c:<1;48?l7?93:1(9==:0:;?k2493=07d?8f;29 15528237c:<1;:8?l70m3:1(9==:0:;?k2493307d?8d;29 15528237c:<1;c8?l70k3:1(9==:0:;?k2493h07d?8b;29 15528237c:<1;a8?l70i3:1(9==:0:;?k2493n07d?89;29 15528237c:<1;g8?l7003:1(9==:0:;?k2493l07d?87;29 15528237c:<1;33?>o6??0;6):<2;3;<>h3;80:=65f16694?"3;;0:455a423957=5:9j526=83.???4>899m067=9?10e<8i:18'064=9120b9=>:058?l71m3:1(9==:0:;?k2493;376g>6e83>!24:3;346`;3082=>=n9?i1<7*;3382<==i<:;1=l54i04a>5<#<:81=564n512>4d<3`;=57>5$511>4>?3g>8=7?l;:k22=<72->8>7?78:l774<6l21b=;950;&777<6011e8>?51d98m401290/8><519:8j15628l07d?95;29 15528237c:<1;03?>o6>=0;6):<2;3;<>h3;809=65f17194?"3;;0:455a423967=899m067=:?10e<6j:18'064=9120b9=>:358?l7?l3:1(9==:0:;?k24938376g>8b83>!24:3;346`;3081=>=n91h1<7*;3382<==i<:;1>l54i0:b>5<#<:81=564n512>7d<3`;3<7>5$511>4>?3g>8=78>7?78:l774<5l21b=;o50;&777<6011e8>?52d98m43a290/8><519:8j1562;l07b?6d;29 155283h7c:<1;28?j7>j3:1(9==:0;`?k2493;07b?6a;29 155283h7c:<1;08?j7>13:1(9==:0;`?k2493907d07d?<8;29 155289o7c:<1;78?l74?3:1(9==:01g?k2493<07d?<6;29 155289o7c:<1;58?l73?3:1(9==:01g?k2493207d?;6;29 155289o7c:<1;;8?l73=3:1(9==:01g?k2493k07d?;4;29 155289o7c:<1;`8?l73;3:1(9==:01g?k2493i07d?;2;29 155289o7c:<1;f8?l7393:1(9==:01g?k2493o07d?;0;29 155289o7c:<1;d8?l74n3:1(9==:01g?k2493;;76g>3483>!24:3;8h6`;30825>=n9;31<7*;33826==i<:;1<65f13594?"3;;0:>55a42395>=n9;<1<7*;33826==i<:;1>65f13794?"3;;0:>55a42397>=n9;>1<7*;33826==i<:;1865f13194?"3;;0:>55a42391>=n9;81<7*;33826==i<:;1:65f13394?"3;;0:>55a42393>=n9:81<7*;33826==i<:;1465f12394?"3;;0:>55a4239=>=n9::1<7*;33826==i<:;1m65f13d94?"3;;0:>55a4239f>=n9;o1<7*;33826==i<:;1o65f13f94?"3;;0:>55a4239`>=n9;i1<7*;33826==i<:;1i65f13`94?"3;;0:>55a4239b>=n9;k1<7*;33826==i<:;1==54i003>5<#<:81=?64n512>47<3f;>=7>5$511>4373g>8=7>4;n37b?6=,=996<;?;o605?7<3f;?i7>5$511>4373g>8=7<4;n37`?6=,=996<;?;o605?5<3f;?o7>5$511>4373g>8=7:4;n37f?6=,=996<;?;o605?3<3f;?m7>5$511>4373g>8=784;n37=?6=,=996<;?;o605?1<3f;>m7>5$511>4373g>8=764;n36=?6=,=996<;?;o605??<3f;>47>5$511>4373g>8=7o4;n363?6=,=996<;?;o605?d<3f;>:7>5$511>4373g>8=7m4;n361?6=,=996<;?;o605?b<3f;>87>5$511>4373g>8=7k4;n367?6=,=996<;?;o605?`<3f;>>7>5$511>4373g>8=7??;:m20=<72->8>7?:0:l774<6921b=n950;&777<6k?1e8>?50:9j5f3=83.???4>c79m067=921b=n:50;&777<6k?1e8>?52:9j5f5=83.???4>c79m067=;21b=n<50;&777<6k?1e8>?54:9j5f6=83.???4>c79m067==21b=oh50;&777<6k?1e8>?56:9j5gc=83.???4>c79m067=?21b=oj50;&777<6k?1e8>?58:9j5ge=83.???4>c79m067=121b=ol50;&777<6k?1e8>?5a:9j5gg=83.???4>c79m067=j21b=o750;&777<6k?1e8>?5c:9j5g>=83.???4>c79m067=l21b=o950;&777<6k?1e8>?5e:9j5f`=83.???4>c79m067=n21b=nk50;&777<6k?1e8>?51198m4ec290/8><51b48j15628;07d?lc;29 15528i=7c:<1;31?>o6kk0;6):<2;3`2>h3;80:?65f1bc94?"3;;0:o;5a423951=2d??<4>7:9j5g0=83.???4>c79m067=9110c>m;:18'064=;j90b9=>:198k6e5290/8><53b18j1562810c>m>:18'064=;j90b9=>:398k6e7290/8><53b18j1562:10c>li:18'064=;j90b9=>:598k6db290/8><53b18j1562<10c>lk:18'064=;j90b9=>:798k6dd290/8><53b18j1562>10c>ln:18'064=;j90b9=>:998k6d>290/8><53b18j1562010c>l7:18'064=;j90b9=>:`98k6d0290/8><53b18j1562k10c>l9:18'064=;j90b9=>:b98k6d2290/8><53b18j1562m10c>l;:18'064=;j90b9=>:d98k6d4290/8><53b18j1562o10c>l=:18'064=;j90b9=>:028?j5e93:1(9==:2a0?k2493;:76a!24:39h?6`;30826>=h;ho1<7*;3380g6=i<:;1=>54o2cg>5<#<:81?n=4n512>42<3f9jo7>5$511>6e43g>8=7?:;:m0eg<72->8>7=l3:l774<6>21d?lo50;&777<4k:1e8>?51698k6g>290/8><53b18j15628207b=n8;29 1552:i87c:<1;3:?>i4i>0;6):<2;1`7>h3;80:m65`3`494?"3;;08o>5a42395g=m<;o605?7c32e8m?4?:%606?5d;2d??<4>e:9l7d7=83.???4o?:18'064=;j90b9=>:328?j5>n3:1(9==:2a0?k24938:76a<9d83>!24:39h?6`;30816>=h;0n1<7*;3380g6=i<:;1>>54o2;`>5<#<:81?n=4n512>72<3f92n7>5$511>6e43g>8=7<:;:m0gd<72->8>7=l3:l774<5>21d?n750;&777<4k:1e8>?52698k6e?290/8><53b18j1562;207b=l7;29 1552:i87c:<1;0:?>i4k?0;6):<2;1`7>h3;809m65`3b794?"3;;08o>5a42396g=m<;o605?4c32e8m84?:%606?5d;2d??<4=e:9l74=h3;80976gm8;29 1552kh0b9=>:298mg1=83.???4mb:l774<332ci:7>5$511>gdoe<3:1(9==:c`8j1562>10eo=50;&777<=h3;80j76gnf;29 1552kh0b9=>:c98mdc=83.???4mb:l7745$511>gdofj3:1(9==:c`8j1562o10elo50;&7770:9je<<72->8>7lm;o605?7632cj47>5$511>gd5<#<:81no5a423956=1<7*;338af>h3;80:865fc283>!24:3hi7c:<1;36?>od:3:1(9==:c`8j15628<07dm>:18'064=jk1e8>?51698mf6=83.???4mb:l774<6021bnk4?:%606?de3g>8=7?6;:kaa?6=,=996ol4n512>4g<3`ho6=4+4209fg=i<:;1=o54ic394?"3;;0in6`;3082g>=ni?0;6):<2;`a?k2493;o76a;2`83>!24:3>956`;3083?>i3:10;6):<2;61=>h3;80:76a;2683>!24:3>956`;3081?>i3:?0;6):<2;61=>h3;80876a;2483>!24:3>956`;3087?>i3:=0;6):<2;61=>h3;80>76a;2283>!24:3>956`;3085?>i3:;0;6):<2;61=>h3;80<76a;2183>!24:3>956`;308;?>i39o0;6):<2;61=>h3;80276a;1d83>!24:3>956`;308b?>i39m0;6):<2;61=>h3;80i76a;1b83>!24:3>956`;308`?>i39k0;6):<2;61=>h3;80o76a;1`83>!24:3>956`;308f?>i3900;6):<2;61=>h3;80m76a;1983>!24:3>956`;30824>=h<8=1<7*;33876<=i<:;1=<54o536>5<#<:818?74n512>44<3f>:87>5$511>14>3g>8=7?<;:m756<72->8>7:=9:l774<6<21d8<<50;&777<3:01e8>?51498k176290/8><543;8j15628<07b:>0;29 1552=827c:<1;34?>i38o0;6):<2;61=>h3;80:465`41g94?"3;;0?>45a42395<=c:9l05?=83.???4;289m067=9m10c9>7:18'064=<;30b9=>:0g8?j27?3:1(9==:50:?k2493;m76a;0783>!24:3>956`;30814>=h<9?1<7*;33876<=i<:;1><54o527>5<#<:818?74n512>74<3f>;?7>5$511>14>3g>8=7<<;:m747<72->8>7:=9:l774<5<21d8=?50;&777<3:01e8>?52498k157290/8><543;8j1562;<07b:=f;29 1552=827c:<1;04?>i3:l0;6):<2;61=>h3;809465`43f94?"3;;0?>45a42396<=<4?:%606?2512d??<4=c:9l040=83.???4;289m067=:m10c9>m:18'064=<;30b9=>:3g8?j2783:1(9==:50:?k24938m76gkc;29 1552mh0b9=>:198mag=83.???4kb:l774<632co57>5$511>adoc?3:1(9==:e`8j1562=10ei850;&7773=1<7*;338gf>h3;80<76gk3;29 1552mh0b9=>:998ma4=83.???4kb:l774<>32co<7>5$511>adodm3:1(9==:e`8j1562j10enj50;&777`=h3;80m76gla;29 1552mh0b9=>:028?le>290/8><5dc9m067=9810en650;&7772:9jg2<72->8>7jm;o605?7432cn87>5$511>ad5<#<:81ho5a423950=h3;80::65fe083>!24:3ni7c:<1;34?>ob83:1(9==:e`8j15628207dji:18'064=lk1e8>?51898mac=83.???4kb:l774<6i21bhi4?:%606?be3g>8=7?m;:kg5?6=,=996il4n512>4e<3`i=6=4+4209`g=i<:;1=i54i0;4>5<#<:81=484n512>5=6=4+42095<05<#<:81=484n512>7=51`394?6|,=>o6?o:;I66g>N3=91Q=8m511y57??721l154464;42>7b=>h0=:7:51:l21g<63-;>h7<6d:l2=6<63g;247?4n0`0>4=i:h>1=6`=c482?!4c838i96`=d082?k5>=3:0(>ml:49m062=92.?884=d19'010=;ji0(9;>:56`?!22:38o>6*;5280<==#<<>1?5m4o2ag>5<5<5<6=44i562>5<5<5<5<5<5<5<5<5<5<5<5<5<5<h3;80;76ai9;29 1552ok0b9=>:098kc>=83.???4ia:l774<532em;7>5$511>cg54og494?"3;;0mm6`;3087?>ia=3:1(9==:gc8j1562<10ck:50;&7772=h3;80376ai1;29 1552ok0b9=>:898k``=83.???4ia:l7745$511>cgibk3:1(9==:gc8j1562m10chl50;&777c=h3;80:<65`e983>!24:3lj7c:<1;32?>ib?3:1(9==:gc8j15628807bk9:18'064=nh1e8>?51298k464290/8><5f`9m067=9=10c<>=:18'064=nh1e8>?51498k466290/8><5f`9m067=9?10c<>?:18'064=nh1e8>?51698kc`=83.???4ia:l774<6021djh4?:%606?`f3g>8=7?6;:me`?6=,=996ko4n512>4g<3flh6=4+4209bd=i<:;1=o54og294?"3;;0mm6`;3082g>=hm<0;6):<2;db?k2493;o76g<9583>!24:392?6`;3083?>o41;0;6):<2;1:7>h3;80:76g<9083>!24:392?6`;3081?>o4190;6):<2;1:7>h3;80876g<8g83>!24:392?6`;3087?>o40l0;6):<2;1:7>h3;80>76g<8e83>!24:392?6`;3085?>o4n00;6):<2;1e<>h3;80;76g!24:39m46`;3082?>o4n?0;6):<2;1e<>h3;80976g!24:39m46`;3080?>o4n=0;6):<2;1e<>h3;80?76g!24:39m46`;3086?>o4n;0;6):<2;1e<>h3;80=76g!24:39m46`;3084?>o4mo0;6):<2;1e<>h3;80376g!24:39m46`;308:?>o4mm0;6):<2;1e<>h3;80j76g!24:39m46`;308a?>o4mk0;6):<2;1e<>h3;80h76g!24:39m46`;308g?>o4m00;6):<2;1e<>h3;80n76g!24:39m46`;308e?>o4m>0;6):<2;1e<>h3;80:<65f3d494?"3;;08j55a423954=h7;o605?7432c8i?4?:%606?5a02d??<4>4:9j7`7=83.???4k?:18'064=;o20b9=>:048?l5cn3:1(9==:2d;?k2493;<76g!24:39m46`;3082<>=n;mn1<7*;3380b==i<:;1=454i2f`>5<#<:81?k64n512>4g<3`9on7>5$511>6`?3g>8=7?m;:k0`<<72->8>7=i8:l774<6k21b?i650;&777<4n11e8>?51e98m6b0290/8><53g:8j15628o07d=k6;29 1552:l37c:<1;3e?>o4l<0;6):<2;1e<>h3;809<65f3e694?"3;;08j55a423964=h7;o605?4432c8h<4?:%606?5a02d??<4=4:9j7a6=83.???4hi:18'064=;o20b9=>:348?l5am3:1(9==:2d;?k24938<76g!24:39m46`;3081<>=n;oi1<7*;3380b==i<:;1>454i2da>5<#<:81?k64n512>7g<3`9mm7>5$511>6`?3g>8=78>7=i8:l774<5k21b?h;50;&777<4n11e8>?52e98m6bf290/8><53g:8j1562;o07d=lf;29 1552:l37c:<1;0e?>o69k0;6):<2;32e>h3;80;76g>1883>!24:3;:m6`;3082?>o6910;6):<2;32e>h3;80976g>1683>!24:3;:m6`;3080?>o6000;6):<2;3;<>h3;80;76g>8683>!24:3;346`;3082?>o60?0;6):<2;3;<>h3;80976g>8483>!24:3;346`;3080?>o60=0;6):<2;3;<>h3;80?76g>8283>!24:3;346`;3086?>o60;0;6):<2;3;<>h3;80=76g>8083>!24:3;346`;3084?>o6?o0;6):<2;3;<>h3;80376g>7d83>!24:3;346`;308:?>o6?m0;6):<2;3;<>h3;80j76g>7b83>!24:3;346`;308a?>o6?k0;6):<2;3;<>h3;80h76g>7`83>!24:3;346`;308g?>o6?00;6):<2;3;<>h3;80n76g>7983>!24:3;346`;308e?>o6?>0;6):<2;3;<>h3;80:<65f16494?"3;;0:455a423954=4:9j527=83.???4>899m067=9<10e<9?:18'064=9120b9=>:048?l71n3:1(9==:0:;?k2493;<76g>6d83>!24:3;346`;3082<>=n9?n1<7*;3382<==i<:;1=454i04`>5<#<:81=564n512>4g<3`;=n7>5$511>4>?3g>8=7?m;:k22<<72->8>7?78:l774<6k21b=;650;&777<6011e8>?51e98m400290/8><519:8j15628o07d?96;29 15528237c:<1;3e?>o6><0;6):<2;3;<>h3;809<65f17694?"3;;0:455a423964=899m067=:<10e<6i:18'064=9120b9=>:348?l7?m3:1(9==:0:;?k24938<76g>8e83>!24:3;346`;3081<>=n91i1<7*;3382<==i<:;1>454i0:a>5<#<:81=564n512>7g<3`;3m7>5$511>4>?3g>8=78>7?78:l774<5k21b=:;50;&777<6011e8>?52e98m40f290/8><519:8j1562;o07d?:f;29 15528237c:<1;0e?>i61m0;6):<2;3:g>h3;80;76a>9c83>!24:3;2o6`;3082?>i61h0;6):<2;3:g>h3;80976a>9883>!24:3;2o6`;3080?>o5k=0;6):<2;0`7>h3;80;76g=c383>!24:38h?6`;3082?>o5k80;6):<2;0`7>h3;80976g=c183>!24:38h?6`;3080?>o5jo0;6):<2;0`7>h3;80?76g>3d83>!24:3;8h6`;3083?>o6;j0;6):<2;30`>h3;80:76g>3c83>!24:3;8h6`;3081?>o6;h0;6):<2;30`>h3;80876g>3883>!24:3;8h6`;3087?>o6;10;6):<2;30`>h3;80>76g>3683>!24:3;8h6`;3085?>o6;?0;6):<2;30`>h3;80<76g>4683>!24:3;8h6`;308;?>o6h3;80276g>4483>!24:3;8h6`;308b?>o6<=0;6):<2;30`>h3;80i76g>4283>!24:3;8h6`;308`?>o6<;0;6):<2;30`>h3;80o76g>4083>!24:3;8h6`;308f?>o6<90;6):<2;30`>h3;80m76g>3g83>!24:3;8h6`;30824>=n9:?1<7*;33827a=i<:;1=<54i00:>5<#<:81=?64n512>5=5<#<:81=?64n512>7=6=4+420957>54i007>5<#<:81=?64n512>1=5<#<:81=?64n512>3=5<#<:81=?64n512>==5<#<:81=?64n512>d=5<#<:81=?64n512>f=5<#<:81=?64n512>`=5<#<:81=?64n512>46<3`;9<7>5$511>44?3g>8=7?>;:m214<72->8>7?:0:l774<732e:8k4?:%606?7282d??<4>;:m20`<72->8>7?:0:l774<532e:8i4?:%606?7282d??<4<;:m20f<72->8>7?:0:l774<332e:8o4?:%606?7282d??<4:;:m20d<72->8>7?:0:l774<132e:844?:%606?7282d??<48;:m21d<72->8>7?:0:l7748>7?:0:l7748>7?:0:l7748>7?:0:l7744?:%606?7282d??<4i;:m217<72->8>7?:0:l774<6821d=9650;&777<6=91e8>?51098m4e0290/8><51b48j1562910e:098m4e3290/8><51b48j1562;10e:298m4e5290/8><51b48j1562=10e:498m4da290/8><51b48j1562?10e:698m4dc290/8><51b48j1562110e:898m4de290/8><51b48j1562h10e:c98m4d>290/8><51b48j1562j10e:e98m4d0290/8><51b48j1562l10e:g98m4eb290/8><51b48j15628:07d?ld;29 15528i=7c:<1;32?>o6kj0;6):<2;3`2>h3;80:>65f1b`94?"3;;0:o;5a423956=2d??<4>6:9j5f7=83.???4>c79m067=9>10e:0:8?j5d<3:1(9==:2a0?k2493:07b=l2;29 1552:i87c:<1;38?j5d93:1(9==:2a0?k2493807b=l0;29 1552:i87c:<1;18?j5en3:1(9==:2a0?k2493>07b=me;29 1552:i87c:<1;78?j5el3:1(9==:2a0?k2493<07b=mc;29 1552:i87c:<1;58?j5ei3:1(9==:2a0?k2493207b=m9;29 1552:i87c:<1;;8?j5e03:1(9==:2a0?k2493k07b=m7;29 1552:i87c:<1;`8?j5e>3:1(9==:2a0?k2493i07b=m5;29 1552:i87c:<1;f8?j5e<3:1(9==:2a0?k2493o07b=m3;29 1552:i87c:<1;d8?j5e:3:1(9==:2a0?k2493;;76a!24:39h?6`;30825>=h;hl1<7*;3380g6=i<:;1=?54o2cf>5<#<:81?n=4n512>45<3f9jh7>5$511>6e43g>8=7?;;:m0ef<72->8>7=l3:l774<6=21d?ll50;&777<4k:1e8>?51798k6gf290/8><53b18j15628=07b=n9;29 1552:i87c:<1;3;?>i4i10;6):<2;1`7>h3;80:565`3`594?"3;;08o>5a42395d=m<;o605?7d32e8m>4?:%606?5d;2d??<4>d:9l7d4=83.???4o>:18'064=;j90b9=>:0d8?j5f83:1(9==:2a0?k24938;76a<9g83>!24:39h?6`;30815>=h;0o1<7*;3380g6=i<:;1>?54o2;g>5<#<:81?n=4n512>75<3f92o7>5$511>6e43g>8=7<;;:m0=g<72->8>7=l3:l774<5=21d?no50;&777<4k:1e8>?52798k6e>290/8><53b18j1562;=07b=l8;29 1552:i87c:<1;0;?>i4k>0;6):<2;1`7>h3;809565`3b494?"3;;08o>5a42396d=6=4+42097f5m<;o605?4d32e8n=4?:%606?5d;2d??<4=d:9l7d3=83.???47n:18'064=;j90b9=>:3d8?ldd290/8><5bc9m067=821bnl4?:%606?de3g>8=7?4;h`:>5<#<:81no5a42396>=nj10;6):<2;`a?k2493907dl8:18'064=jk1e8>?54:9jf3<72->8>7lm;o605?3<3`h>6=4+4209fg=i<:;1:65fb583>!24:3hi7c:<1;58?ld4290/8><5bc9m067=021bn?4?:%606?de3g>8=774;h`3>5<#<:81no5a4239e>=nio0;6):<2;`a?k2493h07doj:18'064=jk1e8>?5c:9jea<72->8>7lm;o605?b<3`kh6=4+4209fg=i<:;1i65fac83>!24:3hi7c:<1;d8?lgf290/8><5bc9m067=9910el750;&7771:9je=<72->8>7lm;o605?7532cj;7>5$511>gd5<#<:81no5a423951=h3;80:965fc383>!24:3hi7c:<1;35?>od93:1(9==:c`8j15628=07dm?:18'064=jk1e8>?51998mg`=83.???4mb:l774<6121bnh4?:%606?de3g>8=7?n;:ka`?6=,=996ol4n512>4d<3`h:6=4+4209fg=i<:;1=n54i`494?"3;;0in6`;3082`>=h<;k1<7*;33876<=i<:;1<65`43:94?"3;;0?>45a42395>=h<;=1<7*;33876<=i<:;1>65`43494?"3;;0?>45a42397>=h<;?1<7*;33876<=i<:;1865`43694?"3;;0?>45a42391>=h<;91<7*;33876<=i<:;1:65`43094?"3;;0?>45a42393>=h<;:1<7*;33876<=i<:;1465`40d94?"3;;0?>45a4239=>=h<8o1<7*;33876<=i<:;1m65`40f94?"3;;0?>45a4239f>=h<8i1<7*;33876<=i<:;1o65`40`94?"3;;0?>45a4239`>=h<8k1<7*;33876<=i<:;1i65`40;94?"3;;0?>45a4239b>=h<821<7*;33876<=i<:;1==54o534>5<#<:818?74n512>47<3f>:97>5$511>14>3g>8=7?=;:m751<72->8>7:=9:l774<6;21d8<=50;&777<3:01e8>?51598k175290/8><543;8j15628?07b:>1;29 1552=827c:<1;35?>i3990;6):<2;61=>h3;80:;65`41d94?"3;;0?>45a42395==b:9l05g=83.???4;289m067=9j10c9>6:18'064=<;30b9=>:0f8?j2703:1(9==:50:?k2493;n76a;0683>!24:3>956`;3082b>=h<9<1<7*;33876<=i<:;1>=54o526>5<#<:818?74n512>77<3f>;87>5$511>14>3g>8=7<=;:m746<72->8>7:=9:l774<5;21d8=<50;&777<3:01e8>?52598k166290/8><543;8j1562;?07b:<0;29 1552=827c:<1;05?>i3:o0;6):<2;61=>h3;809;65`43g94?"3;;0?>45a42396==o4?:%606?2512d??<4=b:9l077=83.???4;289m067=:j10c9?9:18'064=<;30b9=>:3f8?j27j3:1(9==:50:?k24938n76a;0183>!24:3>956`;3081b>=nlj0;6):<2;fa?k2493:07djn:18'064=lk1e8>?51:9j`<<72->8>7jm;o605?4<3`n36=4+4209`g=i<:;1?65fd683>!24:3ni7c:<1;68?lb1290/8><5dc9m067==21bh84?:%606?be3g>8=784;hf7>5<#<:81ho5a42393>=nl:0;6):<2;fa?k2493207dj=:18'064=lk1e8>?59:9j`5<72->8>7jm;o605?g<3`im6=4+4209`g=i<:;1n65fcd83>!24:3ni7c:<1;a8?lec290/8><5dc9m067=l21bon4?:%606?be3g>8=7k4;haa>5<#<:81ho5a4239b>=nkh0;6):<2;fa?k2493;;76gl9;29 1552mh0b9=>:038?le?290/8><5dc9m067=9;10en950;&7773:9ja1<72->8>7jm;o605?7332cn?7>5$511>ad5<#<:81ho5a423953=h3;80:;65fe183>!24:3ni7c:<1;3;?>ocn3:1(9==:e`8j15628307djj:18'064=lk1e8>?51`98mab=83.???4kb:l774<6j21bh<4?:%606?be3g>8=7?l;:k`2?6=,=996il4n512>4b<3`;2;7>5$511>4?13g>8=7>4;h3:1?6=,=996<79;o605?7<3`;287>5$511>4?13g>8=7<4;|`630<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`633<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`632<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`63=<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`63<<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`63d<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`63g<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`63f<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`63a<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`63`<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`63c<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`6<5<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`6<4<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`6<7<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`6<6<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`6<1<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`6<0<72<0;6=u+45f96g7<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`6<3<72:=1??4:0zJ715=#<=n1=kj<;[36g?5|>;0847:n:|k242<72->8>7??6:l774<732c:<84?:%606?77>2d??<4>;:k241<72->8>7??6:l774<532c:i<4?:%606?7b82d??<4?;:k2`c<72->8>7?j0:l774<632c:hh4?:%606?7b82d??<4=;:k2`a<72->8>7?j0:l774<432c:ho4?:%606?7b82d??<4;;:k2`d<72->8>7?j0:l774<232c:h44?:%606?7b82d??<49;:k2`=<72->8>7?j0:l774<032c:h:4?:%606?7b82d??<47;:k2`3<72->8>7?j0:l774<>32c:h84?:%606?7b82d??<4n;:k2`1<72->8>7?j0:l7744?:%606?7b82d??<4l;:k2`7<72->8>7?j0:l7748>7?j0:l7740:9j5`0=83.???4>e19m067=9810e:008?l7b<3:1(9==:0g3?k2493;876g>e283>!24:3;n<6`;30820>=n9l81<7*;3382a5=i<:;1=854i0f`>5<#<:81=h>4n512>40<3`;o=7>5$511>4c73g>8=7?8;:k71=<722c:5h4?::k701<722c:mk4?::m2bd<72->8>7?i9:l774<732e:j54?:%606?7a12d??<4>;:m2b2<72->8>7?i9:l774<532e:j;4?:%606?7a12d??<4<;:m2b1<72->8>7?i9:l774<332e:j>4?:%606?7a12d??<4:;:m2b7<72->8>7?i9:l774<132e:j<4?:%606?7a12d??<48;:m2b5<72->8>7?i9:l7748>7?i9:l7748>7?i9:l7748>7?i9:l7748>7?i9:l774<6821d=kh50;&777<6n01e8>?51098k4`b290/8><51g;8j15628807b?id;29 15528l27c:<1;30?>i6nj0;6):<2;3e=>h3;80:865`1g`94?"3;;0:j45a423950=6=4+42095c?4:4?:083>5}#<=n1>o94H57`?M2282e9n;4?::a1=>=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi95750;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1=g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi95l50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1=e=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi95j50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1=c=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi95h50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1<6=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi94?50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1<4=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi94=50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1<2=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi94;50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1<0=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi94950;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1<>=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi94750;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a11<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi94l50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a11<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi94j50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a11<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi94h50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1d6=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm5`394??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi9l<50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e=h91<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a1d2=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm5`794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi9l850;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e=h=1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a1d>=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm5`;94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi9lo50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e=hh1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a1de=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm5`f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi9lk50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e=hl1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a1g6=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm5c394??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi9o<50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e=k91<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a1g2=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm5c794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi9o850;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e=k=1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a1g>=8391<7>t$56g>7ed3A>>o6F;519'6gc=1j1b>o750;9j6gg=831d?5o50;9~w4e1k3:1=4uQ115890g72h9018o>:`1890g52h9018o<:`1890g32h9018o::`1890g12h9018o8:`1890g?2h9018o6:`1890gf2h9018om:`1890gd2h9018ok:`1890gb2h9018oi:`1890d72h9018l>:`1890d52h9018l<:`1890d32h9018l::`1890d12h9018l8:`18yv7d>m0;6<7t^026?83f83k970;n1;c1?83f:3k970;n3;c1?83f<3k970;n5;c1?83f>3k970;n7;c1?83f03k970;n9;c1?83fi3k970;nb;c1?83fk3k970;nd;c1?83fm3k970;nf;c1?83e83k970;m1;c1?83e:3k970;m3;c1?83e<3k970;m5;c1?83e>3k970;m7;c1?xu6k?o1<7?6{_330>;2i90j=63:a08b5>;2i;0j=63:a28b5>;2i=0j=63:a48b5>;2i?0j=63:a68b5>;2i10j=63:a88b5>;2ih0j=63:ac8b5>;2ij0j=63:ae8b5>;2il0j=63:ag8b5>;2j90j=63:b08b5>;2j;0j=63:b28b5>;2j=0j=63:b48b5>;2j?0j=63:b68b5>{t9j;2j<0:n95rs0a44?6=:rT:hk525c595g2n94>b59~w4e0<3:1>vP>d`9>1g5=9k>0q~?l7483>7}Y9m3018oi:0`7?xu6k><1<75<5sW;o;63:b182f1=z{8i<47>52z\2`3=:=hi1=o:4}r3`3<<72;qU=i;4=4cf>4d33ty:o:o50;0xZ4b334?jh7?m4:p5f1e2909wS?k3:?6e<<6j=1vc6g94?4|V8o370;n6;3a0>{t9j=m6=4={_3f3>;2i10:n95rs0a;4?6=:rT:i;525`595g2m94>b59~w4e?<3:1>vP>e39>1d6=9k>0q~?l8483>7}Y9mi018o=:0`7?xu6k1<1<75<5sW>>463:b981fd=z{8i347>51`y]5m27>4l4>9d9>1=d=90o0186l:0;f?83?l3;2i63:8d82=`=:=1l1=4k4=4;3>4?b34?2=7?6e:?6=7<61l1694=518g890?3283n70;65;3:a>;21?0:5h5258595m27>5l4>9d9>1l3;2i63:9d82=`=:=0l1=4k4=4`;>7d>3ty:o5750;12[7fn27>454>5d9>1=?=9i63:8b821`=:=1n1=8k4=4:f>43b34?3j7?:e:?6=5<6=l1694?514g890?528?n70;63;36a>;21=0:9h52587950c<5<3=6<;j;<7:3?72m27>554>5d9>1j3;>i63:9b821`=:=0n1=8k4=4;f>43b34?2j7?:e:?6e5<6io169l?51`d890g528km70;n3;3bb>;2i=0:mk525`795d`<5m54>ag9>1d?=9hl018on:0ce?83fj3;jj63:ab82ec=:=hn1=lh4=4cf>4ga34?jj7?nf:?6f5<6io169o?51`d890d528km70;m3;3bb>;2j=0:mk525c795d`<55k4;349~w4e?j3:1>vP>f99>17}Y9o=0187k:516?xu6k1n1<7k3>896s|1b:f>5<5sW;m863:9c8770=z{8i3j7>52z\2b6=:=0k18>;4}r3`=5<72;qU=k<4=4;:>1523ty:o4?50;0xZ4`634?247:<5:p5f?52909wS?i0:?6=2<3;<1v7p}>c8794?4|V8oo70;64;601>{t9j3=6=4={_3fg>;21:0??85rs0a:3?6=:rT:io525809063>;<7:4?24=2wx=n7n:181[47827>4k4;349~w4e>j3:1>vP>fg9>1=c=<:?0q~?l9b83>7}Y9oo0186k:516?xu6k0n1<7896s|1b;f>5<5sW;mo63:8c8770=z{8i2j7>52z\2bg=:=1k18>;4}r3`e5<72;qU=k;4=4::>1523ty:ol?50;0xZ4cf34?347:<5:p5fg5290:5v3:8681f3=:=h:1m9525`39e1=:=h81m9525`19e1=:=h>1m9525`79e1=:=h<1m9525`59e1=:=h21m9525`;9e1=:=hk1m9525``9e1=:=hi1m9525`f9e1=:=ho1m9525`d9e1=:=k:1m9525c39e1=:=k81m9525c19e1=:=k>1m9525c79e1=:=k<1m9525c59e1=z{8ij?7>52z?6<=<6j=169l?54278yv7di=0;6?u259;95g2<5896s|1bc5>5<5s4?3n7?m4:?6e1<3;<1v1=b=9k>018o<:516?xu6kh31<74d334?j;7:<5:p5fgf2909w0;7f;3a0>;2i10??85rs0abf?6=:r7>5=4>b59>1d0=<:?0q~?lab83>7}:=0;1=o:4=4cb>1523ty:olj50;0x90?528h?70;nb;601>{t9jkn6=4={<7:7?7e<27>m44;349~w4efn3:1>v3:9582f1=:=hn18>;4}r3`f5<72;q694;51c6890gb2=9>7p}>cc394?4|5<3=6?3;i863:b18770=z{8ii?7>52z?6==<6j=169o?54278yv7dj=0;6?u258;95g2<5896s|1b`5>5<5s4?2n7?m4:?6f1<3;<1v1018l9:516?xu6kk31<74d334?i;7:<5:p5fdf2909w0;6f;3a0>;2j<0??85rs0aaf?6=90q69l>518g890g6283n70;n2;3:a>;2i:0:5h525`6956<7j;<7b2?7>m27>m:4>9d9>1d>=90o018o6:0;f?83fi3;2i63:ac82=`=:=hi1=4k4=4cg>4?b34?ji7?6e:?6ec<61l169o>518g890d6283n70;m2;3:a>;2j:0:5h525c6956<7j;<7a2?7>m27>n:4>9d9>1g>=;1k0qpl:b883>61=;;0>j6pg>0683>!24:3;;:6`;3083?>o68<0;6):<2;332>h3;80:76g>0583>!24:3;;:6`;3081?>o6m80;6):<2;3f4>h3;80;76g>dg83>!24:3;n<6`;3082?>o6ll0;6):<2;3f4>h3;80976g>de83>!24:3;n<6`;3080?>o6lk0;6):<2;3f4>h3;80?76g>d`83>!24:3;n<6`;3086?>o6l00;6):<2;3f4>h3;80=76g>d983>!24:3;n<6`;3084?>o6l>0;6):<2;3f4>h3;80376g>d783>!24:3;n<6`;308:?>o6l<0;6):<2;3f4>h3;80j76g>d583>!24:3;n<6`;308a?>o6l:0;6):<2;3f4>h3;80h76g>d383>!24:3;n<6`;308g?>o6m00;6):<2;3f4>h3;80n76g>e983>!24:3;n<6`;308e?>o6m>0;6):<2;3f4>h3;80:<65f1d494?"3;;0:i=5a423954=6=4+42095`64?:%606?7b82d??<4>4:9j5`4=83.???4>e19m067=9<10e:048?l7c93:1(9==:0g3?k2493;<76g;5983>>o61l0;66g;4583>>o6io0;66a>f`83>!24:3;m56`;3083?>i6n10;6):<2;3e=>h3;80:76a>f683>!24:3;m56`;3081?>i6n?0;6):<2;3e=>h3;80876a>f583>!24:3;m56`;3087?>i6n:0;6):<2;3e=>h3;80>76a>f383>!24:3;m56`;3085?>i6n80;6):<2;3e=>h3;80<76a>f183>!24:3;m56`;308;?>i6mo0;6):<2;3e=>h3;80276a>ed83>!24:3;m56`;308b?>i6mm0;6):<2;3e=>h3;80i76a>eb83>!24:3;m56`;308`?>i6mk0;6):<2;3e=>h3;80o76a=0383>!24:3;m56`;308f?>i5880;6):<2;3e=>h3;80m76a=0183>!24:3;m56`;30824>=h9ol1<7*;3382b<=i<:;1=<54o0df>5<#<:81=k74n512>44<3f;mh7>5$511>4`>3g>8=7?<;:m2bf<72->8>7?i9:l774<6<21d=kl50;&777<6n01e8>?51498k4`2290/8><51g;8j15628<07b?ja;29 15528l27c:<1;34?>d2jh0;6<4?:1y'01b=:k=0D9;l;I664>i5j?0;66sm5c`94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e=ki1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm5cf94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e=ko1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm5cd94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e=j:1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm5b394?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e=j81<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm5b194?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e=j>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm5b794?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e=j<1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm5b594?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e=j21<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm5b;94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e=jk1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm5b`94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e=ji1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm5bf94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e=jo1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm5bd94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e=m:1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm5e394?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e=m81<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm5e194??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi9i:50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e=m?1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a1a0=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm5e594??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi9i650;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e=m31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a1ag=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm5e`94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi9im50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e=mn1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a1ac=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm5ed94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi9h>50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e=l;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a1`4=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm5d194??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi9h:50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e=l?1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a1`0=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm5d594??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi9h650;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e=l31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a1`g=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm5d`94?5=83:p(9:k:3a`?M22k2B?9=5+2cg9=f=n:k31<75f2cc94?=h;1k1<75rs0aag?6=90qU==94=4f0>d5<5d5<5d5<5d5<5d5<5d5<5d5<5d5<5d5<5d5<5d5<5d5<5:;<7g7?g534?o87o=;<7g1?g534?o:7o=;<7g3?g534?o47o=;<7g=?g534?om7o=;<7gf?g534?oo7o=;<7g`?g534?oi7o=;<7gb?g534?n<7o=;<7f5?g534?n>7o=;<7f7?g534?n87o=;<7f1?g534?n:7o=;<7f3?g534?n47o=;<7f=?g534?nm7o=;|q2ggc=83;2wS??4:?6`6h94n1:?6`0h;4n1:?6`2h54n1:?6`<hl4n1:?6`ghn4n1:?6`ahh4n1:?6`ci=4n1:?6a4i?4n1:?6a6i94n1:?6a0i;4n1:?6a2i54n1:?6a<il4n1:p5fda2909wS?j1:?6a=<6j=1v28h?7p}>cb094?4|V8no70;j5;3a0>{t9ji86=4={_3gf>;2m>0:n95rs0a`0?6=:rT:hl525d495g2i>4>b59~w4ed03:1>vP>d79>1a`=9k>0q~?lc883>7}Y9m?018k>:0`7?xu6kjk1<75<5sW;o?63:db82f1=z{8iho7>52z\2`7=:=mo1=o:4}r3`ga<72;qU=h74=4fg>4d33ty:onk50;0xZ4c?34?o57?m4:p5fea2909wS?j7:?6`g<6j=1vce094?4|V8o?70;k8;3a0>{t9jn86=4={_3f7>;2l>0:n95rs0ag0?6=:rT:i?525e195g26;<7g0?7e<2wx=nj8:181[22027>io4=b`9~w4ec03:1=luQ18g890de283n70;mc;3:a>;2jm0:5h525cg95m27>o<4>9d9>1f4=90o018m<:0;f?83d<3;2i63:c482=`=:=j<1=4k4=4a4>4?b34?h47?6e:?6g<<61l169no518g890ee283n70;lc;3:a>;2km0:5h525bg95m27>h<4>9d9>1a4=90o018km:3`:?xu6km31<7=>{_3bb>;2jk0:9h525ca950c<5nk4>5d9>1f6=9:07f?83d:3;>i63:c2821`=:=j>1=8k4=4a6>43b34?h:7?:e:?6g2<6=l169n6514g890e>28?n70;la;36a>;2kk0:9h525ba950c<5ok4>5d9>1a6=9:07f?83c:3;>i63:d282ec=:=m>1=lh4=4f6>4ga34?o:7?nf:?6`2<6io169i651`d890b>28km70;ka;3bb>;2lk0:mk525ea95d`<5hk4>ag9>1`6=9hl018k>:0ce?83b:3;jj63:e282ec=:=l>1=lh4=4g6>4ga34?n:7?nf:?6a2<6io169h651`d890c>28km70;ja;3bb>{t9jnj6=4={_3ee>;2l;0??85rs0agf?6=:rT:j5525e39063oh4;349~w4ecn3:1>vP>f29>1fb=<:?0q~?le183>7}Y9o8018ml:516?xu6kl;1<7896s|1bg1>5<5sW;m<63:c`8770=z{8in?7>52z\2ac=:=j318>;4}r3`a1<72;qU=hk4=4a;>1523ty:oh;50;0xZ4cc34?h;7:<5:p5fc12909wS?jc:?6g3<3;<1v7p}>cd;94?4|V;::70;l3;601>{t9joj6=4={_034>;2k;0??85rs0aff?6=:rT:jk525b39063nh4;349~w4ebn3:1>vP>fc9>1gb=<:?0q~?lf183>7}Y9o?018ll:516?xu6ko;1<7896s|1bd1>5<61r7>nl4=b79>1a5=i=169i:5a59>1a3=i=169i85a59>1a1=i=169i65a59>1a?=i=169io5a59>1ad=i=169im5a59>1ab=i=169ik5a59>1a`=i=169h>5a59>1`7=i=169h<5a59>1`5=i=169h:5a59>1`3=i=169h85a59>1`1=i=169h65a59>1`?=i=169ho5a59~w4ea;3:1>v3:bc82f1=:=m>18>;4}r3`b1<72;q69om51c6890b22=9>7p}>cg794?4|552z?6fc<6j=169i654278yv7dn10;6?u25b295g2<5:0`7?83ci3>896s|1bdb>5<5s4?h>7?m4:?6`g<3;<1v1f2=9k>018jk:516?xu6kon1<74d334?oi7:<5:p5f`b2909w0;l6;3a0>;2lj0??85rs0aeb?6=:r7>o:4>b59>1`6=<:?0q~?k0183>7}:=j21=o:4=4g2>1523ty:h=?50;0x90e>28h?70;kf;601>{t9m:96=4={<7`e?7e<27>i>4;349~w4b7;3:1>v3:cc82f1=:=l>18>;4}r3g41<72;q69nm51c6890c52=9>7p}>d1794?4|59:18183dm3;i863:e68770=z{8n;;7>52z?6gc<6j=169h;54278yv7c810;6?u25e295g2<5:0`7?83bi3>896s|1e2b>5<5s4?o>7?m4:?6a=<3;<1v4?b34?o97?6e:?6`3<61l169i9518g890b?283n70;k9;3:a>;2lh0:5h525e`95m27>hh4>9d9>1a`=90o018k?:0;f?83b93;2i63:e382=`=:=l91=4k4=4g7>4?b34?n97?6e:?6a3<61l169h9518g890c?283n70;j9;3:a>;2mh0:5h525d`97=gin4?:25977<28rB?9=5+45f95cb43S;>o7=t63802d??<4?;:k240<72->8>7??6:l774<632c:<94?:%606?77>2d??<4=;:k2a4<72->8>7?j0:l774<732c:hk4?:%606?7b82d??<4>;:k2``<72->8>7?j0:l774<532c:hi4?:%606?7b82d??<4<;:k2`g<72->8>7?j0:l774<332c:hl4?:%606?7b82d??<4:;:k2`<<72->8>7?j0:l774<132c:h54?:%606?7b82d??<48;:k2`2<72->8>7?j0:l7748>7?j0:l7748>7?j0:l7748>7?j0:l7748>7?j0:l774<6821b=h850;&777<6m91e8>?51098m4c2290/8><51d28j15628807d?j4;29 15528o;7c:<1;30?>o6m:0;6):<2;3f4>h3;80:865f1d094?"3;;0:i=5a423950=8>7?i9:l774<632e:j:4?:%606?7a12d??<4=;:m2b3<72->8>7?i9:l774<432e:j94?:%606?7a12d??<4;;:m2b6<72->8>7?i9:l774<232e:j?4?:%606?7a12d??<49;:m2b4<72->8>7?i9:l774<032e:j=4?:%606?7a12d??<47;:m2ac<72->8>7?i9:l774<>32e:ih4?:%606?7a12d??<4n;:m2aa<72->8>7?i9:l7748>7?i9:l7748>7?i9:l7740:9l5c`=83.???4>f89m067=9810c:008?j7al3:1(9==:0d:?k2493;876a>fb83>!24:3;m56`;30820>=h9oh1<7*;3382b<=i<:;1=854o0d6>5<#<:81=k74n512>40<3f;nm7>5$511>4`>3g>8=7?8;:`6aa<7280;6=u+45f96g1<@=?h7E::0:m1f3<722wi9hk50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1``=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9k>50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1c7=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9k<50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1c5=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9k:50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1c3=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9k850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1c1=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9k650;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1c?=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9ko50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1cd=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9km50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1cb=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi9kk50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a1c`=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:=>50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a257=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:=<50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a255=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:=:50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a253=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:=850;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>9=1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a25>=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm61;94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:=o50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>9h1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a25e=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm61f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:=k50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>9l1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a246=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm60394??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:<<50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>891<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a242=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm60794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:<850;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>8=1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a24>=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm60;94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>8h1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a24e=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm60f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:o6?ml;I66g>N3=91/>ok59b9j6g?=831b>oo50;9l7=g=831v9:`1893602h901;>7:`18936>2h901;>n:`18936e2h901;>l:`18936c2h901;>j:`18936a2h901;??:`1893762h901;?=:`1893742h901;?;:`1893722h901;?9:`1893702h901;?7:`18937>2h901;?n:`18937e2h901;?l:`18937c2h90q~?k0e83>4?|V8:>708?6;c1?807?3k9708?8;c1?80713k9708?a;c1?807j3k9708?c;c1?807l3k9708?e;c1?807n3k9708>0;c1?80693k9708>2;c1?806;3k9708>4;c1?806=3k9708>6;c1?806?3k9708>8;c1?80613k9708>a;c1?806j3k9708>c;c1?806l3k97p}>d1g94?7>sW;;8639078b5>;18>0j=639098b5>;1800j=6390`8b5>;18k0j=6390b8b5>;18m0j=6390d8b5>;18o0j=639118b5>;1980j=639138b5>;19:0j=639158b5>;19<0j=639178b5>;19>0j=639198b5>;1900j=6391`8b5>;19k0j=6391b8b5>;19m0j=6s|1e2e>5<5sW;n=6391c82f1=z{8n:<7>52z\2`c=:>8n1=o:4}r3g54<72;qU=ik4=73`>4d33ty:h<<50;0xZ4bc34<:47?m4:p5a742909wS?kb:?55d<6j=1v4;296~X6lh16:<751c68yv7c9<0;6?uQ1e;8937228h?7p}>d0494?4|V8n3708>7;3a0>{t9m;<6=4={_3g3>;19?0:n95rs0f2b59~w4b6k3:1>vP>d39>247=9k>0q~?k1e83>7}Y9l301;??:0`7?xu6l8o1<75<5sW;n;6390d82f1=z{8n9<7>52z\2a3=:>9n1=o:4}r3g64<72;qU=h;4=72:>4d33ty:h?<50;0xZ4c334<;n7?m4:p5a442909wS?j3:?54d<6j=1vd3494?4|V8n:708?7;3a0>{t9m8<6=4={_66<>;19l09nl5rs0f14?b34?nj7?6e:?6b5<61l169k?518g890`5283n70;i3;3:a>;2n=0:5h525g795m27>j54>9d9>1c?=90o018hn:0;f?83aj3;2i63:fb82=`=:=on1=4k4=4df>4?b34?mj7?6e:?545<61l16:=?518g89365283n708?3;3:a>;18=0:5h5261795;2n;0:9h525g1950c<5j;4>5d9>1c1=9i63:f`821`=:=oh1=8k4=4d`>43b34?mh7?:e:?6b`<6=l169kh514g8936728?n708?1;36a>;18;0:9h52611950c<5?:?6<;j;<431?72m27=<;4>ag9>251=9hl01;>7:0ce?80713;jj6390`82ec=:>9h1=lh4=72`>4ga34<;h7?nf:?54`<6io16:=h51`d8937728km708>1;3bb>;19;0:mk5260195d`<5?;?6ag9>241=9hl01;?7:0ce?80613;jj6391`82ec=:>8h1=lh4=73`>4ga34<:h7?nf:p5a4f2909wS?ia:?540<3;<1v7p}>d3f94?4|V8l=708?2;601>{t9m8n6=4={_3e0>;1880??85rs0f1b?6=:rT:j>526129063;<7ea?24=2wx=i==:181[7a827>ji4;349~w4b4;3:1>vP>eg9>1ce=<:?0q~?k3583>7}Y9lo018hm:516?xu6l:?1<7896s|1e15>5<5sW;no63:f88770=z{8n8;7>52z\2ag=:=o218>;4}r3g7=<72;qU>=<4=4d4>1523ty:h>750;0xZ76634?m:7:<5:p5a5f2909wS7p}>d2f94?4|V8lo70;i2;601>{t9m9n6=4={_3eg>;2n80??85rs0f0b?6=:rT:jo525g290639=1m95261:9e1=:>931m95261c9e1=:>9h1m95261a9e1=:>9n1m95261g9e1=:>9l1m9526029e1=:>8;1m9526009e1=:>891m9526069e1=:>8?1m9526049e1=:>8=1m95260:9e1=:>831m95260c9e1=:>8h1m95260a9e1=:>8n1m95rs0f77?6=:r7>ih4>b59>251=<:?0q~?k4583>7}:=ll1=o:4=72;>1523ty:h9;50;0x90`728h?708?6;601>{t9m>=6=4={<7e5?7e<27=v3:f382f1=:>9h18>;4}r3g0=<72;q69k=51c68936>2=9>7p}>d5;94?4|552z?6b3<6j=16:=m54278yv7c896s|1e6f>5<5s4?m57?m4:?54c<3;<1v1cd=9k>01;?;:516?xu6l<;1<74d334<:>7:<5:p5a352909w0;id;3a0>;19?0??85rs0f67?6=:r7>jh4>b59>241=<:?0q~?k5583>7}:=ol1=o:4=736>1523ty:h8;50;0x936728h?708>9;601>{t9m?=6=4={<435?7e<27==l4;349~w4b2?3:1>v390382f1=:>8218>;4}r3g1=<72;q6:==51c68937d2=9>7p}>d4;94?4|5?:?6n7>518y>250=90o01;>8:0;f?80703;2i6390882=`=:>9k1=4k4=72a>4?b34<;o7?6e:?54a<61l16:=k518g8936a283n708>0;3:a>;1980:5h5260095m27==84>9d9>240=90o01;?8:0;f?80603;2i6391882=`=:>8k1=4k4=73a>4?b34<:o7?6e:?55a<61l16:9533864~N3=91/89j51gf0?_72k39p:?4<8;6b>xo68>0;6):<2;332>h3;80;76g>0483>!24:3;;:6`;3082?>o68=0;6):<2;332>h3;80976g>e083>!24:3;n<6`;3083?>o6lo0;6):<2;3f4>h3;80:76g>dd83>!24:3;n<6`;3081?>o6lm0;6):<2;3f4>h3;80876g>dc83>!24:3;n<6`;3087?>o6lh0;6):<2;3f4>h3;80>76g>d883>!24:3;n<6`;3085?>o6l10;6):<2;3f4>h3;80<76g>d683>!24:3;n<6`;308;?>o6l?0;6):<2;3f4>h3;80276g>d483>!24:3;n<6`;308b?>o6l=0;6):<2;3f4>h3;80i76g>d283>!24:3;n<6`;308`?>o6l;0;6):<2;3f4>h3;80o76g>e883>!24:3;n<6`;308f?>o6m10;6):<2;3f4>h3;80m76g>e683>!24:3;n<6`;30824>=n9l<1<7*;3382a5=i<:;1=<54i0g6>5<#<:81=h>4n512>44<3`;n87>5$511>4c73g>8=7?<;:k2a6<72->8>7?j0:l774<6<21b=h<50;&777<6m91e8>?51498m4bd290/8><51d28j15628<07d?k1;29 15528o;7c:<1;34?>o3=10;66g>9d83>>o3<=0;66g>ag83>>i6nh0;6):<2;3e=>h3;80;76a>f983>!24:3;m56`;3082?>i6n>0;6):<2;3e=>h3;80976a>f783>!24:3;m56`;3080?>i6n=0;6):<2;3e=>h3;80?76a>f283>!24:3;m56`;3086?>i6n;0;6):<2;3e=>h3;80=76a>f083>!24:3;m56`;3084?>i6n90;6):<2;3e=>h3;80376a>eg83>!24:3;m56`;308:?>i6ml0;6):<2;3e=>h3;80j76a>ee83>!24:3;m56`;308a?>i6mj0;6):<2;3e=>h3;80h76a>ec83>!24:3;m56`;308g?>i58;0;6):<2;3e=>h3;80n76a=0083>!24:3;m56`;308e?>i5890;6):<2;3e=>h3;80:<65`1gd94?"3;;0:j45a423954=4:9l5cd=83.???4>f89m067=9<10c:048?j7bi3:1(9==:0d:?k2493;<76l92183>4<729q/89j52c58L13d3A>><6a=b783>>{e>;;1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm63094?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>;91<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm63694?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>;?1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm63494?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>;=1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm63:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>;31<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm63c94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>;h1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm63a94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>;n1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm63g94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>;l1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm62294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>:;1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm62094?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>:91<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm62694?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>:?1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm62494?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>:=1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm62:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>:31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a26g=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm62`94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:>m50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>:n1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a26c=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm62d94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:9>50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>=;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a214=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm65194??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:9:50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>=?1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a210=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm65594??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:9650;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>=31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a21g=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm65`94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:9m50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>=n1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a21c=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm65d94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:8>50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e><;1<7=50;2x 12c2;ih7E::c:J715=#:ko15n5f2c;94?=n:kk1<75`39c94?=z{8n>o7>518y]551<5?926l=4=71b>d5<5?9i6l=4=71`>d5<5?9o6l=4=71f>d5<5?9m6l=4=763>d5<5?>:6l=4=761>d5<5?>86l=4=767>d5<5?>>6l=4=765>d5<5?><6l=4=76;>d5<5?>26l=4=76b>d5<5?>i6l=4=76`>d5<5?>o6l=4=76f>d5<5?>m6l=4=773>d54n1:?501b59~w4b183:1>vP>dg9>206=9k>0q~?k6083>7}Y9mo01;:i:0`7?xu6l?81<75<5sW;on6394e82f1=z{8n=87>52z\2`d=:>=i1=o:4}r3g20<72;qU=i74=76;>4d33ty:h;850;0xZ4b?3400;6?uQ1e78932028h?7p}>d7c94?4|V8n?708;6;3a0>{t9m;1<;0:n95rs0f5g?6=:rT:h?5265695g286b59~w4b083:1>vP>e79>216=9k>0q~?k7083>7}Y9l?01;=l:0`7?xu6l>81<75<5sW;n?6393e82f1=z{8n<87>52z\2a7=:>:31=o:4}r3g30<72;qU=im4=71a>4d33ty:h:850;0xZ4b634<8m7?m4:p5a102909wS::8:?514<5jh1v:0;f?805:3;2i6392282=`=:>;>1=4k4=706>4?b34<9:7?6e:?562<61l16:?6518g8934>283n708=a;3:a>;1:k0:5h5263a95m27=>k4>9d9>266=90o01;=>:0;f?804:3;2i6393282=`=:>:>1=4k4=716>4?b34<8:7?6e:?572<61l16:>6518g893362;h27p}>d6;94?56sW;jj63920821`=:>;81=8k4=700>43b34<987?:e:?560<6=l16:?8514g8934028?n708=8;36a>;1:00:9h5263c950c<5?8i6<;j;<41g?72m27=>i4>5d9>27c=9i63930821`=:>:81=8k4=710>43b34<887?:e:?570<6=l16:>8514g8935028?n708<8;36a>;1;00:mk5262c95d`<5?9i6ag9>26c=9hl01;=i:0ce?80383;jj6394082ec=:>=81=lh4=760>4ga34;1<00:mk5265c95d`<5?>i6ag9>21c=9hl01;:i:0ce?80283;jj6s|1e5b>5<5sW;mm639398770=z{8n52z\2b==:>:=18>;4}r3g3f<72;qU=k94=715>1523ty:h:j50;0xZ4`134<897:<5:p5a1b2909wS?i4:?571<3;<1v=54278yv7c090;6?uQ1g0893552=9>7p}>d9394?4|V8l:708<1;601>{t9m296=4={_3e4>;1;90??85rs0f;7?6=:rT:ik5263d9063n4;349~w4b??3:1>vP>ec9>27d=<:?0q~?k8983>7}Y:9801;896s|1e:b>5<5sW8;<639298770=z{8n3n7>52z\2bc=:>;=18>;4}r3g1523ty:h5j50;0xZ4`c34<997:<5:p5a>b2909wS?ic:?561<3;<1v7p}>d8394?4|V8oj708=1;601>{t9m396=4>9z?565<5j?16:>75a59>26g=i=16:>l5a59>26e=i=16:>j5a59>26c=i=16:>h5a59>216=i=16:9?5a59>214=i=16:9=5a59>212=i=16:9;5a59>210=i=16:995a59>21>=i=16:975a59>21g=i=16:9l5a59>21e=i=16:9j5a59>21c=i=16:9h5a59>206=i=1v274=9k>01;=m:516?xu6l0?1<74d334<857:<5:p5a?12909w08=4;3a0>;1;m0??85rs0f:3?6=:r7=>84>b59>26c=<:?0q~?k9983>7}:>;<1=o:4=71`>1523ty:h4750;0x934028h?708;0;601>{t9m3j6=4={<41j3:1>v392882f1=:>:l18>;4}r3g=f<72;q6:?o51c6893242=9>7p}>d8f94?4|5?8i652z?56a<6j=16:9854278yv7ci90;6?u263g95g2<5?><69=:;|q2`d7=838p1;896s|1ec1>5<5s4<8<7?m4:?50<<3;<1v264=9k>01;:7:516?xu6lh?1<74d334;1b59>21d=<:?0q~?ka983>7}:>:<1=o:4=76e>1523ty:hl750;0x935028h?708:0;601>{t9mkj6=4={<40m27=?n4>9d9>26b=90o01;=j:0;f?804n3;2i6394182=`=:>=;1=4k4=761>4?b34;1<10:5h5265;95j6<7j;<47f?7>m27=8n4>9d9>21b=90o01;:j:0;f?803n3;2i6395182=`=:><;1?5o4}|`517<72:=1??4:0zJ715=#<=n1=kj<;[36g?5|>;0847:n:|k242<72->8>7??6:l774<732c:<84?:%606?77>2d??<4>;:k241<72->8>7??6:l774<532c:i<4?:%606?7b82d??<4?;:k2`c<72->8>7?j0:l774<632c:hh4?:%606?7b82d??<4=;:k2`a<72->8>7?j0:l774<432c:ho4?:%606?7b82d??<4;;:k2`d<72->8>7?j0:l774<232c:h44?:%606?7b82d??<49;:k2`=<72->8>7?j0:l774<032c:h:4?:%606?7b82d??<47;:k2`3<72->8>7?j0:l774<>32c:h84?:%606?7b82d??<4n;:k2`1<72->8>7?j0:l7744?:%606?7b82d??<4l;:k2`7<72->8>7?j0:l7748>7?j0:l7740:9j5`0=83.???4>e19m067=9810e:008?l7b<3:1(9==:0g3?k2493;876g>e283>!24:3;n<6`;30820>=n9l81<7*;3382a5=i<:;1=854i0f`>5<#<:81=h>4n512>40<3`;o=7>5$511>4c73g>8=7?8;:k71=<722c:5h4?::k701<722c:mk4?::m2bd<72->8>7?i9:l774<732e:j54?:%606?7a12d??<4>;:m2b2<72->8>7?i9:l774<532e:j;4?:%606?7a12d??<4<;:m2b1<72->8>7?i9:l774<332e:j>4?:%606?7a12d??<4:;:m2b7<72->8>7?i9:l774<132e:j<4?:%606?7a12d??<48;:m2b5<72->8>7?i9:l7748>7?i9:l7748>7?i9:l7748>7?i9:l7748>7?i9:l774<6821d=kh50;&777<6n01e8>?51098k4`b290/8><51g;8j15628807b?id;29 15528l27c:<1;30?>i6nj0;6):<2;3e=>h3;80:865`1g`94?"3;;0:j45a423950=6=4+42095c?4?:083>5}#<=n1>o94H57`?M2282e9n;4?::a202=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:8;50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a200=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:8950;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a20>=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:8750;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a20g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:8l50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a20e=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:8j50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a20c=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:8h50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a236=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:;?50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a234=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:;=50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a232=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:;;50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a230=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:;950;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a23>=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:;750;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a23g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:;l50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a23e=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm67f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:;k50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>?l1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a226=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm66394??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi::<50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>>91<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a222=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm66794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi::850;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>>=1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a22>=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm66;94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi::o50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>>h1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a22e=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm66f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi::k50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>>l1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a2=6=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm69394??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:5<50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>191<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a2=2=8391<7>t$56g>7ed3A>>o6F;519'6gc=1j1b>o750;9j6gg=831d?5o50;9~w4bfk3:1=4uQ1158930d2h901;8k:`18930b2h901;8i:`1893172h901;9>:`1893152h901;9<:`1893132h901;9::`1893112h901;98:`18931?2h901;96:`18931f2h901;9m:`18931d2h901;9k:`18931b2h901;9i:`1893>72h901;6>:`1893>52h901;6<:`18yv7cim0;6<7t^026?801k3k97089d;c1?801m3k97089f;c1?80083k970881;c1?800:3k970883;c1?800<3k970885;c1?800>3k970887;c1?80003k970889;c1?800i3k97088b;c1?800k3k97088d;c1?800m3k97088f;c1?80?83k970871;c1?80?:3k970873;c1?xu6lho1<7?6{_330>;1>j0j=6396e8b5>;1>l0j=6396g8b5>;1?90j=639708b5>;1?;0j=639728b5>;1?=0j=639748b5>;1??0j=639768b5>;1?10j=639788b5>;1?h0j=6397c8b5>;1?j0j=6397e8b5>;1?l0j=6397g8b5>;1090j=639808b5>;10;0j=639828b5>{t9mkm6=4={_3f5>;1080:n95rs0fa4?6=:rT:hk5269195g2b59~w4be<3:1>vP>d`9>22`=9k>0q~?kb483>7}Y9m301;9m:0`7?xu6lk<1<75<5sW;o;6397b82f1=z{8ni47>52z\2`3=:>>21=o:4}r3gf<<72;qU=i;4=75b>4d33ty:hoo50;0xZ4b334<<57?m4:p5ade2909wS?k3:?530<6j=1vdcg94?4|V8o370882;3a0>{t9mhm6=4={_3f3>;1?=0:n95rs0f`4?6=:rT:i;5266195g2b59~w4bd<3:1>vP>e39>23e=9k>0q~?kc483>7}Y9mi01;8j:0`7?xu6lj<1<75<5sW>>46398581fd=z{8nh47>51`y]5m27=9;4>9d9>201=90o01;;7:0;f?80213;2i6395`82=`=:>4?b34<>h7?6e:?51`<61l16:8h518g89307283n70891;3:a>;1>;0:5h5267195m27=:;4>9d9>231=90o01;87:0;f?80113;2i6396`82=`=:>?h1=4k4=7:7>7d>3ty:hn750;12[7fn27=994>5d9>203=9i63959821`=:><31=8k4=77b>43b34<>n7?:e:?51f<6=l16:8j514g8933b28?n708:f;36a>;1>90:9h52673950c<5?<96<;j;<457?72m27=:94>5d9>233=9i63969821`=:>?31=8k4=74b>43b34<=n7?:e:?52f<6io16:;j51`d8930b28km7089f;3bb>;1?90:mk5266395d`<5?=96ag9>223=9hl01;99:0ce?800?3;jj6397982ec=:>>31=lh4=75b>4ga34<;1090:mk5269395d`<5?296vP>f99>23g=<:?0q~?kcb83>7}Y9o=01;86:516?xu6ljn1<7896s|1eaf>5<5sW;m8639668770=z{8nhj7>52z\2b6=:>?<18>;4}r3g`5<72;qU=k<4=746>1523ty:hi?50;0xZ4`634<=87:<5:p5ab52909wS?i0:?526<3;<1v7p}>de794?4|V8oo70890;601>{t9mn=6=4={_3fg>;1=o0??85rs0fg3?6=:rT:io5264g9063>;<46g?24=2wx=ijn:181[47827=9o4;349~w4bcj3:1>vP>fg9>20g=<:?0q~?kdb83>7}Y9oo01;;6:516?xu6lmn1<7896s|1eff>5<5sW;mo639568770=z{8noj7>52z\2bg=:><<18>;4}r3ga5<72;qU=k;4=776>1523ty:hh?50;0xZ4cf34<>87:<5:p5ac5290:5v395281f3=:>?i1m95267f9e1=:>?o1m95267d9e1=:>>:1m9526639e1=:>>81m9526619e1=:>>>1m9526679e1=:>><1m9526659e1=:>>21m95266;9e1=:>>k1m95266`9e1=:>>i1m95266f9e1=:>>o1m95266d9e1=:>1:1m9526939e1=:>181m9526919e1=z{8nn?7>52z?511<6j=16:;j54278yv7cm=0;6?u264795g2<5?896s|1eg5>5<5s4<>;7?m4:?535<3;<1v20?=9k>01;8i:516?xu6ll31<74d334<;1?=0??85rs0fff?6=:r7=9n4>b59>224=<:?0q~?keb83>7}:>1523ty:hhj50;0x933b28h?70887;601>{t9mon6=4={<46b?7e<27=;84;349~w4bbn3:1>v396182f1=:>>318>;4}r3gb5<72;q6:;?51c68931f2=9>7p}>dg394?4|5?<9652z?521<6j=16::j54278yv7cn=0;6?u267795g2<5?=i69=:;|q2`c3=838p1;89:0`7?800n3>896s|1ed5>5<5s4<=;7?m4:?5<5<3;<1v10:n95266g906323?=9k>01;6=:516?xu6lo31<74d334<3?7:<5:p5a`f2909w089b;3a0>;1080??85rs0fef?6=90q6:;m518g8930c283n7089e;3:a>;1>o0:5h5266295m27=;>4>9d9>222=90o01;9::0;f?800>3;2i6397682=`=:>>21=4k4=75:>4?b34<;1?o0:5h5269295m27=4>4>9d9>2=2=;1k0qpl98483>61=;;0>j6pg>0683>!24:3;;:6`;3083?>o68<0;6):<2;332>h3;80:76g>0583>!24:3;;:6`;3081?>o6m80;6):<2;3f4>h3;80;76g>dg83>!24:3;n<6`;3082?>o6ll0;6):<2;3f4>h3;80976g>de83>!24:3;n<6`;3080?>o6lk0;6):<2;3f4>h3;80?76g>d`83>!24:3;n<6`;3086?>o6l00;6):<2;3f4>h3;80=76g>d983>!24:3;n<6`;3084?>o6l>0;6):<2;3f4>h3;80376g>d783>!24:3;n<6`;308:?>o6l<0;6):<2;3f4>h3;80j76g>d583>!24:3;n<6`;308a?>o6l:0;6):<2;3f4>h3;80h76g>d383>!24:3;n<6`;308g?>o6m00;6):<2;3f4>h3;80n76g>e983>!24:3;n<6`;308e?>o6m>0;6):<2;3f4>h3;80:<65f1d494?"3;;0:i=5a423954=6=4+42095`64?:%606?7b82d??<4>4:9j5`4=83.???4>e19m067=9<10e:048?l7c93:1(9==:0g3?k2493;<76g;5983>>o61l0;66g;4583>>o6io0;66a>f`83>!24:3;m56`;3083?>i6n10;6):<2;3e=>h3;80:76a>f683>!24:3;m56`;3081?>i6n?0;6):<2;3e=>h3;80876a>f583>!24:3;m56`;3087?>i6n:0;6):<2;3e=>h3;80>76a>f383>!24:3;m56`;3085?>i6n80;6):<2;3e=>h3;80<76a>f183>!24:3;m56`;308;?>i6mo0;6):<2;3e=>h3;80276a>ed83>!24:3;m56`;308b?>i6mm0;6):<2;3e=>h3;80i76a>eb83>!24:3;m56`;308`?>i6mk0;6):<2;3e=>h3;80o76a=0383>!24:3;m56`;308f?>i5880;6):<2;3e=>h3;80m76a=0183>!24:3;m56`;30824>=h9ol1<7*;3382b<=i<:;1=<54o0df>5<#<:81=k74n512>44<3f;mh7>5$511>4`>3g>8=7?<;:m2bf<72->8>7?i9:l774<6<21d=kl50;&777<6n01e8>?51498k4`2290/8><51g;8j15628<07b?ja;29 15528l27c:<1;34?>d10?0;6<4?:1y'01b=:k=0D9;l;I664>i5j?0;66sm69594?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>121<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm69;94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>1k1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm69`94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>1i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm69f94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>1o1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm69d94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>0:1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm68394?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>081<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm68194?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>0>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm68794?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>0<1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm68594?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>021<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm68;94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>0k1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm68`94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>0i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm68f94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>0o1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm68d94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:l>50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>h;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a2d4=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm6`194??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:l:50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>h?1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a2d0=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm6`594??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:l650;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>h31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a2dg=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm6``94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:lm50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>hn1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a2dc=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm6`d94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:o>50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>k;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a2g4=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm6c194??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:o:50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>k?1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a2g0=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm6c594?5=83:p(9:k:3a`?M22k2B?9=5+2cg9=f=n:k31<75f2cc94?=h;1k1<75rs0feg?6=90qU==94=7;e>d5<5?k;6l=4=7c2>d5<5?k96l=4=7c0>d5<5?k?6l=4=7c6>d5<5?k=6l=4=7c4>d5<5?k36l=4=7c:>d5<5?kj6l=4=7ca>d5<5?kh6l=4=7cg>d5<5?kn6l=4=7ce>d5<5?h;6l=4=7`2>d5<5?h96l=4=7`0>d5<5?h?6l=4=7`6>d5<5?h=6l=4}r3gba<7283pR<>:;<4:b?g5347o=;<4b7?g5347o=;<4a7?g534e1094?4|V8no708m1;3a0>{t9l:86=4={_3gf>;1j:0:n95rs0g30?6=:rT:hl526c095g28:181[7c?27=mk4>b59~w4c703:1>vP>d79>2dd=9k>0q~?j0883>7}Y9m?01;ok:0`7?xu6m9k1<75<5sW;o?639a982f1=z{8o;o7>52z\2`7=:>hk1=o:4}r3f4a<72;qU=h74=7c:>4d33ty:i=k50;0xZ4c?340;296~X6m?16:l851c68yv7b980;6?uQ1d7893g528h?7p}>e0094?4|V8o?708n4;3a0>{t9l;86=4={_3f7>;1i:0:n95rs0g20?6=:rT:i?5268d95g2;<4b4?7e<2wx=h?8:181[22027=n:4=b`9~w4c603:1=luQ18g893>0283n70878;3:a>;1000:5h5269c95m27=4i4>9d9>2=c=90o01;6i:0;f?80>83;2i6399082=`=:>081=4k4=7;0>4?b34<287?6e:?5=0<61l16:48518g893?0283n70868;3:a>;1100:5h5268c95m27=5i4>9d9>2{_3bb>;10>0:9h5269:950c<5?226<;j;<4;e?72m27=4o4>5d9>2=e=9i6398g821`=:>0:1=8k4=7;2>43b34<2>7?:e:?5=6<6=l16:4:514g893?228?n70866;36a>;11>0:9h5268:950c<5?326<;j;<4:e?72m27=5o4>5d9>2m3;>i6399g82ec=:>h:1=lh4=7c2>4ga347?nf:?5e6<6io16:l:51`d893g228km708n6;3bb>;1i>0:mk526`:95d`<5?k26ag9>2de=9hl01;ok:0ce?80fm3;jj639ag82ec=:>k:1=lh4=7`2>4ga347?nf:?5f6<6io16:o:51`d893d228km708m6;3bb>{t9l;j6=4={_3ee>;11l0??85rs0g2f?6=:rT:j55268f9063vP>f29>27}Y9o801;77:516?xu6m;;1<7?3>896s|1d01>5<5sW;m<639978770=z{8o9?7>52z\2ac=:>0?18>;4}r3f61<72;qU=hk4=7;7>1523ty:i?;50;0xZ4cc34<2?7:<5:p5`412909wS?jc:?5=7<3;<1v7p}>e3;94?4|V;::7087f;601>{t9l8j6=4={_034>;10l0??85rs0g1f?6=:rT:jk5269f9063n4?:3y]5cc<5?2h69=:;|q2a7b=838pRvP>fc9>2=?=<:?0q~?j3183>7}Y9o?01;67:516?xu6m:;1<7896s|1d11>5<61r7=4;4=b79>2<`=i=16:l>5a59>2d7=i=16:l<5a59>2d5=i=16:l:5a59>2d3=i=16:l85a59>2d1=i=16:l65a59>2d?=i=16:lo5a59>2dd=i=16:lm5a59>2db=i=16:lk5a59>2d`=i=16:o>5a59>2g7=i=16:o<5a59>2g5=i=16:o:5a59>2g3=i=16:o85a59~w4c4;3:1>v398682f1=:>h:18>;4}r3f71<72;q6:5651c6893g62=9>7p}>e2794?4|5?22652z?53>896s|1d1b>5<5s4<3i7?m4:?5e2<3;<1v2<6=9k>01;o6:516?xu6m:n1<74d334;1i10??85rs0g0b?6=:r7=5>4>b59>2de=<:?0q~?j4183>7}:>0>1=o:4=7cg>1523ty:i9?50;0x93?228h?708nb;601>{t9l>96=4={<4:2?7e<27=mk4;349~w4c3;3:1>v399682f1=:>k:18>;4}r3f01<72;q6:4651c6893gb2=9>7p}>e5794?4|5?326i3;i8639b28770=z{8o?;7>52z?5=g<6j=16:o?54278yv7b<10;6?u268a95g2<5?h>69=:;|q2a1?=838p1;7k:0`7?80e>3>896s|1d6b>5<5s4<2i7?m4:?5f1<3;<1v0l1=4k4=7c3>4?b34;1i?0:5h526`595m27=ml4>9d9>2dd=90o01;ol:0;f?80fl3;2i639ad82=`=:>hl1=4k4=7`3>4?b34;1j?0:5h526c597=go7=t63802d??<4?;:k240<72->8>7??6:l774<632c:<94?:%606?77>2d??<4=;:k2a4<72->8>7?j0:l774<732c:hk4?:%606?7b82d??<4>;:k2``<72->8>7?j0:l774<532c:hi4?:%606?7b82d??<4<;:k2`g<72->8>7?j0:l774<332c:hl4?:%606?7b82d??<4:;:k2`<<72->8>7?j0:l774<132c:h54?:%606?7b82d??<48;:k2`2<72->8>7?j0:l7748>7?j0:l7748>7?j0:l7748>7?j0:l7748>7?j0:l774<6821b=h850;&777<6m91e8>?51098m4c2290/8><51d28j15628807d?j4;29 15528o;7c:<1;30?>o6m:0;6):<2;3f4>h3;80:865f1d094?"3;;0:i=5a423950=8>7?i9:l774<632e:j:4?:%606?7a12d??<4=;:m2b3<72->8>7?i9:l774<432e:j94?:%606?7a12d??<4;;:m2b6<72->8>7?i9:l774<232e:j?4?:%606?7a12d??<49;:m2b4<72->8>7?i9:l774<032e:j=4?:%606?7a12d??<47;:m2ac<72->8>7?i9:l774<>32e:ih4?:%606?7a12d??<4n;:m2aa<72->8>7?i9:l7748>7?i9:l7748>7?i9:l7740:9l5c`=83.???4>f89m067=9810c:008?j7al3:1(9==:0d:?k2493;876a>fb83>!24:3;m56`;30820>=h9oh1<7*;3382b<=i<:;1=854o0d6>5<#<:81=k74n512>40<3f;nm7>5$511>4`>3g>8=7?8;:`5f<<7280;6=u+45f96g1<@=?h7E::0:m1f3<722wi:oo50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a2gd=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:om50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a2gb=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:ok50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a2g`=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:n>50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a2f7=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:n<50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a2f5=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:n:50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a2f3=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:n850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a2f1=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:n650;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a2f?=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:no50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a2fd=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:nm50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a2fb=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:nk50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a2f`=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:i>50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a2a7=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi:i<50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>m91<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a2a2=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm6e794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:i850;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>m=1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a2a>=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm6e;94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:io50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>mh1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a2ae=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm6ef94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:ik50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>ml1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a2`6=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm6d394??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:h<50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>l91<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a2`2=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm6d794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:h850;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e>l=1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a2`>=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm6d;94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi:ho50;194?6|,=>o6?ml;I66g>N3=91/>ok59b9j6g?=831b>oo50;9l7=g=831v2h901;jn:`1893be2h901;jl:`1893bc2h901;jj:`1893ba2h901;k?:`1893c62h901;k=:`1893c42h901;k;:`1893c22h901;k9:`1893c02h901;k7:`1893c>2h90q~?j4e83>4?|V8:>708k2;c1?80c;3k9708k4;c1?80c=3k9708k6;c1?80c?3k9708k8;c1?80c13k9708ka;c1?80cj3k9708kc;c1?80cl3k9708ke;c1?80cn3k9708j0;c1?80b93k9708j2;c1?80b;3k9708j4;c1?80b=3k9708j6;c1?80b?3k9708j8;c1?80b13k97p}>e5g94?7>sW;;8639d38b5>;1l:0j=639d58b5>;1l<0j=639d78b5>;1l>0j=639d98b5>;1l00j=639d`8b5>;1lk0j=639db8b5>;1lm0j=639dd8b5>;1lo0j=639e18b5>;1m80j=639e38b5>;1m:0j=639e58b5>;1m<0j=639e78b5>;1m>0j=639e98b5>;1m00j=6s|1d6e>5<5sW;n=639e682f1=z{8o><7>52z\2`c=:>l31=o:4}r3f14<72;qU=ik4=7g;>4d33ty:i8<50;0xZ4bc34e4494?4|V8n3708j3;3a0>{t9l?<6=4={_3g3>;1m;0:n95rs0g6b59~w4c2k3:1>vP>d39>2ab=9k>0q~?j5e83>7}Y9l301;jl:0`7?xu6m5<5sW;n;639d`82f1=z{8o=<7>52z\2a3=:>m31=o:4}r3f24<72;qU=h;4=7f6>4d33ty:i;<50;0xZ4c334<0;6?uQ1ea893b328h?7p}>e7494?4|V8n:708k3;3a0>{t9l<<6=4={_66<>;1mh09nl5rs0g54?b34;1k90:5h526b395m27=o94>9d9>2f3=90o01;m9:0;f?80d?3;2i639c982=`=:>j31=4k4=7ab>4?b34;1l90:5h526e395;1jl0:9h526cd950c<5?i;6<;j;<4`5?72m27=o?4>5d9>2f5=9i639c7821`=:>j=1=8k4=7a;>43b34;1kl0:9h526bd950c<5?n;6<;j;<4g5?72m27=h?4>ag9>2a5=9hl01;j;:0ce?80c=3;jj639d782ec=:>m=1=lh4=7f;>4ga34;1ll0:mk526ed95d`<5?o;6ag9>2`5=9hl01;k;:0ce?80b=3;jj639e782ec=:>l=1=lh4=7g;>4ga3454278yv7b>j0;6?uQ1g5893ea2=9>7p}>e7f94?4|V8l=708le;601>{t9l;1km0??85rs0g5b?6=:rT:j>526ba9063;<4`e?24=2wx=h9=:181[7a827=o44;349~w4c0;3:1>vP>eg9>2f>=<:?0q~?j7583>7}Y9lo01;m8:516?xu6m>?1<73>896s|1d55>5<5sW;no639c48770=z{8o<;7>52z\2ag=:>j>18>;4}r3f3=<72;qU>=<4=7a0>1523ty:i:750;0xZ766347:<5:p5`1f2909wS54278yv7b?j0;6?uQ1gg893da2=9>7p}>e6f94?4|V8lo708me;601>{t9l=n6=4={_3eg>;1jm0??85rs0g4b?6=:rT:jo526ca9063m91m9526e69e1=:>m?1m9526e49e1=:>m=1m9526e:9e1=:>m31m9526ec9e1=:>mh1m9526ea9e1=:>mn1m9526eg9e1=:>ml1m9526d29e1=:>l;1m9526d09e1=:>l91m9526d69e1=:>l?1m9526d49e1=:>l=1m9526d:9e1=:>l31m95rs0g;7?6=:r7=nl4>b59>2a5=<:?0q~?j8583>7}:>kh1=o:4=7f7>1523ty:i5;50;0x93dd28h?708k2;601>{t9l2=6=4={<4a`?7e<27=h;4;349~w4c??3:1>v39bd82f1=:>m=18>;4}r3f<=<72;q6:oh51c6893b22=9>7p}>e9;94?4|5?i;652z?5g7<6j=16:i654278yv7b0j0;6?u26b195g2<5?nh69=:;|q2a=b=838p1;m;:0`7?80cl3>896s|1d:f>5<5s42f1=9k>01;k?:516?xu6m0;1<74d334;1m;0??85rs0g:7?6=:r7=ol4>b59>2`5=<:?0q~?j9583>7}:>jh1=o:4=7g2>1523ty:i4;50;0x93ed28h?708j5;601>{t9l3=6=4={<4``?7e<27=i;4;349~w4c>?3:1>v39cd82f1=:>l>18>;4}r3f==<72;q6:nh51c6893c?2=9>7p}>e8;94?4|5?n;6518y>2a4=90o01;j<:0;f?80c<3;2i639d482=`=:>m<1=4k4=7f4>4?b34;1lm0:5h526eg95m27=i<4>9d9>2`4=90o01;k<:0;f?80b<3;2i639e482=`=:>l<1=4k4=7g4>4?b349533864~N3=91/89j51gf0?_72k39p:?4<8;6b>xo68>0;6):<2;332>h3;80;76g>0483>!24:3;;:6`;3082?>o68=0;6):<2;332>h3;80976g>e083>!24:3;n<6`;3083?>o6lo0;6):<2;3f4>h3;80:76g>dd83>!24:3;n<6`;3081?>o6lm0;6):<2;3f4>h3;80876g>dc83>!24:3;n<6`;3087?>o6lh0;6):<2;3f4>h3;80>76g>d883>!24:3;n<6`;3085?>o6l10;6):<2;3f4>h3;80<76g>d683>!24:3;n<6`;308;?>o6l?0;6):<2;3f4>h3;80276g>d483>!24:3;n<6`;308b?>o6l=0;6):<2;3f4>h3;80i76g>d283>!24:3;n<6`;308`?>o6l;0;6):<2;3f4>h3;80o76g>e883>!24:3;n<6`;308f?>o6m10;6):<2;3f4>h3;80m76g>e683>!24:3;n<6`;30824>=n9l<1<7*;3382a5=i<:;1=<54i0g6>5<#<:81=h>4n512>44<3`;n87>5$511>4c73g>8=7?<;:k2a6<72->8>7?j0:l774<6<21b=h<50;&777<6m91e8>?51498m4bd290/8><51d28j15628<07d?k1;29 15528o;7c:<1;34?>o3=10;66g>9d83>>o3<=0;66g>ag83>>i6nh0;6):<2;3e=>h3;80;76a>f983>!24:3;m56`;3082?>i6n>0;6):<2;3e=>h3;80976a>f783>!24:3;m56`;3080?>i6n=0;6):<2;3e=>h3;80?76a>f283>!24:3;m56`;3086?>i6n;0;6):<2;3e=>h3;80=76a>f083>!24:3;m56`;3084?>i6n90;6):<2;3e=>h3;80376a>eg83>!24:3;m56`;308:?>i6ml0;6):<2;3e=>h3;80j76a>ee83>!24:3;m56`;308a?>i6mj0;6):<2;3e=>h3;80h76a>ec83>!24:3;m56`;308g?>i58;0;6):<2;3e=>h3;80n76a=0083>!24:3;m56`;308e?>i5890;6):<2;3e=>h3;80:<65`1gd94?"3;;0:j45a423954=4:9l5cd=83.???4>f89m067=9<10c:048?j7bi3:1(9==:0d:?k2493;<76l9eb83>4<729q/89j52c58L13d3A>><6a=b783>>{e>ln1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm6dg94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>ll1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm6g294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>o;1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm6g094?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>o91<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm6g694?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>o?1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm6g494?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>o=1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm6g:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>o31<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm6gc94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>oh1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm6ga94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>on1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm6gg94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e>ol1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm71294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?9;1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm71094?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?991<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm71694?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?9?1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a350=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm71594??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi;=650;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e?931<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a35g=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm71`94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi;=m50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e?9n1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a35c=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm71d94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi;<>50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e?8;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a344=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm70194??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi;<:50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e?8?1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a340=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm70594??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi;<650;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e?831<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a34g=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm70`94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi;o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e?8n1<7=50;2x 12c2;ih7E::c:J715=#:ko15n5f2c;94?=n:kk1<75`39c94?=z{8o2o7>518y]551<5>:>6l=4=625>d5<5>:<6l=4=62;>d5<5>:26l=4=62b>d5<5>:i6l=4=62`>d5<5>:o6l=4=62f>d5<5>:m6l=4=633>d5<5>;:6l=4=631>d5<5>;86l=4=637>d5<5>;>6l=4=635>d5<5>;<6l=4=63;>d5<5>;26l=4=63b>d5<5>;i6l=4=63`>d54n1:?451b59~w4cf83:1>vP>dg9>34e=9k>0q~?ja083>7}Y9mo01:?m:0`7?xu6mh81<75<5sW;on6381882f1=z{8oj87>52z\2`d=:?821=o:4}r3fe0<72;qU=i74=637>4d33ty:il850;0xZ4b?34=::7?m4:p5`g02909wS?k7:?450<6j=1ve`c94?4|V8n?709>2;3a0>{t9lki6=4={_3g7>;08l0:n95rs0gbg?6=:rT:h?5270295g2:m6b59~w4ce83:1>vP>e79>35e=9k>0q~?jb083>7}Y9l?01:>7:0`7?xu6mk81<75<5sW;n?6380882f1=z{8oi87>52z\2a7=:?9?1=o:4}r3ff0<72;qU=im4=624>4d33ty:io850;0xZ4b634=;:7?m4:p5`d02909wS::8:?45a<5jh1vo:1=4k4=7d2>4?b347?6e:?5b6<61l16:k:518g893`2283n708i6;3:a>;1n>0:5h526g:95m27=jo4>9d9>2ce=90o01;hk:0;f?80am3;2i639fg82=`=:?9:1=4k4=622>4?b34=;>7?6e:?446<61l16;=:518g8927c2;h27p}>ec;94?56sW;jj639ee821`=:>lo1=8k4=7ge>43b34;1n<0:9h526g4950c<5?l<6<;j;<4e5d9>2cg=9i639fe821`=:>oo1=8k4=7de>43b34=;<7?:e:?444<6=l16;=<514g8926428?n709?4;36a>;08<0:mk5271495d`<5>:<6ag9>35g=9hl01:>m:0ce?817k3;jj6380e82ec=:?9o1=lh4=62e>4ga34=:<7?nf:?454<6io16;<<51`d8927428km709>4;3bb>;09<0:mk5270495d`<5>;<6ag9>34g=9hl01:?m:0ce?816k3;jj6s|1d`b>5<5sW;mm638058770=z{8oin7>52z\2b==:?9918>;4}r3fff<72;qU=k94=621>1523ty:ioj50;0xZ4`134=;=7:<5:p5`db2909wS?i4:?445<3;<1v7p}>eb394?4|V8l:708id;601>{t9li96=4={_3e4>;1nj0??85rs0g`7?6=:rT:ik526g`9063vP>ec9>2c1=<:?0q~?jc983>7}Y:9801;h9:516?xu6mj31<7896s|1dab>5<5sW8;<639f58770=z{8ohn7>52z\2bc=:>o918>;4}r3fgf<72;qU=kk4=7d1>1523ty:inj50;0xZ4`c347p}>ee394?4|V8oj708jd;601>{t9ln96=4>9z?5af<5j?16;=;5a59>350=i=16;=95a59>35>=i=16;=75a59>35g=i=16;=l5a59>35e=i=16;=j5a59>35c=i=16;=h5a59>346=i=16;344=i=16;<=5a59>342=i=16;<;5a59>340=i=16;<95a59>34>=i=16;<75a59>34g=i=16;34e=i=1v2`c=9k>01:>8:516?xu6mm?1<74d334=;97:<5:p5`b12909w08i0;3a0>;0800??85rs0gg3?6=:r7=j<4>b59>35g=<:?0q~?jd983>7}:>o81=o:4=62;>1523ty:ii750;0x93`428h?709?c;601>{t9lnj6=4={<4e0?7e<27<v39f482f1=:?9h18>;4}r3f`f<72;q6:k851c68926a2=9>7p}>eef94?4|5?l<652z?5b<<6j=16;<<54278yv7bm90;6?u26gc95g2<5>;869=:;|q2a`7=838p1;hm:0`7?81693>896s|1dg1>5<5s42cc=9k>01:?;:516?xu6ml?1<74d334=:47:<5:p5`c12909w09?0;3a0>;0900??85rs0gf3?6=:r7<<<4>b59>341=<:?0q~?je983>7}:?981=o:4=63a>1523ty:ih750;0x926428h?709>c;601>{t9loj6=4={<530?7e<27<=l4;349~w4cbj3:1=4u271795:=6<7j;<533?7>m27<<54>9d9>35?=90o01:>n:0;f?817j3;2i6380b82=`=:?9n1=4k4=62f>4?b34=;j7?6e:?455<61l16;3;3:a>;09=0:5h5270795;=6<7j;<523?7>m27<=54>9d9>34?=90o01:?n:0;f?816j3;2i6381b82=`=:?8n1?5o4}|`45`<72=81?5497zJ715=#<=n1=8;j;[36g?3|0m09n76j:3g96c5<#<:81==j4n512>4=5<#<:81==j4n512>6=5<#<:81>=l4n512>4=5<#<:81>=l4n512>6=5<#<:81>=l4n512>0=6=4+420965d5<#<:81>=l4n512>2=5<#<:81>=l4n512><=5<#<:81>=l4n512>g=5<#<:81>=l4n512>a=6=4+420965d5<#<:81>=l4n512>c=4;h037?6=,=996?>m;o605?7632c:=84?:%606?76<2d??<4?;:k256<72->8>7?>4:l774<632c:=?4?:%606?76<2d??<4=;:k255<72->8>7?>4:l774<432c?944?::k2=`<722c?894?::k2ec<722e9?h4?:%606?44l2d??<4?;:m17f<72->8>7<8>7<8>7<8>7<8>7<32e9:94?:%606?44l2d??<4n;:m126<72->8>7<8>7<8>7<0:9l663=83.???4=3e9m067=9810c?9?:18'064=:?l0b9=>:198k70b290/8><527d8j1562810c?8k:18'064=:?l0b9=>:398k70d290/8><527d8j1562:10c?8m:18'064=:?l0b9=>:598k70f290/8><527d8j1562<10c?86:18'064=:?l0b9=>:798k70?290/8><527d8j1562>10c?77:18'064=:?l0b9=>:998k7?0290/8><527d8j1562010c?79:18'064=:?l0b9=>:`98k7?2290/8><527d8j1562k10c?7;:18'064=:?l0b9=>:b98k7?4290/8><527d8j1562m10c?66:18'064=:?l0b9=>:d98k71b290/8><527d8j1562o10c?9<:18'064=:?l0b9=>:028?j41?3:1(9==:34e?k2493;:76l81g83>4<729q/89j52c58L13d3A>><6a=b783>>{e?;:1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm73394?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?;81<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm73194?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?;>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm73794?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?;<1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm73594?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?;21<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm73;94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?;k1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm73`94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?;i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm73f94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?;o1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm73d94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?::1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm72394?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?:81<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm72194?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?:>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm72794?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?:<1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm72594?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?:21<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm72;94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?:k1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm72`94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?:i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm72f94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?:o1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm72d94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?=:1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm75394?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?=81<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm75194?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?=>1<7h50;2x 12c2=937E::c:J715=#:ko1h6gn1;29?lg52900el=50;9je1<722cj97>5;h3a0?6=3`82j7>5;h0b4?6=3`8j=7>5;h0b6?6=3`8j?7>5;h663?6=3`>>47>5;n67e?6=3f82i7>5;|`400<72o0;6=u+45f906><@=?h7E::0:&1f`<6>2cj=7>5;hc1>5<>of=3:17d?m4;29?l4>n3:17dm3:17pl84783>c<729q/89j542:8L13d3A>><6*=bd825>of93:17do=:188md5=831bm94?::kb1?6=3`;i87>5;h0:b?6=3`8j<7>5;h0b5?6=3`8j>7>5;h0b7?6=3`>>;7>5;h66?m7>5;n0:a?6=3th<8:4?:g83>5}#<=n18>64H57`?M2282.9nh4l;hc2>5<>of<3:17do::188m4d32900e?7i:188m7g72900e?o>:188m7g52900e?o<:188m1302900e9;7:188k12f2900c?7j:188yg1303:1j7>50z&70a<3;11C88m4H573?!4em3;;7do>:188md4=831bm>4?::kb0?6=3`k>6=44i0`7>5<5<5<5<5<1<75f28d94?=n:h:1<75f2`394?=n:h81<75f2`194?=n<<=1<75f44:94?=h<=k1<75`28g94?=zj>>i6=4i:183!23l3>846F;5b9K006<,;hn64h50;9j6d6=831b>l?50;9j6d4=831b>l=50;9j001=831b88650;9l01g=831d>4k50;9~f22d290m6=4?{%67`?2402B?9n5G4428 7db2820el?50;9je7<722cj?7>5;hc7>5<5N3=j1C88>4$3`f>`=ni80;66gn2;29?lg42900el:50;9je0<722c:n94?::k1=c<722c9m=4?::k1e4<722c9m?4?::k1e6<722c?9:4?::k71=<722e?8l4?::m1=`<722wi;9k50;d94?6|,=>o69=7;I66g>N3=91/>ok51e9je4<722cj>7>5;hc0>5<1<75fa483>>o6j=0;66g=9g83>>o5i90;66g=a083>>o5i;0;66g=a283>>o3=>0;66g;5983>>i3>{e?=l1<7h50;2x 12c2=937E::c:J715=#:ko1=95fa083>>of:3:17do<:188md2=831bm84?::k2f1<722c95k4?::k1e5<722c9m<4?::k1e7<722c9m>4?::k712<722c?954?::m70d<722e95h4?::a306=83l1<7>t$56g>15?3A>>o6F;519'6gc=m2cj=7>5;hc1>5<>of=3:17d?m4;29?l4>n3:17dm3:17pl85083>c<729q/89j542:8L13d3A>><6*=bd8f?lg62900el<50;9je6<722cj87>5;hc6>5<5<5<5<5<j6=44o3;f>5<>7>5f;294~"34h50;9j6d6=831b>l?50;9j6d4=831b>l=50;9j001=831b88650;9l01g=831d>4k50;9~f234290m6=4?{%67`?2402B?9n5G4428 7db2l1bm<4?::kb6?6=3`k86=44i`694?=ni<0;66g>b583>>o51o0;66g=a183>>o5i80;66g=a383>>o5i:0;66g;5683>>o3=10;66a;4`83>>i51l0;66sm74694?`=83:p(9:k:51;?M22k2B?9=5+2cg9a>of93:17do=:188md5=831bm94?::kb1?6=3`;i87>5;h0:b?6=3`8j<7>5;h0b5?6=3`8j>7>5;h0b7?6=3`>>;7>5;h66?m7>5;n0:a?6=3th<984?:g83>5}#<=n18>64H57`?M2282.9nh4j;hc2>5<>of<3:17do::188m4d32900e?7i:188m7g72900e?o>:188m7g52900e?o<:188m1302900e9;7:188k12f2900c?7j:188yg12>3:1?7>50z&70a<5kj1C88m4H573?!4em33h7d;0<=0j8638448b0>;0;0<10j8638488b0>;0;0;0;0=90j8638508b0>;0=;0j8638528b0>;0==0j8638548b0>{t9loo6=4>3z\24f=:?=>1m>527579e6=:?=<1m>527559e6=:?=21m>5275;9e6=:?=k1m>5275`9e6=:?=i1m>5275f9e6=:?=o1m>5275d9e6=:?<:1m>527439e6=:?<81m>527419e6=:?<>1m>527479e6=z{8oni7>512y]55d<5>>?6l<4=666>d4<5>>=6l<4=664>d4<5>>36l<4=66:>d4<5>>j6l<4=66a>d4<5>>h6l<4=66g>d4<5>>n6l<4=66e>d4<5>?;6l<4=672>d4<5>?96l<4=670>d4<5>??6l<4=676>d434=?87o>;<571?g634=?:7o>;<573?g634=?47o>;<57=?g634=?m7o>;<57f?g634=?o7o>;<57`?g634=?i7o>;<57b?g634=><7o>;<565?g634=>>7o>;<567?g634=>87o>;<561?g63ty:ik>50;0xZ76d34=>97?m4:p5``62909wSeg694?4|V;:<709:1;3a0>{t9ll>6=4={_032>;0=90:n95rs0ge2?6=:rT9<85275f95g2>m6=838pR?=;;<57a?7e<2wx=hh6:181[44;27<8n4>b59~w4cai3:1>vP=339>31d=9k>0q~?jfc83>7}Y::;01::n:0`7?xu6moi1<75<5sW89j6384982f1=z{8omi7>52z\160=:?==1=o:4}r3fbc<72;qU>4d33ty:j=>50;0xZ76a34=?:7?m4:p5c662909wS6384781e7=:?==1>l<4=66;>7g534=?57;0?;6?o=;<565?4f:27<9?4=a39>305=:h801:;;:3c1?812=38j>6s|1g20>5<6;rT:=>5275696d7<5>>>6?o>;<572?4f927<8:4=a09>31>=:h;01::6:3c2?813i38j=6384c81e4=:?=i1>l?4=66g>7g634=?i752`3892362;k:709:2;0b5>;0=:09m<5274696d7<5>?>6?o>;|q2b52=83;8wS?>2:?401<5i916;9;52`2892212;k;709;7;0b4>;0<109m=5275;96d6<5>>j6?o?;<57f?4f827<8n4=a19>31b=:h:01::j:3c3?813n38j<6385181e5=:?<;1>l>4=671>7g734=>?74h4=664>7?a34=?47<6f:?40<<51o16;9o528d8922e2;3m709;c;0:b>;0>m6?7i;<564?4>n27<9<4=9g9>304=:0l01:;<:3;e?812<382j6385481=c=z{8l;:7>52z\71<=:?<<1>oo4}r3e42<72;m27<><4>9d9>374=90o01:<<:0;f?815<3;2i6382482=`=:?;<1=4k4=604>4?b34=947?6e:?46<<61l16;?o518g8924e283n709=c;3:a>;0:m0:5h5273g958m6<7j;<504?7>m279d9>364=90o01:=<:0;f?814<3;2i6383482=`=:?:<1=4k4=614>4?b34=847?6e:?47<<61l16;>o518g8925e283n709;0;m0:5h5272g959m6<7j;<574?7>m27<8<4>9d9>314=90o01::<:0;f?812>38i56s|1g2;>5<4?rT:mk52732950c<5>8:6<;j;<516?72m27<>>4>5d9>372=93;>i63826821`=:?;21=8k4=60:>43b34=9m7?:e:?46g<6=l16;?m514g8924c28?n709=e;36a>;0:o0:9h52722950c<5>9:6<;j;<506?72m274>5d9>362=93;>i63836821`=:?:21=8k4=61:>43b34=8m7?:e:?47g<6=l16;>m514g8925c28?n709;0;o0:9h52752950c<5>>:6<;j;<576?72m27<8>4>5d9>312=<<=01::::574?813>3>>;638468712=:?=218894=66:>13034=?m7::7:?40g<3=>16;9m54458922c2=?<709;e;663>;0?:69;8;<566?22?27<9>4;569>302=<<=01:;::574?xu6n931<7896s|1g2b>5<5sW88o638318770=z{8l;n7>52z\17g=:?;l18>;4}r3e4f<72;qU>>o4=60f>1523ty:j=j50;0xZ75>34=9h7:<5:p5c6b2909wS<<8:?46f<3;<1v16;?l54278yv7a990;6?uQ2248924f2=9>7p}>f0394?4|V;<=709=9;601>{t9o;96=4={_051>;0:10??85rs0d27?6=:rT9:95273590638=69=:;|q2b43=838pR?8=;<511?24=2wx=k?9:181[41927<>94;349~w4`6?3:1>vP=569>375=<:?0q~?i1983>7}Y:=i01:<=:516?xu6n831<7896s|1g3b>5<5sW889638218770=z{8l:n7>52z\135=:?=918>;4}r3e5f<72;qU>;k4=661>1523ty:jf;296~X5>k16;>h54278yv7a:90;6?uQ27c8925b2=9>7p}>f3394?4|V;<2709{t9o896=4={_05<>;0;j0??85rs0d17?6=:rT9555272`906394?:3y]6<1<5>9j69=:;|q2b73=838pR?79;<50=?24=2wx=k<9:181[4>=27vP=959>361=<:?0q~?i2983>7}Y:0901:=9:516?xu6n;31<7896s|1g0b>5<5sW852z\136=:?:918>;4}r3e6f<72;qU>;94=611>1523ty:j?j50;06816n38i:638458b1>;0<=09m>527579e0=:?=?1>l=4=665>d3<5>>=6?o<;<573?g234=?;731?=i<16;9752`18922f2h?01::n:3c0?813j3k>709;b;0b7>;0>n6l;4=66f>7g434=?j7o:;<57b?4f;27<9=4n5:?415<5i:16;8?5a49>307=:h901:;=:`7892352;k8709:3;c6?812;38j?638558b1>;0==09m>527479e0=:?l=4}r3e6`<72;q6;?>51c6892222=>j7p}>f3d94?4|5>8:652z?466<6j=16;99545c8yv7a;;0;6?u273695g2<5>>369:n;|q2b65=838p1:<::0`7?81313>?m6s|1g17>5<5s4=9:7?m4:?40d<30:n95275`901g37>=9k>01::l:56b?xu6n:=1<74d334=?i7:;a:p5c5?2909w09=a;3a0>;0o4>b59>31b=<=k0q~?i3`83>7}:?;i1=o:4=673>12f3ty:j>l50;0x924c28h?709:1;67e>{t9o9h6=4={<51a?7e<27<9?4;4`9~w4`4l3:1>v382g82f1=:?<9189o4}r3e7`<72;q6;>>51c6892332=>j7p}>f2d94?4|5>9:652z?476<6j=16;98528g8yv7a<;0;6?u272695g2<5>>?6?7j;|q2b15=838p1:=::0`7?813?382i6s|1g67>5<5s4=8:7?m4:?40=<51l1v0:n95275;9636>=9k>01::n:3;f?xu6n==1<74d334=?n7<6e:p5c2?2909w09;0b59>31c=:0o0q~?i4`83>7}:?:i1=o:4=66e>7?b3ty:j9l50;0x925c28h?709;d;0:a>{t9o>h6=4={<50a?7e<27<9=4=9d9~w4`3l3:1>v383g82f1=:?<;1>4k4}r3e0`<72;q6;9>51c6892352;3n7p}>f5d94?4|5>>:6m2wx=k;?:181813:3;i86385581=`=z{8l>=7>52z?406<6j=16;8;528g8yv7a=;0;6<=t=667>13?34=?97::8:?403<3=116;99544:8922?2=?3709;9;66<>;0<5>>h69;7;<57`?22027<8h4;599>31`=<<201:;?:57;?81293>>463853871==:?<918864=677>13?34=>97::8:?413<40h1vqo9:7;2907<5>3>8wE::0:&70a<6=h4=f;j55c=83.???4>0e9m067=821b==m50;&777<68m1e8>?51:9j55d=83.???4>0e9m067=:21b==750;&777<68m1e8>?53:9j65e=83.???4=0c9m067=821b>=o50;&777<58k1e8>?51:9j65?=83.???4=0c9m067=:21b>=650;&777<58k1e8>?53:9j651=83.???4=0c9m067=<21b>=850;&777<58k1e8>?55:9j653=83.???4=0c9m067=>21b>=:50;&777<58k1e8>?57:9j662=83.???4=0c9m067=021b>>=50;&777<58k1e8>?59:9j664=83.???4=0c9m067=i21b>>?50;&777<58k1e8>?5b:9j666=83.???4=0c9m067=k21b>?h50;&777<58k1e8>?5d:9j673=83.???4=0c9m067=m21b>?5f:9j65`=83.???4=0c9m067=9910e?><:18'064=:9h0b9=>:038?l76=3:1(9==:037?k2493:07d?>3;29 15528;?7c:<1;38?l76:3:1(9==:037?k2493807d?>0;29 15528;?7c:<1;18?l2213:17d?6e;29?l23<3:17d?nf;29?j44m3:1(9==:31g?k2493:07b<07b<<8;29 1552;9o7c:<1;78?j44?3:1(9==:31g?k2493<07b<<6;29 1552;9o7c:<1;58?j41>3:1(9==:31g?k2493207b<95;29 1552;9o7c:<1;;8?j41<3:1(9==:31g?k2493k07b<93;29 1552;9o7c:<1;`8?j41:3:1(9==:31g?k2493i07b<91;29 1552;9o7c:<1;f8?j42?3:1(9==:31g?k2493o07b<;c;29 1552;9o7c:<1;d8?j4393:1(9==:31g?k2493;;76a=3483>!24:388h6`;30825>=h:>:1<7*;33812c=i<:;1<65`27g94?"3;;09:k5a42395>=h:?n1<7*;33812c=i<:;1>65`27a94?"3;;09:k5a42397>=h:?h1<7*;33812c=i<:;1865`27c94?"3;;09:k5a42391>=h:?31<7*;33812c=i<:;1:65`27:94?"3;;09:k5a42393>=h:021<7*;33812c=i<:;1465`28594?"3;;09:k5a4239=>=h:0<1<7*;33812c=i<:;1m65`28794?"3;;09:k5a4239f>=h:0>1<7*;33812c=i<:;1o65`28194?"3;;09:k5a4239`>=h:131<7*;33812c=i<:;1i65`26g94?"3;;09:k5a4239b>=h:>91<7*;33812c=i<:;1==54o344>5<#<:81>;h4n512>47<3k=>47>51;294~"35;|`41<<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th<9l4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`41g<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th<9n4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`41a<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th<9h4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`41c<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th<:=4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`424<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th<:?4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`426<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th<:94?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`420<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th<:;4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`422<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th<:54?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`42<<72=0;6=u+45f96d`<@=?h7E::0:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;n601?6=3th<:l4?:583>5}#<=n1>lh4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3f>897>5;|`42g<72o0;6=u+45f906><@=?h7E::0:&1f`<6m2cj=7>5;hc1>5<>of=3:17d?m4;29?l4>n3:17dm3:17pl86b83>c<729q/89j542:8L13d3A>><6*=bd8g?lg62900el<50;9je6<722cj87>5;hc6>5<5<5<5<5<j6=44o3;f>5<5f;294~"34h50;9j6d6=831b>l?50;9j6d4=831b>l=50;9j001=831b88650;9l01g=831d>4k50;9~f20b290m6=4?{%67`?2402B?9n5G4428 7db2890el?50;9je7<722cj?7>5;hc7>5<5N3=j1C88>4$3`f>4?>of;3:17do;:188md3=831b=o:50;9j6<`=831b>l>50;9j6d7=831b>l<50;9j6d5=831b88950;9j00>=831d89o50;9l65<7s->?h7:<8:J71f=O<<:0(?lj:0c8md7=831bm?4?::kb7?6=3`k?6=44i`794?=n9k>1<75f28d94?=n:h:1<75f2`394?=n:h81<75f2`194?=n<<=1<75f44:94?=h<=k1<75`28g94?=zj>=:6=4i:183!23l3>846F;5b9K006<,;hn6<;4i`394?=ni;0;66gn3;29?lg32900el;50;9j5g2=831b>4h50;9j6d6=831b>l?50;9j6d4=831b>l=50;9j001=831b88650;9l01g=831d>4k50;9~f215290m6=4?{%67`?2402B?9n5G4428 7db28=0el?50;9je7<722cj?7>5;hc7>5<5N3=j1C88>4$3`f>==ni80;66gn2;29?lg42900el:50;9je0<722c:n94?::k1=c<722c9m=4?::k1e4<722c9m?4?::k1e6<722c?9:4?::k71=<722e?8l4?::m1=`<722wi;::50;d94?6|,=>o69=7;I66g>N3=91/>ok5b:kb5?6=3`k96=44i`194?=ni=0;66gn5;29?l7e<3:17d<6f;29?l4f83:17d"5jl0m7do>:188md4=831bm>4?::kb0?6=3`k>6=44i0`7>5<5<5<5<5<5;hc6>5<5<5<5<5<j6=44o3;f>5<5f;294~"34h50;9j6d6=831b>l?50;9j6d4=831b>l=50;9j001=831b88650;9l01g=831d>4k50;9~f21>290m6=4?{%67`?2402B?9n5G4428 7db28>0el?50;9je7<722cj?7>5;hc7>5<5N3=j1C88>4$3`f>`=ni80;66gn2;29?lg42900el:50;9je0<722c:n94?::k1=c<722c9m=4?::k1e4<722c9m?4?::k1e6<722c?9:4?::k71=<722e?8l4?::m1=`<722wi;:l50;d94?6|,=>o69=7;I66g>N3=91/>ok51c9je4<722cj>7>5;hc0>5<1<75fa483>>o6j=0;66g=9g83>>o5i90;66g=a083>>o5i;0;66g=a283>>o3=>0;66g;5983>>i3>{e?>i1<7h50;2x 12c2=937E::c:J715=#:ko1i6gn1;29?lg52900el=50;9je1<722cj97>5;h3a0?6=3`82j7>5;h0b4?6=3`8j=7>5;h0b6?6=3`8j?7>5;h663?6=3`>>47>5;n67e?6=3f82i7>5;|`43a<72:0;6=u+45f96fe<@=?h7E::0:&1f`<>k2c9n44?::k1fd<722e84l4?::p5c34290:?vP>0d9>33d=i=16;;m5a59>33b=i=16;;k5a59>33`=i=16;:>5a59>327=i=16;:<5a59>325=i=16;::5a59>323=i=16;:85a59>321=i=16;:65a59>32?=i=16;:o5a59>32d=i=16;:m5a59~w4`2<3:1=>uQ11a8920e2h901:8l:`18920c2h901:8j:`18920a2h901:9?:`1892162h901:9=:`1892142h901:9;:`1892122h901:99:`1892102h901:97:`18921>2h901:9n:`18921e2h901:9l:`18yv7a=<0;6<=t^02a?811j3k97099c;c1?811l3k97099e;c1?811n3k970980;c1?81093k970982;c1?810;3k970984;c1?810=3k970986;c1?810?3k970988;c1?81013k97098a;c1?810j3k97098c;c1?xu6n<<1<7?<{_33=>;0>k0j=6386b8b5>;0>m0j=6386d8b5>;0>o0j=638718b5>;0?80j=638738b5>;0?:0j=638758b5>;0?<0j=638778b5>;0?>0j=638798b5>;0?00j=6387`8b5>;0?k0j=6387b8b5>{t9o?<6=4={_03g>;0?j0:n95rs0d6=j67;<54=?7e<2wx=k;m:181[47?27<;54>b59~w4`2k3:1>vP=079>321=9k>0q~?i5e83>7}Y:9?01:9;:0`7?xu6n3;i86s|1g7e>5<5sW8886387482f1=z{8l=<7>52z\176=:?>91=o:4}r3e24<72;qU>><4=651>4d33ty:j;<50;0xZ75634=<=7?m4:p5c042909wS<<0:?435<6j=1v<0;6?uQ2378920b28h?7p}>f7494?4|V;;j7099b;3a0>{t9o<<6=4={_03b>;0>m0:n95rs0d55277a95g2;0?909m?5276396d4<5>=96?o=;<547?4f:27<;94=a39>323=:h801:99:3c1?810?38j>6387981e7=:?>31>l<4=65b>7g534=l?4=64e>7g634=<<7;0?<09m<5276496d7<5>=<6?o>;<5432g=:h;01:9m:3c2?810k38j=6s|1g4a>5<6;rT:=?5277`96d6<5>33`=:h:01:9?:3c3?810938j<6387381e5=:?>91>l>4=657>7g734=<97;0?h09m=5276`96d6<5>=h6?o?;|q2b3e=83;8wS?>0:?42g<51o16;;m528d8920c2;3m7099e;0:b>;0>o095k5276296<`<5>=:6?7i;<546?4>n27<;>4=9g9>322=:0l01:9::3;e?810>382j6387681=c=:?>21>4h4=65:>7?a34=m0;6?uQ44;8921c2;hj7p}>f7g94?73sW;2i6385882=`=:?4?b34=>o7?6e:?41a<61l16;8k518g8923a283n70990;3:a>;0>80:5h5277095<86<7j;<550?7>m27<:84>9d9>330=90o01:88:0;f?81103;2i6386882=`=:??k1=4k4=65g>7d>3ty:j;h50;06[7fn27<944>5d9>30g=9i6385e821`=:?43b34==<7?:e:?424<6=l16;;<514g8920428?n70994;36a>;0><0:9h52774950c<5><<6<;j;<555d9>33g=9>;6386e8712=:??o18894=64e>13034=<<7::7:?434<3=>16;:<5445892142=?<70984;663>;0?<0?9:527649001<5>=<69;8;<5432g=<<=01:9m:574?810k3>>;6s|1g53>5<5sW8<<6386`8770=z{8l<=7>52z\12`=:??318>;4}r3e37<72;qU>;j4=64;>1523ty:j:=50;0xZ70d34==;7:<5:p5c132909wS<9b:?423<3;<1vh16;;;54278yv7a??0;6?uQ27;892032=9>7p}>f6594?4|V;<370993;601>{t9o=36=4={_0:<>;0>;0??85rs0d4=?6=:rT95:527739063<;69=:;|q2b2d=838pR?7:;<56b?24=2wx=k9l:181[4><27<9h4;349~w4`0l3:1>vP=929>30b=<:?0q~?i7d83>7}Y:1301:;l:516?xu6n>l1<7896s|1g:3>5<5sW852z\122=:?<318>;4}r3e<7<72;?p1:;7:3`5?811j3k>7099b;0b7>;0>j0j96386b81e6=:??n1m85277f96d5<5>7g434==j7o:;<55b?4f;27<;=4n5:?435<5i:16;:?5a49>327=:h901:9=:`7892152;k870983;c6?810;38j?638758b1>;0?=09m>527679e0=:?>?1>l=4=655>d3<5>==6?o<;<543?g234=<;732?=i<16;:752`18921f2h?01:9n:3c0?810j3k>7098b;0b7>;0?j0j96387b81e6=z{8l3?7>52z?41<<6j=16;;m528g8yv7a0=0;6?u274c95g2<5>5<5s4=>o7?m4:?42`<51l1v30c=9k>01:9?:3;f?xu6n131<74d334=<=7<6e:p5c>f2909w0990;3a0>;0?;095h5rs0d;f?6=:r7<:<4>b59>325=:0o0q~?i8b83>7}:??81=o:4=656>7?b3ty:j5j50;0x920428h?70986;0:a>{t9o2n6=4={<550?7e<27<;94=9d9~w4`?n3:1>v386482f1=:?>=1>4k4}r3e=5<72;q6;;851c68921?2;3n7p}>f8394?4|5><<6m2wx=k7=:18181103;i86387`81=`=z{8l2?7>52z?42<<6j=16;:l528g8yv7a1=0;6?u277c95g2<5>=h6?7j;|q2b<3=83;8w099b;66<>;0>j0?955277f900><5>327=<<201:9=:57;?810;3>>463875871==:?>?18864=655>13?34=<;7::8:?43=<3=116;:7544:8921f2=?37098b;66<>;0?j0?955276f97=g5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<;k4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<4=4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<4<4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<4?4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<4>4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<494?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<484?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<4;4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<4:4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<454?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<444?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<4l4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<4o4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<4n4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<4i4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<4h4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<4k4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<5=4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<5<4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<5?4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<5>4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<594?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<584?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<5;4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<5:4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<554?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<544?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<5l4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<5o4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<5n4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<5i4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<5h4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th<5k4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th4?:483>5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th5}#<=n1>o?4H57`?M2282.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3tho7;t3181f?562;o1>k4ri02:>5<#<:81==64n512>5=5<#<:81>=l4n512>4=5<#<:81>=l4n512>6=5<#<:81>=l4n512>0=6=4+420965d5<#<:81>=l4n512>2=5<#<:81>=l4n512><=5<#<:81>=l4n512>g=5<#<:81>=l4n512>a=6=4+420965d5<#<:81>=l4n512>c=4;h037?6=,=996?>m;o605?7632c:==4?:%606?77n2d??<4?;:k71<<722c:5h4?::k701<722c:mk4?::m17`<72->8>7<;:m17g<72->8>7<8>7<8>7<8>7<8>7<4?:%606?44l2d??<4m;:m127<72->8>7<8>7<8>7<>;50;&777<5;m1e8>?51098k717290/8><527d8j1562910c?8j:18'064=:?l0b9=>:098k70c290/8><527d8j1562;10c?8l:18'064=:?l0b9=>:298k70e290/8><527d8j1562=10c?8n:18'064=:?l0b9=>:498k70>290/8><527d8j1562?10c?87:18'064=:?l0b9=>:698k7??290/8><527d8j1562110c?78:18'064=:?l0b9=>:898k7?1290/8><527d8j1562h10c?7::18'064=:?l0b9=>:c98k7?3290/8><527d8j1562j10c?7<:18'064=:?l0b9=>:e98k7>>290/8><527d8j1562l10c?9j:18'064=:?l0b9=>:g98k714290/8><527d8j15628:07b<97;29 1552;d0lo0;6<4?:1y'01b=:k=0D9;l;I664>i5j?0;66sm7d294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?l;1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm7d094?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?l91<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm7d694?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?l?1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm7d494?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?l=1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm7d:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?l31<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm7dc94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?lh1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm7da94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?ln1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm7dg94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?ll1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm7g294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e?o;1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm7g094?`=83:p(9:k:51;?M22k2B?9=5+2cg92>of93:17do=:188md5=831bm94?::kb1?6=3`;i87>5;h0:b?6=3`8j<7>5;h0b5?6=3`8j>7>5;h0b7?6=3`>>;7>5;h66?m7>5;n0:a?6=3th4?:g83>5}#<=n18>64H57`?M2282.9nh49;hc2>5<>of<3:17do::188m4d32900e?7i:188m7g72900e?o>:188m7g52900e?o<:188m1302900e9;7:188k12f2900c?7j:188yg1a<3:1j7>50z&70a<3;11C88m4H573?!4em3<0el?50;9je7<722cj?7>5;hc7>5<5N3=j1C88>4$3`f>3=ni80;66gn2;29?lg42900el:50;9je0<722c:n94?::k1=c<722c9m=4?::k1e4<722c9m?4?::k1e6<722c?9:4?::k71=<722e?8l4?::m1=`<722wi;k850;d94?6|,=>o69=7;I66g>N3=91/>ok56:kb5?6=3`k96=44i`194?=ni=0;66gn5;29?l7e<3:17d<6f;29?l4f83:17d0;6k4?:1y'01b=<:20D9;l;I664>"5jl0=7do>:188md4=831bm>4?::kb0?6=3`k>6=44i0`7>5<5<5<5<5<>of;3:17do;:188md3=831b=o:50;9j6<`=831b>l>50;9j6d7=831b>l<50;9j6d5=831b88950;9j00>=831d89o50;9l65<7s->?h7:<8:J71f=O<<:0(?lj:79je4<722cj>7>5;hc0>5<1<75fa483>>o6j=0;66g=9g83>>o5i90;66g=a083>>o5i;0;66g=a283>>o3=>0;66g;5983>>i3>{e?ok1<7h50;2x 12c2=937E::c:J715=#:ko1:6gn1;29?lg52900el=50;9je1<722cj97>5;h3a0?6=3`82j7>5;h0b4?6=3`8j=7>5;h0b6?6=3`8j?7>5;h663?6=3`>>47>5;n67e?6=3f82i7>5;|`4bg<72o0;6=u+45f906><@=?h7E::0:&1f`<13`k:6=44i`094?=ni:0;66gn4;29?lg22900e1<75f28d94?=n:h:1<75f2`394?=n:h81<75f2`194?=n<<=1<75f44:94?=h<=k1<75`28g94?=zj>lo6=4i:183!23l3>846F;5b9K006<,;hn6;5fa083>>of:3:17do<:188md2=831bm84?::k2f1<722c95k4?::k1e5<722c9m<4?::k1e7<722c9m>4?::k712<722c?954?::m70d<722e95h4?::a3cc=83l1<7>t$56g>15?3A>>o6F;519'6gc=>2cj=7>5;hc1>5<>of=3:17d?m4;29?l4>n3:17dm3:17pl8fg83>c<729q/89j542:8L13d3A>><6*=bd85?lg62900el<50;9je6<722cj87>5;hc6>5<5<5<5<5<j6=44o3;f>5<5f;294~"34h50;9j6d6=831b>l?50;9j6d4=831b>l=50;9j001=831b88650;9l01g=831d>4k50;9~f=66290m6=4?{%67`?2402B?9n5G4428 7db2?1bm<4?::kb6?6=3`k86=44i`694?=ni<0;66g>b583>>o51o0;66g=a183>>o5i80;66g=a383>>o5i:0;66g;5683>>o3=10;66a;4`83>>i51l0;66sm81094?`=83:p(9:k:51;?M22k2B?9=5+2cg92>of93:17do=:188md5=831bm94?::kb1?6=3`;i87>5;h0:b?6=3`8j<7>5;h0b5?6=3`8j>7>5;h0b7?6=3`>>;7>5;h66?m7>5;n0:a?6=3th3<>4?:g83>5}#<=n18>64H57`?M2282.9nh4;;hc2>5<>of<3:17do::188m4d32900e?7i:188m7g72900e?o>:188m7g52900e?o<:188m1302900e9;7:188k12f2900c?7j:188yg>7<3:1?7>50z&70a<5kj1C88m4H573?!4em33h7d;0n;0j=638f28b5>;0n=0j=638f48b5>;0n?0j=638f68b5>;0n10j=638f88b5>;0nh0j=638fc8b5>;0nj0j=638fe8b5>;0nl0j=638fg8b5>;?890j=637008b5>;?8;0j=637028b5>{t9o3<6=4={_03g>;?8:0:n95rs0d:7;<:34?7e<2wx=k7m:181[47?27b59~w4`>k3:1>vP=079>3cc=9k>0q~?i9e83>7}Y:9?01:hm:0`7?xu6n0o1<75<5sW888638fb82f1=z{8lj<7>52z\176=:?ok1=o:4}r3ee4<72;qU>><4=6d:>4d33ty:jl<50;0xZ75634=m47?m4:p5cg42909wS<<0:?4b2<6j=1vf`494?4|V;;j709i2;3a0>{t9ok<6=4={_03b>;0n=0:n95rs0db527g195g27<6f:?4b6<51o16;k:528d892`22;3m709i6;0:b>;0n>095k527g:96<`<5>l26?7i;<5ee?4>n273ce=:0l01:hk:3;e?81am382j638fg81=c=:09:1>4h4=922>7?a342;>7<6f:?;46<51o1v4?b34=n87?6e:?4a0<61l16;h8518g892c0283n709j8;3:a>;0m00:5h527dc95oi6<7j;<5fg?7>m279d9>3`c=90o01:ki:0;f?81a83;2i638f082=`=:09>1>o74}r3eef<72;?pR5d9>3`4=9i638e4821`=:?l<1=8k4=6g4>43b34=n47?:e:?4a<<6=l16;ho514g892ce28?n709jc;36a>;0mm0:9h527dg950c<5>om6<;j;<5e4?72m275d9>3c4=<<=01:h<:574?81a<3>>;638f48712=:?o<18894=6d4>13034=m47::7:?4b<<3=>16;ko5445892`e2=?<709ic;663>;0nm0?9:527gg9001<5>lm69;8;<:34?22?273<<4;569><54=<<=015><:574?xu6nhn1<7896s|1gcf>5<5sW8=i638f18770=z{8ljj7>52z\12a=:?ll18>;4}r3ef5<72;qU>;m4=6gf>1523ty:jo?50;0xZ70e34=nh7:<5:p5cd52909wS<9a:?4af<3;<1v016;hl54278yv7aj=0;6?uQ27:892cf2=9>7p}>fc794?4|V;33709j9;601>{t9oh=6=4={_0:3>;0m10??85rs0da3?6=:rT95;527d59063o=69=:;|q2bg?=838pR?7;;<5f1?24=2wx=kln:181[4>;27vP=889>3`5=<:?0q~?ibb83>7}Y:>o01:k=:516?xu6nkn1<7896s|1g`f>5<5sW8=;638e18770=z{8lij7>590y>3a`=:k<01:h=:`0892`52h901:h=:`6892`52h?01:h=:3c3?81a:38j=638f381e7=:?o81>l=4=6d0>d4<5>l86l=4=6d0>d2<5>l86l;4=6d0>7g734=m?701:h;:`7892`32;k;709i4;0b5>;0n=09m?527g696d5<5>l>6l<4=6d6>d5<5>l>6l:4=6d6>d3<5>l>6?o?;<5e1?4f9273c3=:h901:h9:`0892`12h901:h9:`6892`12h?01:h9:3c3?81a>38j=638f781e7=:?o<1>l=4=6d4>d4<5>l<6l=4=6d4>d2<5>l<6l;4=6d4>7g734=m;701:h7:`7892`?2;k;709i8;0b5>;0n109m?527g:96d5<5>l26l<4=6d:>d5<5>l26l:4=6d:>d3<5>l26?o?;<5e=?4f9273c?=:h901:hn:`0892`f2h901:hn:`6892`f2h?01:hn:3c3?81ai38j=638f`81e7=:?ok1>l=4=6da>d4<5>li6l=4=6da>d2<5>li6l;4=6da>7g734=mn701:hl:`7892`d2;k;709ic;0b5>;0nj09m?527ga96d5<5>lo6l<4=6dg>d5<5>lo6l:4=6dg>d3<5>lo6?o?;<5e`?4f9273cb=:h901:hj:`0892`b2h901:hj:`6892`b2h?01:hj:3c3?81am38j=638fd81e7=:?oo1>l=4=6de>d4<5>lm6l=4=6de>d2<5>lm6l;4=6de>7g734=mj7?:`189=672h>015>?:`789=672;k;706?0;0b5>;?8909m?5281296d5<51::6l<4=922>d5<51::6l:4=922>d3<51::6?o?;<:35?4f9273<<4=a39><57=:h9015>=:`089=652h9015>=:`689=652h?015>=:3c3?8>7:38j=6370381e7=:0981>l=4=920>d4<51:86l=4=920>d2<51:86l;4=920>7g7342;?7l86?7j;|q2bf7=838p1:k>:0`7?81a<382i6s|1ga1>5<5s4=n>7?m4:?4b7<51l1v3`2=9k>01:h9:3;f?xu6nj?1<74d334=m;7<6e:p5ce12909w09j6;3a0>;0n1095h5rs0d`3?6=:r7b59>3c?=:0o0q~?ic983>7}:?l21=o:4=6db>7?b3ty:jn750;0x92c>28h?709ic;0:a>{t9oij6=4={<5fe?7e<27v38ec82f1=:?oh1>4k4}r3egf<72;q6;hm51c6892`b2;3n7p}>fbf94?4|5>oo6m2wx=kmj:18181bm3;i86370181=`=z{8lhj7>52z?4ac<6j=164=?528g8yv7al90;6?u27g295g2<51:96?7j;|q2ba7=838p1:h>:0`7?8>7;382i6s|1gf1>5<6;r73c5=<<201:h;:57;?81a=3>>4638f7871==:?o=18864=6d;>13?34=m57::8:?4bd<3=116;kl544:892`d2=?3709id;66<>;0nl0?95527gd900><51:;69;7;<:35?220273<55=<<2015>;:2:b?x{e09?1<7=50;2x 12c2=?i7E::c:J715=#;0<1=88m;h3ba?6=3`8h;7>5;n1;e?6=3th3<;4?:283>5}#<=n188l4H57`?M2282.85;4>57`8m4gb2900e?m8:188k6>f2900qo6?7;297?6=8r.?8i4;5c9K00e<@=?;7)=66;362g=n9ho1<75f2b594?=h;1k1<75rb92;>5<4290;w):;d;66f>N3=j1C88>4$2;5>431j2c:mh4?::k1g2<722e84l4?::a<5?=8391<7>t$56g>13e3A>>o6F;519'7<0=9<"41?0:9;l4i0cf>5<5<5<54;294~"34m50;9j015=831d>n650;9~f=6d290?6=4?{%67`?4c?2B?9n5G4428 6?128?=n6g>ad83>>o51j0;66g;4283>>i5k10;66sm81f94?2=83:p(9:k:3f4?M22k2B?9=5+3849500e3`;ji7>5;h0:g?6=3`>??7>5;n0`5}#<=n1>i94H57`?M2282.85;4>57`8m4gb2900e?7l:188m1242900c?m7:188yg>7n3:187>50z&70a<5io1C88m4H573?!4em380e<;j:188m4?b2900e0;291?6=8r.?8i4=b09K00e<@=?;7)=66;362g=#:ko1>6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e08;1<7;50;2x 12c2;h:7E::c:J715=#;0<1=88m;%0aa?45<5<6=44}c:26?6==3:1k1/>ok52:k21`<722c:5h4?::k2f1<722c??:4?::m770<722wi4<=50;794?6|,=>o6?l>;I66g>N3=91/?485144a?!4em380e<;j:188m4?b2900e6<3:197>50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm80794?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<55;294~"3t$56g>7d63A>>o6F;519'7<0=9<8;291?6=8r.?8i4=b09K00e<@=?;7)=66;362g=#:ko1>6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e0831<7;50;2x 12c2;h:7E::c:J715=#;0<1=88m;%0aa?45<5<6=44}c:2e?6==3:1k1/>ok52:k21`<722c:5h4?::k2f1<722c??:4?::m770<722wi4o6?l>;I66g>N3=91/?485144a?!4em380e<;j:188m4?b2900e6k3:197>50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm80f94?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<55;294~"3t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e0;;1<7;50;2x 12c2;h:7E::c:J715=#;0<1=88m;%0aa?45<5<6=44}c:16?6==3:1k1/>ok52:k21`<722c:5h4?::k2f1<722c??:4?::m770<722wi4?=50;794?6|,=>o6?l>;I66g>N3=91/?485144a?!4em380e<;j:188m4?b2900e5<3:197>50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm83794?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<55;294~"3t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e0;31<7;50;2x 12c2;h:7E::c:J715=#;0<1=88m;%0aa?45<5<6=44}c:1e?6==3:1k1/>ok52:k21`<722c:5h4?::k2f1<722c??:4?::m770<722wi4?l50;794?6|,=>o6?l>;I66g>N3=91/?485144a?!4em380e<;j:188m4?b2900e5k3:197>50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm83f94?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<55;294~"3t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e0:;1<7;50;2x 12c2;h:7E::c:J715=#;0<1=88m;%0aa?45<5<6=44}c:06?6==3:1k1/>ok52:k21`<722c:5h4?::k2f1<722c??:4?::m770<722wi4>=50;794?6|,=>o6?l>;I66g>N3=91/?485144a?!4em380e<;j:188m4?b2900e4<3:197>50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm82794?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<55;294~"3t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e0:31<7;50;2x 12c2;h:7E::c:J715=#;0<1=88m;%0aa?45<5<6=44}c:0e?6==3:1k1/>ok52:k21`<722c:5h4?::k2f1<722c??:4?::m770<722wi4>l50;794?6|,=>o6?l>;I66g>N3=91/?485144a?!4em380e<;j:188m4?b2900e4k3:197>50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm82f94?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<55;294~"3t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e0=;1<7;50;2x 12c2;h:7E::c:J715=#;0<1=88m;%0aa?45<5<6=44}c:76?6==3:1k1/>ok52:k21`<722c:5h4?::k2f1<722c??:4?::m770<722wi49=50;794?6|,=>o6?l>;I66g>N3=91/?485144a?!4em380e<;j:188m4?b2900e3<3:197>50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm85794?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<55;294~"3t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e0=31<7;50;2x 12c2;h:7E::c:J715=#;0<1=88m;%0aa?45<5<6=44}c:7e?6==3:1k1/>ok52:k21`<722c:5h4?::k2f1<722c??:4?::m770<722wi49l50;794?6|,=>o6?l>;I66g>N3=91/?485144a?!4em380e<;j:188m4?b2900e3k3:197>50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm85f94?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<55;294~"3t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e0<;1<7;50;2x 12c2;h:7E::c:J715=#;0<1=88m;%0aa?45<5<6=44}c:66?6==3:1k1/>ok52:k21`<722c:5h4?::k2f1<722c??:4?::m770<722wi48=50;794?6|,=>o6?l>;I66g>N3=91/?485144a?!4em380e<;j:188m4?b2900e2<3:197>50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm84794?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<:7>55;294~"3t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e0<31<7;50;2x 12c2;h:7E::c:J715=#;0<1=88m;%0aa?45<5<6=44}c:6e?6==3:1k1/>ok52:k21`<722c:5h4?::k2f1<722c??:4?::m770<722wi48l50;794?6|,=>o6?l>;I66g>N3=91/?485144a?!4em380e<;j:188m4?b2900e2k3:197>50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm84f94?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<i7>55;294~"3t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e0?;1<7;50;2x 12c2;h:7E::c:J715=#;0<1=88m;%0aa?45<5<6=44}c:56?6==3:1k1/>ok52:k21`<722c:5h4?::k2f1<722c??:4?::m770<722wi4;=50;794?6|,=>o6?l>;I66g>N3=91/?485144a?!4em380e<;j:188m4?b2900e1<3:197>50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm87794?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<55;294~"3t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e0?31<7;50;2x 12c2;h:7E::c:J715=#;0<1=88m;%0aa?45<5<6=44}c:5e?6==3:1k1/>ok52:k21`<722c:5h4?::k2f1<722c??:4?::m770<722wi4;l50;794?6|,=>o6?l>;I66g>N3=91/?485144a?!4em380e<;j:188m4?b2900e1k3:197>50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm87f94?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<55;294~"3t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e0>;1<7=50;2x 12c2=?i7E::c:J715=#;0<1=88m;h3ba?6=3`8h;7>5;n1;e?6=3th3;?4?:283>5}#<=n188l4H57`?M2282.85;4>57`8m4gb2900e?m8:188k6>f2900qo683;297?6=8r.?8i4;5c9K00e<@=?;7)=66;362g=n9ho1<75f2b594?=h;1k1<75rb957>5<4290;w):;d;66f>N3=j1C88>4$2;5>431j2c:mh4?::k1g2<722e84l4?::a<23=8391<7>t$56g>13e3A>>o6F;519'7<0=9<"41?0:9;l4i0cf>5<5<5<54;294~"34m50;9j015=831d>n650;9~f=1?290?6=4?{%67`?4c?2B?9n5G4428 6?128?=n6g>ad83>>o51j0;66g;4283>>i5k10;66sm86;94?2=83:p(9:k:3f4?M22k2B?9=5+3849500e3`;ji7>5;h0:g?6=3`>??7>5;n0`5}#<=n1>i94H57`?M2282.85;4>57`8m4gb2900e?7l:188m1242900c?m7:188yg>0j3:187>50z&70a<5l<1C88m4H573?!5>>3;>:o5f1`g94?=n:0i1<75f45194?=h;1k1<75rb95`>5<2290;w):;d;0`a>N3=j1C88>4$3`f>7gb3->8?7:9a:k1f<<722c9nl4?::k1fg<722c9nn4?::m0o6?mk;I66g>N3=91/>ok5a19j6g?=831b>oo50;9j6gd=831d?5o50;9~f=1b290>6=4?{%67`?4dm2B?9n5G4428 7db2090(9=<:546?l4e13:17d0<729q/89j52bg8L13d3A>><6*=bd8:a>"3;:0?::5f2c;94?=n:kk1<75f2c`94?=n:ki1<75`39c94?=zj12;6=49:183!23l38hj6F;5b9K006<,;hn6o6?mj;I66g>N3=91/>ok59g9'065=6n:188yg>?:3:187>50z&70a<5km1C88m4H573?!4em3337d1<729q/89j52bf8L13d3A>><6*=bd82f0=#<:918;o4i3`:>5<5<5;h0ae?6=3f93m7>5;|`;<0<72=0;6=u+45f96fb<@=?h7E::0:&1f`<5ih1/8>=54758m7d>2900e?ln:188m7de2900c>6n:188yg>?>3:1:7>50z&70a<5ko1C88m4H573?!4em38:7d1<729q/89j52bf8L13d3A>><6*=bd817>o5j00;66g=b`83>>o5jk0;66a<8`83>>{e0121<7=50;2x 12c2;ih7E::c:J715=#:ko1?;5f2c;94?=n:kk1<75`39c94?=zj1226=4<:183!23l38ho6F;5b9K006<,;hn65;n1;e?6=3th34l4?:583>5}#<=n1>nj4H57`?M2282.9nh4=a69'065=f2900qo67b;290?6=8r.?8i4=ce9K00e<@=?;7)4?:1y'01b=:ji0D9;l;I664>"5jl02o6g=b883>>o5jh0;66a<8`83>>{e01n1<7:50;2x 12c2;io7E::c:J715=#:ko15i5+421903>5<5<55;294~"3o6?mi;I66g>N3=91/>ok52c68m7d>2900e?ln:188m7de2900e?ll:188m7dc2900c>6n:188yg>>83:187>50z&70a<5km1C88m4H573?!4em382m6g=b883>>o5jh0;66g=bc83>>i40h0;66sm88394?2=83:p(9:k:3ag?M22k2B?9=5+2cg965<5<7>54;294~"35;h0af?6=3f93m7>5;|`;=6<72=0;6=u+45f96fb<@=?h7E::0:&1f`<5;2c9n44?::k1fd<722c9no4?::m0o6?ml;I66g>N3=91/>ok59b9j6g?=831b>oo50;9l7=g=831vn57::180>5<7s->?h74?:1y'01b=:ji0D9;l;I664>"5jl0296g=b883>>o5jh0;66a<8`83>>{e00=1<7=50;2x 12c2;ih7E::c:J715=#:ko1585f2c;94?=n:kk1<75`39c94?=zj1336=4::183!23l38hi6F;5b9K006<,;hn65;h0af?6=3`8io7>5;n1;e?6=3th3544?:583>5}#<=n1>nj4H57`?M2282.9nh46a:k1f<<722c9nl4?::k1fg<722e84l4?::a<t$56g>7ed3A>>o6F;519'6gc=:h<0(9=<:54a?l4e13:17d1<729q/89j52bf8L13d3A>><6*=bd8:e>o5j00;66g=b`83>>o5jk0;66a<8`83>>{e00i1<7=50;2x 12c2;ih7E::c:J715=#:ko15:5f2c;94?=n:kk1<75`39c94?=zj13o6=4;:183!23l38hh6F;5b9K006<,;hn6?7n;h0a=?6=3`8im7>5;h0af?6=3f93m7>5;|`;=`<72:0;6=u+45f96fe<@=?h7E::0:&1f`<>?2c9n44?::k1fd<722e84l4?::a<<`=8391<7>t$56g>7ed3A>>o6F;519'6gc=1>1b>o750;9j6gg=831d?5o50;9~f=g7290?6=4?{%67`?4dl2B?9n5G4428 7db20k0e?l6:188m7df2900e?lm:188k6>f2900qo6n1;290?6=8r.?8i4=ce9K00e<@=?;7)4?:1y'01b=:ji0D9;l;I664>"5jl02;6g=b883>>o5jh0;66a<8`83>>{e0h91<7=50;2x 12c2;ih7E::c:J715=#:ko15:5f2c;94?=n:kk1<75`39c94?=zj1k?6=4<:183!23l38ho6F;5b9K006<,;hn6494i3`:>5<5<53;294~"35;n1;e?6=3th3m;4?:583>5}#<=n1>nj4H57`?M2282.9nh4=4:k1f<<722c9nl4?::k1fg<722e84l4?::at$56g>7ed3A>>o6F;519'6gc=1j1b>o750;9j6gg=831d?5o50;9~f=g?290>6=4?{%67`?4dm2B?9n5G4428 7db2;3i7d"5jl09m45f2c;94?=n:kk1<75f2c`94?=n:ki1<75`39c94?=zj1kj6=4;:183!23l38hh6F;5b9K006<,;hn64o4i3`:>5<5<5;h0ae?6=3f93m7>5;|`;ef<72:0;6=u+45f96fe<@=?h7E::0:&1f`<5i?1b>o750;9j6gg=831d?5o50;9~f=gc290?6=4?{%67`?4dl2B?9n5G4428 7db28987d1<729q/89j52bf8L13d3A>><6*=bd81eg=n:k31<75f2cc94?=n:kh1<75`39c94?=zj1km6=4;:183!23l38hh6F;5b9K006<,;hn6?o8;h0a=?6=3`8im7>5;h0af?6=3f93m7>5;|`;f5<72:0;6=u+45f96fe<@=?h7E::0:&1f`<>?2c9n44?::k1fd<722e84l4?::at$56g>7ed3A>>o6F;519'6gc=1<1b>o750;9j6gg=831d?5o50;9~f=d529086=4?{%67`?4dk2B?9n5G4428 7db20?0e?l6:188m7df2900c>6n:188yg>e;3:1?7>50z&70a<5kj1C88m4H573?!4em33>7d4?:1y'01b=:ji0D9;l;I664>"5jl02o6*;32872a=n:k31<75f2cc94?=h;1k1<75rb9`6>5<4290;w):;d;0`g>N3=j1C88>4$3`f>5;n1;e?6=3th3n;4?:283>5}#<=n1>nm4H57`?M2282.9nh46c:&776<3>j1b>o750;9j6gg=831d?5o50;9~f=d029086=4?{%67`?4dk2B?9n5G4428 7db20?0e?l6:188m7df2900c>6n:188yg>e03:197>50z&70a<5kl1C88m4H573?!4em3397d"5jl02>6g=b883>>o5jh0;66g=bc83>>o5jj0;66a<8`83>>{e0kk1<7;50;2x 12c2;in7E::c:J715=#:ko15?5f2c;94?=n:kk1<75f2c`94?=n:ki1<75`39c94?=zj1hi6=4::183!23l38hi6F;5b9K006<,;hn64<4i3`:>5<5<5<55;294~"35;h0af?6=3`8io7>5;n1;e?6=3th3ni4?:483>5}#<=n1>nk4H57`?M2282.9nh462:k1f<<722c9nl4?::k1fg<722c9nn4?::m0o6?mj;I66g>N3=91/>ok5939j6g?=831b>oo50;9j6gd=831b>om50;9l7=g=831vn5li:186>5<7s->?h72900e?ln:188m7de2900e?ll:188k6>f2900qo6l0;291?6=8r.?8i4=cd9K00e<@=?;7)0<729q/89j52bg8L13d3A>><6*=bd8:6>o5j00;66g=b`83>>o5jk0;66g=bb83>>i40h0;66sm8b094?3=83:p(9:k:3af?M22k2B?9=5+2cg9=7=n:k31<75f2cc94?=n:kh1<75f2ca94?=h;1k1<75rb9a0>5<2290;w):;d;0`a>N3=j1C88>4$3`f><45<5<5;h0ae?6=3`8in7>5;h0ag?6=3f93m7>5;|`;g0<72<0;6=u+45f96fc<@=?h7E::0:&1f`<>:2c9n44?::k1fd<722c9no4?::k1ff<722e84l4?::at$56g>7eb3A>>o6F;519'6gc=1;1b>o750;9j6gg=831b>ol50;9j6ge=831d?5o50;9~f=e0290>6=4?{%67`?4dm2B?9n5G4428 7db2080e?l6:188m7df2900e?lm:188m7dd2900c>6n:188yg>d03:197>50z&70a<5kl1C88m4H573?!4em3397d"5jl02>6g=b883>>o5jh0;66g=bc83>>o5jj0;66a<8`83>>{e0jk1<7;50;2x 12c2;in7E::c:J715=#:ko15?5f2c;94?=n:kk1<75f2c`94?=n:ki1<75`39c94?=zj1ii6=4::183!23l38hi6F;5b9K006<,;hn64<4i3`:>5<5<5<55;294~"35;h0af?6=3`8io7>5;n1;e?6=3th3oi4?:483>5}#<=n1>nk4H57`?M2282.9nh462:k1f<<722c9nl4?::k1fg<722c9nn4?::m0o6?mj;I66g>N3=91/>ok5939j6g?=831b>oo50;9j6gd=831b>om50;9l7=g=831vn5mi:186>5<7s->?h72900e?ln:188m7de2900e?ll:188k6>f2900qo6k0;291?6=8r.?8i4=cd9K00e<@=?;7)0<729q/89j52bg8L13d3A>><6*=bd8:6>o5j00;66g=b`83>>o5jk0;66g=bb83>>i40h0;66sm8e094?3=83:p(9:k:3af?M22k2B?9=5+2cg9=7=n:k31<75f2cc94?=n:kh1<75f2ca94?=h;1k1<75rb9f0>5<2290;w):;d;0`a>N3=j1C88>4$3`f><45<5<5;h0ae?6=3`8in7>5;h0ag?6=3f93m7>5;|`;`0<72<0;6=u+45f96fc<@=?h7E::0:&1f`<>:2c9n44?::k1fd<722c9no4?::k1ff<722e84l4?::at$56g>7eb3A>>o6F;519'6gc=1;1b>o750;9j6gg=831b>ol50;9j6ge=831d?5o50;9~f=b0290>6=4?{%67`?4dm2B?9n5G4428 7db2080e?l6:188m7df2900e?lm:188m7dd2900c>6n:188yg>c03:197>50z&70a<5kl1C88m4H573?!4em3397d"5jl02>6g=b883>>o5jh0;66g=bc83>>o5jj0;66a<8`83>>{e0mk1<7;50;2x 12c2;in7E::c:J715=#:ko15?5f2c;94?=n:kk1<75f2c`94?=n:ki1<75`39c94?=zj1ni6=4::183!23l38hi6F;5b9K006<,;hn64<4i3`:>5<5<5<55;294~"35;h0af?6=3`8io7>5;n1;e?6=3th3hi4?:483>5}#<=n1>nk4H57`?M2282.9nh462:k1f<<722c9nl4?::k1fg<722c9nn4?::m0o6?mj;I66g>N3=91/>ok5939j6g?=831b>oo50;9j6gd=831b>om50;9l7=g=831vn5ji:186>5<7s->?h72900e?ln:188m7de2900e?ll:188k6>f2900qo6j0;291?6=8r.?8i4=cd9K00e<@=?;7)0<729q/89j52bg8L13d3A>><6*=bd8:6>o5j00;66g=b`83>>o5jk0;66g=bb83>>i40h0;66sm8d094?3=83:p(9:k:3af?M22k2B?9=5+2cg9=7=n:k31<75f2cc94?=n:kh1<75f2ca94?=h;1k1<75rb9g0>5<2290;w):;d;0`a>N3=j1C88>4$3`f><45<5<5;h0ae?6=3`8in7>5;h0ag?6=3f93m7>5;|`;a0<72<0;6=u+45f96fc<@=?h7E::0:&1f`<>:2c9n44?::k1fd<722c9no4?::k1ff<722e84l4?::a<`0=83?1<7>t$56g>7eb3A>>o6F;519'6gc=1;1b>o750;9j6gg=831b>ol50;9j6ge=831d?5o50;9~f=c0290>6=4?{%67`?4dm2B?9n5G4428 7db2080e?l6:188m7df2900e?lm:188m7dd2900c>6n:188yg>b03:197>50z&70a<5kl1C88m4H573?!4em3397d"5jl02>6g=b883>>o5jh0;66g=bc83>>o5jj0;66a<8`83>>{e0lk1<7;50;2x 12c2;in7E::c:J715=#:ko15?5f2c;94?=n:kk1<75f2c`94?=n:ki1<75`39c94?=zj1oi6=4::183!23l38hi6F;5b9K006<,;hn64<4i3`:>5<5<5<55;294~"35;h0af?6=3`8io7>5;n1;e?6=3th3ii4?:483>5}#<=n1>nk4H57`?M2282.9nh462:k1f<<722c9nl4?::k1fg<722c9nn4?::m0o6?mj;I66g>N3=91/>ok5939j6g?=831b>oo50;9j6gd=831b>om50;9l7=g=831vn5ki:186>5<7s->?h72900e?ln:188m7de2900e?ll:188k6>f2900qo6i0;291?6=8r.?8i4=cd9K00e<@=?;7)0<729q/89j52bg8L13d3A>><6*=bd8:6>o5j00;66g=b`83>>o5jk0;66g=bb83>>i40h0;66sm8g094?3=83:p(9:k:3af?M22k2B?9=5+2cg9=7=n:k31<75f2cc94?=n:kh1<75f2ca94?=h;1k1<75rb9d0>5<2290;w):;d;0`a>N3=j1C88>4$3`f><45<5<5;h0ae?6=3`8in7>5;h0ag?6=3f93m7>5;|`;b0<72<0;6=u+45f96fc<@=?h7E::0:&1f`<>:2c9n44?::k1fd<722c9no4?::k1ff<722e84l4?::at$56g>7eb3A>>o6F;519'6gc=1;1b>o750;9j6gg=831b>ol50;9j6ge=831d?5o50;9~f=`0290>6=4?{%67`?4dm2B?9n5G4428 7db2080e?l6:188m7df2900e?lm:188m7dd2900c>6n:188yg>a03:197>50z&70a<5kl1C88m4H573?!4em3397d"5jl02>6g=b883>>o5jh0;66g=bc83>>o5jj0;66a<8`83>>{e0ok1<7;50;2x 12c2;in7E::c:J715=#:ko15?5f2c;94?=n:kk1<75f2c`94?=n:ki1<75`39c94?=zj1li6=4::183!23l38hi6F;5b9K006<,;hn64<4i3`:>5<5<5<55;294~"35;h0af?6=3`8io7>5;n1;e?6=3th3ji4?:483>5}#<=n1>nk4H57`?M2282.9nh462:k1f<<722c9nl4?::k1fg<722c9nn4?::m0o6?mj;I66g>N3=91/>ok5939j6g?=831b>oo50;9j6gd=831b>om50;9l7=g=831vn5hi:186>5<7s->?h72900e?ln:188m7de2900e?ll:188k6>f2900qo7?0;291?6=8r.?8i4=cd9K00e<@=?;7)0<729q/89j52bg8L13d3A>><6*=bd8:6>o5j00;66g=b`83>>o5jk0;66g=bb83>>i40h0;66sm91094?3=83:p(9:k:3af?M22k2B?9=5+2cg9=7=n:k31<75f2cc94?=n:kh1<75f2ca94?=h;1k1<75rb820>5<2290;w):;d;0`a>N3=j1C88>4$3`f><45<5<5;h0ae?6=3`8in7>5;h0ag?6=3f93m7>5;|`:40<72<0;6=u+45f96fc<@=?h7E::0:&1f`<>:2c9n44?::k1fd<722c9no4?::k1ff<722e84l4?::a=50=83?1<7>t$56g>7eb3A>>o6F;519'6gc=1;1b>o750;9j6gg=831b>ol50;9j6ge=831d?5o50;9~f<60290>6=4?{%67`?4dm2B?9n5G4428 7db2080e?l6:188m7df2900e?lm:188m7dd2900c>6n:188yg?703:197>50z&70a<5kl1C88m4H573?!4em3397d800;684?:1y'01b=:jo0D9;l;I664>"5jl02>6g=b883>>o5jh0;66g=bc83>>o5jj0;66a<8`83>>{e19k1<7;50;2x 12c2;in7E::c:J715=#:ko15?5f2c;94?=n:kk1<75f2c`94?=n:ki1<75`39c94?=zj0:i6=4::183!23l38hi6F;5b9K006<,;hn64<4i3`:>5<5<5<55;294~"35;h0af?6=3`8io7>5;n1;e?6=3th25}#<=n1>nk4H57`?M2282.9nh462:k1f<<722c9nl4?::k1fg<722c9nn4?::m0o6?mj;I66g>N3=91/>ok5939j6g?=831b>oo50;9j6gd=831b>om50;9l7=g=831vn4>i:186>5<7s->?h72900e?ln:188m7de2900e?ll:188k6>f2900qo7>0;291?6=8r.?8i4=cd9K00e<@=?;7)0<729q/89j52bg8L13d3A>><6*=bd8:6>o5j00;66g=b`83>>o5jk0;66g=bb83>>i40h0;66sm90094?3=83:p(9:k:3af?M22k2B?9=5+2cg9=7=n:k31<75f2cc94?=n:kh1<75f2ca94?=h;1k1<75rb830>5<2290;w):;d;0`a>N3=j1C88>4$3`f><45<5<5;h0ae?6=3`8in7>5;h0ag?6=3f93m7>5;|`:50<72<0;6=u+45f96fc<@=?h7E::0:&1f`<>:2c9n44?::k1fd<722c9no4?::k1ff<722e84l4?::a=40=83?1<7>t$56g>7eb3A>>o6F;519'6gc=1;1b>o750;9j6gg=831b>ol50;9j6ge=831d?5o50;9~f<70290>6=4?{%67`?4dm2B?9n5G4428 7db2080e?l6:188m7df2900e?lm:188m7dd2900c>6n:188yg?603:187>50z&70a<5km1C88m4H573?!4em3897d1<729q/89j52bf8L13d3A>><6*=bd81=<=n:k31<75f2cc94?=n:kh1<75`39c94?=zj0;j6=4<:183!23l38ho6F;5b9K006<,;hn6?o9;h0a=?6=3`8im7>5;n1;e?6=3th2=o4?:283>5}#<=n1>nm4H57`?M2282.9nh4=a79j6g?=831b>oo50;9l7=g=831vn4?l:180>5<7s->?h76<729q/89j52ba8L13d3A>><6*=bd81e3=n:k31<75f2cc94?=h;1k1<75rb83f>5<2290;w):;d;0a5>N3=j1C88>4$2;5>431j2.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th2=k4?:483>5}#<=n1>o?4H57`?M2282.85;4>57`8 7db2;1b=8k50;9j5;50;9~f<47290>6=4?{%67`?4e92B?9n5G4428 6?128?=n6*=bd81?l72m3:17d?6e;29?l7e<3:17d:<7;29?j24=3:17pl62083>0<729q/89j52c38L13d3A>><6*<978213d<,;hn6?5f14g94?=n90o1<75f1c694?=n<:=1<75`42794?=zj0896=4::183!23l38i=6F;5b9K006<,:3=6<;9b:&1f`<53`;>i7>5;h3:a?6=3`;i87>5;h603?6=3f>897>5;|`:66<72<0;6=u+45f96g7<@=?h7E::0:&0=3<6=?h0(?lj:39j50c=831b=4k50;9j5g2=831b8>950;9l063=831vn4<;:186>5<7s->?h779:075f>"5jl097d?:e;29?l7>m3:17d?m4;29?l24?3:17b:<5;29?xd>:<0;684?:1y'01b=:k;0D9;l;I664>"41?0:9;l4$3`f>7=n91<75f42594?=h<:?1<75rb805>5<2290;w):;d;0a5>N3=j1C88>4$2;5>431j2.9nh4=;h36a?6=3`;2i7>5;h3a0?6=3`>8;7>5;n601?6=3th2>:4?:483>5}#<=n1>o?4H57`?M2282.85;4>57`8 7db2;1b=8k50;9j5;50;9~f<4?290?6=4?{%67`?4e82B?9n5G4428m43b2900e50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm93c94?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<55;294~"3t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e1;o1<7;50;2x 12c2;h97E::c:J715=#;0<1=88m;%0aa?423`;>i7>5;h3:a?6=3`;i87>5;h677?6=3f>897>5;|`:6c<72=0;6=u+45f96g6<@=?h7E::0:k21`<722c:n94?::k772<722e??84?::a=66=83?1<7>t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e1:81<7;50;2x 12c2;h:7E::c:J715=#;0<1=88m;%0aa?45<5<6=44}c;07?6==3:1k1/>ok5249j50c=831b=4k50;9j5g2=831b89=50;9l063=831vn4=;:186>5<7s->?h779:075f>"5jl0996g>5d83>>o61l0;66g>b583>>o3<:0;66a;3483>>{e1:?1<7;50;2x 12c2;h97E::c:J715=#;0<1=88m;%0aa?423`;>i7>5;h3:a?6=3`;i87>5;h677?6=3f>897>5;|`:73<72<0;6=u+45f96g7<@=?h7E::0:&0=3<6=?h0(?lj:39j50c=831b=4k50;9j5g2=831b8>950;9l063=831vn4=8:186>5<7s->?h779:075f>"5jl097d?:e;29?l7>m3:17d?m4;29?l24?3:17b:<5;29?xd>;10;684?:1y'01b=:k;0D9;l;I664>"41?0:9;l4$3`f>7=n91<75f42594?=h<:?1<75rb81:>5<2290;w):;d;0a6>N3=j1C88>4$2;5>431j2.9nh4=5:k21`<722c:5h4?::k2f1<722c?8>4?::m770<722wi5>o50;794?6|,=>o6?l>;I66g>N3=91/?485144a?!4em380e<;j:188m4?b2900e50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm92a94?3=83:p(9:k:3`1?M22k2B?9=5+3849500e3-8ii7<:;h36a?6=3`;2i7>5;h3a0?6=3`>??7>5;n601?6=3th2?i4?:483>5}#<=n1>o<4H57`?M2282.85;4>57`8 7db2;?0e<;j:188m4?b2900e50z&70a<5j81C88m4H573?!5>>3;>:o5+2cg96>o6=l0;66g>9d83>>o6j=0;66g;3683>>i3;<0;66sm92d94?3=83:p(9:k:3`2?M22k2B?9=5+3849500e3-8ii7<4i07f>5<5<5<55;294~"3t$56g>7d63A>>o6F;519'7<0=9<6g>5d83>>o61l0;66g>b583>>o3;>0;66a;3483>>{e1=91<7<50;2x 12c2;ii7E::c:J715=#:ko1?;5f2c;94?=h;1k1<75rb867>5<5290;w):;d;0`f>N3=j1C88>4$3`f>605<54;294~"35;h0af?6=3f93m7>5;|`:03<72;0;6=u+45f96fd<@=?h7E::0:&1f`<4>2c9n44?::m0o6?mm;I66g>N3=91/>ok5379j6g?=831d?5o50;9~f<2?29096=4?{%67`?4dj2B?9n5G4428 7db2:<0e?l6:188k6>f2900qo7;9;296?6=8r.?8i4=cc9K00e<@=?;7)"5jl08:6g=b883>>i40h0;66sm95`94?4=83:p(9:k:3aa?M22k2B?9=5+2cg973=n:k31<75`39c94?=zj0>h6=4=:183!23l38hn6F;5b9K006<,;hn6>84i3`:>5<5;n1;e?6=3th28h4?:383>5}#<=n1>nl4H57`?M2282.9nh4<6:k1f<<722e84l4?::a=1`=8381<7>t$56g>7ee3A>>o6F;519'6gc=;?1b>o750;9l7=g=831vn4;?:181>5<7s->?h72900c>6n:188yg?293:1>7>50z&70a<5kk1C88m4H573?!4em39=7d7<729q/89j52b`8L13d3A>><6*=bd802>o5j00;66a<8`83>>{e1<91<7<50;2x 12c2;ii7E::c:J715=#:ko1?;5f2c;94?=h;1k1<75rb877>5<5290;w):;d;0`f>N3=j1C88>4$3`f>605<97>52;294~"35;|`:13<72;0;6=u+45f96fd<@=?h7E::0:&1f`<4>2c9n44?::m0o6?l?;I66g>N3=91/>ok52:k21`<722c:n94?::k772<722e??84?::a=0>=83>1<7>t$56g>7d73A>>o6F;519'6gc=:2c:9h4?::k2f1<722c??:4?::m770<722wi58750;194?6|,=>o6?ok;I66g>N3=91/>ok5249j50c=831b=o:50;9l063=831vn4;n:180>5<7s->?h7=j0;694?:1y'01b=:jn0D9;l;I664>"5jl09ml5f2c;94?=n:kk1<75f2c`94?=h;1k1<75rb87g>5<3290;w):;d;0``>N3=j1C88>4$3`f>7gf3->8?7:99:k1f<<722c9nl4?::k1fg<722e84l4?::a=0c=83?1<7>t$56g>7eb3A>>o6F;519'6gc=:h20(9=<:547?l4e13:17d0<729q/89j52bg8L13d3A>><6*=bd81e==#<:918;j4i3`:>5<5<5<55;294~"3j1b>o750;9j6gg=831b>ol50;9j6ge=831d?5o50;9~f<06290=6=4?{%67`?4dn2B?9n5G4428 7db2:=0(9=<:540?l4e13:17d>;0;684?:1y'01b=:jo0D9;l;I664>"5jl0946*;328726=n:k31<75f2cc94?=n:kh1<75f2ca94?=h;1k1<75rb840>5<1290;w):;d;0`b>N3=j1C88>4$3`f><7<,=986989;h0a=?6=3`8im7>5;h0af?6=3`8io7>5;h0a`?6=3f93m7>5;|`:21<72=0;6=u+45f96fb<@=?h7E::0:&1f`<5;2.??>4;679j6g?=831b>oo50;9j6gd=831d?5o50;9~f<02290?6=4?{%67`?4fn2B?9n5G4428 7db2;1b=8k50;9j55<7s->?h7f2900qo797;296?6=8r.?8i4=c79K00e<@=?;7d7<729q/89j52b48L13d3A>><6g=b983>>i40h0;66sm97;94?4=83:p(9:k:3a5?M22k2B?9=5f2c:94?=h;1k1<75rb84b>5<5290;w):;d;0`2>N3=j1C88>4i3`;>5<5;|`:2f<72;0;6=u+45f96f0<@=?h7E::0:k1f=<722e84l4?::a=3b=8381<7>t$56g>7e13A>>o6F;519j6g>=831d?5o50;9~f<0b29096=4?{%67`?4d>2B?9n5G4428m7d?2900c>6n:188yg?1n3:1>7>50z&70a<5k?1C88m4H573?l4e03:17b=7a;29?xd>?90;6?4?:1y'01b=:j<0D9;l;I664>o5j10;66a<8`83>>{e1>;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=24=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi5:=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e1>>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm96794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi5:850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=21=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm96:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1>31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=2g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi5:l50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e1>i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm96f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi5:k50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=2`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm99294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e11;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a==4=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi55=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e11>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm99794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi55850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a==1=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm99:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1131<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a==g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi55l50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e11i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm99f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi55k50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a==`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm98294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e10;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=<4=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi54=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e10>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm98794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi54850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=<1=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm98:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1031<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi54l50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e10i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm98f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi54k50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=<`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm9`294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1h;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=d4=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi5l=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e1h>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm9`794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi5l850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=d1=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm9`:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1h31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=dg=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi5ll50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e1hi1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm9`f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi5lk50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=d`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm9c294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1k;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=g4=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi5o=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e1k>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm9c794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi5o850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=g1=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm9c:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1k31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=gg=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi5ol50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e1ki1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm9cf94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi5ok50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=g`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm9b294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1j;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=f4=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi5n=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e1j>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm9b794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi5n850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=f1=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm9b:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1j31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=fg=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi5nl50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e1ji1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm9bf94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi5nk50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=f`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm9e294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1m;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=a4=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi5i=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e1m>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm9e794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi5i850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=a1=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm9e:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1m31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=ag=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi5il50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e1mi1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm9ef94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi5ik50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=a`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm9d294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1l;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=`4=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi5h=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e1l>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm9d794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi5h850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=`1=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm9d:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1l31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=`g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi5hl50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e1li1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm9df94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi5hk50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=``=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm9g294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1o;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=c4=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi5k=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e1o>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm9g794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi5k850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=c1=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sm9g:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{e1o31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::a=cg=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wi5kl50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{e1oi1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sm9gf94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wi5kk50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::a=c`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma1294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei9;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae54=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim==50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei9>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma1794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim=850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae51=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma1:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei931<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae5g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim=l50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei9i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma1f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim=k50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae5`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma0294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei8;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae44=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim<=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei8>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma0794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim<850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae41=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma0:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei831<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae4g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wimo69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei8i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma0f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wimo6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae4`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma3294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei;;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae74=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim?=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei;>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma3794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim?850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae71=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma3:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei;31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae7g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim?l50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei;i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma3f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim?k50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae7`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma2294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei:;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae64=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim>=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei:>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma2794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim>850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae61=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma2:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei:31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae6g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim>l50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei:i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma2f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim>k50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae6`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma5294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei=;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae14=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim9=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei=>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma5794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim9850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae11=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma5:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei=31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae1g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim9l50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei=i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma5f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim9k50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae1`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma4294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei<;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae04=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim8=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei<>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma4794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim8850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae01=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma4:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei<31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae0g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim8l50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei6g>5d83>>o61l0;66g>b583>>i3;<0;66sma4f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim8k50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae0`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma7294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei?;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae34=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim;=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei?>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma7794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim;850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae31=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma7:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei?31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae3g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim;l50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei?i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma7f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim;k50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae3`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma6294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei>;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae24=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim:=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei>>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma6794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim:850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae21=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma6:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei>31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae2g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim:l50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei>i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma6f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim:k50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae2`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma9294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei1;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae=4=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim5=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei1>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma9794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim5850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae=1=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma9:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei131<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae=g=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim5l50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei1i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma9f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim5k50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae=`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma8294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei0;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae<4=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim4=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei0>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma8794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim4850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae<1=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma8:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{ei031<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::ae1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wim4l50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{ei0i1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma8f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wim4k50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::ae<`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma`294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{eih;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::aed4=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wiml=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{eih>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma`794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wiml850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::aed1=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66sma`:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{eih31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::aedg=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wimll50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{eihi1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66sma`f94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wimlk50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::aed`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66smac294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{eik;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::aeg4=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wimo=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{eik>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66smac794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wimo850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::aeg1=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66smac:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{eik31<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::aegg=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wimol50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{eiki1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66smacf94??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wimok50;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::aeg`=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66smab294?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{eij;1<7750;2x 12c2=>i7E::c:J715=#:ko1?6gn1;29?lg52900el=50;9je1<722c:5h4?::k2ec<722c:n94?::m770<722e??;4?::aef4=83>1<7>t$56g>7ga3A>>o6F;519'6gc=:2c:9h4?::k2=`<722c:n94?::m770<722wimn=50;;94?6|,=>o69:m;I66g>N3=91/>ok53:kb5?6=3`k96=44i`194?=ni=0;66g>9d83>>o6io0;66g>b583>>i3;<0;66a;3783>>{eij>1<7:50;2x 12c2;km7E::c:J715=#:ko1>6g>5d83>>o61l0;66g>b583>>i3;<0;66smab794??=83:p(9:k:56a?M22k2B?9=5+2cg97>of93:17do=:188md5=831bm94?::k2=`<722c:mk4?::k2f1<722e??84?::m773<722wimn850;694?6|,=>o6?oi;I66g>N3=91/>ok52:k21`<722c:5h4?::k2f1<722e??84?::aef1=8331<7>t$56g>12e3A>>o6F;519'6gc=;2cj=7>5;hc1>5<>o61l0;66g>ag83>>o6j=0;66a;3483>>i3;?0;66smab:94?2=83:p(9:k:3ce?M22k2B?9=5+2cg96>o6=l0;66g>9d83>>o6j=0;66a;3483>>{t9<;>?>0:n95rs0744?6=:rTjh:5296;95g2;<4?:3y]ea0<50=>6b59~w430<3:1>vPnd29>=2d=9k>0q~?:7483>7}Yim80146>:0`7?xu6=><1<75<5sWkn<6368682f1=z{8?<47>52z\b`c=:1131=o:4}r363<<72;qUmik4=8:6>4d33ty:9:o50;0xZdbc3433h7?m4:p501e2909wSokc:?:56g94?4|Vhn270765;3a0>{t9<=m6=4={_cg4>;>180:n95rs07;4?6=:rTjok5298595g24<4?:3y]f74<5=3<6??<;|q21=4=838pRo<>;<6:3?46:2wx=86<:181[d5827?5:4=109~w43?<3:1>vPm1g9>0<1=:8:0q~?:8483>7}Yj8n01978:32f?xu6=1<1<7?38;h6s|14:4>5<5sWh:n63;96814f=z{8?347>52z\a5d=:<0=1>=o4}r36<<<72;qUn<74=5;4>76>3ty:95o50;0xZg7?34>2;7e2909wSl>7:?7=2<58>1v<;7c;296~Xe9?1684952148yv720m0;6?uQb07891?02;:>7p}>59g94?4|Vk;?70:67;030>{t9<2m6=4={_`1=>;31>09?95rs07:4?6=:rTi>55248596655<4?:3y]f71<5=3<6?==;|q21<4=838pRo<9;<6:3?4492wx=87<:181[d5=27?5:4=319~w43><3:1>vPm259>0<1=:;l0q~?:9483>7}Yj;901978:306?xu6=0<1<7?38:m6s|14;4>5<5sWh:?63;96814c=z{8?247>52z\a57=:<0=1>==4}r36=<<72;qUo=<4=5;4>74b3ty:94o50;0xZf6634>2;7<=c:p50?e2909wSm?0:?7=2<5:k1v<;6c;296~Xeno16849523c8yv721m0;6?uQbgf891?02;827p}>58g94?4|Vklh70:67;01<>{t9<3m6=4={_`ef>;31>09>:5rs07b4?6=:rTijl524859670m<4?:3y]fc?<5=3<6?<;;|q21d4=838pRoh7;<6:3?45;2wx=8o<:181[da?27?5:4=239~w43f<3:1>vPmf79>0<1=:;;0q~?:a483>7}Yjo?01978:303?xu6=h<1<7?38:j6s|14c4>5<5sWi;563;96815`=z{8?j47>52z\`4==:<0=1>77d3ty:9lo50;0xZf6134>2;7<>b:p50ge2909wSm?5:?7=2<5901v<;nc;296~Xd8=16849520:8yv72im0;6?uQc11891?02;;<7p}>5`g94?4|Vkln70:67;022>{t9;31>09=85rs07a4?6=:rTij?524859642n<4?:024[72>l1685>5451891>62=9<70:72;603>;30<0??:524949061<5=2<69=8;<6;0=g=<:=0196m:514?82?k3>8;63;8e8706=:<1o18>94=5:e>12434>2=7:<7:?7=7<3;>1684:5425891?22=9<70:66;603>;31>0?895255a9061<5;o4;369>12e=<:=0189k:514?830m3>8;63:7g8772=:=1:18>94=4:2>15034?3>7:<7:?6<6<3;>1695:5425890>22=9<70;76;670>;2j00?89525da9012<5?;m69:;;<466?23<27=484;459>2g>=<=>01;km:567?816m3>?8638568701=:?>o18>94=65e>15034=3<7:<7:?4<4<3;>16;5<5425892>42=9<70974;603>;00<0??:527949061<5>2<69=8;<5;3=g=<:=01:6m:514?81?k3>8;6388e8772=:?1o18>94=6:e>15034=2<7:<7:?4=4<3;>16;4<5425892?42=9<70964;603>;01<0??:527849061<5>3<69=8;<5:3k3>8;6389e8772=:?0o18>94=6;e>15034=j<7:<7:?4e4<3;>16;l<5425892g42=9<709n4;603>;0i<0??:527`49061<5>k<69=8;<5b3dg=<:=01:om:514?81fk3>8;638ae8772=:?ho18>94=6ce>15034=i<7:<7:?4f4<3;>16;o<5425892d42=9<709m4;603>;0j<0??:527c49061<5>h<69=8;<5a3gg=<:=01:lm:514?81ek3>8;638be8772=:?ko18>94=6`e>15034=h<7:<7:?4g4<3;>16;n<5425892e42=9<709l4;603>;0k<0??:527b49061<5>i<69=8;<5`3fg=<:=01:mm:514?81dk3>8;638ce8772=:?jo18>94=6ae>15034=o<7:<7:?4`4<3;>16;i<5425892b42=9<709k4;603>;0l<0??:527e49061<5>n<69=8;<5g3ag=<:=01:jm:514?81ck3>8;638de8772=:?mo189:4=933>150342:=7:<7:?;57<3;>164<=542589=732=9<706>5;603>;?9?0??:528059061<51;369=8;<:2=?24?273=l4;369><4d=<:=015?l:514?8>6l3>8;6371d8772=:08l18>94=903>1503429=7:<7:?;67<3;>164?=542589=432=9<706=5;603>;?:?0??:528359061<518369=8;<:1=?24?273>l4;369><7d=<:=0155l3>8;6372d8772=:0;l18>94=913>1503428=7:<7:?;77<3;>164>=542589=532=9<706<5;603>;?;?0??:528259061<519369=8;<:0=?24?273?l4;369><6d=<:=015=l:514?8>4l3>8;6373d8772=:0:l18>94=963>150342?=7:<7:?;07<3;>1649=542589=232=9<706;5;603>;?369=8;<:7=?24?2738l4;369><1d=<:=015:l:514?8>3l3>8;6374d8772=:0=l18>94=973>150342>=7:<7:?;17<3;>1648=542589=332=9<706:5;603>;?=?0??:528459061<51?369=8;<:6=?24?2739l4;369><0d=<:=015;l:514?8>2l3>8;6375d8772=:094=943>150342==7:<7:?;27<3;>164;=542589=032=9<70695;603>;?>?0??:528759061<51<369=8;<:5=?24?273:l4;369><3d=<:=0158l:514?8>1l3>8;6376d8772=:0?l18>94=953>1503423m7;>:00??:5293c9061<508i69=8;<;1g?24?272>i4;369>=7c=<=9014=6:560?8?4i3>8;6363c8772=:1:i189=4=81g>1243438i7:<7:?:7c<3;>1659>542589<262=9<707;2;603>;>=>0??:5294:9061<50?o6?ln;|q21g4=838j5vPncd9>025=9i63;7c82ec=:<>i1=lh4=5:3>43b34>3=7?:e:?7<7<6=l1685=514g891>328?n70:75;36a>;30?0:9h52495950c<5=236<;j;<6;=?72m27?4l4>5d9>0=d=9i63;8d821`=:<1l1=8k4=5;3>43b34>2=7?:e:?7=7<6=l1684=514g891?328?n70:65;36a>;31?0:9h5248595d`<5=lj6<;j;<6ef?72m27><84>5d9>150=98:07f?83703;>i63:08821`=:=9k1=8k4=42a>43b34?;o7?:e:?64a<6=l169=k514g8906a28?n70;>0;36a>;2980:9h52500950c<5<;86<;j;<720?72m27>=84>5d9>140=9i63:18821`=:=8k1=8k4=43a>43b34?:o7?:e:?677<6=l169>=514g8905328?n70;;2;l0:9h52554950c<5<><6<;j;<77g?72m27>9=4>5d9>10g=9i63:71821`=:=>;1=lh4=451>4ga34?;2?10:9h5256;950c<5<=j6<;j;<74f?72m27>;n4>5d9>12b=9i63:81821`=:=1;1=8k4=4:1>43b34?3?7?:e:?6<1<6=l1695;514g890>128km70;m9;3bb>;2mj0:mk5260d95d`<5??96ag9>2`d=9hl01:?j:0ce?812?3;jj6387d821`=:?>l1=8k4=6:3>43b34=3=7?:e:?4<7<6=l16;5=514g892>328?n70975;36a>;00?0:9h52795950c<5>236<;j;<5;=?72m27<4l4>5d9>3=d=9i6388d821`=:?1l1=8k4=6;3>43b34=2=7?:e:?4=7<6=l16;4=514g892?328?n70965;36a>;01?0:9h52785950c<5>336<;j;<5:=?72m27<5l4>5d9>3l3;>i6389d821`=:?0l1=8k4=6c3>43b34=j=7?:e:?4e7<6=l16;l=514g892g328?n709n5;36a>;0i?0:9h527`5950c<5>k36<;j;<5b=?72m275d9>3dd=9i638ad821`=:?hl1=8k4=6`3>43b34=i=7?:e:?4f7<6=l16;o=514g892d328?n709m5;36a>;0j?0:9h527c5950c<5>h36<;j;<5a=?72m275d9>3gd=9i638bd821`=:?kl1=8k4=6a3>43b34=h=7?:e:?4g7<6=l16;n=514g892e328?n709l5;36a>;0k?0:9h527b5950c<5>i36<;j;<5`=?72m275d9>3fd=9i638cd821`=:?jl1=8k4=6f3>43b34=o=7?:e:?4`7<6=l16;i=514g892b328?n709k5;36a>;0l?0:9h527e5950c<5>n36<;j;<5g=?72m275d9>3ad=9i638dd82ec=:09l1=8k4=933>43b342:=7?:e:?;57<6=l164<=514g89=7328?n706>5;36a>;?9?0:9h52805950c<51;36<;j;<:2=?72m273=l4>5d9><4d=96l3;>i6371d821`=:08l1=8k4=903>43b3429=7?:e:?;67<6=l164?=514g89=4328?n706=5;36a>;?:?0:9h52835950c<51836<;j;<:1=?72m273>l4>5d9><7d=95l3;>i6372d821`=:0;l1=8k4=913>43b3428=7?:e:?;77<6=l164>=514g89=5328?n706<5;36a>;?;?0:9h52825950c<51936<;j;<:0=?72m273?l4>5d9><6d=94l3;>i6373d821`=:0:l1=8k4=963>43b342?=7?:e:?;07<6=l1649=514g89=2328?n706;5;36a>;?36<;j;<:7=?72m2738l4>5d9><1d=93l3;>i6374d821`=:0=l1=8k4=973>43b342>=7?:e:?;17<6=l1648=514g89=3328?n706:5;36a>;?=?0:9h52845950c<51?36<;j;<:6=?72m2739l4>5d9><0d=92l3;>i6375d821`=:043b342==7?:e:?;27<6=l164;=514g89=0328?n70695;36a>;?>?0:9h52875950c<51<36<;j;<:5=?72m273:l4>5d9><3d=91l3;>i6376d821`=:0?l1=8k4=953>43b343:i7?:e:?:5c<6=l165?>514g89<4628?n707=2;36a>;>::0:9h52936950c<508>6<;j;<;12?72m272>:4>5d9>=7>=9i6362c821`=:1;i1=8k4=80g>43b3439i7?:e:?:6c<6=l165>>514g89<5628?n707<2;36a>;>;:0:9h52926950c<509>6<;j;<;02?72m272?:4>5d9>=6>=9i6363c821`=:1:i1=8k4=81g>43b3438i7?:e:?:7c<6=l1659>514g89<2628?n707;2;36a>;>=>0:9h5294:950c<50?26<;j;<;6e?72m272:84>5d9>=27=9hl0149=:07f?8?0;3;jj63675821`=:1>?1=lh4=855>43b343<;7?nf:?:3=<6=l165:751`d89<1f28?n7078b;3bb>;>?j0:9h5296f95d`<50=n6<;j;<;4b?7fn2724=4>5d9>==7=9hl0146=:07f?8??;3;jj63685821`=:11?1=lh4=8:5>43b3433;7?nf:?:<=<6=l1655751`d89<>f28?n7077b;3bb>;>0j0:9h5299f95d`<502n6<;j;<;;b?7fn2725=4>5d9>=<7=9hl0147=:07f?8?>;3;jj63695821`=:10?1=lh4=8;5>43b3432;7?nf:?:==<6=l1654751`d89;>1j0:9h5298f95d`<503n6<;j;<;:b?7fn272m=4>5d9>=d7=9hl014o=:07f?8?f;3;jj636a5821`=:1h?1=lh4=8c5>43b343j;7?nf:?:e=<6=l165l751`d89;>ij0:9h529`f95d`<50kn6<;j;<;bb?7fn272n=4>5d9>=g7=9hl014l=:07f?8?e;3;jj636b5821`=:1k?1=lh4=8`5>43b343i;7?nf:?:f=<6=l165o751`d89;>jj0:9h529cf95d`<50hn6<;j;<;ab?7fn272o=4>5d9>=f7=9hl014m=:07f?8?d;3;jj636c5821`=:1j?1=lh4=8a5>43b343h;7?nf:?:g=<6=l165n751`d89;>kj0:9h529bf95d`<50in6<;j;<;`b?7fn272h=4>5d9>=a7=9hl014j=:07f?8?c;3;jj636d5821`=:1m?1=lh4=8f5>43b343o;7?nf:?:`=<6=l165i751`d89;>lj0:9h529ef95d`<50nn6<;j;<;gb?7fn272i=4>5d9>=`7=9hl014k=:07f?8?b;3;jj636e5821`=:1l?1=lh4=8g5>43b343n;7?nf:?:a=<6=l165h751`d89;>mj0:9h529df95d`<50on6<;j;<;fb?7fn272j=4>5d9>=c7=9hl014h=:07f?8?a;3;jj636f5821`=:1o?1=lh4=8d5>43b343m;7?nf:?:b=<6=l165k751`d89<`f28?n707ib;3bb>;>nj0:9h529gf95d`<50ln6<;j;<;eb?7fn27j<=4>5d9>e57=9hl01l>=:07f?8g7;3;jj63n05821`=:i9?1=lh4=`25>43b34k;;7?nf:?b4=<6=l16m=751`d89d6f28?n70o?b;3bb>;f8j0:9h52a1f95d`<5h:n6<;j;5d9>e47=9hl01l?=:07f?8g6;3;jj63n15821`=:i8?1=lh4=`35>43b34k:;7?nf:?b5=<6=l16m<751`d89d7f28?n70o>b;3bb>;f9j0:9h52a0f95d`<5h;n6<;j;=4>5d9>e77=9hl01l<=:07f?8g5;3;jj63n25821`=:i;?1=lh4=`05>43b34k9;7?nf:?b6=<6=l16m?751`d89d4f28?n70o=b;3bb>;f:j0:9h52a3f95d`<5h8n6<;j;5d9>e67=9hl01l==:07f?8g4;3;jj63n35821`=:i:?1=lh4=`15>43b34k8;7?nf:?b7=<6=l16m>751`d89d5f28?n70o;f;j0:9h52a2f95d`<5h9n6<;j;5d9>e17=9hl01l:=:07f?8g3;3;jj63n45821`=:i=?1=lh4=`65>43b34k?;7?nf:?b0=<6=l16m9751`d89d2f28?n70o;b;3bb>;fn6<;j;5d9>e07=9hl01l;=:07f?8g2;3;jj63n55821`=:i43b34k>;7?nf:?b1=<6=l16m8751`d89d3f28?n70o:b;3bb>;f=j0:9h52a4f95d`<5h?n6<;j;5d9>e37=9hl01l8=:07f?8g1;3;jj63n65821`=:i??1=lh4=`45>43b34k=;7?nf:?b2=<6=l16m;751`d89d0f28?n70o9b;3bb>;f>j0:9h52a7f95d`<5h5d9>e27=9hl01l9=:07f?8g0;3;jj63n75821`=:i>?1=lh4=`55>43b34k<;7?nf:?b3=<6=l16m:751`d89d1f28?n70o8b;3bb>;f?j0:9h52a6f95d`<5h=n6<;j;5d9>e=7=9hl01l6=:07f?8g?;3;jj63n85821`=:i1?1=lh4=`:5>43b34k3;7?nf:?b<=<6=l16m5751`d89d>f28?n70o7b;3bb>;f0j0:9h52a9f95d`<5h2n6<;j;5d9>e<7=9hl01l7=:07f?8g>;3;jj63n95821`=:i0?1=lh4=`;5>43b34k2;7?nf:?b==<6=l16m4751`d89d?f28?n70o6b;3bb>;f1j0:9h52a8f95d`<5h3n6<;j;5d9>ed7=9hl01lo=:07f?8gf;3;jj63na5821`=:ih?1=lh4=`c5>43b34kj;7?nf:?be=<6=l16ml751`d89dgf28?n70onb;3bb>;fij0:9h52a`f95d`<5hkn6<;j;5d9>eg7=9hl01ll=:07f?8ge;3;jj63nb5821`=:ik?1=lh4=``5>43b34ki;7?nf:?bf=<6=l16mo751`d89ddf28?n70omb;3bb>;fjj0:9h52acf95d`<5hhn6<;j;5d9>ef7=9hl01lm=:07f?8gd;3;jj63nc5821`=:ij?1=lh4=`a5>43b34kh;7?nf:?bg=<6=l1v<;m3;2967g|Vhi270:8b;3b7>;3?k0:m85246`95d2<5==i627?;o4>a39>02d=9h:0199m:0;e?820j3;j;63;7c82eg=:<>h1=lo4=55`>4g434>;3?j0:m=5246a95<`<5==h6a`9>0=6=90o0196>:0;f?82?:3;2i63;8282=`=:<1>1=4k4=5:6>4?b34>3:7?6e:?7<2<61l16856518g891>>283n70:7a;3:a>;30k0:5h5249a95m27?4k4>9d9>0<6=90o0197>:0;f?82>:3;2i63;9282=`=:<0>1=4k4=5;5>4?b34>2;7?6e:?7bd<61l168kl518g89062283n70;?6;3:a>;28>0:5h5251:95m27>9d9>15e=90o018>k:0;f?837m3;2i63:0g82=`=:=8:1=4k4=432>4?b34?:>7?6e:?656<61l169<:518g89072283n70;>6;3:a>;29>0:5h5250:95m27>=o4>9d9>14e=90o018==:0;f?834;3;2i63:3582=`=:=:n1=4k4=41f>4?b34??:7?6e:?602<61l1699m518g89037283n70;:a;3:a>;2>l0:5h5257d95;<4>a49>127=9h>0189>:0c`?83093;j:63:7082e==:=>;1=l<4=452>4g734?<=7?n7:?634<6ik169:?51`c8901528k:70;82;3b1>;2?;0:m95256095de<5<=96;?4>a39>124=9h:0189=:0c4?830:3;jn63:7382ed=:=>91=l?4=450>4g234?;2?:0:m=5256195d1<5<=86;94>a09>122=9h?0189;:0c7?830<3;jo63:7582e3=:=>>1=l64=457>4g534?<87?n0:?631<6i>169::51``8901328kj70;85;3:a>;2??0:5h5256595m27>;l4>9d9>12d=90o0189l:0;f?830l3;2i63:7d82=`=:=>l1=4k4=4:3>4?b34?3=7?6e:?6<7<61l1695=518g890>3283n70;75;3:a>;20?0:5h525c;95m27=9?4>9d9>2=3=90o01;l7:0;f?80bj3;2i6381d82=`=:?<=1=4k4=6ff>4?b342;j7?6e:?;55<61l1643;3:a>;?9=0:5h5280795m273=54>9d9><4?=90o015?n:0;f?8>6j3;2i6371b82=`=:08n1=4k4=93f>4?b342:j7?6e:?;65<61l164??518g89=45283n706=3;3:a>;?:=0:5h5283795m273>54>9d9><7?=90o0155j3;2i6372b82=`=:0;n1=4k4=90f>4?b3429j7?6e:?;75<61l164>?518g89=55283n706<3;3:a>;?;=0:5h5282795m273?54>9d9><6?=90o015=n:0;f?8>4j3;2i6373b82=`=:0:n1=4k4=91f>4?b3428j7?6e:?;05<61l1649?518g89=25283n706;3;3:a>;?<=0:5h5285795=6<7j;<:73?7>m273854>9d9><1?=90o015:n:0;f?8>3j3;2i6374b82=`=:0=n1=4k4=96f>4?b342?j7?6e:?;15<61l1648?518g89=35283n706:3;3:a>;?==0:5h5284795m273954>9d9><0?=90o015;n:0;f?8>2j3;2i6375b82=`=:04?b342>j7?6e:?;25<61l164;?518g89=05283n70693;3:a>;?>=0:5h5287795m273:54>9d9><3?=90o0158n:0;f?8>1j3;2i6376b82=`=:0?n1=4k4=94f>4?b342=j7?6e:?;35<61l164:m52c;89=>52;h270673;0a=>;?0h09nl528c696g?<51h>6?l6;<:a2?4e1272=h4>9d9>=4`=90o0144?b343997?6e:?:63<61l165?9518g89<4?2=9<707=9;3:a>;>:h0:5h5293`95m272>h4>9d9>=7`=<:=014=?:0;f?8?493;2i6363382=`=:1:91=4k4=817>4?b343897?6e:?:73<61l165>9518g89<5?283n707<9;3:a>;>;h0:5h5292`95m272?h4>9d9>=6`=90o014:?:0;f?8?393;2i6364382=`=:1

ol4=87`>7d>343>h7;>?80:5h5296095m272;84>9d9>=20=90o01498:0;f?8?003;2i6367882=`=:1>k1=4k4=85a>4?b343;>080:5h5299095m272484>9d9>==0=90o01468:0;f?8??03;2i6368882=`=:11k1=4k4=8:a>4?b3433o7?6e:?:a283n70760;3:a>;>180:5h5298095m272584>9d9>=<0=90o01478:0;f?8?>03;2i6369882=`=:10k1=4k4=8;a>4?b3432o7?6e:?:=a<61l1654k518g89;>i80:5h529`095m272m84>9d9>=d0=90o014o8:0;f?8?f03;2i636a882=`=:1hk1=4k4=8ca>4?b343jo7?6e:?:ea<61l165lk518g89;>j80:5h529c095m272n84>9d9>=g0=90o014l8:0;f?8?e03;2i636b882=`=:1kk1=4k4=8`a>4?b343io7?6e:?:fa<61l165ok518g89;>k80:5h529b095m272o84>9d9>=f0=90o014m8:0;f?8?d03;2i636c882=`=:1jk1=4k4=8aa>4?b343ho7?6e:?:ga<61l165nk518g89;>l80:5h529e095m272h84>9d9>=a0=90o014j8:0;f?8?c03;2i636d882=`=:1mk1=4k4=8fa>4?b343oo7?6e:?:`a<61l165ik518g89;>m80:5h529d095m272i84>9d9>=`0=90o014k8:0;f?8?b03;2i636e882=`=:1lk1=4k4=8ga>4?b343no7?6e:?:aa<61l165hk518g89;>n80:5h529g095m272j84>9d9>=c0=90o014h8:0;f?8?a03;2i636f882=`=:1ok1=4k4=8da>4?b343mo7?6e:?:bc<61l16m=>518g89d66283n70o?2;3:a>;f>80:5h52a7095m27j:84>9d9>e30=90o01l88:0;f?8g103;2i63n6882=`=:i?k1=4k4=`4a>4?b34k=o7?6e:?b2a<61l16m;k518g89d0a283n70o80;3:a>;f?80:5h52a6095m27j;84>9d9>e20=90o01l98:0;f?8g003;2i63n7882=`=:i>k1=4k4=`5a>4?b34k;f080:5h52a9095m27j484>9d9>e=0=90o01l68:0;f?8g?03;2i63n8882=`=:i1k1=4k4=`:a>4?b34k3o7?6e:?ba283n70o60;3:a>;f180:5h52a8095m27j584>9d9>e<0=90o01l78:0;f?8g>03;2i63n9882=`=:i0k1=4k4=`;a>4?b34k2o7?6e:?b=a<61l16m4k518g89d?a283n70on0;3:a>;fi80:5h52a`095m27jm84>9d9>ed0=90o01lo8:0;f?8gf03;2i63na882=`=:ihk1=4k4=`ca>4?b34kjo7?6e:?bea<61l16mlk518g89dga283n70om0;3:a>;fj80:5h52ac095m27jn84>9d9>eg0=90o01ll8:0;f?8ge03;2i63nb882=`=:ikk1=4k4=``a>4?b34kio7?6e:?bfa<61l16mok518g89dda283n70ol0;3:a>;fk80:5h52ab095m27jo84>9d9>ef0=90o01lm8:0;f?8gd03;2i6s|14`7>5:452485900?<512:6?ln;<:;=?4ei2734i4=b89>f138im637a`81f<=:1

om4}r36f0<7289pRlh9;<73e?24?27>15e=<:=018>k:514?836k3;i86378d81ff=:01l1>oj4=9;2>7d>3422?7;>:=0??:5297396gb<50<96?l6;<;57?4ek272:94=b89~w43e>3:1ivPnf69>154=<=9018>;:0cf?836j3;i86378d81fg=:01l1>oo4=9;3>7d>3422?7;>>809no5297096gdn:4?:4a5[gdi2T:<4m4^02:f>X680k0R<>69:\24<10878Z46><2T:<4=4^02:6>X680;0R<>60:\24=`0`18Z46f:2T:X680l0R<>6e:\2409f8Z46?k2T:>:94^0042>X6:>?0R<<84:\26256P>2638Z44082T:>;k4^005`>X6:?i0R<<9b:\263g27:8Z441?2T:>;84^0051>X6:?90R<<92:\263724d8Z442m2T:>8j4^006g>X6:;6P>2448Z442=2T:>8:4^0067>X6:<80R<<:1:\260626a8Z440j2T:>:o4^004=>X6:>20R<<9f:\263256P>25g8Z443l2T:=;:4^0357>X69?80Ri6P>14f8Z472k2T:=8l4^036e>X69<30R:6P>17`8Z471i2T:=;74^035<>X69?=0R1478Z472<2T:?kh4^01ea>X6;on0R<=ic:\27cd3g;8Z45a02T:?k84^01e1>X6;o>0R<=i3:\27c43g28Z45bn2T:?hk4^01f`>X6;lh0R<=ja:\27`?3d58Z45b>2T:?h;4^01f0>X6;l90R<=j2:\27`63eg8Z45cl2T:?im4^01gf>X6;mk0R<=k9:\27a>4168Z427;2T:8=<4^0635>X6<9:0R<=i7:\27`e3e48Z45c=27?;<4=b79>02g=:0i0199m:51g?820j3;j=63;7c8704=:<>h1>i=4=55a>15b34>;3?k0??n5246`95<4<5==i6<7?;<64f?23827?;o4;439>02d=;0>0199m:2;1?820j392<63;7c825g=:<>h1=<74=55a>47?34>7:?73g<600168:l51958911e282=70:8b;3;1>;3?k0:495246`95=5<5==i6<6=;<64f?7?927?;o4>7g9>02d=9>o0199m:05g?820j3;h1=:o4=55a>41>34>168:l51648911e28=?70:8b;347>;3?k0:;?5246`9527<5==i6<9?;<64f?71n27?;o4>6d9>02d=9?n0199m:04`?820j3;=n63;7c822<=:<>h1=;64=55a>40034><168:l51768911e28<870:8b;356>;3?k0::<5246`9536<5==i6<6i;<64f?7?m27?;o4>8e9>02d=91i0199m:0:a?820j3;3m63;7c82<5=:<>h1=:;4=55a>40f34>;3?k0:?h5246`956e<5==i6<=m;<64f?74i27?;o4>389>02d=9:20199m:014?820j3;8:63;7c8202=:<>h1=984=55a>42234>:70:8b;374>;3?k0:?k5246`9563<5==i6c59>02d=9j90199m:0a1?820j3;h<63;7c82fc=:<>h1=ok4=55a>4dc34>;3?k0:n:5246`95f`<5==i6cb9>02d=9jh0199m:0ab?820j3;h563;7c82g==:<>h1=n?4=55a>4d134>34>;<64f?e134>;3?j0?8<5246a96a5<5==h69=j;<64g?24127?;n4;3c9>02e=<:k0199l:0c;?820k3>8j63;7b877f=:<>i1=4<4=55`>4?734>;3?j0:=o5246a954?<5==h6889>02e=91=0199l:0:5?820k3;3963;7b82<1=:<>i1=5=4=55`>4>534>;3?j0:;o5246a952g<5==h6<96;<64g?70027?;n4>769>02e=9><0199l:057?820k3;i1=:?4=55`>41734>l168:m517f8911d28;3?j0::45246a953><5==h6<88;<64g?71>27?;n4>649>02e=9?>0199l:040?820k3;=>63;7b8224=:<>i1=;>4=55`>4>a34>;3?j0:4=5246a9523<5==h6<8n;<64g?72n27?;n4=c59>02e=:j80199l:3a3?820k38ij63;7b827`=:<>i1=>m4=55`>45e34>;3?j0:8:5246a9510<5==h6<::;<64g?73<27?;n4>429>02e=9=80199l:062?820k3;?<63;7b827c=:<>i1=>;4=55`>4e034>;3?j0:nk5246a95gc<5==h6bc9>02e=9kk0199l:0`:?820k3;i463;7b82f2=:<>i1=nh4=55`>4eb34>;3?j0:o55246a95f7<5==h634>;<64g?c734>?27?;n4>949>02e=90>0199k:3;`?820m382o63;f`8706=:94=423>7?d34?;=7<6c:?646<51j169=:528a890622=9<70;?6;603>;28>0??:5251:9061<5<:269=8;<715?7fm27>>?4>ad9>171=9ho018<7:0cf?835j3;ji63:338772=:=:918>94=41g>15034?8i7:;3:?603<3;>1699954518902?28kn70;;b;3ba>;2944>ad9>10c=9ho0188=:0cf?831?3;ji63:718772=:=>;18>j4=452>4g434?<=7:;1:?634<5l:169:?542g890162=9270;81;60f>;2?80??l52563906`<5<=:6<7i;<745?24k27>;<4>939>127=90:0189>:563?83093>?>63:7080=1=:=>;1?4>4=452>6>b34?<=7=i9:?634<4n>169:?53g4890162:l>70;81;1e0>;2?808j>5256397c4<5<=:6>h>;<745?5bn27>;<4127=;ln0189>:2g`?830939nn63:7080ad=:=>;1?h74=452>6c?34?<=7=j7:?634<4m?169:?53d6890162:o870;81;1f6>;2?808i<5256397`6<5<=:6>ji;<745?5cm27>;<4127=;mi0189>:2fa?830939o563:7080`==:=>;1?i94=452>6b134?<=7=k5:?634<4l=169:?53e1890162:n970;81;1g5>;2?808h=5256397c`<5<=:6>hj;<745?5al27>;<4127=;oh0189>:2db?830939m<63:7080a0=:=>;1?io4=452>6ea34?<=7?>b:?634<690169:?510:8901628;<70;81;3;=>;2?80:4:5256395=0<5<=:6<6:;<745?7?<27>;<4>829>127=9180189>:0:2?83093;;1=:j4=452>41d34?<=7?8b:?634<6?h169:?516;8901628=370;81;343>;2?80:;;525639522<5<=:6<9<;<745?70:27>;<4>709>127=9>:0189>:04e?83093;=i63:70822a=:=>;1=;m4=452>40e34?<=7?99:?634<6>1169:?51758901628<=70;81;351>;2?80::9525639535<5<=:6<8=;<745?71927>;<4>619>127=91l0189>:0:f?83093;3h63:7082;1=5l4=452>4>f34?<=7?70:?634<6?<169:?517c8901628?m70;81;0`0>;2?809o?5256396f6<5<=:6?li;<745?74m27>;<4>3b9>127=9:h0189>:01b?83093;8563:70827==:=>;1=>94=452>45134?<=7?;7:?634<6?70;81;377>;2?80:8?525639517<5<=:6<:?;<745?74n27>;<4>349>127=lj169:?5d`9>127=l0169:?5d99>127=l>169:?5d79>127=l<169:?5d59>127=l:169:?5d39>127=l9169:?5cg9>127=kl169:?5ce9>127=kj169:?5cc9>127=kh169:?5c89>127=k1169:?5c69>127=m=169:?5e29>127=m;169:?5e09>127=m9169:?5dg9>127=ll169:?5de9>127=l8169:?5c79>127=90=0189>:0;6?83093;2863:73877a=:=>81=l=4=451>12634?<>7;2?;0??k5256095<`<5<=969=l;<746?7>:27>;?4>919>124=<=:0189=:561?830:392863:7380=5=:=>81?5k4=451>6`>34?<>7=i7:?637<4n?169:<53g7890152:l?70;82;1e7>;2?;08j?5256097c7<5<=96>ki;<746?5bm27>;?4124=;li0189=:2ga?830:39nm63:7380a<=:=>81?h64=451>6c034?<>7=j6:?637<4m=169:<53d1890152:o970;82;1f5>;2?;08i=5256097a`<5<=96>jj;<746?5cl27>;?4124=;mh0189=:2f:?830:39o463:7380`2=:=>81?i84=451>6b234?<>7=k4:?637<4l:169:<53e0890152:n:70;82;1g4>;2?;08jk5256097cc<5<=96>hk;<746?5ak27>;?4124=;ok0189=:2d3?830:39n963:7380`d=:=>81?nh4=451>47e34?<>7?>9:?637<691169:<510589015282270;82;3;3>;2?;0:4;5256095=3<5<=96<6;;<746?7?;27>;?4>839>124=91;0189=:05e?830:3;81=:m4=451>41e34?<>7?8a:?637<6?0169:<516:8901528=<70;82;342>;2?;0:;9525609525<5<=96<9=;<746?70927>;?4>719>124=9?l0189=:04f?830:3;=h63:73822f=:=>81=;l4=451>40>34?<>7?98:?637<6>>169:<51748901528<>70;82;350>;2?;0::>525609534<5<=96<8>;<746?71827>;?4>8g9>124=91o0189=:0:g?830:3;3o63:738281=5o4=451>4>734?<>7?85:?637<6>h169:<514d890152;i?70;82;0`6>;2?;09o=5256096g`<5<=96<=j;<746?74k27>;?4>3c9>124=9:k0189=:01:?830:3;8463:738272=:=>81=>84=451>42034?<>7?;6:?637<6<<169:<51568901528>870;82;376>;2?;0:8<525609516<5<=96<=i;<746?74=27>;?4kc:?637;?4k9:?637;?4k7:?63727>;?4k5:?637;?4k3:?637;?4k0:?637;?4le:?637;?4lc:?637;?4la:?637;?4l8:?637;?4j4:?637;?4j2:?637;?4j0:?637;?4ke:?637;?4k1:?63727>;?4>969>124=90?0189=:0;7?830;3>8h63:7282e6=:=>9189?4=450>7b434?;2?:0:5k52561906e<5<=86<7=;<747?7>827>;>4;419>125=<=80189<:2;7?830;392>63:7280=5=:=>91?5k4=450>47e34?9:?636<691169:=510589014282270;83;3;3>;2?:0:4;5256195=3<5<=86<6;;<747?7?;27>;>4>839>125=91;0189<:05e?830;3;91=:m4=450>41e34?;2?:0:;9525619525<5<=86<9=;<747?70927>;>4>719>125=9?l0189<:04f?830;3;=h63:72822f=:=>91=;l4=450>40>34?>169:=51748901428<>70;83;350>;2?:0::>525619534<5<=86<8>;<747?71827>;>4>8g9>125=91o0189<:0:g?830;3;3o63:728291=5o4=450>4>734?h169:=514d890142;i?70;83;0`6>;2?:09o=5256196g`<5<=86<=j;<747?74k27>;>4>3c9>125=9:k0189<:01:?830;3;8463:728272=:=>91=>84=450>42034?870;83;376>;2?:0:8<525619516<5<=86<=i;<747?74=27>;>4kc:?636;>4k9:?636;>4k7:?63627>;>4k5:?636;>4k3:?636;>4k0:?636;>4le:?636;>4lc:?636;>4la:?636;>4l8:?636;>4j4:?636;>4j2:?636;>4j0:?636;>4ke:?636;>4k1:?63627>;>4>969>125=90?0189<:0;7?830<3>8h63:7582e6=:=>>189?4=457>7b434?<87:;2?=0:5k52566906e<5<=?6<7=;<740?7>827>;94;419>122=<=80189;:2;7?830<392>63:7580=5=:=>>1?5k4=457>47e34?<87?>9:?631<691169::510589013282270;84;3;3>;2?=0:4;5256695=3<5<=?6<6;;<740?7?;27>;94>839>122=91;0189;:05e?830<3;>1=:m4=457>41e34?<87?8a:?631<6?0169::516:8901328=<70;84;342>;2?=0:;9525669525<5<=?6<9=;<740?70927>;94>719>122=9?l0189;:04f?830<3;=h63:75822f=:=>>1=;l4=457>40>34?<87?98:?631<6>>169::51748901328<>70;84;350>;2?=0::>525669534<5<=?6<8>;<740?71827>;94>8g9>122=91o0189;:0:g?830<3;3o63:7582>1=5o4=457>4>734?<87?85:?631<6>h169::514d890132;i?70;84;0`6>;2?=09o=5256696g`<5<=?6<=j;<740?74k27>;94>3c9>122=9:k0189;:01:?830<3;8463:758272=:=>>1=>84=457>42034?<87?;6:?631<6<<169::51568901328>870;84;376>;2?=0:8<525669516<5<=?6<=i;<740?74=27>;94kc:?631;94k9:?631;94k7:?63127>;94k5:?631;94k3:?631;94k0:?631;94le:?631;94lc:?631;94la:?631;94l8:?631;94j4:?631;94j2:?631;94j0:?631;94ke:?631;94k1:?63127>;94>969>122=90?0189;:0;7?830=3>8;63:778772=:=>=18>94=45;>15034?<57:<7:?;40<6il164=k51`g89=1e28kn70781;c2?8?093k970781;c7?8?0;3k970783;c0?8?0;3k?70785;c2?8?0=3k970785;c0?8?0=3k?70787;c2?8?0?3k970787;c0?8?0?3k?70789;c2?8?013k970789;c0?8?013k?7078b;c2?8?0j3k97078b;c0?8?0j3k?7078d;c2?8?0l3k97078d;c0?8?0l3k?7078f;c2?8?0n3k97078f;c0?8?0n3k?70771;c2?8??93k970771;c0?8??93k?70773;c2?8??;3k970773;c0?8??;3k?70775;c2?8??=3k970775;c0?8??=3k?70777;c2?8???3k970777;c0?8???3k?70779;c2?8??13k970779;c0?8??13k?7077b;c2?8??j3k97077b;c0?8??j3k?7077d;c2?8??l3k97077d;c0?8??l3k?7077f;c2?8??n3k97077f;c0?8??n3k?70761;c2?8?>93k970761;c0?8?>93k?70763;c2?8?>;3k970763;c0?8?>;3k?70765;c2?8?>=3k970765;c0?8?>=3k?70767;c2?8?>?3k970767;c0?8?>?3k?70769;c2?8?>13k870769;c7?8?>j3k97076b;c0?8?>j3k?7076d;c2?8?>l3k87076d;c7?8?>n3k87076f;c7?8?f93k9707n1;c0?8?f93k?707n3;c1?8?f;3k8707n3;c7?8?f=3k9707n5;c0?8?f=3k?707n7;c1?8?f?3k8707n7;c7?8?f13k9707n9;c0?8?f13k?707nb;c1?8?fj3k8707nb;c7?8?fl3k9707nd;c0?8?fl3k?707nf;c1?8?fn3k8707nf;c7?8?e93k9707m1;c0?8?e93k?707m3;c1?8?e;3k8707m3;c7?8?e=3k9707m5;c0?8?e=3k?707m7;c1?8?e?3k8707m7;c7?8?e13k9707m9;c0?8?e13k?707mb;c1?8?ej3k8707mb;c7?8?el3k9707md;c0?8?el3k?707mf;c1?8?en3k8707mf;c7?8?d93k9707l1;c0?8?d93k?707l3;c1?8?d;3k8707l3;c7?8?d=3k9707l5;c0?8?d=3k?707l7;c1?8?d?3k8707l7;c7?8?d13k9707l9;c0?8?d13k?707lb;c1?8?dj3k8707lb;c7?8?dl3k9707ld;c0?8?dl3k?707lf;c1?8?dn3k8707lf;c7?8?c93k9707k1;c0?8?c93k?707k3;c1?8?c;3k8707k3;c7?8?c=3k9707k5;c0?8?c=3k?707k7;c1?8?c?3k8707k7;c7?8?c13k9707k9;c0?8?c13k?707kb;c1?8?cj3k8707kb;c7?8?cl3k9707kd;c0?8?cl3k?707kf;c1?8?cn3k8707kf;c7?8?b93k9707j1;c0?8?b93k?707j3;c1?8?b;3k8707j3;c7?8?b=3k9707j5;c0?8?b=3k?707j7;c1?8?b?3k8707j7;c7?8?b13k9707j9;c0?8?b13k?707jb;c1?8?bj3k8707jb;c7?8?bl3k9707jd;c0?8?bl3k?707jf;c1?8?bn3k8707jf;c7?8?a93k9707i1;c0?8?a93k?707i3;c1?8?a;3k8707i3;c7?8?a=3k9707i5;c0?8?a=3k?707i7;c1?8?a?3k8707i7;c7?8?a13k9707i9;c0?8?a13k?707ib;c1?8?aj3k8707ib;c7?8?al3k:707if;c1?8?an3k8707if;c7?8g793k:70o?1;c1?8g793k870o?1;c7?8g7;3k:70o?5;c2?8g7?3k:70o?9;c2?8g7j3k:70o?d;c2?8g7n3k:70o>1;c2?8g6;3k:70o>5;c2?8g6?3k:70o>9;c2?8g6j3k:70o>d;c2?8g6n3k:70o=1;c2?8g5;3k:70o=5;c2?8g5?3k:70o=9;c2?8g5j3k:70o=d;c2?8g5n3k:70o<1;c2?8g4;3k:70o<5;c2?8g4?3k:70o<9;c2?8g4j3k:70o93k870o61;c7?8g>;3k870o63;c7?8g>=3k870o65;c7?8g>?3k870o67;c7?8g>13k870o69;c7?8g>j3k870o6b;c7?8g>l3k870o6d;c7?8g>n3k870o6f;c7?8gf93k870on1;c7?8gf;3k870on3;c7?8gf=3k870on5;c7?8gf?3k870on7;c7?8gf13k870on9;c7?8gfj3k870onb;c7?8gfl3k870ond;c7?8gfn3k870onf;c7?8ge93k870om1;c7?8ge;3k870om3;c7?8ge=3k870om5;c7?8ge?3k870om7;c7?8ge13k870om9;c7?8gej3k870omb;c7?8gel3k870omd;c7?8gen3k870omf;c7?8gd93k870ol1;c7?8gd;3k870ol3;c7?8gd=3k:70ol5;c1?8gd=3k?70ol7;c0?8gd?3k?7p}>5c:94?5|Vhih70680;601>;>9109nl5rs07a=?6=;rTo>i5282d9063<51o<6?ll;|q21gg=839pRi<6b=<:?015k9:3``?xu6=ki1<7=t^e0b?8>4k3>89637e581ff=z{8?ih7>53z\g6<=:0:h18>;4=9g1>7dd3ty:9ok50;1xZa4?3428m7:<5:?;a6<5jj1v<;mf;297~Xc:>164>7542789=c62;hh7p}>5b294?5|Vm8=706<8;601>;?lo09nn5rs07`5?6=;rTo>9528259063<51o;6?ll;|q21f4=839pRi<<;<:02?24=273hh4=bb9~w43d;3:1?vPk239><63=<:?015jl:3``?xu6=j>1<7=t^e02?8>4<3>89637de81ff=z{8?h97>53z\g65=:0:918>;4=9fa>7dd3ty:9n850;1xZa7a3428>7:<5:?;`<<5jj1v<;l7;297~Xc9l164>?542789=bf2;hh7p}>5b:94?5|Vm;o706<0;601>;?l109nn5rs07`=?6=;rTo=n5283d9063<51n=6?ll;|q21fg=839pRi?m;<:1a?24=273h:4=bb9~w43dj3:1?vPk189><7b=<:?015j::3``?xu6=ji1<7=t^e3;?8>5k3>89637d281ff=z{8?hh7>53z\g52=:0;h18>;4=9f7>7dd3ty:9nk50;1xZa713429m7:<5:?;`7<5jj1v<;lf;297~Xc9<164?7542789=b72;hh7p}>5e294?5|Vm;?706=8;601>;?l809nn5rs07g5?6=;rTo=>528359063<51im6?ll;|q21a4=839pRi?=;<:12?24=273oi4=bb9~w43c;3:1?vPk109><73=<:?015mj:3``?xu6=m>1<7=t^e33?8>5<3>89637cb81ff=z{8?o97>53z\g4`=:0;918>;4=9ab>7dd3ty:9i850;1xZa6c3429>7:<5:?;gg<5jj1v<;k7;297~Xc8j164??542789=e>2;hh7p}>5e:94?5|Vm:i706=0;601>;?k>09nn5rs07g=?6=;rTo6;<:2a?24=273o;4=bb9~w43cj3:1?vPk099><4b=<:?015m;:3``?xu6=mi1<7=t^e24?8>6k3>89637c481ff=z{8?oh7>53z\g43=:08h18>;4=9a0>7dd3ty:9ik50;1xZa62342:m7:<5:?;g4<5jj1v<;kf;297~Xc;;164<7542789=e52;hh7p}>5d294?5|Vm9:706>8;601>;?k909nn5rs07f5?6=;rTo?=528059063<51hn6?ll;|q21`4=839pRi<43=<:?015lk:3``?xu6=l>1<7=t^e06?8>6<3>89637bc81ff=z{8?n97>53z\g5d=:08918>;4=9``>7dd3ty:9h850;1xZa6a342:>7:<5:?;fd<5jj1v<;j7;297~Xc8=1645d:94?5|Vm:8706>0;601>;?j009nn5rs07f=?6=;rTni85287d9063<50;<6?ll;|q21`g=839pRhk;;<:5a?24=272=;4=bb9~w43bj3:1?vPje29><3b=<:?014?;:3``?xu6=li1<7=t^dg1?8>1k3>896361481ff=z{8?nh7>53z\fa4=:0?h18>;4=830>7dd3ty:9hk50;1xZ`c7342=m7:<5:?:54<5jj1v<;jf;297~Xblo164;7542789<752;hh7p}>5g294?5|Vlnn70698;601>;>9909nn5rs07e5?6=;rTnhn528759063<50:n6?ll;|q21c4=839pRhjm;<:52?24=272<33=<:?014>k:3``?xu6=o>1<7=t^df:?8>1<3>896360c81ff=z{8?m97>53z\f`==:0?918>;4=82`>7dd3ty:9k850;1xZ`b0342=>7:<5:?:4d<5jj1v<;i7;297~Xbl?164;?542789<6?2;hh7p}>5g:94?5|Vln>70690;601>;>8009nn5rs07e=?6=;rTnh95284d9063<50:<6?ll;|q21cg=839pRhj<;<:6a?24=272<84=bb9~w43aj3:1?vPjd09><0b=<:?014>9:3``?xu6=oi1<7=t^df3?8>2k3>896360581ff=z{8?mh7>53z\fgc=:0;4=821>7dd3ty:9kk50;1xZ`eb342>m7:<5:?:46<5jj1v<;if;297~Xbkm16487542789<662;hh7p}>61294?5|Vlih706:8;601>;?no09nn5rs0435?6=;rTnoo528459063<50:;6?ll;|q2254=839pRhmn;<:62?24=273jh4=bb9~w407;3:1?vPjc89><03=<:?015hl:3``?xu6>9>1<7=t^da;?8>2<3>89637fe81ff=z{8<;97>53z\fg3=:0<918>;4=9da>7dd3ty::=850;1xZ`e2342>>7:<5:?;b<<5jj1v<8?7;297~Xbk=1648?542789=`f2;hh7p}>61:94?5|Vli8706:0;601>;?n109nn5rs043=?6=;rTno?5285d9063<51l=6?ll;|q225g=839pRhm>;<:7a?24=273j:4=bb9~w407j3:1?vPjc19><1b=<:?015h::3``?xu6>9i1<7=t^d`e?8>3k3>89637f281ff=z{8<;h7>53z\ff`=:0=h18>;4=9d7>7dd3ty::=k50;1xZ`dc342?m7:<5:?;b7<5jj1v<8?f;297~Xbmh16497542789=`72;hh7p}>60294?5|Vlo2706;8;601>;?n809nn5rs0425?6=;rTni5528559063<51om6?ll;|q2244=839pRhk8;<:72?24=273ii4=bb9~w406;3:1?vPje79><13=<:?015kj:3``?xu6>8>1<7=t^dfg?8>3<3>89637eb81ff=z{8<:97>53z\f`7=:0=918>;4=9gb>7dd3ty::<850;1xZ`e0342?>7:<5:?;ag<5jj1v<8>7;297~Xbjj1649?542789=c>2;hh7p}>60:94?5|Vlhi706;0;601>;?m109nn5rs042=?6=irT:9;j4=5:g>1523423=7;?i009no528`c96gd<50?i6?lk;|q224g=838pR<;9c:?7=5<3;<1v<8>b;296~Xfn11684=54278yv719j0;6<:l{<646?5>127?;54=c69>02g=9ho0199m:3a2?820k38h=63;7g81=f=:n94=423>4gb34?;?7?ne:?66g<51j169>;52b58905a2;i<70;;e;3ba>;2=:095n5254g967=;<745?5>927>;<4<8g9>127=;1n0189>:3a2?830:392>63:7380=4=:=>81?5h4=451>6>c34?<>7;2?=085<5256697=`<5<=?6>6k;<740?4d9273;o4=9b9>=27=i:165:=5a09>===<`=i;165l?5a09>=d5=i8165l;5a09>=d1=i8165l75a09>=dd=i8165lj5a09>=d`=i8165o?5a09>=g5=i8165o;5a09>=g1=i8165o75a09>=gd=i8165oj5a09>=g`=i8165n?5a09>=f5=i8165n;5a09>=f1=i8165n75a09>=fd=i8165nj5a09>=f`=i8165i?5a09>=a5=i8165i;5a09>=a1=i8165i75a09>=ad=i8165ij5a09>=a`=i8165h?5a09>=`5=i8165h;5a09>=`1=i8165h75a09>=`d=i8165hj5a09>=``=i8165k?5a09>=c5=i8165k;5a09>=c1=i8165k75a09>=cd=i8165kj5a39>=cb=i:165kj5a59>=c`=i816m==5a39>e55=i:16m==5a59>e53=i;16m=;5a29>e53=i=16m=95a39>e51=i:16m=95a59>e5?=i;16m=75a29>e5?=i=16m=l5a39>e5d=i:16m=l5a59>e5b=i;16m=j5a29>e5b=i=16m=h5a39>e5`=i:16m=h5a59>e47=i;16me47=i=16m<=5a39>e45=i:16m<=5a59>e43=i;16m<;5a29>e43=i=16m<95a39>e41=i:16m<95a59>e4?=i;16m<75a29>e4?=i=16me4d=i:16me4b=i;16me4b=i=16me4`=i:16me77=i;16m??5a29>e77=i=16m?=5a39>e75=i:16m?=5a59>e73=i;16m?;5a29>e73=i=16m?95a39>e71=i:16m?95a59>e7?=i;16m?75a29>e7?=i=16m?l5a39>e7d=i:16m?l5a59>e7b=i;16m?j5a29>e7b=i=16m?h5a39>e7`=i:16m?h5a59>e67=i;16m>?5a29>e67=i=16m>=5a39>e65=i:16m>=5a59>e63=i;16m>;5a29>e63=i=16m>95a39>e61=i:16m>95a59>e6?=i;16m>75a29>e6?=i=16m>l5a39>e6d=i:16m>l5a59>e6b=i;16m>j5a29>e6b=i=16m>h5a39>e6`=i:16m>h5a59>e17=i;16m9?5a29>e17=i=16m9=5a39>e15=i:16m9=5a59>e13=i;16m9;5a29>e13=i=16m995a39>e11=i:16m995a59>e1?=i;16m975a29>e1?=i=16m9l5a39>e1d=i:16m9l5a59>e1b=i;16m9j5a29>e1b=i=16m9h5a39>e1`=i:16m9h5a59>e07=i;16m8?5a29>e07=i=16m8=5a39>e05=i:16m8=5a59>e03=i;16m8;5a29>e03=i=16m895a39>e01=i:16m895a59>e0?=i;16m875a29>e0?=i=16m8l5a39>e0d=i:16m8l5a59>e0b=i;16m8j5a29>e0b=i=16m8h5a39>e0`=i:16m8h5a59>e37=i=16m;;5a09>e33=i;16m;95a09>e31=i;16m;75a09>e3?=i;16m;l5a09>e3d=i;16m;j5a09>e3b=i;16m;h5a09>e3`=i;16m:?5a09>e27=i;16m:=5a09>e25=i;16m:;5a09>e23=i;16m:95a09>e21=i;16m:75a09>e2?=i;16m:l5a09>e2d=i;16m:j5a09>e2b=i;16m:h5a09>e2`=i;16m5?5a09>e=7=i;16m5=5a09>e=5=i;16m5;5a09>e=3=i;16m595a09>e=1=i;16m575a09>e=?=i;16m5l5a09>e=d=i;16m5j5a09>e=b=i;16m5h5a09>e=`=i;16m4?5a09>e<7=i;16m4=5a09>e<5=i;16m4;5a09>e<3=i;16m495a09>e<1=i;16m475a09>eeee<`=i;16ml?5a09>ed7=i;16ml=5a09>ed5=i;16ml;5a09>ed3=i;16ml95a09>ed1=i;16ml75a09>ed?=i;16mll5a09>edd=i;16mlj5a09>edb=i;16mlh5a09>ed`=i;16mo?5a09>eg7=i;16mo=5a09>eg5=i;16mo;5a09>eg3=i;16mo95a09>eg1=i;16mo75a09>eg?=i;16mol5a09>egd=i;16moj5a09>egb=i;16moh5a09>eg`=i;16mn?5a09>ef7=i;16mn=5a09>ef5=i;16mn;5a29>ef1=i816mn95a39~w406l3:1>v3;7282f1=:0>i1?5o4}r355`<72=q68:=54278911e2:3:70:8c;1:5>;??j09nn5rs042b?6=:r7?;94>b59><=4=;1k0q~?92183>1}:<>>18>;4=55a>6>b34>?4?:7y>023=<:?0199m:2:e?820j393h63;7b80i1?5j4=9:0>7de3ty::?=50;0x911128kn70:89;1;e>{t9?8?6=4;{<642?4>k27?584>b59>0<3=<:?01489:3`;?xu6>;?1<7124343=:7=7a:p53412909w0:86;1;e>;3?>0:mh5rs0413?6=:r7?;:4=9b9>=31=;1k0q~?92983>7}:<>=189=4=860>6>f3ty::?750;1x91102;i370:88;3ba>;3080:n95rs041e?6=:r7?;54<8`9>0=6=9k>0q~?92c83>7}:<>31=lk4=55b>6>f3ty::?m50;6x911>2;3h70:72;601>;?0k09n45289a96g?i4?:3y>02?=<=90156m:2:b?xu6>;o1<77e?34>3>7?m4:p534a2909w0:8a;677>;?0?084l5rs0404?6=:r7?;o4122=<;k0q~?93083>7}:<>h1?k94=457>14?3ty::><50;0x911e2:l=70;84;613>{t9?986=4={<64f?5a=27>;94;279~w404<3:1>v3;7c80b1=:=>>18?;4}r3570<72;q68:l53g1890132=8?7p}>62494?4|5==i6>h=;<740?25;2wx=;=8:181820j39m=63:758767=z{8<847>52z?73g<4mo169::54328yv71;00;6?u246`97`c<5<=?69?i;|q226g=838p199m:2gg?830<3>:i6s|171a>5<5s4>02d=;lk0189;:53a?xu6>:o1<76c>34?<87:>a:p535a2909w0:8b;1f<>;2?=0?=45rs0474?6=:r7?;o4122=<820q~?94083>7}:<>h1?h84=457>1703ty::9<50;0x911e2:o?70;84;621>{t9?>86=4={<64f?5b;27>;94;159~w403<3:1>v3;7c80a7=:=>>18<=4}r3500<72;q68:l53d3890132=;97p}>65494?4|5==i6>k?;<740?2692wx=;:8:181820j39oj63:758755=z{852z?73g<4ll169::541d8yv71<00;6?u246`97ab<5<=?69>j;|q221g=838p199m:2f`?830<3>;h6s|176a>5<5s4>02d=;m20189;:52:?xu6>=o1<76b034?<87:?8:p532a2909w0:8b;1g2>;2?=0?<:5rs0464?6=:r7?;o4122=<9<0q~?95083>7}:<>h1?i:4=457>1623ty::8<50;0x911e2:n870;84;630>{t9??86=4={<64f?5c:27>;94;029~w402<3:1>v3;7c80`4=:=>>18=<4}r3510<72;q68:l53e2890132=::7p}>64494?4|5==i6>hi;<740?2482wx=;;8:181820j39mi63:75876c=z{8<>47>52z?73g<4nm169::543g8yv71=00;6?u246`97ce<5<=?699o6s|177a>5<5s4>02d=;l?0189;:535?xu6>6bf34?<87:?b:p533a2909w0:8b;1`b>;2?=0?<=5rs0454?6=;r7?;o4>289>02e=9;301:jj:353?xu6>?;1<7=t=55a>44034>l1v<892;297~;3?k0:>;5246a9570<5>nn6?8k;|q2235=839p199m:006?820k3;99638dd812f=z{8<=87>53z?73g<6:=168:m5136892bb2;67794?5|5==i6<<<;<64g?75;273:1?v3;7c8267=:<>i1=?<4=6ff>70>3ty::;950;1x911e288:70:8c;315>;0ll09:55rs045339>02e=9:801:jj:3;;?xu6>?31<7=t=55a>45634>1v<89a;297~;3?k0:?=5246a9566<5>nn6?79;|q223d=839p199m:00e?820k3;9j638dd81=0=z{8<=o7>53z?73g<6:l168:m513g892bb2;3?7p}>67f94?5|5==i6<i1=?m4=6ff>7>>3ty::;h50;1x911e288i70:8c;31f>;0ll09;h5rs0444?6=;r7?;o4>2`9>02e=9;k01:jj:350?xu6>>;1<7=t=55a>44734>>1v<882;296~;3?k08o95290596gd4?:3y>02d=;j8014?9:3`a?xu6>>>1<76e6343:87;>9<09no5rs0442?6=:r7?;o4=45=:kh0q~?97683>7}:<>h1?ok4=832>7de3ty:::650;0x911e2:ho707>2;0af>{t9?=26=4={<64f?5ek272==4=bc9~w400i3:1>v3;7c80fd=:19o1>ol4}r353g<72;q68:l53c;89<6a2;hi7p}>66a94?4|5==i6>l7;<;3`?4ej2wx=;9k:181820j39i;6360c81fg=z{8<52z?73g<4j?165=m52c`8yv71?o0;6?u246`97g3<50:j6?lm;|q22=6=838p199m:2`7?8?7038in6s|17:2>5<5s4>4?:3y>02d=;k;014>::3`a?xu6>1>1<76ga343;:722909w0:8b;1ba>;>8=09no5rs04;2?6=:r7?;o4=54=:kh0q~?98683>7}:<>h1?lm4=820>7de3ty::5650;0x911e2:ki707?1;0af>{t9?226=4={<64f?5fi273jk4=bc9~w40?i3:1>v3;7c80e<=:19:1>ol4}r3569a94?4|5==i6>o8;<:eg?4ej2wx=;6k:181820j39j:637fe81fg=z{8<3i7>52z?73g<4i=164kl52c`8yv710o0;6?u246`97d5<51l26?lm;|q22<6=838p199m:2c1?8>ai38in6s|17;2>5<5s4>4?:3y>02d=;0l015h8:3`a?xu6>0>1<76?b342m97;?n:09no5rs04:2?6=:r7?;o4<9b9>7}:<>h1?4l4=9d1>7de3ty::4650;0x911e2:ij706i0;0af>{t9?326=4={<64f?5d1273j<4=bc9~w40>i3:1>v3;7c80g==:0ll1>ol4}r35=g<72;q68:l53b589=cc2;hi7p}>68a94?4|5==i6>m9;<:fa?4ej2wx=;7k:181820j39h9637eb81fg=z{8<2i7>52z?73g<4jk164ho52c`8yv711o0;6?u246`97g6<51oi6?lm;|q22d6=838p199m:2c6?8>b138in6s|17c2>5<5s4>;3?k0i563;7c8a<>;3?k0i;63;7c8a2>;3?k0i963n678770=z{852z?73gv3;7c8a7>;f>h0??85rs04b1?6=:r7?;o4m2:?b2`<3;<1v<8n6;296~;3?k0i<63n718770=z{852z?73gv3;7c8ba>;f?;0??85rs04b=?6=:r7?;o4nd:?b31<3;<1v<8na;296~;3?k0jo63n798770=z{852z?73gv3;7c8be>;f??0??85rs04b`?6=:r7?;o4n9:?b3`<3;<1v<8ne;296~;3?k0j463n818770=z{852z?73gv3;7c8`0>;f0=0??85rs04a5?6=:r7?;o4l3:?b<3<3;<1v<8m2;296~;3?k0h>63n838770=z{852z?73gv3;7c8`4>;f0h0??85rs04a1?6=:r7?;o4mf:?b<`<3;<1v<8m6;296~;3?k0ii63n918770=z{852z?73gv3;7c8a5>;f1=0??85rs04a=?6=:r7?;o4n6:?b=3<3;<1v<8ma;296~;3?j08j452561907g02e=;o=0189<:50;?xu6>ki1<76`134?;2?:0?>;5rs04aa?6=:r7?;n4125=<;?0q~?9bg83>7}:<>i1?k=4=450>1433ty::n>50;0x911d2:l970;83;617>{t9?i:6=4={<64g?5a927>;>4;239~w40d:3:1>v3;7b80ac=:=>918?>4}r35g6<72;q68:m53dg890142=;m7p}>6b694?4|5==h6>kk;<747?26m2wx=;m::181820k39no63:72875a=z{852z?73f<4mk169:=540a8yv71k>0;6?u246a97`g<5<=869?m;|q22f>=838p199l:2g:?830;3>:m6s|17a:>5<5s4>02e=;l<0189<:534?xu6>ji1<76c334?5:p53ec2909w0:8c;1f7>;2?:0?=95rs04`a?6=:r7?;n4125=<890q~?9cg83>7}:<>i1?h?4=450>1753ty::i>50;0x911d2:o;70;83;625>{t9?n:6=4={<64g?5cn27>;>4;119~w40c:3:1>v3;7b80``=:=>918=h4}r35`6<72;q68:m53ef890142=:n7p}>6e694?4|5==h6>jl;<747?27l2wx=;j::181820k39on63:72874f=z{852z?73f<4l0169:=541c8yv71l>0;6?u246a97a><5<=869>6;|q22a>=838p199l:2f4?830;3>;46s|17f:>5<5s4>1v<8ka;296~;3?j08h852561905002e=;m>0189<:526?xu6>mi1<76b434?;2?:0?<>5rs04ga?6=:r7?;n4125=<980q~?9dg83>7}:<>i1?i>4=450>1663ty::h>50;0x911d2:lm70;83;604>{t9?o:6=4={<64g?5am27>;>4;2g9~w40b:3:1>v3;7b80ba=:=>918?k4}r35a6<72;q68:m53ga890142=8o7p}>6d694?4|5==h6>hm;<747?25k2wx=;k::181820k39mm63:72876g=z{852z?73f<4n9169:=54338yv71m>0;6?u246a97`3<5<=869?9;|q22`>=838p199l:2fb?830;3>;n6s|17g:>5<5s4>02e=;j8015k::3`a?xu6>li1<76e6342n:7;?m=09no5rs04fa?6=:r7?;n4<`4=:kh0q~?9eg83>7}:<>i1?ok4=9g0>7de3ty::k>50;0x911d2:ho706j1;0af>{t9?l:6=4={<64g?5ek273hk4=bc9~w40a:3:1>v3;7b80fd=:0l:1>ol4}r35b6<72;q68:m53c;89=bb2;hi7p}>6g694?4|5==h6>l7;<:gg?4ej2wx=;h::181820k39i;637de81fg=z{852z?73f<4j?164il52c`8yv71n>0;6?u246a97g3<51n26?lm;|q22c>=838p199l:2`7?8>ci38in6s|17d:>5<5s4>02e=;k;015j8:3`a?xu6>oi1<76ga342o97;?l:09no5rs04ea?6=:r7?;n47}:<>i1?lm4=9f1>7de3ty:;=>50;0x911d2:ki706k0;0af>{t9>::6=4={<64g?5fi273h<4=bc9~w417:3:1>v3;7b80e<=:0jl1>ol4}r3446<72;q68:m53`:89=ec2;hi7p}>71694?4|5==h6>o8;<:`a?4ej2wx=:>::181820k39j:637cb81fg=z{8=;:7>52z?73f<4i=164no52c`8yv708>0;6?u246a97d5<51ii6?lm;|q235>=838p199l:2c1?8>d138in6s|162:>5<5s4>02e=;0l015m9:3`a?xu6?9i1<76?b342h87;?k<09no5rs053a?6=:r7?;n4<9b9>7}:<>i1?4l4=9a2>7de3ty:;<>50;0x911d2:ij706l2;0af>{t9>;:6=4={<64g?5d1273o=4=bc9~w416:3:1>v3;7b80g==:0ko1>ol4}r3456<72;q68:m53b589=da2;hi7p}>70694?4|5==h6>m9;<:a`?4ej2wx=:?::181820k39h9637bc81fg=z{8=::7>52z?73f<4jk164om52c`8yv709>0;6?u246a97g6<51hj6?lm;|q234>=838p199l:2c6?8>e038in6s|163:>5<5s4>a;29<~;3?j0io63;7b8ae>;3?j0i563;7b8a<>;3?j0i;63;7b8a2>;3?j0i963n938770=z{8=:n7>52z?73fv3;7b8a7>;f1j0??85rs052`?6=:r7?;n4m2:?b==<3;<1v<9>e;296~;3?j0i<63n9d8770=z{8=:j7>52z?73fv3;7b8ba>;fi=0??85rs0515?6=:r7?;n4nd:?be3<3;<1v<9=2;296~;3?j0jo63na38770=z{8=9?7>52z?73fv3;7b8be>;fij0??85rs0511?6=:r7?;n4n9:?be=<3;<1v<9=6;296~;3?j0j463nb18770=z{8=9;7>52z?73fv3;7b8`0>;fil0??85rs051=?6=:r7?;n4l3:?bf1<3;<1v<9=a;296~;3?j0h>63nb78770=z{8=9n7>52z?73fv3;7b8`4>;fjj0??85rs051`?6=:r7?;n4mf:?bf=<3;<1v<9=e;296~;3?j0ii63nbd8770=z{8=9j7>52z?73fv3;7b8a5>;fk;0??85rs0505?6=:r7?;n4n6:?bg1<3;<1v<9<2;292~;3?m0:mh5249;9063<512;6?l6;<:;1?4ei2735:4=b`9>e37=9k>0q~?83283>7}:<>n189=4=9:4>6>f3ty:;>:50;0x911c2;i370:7a;3a0>{t9>9>6=4;{<64a?7fm27?4o4;349><=7=:ki015o::3`b?xu6?:<1<7124342347=7a:p52502909w0:8e;1;e>;3?o0:mh5rs0500=e=9k>0q~?83883>7}:<>l189=4=9::>6>f3ty:;>o50;0x911a2:2j70:7d;3a0>{t9>9i6=493z?7<5<3;<164o652c;89=d>2;h2706ma;0a=>;?jk09n4528ca96g?<51ho6?l6;<:aa?4e1273nk4=b89>:3`:?8>d:38i5637c281f<=:0j>1>o74=9a6>7d>342h:72;h2706la;0a=>;?kk09n4528ba96g?<51io6?l6;<:`a?4e1273ok4=b89>:3`:?8>c:38i5637d281f<=:0m>1>o74=9f6>7d>342o:72;h2706ka;0a=>;?lk09n4528ea96g?<51no6?l6;<:ga?4e1273hk4=b89><`6=:k3015k>:3`:?8>b:38i5637e281f<=:0l>1>o74=9g6>7d>342n:72;h2706ja;0a=>;?mk09n4528da96g?<51oo6?l6;<:fa?4e1273ik4=b89>:3`:?8>a:38i5637f281f<=:0o>1>o74=9d6>7d>342m:72;h2706ia;0a=>;?nk09n4528ga96g?<51lo6?l6;<:ea?4e1273jk4=b89>=56=:k3014>>:3`:?8?7:38i56360281f<=:19>1>o74=826>7d>343;:72;h2707?a;0a=>;>8k09n45291a96g?<50:o6?l6;<;3a?4e1272=46=:k3014?>:3`:?8?6:38i56361281f<=:18>1>o74=836>7d>343::772a94?3|5=286k27>?n4>ad9>16b=<:?0189?:0`7?xu6?:n1<7:t=5:0>15234>387?m4:?67g<51j165;k52c:8yv70;l0;6?u24969063<5>nn63;i86377g8052z?7<0<3;<1654751c68yv70<80;6?u249595g2<51=n6>6n;|q2314=839p1968:516?8>0m38io6378181fa=z{8=??7>52z?7<=<6j=1645>539c8yv70<=0;6;u249:9063<51=n6?ln;<:4b?4e12734=4=bc9>=0c=:kh0147i:0`7?xu6?=?1<74d3342397=7a:p52212903w0:7a;601>;??l09no5286d96gd<512;6?ll;<:;1?4e1273mo4=b`9>=0c=:ki0149>:0`7?xu6?==1<74d33423=7=7a:p522?2909w0:7c;601>;?0809no5rs057=?6=:r7?4h4>b59><2b=;1k0q~?84`83>7}:<1o18>;4=5;4>4753ty:;9l50;0x91>a28h?70674;1;e>{t9>>h6=4={<6;b?24=27?5:4>119~w413l3:1:8u248295g2<51:m69=:;<:;g?4ei273n54=b`9>ej38im637bb81fd=:0kn1>oo4=9`f>7df342ij7;?k=09nl528b796gg<51i=6?ln;<:`3?4ei273o54=b`9>dj38im637cb81fd=:0jn1>oo4=9af>7df342hj7;?l=09nl528e796gg<51n=6?ln;<:g3?4ei273h54=b`9>cj38im637db81fd=:0mn1>oo4=9ff>7df342oj7;?m=09nl528d796gg<51o=6?ln;<:f3?4ei273i54=b`9><`?=:kk015kn:3`b?8>bj38im637eb81fd=:0ln1>oo4=9gf>7df342nj7;?n=09nl528g796gg<51l=6?ln;<:e3?4ei273j54=b`9>aj38im637fb81fd=:0on1>oo4=9df>7df342mj7;>8=09nl5291796gg<50:=6?ln;<;33?4ei272<54=b`9>=5?=:kk014>n:3`b?8?7j38im6360b81fd=:19n1>oo4=82f>7df343;j73;0ae>;>9=09nl5290796gg<50;=6?ln;<;23?4ei272=54=b89>=4?=:kh0q~?84d83>6}:<0:18>94=5;0>1503423m7=7a:p522a290?w0:61;3a0>;?j?09nl529669063<5h<86:516?836i3;i86s|1672>5<4s4>2>7?m4:?7=1<3;<165:=51c68yv70=;0;6>u24809063<51=o6?lm;<:;0?4ei2wx=:;<:18182>;3;i86378b8087>56z?7=1<6j=16848542789=1b2;h27068f;0ag>;?0909nl5289796gd0<0=9k>0156k:2:b?xu6?<<1<7;t=5;4>46e34?>87<6c:?;e3<5jh164l752c;89<4e2=9>7p}>74594?3|5=3<6<>6;<761?4>k273m;4=bc9>=:ki0147?53432n7?m4:?:ba<6j=1v<9:9;297~;31>095=529`195g2<5h:86n7>53z?7=2<50l165l?51c689d6>28h?7p}>74a94?5|5=3<6?6k;<;b=?7e<27jb59~w412l3:1?v3;96814d33ty:;8k50;1x91?02;2i707n7;3a0>;f8m0:n95rs056b?6=;r7?5:4=8`9>=db=9k>01l>i:0`7?xu6??:1<7=t=5;4>7>?343jj7?m4:?b56<6j=1v<991;297~;31>094:529c195g2<5h;>653z?7=2<50<165o?51c689d7028h?7p}>77694?5|5=3<6?6;;<;a3?7e<27j=44>b59~w411=3:1?v3;9681<6=:1k31=o:4=`3g>4d33ty:;;850;1x91?02;29707md;3a0>;f9o0:n95rs0553?6=;r7?5:4=809>=g`=9k>01l?m:0`7?xu6??21<7=t=5;4>7>7343in7?m4:?b66<6j=1v<999;297~;31>09;k529b395g2<5h8>653z?7=2<5?j165n951c689d4>28h?7p}>77a94?5|5=3<6?9m;<;`=?7e<27j>o4>b59~w411l3:1?v3;96813d=:1j?1=o:4=`04>4d33ty:;;k50;1x91?02;=2707ld;3a0>;f:m0:n95rs055b?6=;r7?5:4=799>=f`=9k>01l:1<7=t=5;4>710343hn7?m4:?b76<6j=1v<981;297~;31>09;;529e195g2<5h9>653z?7=2<5?=165i?51c689d5>28h?7p}>76694?5|5=3<6?9=;<;g3?7e<27j?o4>b59~w410=3:1?v3;968134=:1m31=o:4=`14>4d33ty:;:850;1x91?02;=;707kd;3a0>;f;o0:n95rs0543?6=;r7?5:4=6d9>=a`=9k>01l:>:0`7?xu6?>21<7=t=5;4>70c343on7?m4:?b7a<6j=1v<989;297~;31>09:n529d195g2<5h>8653z?7=2<5>h165h?51c689d2>28h?7p}>76a94?5|5=3<6?86;<;f=?7e<27j8o4>b59~w410l3:1?v3;96812==:1lh1=o:4=`64>4d33ty:;:k50;1x91?02;33707j7;3a0>;f=`b=9k>01l;>:0`7?xu6?1:1<7=t=5;4>7?1343nj7?m4:?b0a<6j=1v<971;297~;31>0958529g195g2<5h?>653z?7=2<51:165k?51c689d3428h?7p}>79694?5|5=3<6?66;<;e=?7e<27j944>b59~w41?=3:1?v3;96813`=:1oh1=o:4=`7a>4d33ty:;5850;1x91?02;=8707i7;3a0>;f=m0:n95rs05;3?6=;r7?5:4=669>=c`=9k>01l;i:0`7?xu6?121<74d334>mi7=7a:p52>>2908w0:ia;601>;2<9095n5297296ge0cd=9k>019hk:3a;?82am3;ji6s|16:a>5<3s4>mn7:<5:?7bf<6il169=h51c689<0>2;h37p}>79a94?3|5=lh6?7l;<73=?7e<27>?>4>b59>113=9ho018:9:516?xu6?1n1<71243422<7=7a:p52>b2909w0:ic;1;e>;3nm0:mh5rs05;b?6=161=:0i018=7:3;`?833?3>896s|16;3>5<5s4>mh7:;3:?;=4<40h1v<961;296~;3no0:mh5251297=g0c`=:0i018>n:516?8>?n38io6379981fd=:0031>oo4}r34=6<72;q68kh545189=?32:2j7p}>78694?4|5=lm6?m7;<73e?7e<2wx=:7::18183783>??636698052z?644<6il169=:539c8yv701>0;6?u25139015<50>?6>6n;|q23<>=838p18>>:3a;?837j3;i86s|16;:>5<5s4?;>7?ne:?646<40h1v<96a;292~;28;095n5251a9063<512m6?lm;<::0?4ei273554=bc9><7}:=981>n64=42`>4d33ty:;4m50;0x90642=>870662;1;e>{t9>3o6=4={<730?23;272884<8`9~w41>m3:1>v3:0581g==:=9n1=o:4}r34=c<72;q69=;51c6890702=9>7p}>7`294?4|5<:>69=:;<5ga?2212wx=:o>:181837>3;i863:188770=z{8=j>7>53z?643<3;<169:751c68927b2=?27p}>7`194?4|5<:<68963:0d82f1=:01o1>o74=9;3>7de3422=7{t9>k>6=4={<733:1;v3:098770=:01o1>oo4=9:e>7d>3422<77`594?4|5<:269=:;<5ga?7712wx=:o7:181837m3>896379e81f<=z{8=j57>52z?64c<3;<169>8528a8yv70ih0;68u250295g2<5<;969=:;<::a?4e1273m>4=b`9>=0`=:kh0q~?8ac83>6}:=8:18>;4=9;e>7d>342j87w0;>1;3a0>;29?0??85288`96g?<50<;6?lm;<;57?4ei2wx=:ok:18183693>896379b81f<=z{8=ji7>5bz?657<6j=169;?1m09no5288g96gg<513m6?ln;<:b4?4ei273m?4=b`9>=3g=:k20149?:3`;?xu6?hl1<74d3343=?7=7a:p52d72908w0;>3;601>;?i909n4528`396g?142=9k>0157::2:b?xu6?k81<76t=437>1523422h7;?i=09nl5297`96g>4?:3y>143=9k>01486:2:b?xu6?k>1<715234??=7<6c:p52d22909w0;>6;3a0>;>>8084l5rs05a2?6=:r7>=:4>b59>=34=;1k0q~?8b683>7}:=821=o:4=847>6>f3ty:;o650;6x907?2=9>7066b;0af>;?i809nl5297196g?14?=9k>0157<:2:b?xu6?kk1<715234?8>7?m4:p52de2903w0;>c;601>;?1809nl5288796gg<513j6?l6;<;6g?4ei272:<4=bb9>=35=:kh0148;:3`a?xu6?ki1<7=t=43g>4gb34?:j7?ne:?665<5k11v<9md;297~;29m09o:5250d9015<50>=6>6n;|q23gc=838p18?k:2:b?8?6m3;i86s|16`e>5<4s4?:i7?ne:?665<6il169?<52b:8yv70k90;6>u250g96f1<5<8;69:<;<;73?5?i2wx=:m>:181836m393m6361g82f1=z{8=h>7>55z?65c<51j1644<52c;89<7b2=9>707;5;0a=>;>>=4=9b9><<4=:kk014?i:516?8?3=38im6364681f<=z{8=h87>53z?664<5k>169?<545189=?12:2j7p}>7b794?4|5<8:6>6n;<;14?7e<2wx=:m9:186835:382o6379381fg=:00<1>o74=803>152343?97;2:<0:mh5253496f>175=:j=018<::560?8?30393m6s|16a:>5<5s4?9?7=7a:?:64<6j=1v<9la;297~;2:=0:mh5253495dc<5<836?m7;|q23fd=839p18<;:3a4?835>3>??636488052z?661<40h165?<51c68yv70km0;69u253796oo4=801>152343?57;2:10?8>5288597=g171=;1k014<<:0`7?xu6?m;1<7:t=40;>7?d3423;7u253;95dc<5<8j67e794?3|5<8j6?7l;<::94;349>=12=:k301487:3`;?xu6?m<1<7124342257=7a:p52b02908w0;=c;3ba>;2:m0:mh52520906317e=:0i0189::0`7?816m3;;5636248770=:1=k1>o74}r34`<<72:q69?m54518904c2;i<707;a;1;e>{t9>nj6=4<{<71g?4d027>>h4>ad9>166=9ho0q~?8dc83>7}:=;n1?5o4=806>4d33ty:;im50;7x904b2;3h70;86;3a0>;09l0:i6?l6;|q23ab=839p1853z?66`<5k1169?h51`g8905628kn7p}>7ed94?3|5<8m6?7l;<743?7e<27<=h4>0b9>=71=<:?014:l:3`:?xu6?l:1<7=t=40e>12434?8=7167=;1k014<8:0`7?xu6?l91<7=t=410>15234?<47?m4:?45`<68l1v<9j4;296~;2;=0:n95288c97=g162=<:?014<::514?8?5>3>8;636268772=z{8=n:7>53z?670<6il169>952b:8905c28h?7p}>7d594?4|5<9>6>6n;<70a?7e<2wx=:k7:181834>3;ji63:398052z?673<3<:1644m539c8yv70mh0;6?u252497=g<5<9<6>j393m6s|16g`>5<5s4?847?ne:?67<<40h1v<9jd;296~;2;10?8>5288f97=g16?=9ho018=n:2:b?xu6?ll1<77?d343=j7=7a:p52`72909w0;<9;677>;>>h084l5rs05e5?6=:r7>?l4>ad9>16d=;1k0q~?8f383>7}:=:k189=4=9;f>6>f3ty:;k=50;0x905e28kn70;{t9>l?6=4={<70f?23;2735k4<8`9~w41a=3:1>v3:3b81=f=:1?n1?5o4}r34b3<72;q69>m545189<172:2j7p}>7g594?5|5<9n69=:;<777?4>k2729k4=bb9~w41a03:1?v3:3g82e`=:==:1>n64=465>4d33ty:;k750;0x905a2:2j70;;7;3a0>{t9>lj6=4={<774?7fm27>8<4<8`9~w41aj3:1>v3:418706=:0h;1?5o4}r34bf<72;q699?51`g890252:2j7p}>7gf94?4|5<>:69:<;<:b4?5?i2wx=:hj:181833:3;ji63:428054z?607<51j1658o542789<072;h27079f;0a<>{t91:;6=4={<776?23;273m?4<8`9~w4>793:1>v3:4282e`=:==>1?5o4}r3;47<72;q699=545189=g42:2j7p}>81194?4|5<>?6;:181833<382o6366d8052z?601<3<:164l:539c8yv7?8?0;69u2557967?3:1>v3:448706=:1?h1?5o4}r3;4=<72:q699652b58902e2=>8706n5;1;e>{t91:26=4={<77l4>b59~w4>7i3:1?v3:4882e`=:==k1=lk4=46a>7e?3ty:4=l50;1x902>2;i<70;;a;677>;>844<8`9>=7?=9k>0q~?70e83>1}:==k1>4m4=9:;>7d>343957:<5:?:0a<5j01v<6?e;290~;26?l6;<;1e?24=2wx=5>i:181833k3;i863:4e81g==z{82:<7>54z?60f<3;<1699j528a89=>c2;hj707:b;0ae>{t91;:6=4={<77`?7fm27>8h4<8`9~w4>6:3:1>v3:4e8706=:0h=1?5o4}r3;56<72;q699j539c8902a28kn7p}>80694?4|5<>n69:<;<:b2?5?i2wx=5?::181833n3>??6364d8052z?60c<5k11698>51c68yv7?9>0;69u25429063<51k36?ln;<:b=?4ek273ml4=b`9~w4>603:1?v3:5082e`=:=<91?5o4=476>4gb3ty:4<750;1x90362;i<70;:5;677>;?i1084l5rs0:2e?6=:r7>9<4<8`9>=7e=9k>0q~?71c83>6}:=<81=lk4=477>4gb34?>97;2==0?8>528`;97=g104=;1k014124342jm7=7a:p5=7a2908w0;:6;0`3>;2=00?8>5295d97=g=4?:3y>100=;1k0144gb34?>47?ne:?61<<5k11v<6=2;297~;2=>09o:5254:9015<51ki6>6n;|q2<75=838p18;8:2:b?8?5l3;i86s|1907>5<3s4?>47<6c:?;3a<5j0164ll52c;89<4c2=9>7p}>83794?3|5=7c=<:?014:i:3`:?xu60;<1<74d3342jo7=7a:p5=402909w0;:a;601>;>1m0:n95rs0:19o4>ad9>10c=;1k0188>:0cf?xu60;31<7=t=47a>7e034?==7:;3:?;ea<40h1v<6=a;296~;2=k084l5292095g2o4?:2y>10e=9ho018;i:0cf?831838h46s|190`>5<4s4?>o753z?61a<5k>169;>545189=gb2:2j7p}>82294?4|56n;<;05?7e<2wx=5=>:181832m3>??637b1807>56z?61c<51j169:o51c68933528:<708m8;333>;?io09n45292290634?:7y>136=:0i0189m:0`7?802:3;;9639b98240=:0ho1>ol4=812>1523ty:4>:50;4x90062;3h70;8c;3a0>;1=;0:<9526c:9552<51ko6?lm;<;06?24=2wx=5=::180831:38h;63:668706=:0k81?5o4}r3;73<72;q69;<539c89<5228h?7p}>82594?5|5<<86:;4=c99~w4>403:1?v3:6281g2=:=??189=4=9`0>6>f3ty:4>750;0x90042:2j707<3;3a0>{t919j6=4<{<750?7fm27>:;4>ad9>131=:j20q~?73c83>6}:=?>1>n94=445>124342i=7=7a:p5=5d2909w0;94;1;e>;>;=0:n95rs0:0`?6=>r7>:84=9b9>1=5=9k>01;6::024?80bj3;;;637b281f<=:1:918>;4}r3;7`<72?q69;8528a890>328h?70875;331>;1mk0:<8528c396gg<509?69=:;|q2<6`=83lh1==:4=9`1>7df343897:<5:p5=272908w0;98;3ba>;2>00:mh5257g906313>=:0i0186>:0`7?816m3;:<636378770=:1<:1>o74}r3;07<72:q69;654518900>2;i<707:0;1;e>{t91>86=4<{<75:l4>ad9>13e=9ho0q~?74583>7}:=?31?5o4=815>4d33ty:49;50;7x900f2;3h70;70;3a0>;09l0:=?529259063<50?:6?l6;|q2<10=839p188n:560?831k38h;636508053z?62d<5k1169;l51`g8900c28kn7p}>85:94?3|5<129>=6>=<:?014;=:3`:?xu60=31<7=t=44a>12434?=h7j084l5292595g213b=;1k014=7:0`7?xu60=i1<77t=44f>4d3342jh7;?j;09n4528c196gg<50k;69=:;|q2<1b=832p188i:0`7?8?483>8;636308772=:1:818>94=810>124343887:;3:?:70<3<:1654k54278yv7?3n3:1?v3:718770=:=>o1=o:4=63f>4723ty:48>50;1x9016288270;82;31=>;09l09;=5rs0:65?6=;r7>;<4>269>124=9;=01:?j:34f?xu60<81<7=t=452>44134?<>7?=6:?45`<5>m1v<6:3;297~;2?80:>8525609573<5>;n6?8l;|q2<02=839p189>:007?830:3;986381d812g=z{82>97>53z?634<6::169:<51318927b2;84494?5|5<=:6<<=;<746?75:27<=h4=689~w4>2?3:1?v3:708264=:=>81=??4=63f>70?3ty:48650;1x9016289970;82;306>;09l09555rs0:6=?6=;r7>;<4>309>124=9:;01:?j:3;4?xu6045734?<>7?<0:?45`<51?1v<6:b;297~;2?80:>k52560957`<5>;n6?7:;|q2<0e=839p189>:00f?830:3;9i6381d81=1=z{82>h7>53z?634<6:m169:<513f8927b2;387p}>84g94?5|5<=:6<2n3:1?v3:70826g=:=>81=?l4=63f>71b3ty:4;>50;1x9016288j70;82;31e>;09l09;>5rs0:55?6=;r7>;<4>219>124=9;:01:?j:344?xu60?81<7:t=452>4e034?<=7?l5:?6<3<6m816:8<51gc8yv7?>:0;6>u256395f2<5<2=6<81=k94}r3;20<72:q69:?51b0890>128no708:2;3e2>{t91<=6=4<{<745?7d827>4;4>dc9>204=9o>0q~?76683>6}:=>;1=oh4=4:5>4bf34<>>7?i3:p5=0?2908w0;81;3aa>;20?0:h45264095c4127=9kn01869:0f;?802:3;m=6s|194b>5<4s4?<=7?mc:?6<3<6l>16:8<51g28yv7?>k0;6>u256395gd<5<2=6<81=hk4}r3;2a<72:q69:?51c;890>128n?708:2;3f`>{t914;4>d29>204=9li0q~?76g83>6}:=>;1=o94=4:5>4b534<>>7?jb:p5=172908w0;81;3`b>;20?0:i4526409654127=9jo01869:0g;?802:38;=6s|1951>5<4s4?<=7?ld:?6<3<6m>16:8<52128yv7??:0;6>u256395fe<5<2=6<81=kk4}r3;30<72:q69:?51bc890>128o?708:2;3e`>{t91==6=4<{<745?7d127>4;4>e29>204=9oi0q~?77683>6}:=>;1=n64=4:5>4c534<>>7?ib:p5=1?2908w0;81;3`5>;20?0:hn5264095c3127=9k<01869:0f2?802:3;nm6s|195b>5{t91=h6=4={<745?d434<397?i7:p5=1c2909w0;81;`1?80?=3;m:6s|195f>5<5s4?<=7l?;<4;1?7a<2wx=59i:18183093km70875;3e7>{t912;6=4={<745?gb34<397?i2:p5=>62909w0;81;cg?80?=3;m=6s|19:1>5<5s4?<=7ol;<4;1?7a82wx=56<:18183093ki70875;3fb>{t912?6=4={<745?gf34<397?je:p5=>22909w0;81;c:?80?=3;nh6s|19:5>5<5s4?<=7o7;<4;1?7bk2wx=568:18183093k<70875;3ff>{t91236=4={<745?e334<397>2909w0;81;a0?80?=38;=6s|19:b>5<5s4?<=7m=;<4;1?4782wx=56m:18183093i:70875;3eb>{t912h6=4={<745?e734<397?ie:p5=>c2909w0;81;`e?80?=3;mh6s|19:f>5<5s4?<=7lj;<4;1?7ak2wx=56i:18183093ho70875;3ef>{t913;6=4={<745?d634<397?i5:p5=?62909w0;81;c5?80?=3;nm6s|19;1>5<5s4?<=7:=a:?636<4n01v<663;296~;2?80?>55256197c1127=<;=0189<:2d5?xu600?1<714134?;2?:08j95rs0::3?6=:r7>;<4;259>125=;o90q~?79983>7}:=>;18?=4=450>6`53ty:44750;0x90162=8970;83;1e5>{t913j6=4={<745?25827>;>4>j3:1>v3:70875c=:=>91?hk4}r3;=f<72;q69:?540g890142:oo7p}>88f94?4|5<=:69?k;<747?5bk2wx=57j:18183093>:o63:7280ag=z{822j7>52z?634<39k169:=53dc8yv7?i90;6?u2563904g<5<=86>k6;|q2:53:?830;39n46s|19c1>5<5s4?<=7:>8:?636<4m>1v<6n3;296~;2?80?=:5256197`0127=<8?0189<:2g7?xu60h?1<717334?;2?:08i?5rs0:b3?6=:r7>;<4;139>125=;l;0q~?7a983>7}:=>;186c73ty:4l750;0x90162=;;70;83;1gb>{t91kj6=4={<745?27n27>;>4fj3:1>v3:70874`=:=>91?ij4}r3;ef<72;q69:?541f890142:nh7p}>8`f94?4|5<=:69>l;<747?5cj2wx=5oj:18183093>;m63:7280`<=z{82jj7>52z?634<380169:=53e:8yv7?j90;6?u2563905><5<=86>j8;|q2:524?830;39o:6s|19`1>5<5s4?<=7:?6:?636<4l<1v<6m3;296~;2?80?<85256197a2127=<9>0189<:2f0?xu60k?1<716434?;2?:08h<5rs0:a3?6=:r7>;<4;009>125=;m:0q~?7b983>7}:=>;18>>4=450>6`a3ty:4o750;0x90162=8m70;83;1ea>{t91hj6=4={<745?25m27>;>4ej3:1>v3:70876a=:=>91?km4}r3;ff<72;q69:?543a890142:li7p}>8cf94?4|5<=:699=63:7280b5=z{82ij7>52z?634<39?169:=53d78yv7?k90;6?u2563905d<5<=86>jn;|q2:523?830;39hj6s|19a1>5<3s4?<>7?l7:?637<6k<169hm51d3893d?28lj7p}>8b194?5|5<=96f99~w4>d<3:1?v3:7382g6=:=li1=ik4=7`;>4`03ty:4n;50;1x901528i970;jc;3g`>;1j10:j;5rs0:`2?6=;r7>;?4>c19>1`e=9mh01;l7:0d7?xu60j=1<7=t=451>4da34?no7?ka:?5f=<6n:1v<6l8;297~;2?;0:nh525da95a?<5?h3653z?637<6jj169hm51e5893d?28l;7p}>8b`94?5|5<=9627=n54>eg9~w4>dk3:1?v3:7382fd=:=li1=i;4=7`;>4cb3ty:4nj50;1x901528h270;jc;3g0>;1j10:ii5rs0:`a?6=;r7>;?4>b99>1`e=9m901;l7:0g`?xu60jl1<7=t=451>4d034?no7?k2:?5f=<6mk1v<6k0;297~;2?;0:ok525da95`?<5?h36?>=;|q27>53z?637<6km169hm51d5893d?2;:;7p}>8e194?5|5<=9627=n54>fg9~w4>c<3:1?v3:7382gg=:=li1=h;4=7`;>4`b3ty:4i;50;1x901528ij70;jc;3f0>;1j10:ji5rs0:g2?6=;r7>;?4>c89>1`e=9l901;l7:0d`?xu60m=1<7=t=451>4e?34?no7?j2:?5f=<6nk1v<6k8;297~;2?;0:o<525da95ae<5?h3658z?637;?4ma:?637;?4m8:?637;?4m6:?637f`9~w4>cj3:1>v3:738a0>;1mk0:j55rs0:gg?6=:r7>;?4m3:?5ag<6n>1v<6kd;296~;2?;0i>639ec82b3=z{82oi7>52z?637f59~w4>cn3:1>v3:738bb>;1mk0:j>5rs0:f4?6=:r7>;?4ne:?5ag<6n;1v<6j1;296~;2?;0jh639ec82b4=z{82n>7>52z?637f19~w4>b;3:1>v3:738bf>;1mk0:ik5rs0:f0?6=:r7>;?4na:?5ag<6ml1v<6j5;296~;2?;0j5639ec82aa=z{82n:7>52z?637eb9~w4>b?3:1>v3:738b3>;1mk0:io5rs0:f;?4l4:?5ag<58;1v<6j9;296~;2?;0h?639ec8144=z{82nm7>52z?637bj3:1>v3:738`5>;1mk0:jk5rs0:fg?6=:r7>;?4l0:?5ag<6nl1v<6jd;296~;2?;0ij639ec82ba=z{82ni7>52z?637fb9~w4>bn3:1>v3:738a`>;1mk0:jo5rs0:e4?6=:r7>;?4m1:?5ag<6n<1v<6i1;296~;2?;0j:639ec82ad=z{82m>7>52z?637<3:h169::53g;8yv7?n:0;6?u2560907><5<=?6>h8;|q25<5s4?<>7:=6:?631<4n<1v<6i6;296~;2?;0?>85256697c2124=<;>0189;:2d0?xu60o21<714434?<87=i2:p5=`>2909w0;82;616>;2?=08j<5rs0:ee?6=:r7>;?4;219>122=;ll0q~?7fc83>7}:=>8186cb3ty:4km50;0x90152=;n70;84;1f`>{t91lo6=4={<746?26l27>;94am3:1>v3:73875f=:=>>1?hl4}r3;bc<72;q69:<540`890132:oj7p}>91294?4|5<=969?n;<740?5b12wx=4>>:181830:3>:563:7580a==z{83;>7>52z?637<391169::53d58yv7>8:0;6?u25609041<5<=?6>k9;|q2=52=838p189=:536?830<39n86s|1826>5<5s4?<>7:>4:?631<4m:1v<7?6;296~;2?;0?=>5256697`4124=<880189;:2g2?xu61921<717634?<87=j0:p5<6>2909w0;82;624>;2?=08hk5rs0;3e?6=:r7>;?4;0g9>122=;mo0q~?60c83>7}:=>818=k4=457>6bc3ty:5=m50;0x90152=:o70;84;1gg>{t90:o6=4={<746?27k27>;94v3:73874d=:=>>1?i74}r3:4c<72;q69:<541;890132:n37p}>90294?4|5<=969>7;<740?5c?2wx=4?>:181830:3>;;63:7580`3=z{83:>7>52z?637<38?169::53e78yv7>9:0;6?u25609053<5<=?6>j;;|q2=42=838p189=:527?830<39o?6s|1836>5<5s4?<>7:?3:?631<4l;1v<7>6;296~;2?;0?124=<9;0189;:2f3?xu61821<715734?<87=if:p5<7>2909w0;82;61b>;2?=08jh5rs0;2e?6=:r7>;?4;2d9>122=;on0q~?61c83>7}:=>818?j4=457>6`d3ty:5{t90;o6=4={<746?25j27>;94v3:738764=:=>>1?k>4}r3:5c<72;q69:<5404890132:o>7p}>93294?4|5<=969>m;<740?5ci2wx=4<>:181830:3>;<63:7580gc=z{839>7>53z?636<6:0169::513;892302;=;7p}>93194?5|5<=86<<8;<740?75?27<9:4=6d9~w4?5<3:1?v3:728263=:=>>1=?84=674>70c3ty:5?;50;1x9014288>70;84;311>;0=>09:n5rs0;12?6=;r7>;>4>259>122=9;>01:;8:34a?xu61;=1<7=t=450>44434?<87?=3:?412<5>h1v<7=8;297~;2?:0:>?525669574<5>?<6?86;|q2=7?=839p189<:002?830<3;9=63856812==z{839m7>53z?636<6;;169::5120892302;337p}>93`94?5|5<=86<=>;<740?74927<9:4=969~w4?5k3:1?v3:728275=:=>>1=>>4=674>7?13ty:5?j50;1x9014288m70;84;31b>;0=>09585rs0;1a?6=;r7>;>4>2d9>122=9;o01:;8:3;7?xu61;l1<7=t=450>44c34?<87?=d:?412<51:1v<7<0;297~;2?:0:>n52566957e<5>?<6?66;|q2=67=839p189<:00a?830<3;9n63856813`=z{838>7>53z?636<6:h169::513c892302;=87p}>92194?5|5<=86<91=n;4=4:5>4`f34=h:7?m4:p5<522908w0;83;3`0>;20?0:j5527b595g2125=9j901869:0d4?81d03;i86s|1814>5<4s4?;10;6>u256195f6<5<2=6128l9709lc;3a0>{t909i6=4<{<747?7el27>4;4>f09>3fb=9k>0q~?63b83>6}:=>91=om4=4:5>4`734=hi7?m4:p5<5c2908w0;83;3af>;20?0:ik527bd95g2125=9kk01869:0gf?81c83;i86s|181e>5<4s4?<90;6>u256195g><5<2=6:180830;3;i;63:8782ag=:?m91=o:4}r3:07<72:q69:=51bd890>12;:9709k4;3a0>{t90>86=4<{<747?7dm27>4;4=009>3a3=9k>0q~?64583>6}:=>91=nj4=4:5>76734=o:7?m4:p5<222908w0;83;3`g>;20?0:jk527e595g2125=9jh01869:0df?81c03;i86s|1864>5<4s4?<10;6>u256195f?<5<2=6128l>709kc;3a0>{t90>i6=4<{<747?7e>27>4;4>e`9>3ab=9k>0q~?64b83><}:=>91nn525619fd=:=>91n4525619f==:=>91n:525619f3=:=>91n8525c;95cg<5>3=628l370967;3a0>{t90>n6=4<{<747?d434?i57?i7:?4==<6j=1v<7;f;297~;2?:0i>63:b882b3=:?031=o:4}r3:15<72:q69:=5b19>1g?=9o>01:7n:0`7?xu61<;1<7=t=450>d`<5;01j0:n95rs0;67?6=;r7>;>4nd:?6f<<6n816;4j51c68yv7>==0;6>u25619ef=:=k31=k>4=6;f>4d33ty:58;50;1x90142hh018l6:0ge?81>n3;i86s|1875>5<4s4?b59~w4?2?3:1?v3:728b=>;2j00:ii527`395g2125=i1169o751da892g528h?7p}>94;94?5|5<=86l94=4`:>4ce34=j?7?m4:p5<3f2908w0;83;a7?83e138;>638a582f1=z{83>n7>53z?636n44=009>3d3=9k>0q~?65b83>6}:=>91o?525c;9656<5>k=628lm709n7;3a0>{t90?n6=4<{<747?e734?i57?ie:?4e=<6j=1v<7:f;297~;2?:0ij63:b882ba=:?h31=o:4}r3:25<72:q69:=5bd9>1g?=9oi01:on:0`7?xu61?;1<7=t=450>gb<5;0ij0:n95rs0;57?6=;r7>;>4n6:?6f<<6mh16;lj51c68yv7>>=0;69u256695f1<5<=?6b59~w4?1=3:1?v3:7582g1=:=li1=k64=6ce>4d33ty:5;850;1x901328i870;jc;3e3>;0j90:n95rs0;53?6=;r7>;94>c39>1`e=9o<01:l>:0`7?xu61?21<7=t=457>4e734?no7?i4:?4f7<6j=1v<799;297~;2?=0:nk525da95c5<5>h86638b582f1=z{83=n7>53z?631<6jm169hm51g3892d228h?7p}>97a94?5|5<=?6b59~w4?1l3:1?v3:7582fg=:=li1=hh4=6`4>4d33ty:5;k50;1x901328hj70;jc;3fa>;0j10:n95rs0;5b?6=;r7>;94>b89>1`e=9ln01:l6:0`7?xu61>:1<7=t=457>4d?34?no7?jc:?4fd<6j=1v<781;297~;2?=0:n:525da95`d<5>hi6638bb82f1=z{8353z?631<6kl169hm5213892dc28h?7p}>96694?5|5<=?6b59~w4?0=3:1?v3:7582gf=:=li1=kh4=6`e>4d33ty:5:850;1x901328ii70;jc;3ea>;0k90:n95rs0;43?6=;r7>;94>c`9>1`e=9on01:m>:0`7?xu61>21<7=t=457>4e>34?no7?ic:?4g7<6j=1v<789;297~;2?=0:o5525da95cd<5>i8653z?631<6j?169hm51dc892e228h?7p}>96a94??|5<=?6om4=457>gg<5<=?6o74=457>g><5<=?6o94=457>g0<5<=?6o;4=73e>4`f34=53z?631f69>3=6=9k>0q~?67g83>6}:=>>1n?5260d95c0<5>2:6{t902:6=4<{<740?ga34<:j7?i3:?4<6<6j=1v<772;297~;2?=0ji6391g82b7=:?1>1=o:4}r3:<6<72:q69::5ae9>24`=9o;01:6::0`7?xu611>1<7=t=457>de<5?;m6f;3fb>;00>0:n95rs0;;2?6=;r7>;94na:?55c<6ml16;5651c68yv7>0>0;6>u25669e<=:>8l1=hj4=6::>4d33ty:55650;1x90132h201;?i:0g`?81?i3;i86s|18::>5<4s4?<87o8;<42b?7bj27<4o4>b59~w4??i3:1?v3:758`0>;19o09122=k:16:c28h?7p}>99a94?5|5<=?6n<4=73e>76734=3i7?m4:p5<>c2908w0;84;a2?806n3;mj6388g82f1=z{833i7>53z?631fd9>3<6=9k>0q~?68g83>6}:=>>1nk5260d95cb<5>3:6{t903:6=4<{<740?dc34<:j7?ib:?4=6<6j=1v<762;297~;2?=0i=6391g82b0=:?0>1=o:4}r3:=6<72:q69::5a79>24`=9lk01:7::0`7?xu610>1<715234=>;7??9:p5;0=>0:;:4;349>301=99i0q~?69683>7}:=>218>;4=674>46b3ty:54650;0x901>2=9>709:7;66=>{t90326=4<{<74e?24=27>4;4>069>1`e=99=0q~?69`83>6}:=>h18>;4=4:5>46234?no7??5:p5;20?0:<9525da955212b=9k>01;6::57;?80bj3>>4636738770=:ij?1=o:4}r3:=a<72=q69:j5427890d>2=?3708>f;66<>;?j<09nl5rs0;:a?6=:r7>;h4;349>301=98?0q~?69g83>7}:=>l18>;4=674>4743ty:5l>50;0x90>72=9>709:7;326>{t90k:6=4={<7;5?24=27<9:4>119~w4?f:3:19v3:8382f1=:><818864=7`;>13?34k=87:<5:?bg2<6j=1v<7n3;290~;20;0??852594900><58963:b88242=:>8l1==94}r3:e0<72:q695:5427890d>28:>708>f;331>{t90k=6=4<{<7;1?24=27>n44>059>24`=99>0q~?6a683>7}:=k31=h?4=6a5>1523ty:5l650;0x90d>28nm709l7;601>{t90k26=4={<7a=?7cm27v3:b882`a=:?j318>;4}r3:eg<72;q69o751e`892ef2=9>7p}>9`a94?4|552z?6f<<6l116;nj54278yv7>io0;6?u25c;95a1<5>in69=:;|q2=g6=838p18l6:0f5?81dn3>896s|18`2>5<5s4?i57?k5:?4`5<3;<1v<7m2;296~;2j00:h9527e390634?:3y>1g?=9m901:j=:516?xu61k>1<74b534=o?7:<5:p5;0l=0??85rs0;a2?6=:r7>n44>e99>3a3=<:?0q~?6b683>7}:=k31=h94=6f5>1523ty:5o650;0x90d>28o=709k7;601>{t90h26=4={<7a=?7b=27v3:b882a1=:?m318>;4}r3:fg<72;q69o751d1892bf2=9>7p}>9ca94?4|552z?6f<<6l816;ij54278yv7>jo0;6?u260d95`7<5>kn69=:;|q2=f6=838p1;?i:0fe?81fn3>896s|18a2>5<5s4<:j7?ke:?4f5<3;<1v<7l2;296~;19o0:hi527c390634?:3y>24`=9mh01:l=:516?xu61j>1<74bf34=i?7:<5:p5f;3g=>;0j=0??85rs0;`2?6=:r7==k4>d99>3g3=<:?0q~?6c683>7}:>8l1=i94=6`5>1523ty:5n650;0x937a28n=709m7;601>{t90i26=4={<42b?7c=27v391g82`1=:?k318>;4}r3:gg<72;q6:7p}>9ba94?4|5?;m652z?55c<6m116;oj54278yv7>ko0;6?u260d95`1<5>hn69=:;|q2=a6=838p1;?i:0g5?81en3>896s|18f2>5<5s4<:j7?j5:?4g5<3;<1v<7k2;296~;19o0:i9527b390634?:3y>24`=9l901:m=:516?xu61m>1<74c534=h?7:<5:p5f;3gg>;0k=0??85rs0;g2?6=:r7==k4>d09>3f3=<:?0q~?6d683>7}:><81=h?4=8a`>1523ty:5i650;0x933528nm707k4;601>{t90n26=4={<466?7cm272h;4;349~w4?ci3:1>v395382`a=:1m818>;4}r3:`g<72;q6:8<51e`897p}>9ea94?4|5??9652z?517<6l1165h>54278yv7>lo0;6?u264095a1<50nh69=:;|q2=`6=838p1;;=:0f5?8?b<3>896s|18g2>5<5s4<>>7?k5:?:a3<3;<1v<7j2;296~;1=;0:h9529d090634?:3y>204=9m9014kn:516?xu61l>1<74b5343no7:<5:p5;>m10??85rs0;f2?6=:r7=9?4>e99>=`c=<:?0q~?6e683>7}:><81=h94=8d3>1523ty:5h650;0x933528o=707i4;601>{t90o26=4={<466?7b=272j;4;349~w4?bi3:1>v395382a1=:1o818>;4}r3:ag<72;q6:8<51d189<`f2=9>7p}>9da94?4|5??9652z?517<6l816m=>54278yv7>mo0;6?u269795`7<5>3=69=:;|q2=c6=838p1;6::0fe?81>?3>896s|18d2>5<5s4<397?ke:?4==<3;<1v<7i2;296~;10<0:hi5278;90634?:3y>2=3=9mh01:7n:516?xu61o>1<74bf34=2n7:<5:p5<`22909w0875;3g=>;01j0??85rs0;e2?6=:r7=484>d99>37}:>1?1=i94=6;f>1523ty:5k650;0x93>228n=7096f;601>{t90l26=4={<4;1?7c=27v398482`1=:?h;18>;4}r3:bg<72;q6:5;51e1892g52=9>7p}>9ga94?4|5?2>652z?5<0<6m116;l;54278yv7>no0;6?u269795`1<5>k=69=:;|q2e56=838p1;6::0g5?81f?3>896s|1`22>5<5s4<397?j5:?4e=<3;<1v4?:3y>2=3=9l901:on:516?xu6i9>1<74c534=jn7:<5:p5d622909w0875;3gg>;0ij0??85rs0c32?6=:r7=484>d09>3db=<:?0q~?n0683>7}:>k21=h?4=8;`>1523ty:m=650;0x93d?28nm707n4;601>{t9h:26=4={<4av39b982`a=:1h818>;4}r3b4g<72;q6:o651e`897p}>a1a94?4|5?h36k:18180e03;o5636a98770=z{8k;i7>52z?5f=<6l1165lk54278yv7f8o0;6?u26c:95a1<50h;69=:;|q2e46=838p1;l7:0f5?8?e<3>896s|1`32>5<5s42;296~;1j10:h9529c090634?:3y>2g>=9m9014l7:516?xu6i8>1<74b5343im7:<5:p5d722909w08m8;3f=>;>jl0??85rs0c22?6=:r7=n54>e99>=f6=<:?0q~?n1683>7}:>k21=h94=8``>1523ty:m<650;0x93d?28o=707l2;601>{t9h;26=4={<4av39b982a1=:1j218>;4}r3b5g<72;q6:o651d1897p}>a0a94?4|5?h3652z?5f=<6l8165i>54278yv7f9o0;6?u26d`95`7<5>=n69=:;|q2e76=838p1;km:0fe?810n3>896s|1`02>5<5s4>4?:3y>2`d=9mh01:6=:516?xu6i;>1<74bf34=3?7:<5:p5d422909w08jb;3g=>;00=0??85rs0c12?6=:r7=io4>d99>3=3=<:?0q~?n2683>7}:>lh1=i94=6:5>1523ty:m?650;0x93ce28n=70977;601>{t9h826=4={<4ff?7c=27<454;349~w4g5i3:1>v39ec82`1=:?1318>;4}r3b6g<72;q6:hl51e1892>f2=9>7p}>a3a94?4|5?oi652z?5ag<6m116;5j54278yv7f:o0;6?u26d`95`1<5>2n69=:;|q2e66=838p1;km:0g5?81?n3>896s|1`12>5<5s44?:3y>2`d=9l901:7=:516?xu6i:>1<74c534=2?7:<5:p5d522909w08jb;3gg>;01=0??85rs0c02?6=:r7=io4>d09>3<3=<:?0q~?n3683>6}:?8o1>=m4=6ff>76d343<47:<5:p5d5?2908w09>e;03e>;0ll0934c=:9301:jj:32:?8?0>3>896s|1`1b>5<4s4=:i7u270g9651<5>nn6?>8;<;;4?24=2wx=l=l:180816m38;:638dd8143=:1>i18>;4}r3b7a<72:q6;70772;601>{t9h9n6=4<{<52a?47<27==2=<:?0q~?n3g83>6}:?8o1>>:4=6ff>753343347:<5:p5d272908w09>e;007>;0ll09?>5299c906334c=::801:jj:311?8??>3>896s|1`61>5<4s4=:i7<<1:?4``<5;81655k54278yv7f<:0;6>u270g9666<5>nn6?=?;<;:4?24=2wx=l:;:180816m389j638dd816c=:11i18>;4}r3b00<72:q6;70764;601>{t9h>=6=4<{<52a?46i27=<0=<:?0q~?n4683>6}:?8o1>=h4=6ff>76a3432>7:<5:p5d2?2908w09>e;037>;0ll09<>5298:906334c=::o01:;8:32`?xu6i=k1<775d34=>;7e;00f>;0=>09<45rs0c7g?6=:r7<=h4=3`9>301=:920q~?n4e83>7}:?8o1>>74=674>7603ty:m9k50;0x927b2;93709:7;032>{t9h>m6=4={<52a?44?27<9:4=049~w4g283:1>v381d8173=:?<=1>=:4}r3b14<72;q6;a4094?4|5>;n6?8:;<563?44;2wx=l;<:181816m38=8638568177=z{8k>87>52z?45`<5>:16;8952238yv7f=<0;6?u270g9634<5>?<6?=?;|q2e00=838p1:?j:342?812?389j6s|1`74>5<5s4=:i7<:7:?412<5:<1v34c=:=;01:;8:32e?xu6i75234=>;7l1=4k4=6:3>4?b34=3=7?6e:?4<7<61l16;5=518g892>3283n70975;3:a>;00?0:5h5279595236<7j;<5;=?7>m27<4l4>9d9>3=d=90o01:6l:0;f?81?l3;2i6388d82=`=:?1l1=4k4=6;3>4?b34=2=7?6e:?4=7<61l16;4=518g892?3283n70965;3:a>;01?0:5h5278595336<7j;<5:=?7>m27<5l4>9d9>3l3;2i6389d82=`=:?0l1=4k4=6c3>4?b34=j=7?6e:?4e7<61l16;l=518g892g3283n709n5;3:a>;0i?0:5h527`595k36<7j;<5b=?7>m279d9>3dd=90o01:ol:0;f?81fl3;2i637b580o7>530y>3dc=90o01:oi:0;f?81e83;2i638b082=`=:?k81=4k4=6`0>4?b34=i87?6e:?4f0<61l16;o8518g892d0283n709m8;3:a>;0j00:5h527cc95hi6<7j;<5ag?7>m279d9>3gc=90o01:li:0;f?81d83;2i638c082=`=:?j81=4k4=6a0>4?b34=h87?6e:?4g0<61l16;n8518g892e0283n709l8;3:a>;0k00:5h527bc95ii6<7j;<5`g?7>m279d9>3fc=90o01:mi:0;f?81c83;2i638d082=`=:?m81=4k4=6f0>4?b34=o87?6e:?4`0<61l16;i8518g892b0283n709k8;3:a>;0l00:5h527ec95ni6<7j;<5gg?7>m279d9>6}:09?1>n94=92f>124342i;7=7a:p5d3b2909w06?5;1;e>;>;m0:n95rs0c6b?6=;r73<;4>ad9><5g=9ho015>m:3a;?xu6i?:1<7=t=925>7e0342;m7:;3:?:16<40h1v<51=9ho015>m:0cf?8>7k38h46s|1`40>5<4s42;;7=0;6?u281597=g<509j67:0cf?8>7k3;ji6370e81g==z{8k=:7>53z?;4=<5k>164=m545189<322:2j7p}>a7594?4|51:36>6n;<;0f?7e<2wx=l87:1808>713;ji6370e82e`=:09o1>n64}r3b2<<72:q64=752b589=6c2=>8707:6;1;e>{t9hb59~w4g1j3:1hv370`81=f=:01k1>ol4=9:a>7df342i;7b;0ae>;>9j09nl5290f96gg<509269=:;<;67?4e1272:n4=b99~w4g1k3:1?v370c81=f=:1:k18>;4=877>7d>3ty:m;j50;1x9=6d2;3h707;>=<09n45rs0c5a?6=;r73=6e=<:?014;9:3`:?xu6i?l1<7=t=92f>7?d342i;7e1393m6s|1`51>5<5s42:=7?m4:?;f=<40h1v<45=9k>015ll:2:b?xu6i>?1<74d3342in7=7a:p5d112909w06>5;3a0>;?jm084l5rs0c43?6=:r73=;4>b59>7}:08=1=o:4=9`f>6>f3ty:m:750;0x9=7?28h?706l0;1;e>{t9h=j6=4={<:2=?7e<273o?4<8`9~w4g0j3:1>v371`82f1=:0j;1?5o4}r3b3f<72;q64a6f94?4|51;h66l3;i8637c58052z?;5`<6j=164n8539c8yv7f090;6?u280d95g2<51i36>6n;|q2e=7=838p15d?393m6s|1`:1>5<5s429=7?m4:?;g<<40h1v<75=9k>015mn:2:b?xu6i1?1<74d3342ho7=7a:p5d>12909w06=5;3a0>;?kl084l5rs0c;3?6=:r73>;4>b59>7}:0;=1=o:4=9ae>6>f3ty:m5750;0x9=4?28h?706k1;1;e>{t9h2j6=4={<:1=?7e<273h=4<8`9~w4g?j3:1>v372`82f1=:0m81?5o4}r3ba9f94?4|518h65l3;i8637d48052z?;6`<6j=164i9539c8yv7f190;6?u283d95g2<51n=6>6n;|q2e<7=838p15=?:0`7?8>c0393m6s|1`;1>5<5s428=7?m4:?;`d<40h1v<65=9k>015jm:2:b?xu6i0?1<74d3342oh7=7a:p5d?12909w06<5;3a0>;?lj084l5rs0c:3?6=:r73?;4>b59>7}:0:=1=o:4=9g3>6>f3ty:m4750;0x9=5?28h?706kf;1;e>{t9h3j6=4={<:0=?7e<273i<4<8`9~w4g>j3:1>v373`82f1=:0l91?5o4}r3b=f<72;q64>l51c689=c52:2j7p}>a8f94?4|519h64l3;i8637e78052z?;7`<6j=164h;539c8yv7fi90;6?u282d95g2<51o<6>6n;|q2ed7=838p15:?:0`7?8>b0393m6s|1`c1>5<5s42?=7?m4:?;a<<40h1v<15=9k>015kn:2:b?xu6ih?1<74d3342no7=7a:p5dg12909w06;5;3a0>;?ml084l5rs0cb3?6=:r738;4>b59><`b=;1k0q~?na983>7}:0==1=o:4=9ge>6>f3ty:ml750;0x9=2?28h?706i1;1;e>{t9hkj6=4={<:7=?7e<273j=4<8`9~w4gfj3:1>v374`82f1=:0o81?5o4}r3bef<72;q649l51c689=`32:2j7p}>a`f94?4|51>h63l3;i8637f48052z?;0`<6j=164k9539c8yv7fj90;6?u285d95g2<51l=6>6n;|q2eg7=838p15;?:0`7?8>a0393m6s|1``1>5<5s42>=7?m4:?;bd<40h1v<05=9k>015hm:2:b?xu6ik?1<74d3342mh7=7a:p5dd12909w06:5;3a0>;?nj084l5rs0ca3?6=:r739;4>b59>7}:0<=1=o:4=823>6>f3ty:mo750;0x9=3?28h?706if;1;e>{t9hhj6=4={<:6=?7e<272<<4<8`9~w4gej3:1>v375`82f1=:1991?5o4}r3bff<72;q648l51c689<652:2j7p}>acf94?4|51?h62l3;i8636078052z?;1`<6j=165=;539c8yv7fk90;6?u284d95g2<50:<6>6n;|q2ef7=838p158?:0`7?8?71393m6s|1`a1>5<5s42==7?m4:?:4=<40h1v;0:n95291c97=g<35=9k>014>l:2:b?xu6ij?1<74d3343;n7=7a:p5de12909w0695;3a0>;>8m084l5rs0c`3?6=:r73:;4>b59>=5`=;1k0q~?nc983>7}:0?=1=o:4=82f>6>f3ty:mn750;0x9=0?28h?707>0;1;e>{t9hij6=4={<:5=?7e<272=?4<8`9~w4gdj3:1>v376`82f1=:18;1?5o4}r3bgf<72;q64;l51c689<742:2j7p}>abf94?4|511l3;i8636158052z?;2`<6j=165<8539c8yv7fl90;6?u287d95g2<50;<6>6n;|q2ea7=838p159?:0`7?8?60393m6s|1`f1>5<4s42<=7?ne:?;33<6il164:952b:8yv7fl:0;6>u286396f1<51==69:<;<;2`?5?i2wx=lj;:1818>09393m6363d82f1=z{8ko97>53z?;37<6il164:951`g89=1?2;i37p}>ae494?5|51=96?m8;<:43?23;272=n4<8`9~w4gc?3:1>v377380{t9hn26=4<{<:47?4d?273;54;429>=4d=;1k0q~?nd`83>7}:0>91?5o4=863>4d33ty:mil50;1x9=1328kn70689;3ba>;??h09o55rs0cgg?6=;r73;94=c69><2?=<=9014?n:2:b?xu6imn1<76>f343?=7?m4:p5dbb2908w0685;3ba>;??h0:mh5286`97=g<23=:j=0159n:560?8?61393m6s|1`g3>5<5s42<97=7a:?:07<6j=1v0?382o6378781fd=:18i1>o74=81e>1523ty:mh=50;6x9=1?2;3h70676;0af>;>9k09n4529529063<2?=:0i01569:3``?8?6i38i5636408770=z{8kn97>54z?;3d<51j1645852cf89<7>2;h2707;2;601>{t9ho=6=4={<:4f?23;272:n4<8`9~w4gb?3:18v377b81fd=:0181>oo4=9:0>7df34kh47:<5:p5dc?2909w068c;0af>;f>;0??85rs0cf=?6=;r73;k4=b`9>=01=<:?014;j:3`:?xu6ilk1<77de343=97:<5:p5dce2908w066a;0ae>;>:10??85294a96gd896365c81f<=z{8knh7>53z?;ef<5jh165?h542789<3c2;hi7p}>adg94?3|51ko6?l6;<:ba?4e1273mk4=bc9>7df34k;>7:<5:p5d`7290==v37b7804?b34k;?7?6e:?b41<61l16m=;518g89d61283n70o?7;3:a>;f810:5h52a1;95m27j9d9>e5b=90o01l>j:0;f?8g7n3;2i63n1182=`=:i8;1=4k4=`31>4?b34k:?7?6e:?b51<61l16m<;518g89d71283n70o>7;3:a>;f910:5h52a0;95m27j=n4>9d9>e4b=90o01l?j:0;f?8g6n3;2i63n2182=`=:i;;1=4k4=`01>4?b34k9?7?6e:?b61<61l16m?;518g89d41283n70o=7;3:a>;f:10:5h52a3;95m27j>n4>9d9>e7b=90o01l4?b34k8?7?6e:?b71<61l16m>;518g89d51283n70o<7;3:a>;f;10:5h52a2;95m27j?n4>9d9>e6b=90o01l=j:0;f?8g4n3;2i63n4182=`=:i=;1=4k4=`61>4?b34k??7?6e:?b01<61l16m9;518g89d21283n70o;7;3:a>;f<10:5h52a5;95j6<7j;m27j8n4>9d9>e1b=90o01l:j:0;f?8g3n3;2i63n5182=`=:i<;1=4k4=`71>4?b34k>?7?6e:?b11<61l16m8;518g89d31283n70o:7;3:a>;f=10:5h52a4;95m27j9n4>9d9>e0b=90o01l;j:0;f?8g2n3;2i63n6182=`=z{8km=7>52z?:6=<6j=1658m539c8yv7fn;0;6?u293d95g2<50?o6>6n;|q2ec5=838p14;8:0`7?8?2m393m6s|1`d7>5<5s43>47?m4:?:1g<40h1v=00:n95294d97=g=0g=9k>0148?:2:b?xu6io=1<7152343<>7?m4:p5d`?2909w0783;601>;>?=0:n95rs0ce=?6=:r72;84;349>=20=9k>0q~?nf`83>7}:1>=18>;4=85;>4d33ty:mkl50;0x9<1>2=9>7078a;3a0>{t9hlh6=4={<;4f?24=272;n4>b59~w4gal3:1>v367e8770=:1>o1=o:4}r3bb`<72;q65:h542789<>728h?7p}>agd94?4|502:69=:;<;;6?7e<2wx=o>?:1818??;3>896368582f1=z{8h;=7>52z?:<0<3;<1655851c68yv7e8;0;6?u29959063<502365<5s433n7:<5:?:0m0??85299g95g2==`=<:?0147?:0`7?xu6j9=1<71523432>7?m4:p5g6?2909w0763;601>;>1=0:n95rs0`3=?6=:r72584;349>=<0=9k>0q~?m0`83>7}:10=18>;4=8;;>4d33ty:n=l50;0x92=9>7076a;3a0>{t9k:h6=4={<;:f?24=2725n4>b59~w4d7l3:1>v369e8770=:10o1=o:4}r3a4`<72;q654h542789b1d94?4|50k:69=:;<;b6?7e<2wx=o??:1818?f;3>89636a582f1=z{8h:=7>52z?:e0<3;<165l851c68yv7e9;0;6?u29`59063<50k365<5s43jn7:<5:?:ef<6j=1v5;296~;>im0??8529`g95g2=d`=<:?014l?:0`7?xu6j8=1<7152343i>7?m4:p5g7?2909w07m3;601>;>j=0:n95rs0`2=?6=:r72n84;349>=g0=9k>0q~?m1`83>7}:1k=18>;4=8`;>4d33ty:n2=9>707ma;3a0>{t9k;h6=4={<;af?24=272nn4>b59~w4d6l3:1>v36be8770=:1ko1=o:4}r3a5`<72;q65oh542789b0d94?4|50i:69=:;<;`6?7e<2wx=o89636c582f1=z{8h9=7>52z?:g0<3;<165n851c68yv7e:;0;6?u29b59063<50i365<5s43hn7:<5:?:gf<6j=1vkm0??8529bg95g2;4?:3y>=f`=<:?014j?:0`7?xu6j;=1<7152343o>7?m4:p5g4?2909w07k3;601>;>l=0:n95rs0`1=?6=:r72h84;349>=a0=9k>0q~?m2`83>7}:1m=18>;4=8f;>4d33ty:n?l50;0x92=9>707ka;3a0>{t9k8h6=4={<;gf?24=272hn4>b59~w4d5l3:1>v36de8770=:1mo1=o:4}r3a6`<72;q65ih542789b3d94?4|50o:69=:;<;f6?7e<2wx=o=?:1818?b;3>89636e582f1=z{8h8=7>52z?:a0<3;<165h851c68yv7e;;0;6?u29d59063<50o365<5s43nn7:<5:?:af<6j=1vmm0??8529dg95g2=``=<:?014h?:0`7?xu6j:=1<7152343m>7?m4:p5g5?2909w07i3;601>;>n=0:n95rs0`0=?6=:r72j84;349>=c0=9k>0q~?m3`83>7}:1o=18>;4=8d;>4d33ty:n>l50;0x9<`>2=9>707ia;3a0>{t9k9h6=4={<;ef?24=272jn4>b59~w4d4l3:1>v36fe8770=:1oo1=o:4}r3a7`<72;q65kk542789d0228h?7p}>b2d94?4|50lm69=:;8963n0382f1=z{8h?=7>52z?b46<3;<16m=:51c68yv7e<;0;6?u2a169063<5h<<6::516?8g7>3;i86s|1c67>5<5s4k;:7:<5:?b2<<6j=1v0??852a1:95g2e5>=<:?01l8m:0`7?xu6j==1<715234k;m7?m4:p5g2?2909w0o?a;601>;f>m0:n95rs0`7=?6=:r7je5e=9k>0q~?m4`83>7}:i9i18>;4=`4e>4d33ty:n9l50;0x9d6c2=9>70o?e;3a0>{t9k>h6=4={b59~w4d3l3:1>v3n0g8770=:i8:1=o:4}r3a0`<72;q6m<>542789d1428h?7p}>b5d94?4|5h;:69=:;8963n7482f1=z{8h>=7>52z?b56<3;<16m<:51c68yv7e=;0;6?u2a069063<5h=<63;i86s|1c77>5<5s4k::7:<5:?b3<<6j=1v0??852a0:95g2e4>=<:?01l9k:0`7?xu6j<=1<715234k:m7?m4:p5g3?2909w0o>a;601>;f?o0:n95rs0`6=?6=:r7j=o4;349>e4e=9k>0q~?m5`83>7}:i8i18>;4=`:6>4d33ty:n8l50;0x9d7c2=9>70o>e;3a0>{t9k?h6=4={b59~w4d2l3:1>v3n1g8770=:i;:1=o:4}r3a1`<72;q6m?>542789d>428h?7p}>b4d94?4|5h8:69=:;8963n8882f1=z{8h==7>52z?b66<3;<16m?:51c68yv7e>;0;6?u2a369063<5h2:63;i86s|1c47>5<5s4k9:7:<5:?b<2<6j=1v0??852a3:95g2e7>=<:?01l6m:0`7?xu6j?=1<715234k9m7?m4:p5g0?2909w0o=a;601>;f0m0:n95rs0`5=?6=:r7j>o4;349>e7e=9k>0q~?m6`83>7}:i;i18>;4=`:e>4d33ty:n;l50;0x9d4c2=9>70o=e;3a0>{t9k4>b59~w4d1l3:1>v3n2g8770=:i::1=o:4}r3a2`<72;q6m>>542789d?228h?7p}>b7d94?4|5h9:69=:;8963n9c82f1=z{8h<=7>52z?b76<3;<16m>:51c68yv7e?;0;6?u2a269063<5h3:63;i86s|1c57>5<5s4k8:7:<5:?b=<<6j=1v0??852a2:95g2e6>=<:?01l7i:0`7?xu6j>=1<715234k8m7?m4:p5g1?2909w0o;f1>0:n95rs0`4=?6=:r7j?o4;349>e6e=9k>0q~?m7`83>7}:i:i18>;4=`;g>4d33ty:n:l50;0x9d5c2=9>70o{t9k=h6=4={b59~w4d0l3:1>v3n3g8770=:i=:1=o:4}r3a3`<72;q6m9>542789dg428h?7p}>b6d94?4|5h>:69=:;8963na482f1=z{8h3=7>52z?b06<3;<16m9:51c68yv7e0;0;6?u2a569063<5hk263;i86s|1c:7>5<5s4k?:7:<5:?beg<6j=1v0??852a5:95g2e1>=<:?01ll>:0`7?xu6j1=1<715234k?m7?m4:p5g>?2909w0o;a;601>;fi>0:n95rs0`;=?6=:r7j8o4;349>e1e=9k>0q~?m8`83>7}:i=i18>;4=`ce>4d33ty:n5l50;0x9d2c2=9>70o;e;3a0>{t9k2h6=4={b59~w4d?l3:1>v3n4g8770=:i<:1=o:4}r3a<`<72;q6m8>542789dgc28h?7p}>b9d94?4|5h?:69=:;8963nb282f1=z{8h2=7>52z?b16<3;<16m8:51c68yv7e1;0;6?u2a469063<5hh<63;i86s|1c;7>5<5s4k>:7:<5:?bf<<6j=1v0??852a4:95g2e0>=<:?01llm:0`7?xu6j0=1<715234k>m7?m4:p5g??2909w0o:a;601>;fjm0:n95rs0`:=?6=:r7j9o4;349>e0e=9k>0q~?m9`83>7}:i;4=``e>4d33ty:n4l50;0x9d3c2=9>70o:e;3a0>{t9k3h6=4={b59~w4d>l3:1>v3n5g8770=:i?:1=o:4}r3a=`<72;q6m;>542789de428h?7p}>b8d94?4|5h<:69=:;8963n6582f1=z{8hj=7>52z?b20<3;<16m;851c68yv7ei;0;6?u2a759063<5h<365<5s4k=n7:<5:?b2f<6j=1vm0??852a7g95g2e3`=<:?01l9?:0`7?xu6jh=1<715234k<>7?m4:p5gg?2909w0o83;601>;f?=0:n95rs0`b=?6=:r7j;84;349>e20=9k>0q~?ma`83>7}:i>=18>;4=`5;>4d33ty:nll50;0x9d1>2=9>70o8a;3a0>{t9kkh6=4={b59~w4dfl3:1>v3n7e8770=:i>o1=o:4}r3ae`<72;q6m:h542789d>728h?7p}>b`d94?4|5h2:69=:;8963n8582f1=z{8hi=7>52z?b<0<3;<16m5851c68yv7ej;0;6?u2a959063<5h2365<5s4k3n7:<5:?be=`=<:?01l7?:0`7?xu6jk=1<715234k2>7?m4:p5gd?2909w0o63;601>;f1=0:n95rs0`a=?6=:r7j584;349>e<0=9k>0q~?mb`83>7}:i0=18>;4=`;;>4d33ty:nol50;0x9d?>2=9>70o6a;3a0>{t9khh6=4={b59~w4del3:1>v3n9e8770=:i0o1=o:4}r3af`<72;q6m4h542789dg728h?7p}>bcd94?4|5hk:69=:;8963na582f1=z{8hh=7>52z?be0<3;<16ml851c68yv7ek;0;6?u2a`59063<5hk365<5s4kjn7:<5:?bef<6j=1ved`=<:?01ll?:0`7?xu6jj=1<715234ki>7?m4:p5ge?2909w0om3;601>;fj=0:n95rs0``=?6=:r7jn84;349>eg0=9k>0q~?mc`83>7}:ik=18>;4=``;>4d33ty:nnl50;0x9dd>2=9>70oma;3a0>{t9kih6=4={b59~w4ddl3:1>v3nbe8770=:iko1=o:4}r3ag`<72;q6moh542789de728h?7p}>bbd94?4|5hi:69=:;8963nc582f1=z{8ho=7>52z?bg0<3;<16mn851c68yv7el;0;6?u2ab59063<5hi36026=9<{tijo1<7;3?90jo45+45d9776:44?:3y]500>34><<7?:689'01`=;;;0q~oi6;296~Xfn?168:>5ag48 12a2:<>7p}nf683>7}Yio=0199?:`d4?!23n393?6s|adc94?4|Vhn370:80;cg<>"35<5sWko;63;718b`2=#<=l1>io4}rcf3?6=:rTjh;524629ea0<,=>m6?jm;|qba3<72;qUmi;4=553>db23->?j7vPnd39>026=im80(9:i:3fe?xufm;0;6?uQae3891172hn:7):;f;0f4>{tio91<7;3?90jhk5+45d96`352z\b``=:<>:1mik4$56e>7`73tyjj=4?:3y]eab<5==;6ljk;%67b?4ak2wxmhh50;0xZdbd34><<7okc:&70c<48>1vlkj:181[gcj27?;=4ndc9'01`=;880q~ojd;296~Xflh168:>5aec8 12a2:;37p}neb83>7}Yim30199?:`f:?!23n39:56s|ad`94?4|Vhn;70:80;cg4>"35<5sWkhj63;718bgc=#<=l1?m1/89h530a8yv72>j0;6?uQ144`?82083;>:n5+45d974b52z\bb==:<>:1mk64$56e>67a3tyii44?:3y]f74<5==;6o<=;%67b?4b:2wxnh950;0xZg4634><<7l=1:&70c<5m:1vok9:181[d5827?;=4m219'01`=:l>0q~lj5;296~Xe9o168:>5b0d8 12a2;o=7p}me283>7}Yj8n0199?:c3g?!23n38n;6s|bd094?4|Vk;h70:80;`2g>"35<5sWh:n63;718a5g=#<=l1>h74}r`f4?6=:rTi=l524629f4g<,=>m6?kn;|qa`c<72;qUn<74=553>g7>3->?j77:?7351/89h52df8yvdck3:1>vPm179>026=j8<0(9:i:3gf?xuelk0;6?uQb07891172k;>7):;f;0fb>{tjmk1<7;3?90i>45+45d96c452z\a6==:<>:1n?64$56e>7`43tyiik4?:3y]f71<5==;6o<8;%67b?4a<2wxnhk50;0xZg4134><<7l=6:&70c<5n<1vokk:181[d5=27?;=4m249'01`=:o<0q~ljc;296~Xe:=168:>5b368 12a2;l<7p}mec83>7}Yj;90199?:c00?!23n38m46s|bdc94?4|Vk;n70:80;`2a>"35<5sWh:?63;718a56=#<=l1>ko4}r`g=?6=:rTi=?524629f44<,=>m6?hk;|q`5d<72;qUo=<4=553>f653->?j7=838pRn>>;<644?e792.?8k4=fg9~wf702909wSm?0:?7353:1>vPmfg9>026=jol0(9:i:222?xud9=0;6?uQbgf891172klo7):;f;136>{tk891<7;3?90ijo5+45d975252z\abd=:<>:1nko4$56e>6623tyh==4?:3y]fc?<5==;6oh6;%67b?57>2wxo=h50;0xZg`?34><<7li8:&70c<4811vn>j:181[da?27?;=4mf69'01`=;930q~m?d;296~Xen?168:>5bg48 12a2::j7p}l0b83>7}Yjo?0199?:cd6?!23n39;n6s|c1`94?4|Vkl?70:80;`e0>"35<5sWi;563;718`4<=#<=l1?=j4}ra15?6=:rTh<5524629g5><,=>m6>>j;|q`65<72;qUo=94=553>f603->?j7=?f:pg4`=838pRn>9;<644?e7>2.?8k4<119~wf7b2909wSm?5:?735vPl059>026=k9>0(9:i:230?xud9j0;6?uQc11891172j:87):;f;120>{tk8h1<76=4={_`e7>;3?90ij>5+45d974052z\ab7=:<>:1nk<4$56e>6703tynn94?:3y]`7b<5==;6i<<7j=c:&70c<4::1vhl>:181[b5j27?;=4k2c9'01`=;;>0q~km0;296~Xc:h168:>5d3c8 12a2:8>7p}jag83>7}Yl;30199?:e0:?!23n399:6s|e`g94?4|Vm8370:80;f1<>"3:5rsdcg>5<5sWn9;63;718g62=#<=l1??64}rgbg?6=:rTo>;524629`70<,=>m6><6;|qfed<72;qUh?:4=553>a433->?j7==a:pad?=838pRi<<;<644?b5;2.?8k4<2c9~w`g?2909wSj=2:?735vPk209>026=l;;0(9:i:20g?xubi?0;6?uQd32891172m8;7):;f;11a>{tmh?1<7;3?90o=h5+45d976652z\g5a=:<>:1h6563tynm?4?:3y]`4e<5==;6i?l;%67b?54:2wxil?50;0xZa7e34><<7j>b:&70c<4;:1vh7i:181[b6127?;=4k189'01`=;:>0q~k6e;296~Xc91168:>5d0:8 12a2:9>7p}j9e83>7}Yl8=0199?:e34?!23n398:6s|e8a94?4|Vm;=70:80;f22>"35<5sWn:963;718g50=#<=l1?>64}rg:e?6=:rTo=9524629`42<,=>m6>=6;|qf=<<72;qUh<=4=553>a743->?j7==838pRi?=;<644?b6:2.?8k4<3c9~w`?02909wSj>1:?735>3:1>vPk119>026=l8:0(9:i:21g?xub1=0;6?uQd1g891172m:n7):;f;10a>{tm091<7;3?90o52z\g4g=:<>:1h=l4$56e>6263tyn5=4?:3y]`5g<5==;6i>n;%67b?53:2wxi5h50;0xZa6>34><<7j?9:&70c<4<:1vh6j:181[b7027?;=4k099'01`=;=>0q~k7d;296~Xc8>168:>5d158 12a2:>>7p}j8b83>7}Yl9<0199?:e25?!23n39?:6s|e9`94?4|Vm:>70:80;f31>"35<5sWn8>63;718g77=#<=l1?964}rga=?6=:rTo?<524629`67<,=>m6>:6;|qff=<72;qUh>>4=553>a573->?j7=;a:pag1=838pRivPk249>026=l;?0(9:i:26g?xubik0;6?uQd0c891172m;j7):;f;17a>{tmh:1<76=4={_f30>;3?90o<95+45d970652z\g46=:<>:1h==4$56e>6363tym=84?:3y]a`3<5==;6hk:;%67b?52:2wxj<=50;0xZ`c334><<7kj4:&70c<4=:1vk?=:181[cb;27?;=4je29'01`=;<>0q~h>1;296~Xbm;168:>5ed08 12a2:?>7p}i1183>7}Yml;0199?:dg2?!23n39>:6s|f1d94?4|Vlo;70:80;gf4>"35<5sWooj63;718f`c=#<=l1?864}rd3`?6=:rTnhh524629aac<,=>m6>;6;|qe4g<72;qUiim4=553>`bd3->?j7=:a:pb5g=838pRhjm;<644?ccj2.?8k4<5c9~wc6>2909wSkka:?735vPjd89>026=mm30(9:i:27g?xua8>0;6?uQee:891172ln37):;f;16a>{tn9<1<76=4={_gg2>;3?90nh;5+45d973652z\f`0=:<>:1ii;4$56e>6063tym<>4?:3y]aa2<5==;6hj;;%67b?51:2wxj=<50;0xZ`b434><<7kk3:&70c<4>:1vk>?:181[cc927?;=4jd09'01`=;?>0q~kif;296~Xbl9168:>5ee28 12a2:<=7p}jfd83>7}Ymjl0199?:dae?!23n39=;6s|egf94?4|Vlin70:80;g`a>"35<5sWohh63;718fga=#<=l1?;74}rgef?6=:rTnon524629afe<,=>m6>8n;|qfbd<72;qUinl4=553>`ee3->?j7=9b:pac?=838pRhmn;<644?cdi2.?8k4<6b9~w``?2909wSkl9:?735vPjc99>026=mj20(9:i:24f?xubn<0;6?uQeb4891172li=7):;f;15b>{tmo>1<7;3?90no95+45d97277>52z\fg6=:<>:1in=4$56e>6153tynj<4?:3y]af4<5==;6hm=;%67b?50;2wxik>50;0xZ`e634><<7kl1:&70c<4?=1vhki:181[cd827?;=4jc19'01`=;>?0q~kje;296~Xbjo168:>5ecd8 12a2:==7p}jee83>7}Ymko0199?:d`f?!23n39<;6s|eda94?4|Vlho70:80;ga`>"35<5sWonm63;718fad=#<=l1?:74}rd2e?6=:rTni4524629a`?<,=>m6>9n;|qe5<<72;qUih64=553>`c?3->?j7=8b:pb4>=838pRhk8;<644?cb?2.?8k4<7b9~wc702909wSkj6:?7353:1>vPjde9>026=mmn0(9:i:25f?xua8j0;6?uQee0891172ln97):;f;14b>{tn9;1<7;3?90nnn5+45d97=752z\ffg=:<>:1iol4$56e>6>53twe8i79:181M2282we8i78:18fM2282we8i77:18fM2282we8i76:180M2282we8i7n:181M2282we8i7m:181M2282we8i7l:181M2282we8i7k:181M2282we8i7j:181M2282we8i7i:181M2282we8io?:181M2282we8io>:181M2282we8io=:181M2282we8io<:181M2282we8io;:181M2282we8io::181M2282we8io9:181M2282we8io8:181M2282we8io7:181M2282we8io6:181M2282we8ion:181M2282we8iom:181M2282we8iol:181M2282we8iok:181M2282we8ioj:181M2282we8ioi:181M2282we8il?:181M2282we8il>:180M2282we8il=:180M2282we8il<:180M2282we8il;:180M2282we8il::180M2282we8il9:180M2282we8il8:180M2282we8il7:180M2282we8il6:180M2282we8iln:180M2282we8ilm:180M2282we8ill:180M2282we8ilk:180M2282we8ilj:180M2282we8ili:180M2282we8im?:180M2282we8im>:180M2282we8im=:180M2282we8im<:180M2282we8im;:180M2282we8im::180M2282we8im9:180M2282we8im8:180M2282we8im7:180M2282we8im6:180M2282we8imn:180M2282we8imm:180M2282we8iml:180M2282we8imk:180M2282we8imj:180M2282we8imi:180M2282we8ij?:180M2282we8ij>:180M2282we8ij=:180M2282we8ij<:180M2282we8ij;:180M2282we8ij::180M2282we8ij9:180M2282we8ij8:180M2282we8ij7:180M2282we8ij6:180M2282we8ijn:180M2282we8ijm:180M2282we8ijl:180M2282we8ijk:180M2282we8ijj:180M2282we8iji:180M2282we8ik?:180M2282we8ik>:181M2282we8ik=:181M2282we8ik<:181M2282we8ik;:181M2282we8ik::181M2282we8ik9:181M2282we8ik8:181M2282we8ik7:181M2282we8ik6:181M2282we8ikn:181M2282we8ikm:181M2282we8ikl:181M2282we8ikk:181M2282we8ikj:181M2282we8iki:181M2282we8ih?:181M2282we8ih>:181M2282we8ih=:181M2282we8ih<:181M2282we8ih;:181M2282we8ih::181M2282we8ih9:181M2282we8ih8:181M2282we8ih7:181M2282we8ih6:181M2282we8ihn:181M2282we8ihm:181M2282we8ihl:181M2282we8ihk:181M2282we8ihj:181M2282we8ihi:181M2282we8h>?:181M2282we8h>>:181M2282we8h>=:181M2282we8h><:181M2282we8h>;:181M2282we8h>::181M2282we8h>9:181M2282we8h>8:181M2282we8h>7:181M2282we8h>6:181M2282we8h>n:181M2282we8h>m:181M2282we8h>l:181M2282we8h>k:181M2282we8h>j:181M2282we8h>i:181M2282we8h??:181M2282we8h?>:181M2282we8h?=:181M2282we8h?<:181M2282we8h?;:181M2282we8h?::181M2282we8h?9:181M2282we8h?8:181M2282we8h?7:181M2282we8h?6:181M2282we8h?n:181M2282we8h?m:181M2282we8h?l:181M2282we8h?k:181M2282we8h?j:181M2282we8h?i:181M2282we8h:181M2282we8h<=:181M2282we8h<<:181M2282we8h<;:181M2282we8h<::181M2282we8h<9:181M2282we8h<8:181M2282we8h<7:181M2282we8h<6:181M2282we8h:181M2282we8h==:181M2282we8h=<:181M2282we8h=;:181M2282we8h=::181M2282we8h=9:181M2282we8h=8:181M2282we8h=7:181M2282we8h=6:181M2282we8h=n:181M2282we8h=m:181M2282we8h=l:181M2282we8h=k:181M2282we8h=j:181M2282we8h=i:181M2282we8h:?:181M2282we8:9n:182M2282we8:9m:182M2282we8:ji:182M2282we8:k?:182M2282we8:k>:182M2282we8:k=:182M2282we8:k<:182M2282we8:k;:182M2282we8:k::182M2282we8:k9:182M2282we8:k8:182M2282we8:k7:182M2282we8:k6:182M2282we8:kn:182M2282we8:km:182M2282we8:kl:182M2282we8:kk:182M2282we8:kj:182M2282we8:ki:182M2282we8:h?:182M2282we8:h>:182M2282we8:h=:182M2282we8:h<:182M2282we8:h;:182M2282we8:h::182M2282we8:h9:182M2282we8:h8:182M2282we8:h7:182M2282we8:h6:182M2282we8:hn:182M2282we8:hm:182M2282we8:hl:182M2282we8:hk:182M2282we8:hj:182M2282we8:hi:182M2282we85>?:182M2282we85>>:182M2282we85>=:182M2282we85><:182M2282we85>;:182M2282we85>::182M2282we85>9:182M2282we85>8:182M2282we85>7:182M2282we85>6:182M2282we85>n:182M2282we85>m:182M2282we85>l:182M2282we85>k:182M2282we85>j:182M2282we85>i:182M2282we85??:182M2282we85?>:182M2282we85?=:182M2282we85?<:182M2282we85?;:182M2282we85?::182M2282we85?9:182M2282we85?8:182M2282we85?7:182M2282we85?6:182M2282we85?n:182M2282we85?m:182M2282we85?l:182M2282we85?k:182M2282we85?j:182M2282we85?i:182M2282we85:182M2282we85<=:182M2282we85<<:181M2282we85<;:181M2282we85<::181M2282we85<9:181M2282we85<8:181M2282we85<7:181M2282we85<6:181M2282we85:181M2282we85==:181M2282we85=<:181M2282we85=;:181M2282we85=::182M2282we85=9:182M2282we85=8:182M2282we85=7:182M2282we85=6:182M2282we85=n:182M2282we85=m:182M2282we85=l:182M2282we85=k:182M2282we85=j:182M2282we85=i:182M2282we85:?:182M2282we85:>:182M2282we85:=:182M2282we85:<:182M2282we85:;:182M2282we85:::182M2282we85:9:182M2282we85:8:182M2282we85:7:182M2282we85:6:182M2282we85:n:182M2282we85:m:182M2282we85:l:182M2282we85:k:182M2282we85:j:182M2282we85:i:182M2282we85;?:182M2282we85;>:182M2282we85;=:182M2282we85;<:182M2282we85;;:182M2282we85;::182M2282we85;9:182M2282we85;8:182M2282we85;7:182M2282we85;6:182M2282we85;n:182M2282we85;m:182M2282we85;l:182M2282we85;k:182M2282we85;j:182M2282we85;i:182M2282we858?:182M2282we858>:182M2282we858=:182M2282we858<:182M2282we858;:182M2282we858::182M2282we8589:182M2282we8588:182M2282we8587:182M2282we8586:182M2282we858n:182M2282we858m:182M2282we858l:182M2282we858k:182M2282we858j:182M2282we858i:182M2282we859?:182M2282we859>:182M2282we859=:182M2282we859<:182M2282we859;:182M2282we859::182M2282we8599:182M2282we8598:182M2282we8597:182M2282we8596:182M2282we859n:182M2282we859m:182M2282we859l:182M2282we859k:182M2282we859j:182M2282we859i:182M2282we856?:182M2282we856>:182M2282we856=:182M2282we856<:182M2282we856;:182M2282we856::182M2282we8569:182M2282we8568:182M2282we8567:182M2282we8566:182M2282we856n:182M2282we856m:182M2282we856l:182M2282we856k:182M2282we856j:182M2282we856i:182M2282we857?:182M2282we857>:182M2282we857=:182M2282we857<:182M2282we857;:182M2282we857::182M2282we8579:182M2282we8578:182M2282we8577:182M2282we8576:182M2282we857n:182M2282we857m:182M2282we857l:182M2282we857k:182M2282we857j:182M2282we857i:182M2282we85o?:182M2282we85o>:182M2282we85o=:182M2282we85o<:182M2282we85o;:182M2282we85o::182M2282we85o9:182M2282we85o8:182M2282we85o7:182M2282we85o6:182M2282we85on:182M2282we85om:182M2282we85ol:182M2282we85ok:182M2282we85oj:182M2282we85oi:182M2282we85l?:182M2282we85l>:182M2282we85l=:182M2282we85l<:182M2282we85l;:182M2282we85l::182M2282we85l9:182M2282we85l8:182M2282we85l7:182M2282we85l6:182M2282we85ln:182M2282we85lm:182M2282we85ll:182M2282we85lk:182M2282we85lj:182M2282we85li:182M2282we85m?:182M2282we85m>:182M2282we85m=:182M2282we85m<:182M2282we85m;:182M2282we85m::182M2282we85m9:182M2282we85m8:182M2282we85m7:182M2282we85m6:182M2282we85mn:182M2282we85mm:182M2282we85ml:182M2282we85mk:182M2282we85mj:182M2282we85mi:182M2282we85j?:182M2282we85j>:182M2282we85j=:182M2282we85j<:182M2282we85j;:182M2282we85j::182M2282we85j9:182M2282we85j8:182M2282we85j7:182M2282we85j6:182M2282we85jn:182M2282we85jm:182M2282we85jl:182M2282we85jk:182M2282we85jj:182M2282we85ji:182M2282we85k?:182M2282we85k>:182M2282we85k=:182M2282we85k<:182M2282we85k;:182M2282we85k::182M2282we85k9:182M2282we85k8:182M2282we85k7:182M2282we85k6:182M2282we85kn:182M2282we85km:182M2282we85kl:182M2282we85kk:182M2282we85kj:182M2282we85ki:182M2282we85h?:182M2282we85h>:182M2282we85h=:182M2282we85h<:182M2282we85h;:182M2282we85h::182M2282we85h9:182M2282we85h8:182M2282we85h7:182M2282we85h6:182M2282we85hn:182M2282we85hm:182M2282we85hl:182M2282we85hk:182M2282we85hj:182M2282we85hi:182M2282we84>?:182M2282we84>>:181M2282we84>=:182M2282we84><:182M2282we84>;:182M2282we84>::182M2282we84>9:182M2282we84>8:182M2282we84>7:182M2282we84>6:182M2282we84>n:182M2282we84>m:182M2282we84>l:182M2282we84>k:181M2282we84>j:182M2282we84>i:181M2282we84??:182M2282we84?>:182M2282we84?=:182M2282we84?<:182M2282we84?;:182M2282we84?::182M2282we84?9:182M2282we84?8:182M2282we84?7:182M2282we84?6:181M2282we84?n:181M2282we84?m:181M2282we84?l:181M2282we84?k:181M2282we84?j:181M2282we84?i:181M2282we84:181M2282we84<=:181M2282we84<<:181M2282we84<;:181M2282we84<::181M2282we84<9:181M2282we84<8:181M2282we84<7:181M2282we84<6:181M2282we84:181M2282we84==:181M2282we84=<:181M2282we84=;:181M2282we84=::181M2282we84=9:181M2282we84=8:181M2282we84=7:181M2282we84=6:181M2282we84=n:181M2282we84=m:181M2282we84=l:181M2282we84=k:181M2282we84=j:181M2282we84=i:181M2282we84:?:181M2282we84:>:181M2282we84:=:181M2282we84:<:181M2282we84:;:181M2282we84:::181M2282we84:9:181M2282we84:8:181M2282we84:7:181M2282we84:6:181M2282we84:n:181M2282we84:m:182M2282we84:l:182M2282we84:k:182M2282we84:j:182M2282we84:i:182M2282we84;?:182M2282we84;>:182M2282we84;=:182M2282we84;<:182M2282we84;;:182M2282we84;::182M2282we84;9:182M2282we84;8:182M2282we84;7:182M2282we84;6:182M2282we84;n:182M2282we84;m:182M2282we84;l:182M2282we84;k:182M2282we84;j:182M2282we84;i:182M2282we848?:182M2282we848>:182M2282we848=:182M5??2B?9=5rn5;57?6=:rB?9=5rn5;50?6=9rB84:5G4428yk2>><0;6?uG4428yk2>>?0;6>>0;6>10;6>00;6>h0;6?uG4428yk2>>k0;6>j0;6>m0;6>l0;6>o0;6?90;6?80;6?;0;6?:0;6?=0;6?<0;6??0;6?>0;6?10;6?00;6?h0;6?k0;6?j0;6?m0;6?l0;6?o0;6090;6080;60;0;60:0;60=0;60<0;60?0;60>0;6010;6000;60h0;60k0;60j0;60m0;60l0;60o0;6190;6180;61;0;61:0;61=0;61<0;61?0;61>0;6110;6100;61h0;61k0;6?uG3958L1373td?54m50;3xL1373td?54j50;3xL1373td?54k50;3xL1373td?54h50;0xL6>03A>><6sa48c3>5<6sA>><6sa48c2>5<6sA>><6sa48c1>5<5sA93;6F;519~j1?f;3:1=vF;519~j1?f<3:1=vF;519~j1?f=3:1=vF;519~j1?f>3:1>vF<869K0062m:4?:0yK0062m54?:0yK0062m44?:0yK0062ml4?:0yK0062mo4?:0yK0062mn4?:0yK0062mi4?:0yK0062mh4?:0yK0062mk4?:0yK0062n=4?:0yK0062n<4?:0yK0062n?4?:0yK0062n>4?:0yK0062n94?:0yK0062n84?:0yK0062n;4?:0yK0062n:4?:0yK0062n54?:0yK0062n44?:0yK0062nl4?:0yK0062no4?:0yK0062nn4?:0yK0062ni4?:0yK0062nh4?:0yK0062nk4?:0yK0062o=4?:0yK0062o<4?:0yK0062o?4?:0yK0062o>4?:0yK0062o94?:0yK0062o84?:0yK0062o;4?:0yK0062o:4?:0yK0062o54?:0yK0062o44?:0yK0062ol4?:0yK0062oo4?:0yK0062on4?:0yK0062oi4?:0yK0062oh4?:0yK0062ok4?:0yK0062h=4?:0yK0062h<4?:0yK0062h?4?:0yK0062h>4?:0yK0062h94?:0yK0062h84?:0yK0062h;4?:0yK0062h:4?:0yK0062h54?:0yK0062h44?:0yK0062hl4?:0yK0062ho4?:0yK0062hn4?:0yK0062hi4?:0yK0062hh4?:0yK0062hk4?:0yK0062i=4?:0yK0062i<4?:0yK0062i?4?:0yK0062i>4?:0yK0062i94?:0yK0062i84?:0yK0062i;4?:0yK0062i:4?:0yK0062i54?:0yK0062i44?:0yK0062il4?:0yK0062io4?:0yK0062in4?:0yK0062ii4?:0yK0062ih4?:0yK0062ik4?:0yK0062j=4?:0yK0062j<4?:0yK0062j?4?:0yK0062j>4?:0yK0062j94?:0yK0062j84?:2yK0062j;4?:2yK0062j:4?:2yK0062j54?:0yK0062j44?:0yK0062jl4?:0yK0062jo4?:0yK0062jn4?:0yK0062ji4?:0yK0062jh4?:0yK0062jk4?:0yK006j<=4?:2yK006j<<4?:2yK006jj<>4?:0yK006j<94?:3yK7=1<@=?;7p`;a1794?7|@=?;7p`;a1494?7|@=?;7p`;a1594?7|@=?;7p`;a1:94?4|@:2<7E::0:m0d6>290:wE::0:m0d6f290:wE::0:m0d6e2909wE=77:J715=zf=k;o7>51zJ715=zf=k;h7>51zJ715=zf=k;i7>51zJ715=zf=k;j7>51zJ715=zf=k:<7>51zJ715=zf=k:=7>51zJ715=zf=k:>7>52zJ0<2=O<<:0qc:n1283>7}O<<:0qc:n1583>7}O<<:0qc:n1483>7}O<<:0qc:n1783>7}O<<:0qc:n1683>7}O<<:0qc:n1983>7}O<<:0qc:n1883>7}O<<:0qc:n1`83>7}O<<:0qc:n1c83>7}O<<:0qc:n1b83>7}O<<:0qc:n1e83>7}O<<:0qc:n1d83>7}O<<:0qc:n1g83>7}O<<:0qc:n2183>7}O<<:0qc:n2083>7}O<<:0qc:n2383>7}O<<:0qc:n2283>7}O<<:0qc:n2583>7}O<<:0qc:n2483>6}O<<:0qc:n2783>6}O<<:0qc:n2683>6}O<<:0qc:n2983>6}O<<:0qc:n2883>6}O<<:0qc:n2`83>6}O<<:0qc:n2c83>6}O<<:0qc:n2b83>6}O<<:0qc:n2e83>6}O<<:0qc:n2d83>6}O<<:0qc:n2g83>6}O<<:0qc:n3183>6}O<<:0qc:n3083>6}O<<:0qc:n3383>6}O<<:0qc:n3283>6}O<<:0qc:n3583>6}O<<:0qc:n3483>6}O<<:0qc:n3783>6}O<<:0qc:n3683>6}O<<:0qc:n3983>6}O<<:0qc:n3883>6}O<<:0qc:n3`83>6}O<<:0qc:n3c83>6}O<<:0qc:n3b83>6}O<<:0qc:n3e83>7}O<<:0qc:n3d83>7}O<<:0qc:n3g83>7}O<<:0qc:n4183>7}O<<:0qc:n4083>7}O<<:0qc:n4383>7}O<<:0qc:n4283>7}O<<:0qc:n4583>7}O<<:0qc:n4483>7}O<<:0qc:n4783>7}O<<:0qc:n4683>7}O<<:0qc:n4983>7}O<<:0qc:n4883>7}O<<:0qc:n4`83>7}O<<:0qc:n4c83>7}O<<:0qc:n4b83>7}O<<:0qc:n4e83>7}O<<:0qc:n4d83>7}O<<:0qc:n4g83>7}O<<:0qc:n5183>7}O<<:0qc:n5083>7}O<<:0qc:n5383>7}O<<:0qc:n5283>7}O<<:0qc:n5583>7}O<<:0qc:n5483>4}O<<:0qc:n5783>4}O<<:0qc:n5683>4}O<<:0qc:n5983>4}O<<:0qc:n5883>4}O<<:0qc:n5`83>4}O<<:0qc:n5c83>4}O<<:0qc:n5b83>4}O<<:0qc:n5e83>4}O<<:0qc:n5d83>4}O<<:0qc:n5g83>4}O<<:0qc:n6183>4}O<<:0qc:n6083>4}O<<:0qc:n6383>4}O<<:0qc:n6283>4}O<<:0qc:n6583>4}O<<:0qc:n6483>4}O<<:0qc:n6783>4}O<<:0qc:n6683>4}O<<:0qc:n6983>4}O<<:0qc:n6883>4}O<<:0qc:n6`83>4}O<<:0qc:n6c83>4}O<<:0qc:n6b83>4}O<<:0qc:n6e83>4}O<<:0qc:n6d83>4}O<<:0qc:n6g83>4}O<<:0qc:n7183>4}O<<:0qc:n7083>4}O<<:0qc:n7383>4}O<<:0qc:n7283>4}O<<:0qc:n7583>4}O<<:0qc:n7483>4}O<<:0qc:n7783>4}O<<:0qc:n7683>4}O<<:0qc:n7983>4}O<<:0qc:n7883>4}O<<:0qc:n7`83>4}O<<:0qc:n7c83>4}O<<:0qc:n7b83>4}O<<:0qc:n7e83>4}O<<:0qc:n7d83>4}O<<:0qc:n7g83>4}O<<:0qc:n8183>4}O<<:0qc:n8083>4}O<<:0qc:n8383>4}O<<:0qc:n8283>4}O<<:0qc:n8583>4}O<<:0qc:n8483>6}O<<:0qc:n8783>6}O<<:0qc:n8683>6}O<<:0qc:n8983>6}O<<:0qc:n8883>6}O<<:0qc:n8`83>6}O<<:0qc:n8c83>6}O<<:0qc:n8b83>6}O<<:0qc:n8e83>6}O<<:0qc:n8d83>6}O<<:0qc:n8g83>6}O<<:0qc:n9183>6}O<<:0qc:n9083>6}O<<:0qc:n9383>6}O<<:0qc:n9283>6}O<<:0qc:n9583>6}O<<:0qc:n9483>6}O<<:0qc:n9783>6}O<<:0qc:n9683>6}O<<:0qc:n9983>6}O<<:0qc:n9883>6}O<<:0qc:n9`83>6}O<<:0qc:n9c83>6}O<<:0qc:n9b83>6}O<<:0qc:n9e83>7}O<<:0qc:n9d83>7}O<<:0qc:n9g83>7}O<<:0qc:na183>7}O<<:0qc:na083>7}O<<:0qc:na383>7}O<<:0qc:na283>7}O<<:0qc:na583>7}O<<:0qc:na483>7}O<<:0qc:na783>7}O<<:0qc:na683>7}O<<:0qc:na983>7}O<<:0qc:na883>7}O<<:0qc:na`83>7}O<<:0qc:nac83>7}O<<:0qc:nab83>7}O<<:0qc:nae83>7}O<<:0qc:nad83>7}O<<:0qc:nag83>7}O<<:0qc:nb183>7}O<<:0qc:nb083>7}O<<:0qc:nb383>7}O<<:0qc:nb283>7}O<<:0qc:nb583>7}O<<:0qc:nb483>4}O<<:0qc:nb783>4}O<<:0qc:nb683>4}O<<:0qc:nb983>4}O<<:0qc:nb883>4}O<<:0qc:nb`83>4}O<<:0qc:nbc83>4}O<<:0qc:nbb83>4}O<<:0qc:nbe83>4}O<<:0qc:nbd83>4}O<<:0qc:nbg83>4}O<<:0qc:nc183>4}O<<:0qc:nc083>4}O<<:0qc:nc383>4}O<<:0qc:nc283>4}O<<:0qc:nc583>4}O<<:0qc:nc483>4}O<<:0qc:nc783>4}O<<:0qc:nc683>4}O<<:0qc:nc983>4}O<<:0qc:nc883>4}O<<:0qc:nc`83>4}O<<:0qc:ncc83>4}O<<:0qc:ncb83>4}O<<:0qc:nce83>4}O<<:0qc:ncd83>4}O<<:0qc:ncg83>4}O<<:0qc:nd183>4}O<<:0qc:nd083>4}O<<:0qc:nd383>4}O<<:0qc:nd283>4}O<<:0qc:nd583>4}O<<:0qc:nd483>4}O<<:0qc:nd783>4}O<<:0qc:nd683>4}O<<:0qc:nd983>4}O<<:0qc:nd883>4}O<<:0qc:nd`83>4}O<<:0qc:ndc83>4}O<<:0qc:ndb83>4}O<<:0qc:nde83>4}O<<:0qc:ndd83>4}O<<:0qc:ndg83>4}O<<:0qc:ne183>4}O<<:0qc:ne083>4}O<<:0qc:ne383>4}O<<:0qc:ne283>4}O<<:0qc:ne583>4}O<<:0qc:ne483>7}O<<:0qc:ne783>7}O<<:0qc:ne683>7}O<<:0qc:ne983>7}O<<:0qc:ne883>7}O<<:0qc:ne`83>7}O<<:0qc:nec83>7}O<<:0qc:neb83>7}O<<:0qc:nee83>7}O<<:0qc:ned83>7}O<<:0qc:neg83>7}O<<:0qc:nf183>7}O<<:0qc:nf083>7}O<<:0qc:nf383>7}O<<:0qc:nf283>7}O<<:0qc:nf583>7}O<<:0qc:nf483>7}O<<:0qc:nf783>7}O<<:0qc:nf683>7}O<<:0qc:nf983>7}O<<:0qc:nf883>7}O<<:0qc:nf`83>7}O<<:0qc:nfc83>7}O<<:0qc:nfb83>7}O<<:0qc:nfe83>7}O<<:0qc:nfd83>7}O<<:0qc:nfg83>7}O<<:0qc:m0183>7}O<<:0qc:m0083>7}O<<:0qc:m0383>7}O<<:0qc:m0283>7}O<<:0qc:m0583>7}O<<:0qc:m0483>7}O<<:0qc:m0783>7}O<<:0qc:m0683>7}O<<:0qc:m0983>7}O<<:0qc:m0883>7}O<<:0qc:m0`83>7}O<<:0qc:m0c83>7}O<<:0qc:m0b83>7}O<<:0qc:m0e83>7}O<<:0qc:m0d83>7}O<<:0qc:m0g83>7}O<<:0qc:m1183>7}O<<:0qc:m1083>7}O<<:0qc:m1383>7}O<<:0qc:m1283>7}O<<:0qc:m1583>7}O<<:0qc:m1483>7}O<<:0qc:m1783>7}O<<:0qc:m1683>7}O<<:0qc:m1983>7}O<<:0qc:m1883>7}O<<:0qc:m1`83>7}O<<:0qc:m1c83>7}O<<:0qc:m1b83>7}O<<:0qc:m1e83>7}O<<:0qc:m1d83>7}O<<:0qc:m1g83>7}O<<:0qc:m2183>7}O<<:0qc:m2083>7}O<<:0qc:m2383>7}O<<:0qc:m2283>7}O<<:0qc:m2583>7}O<<:0qc:m2483>7}O<<:0qc:m2783>7}O<<:0qc:m2683>7}O<<:0qc:m2983>7}O<<:0qc:m2883>7}O<<:0qc:m2`83>7}O<<:0qc:m2c83>7}O<<:0qc:m2b83>7}O<<:0qc:m2e83>7}O<<:0qc:m2d83>7}O<<:0qc:m2g83>7}O<<:0qc:m3183>7}O<<:0qc:m3083>7}O<<:0qc:m3383>7}O<<:0qc:m3283>7}O<<:0qc:m3583>7}O<<:0qc:m3483>7}O<<:0qc:m3783>7}O<<:0qc:m3683>7}O<<:0qc:m3983>7}O<<:0qc:m3883>7}O<<:0qc:m3`83>7}O<<:0qc:m3c83>7}O<<:0qc:m3b83>7}O<<:0qc:m3e83>7}O<<:0qc:m3d83>7}O<<:0qc:m3g83>7}O<<:0qc:m4183>7}O<<:0qc:m4083>7}O<<:0qc:m4383>7}O<<:0qc:m4283>7}O<<:0qc:m4583>7}O<<:0qc:m4483>7}O<<:0qc:m4783>7}O<<:0qc:m4683>7}O<<:0qc:m4983>7}O<<:0qc:m4883>7}O<<:0qc:m4`83>7}O<<:0qc:m4c83>7}O<<:0qc:m4b83>7}O<<:0qc:m4e83>7}O<<:0qc:m4d83>7}O<<:0qc:m4g83>7}O<<:0qc:m5183>7}O<<:0qc:m5083>7}O<<:0qc:m5383>7}O<<:0qc:m5283>7}O<<:0qc:m5583>7}O<<:0qc:m5483>7}O<<:0qc:m5783>7}O<<:0qc:m5683>7}O<<:0qc:m5983>7}O<<:0qc:m5883>7}O<<:0qc:m5`83>7}O<<:0qc:m5c83>7}O<<:0qc:m5b83>6}O<<:0qc:m5e83>6}O<<:0qc:m5d83>6}O<<:0qc:m5g83>6}O<<:0qc:m6183>6}O<<:0qc:m6083>7}O<<:0qc:m6383>7}O<<:0qc:m6283>7}O<<:0qc:m6583>7}O<<:0qc:m6483>6}O<<:0qc:m6783>6}O<<:0qc:m6683>6}O<<:0qc:m6983>6}O<<:0qc:m6883>6}O<<:0qc:m6`83>7}O<<:0qc:m6c83>7}O<<:0qc:m6b83>7}O<<:0qc:m6e83>7}O<<:0qc:m6d83>7}O<<:0qc:m6g83>7}O<<:0qc:m7183>7}O<<:0qc:m7083>7}O<<:0qc:m7383>7}O<<:0qc:m7283>7}O<<:0qc:m7583>7}O<<:0qc:m7483>7}O<<:0qc:m7783>7}O<<:0qc:m7683>7}O<<:0qc:m7983>7}O<<:0qc:m7883>7}O<<:0qc:m7`83>7}O<<:0qc:m7c83>7}O<<:0qc:m7b83>7}O<<:0qc:m7e83>7}O<<:0qc:m7d83>7}O<<:0qc:m7g83>7}O<<:0qc:m8183>7}O<<:0qc:m8083>7}O<<:0qc:m8383>7}O<<:0qc:m8283>7}O<<:0qc:m8583>7}O<<:0qc:m8483>7}O<<:0qc:m8783>7}O<<:0qc:m8683>7}O<<:0qc:m8983>7}O<<:0qc:m8883>7}O<<:0qc:m8`83>7}O<<:0qc:m8c83>7}O<<:0qc:m8b83>7}O<<:0qc:m8e83>7}O<<:0qc:m8d83>7}O<<:0qc:m8g83>7}O<<:0qc:m9183>7}O<<:0qc:m9083>7}O<<:0qc:m9383>7}O<<:0qc:m9283>7}O<<:0qc:m9583>7}O<<:0qc:m9483>7}O<<:0qc:m9783>7}O<<:0qc:m9683>7}O<<:0qc:m9983>7}O<<:0qc:m9883>7}O<<:0qc:m9`83>7}O<<:0qc:m9c83>7}O<<:0qc:m9b83>7}O<<:0qc:m9e83>7}O<<:0qc:m9d83>7}O<<:0qc:m9g83>7}O<<:0qc:ma183>7}O<<:0qc:ma083>7}O<<:0qc:ma383>7}O<<:0qc:ma283>7}O<<:0qc:ma583>7}O<<:0qc:ma483>7}O<<:0qc:ma783>7}O<<:0qc:ma683>7}O<<:0qc:ma983>7}O<<:0qc:ma883>7}O<<:0qc:ma`83>7}O<<:0qc:mac83>7}O<<:0qc:mab83>7}O<<:0qc:mae83>7}O<<:0qc:mad83>7}O<<:0qc:mag83>7}O<<:0qc:mb183>7}O<<:0qc:mb083>7}O<<:0qc:mb383>7}O<<:0qc:mb283>7}O<<:0qc:mb583>7}O<<:0qc:mb483>7}O<<:0qc:mb783>7}O<<:0qc:mb683>7}O<<:0qc:mb983>7}O<<:0qc:mb883>7}O<<:0qc:mb`83>7}O<<:0qc:mbc83>7}O<<:0qc:mbb83>7}O<<:0qc:mbe83>7}O<<:0qc:mbd83>7}O<<:0qc:mbg83>7}O<<:0qc:mc183>7}O<<:0qc:mc083>7}O<<:0qc:mc383>7}O<<:0qc:mc283>7}O<<:0qc:mc583>7}O<<:0qc:mc483>7}O<<:0qc:mc783>7}O<<:0qc:mc683>7}O<<:0qc:mc983>7}O<<:0qc:mc883>7}O<<:0qc:mc`83>7}O<<:0qc:mcc83>7}O<<:0qc:mcb83>7}O<<:0qc:mce83>7}O<<:0qc:mcd83>7}O<<:0qc:mcg83>7}O<<:0qc:md183>7}O<<:0qc:md083>7}O<<:0qc:md383>7}O<<:0qc:md283>7}O<<:0qc:md583>7}O<<:0qc:md483>7}O<<:0qc:md783>7}O<<:0qc:md683>7}O<<:0qc:md983>7}O<<:0qc:md883>7}O<<:0qc:md`83>7}O<<:0qc:mdc83>7}O<<:0qc:mdb83>7}O<<:0qc:mde83>7}O<<:0qc:mdd83>7}O<<:0qc:mdg83>7}O<<:0qc:me183>7}O<<:0qc:me083>7}O<<:0qc:me383>7}O<<:0qc:me283>7}O<<:0qc:me583>7}O<<:0qc:me483>7}O<<:0qc:me783>7}O<<:0qc:me683>7}O<<:0qc:me983>7}O<<:0qc:me883>7}O<<:0qc:me`83>7}O<<:0qc:mec83>7}O<<:0qc:meb83>7}O<<:0qc:mee83>7}O<<:0qc:med83>7}O<<:0qc:meg83>7}O<<:0qc:mf183>7}O<<:0qc:mf083>7}O<<:0qc:mf383>7}O<<:0qc:mf283>7}O<<:0qc:mf583>7}O<<:0qc:mf483>7}O<<:0qc:mf783>7}O<<:0qc:mf683>7}O<<:0qc:mf983>7}O<<:0qc:mf883>7}O<<:0qc:mf`83>6}O<<:0qc:mfc83>6}O<<:0qc:mfb83>6}O<<:0qc:mfe83>6}O<<:0qc:mfd83>6}O<<:0qc:mfg83>6}O<<:0qc:l0183>6}O<<:0qc:l0083>6}O<<:0qc:l0383>6}O<<:0qc:l0283>6}O<<:0qc:l0583>6}O<<:0qc:l0483>6}O<<:0qc:l0783>6}O<<:0qc:l0683>6}O<<:0qc:l0983>6}O<<:0qc:l0883>6}O<<:0qc:l0`83>6}O<<:0qc:l0c83>6}O<<:0qc:l0b83>6}O<<:0qc:l0e83>6}O<<:0qc:l0d83>6}O<<:0qc:l0g83>6}O<<:0qc:l1183>6}O<<:0qc:l1083>6}O<<:0qc:l1383>6}O<<:0qc:l1283>6}O<<:0qc:l1583>6}O<<:0qc:l1483>6}O<<:0qc:l1783>6}O<<:0qc:l1683>6}O<<:0qc:l1983>6}O<<:0qc:l1883>6}O<<:0qc:l1`83>6}O<<:0qc:l1c83>6}O<<:0qc:l1b83>6}O<<:0qc:l1e83>6}O<<:0qc:l1d83>4}O<<:0qc:l1g83>4}O<<:0qc:l2183>4}O<<:0qc:l2083>4}O<<:0qc:l2383>4}O<<:0qc:l2283>4}O<<:0qc:l2583>4}O<<:0qc:l2483>4}O<<:0qc:l2783>4}O<<:0qc:l2683>4}O<<:0qc:l2983>4}O<<:0qc:l2883>4}O<<:0qc:l2`83>4}O<<:0qc:l2c83>4}O<<:0qc:l2b83>4}O<<:0qc:l2e83>4}O<<:0qc:l2d83>4}O<<:0qc:l2g83>4}O<<:0qc:l3183>4}O<<:0qc:l3083>4}O<<:0qc:l3383>4}O<<:0qc:l3283>4}O<<:0qc:l3583>4}O<<:0qc:l3483>4}O<<:0qc:l3783>4}O<<:0qc:l3683>4}O<<:0qc:l3983>4}O<<:0qc:l3883>4}O<<:0qc:l3`83>4}O<<:0qc:l3c83>4}O<<:0qc:l3b83>4}O<<:0qc:l3e83>4}O<<:0qc:l3d83>4}O<<:0qc:l3g83>4}O<<:0qc:l4183>4}O<<:0qc:l4083>4}O<<:0qc:l4383>4}O<<:0qc:l4283>4}O<<:0qc:l4583>4}O<<:0qc:l4483>4}O<<:0qc:l4783>4}O<<:0qc:l4683>4}O<<:0qc:l4983>4}O<<:0qc:l4883>4}O<<:0qc:l4`83>4}O<<:0qc:l4c83>4}O<<:0qc:l4b83>4}O<<:0qc:l4e83>4}O<<:0qc:l4d83>4}O<<:0qc:l4g83>4}O<<:0qc:l5183>4}O<<:0qc:l5083>4}O<<:0qc:l5383>4}O<<:0qc:l5283>4}O<<:0qc:l5583>4}O<<:0qc:l5483>4}O<<:0qc:l5783>4}O<<:0qc:l5683>4}O<<:0qc:l5983>4}O<<:0qc:l5883>4}O<<:0qc:l5`83>4}O<<:0qc:l5c83>4}O<<:0qc:l5b83>4}O<<:0qc:l5e83>4}O<<:0qc:l5d83>4}O<<:0qc:l5g83>4}O<<:0qc:l6183>4}O<<:0qc:l6083>4}O<<:0qc:l6383>4}O<<:0qc:l6283>4}O<<:0qc:l6583>4}O<<:0qc:l6483>4}O<<:0qc:l6783>4}O<<:0qc:l6683>4}O<<:0qc:l6983>4}O<<:0qc:l6883>4}O<<:0qc:l6`83>4}O<<:0qc:l6c83>4}O<<:0qc:l6b83>4}O<<:0qc:l6e83>4}O<<:0qc:l6d83>4}O<<:0qc:l6g83>4}O<<:0qc:l7183>4}O<<:0qc:l7083>4}O<<:0qc:l7383>4}O<<:0qc:l7283>4}O<<:0qc:l7583>4}O<<:0qc:l7483>4}O<<:0qc:l7783>4}O<<:0qc:l7683>4}O<<:0qc:l7983>4}O<<:0qc:l7883>4}O<<:0qc:l7`83>4}O<<:0qc:l7c83>4}O<<:0qc:l7b83>4}O<<:0qc:l7e83>4}O<<:0qc:l7d83>4}O<<:0qc:l7g83>4}O<<:0qc:l8183>4}O<<:0qc:l8083>4}O<<:0qc:l8383>4}O<<:0qc:l8283>4}O<<:0qc:l8583>4}O<<:0qc:l8483>4}O<<:0qc:l8783>4}O<<:0qc:l8683>4}O<<:0qc:l8983>4}O<<:0qc:l8883>4}O<<:0qc:l8`83>4}O<<:0qc:l8c83>4}O<<:0qc:l8b83>4}O<<:0qc:l8e83>4}O<<:0qc:l8d83>4}O<<:0qc:l8g83>4}O<<:0qc:l9183>4}O<<:0qc:l9083>4}O<<:0qc:l9383>4}O<<:0qc:l9283>4}O<<:0qc:l9583>4}O<<:0qc:l9483>4}O<<:0qc:l9783>4}O<<:0qc:l9683>4}O<<:0qc:l9983>4}O<<:0qc:l9883>4}O<<:0qc:l9`83>4}O<<:0qc:l9c83>4}O<<:0qc:l9b83>4}O<<:0qc:l9e83>4}O<<:0qc:l9d83>4}O<<:0qc:l9g83>4}O<<:0qc:la183>4}O<<:0qc:la083>4}O<<:0qc:la383>4}O<<:0qc:la283>4}O<<:0qc:la583>4}O<<:0qc:la483>4}O<<:0qc:la783>4}O<<:0qc:la683>4}O<<:0qc:la983>4}O<<:0qc:la883>4}O<<:0qc:la`83>4}O<<:0qc:lac83>4}O<<:0qc:lab83>4}O<<:0qc:lae83>4}O<<:0qc:lad83>4}O<<:0qc:lag83>4}O<<:0qc:lb183>4}O<<:0qc:lb083>4}O<<:0qc:lb383>4}O<<:0qc:lb283>4}O<<:0qc:lb583>4}O<<:0qc:lb483>4}O<<:0qc:lb783>4}O<<:0qc:lb683>4}O<<:0qc:lb983>4}O<<:0qc:lb883>4}O<<:0qc:lb`83>4}O<<:0qc:lbc83>4}O<<:0qc:lbb83>4}O<<:0qc:lbe83>4}O<<:0qc:lbd83>4}O<<:0qc:lbg83>4}O<<:0qc:lc183>4}O<<:0qc:lc083>4}O<<:0qc:lc383>4}O<<:0qc:lc283>4}O<<:0qc:lc583>4}O<<:0qc:lc483>4}O<<:0qc:lc783>4}O<<:0qc:lc683>4}O<<:0qc:lc983>4}O<<:0qc:lc883>4}O<<:0qc:lc`83>4}O<<:0qc:lcc83>4}O<<:0qc:lcb83>4}O<<:0qc:lce83>4}O<<:0qc:lcd83>4}O<<:0qc:lcg83>4}O<<:0qc:ld183>4}O<<:0qc:ld083>4}O<<:0qc:ld383>4}O<<:0qc:ld283>4}O<<:0qc:ld583>4}O<<:0qc:ld483>4}O<<:0qc:ld783>4}O<<:0qc:ld683>4}O<<:0qc:ld983>4}O<<:0qc:ld883>4}O<<:0qc:ld`83>4}O<<:0qc:ldc83>4}O<<:0qc:ldb83>4}O<<:0qc:lde83>4}O<<:0qc:ldd83>4}O<<:0qc:ldg83>4}O<<:0qc:le183>4}O<<:0qc:le083>4}O<<:0qc:le383>4}O<<:0qc:le283>4}O<<:0qc:le583>4}O<<:0qc:le483>4}O<<:0qc:le783>4}O<<:0qc:le683>4}O<<:0qc:le983>4}O<<:0qc:le883>4}O<<:0qc:le`83>4}O<<:0qc:lec83>4}O<<:0qc:leb83>4}O<<:0qc:lee83>4}O<<:0qc:led83>4}O<<:0qc:leg83>4}O<<:0qc:lf183>4}O<<:0qc:lf083>4}O<<:0qc:lf383>4}O<<:0qc:lf283>4}O<<:0qc:lf583>4}O<<:0qc:lf483>4}O<<:0qc:lf783>4}O<<:0qc:lf683>4}O<<:0qc:lf983>4}O<<:0qc:lf883>4}O<<:0qc:lf`83>4}O<<:0qc:lfc83>4}O<<:0qc:lfb83>4}O<<:0qc:lfe83>4}O<<:0qc:lfd83>4}O<<:0qc:lfg83>4}O<<:0qc:k0183>4}O<<:0qc:k0083>4}O<<:0qc:k0383>4}O<<:0qc:k0283>4}O<<:0qc:k0583>4}O<<:0qc:k0483>4}O<<:0qc:k0783>4}O<<:0qc:k0683>4}O<<:0qc:k0983>4}O<<:0qc:k0883>4}O<<:0qc:k0`83>4}O<<:0qc:k0c83>4}O<<:0qc:k0b83>4}O<<:0qc:k0e83>4}O<<:0qc:k0d83>4}O<<:0qc:k0g83>4}O<<:0qc:k1183>4}O<<:0qc:k1083>4}O<<:0qc:k1383>4}O<<:0qc:k1283>4}O<<:0qc:k1583>4}O<<:0qc:k1483>4}O<<:0qc:k1783>4}O<<:0qc:k1683>4}O<<:0qc:k1983>4}O<<:0qc:k1883>4}O<<:0qc:k1`83>4}O<<:0qc:k1c83>4}O<<:0qc:k1b83>4}O<<:0qc:k1e83>4}O<<:0qc:k1d83>4}O<<:0qc:k1g83>4}O<<:0qc:k2183>4}O<<:0qc:k2083>4}O<<:0qc:k2383>4}O<<:0qc:k2283>4}O<<:0qc:k2583>4}O<<:0qc:k2483>4}O<<:0qc:k2783>4}O<<:0qc:k2683>4}O<<:0qc:k2983>4}O<<:0qc:k2883>4}O<<:0qc:k2`83>4}O<<:0qc:k2c83>4}O<<:0qc:k2b83>4}O<<:0qc:k2e83>4}O<<:0qc:k2d83>4}O<<:0qc:k2g83>4}O<<:0qc:k3183>4}O<<:0qc:k3083>4}O<<:0qc:k3383>4}O<<:0qc:k3283>4}O<<:0qc:k3583>4}O<<:0qc:k3483>4}O<<:0qc:k3783>4}O<<:0qc:k3683>4}O<<:0qc:k3983>4}O<<:0qc:k3883>4}O<<:0qc:k3`83>4}O<<:0qc:k3c83>4}O<<:0qc:k3b83>4}O<<:0qc:k3e83>4}O<<:0qc:k3d83>4}O<<:0qc:k3g83>4}O<<:0qc:k4183>4}O<<:0qc:k4083>4}O<<:0qc:k4383>4}O<<:0qc:k4283>4}O<<:0qc:k4583>4}O<<:0qc:k4483>4}O<<:0qc:k4783>4}O<<:0qc:k4683>4}O<<:0qc:k4983>4}O<<:0qc:k4883>4}O<<:0qc:k4`83>4}O<<:0qc:k4c83>4}O<<:0qc:k4b83>4}O<<:0qc:k4e83>4}O<<:0qc:k4d83>4}O<<:0qc:k4g83>4}O<<:0qc:k5183>4}O<<:0qc:k5083>4}O<<:0qc:k5383>4}O<<:0qc:k5283>4}O<<:0qc:k5583>4}O<<:0qc:k5483>4}O<<:0qc:k5783>4}O<<:0qc:k5683>4}O<<:0qc:k5983>4}O<<:0qc:k5883>4}O<<:0qc:k5`83>4}O<<:0qc:k5c83>4}O<<:0qc:k5b83>4}O<<:0qc:k5e83>4}O<<:0qc:k5d83>4}O<<:0qc:k5g83>4}O<<:0qc:k6183>4}O<<:0qc:k6083>4}O<<:0qc:k6383>4}O<<:0qc:k6283>4}O<<:0qc:k6583>4}O<<:0qc:k6483>4}O<<:0qc:k6783>4}O<<:0qc:k6683>4}O<<:0qc:k6983>4}O<<:0qc:k6883>4}O<<:0qc:k6`83>4}O<<:0qc:k6c83>4}O<<:0qc:k6b83>4}O<<:0qc:k6e83>4}O<<:0qc:k6d83>4}O<<:0qc:k6g83>4}O<<:0qc:k7183>4}O<<:0qc:k7083>4}O<<:0qc:k7383>4}O<<:0qc:k7283>4}O<<:0qc:k7583>4}O<<:0qc:k7483>4}O<<:0qc:k7783>4}O<<:0qc:k7683>4}O<<:0qc:k7983>4}O<<:0qc:k7883>4}O<<:0qc:k7`83>4}O<<:0qc:k7c83>4}O<<:0qc:k7b83>4}O<<:0qc:k7e83>4}O<<:0qc:k7d83>4}O<<:0qc:k7g83>4}O<<:0qc:k8183>4}O<<:0qc:k8083>4}O<<:0qc:k8383>4}O<<:0qc:k8283>4}O<<:0qc:k8583>4}O<<:0qc:k8483>4}O<<:0qc:k8783>4}O<<:0qc:k8683>4}O<<:0qc:k8983>4}O<<:0qc:k8883>4}O<<:0qc:k8`83>4}O<<:0qc:k8c83>4}O<<:0qc:k8b83>4}O<<:0qc:k8e83>4}O<<:0qc:k8d83>4}O<<:0qc:k8g83>4}O<<:0qc:k9183>4}O<<:0qc:k9083>4}O<<:0qc:k9383>4}O<<:0qc:k9283>4}O<<:0qc:k9583>4}O<<:0qc:k9483>4}O<<:0qc?<6`83>4}O<<:0qc?<6c83>4}O<<:0qc?<6b83>4}O<<:0qc?<6e83>4}O<<:0qc?<6d83>4}O<<:0qc?<6g83>4}O<<:0qc?<7183>4}O<<:0qc?<7083>4}O<<:0qc?<7383>4}O<<:0qc?<7283>4}O<<:0qc?<7583>4}O<<:0qc?<7483>4}O<<:0qc?<7783>4}O<<:0qc?<7683>4}O<<:0qc?<7983>4}O<<:0qc?<7883>4}O<<:0qc?<7`83>4}O<<:0qc?<7c83>4}O<<:0qc?<7b83>4}O<<:0qc?<7e83>4}O<<:0qc?<7d83>4}O<<:0qc?<7g83>4}O<<:0qc?<8183>4}O<<:0qc?<8083>4}O<<:0qc?<8383>4}O<<:0qc?<8283>4}O<<:0qc?<8583>4}O<<:0qc?<8483>4}O<<:0qc?<8783>4}O<<:0qc?<8683>4}O<<:0qc?<8983>4}O<<:0qc?<8883>4}O<<:0qc?<8`83>4}O<<:0qc?<8c83>4}O<<:0qc?<8b83>4}O<<:0qc?<8e83>4}O<<:0qc?<8d83>4}O<<:0qc?<8g83>4}O<<:0qc?<9183>4}O<<:0qc?<9083>4}O<<:0qc?<9383>4}O<<:0qc?<9283>4}O<<:0qc?<9583>4}O<<:0qc?<9483>4}O<<:0qc?<9783>4}O<<:0qc?<9683>4}O<<:0qc?<9983>4}O<<:0qc?<9883>4}O<<:0qc?<9`83>4}O<<:0qc?<9c83>4}O<<:0qc?jd283>4}O<<:0qc?jd583>4}O<<:0qc?jd483>4}O<<:0qc?jd783>4}O<<:0qc?jd683>4}O<<:0qc?jd983>4}O<<:0qc?jd883>4}O<<:0qc?jd`83>4}O<<:0qc?jdc83>4}O<<:0qc?jdb83>4}O<<:0qc?jde83>4}O<<:0qc?jdd83>4}O<<:0qc?jdg83>4}O<<:0qc?je183>4}O<<:0qc?je083>4}O<<:0qc?je383>4}O<<:0qc?je283>4}O<<:0qc?je583>4}O<<:0qc?je483>4}O<<:0qc?je783>4}O<<:0qc?je683>4}O<<:0qc?je983>4}O<<:0qc?je883>4}O<<:0qc?je`83>4}O<<:0qc?jec83>4}O<<:0qc?jeb83>4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc<=bg83>4}O<<:0qc<=c183>4}O<<:0qc<=c083>4}O<<:0qc<=c383>4}O<<:0qc<=c283>4}O<<:0qc<=c583>4}O<<:0qc<=c483>4}O<<:0qc<=c783>4}O<<:0qc<=c683>4}O<<:0qc<=c983>4}O<<:0qc<=c883>4}O<<:0qc<=c`83>4}O<<:0qc<=cc83>4}O<<:0qc<=cb83>4}O<<:0qc<=ce83>4}O<<:0qc<=cd83>4}O<<:0qc<=cg83>4}O<<:0qc<=d183>4}O<<:0qc<=d083>4}O<<:0qc<=d383>4}O<<:0qc<=d283>4}O<<:0qc<=d583>4}O<<:0qc<=d483>4}O<<:0qc<=d783>4}O<<:0qc<=d683>4}O<<:0qc<=d983>4}O<<:0qc<;b483>4}O<<:0qc<;b783>4}O<<:0qc<;b683>4}O<<:0qc<;b983>4}O<<:0qc<;b883>4}O<<:0qc<;b`83>4}O<<:0qc<;bc83>4}O<<:0qc<;bb83>4}O<<:0qc<;be83>4}O<<:0qc<;bd83>4}O<<:0qc<;bg83>4}O<<:0qc<;c183>4}O<<:0qc<;c083>4}O<<:0qc<;c383>4}O<<:0qc<;c283>4}O<<:0qc<;c583>4}O<<:0qc<;c483>4}O<<:0qc<;c783>4}O<<:0qc<;c683>4}O<<:0qc<;c983>4}O<<:0qc<;c883>4}O<<:0qc<;c`83>4}O<<:0qc<;cc83>4}O<<:0qc<;cb83>4}O<<:0qc<;ce83>4}O<<:0qc<;cd83>4}O<<:0qc<9ac83>4}O<<:0qc<9ab83>4}O<<:0qc<9ae83>4}O<<:0qc<9ad83>4}O<<:0qc<9ag83>4}O<<:0qc<9b183>4}O<<:0qc<9b083>4}O<<:0qc<9b383>4}O<<:0qc<9b283>4}O<<:0qc<9b583>4}O<<:0qc<9b483>4}O<<:0qc<9b783>4}O<<:0qc<9b683>4}O<<:0qc<9b983>4}O<<:0qc<9b883>4}O<<:0qc<9b`83>4}O<<:0qc<9bc83>4}O<<:0qc<9bb83>4}O<<:0qc<9be83>4}O<<:0qc<9bd83>4}O<<:0qc<9bg83>4}O<<:0qc<9c183>4}O<<:0qc<9c083>4}O<<:0qc<9c383>4}O<<:0qc<9c283>4}O<<:0qc<9c583>4}O<<:0qc<7a083>4}O<<:0qc<7a383>4}O<<:0qc<7a283>4}O<<:0qc<7a583>4}O<<:0qc<7a483>4}O<<:0qc<7a783>4}O<<:0qc<7a683>4}O<<:0qc<7a983>4}O<<:0qc<7a883>4}O<<:0qc<7a`83>4}O<<:0qc<7ac83>4}O<<:0qc<7ab83>4}O<<:0qc<7ae83>4}O<<:0qc<7ad83>4}O<<:0qc<7ag83>4}O<<:0qc<7b183>4}O<<:0qc<7b083>4}O<<:0qc<7b383>4}O<<:0qc<7b283>4}O<<:0qc<7b583>4}O<<:0qc<7b483>4}O<<:0qc<7b783>4}O<<:0qc<7b683>4}O<<:0qc<7b983>4}O<<:0qc<7b883>4}O<<:0qc<7b`83>4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc4}O<<:0qc=>1e83>4}O<<:0qc=>1d83>4}O<<:0qc=>1g83>4}O<<:0qc=>2183>4}O<<:0qc=>2083>4}O<<:0qc=>2383>4}O<<:0qc=>2283>4}O<<:0qc=>2583>4}O<<:0qc=>2483>4}O<<:0qc=>2783>4}O<<:0qc=>2683>4}O<<:0qc=>2983>4}O<<:0qc=>2883>4}O<<:0qc=>2`83>4}O<<:0qc=>2c83>4}O<<:0qc=>2b83>4}O<<:0qc=>2e83>4}O<<:0qc=>2d83>4}O<<:0qc=>2g83>4}O<<:0qc=>3183>4}O<<:0qc=:5683>4}O<<:0qc=:5983>4}O<<:0qc=:5883>4}O<<:0qc=:5`83>4}O<<:0qc=:5c83>4}O<<:0qc=:5b83>4}O<<:0qc=:5e83>4}O<<:0qc=:5d83>4}O<<:0qc=:5g83>4}O<<:0qc=:6183>4}O<<:0qc=:6083>4}O<<:0qc=:6383>4}O<<:0qc=:6283>4}O<<:0qc=:6583>4}O<<:0qc=:6483>4}O<<:0qc=:6783>4}O<<:0qc=:6683>4}O<<:0qc=:6983>4}O<<:0qc=:6883>4}O<<:0qc=:6`83>4}O<<:0qpsr@AAx10`22;io:hm:a|BCF~6zHIZpqMN \ No newline at end of file diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec3.v b/fpga/usrp3/top/x300/coregen_dsp/hbdec3.v new file mode 100644 index 000000000..cd6e39d9f --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec3.v @@ -0,0 +1,20014 @@ +//////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. +//////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor: Xilinx +// \ \ \/ Version: P.49d +// \ \ Application: netgen +// / / Filename: hbdec3.v +// /___/ /\ Timestamp: Wed Dec 4 13:32:32 2013 +// \ \ / \ +// \___\/\___\ +// +// Command : -intstyle ise -w -sim -ofmt verilog ./tmp/_cg/hbdec3.ngc ./tmp/_cg/hbdec3.v +// Device : 7k325tffg900-2 +// Input file : ./tmp/_cg/hbdec3.ngc +// Output file : ./tmp/_cg/hbdec3.v +// # of Modules : 1 +// Design Name : hbdec3 +// Xilinx : /opt/Xilinx/14.4/ISE_DS/ISE/ +// +// Purpose: +// This verilog netlist is a verification model and uses simulation +// primitives which may not represent the true implementation of the +// device, however the netlist is functionally correct and should not +// be modified. This file cannot be synthesized and should only be used +// with supported simulation tools. +// +// Reference: +// Command Line Tools User Guide, Chapter 23 and Synthesis and Simulation Design Guide, Chapter 6 +// +//////////////////////////////////////////////////////////////////////////////// + +`timescale 1 ns/1 ps + +module hbdec3 ( + sclr, ce, rfd, rdy, data_valid, coef_we, nd, clk, coef_ld, dout_1, dout_2, din_1, din_2, coef_din +)/* synthesis syn_black_box syn_noprune=1 */; + input sclr; + input ce; + output rfd; + output rdy; + output data_valid; + input coef_we; + input nd; + input clk; + input coef_ld; + output [47 : 0] dout_1; + output [47 : 0] dout_2; + input [23 : 0] din_1; + input [23 : 0] din_2; + input [17 : 0] coef_din; + + // synthesis translate_off + + wire NlwRenamedSig_OI_rfd; + wire \blk00000003/sig00000664 ; + wire \blk00000003/sig00000663 ; + wire \blk00000003/sig00000662 ; + wire \blk00000003/sig00000661 ; + wire \blk00000003/sig00000660 ; + wire \blk00000003/sig0000065f ; + wire \blk00000003/sig0000065e ; + wire \blk00000003/sig0000065d ; + wire \blk00000003/sig0000065c ; + wire \blk00000003/sig0000065b ; + wire \blk00000003/sig0000065a ; + wire \blk00000003/sig00000659 ; + wire \blk00000003/sig00000658 ; + wire \blk00000003/sig00000657 ; + wire \blk00000003/sig00000656 ; + wire \blk00000003/sig00000655 ; + wire \blk00000003/sig00000654 ; + wire \blk00000003/sig00000653 ; + wire \blk00000003/sig00000652 ; + wire \blk00000003/sig00000651 ; + wire \blk00000003/sig00000650 ; + wire \blk00000003/sig0000064f ; + wire \blk00000003/sig0000064e ; + wire \blk00000003/sig0000064d ; + wire \blk00000003/sig0000064c ; + wire \blk00000003/sig0000064b ; + wire \blk00000003/sig0000064a ; + wire \blk00000003/sig00000649 ; + wire \blk00000003/sig00000648 ; + wire \blk00000003/sig00000647 ; + wire \blk00000003/sig00000646 ; + wire \blk00000003/sig00000645 ; + wire \blk00000003/sig00000644 ; + wire \blk00000003/sig00000643 ; + wire \blk00000003/sig00000642 ; + wire \blk00000003/sig00000641 ; + wire \blk00000003/sig00000640 ; + wire \blk00000003/sig0000063f ; + wire \blk00000003/sig0000063e ; + wire \blk00000003/sig0000063d ; + wire \blk00000003/sig0000063c ; + wire \blk00000003/sig0000063b ; + wire \blk00000003/sig0000063a ; + wire \blk00000003/sig00000639 ; + wire \blk00000003/sig00000638 ; + wire \blk00000003/sig00000637 ; + wire \blk00000003/sig00000636 ; + wire \blk00000003/sig00000635 ; + wire \blk00000003/sig00000634 ; + wire \blk00000003/sig00000633 ; + wire \blk00000003/sig00000632 ; + wire \blk00000003/sig00000631 ; + wire \blk00000003/sig00000630 ; + wire \blk00000003/sig0000062f ; + wire \blk00000003/sig0000062e ; + wire \blk00000003/sig0000062d ; + wire \blk00000003/sig0000062c ; + wire \blk00000003/sig0000062b ; + wire \blk00000003/sig0000062a ; + wire \blk00000003/sig00000629 ; + wire \blk00000003/sig00000628 ; + wire \blk00000003/sig00000627 ; + wire \blk00000003/sig00000626 ; + wire \blk00000003/sig00000625 ; + wire \blk00000003/sig00000624 ; + wire \blk00000003/sig00000623 ; + wire \blk00000003/sig00000622 ; + wire \blk00000003/sig00000621 ; + wire \blk00000003/sig00000620 ; + wire \blk00000003/sig0000061f ; + wire \blk00000003/sig0000061e ; + wire \blk00000003/sig0000061d ; + wire \blk00000003/sig0000061c ; + wire \blk00000003/sig0000061b ; + wire \blk00000003/sig0000061a ; + wire \blk00000003/sig00000619 ; + wire \blk00000003/sig00000618 ; + wire \blk00000003/sig00000617 ; + wire \blk00000003/sig00000616 ; + wire \blk00000003/sig00000615 ; + wire \blk00000003/sig00000614 ; + wire \blk00000003/sig00000613 ; + wire \blk00000003/sig00000612 ; + wire \blk00000003/sig00000611 ; + wire \blk00000003/sig00000610 ; + wire \blk00000003/sig0000060f ; + wire \blk00000003/sig0000060e ; + wire \blk00000003/sig0000060d ; + wire \blk00000003/sig0000060c ; + wire \blk00000003/sig0000060b ; + wire \blk00000003/sig0000060a ; + wire \blk00000003/sig00000609 ; + wire \blk00000003/sig00000608 ; + wire \blk00000003/sig00000607 ; + wire \blk00000003/sig00000606 ; + wire \blk00000003/sig00000605 ; + wire \blk00000003/sig00000604 ; + wire \blk00000003/sig00000603 ; + wire \blk00000003/sig00000602 ; + wire \blk00000003/sig00000601 ; + wire \blk00000003/sig00000600 ; + wire \blk00000003/sig000005ff ; + wire \blk00000003/sig000005fe ; + wire \blk00000003/sig000005fd ; + wire \blk00000003/sig000005fc ; + wire \blk00000003/sig000005fb ; + wire \blk00000003/sig000005fa ; + wire \blk00000003/sig000005f9 ; + wire \blk00000003/sig000005f8 ; + wire \blk00000003/sig000005f7 ; + wire \blk00000003/sig000005f6 ; + wire \blk00000003/sig000005f5 ; + wire \blk00000003/sig000005f4 ; + wire \blk00000003/sig000005f3 ; + wire \blk00000003/sig000005f2 ; + wire \blk00000003/sig000005f1 ; + wire \blk00000003/sig000005f0 ; + wire \blk00000003/sig000005ef ; + wire \blk00000003/sig000005ee ; + wire \blk00000003/sig000005ed ; + wire \blk00000003/sig000005ec ; + wire \blk00000003/sig000005eb ; + wire \blk00000003/sig000005ea ; + wire \blk00000003/sig000005e9 ; + wire \blk00000003/sig000005e8 ; + wire \blk00000003/sig000005e7 ; + wire \blk00000003/sig000005e6 ; + wire \blk00000003/sig000005e5 ; + wire \blk00000003/sig000005e4 ; + wire \blk00000003/sig000005e3 ; + wire \blk00000003/sig000005e2 ; + wire \blk00000003/sig000005e1 ; + wire \blk00000003/sig000005e0 ; + wire \blk00000003/sig000005df ; + wire \blk00000003/sig000005de ; + wire \blk00000003/sig000005dd ; + wire \blk00000003/sig000005dc ; + wire \blk00000003/sig000005db ; + wire \blk00000003/sig000005da ; + wire \blk00000003/sig000005d9 ; + wire \blk00000003/sig000005d8 ; + wire \blk00000003/sig000005d7 ; + wire \blk00000003/sig000005d6 ; + wire \blk00000003/sig000005d5 ; + wire \blk00000003/sig000005d4 ; + wire \blk00000003/sig000005d3 ; + wire \blk00000003/sig000005d2 ; + wire \blk00000003/sig000005d1 ; + wire \blk00000003/sig000005d0 ; + wire \blk00000003/sig000005cf ; + wire \blk00000003/sig000005ce ; + wire \blk00000003/sig000005cd ; + wire \blk00000003/sig000005cc ; + wire \blk00000003/sig000005cb ; + wire \blk00000003/sig000005ca ; + wire \blk00000003/sig000005c9 ; + wire \blk00000003/sig000005c8 ; + wire \blk00000003/sig000005c7 ; + wire \blk00000003/sig000005c6 ; + wire \blk00000003/sig000005c5 ; + wire \blk00000003/sig000005c4 ; + wire \blk00000003/sig000005c3 ; + wire \blk00000003/sig000005c2 ; + wire \blk00000003/sig000005c1 ; + wire \blk00000003/sig000005c0 ; + wire \blk00000003/sig000005bf ; + wire \blk00000003/sig000005be ; + wire \blk00000003/sig000005bd ; + wire \blk00000003/sig000005bc ; + wire \blk00000003/sig000005bb ; + wire \blk00000003/sig000005ba ; + wire \blk00000003/sig000005b9 ; + wire \blk00000003/sig000005b8 ; + wire \blk00000003/sig000005b7 ; + wire \blk00000003/sig000005b6 ; + wire \blk00000003/sig000005b5 ; + wire \blk00000003/sig000005b4 ; + wire \blk00000003/sig000005b3 ; + wire \blk00000003/sig000005b2 ; + wire \blk00000003/sig000005b1 ; + wire \blk00000003/sig000005b0 ; + wire \blk00000003/sig000005af ; + wire \blk00000003/sig000005ae ; + wire \blk00000003/sig000005ad ; + wire \blk00000003/sig000005ac ; + wire \blk00000003/sig000005ab ; + wire \blk00000003/sig000005aa ; + wire \blk00000003/sig000005a9 ; + wire \blk00000003/sig000005a8 ; + wire \blk00000003/sig000005a7 ; + wire \blk00000003/sig000005a6 ; + wire \blk00000003/sig000005a5 ; + wire \blk00000003/sig000005a4 ; + wire \blk00000003/sig000005a3 ; + wire \blk00000003/sig000005a2 ; + wire \blk00000003/sig000005a1 ; + wire \blk00000003/sig000005a0 ; + wire \blk00000003/sig0000059f ; + wire \blk00000003/sig0000059e ; + wire \blk00000003/sig0000059d ; + wire \blk00000003/sig0000059c ; + wire \blk00000003/sig0000059b ; + wire \blk00000003/sig0000059a ; + wire \blk00000003/sig00000599 ; + wire \blk00000003/sig00000598 ; + wire \blk00000003/sig00000597 ; + wire \blk00000003/sig00000596 ; + wire \blk00000003/sig00000595 ; + wire \blk00000003/sig00000594 ; + wire \blk00000003/sig00000593 ; + wire \blk00000003/sig00000592 ; + wire \blk00000003/sig00000591 ; + wire \blk00000003/sig00000590 ; + wire \blk00000003/sig0000058f ; + wire \blk00000003/sig0000058e ; + wire \blk00000003/sig0000058d ; + wire \blk00000003/sig0000058c ; + wire \blk00000003/sig0000058b ; + wire \blk00000003/sig0000058a ; + wire \blk00000003/sig00000589 ; + wire \blk00000003/sig00000588 ; + wire \blk00000003/sig00000587 ; + wire \blk00000003/sig00000586 ; + wire \blk00000003/sig00000585 ; + wire \blk00000003/sig00000584 ; + wire \blk00000003/sig00000583 ; + wire \blk00000003/sig00000582 ; + wire \blk00000003/sig00000581 ; + wire \blk00000003/sig00000580 ; + wire \blk00000003/sig0000057f ; + wire \blk00000003/sig0000057e ; + wire \blk00000003/sig0000057d ; + wire \blk00000003/sig0000057c ; + wire \blk00000003/sig0000057b ; + wire \blk00000003/sig0000057a ; + wire \blk00000003/sig00000579 ; + wire \blk00000003/sig00000578 ; + wire \blk00000003/sig00000577 ; + wire \blk00000003/sig00000576 ; + wire \blk00000003/sig00000575 ; + wire \blk00000003/sig00000574 ; + wire \blk00000003/sig00000573 ; + wire \blk00000003/sig00000572 ; + wire \blk00000003/sig00000571 ; + wire \blk00000003/sig00000570 ; + wire \blk00000003/sig0000056f ; + wire \blk00000003/sig0000056e ; + wire \blk00000003/sig0000056d ; + wire \blk00000003/sig0000056c ; + wire \blk00000003/sig0000056b ; + wire \blk00000003/sig0000056a ; + wire \blk00000003/sig00000569 ; + wire \blk00000003/sig00000568 ; + wire \blk00000003/sig00000567 ; + wire \blk00000003/sig00000566 ; + wire \blk00000003/sig00000565 ; + wire \blk00000003/sig00000564 ; + wire \blk00000003/sig00000563 ; + wire \blk00000003/sig00000562 ; + wire \blk00000003/sig00000561 ; + wire \blk00000003/sig00000560 ; + wire \blk00000003/sig0000055f ; + wire \blk00000003/sig0000055e ; + wire \blk00000003/sig0000055d ; + wire \blk00000003/sig0000055c ; + wire \blk00000003/sig0000055b ; + wire \blk00000003/sig0000055a ; + wire \blk00000003/sig00000559 ; + wire \blk00000003/sig00000558 ; + wire \blk00000003/sig00000557 ; + wire \blk00000003/sig00000556 ; + wire \blk00000003/sig00000555 ; + wire \blk00000003/sig00000554 ; + wire \blk00000003/sig00000553 ; + wire \blk00000003/sig00000552 ; + wire \blk00000003/sig00000551 ; + wire \blk00000003/sig00000550 ; + wire \blk00000003/sig0000054f ; + wire \blk00000003/sig0000054e ; + wire \blk00000003/sig0000054d ; + wire \blk00000003/sig0000054c ; + wire \blk00000003/sig0000054b ; + wire \blk00000003/sig0000054a ; + wire \blk00000003/sig00000549 ; + wire \blk00000003/sig00000548 ; + wire \blk00000003/sig00000547 ; + wire \blk00000003/sig00000546 ; + wire \blk00000003/sig00000545 ; + wire \blk00000003/sig00000544 ; + wire \blk00000003/sig00000543 ; + wire \blk00000003/sig00000542 ; + wire \blk00000003/sig00000541 ; + wire \blk00000003/sig00000540 ; + wire \blk00000003/sig0000053f ; + wire \blk00000003/sig0000053e ; + wire \blk00000003/sig0000053d ; + wire \blk00000003/sig0000053c ; + wire \blk00000003/sig0000053b ; + wire \blk00000003/sig0000053a ; + wire \blk00000003/sig00000539 ; + wire \blk00000003/sig00000538 ; + wire \blk00000003/sig00000537 ; + wire \blk00000003/sig00000536 ; + wire \blk00000003/sig00000535 ; + wire \blk00000003/sig00000534 ; + wire \blk00000003/sig00000533 ; + wire \blk00000003/sig00000532 ; + wire \blk00000003/sig00000531 ; + wire \blk00000003/sig00000530 ; + wire \blk00000003/sig0000052f ; + wire \blk00000003/sig0000052e ; + wire \blk00000003/sig0000052d ; + wire \blk00000003/sig0000052c ; + wire \blk00000003/sig0000052b ; + wire \blk00000003/sig0000052a ; + wire \blk00000003/sig00000529 ; + wire \blk00000003/sig00000528 ; + wire \blk00000003/sig00000527 ; + wire \blk00000003/sig00000526 ; + wire \blk00000003/sig00000525 ; + wire \blk00000003/sig00000524 ; + wire \blk00000003/sig00000523 ; + wire \blk00000003/sig00000522 ; + wire \blk00000003/sig00000521 ; + wire \blk00000003/sig00000520 ; + wire \blk00000003/sig0000051f ; + wire \blk00000003/sig0000051e ; + wire \blk00000003/sig0000051d ; + wire \blk00000003/sig0000051c ; + wire \blk00000003/sig0000051b ; + wire \blk00000003/sig0000051a ; + wire \blk00000003/sig00000519 ; + wire \blk00000003/sig00000518 ; + wire \blk00000003/sig00000517 ; + wire \blk00000003/sig00000516 ; + wire \blk00000003/sig00000515 ; + wire \blk00000003/sig00000514 ; + wire \blk00000003/sig00000513 ; + wire \blk00000003/sig00000512 ; + wire \blk00000003/sig00000511 ; + wire \blk00000003/sig00000510 ; + wire \blk00000003/sig0000050f ; + wire \blk00000003/sig0000050e ; + wire \blk00000003/sig0000050d ; + wire \blk00000003/sig0000050c ; + wire \blk00000003/sig0000050b ; + wire \blk00000003/sig0000050a ; + wire \blk00000003/sig00000509 ; + wire \blk00000003/sig00000508 ; + wire \blk00000003/sig00000507 ; + wire \blk00000003/sig00000506 ; + wire \blk00000003/sig00000505 ; + wire \blk00000003/sig00000504 ; + wire \blk00000003/sig00000503 ; + wire \blk00000003/sig00000502 ; + wire \blk00000003/sig00000501 ; + wire \blk00000003/sig00000500 ; + wire \blk00000003/sig000004ff ; + wire \blk00000003/sig000004fe ; + wire \blk00000003/sig000004fd ; + wire \blk00000003/sig000004fc ; + wire \blk00000003/sig000004fb ; + wire \blk00000003/sig000004fa ; + wire \blk00000003/sig000004f9 ; + wire \blk00000003/sig000004f8 ; + wire \blk00000003/sig000004f7 ; + wire \blk00000003/sig000004f6 ; + wire \blk00000003/sig000004f5 ; + wire \blk00000003/sig000004f4 ; + wire \blk00000003/sig000004f3 ; + wire \blk00000003/sig000004f2 ; + wire \blk00000003/sig000004f1 ; + wire \blk00000003/sig000004f0 ; + wire \blk00000003/sig000004ef ; + wire \blk00000003/sig000004ee ; + wire \blk00000003/sig000004ed ; + wire \blk00000003/sig000004ec ; + wire \blk00000003/sig000004eb ; + wire \blk00000003/sig000004ea ; + wire \blk00000003/sig000004e9 ; + wire \blk00000003/sig000004e8 ; + wire \blk00000003/sig000004e7 ; + wire \blk00000003/sig000004e6 ; + wire \blk00000003/sig000004e5 ; + wire \blk00000003/sig000004e4 ; + wire \blk00000003/sig000004e3 ; + wire \blk00000003/sig000004e2 ; + wire \blk00000003/sig000004e1 ; + wire \blk00000003/sig000004e0 ; + wire \blk00000003/sig000004df ; + wire \blk00000003/sig000004de ; + wire \blk00000003/sig000004dd ; + wire \blk00000003/sig000004dc ; + wire \blk00000003/sig000004db ; + wire \blk00000003/sig000004da ; + wire \blk00000003/sig000004d9 ; + wire \blk00000003/sig000004d8 ; + wire \blk00000003/sig000004d7 ; + wire \blk00000003/sig000004d6 ; + wire \blk00000003/sig000004d5 ; + wire \blk00000003/sig000004d4 ; + wire \blk00000003/sig000004d3 ; + wire \blk00000003/sig000004d2 ; + wire \blk00000003/sig000004d1 ; + wire \blk00000003/sig000004d0 ; + wire \blk00000003/sig000004cf ; + wire \blk00000003/sig000004ce ; + wire \blk00000003/sig000004cd ; + wire \blk00000003/sig000004cc ; + wire \blk00000003/sig000004cb ; + wire \blk00000003/sig000004ca ; + wire \blk00000003/sig000004c9 ; + wire \blk00000003/sig000004c8 ; + wire \blk00000003/sig000004c7 ; + wire \blk00000003/sig000004c6 ; + wire \blk00000003/sig000004c5 ; + wire \blk00000003/sig000004c4 ; + wire \blk00000003/sig000004c3 ; + wire \blk00000003/sig000004c2 ; + wire \blk00000003/sig000004c1 ; + wire \blk00000003/sig000004c0 ; + wire \blk00000003/sig000004bf ; + wire \blk00000003/sig000004be ; + wire \blk00000003/sig000004bd ; + wire \blk00000003/sig000004bc ; + wire \blk00000003/sig000004bb ; + wire \blk00000003/sig000004ba ; + wire \blk00000003/sig000004b9 ; + wire \blk00000003/sig000004b8 ; + wire \blk00000003/sig000004b7 ; + wire \blk00000003/sig000004b6 ; + wire \blk00000003/sig000004b5 ; + wire \blk00000003/sig000004b4 ; + wire \blk00000003/sig000004b3 ; + wire \blk00000003/sig000004b2 ; + wire \blk00000003/sig000004b1 ; + wire \blk00000003/sig000004b0 ; + wire \blk00000003/sig000004af ; + wire \blk00000003/sig000004ae ; + wire \blk00000003/sig000004ad ; + wire \blk00000003/sig000004ac ; + wire \blk00000003/sig000004ab ; + wire \blk00000003/sig000004aa ; + wire \blk00000003/sig000004a9 ; + wire \blk00000003/sig000004a8 ; + wire \blk00000003/sig000004a7 ; + wire \blk00000003/sig000004a6 ; + wire \blk00000003/sig000004a5 ; + wire \blk00000003/sig000004a4 ; + wire \blk00000003/sig000004a3 ; + wire \blk00000003/sig000004a2 ; + wire \blk00000003/sig000004a1 ; + wire \blk00000003/sig000004a0 ; + wire \blk00000003/sig0000049f ; + wire \blk00000003/sig0000049e ; + wire \blk00000003/sig0000049d ; + wire \blk00000003/sig0000049c ; + wire \blk00000003/sig0000049b ; + wire \blk00000003/sig0000049a ; + wire \blk00000003/sig00000499 ; + wire \blk00000003/sig00000498 ; + wire \blk00000003/sig00000497 ; + wire \blk00000003/sig00000496 ; + wire \blk00000003/sig00000495 ; + wire \blk00000003/sig00000494 ; + wire \blk00000003/sig00000493 ; + wire \blk00000003/sig00000492 ; + wire \blk00000003/sig00000491 ; + wire \blk00000003/sig00000490 ; + wire \blk00000003/sig0000048f ; + wire \blk00000003/sig0000048e ; + wire \blk00000003/sig0000048d ; + wire \blk00000003/sig0000048c ; + wire \blk00000003/sig0000048b ; + wire \blk00000003/sig0000048a ; + wire \blk00000003/sig00000489 ; + wire \blk00000003/sig00000488 ; + wire \blk00000003/sig00000487 ; + wire \blk00000003/sig00000486 ; + wire \blk00000003/sig00000485 ; + wire \blk00000003/sig00000484 ; + wire \blk00000003/sig00000483 ; + wire \blk00000003/sig00000482 ; + wire \blk00000003/sig00000481 ; + wire \blk00000003/sig00000480 ; + wire \blk00000003/sig0000047f ; + wire \blk00000003/sig0000047e ; + wire \blk00000003/sig0000047d ; + wire \blk00000003/sig0000047c ; + wire \blk00000003/sig0000047b ; + wire \blk00000003/sig0000047a ; + wire \blk00000003/sig00000479 ; + wire \blk00000003/sig00000478 ; + wire \blk00000003/sig00000477 ; + wire \blk00000003/sig00000476 ; + wire \blk00000003/sig00000475 ; + wire \blk00000003/sig00000474 ; + wire \blk00000003/sig00000473 ; + wire \blk00000003/sig00000472 ; + wire \blk00000003/sig00000471 ; + wire \blk00000003/sig00000470 ; + wire \blk00000003/sig0000046f ; + wire \blk00000003/sig0000046e ; + wire \blk00000003/sig0000046d ; + wire \blk00000003/sig0000046c ; + wire \blk00000003/sig0000046b ; + wire \blk00000003/sig0000046a ; + wire \blk00000003/sig00000469 ; + wire \blk00000003/sig00000468 ; + wire \blk00000003/sig00000467 ; + wire \blk00000003/sig00000466 ; + wire \blk00000003/sig00000465 ; + wire \blk00000003/sig00000464 ; + wire \blk00000003/sig00000463 ; + wire \blk00000003/sig00000462 ; + wire \blk00000003/sig00000461 ; + wire \blk00000003/sig00000460 ; + wire \blk00000003/sig0000045f ; + wire \blk00000003/sig0000045e ; + wire \blk00000003/sig0000045d ; + wire \blk00000003/sig0000045c ; + wire \blk00000003/sig0000045b ; + wire \blk00000003/sig0000045a ; + wire \blk00000003/sig00000459 ; + wire \blk00000003/sig00000458 ; + wire \blk00000003/sig00000457 ; + wire \blk00000003/sig00000456 ; + wire \blk00000003/sig00000455 ; + wire \blk00000003/sig00000454 ; + wire \blk00000003/sig00000453 ; + wire \blk00000003/sig00000452 ; + wire \blk00000003/sig00000451 ; + wire \blk00000003/sig00000450 ; + wire \blk00000003/sig0000044f ; + wire \blk00000003/sig0000044e ; + wire \blk00000003/sig0000044d ; + wire \blk00000003/sig0000044c ; + wire \blk00000003/sig0000044b ; + wire \blk00000003/sig0000044a ; + wire \blk00000003/sig00000449 ; + wire \blk00000003/sig00000448 ; + wire \blk00000003/sig00000447 ; + wire \blk00000003/sig00000446 ; + wire \blk00000003/sig00000445 ; + wire \blk00000003/sig00000444 ; + wire \blk00000003/sig00000443 ; + wire \blk00000003/sig00000442 ; + wire \blk00000003/sig00000441 ; + wire \blk00000003/sig00000440 ; + wire \blk00000003/sig0000043f ; + wire \blk00000003/sig0000043e ; + wire \blk00000003/sig0000043d ; + wire \blk00000003/sig0000043c ; + wire \blk00000003/sig0000043b ; + wire \blk00000003/sig0000043a ; + wire \blk00000003/sig00000439 ; + wire \blk00000003/sig00000438 ; + wire \blk00000003/sig00000437 ; + wire \blk00000003/sig00000436 ; + wire \blk00000003/sig00000435 ; + wire \blk00000003/sig00000434 ; + wire \blk00000003/sig00000433 ; + wire \blk00000003/sig00000432 ; + wire \blk00000003/sig00000431 ; + wire \blk00000003/sig00000430 ; + wire \blk00000003/sig0000042f ; + wire \blk00000003/sig0000042e ; + wire \blk00000003/sig0000042d ; + wire \blk00000003/sig0000042c ; + wire \blk00000003/sig0000042b ; + wire \blk00000003/sig0000042a ; + wire \blk00000003/sig00000429 ; + wire \blk00000003/sig00000428 ; + wire \blk00000003/sig00000427 ; + wire \blk00000003/sig00000426 ; + wire \blk00000003/sig00000425 ; + wire \blk00000003/sig00000424 ; + wire \blk00000003/sig00000423 ; + wire \blk00000003/sig00000422 ; + wire \blk00000003/sig00000421 ; + wire \blk00000003/sig00000420 ; + wire \blk00000003/sig0000041f ; + wire \blk00000003/sig0000041e ; + wire \blk00000003/sig0000041d ; + wire \blk00000003/sig0000041c ; + wire \blk00000003/sig0000041b ; + wire \blk00000003/sig0000041a ; + wire \blk00000003/sig00000419 ; + wire \blk00000003/sig00000418 ; + wire \blk00000003/sig00000417 ; + wire \blk00000003/sig00000416 ; + wire \blk00000003/sig00000415 ; + wire \blk00000003/sig00000414 ; + wire \blk00000003/sig00000413 ; + wire \blk00000003/sig00000412 ; + wire \blk00000003/sig00000411 ; + wire \blk00000003/sig00000410 ; + wire \blk00000003/sig0000040f ; + wire \blk00000003/sig0000040e ; + wire \blk00000003/sig0000040d ; + wire \blk00000003/sig0000040c ; + wire \blk00000003/sig0000040b ; + wire \blk00000003/sig0000040a ; + wire \blk00000003/sig00000409 ; + wire \blk00000003/sig00000408 ; + wire \blk00000003/sig00000407 ; + wire \blk00000003/sig00000406 ; + wire \blk00000003/sig00000405 ; + wire \blk00000003/sig00000404 ; + wire \blk00000003/sig00000403 ; + wire \blk00000003/sig00000402 ; + wire \blk00000003/sig00000401 ; + wire \blk00000003/sig00000400 ; + wire \blk00000003/sig000003ff ; + wire \blk00000003/sig000003fe ; + wire \blk00000003/sig000003fd ; + wire \blk00000003/sig000003fc ; + wire \blk00000003/sig000003fb ; + wire \blk00000003/sig000003fa ; + wire \blk00000003/sig000003f9 ; + wire \blk00000003/sig000003f8 ; + wire \blk00000003/sig000003f7 ; + wire \blk00000003/sig000003f6 ; + wire \blk00000003/sig000003f5 ; + wire \blk00000003/sig000003f4 ; + wire \blk00000003/sig000003f3 ; + wire \blk00000003/sig000003f2 ; + wire \blk00000003/sig000003f1 ; + wire \blk00000003/sig000003f0 ; + wire \blk00000003/sig000003ef ; + wire \blk00000003/sig000003ee ; + wire \blk00000003/sig000003ed ; + wire \blk00000003/sig000003ec ; + wire \blk00000003/sig000003eb ; + wire \blk00000003/sig000003ea ; + wire \blk00000003/sig000003e9 ; + wire \blk00000003/sig000003e8 ; + wire \blk00000003/sig000003e7 ; + wire \blk00000003/sig000003e6 ; + wire \blk00000003/sig000003e5 ; + wire \blk00000003/sig000003e4 ; + wire \blk00000003/sig000003e3 ; + wire \blk00000003/sig000003e2 ; + wire \blk00000003/sig000003e1 ; + wire \blk00000003/sig000003e0 ; + wire \blk00000003/sig000003df ; + wire \blk00000003/sig000003de ; + wire \blk00000003/sig000003dd ; + wire \blk00000003/sig000003dc ; + wire \blk00000003/sig000003db ; + wire \blk00000003/sig000003da ; + wire \blk00000003/sig000003d9 ; + wire \blk00000003/sig000003d8 ; + wire \blk00000003/sig000003d7 ; + wire \blk00000003/sig000003d6 ; + wire \blk00000003/sig000003d5 ; + wire \blk00000003/sig000003d4 ; + wire \blk00000003/sig000003d3 ; + wire \blk00000003/sig000003d2 ; + wire \blk00000003/sig000003d1 ; + wire \blk00000003/sig000003d0 ; + wire \blk00000003/sig000003cf ; + wire \blk00000003/sig000003ce ; + wire \blk00000003/sig000003cd ; + wire \blk00000003/sig000003cc ; + wire \blk00000003/sig000003cb ; + wire \blk00000003/sig000003ca ; + wire \blk00000003/sig000003c9 ; + wire \blk00000003/sig000003c8 ; + wire \blk00000003/sig000003c7 ; + wire \blk00000003/sig000003c6 ; + wire \blk00000003/sig000003c5 ; + wire \blk00000003/sig000003c4 ; + wire \blk00000003/sig000003c3 ; + wire \blk00000003/sig000003c2 ; + wire \blk00000003/sig000003c1 ; + wire \blk00000003/sig000003c0 ; + wire \blk00000003/sig000003bf ; + wire \blk00000003/sig000003be ; + wire \blk00000003/sig000003bd ; + wire \blk00000003/sig000003bc ; + wire \blk00000003/sig000003bb ; + wire \blk00000003/sig000003ba ; + wire \blk00000003/sig000003b9 ; + wire \blk00000003/sig000003b8 ; + wire \blk00000003/sig000003b7 ; + wire \blk00000003/sig000003b6 ; + wire \blk00000003/sig000003b5 ; + wire \blk00000003/sig000003b4 ; + wire \blk00000003/sig000003b3 ; + wire \blk00000003/sig000003b2 ; + wire \blk00000003/sig000003b1 ; + wire \blk00000003/sig000003b0 ; + wire \blk00000003/sig000003af ; + wire \blk00000003/sig000003ae ; + wire \blk00000003/sig000003ad ; + wire \blk00000003/sig000003ac ; + wire \blk00000003/sig000003ab ; + wire \blk00000003/sig000003aa ; + wire \blk00000003/sig000003a9 ; + wire \blk00000003/sig000003a8 ; + wire \blk00000003/sig000003a7 ; + wire \blk00000003/sig000003a6 ; + wire \blk00000003/sig000003a5 ; + wire \blk00000003/sig000003a4 ; + wire \blk00000003/sig000003a3 ; + wire \blk00000003/sig000003a2 ; + wire \blk00000003/sig000003a1 ; + wire \blk00000003/sig000003a0 ; + wire \blk00000003/sig0000039f ; + wire \blk00000003/sig0000039e ; + wire \blk00000003/sig0000039d ; + wire \blk00000003/sig0000039c ; + wire \blk00000003/sig0000039b ; + wire \blk00000003/sig0000039a ; + wire \blk00000003/sig00000399 ; + wire \blk00000003/sig00000398 ; + wire \blk00000003/sig00000397 ; + wire \blk00000003/sig00000396 ; + wire \blk00000003/sig00000395 ; + wire \blk00000003/sig00000394 ; + wire \blk00000003/sig00000393 ; + wire \blk00000003/sig00000392 ; + wire \blk00000003/sig00000391 ; + wire \blk00000003/sig00000390 ; + wire \blk00000003/sig0000038f ; + wire \blk00000003/sig0000038e ; + wire \blk00000003/sig0000038d ; + wire \blk00000003/sig0000038c ; + wire \blk00000003/sig0000038b ; + wire \blk00000003/sig0000038a ; + wire \blk00000003/sig00000389 ; + wire \blk00000003/sig00000388 ; + wire \blk00000003/sig00000387 ; + wire \blk00000003/sig00000386 ; + wire \blk00000003/sig00000385 ; + wire \blk00000003/sig00000384 ; + wire \blk00000003/sig00000383 ; + wire \blk00000003/sig00000382 ; + wire \blk00000003/sig00000381 ; + wire \blk00000003/sig00000380 ; + wire \blk00000003/sig0000037f ; + wire \blk00000003/sig0000037e ; + wire \blk00000003/sig0000037d ; + wire \blk00000003/sig0000037c ; + wire \blk00000003/sig0000037b ; + wire \blk00000003/sig0000037a ; + wire \blk00000003/sig00000379 ; + wire \blk00000003/sig00000378 ; + wire \blk00000003/sig00000377 ; + wire \blk00000003/sig00000376 ; + wire \blk00000003/sig00000375 ; + wire \blk00000003/sig00000374 ; + wire \blk00000003/sig00000373 ; + wire \blk00000003/sig00000372 ; + wire \blk00000003/sig00000371 ; + wire \blk00000003/sig00000370 ; + wire \blk00000003/sig0000036f ; + wire \blk00000003/sig0000036e ; + wire \blk00000003/sig0000036d ; + wire \blk00000003/sig0000036c ; + wire \blk00000003/sig0000036b ; + wire \blk00000003/sig0000036a ; + wire \blk00000003/sig00000369 ; + wire \blk00000003/sig00000368 ; + wire \blk00000003/sig00000367 ; + wire \blk00000003/sig00000366 ; + wire \blk00000003/sig00000365 ; + wire \blk00000003/sig00000364 ; + wire \blk00000003/sig00000363 ; + wire \blk00000003/sig00000362 ; + wire \blk00000003/sig00000361 ; + wire \blk00000003/sig00000360 ; + wire \blk00000003/sig0000035f ; + wire \blk00000003/sig0000035e ; + wire \blk00000003/sig0000035d ; + wire \blk00000003/sig0000035c ; + wire \blk00000003/sig0000035b ; + wire \blk00000003/sig0000035a ; + wire \blk00000003/sig00000359 ; + wire \blk00000003/sig00000358 ; + wire \blk00000003/sig00000357 ; + wire \blk00000003/sig00000356 ; + wire \blk00000003/sig00000355 ; + wire \blk00000003/sig00000354 ; + wire \blk00000003/sig00000353 ; + wire \blk00000003/sig00000352 ; + wire \blk00000003/sig00000351 ; + wire \blk00000003/sig00000350 ; + wire \blk00000003/sig0000034f ; + wire \blk00000003/sig0000034e ; + wire \blk00000003/sig0000034d ; + wire \blk00000003/sig0000034c ; + wire \blk00000003/sig0000034b ; + wire \blk00000003/sig0000034a ; + wire \blk00000003/sig00000349 ; + wire \blk00000003/sig00000348 ; + wire \blk00000003/sig00000347 ; + wire \blk00000003/sig00000346 ; + wire \blk00000003/sig00000345 ; + wire \blk00000003/sig00000344 ; + wire \blk00000003/sig00000343 ; + wire \blk00000003/sig00000342 ; + wire \blk00000003/sig00000341 ; + wire \blk00000003/sig00000340 ; + wire \blk00000003/sig0000033f ; + wire \blk00000003/sig0000033e ; + wire \blk00000003/sig0000033d ; + wire \blk00000003/sig0000033c ; + wire \blk00000003/sig0000033b ; + wire \blk00000003/sig0000033a ; + wire \blk00000003/sig00000339 ; + wire \blk00000003/sig00000338 ; + wire \blk00000003/sig00000337 ; + wire \blk00000003/sig00000336 ; + wire \blk00000003/sig00000335 ; + wire \blk00000003/sig00000334 ; + wire \blk00000003/sig00000333 ; + wire \blk00000003/sig00000332 ; + wire \blk00000003/sig00000331 ; + wire \blk00000003/sig00000330 ; + wire \blk00000003/sig0000032f ; + wire \blk00000003/sig0000032e ; + wire \blk00000003/sig0000032d ; + wire \blk00000003/sig0000032c ; + wire \blk00000003/sig0000032b ; + wire \blk00000003/sig0000032a ; + wire \blk00000003/sig00000329 ; + wire \blk00000003/sig00000328 ; + wire \blk00000003/sig00000327 ; + wire \blk00000003/sig00000326 ; + wire \blk00000003/sig00000325 ; + wire \blk00000003/sig00000324 ; + wire \blk00000003/sig00000323 ; + wire \blk00000003/sig00000322 ; + wire \blk00000003/sig00000321 ; + wire \blk00000003/sig00000320 ; + wire \blk00000003/sig0000031f ; + wire \blk00000003/sig0000031e ; + wire \blk00000003/sig0000031d ; + wire \blk00000003/sig0000031c ; + wire \blk00000003/sig0000031b ; + wire \blk00000003/sig0000031a ; + wire \blk00000003/sig00000319 ; + wire \blk00000003/sig00000318 ; + wire \blk00000003/sig00000317 ; + wire \blk00000003/sig00000316 ; + wire \blk00000003/sig00000315 ; + wire \blk00000003/sig00000314 ; + wire \blk00000003/sig00000313 ; + wire \blk00000003/sig00000312 ; + wire \blk00000003/sig00000311 ; + wire \blk00000003/sig00000310 ; + wire \blk00000003/sig0000030f ; + wire \blk00000003/sig0000030e ; + wire \blk00000003/sig0000030d ; + wire \blk00000003/sig0000030c ; + wire \blk00000003/sig0000030b ; + wire \blk00000003/sig0000030a ; + wire \blk00000003/sig00000309 ; + wire \blk00000003/sig00000308 ; + wire \blk00000003/sig00000307 ; + wire \blk00000003/sig00000306 ; + wire \blk00000003/sig00000305 ; + wire \blk00000003/sig00000304 ; + wire \blk00000003/sig00000303 ; + wire \blk00000003/sig00000302 ; + wire \blk00000003/sig00000301 ; + wire \blk00000003/sig00000300 ; + wire \blk00000003/sig000002ff ; + wire \blk00000003/sig000002fe ; + wire \blk00000003/sig000002fd ; + wire \blk00000003/sig000002fc ; + wire \blk00000003/sig000002fb ; + wire \blk00000003/sig000002fa ; + wire \blk00000003/sig000002f9 ; + wire \blk00000003/sig000002f8 ; + wire \blk00000003/sig000002f7 ; + wire \blk00000003/sig000002f6 ; + wire \blk00000003/sig000002f5 ; + wire \blk00000003/sig000002f4 ; + wire \blk00000003/sig000002f3 ; + wire \blk00000003/sig000002f2 ; + wire \blk00000003/sig000002f1 ; + wire \blk00000003/sig000002f0 ; + wire \blk00000003/sig000002ef ; + wire \blk00000003/sig000002ee ; + wire \blk00000003/sig000002ed ; + wire \blk00000003/sig000002ec ; + wire \blk00000003/sig000002eb ; + wire \blk00000003/sig000002ea ; + wire \blk00000003/sig000002e9 ; + wire \blk00000003/sig000002e8 ; + wire \blk00000003/sig000002e7 ; + wire \blk00000003/sig000002e6 ; + wire \blk00000003/sig000002e5 ; + wire \blk00000003/sig000002e4 ; + wire \blk00000003/sig000002e3 ; + wire \blk00000003/sig000002e2 ; + wire \blk00000003/sig000002e1 ; + wire \blk00000003/sig000002e0 ; + wire \blk00000003/sig000002df ; + wire \blk00000003/sig000002de ; + wire \blk00000003/sig000002dd ; + wire \blk00000003/sig000002dc ; + wire \blk00000003/sig000002db ; + wire \blk00000003/sig000002da ; + wire \blk00000003/sig000002d9 ; + wire \blk00000003/sig000002d8 ; + wire \blk00000003/sig000002d7 ; + wire \blk00000003/sig000002d6 ; + wire \blk00000003/sig000002d5 ; + wire \blk00000003/sig000002d4 ; + wire \blk00000003/sig000002d3 ; + wire \blk00000003/sig000002d2 ; + wire \blk00000003/sig000002d1 ; + wire \blk00000003/sig000002d0 ; + wire \blk00000003/sig000002cf ; + wire \blk00000003/sig000002ce ; + wire \blk00000003/sig000002cd ; + wire \blk00000003/sig000002cc ; + wire \blk00000003/sig000002cb ; + wire \blk00000003/sig000002ca ; + wire \blk00000003/sig000002c9 ; + wire \blk00000003/sig000002c8 ; + wire \blk00000003/sig000002c7 ; + wire \blk00000003/sig000002c6 ; + wire \blk00000003/sig000002c5 ; + wire \blk00000003/sig000002c4 ; + wire \blk00000003/sig000002c3 ; + wire \blk00000003/sig000002c2 ; + wire \blk00000003/sig000002c1 ; + wire \blk00000003/sig000002c0 ; + wire \blk00000003/sig000002bf ; + wire \blk00000003/sig000002be ; + wire \blk00000003/sig000002bd ; + wire \blk00000003/sig000002bc ; + wire \blk00000003/sig000002bb ; + wire \blk00000003/sig000002ba ; + wire \blk00000003/sig000002b9 ; + wire \blk00000003/sig000002b8 ; + wire \blk00000003/sig000002b7 ; + wire \blk00000003/sig000002b6 ; + wire \blk00000003/sig000002b5 ; + wire \blk00000003/sig000002b4 ; + wire \blk00000003/sig000002b3 ; + wire \blk00000003/sig000002b2 ; + wire \blk00000003/sig000002b1 ; + wire \blk00000003/sig000002b0 ; + wire \blk00000003/sig000002af ; + wire \blk00000003/sig000002ae ; + wire \blk00000003/sig000002ad ; + wire \blk00000003/sig000002ac ; + wire \blk00000003/sig000002ab ; + wire \blk00000003/sig000002aa ; + wire \blk00000003/sig000002a9 ; + wire \blk00000003/sig000002a8 ; + wire \blk00000003/sig000002a7 ; + wire \blk00000003/sig000002a6 ; + wire \blk00000003/sig000002a5 ; + wire \blk00000003/sig000002a4 ; + wire \blk00000003/sig000002a3 ; + wire \blk00000003/sig000002a2 ; + wire \blk00000003/sig000002a1 ; + wire \blk00000003/sig000002a0 ; + wire \blk00000003/sig0000029f ; + wire \blk00000003/sig0000029e ; + wire \blk00000003/sig0000029d ; + wire \blk00000003/sig0000029c ; + wire \blk00000003/sig0000029b ; + wire \blk00000003/sig0000029a ; + wire \blk00000003/sig00000299 ; + wire \blk00000003/sig00000298 ; + wire \blk00000003/sig00000297 ; + wire \blk00000003/sig00000296 ; + wire \blk00000003/sig00000295 ; + wire \blk00000003/sig00000294 ; + wire \blk00000003/sig00000293 ; + wire \blk00000003/sig00000292 ; + wire \blk00000003/sig00000291 ; + wire \blk00000003/sig00000290 ; + wire \blk00000003/sig0000028f ; + wire \blk00000003/sig0000028e ; + wire \blk00000003/sig0000028d ; + wire \blk00000003/sig0000028c ; + wire \blk00000003/sig0000028b ; + wire \blk00000003/sig0000028a ; + wire \blk00000003/sig00000289 ; + wire \blk00000003/sig00000288 ; + wire \blk00000003/sig00000287 ; + wire \blk00000003/sig00000286 ; + wire \blk00000003/sig00000285 ; + wire \blk00000003/sig00000284 ; + wire \blk00000003/sig00000283 ; + wire \blk00000003/sig00000282 ; + wire \blk00000003/sig00000281 ; + wire \blk00000003/sig00000280 ; + wire \blk00000003/sig0000027f ; + wire \blk00000003/sig0000027e ; + wire \blk00000003/sig0000027d ; + wire \blk00000003/sig0000027c ; + wire \blk00000003/sig0000027b ; + wire \blk00000003/sig0000027a ; + wire \blk00000003/sig00000279 ; + wire \blk00000003/sig00000278 ; + wire \blk00000003/sig00000277 ; + wire \blk00000003/sig00000276 ; + wire \blk00000003/sig00000275 ; + wire \blk00000003/sig00000274 ; + wire \blk00000003/sig00000273 ; + wire \blk00000003/sig00000272 ; + wire \blk00000003/sig00000271 ; + wire \blk00000003/sig00000270 ; + wire \blk00000003/sig0000026f ; + wire \blk00000003/sig0000026e ; + wire \blk00000003/sig0000026d ; + wire \blk00000003/sig0000026c ; + wire \blk00000003/sig0000026b ; + wire \blk00000003/sig0000026a ; + wire \blk00000003/sig00000269 ; + wire \blk00000003/sig00000268 ; + wire \blk00000003/sig00000267 ; + wire \blk00000003/sig00000266 ; + wire \blk00000003/sig00000265 ; + wire \blk00000003/sig00000264 ; + wire \blk00000003/sig00000263 ; + wire \blk00000003/sig00000262 ; + wire \blk00000003/sig00000261 ; + wire \blk00000003/sig00000260 ; + wire \blk00000003/sig0000025f ; + wire \blk00000003/sig0000025e ; + wire \blk00000003/sig0000025d ; + wire \blk00000003/sig0000025c ; + wire \blk00000003/sig0000025b ; + wire \blk00000003/sig0000025a ; + wire \blk00000003/sig00000259 ; + wire \blk00000003/sig00000258 ; + wire \blk00000003/sig00000257 ; + wire \blk00000003/sig00000256 ; + wire \blk00000003/sig00000255 ; + wire \blk00000003/sig00000254 ; + wire \blk00000003/sig00000253 ; + wire \blk00000003/sig00000252 ; + wire \blk00000003/sig00000251 ; + wire \blk00000003/sig00000250 ; + wire \blk00000003/sig0000024f ; + wire \blk00000003/sig0000024e ; + wire \blk00000003/sig0000024d ; + wire \blk00000003/sig0000024c ; + wire \blk00000003/sig0000024b ; + wire \blk00000003/sig0000024a ; + wire \blk00000003/sig00000249 ; + wire \blk00000003/sig00000248 ; + wire \blk00000003/sig00000247 ; + wire \blk00000003/sig00000246 ; + wire \blk00000003/sig00000245 ; + wire \blk00000003/sig00000244 ; + wire \blk00000003/sig00000243 ; + wire \blk00000003/sig00000242 ; + wire \blk00000003/sig00000241 ; + wire \blk00000003/sig00000240 ; + wire \blk00000003/sig0000023f ; + wire \blk00000003/sig0000023e ; + wire \blk00000003/sig0000023d ; + wire \blk00000003/sig0000023c ; + wire \blk00000003/sig0000023b ; + wire \blk00000003/sig0000023a ; + wire \blk00000003/sig00000239 ; + wire \blk00000003/sig00000238 ; + wire \blk00000003/sig00000237 ; + wire \blk00000003/sig00000236 ; + wire \blk00000003/sig00000235 ; + wire \blk00000003/sig00000234 ; + wire \blk00000003/sig00000233 ; + wire \blk00000003/sig00000232 ; + wire \blk00000003/sig00000231 ; + wire \blk00000003/sig00000230 ; + wire \blk00000003/sig0000022f ; + wire \blk00000003/sig0000022e ; + wire \blk00000003/sig0000022d ; + wire \blk00000003/sig0000022c ; + wire \blk00000003/sig0000022b ; + wire \blk00000003/sig0000022a ; + wire \blk00000003/sig00000229 ; + wire \blk00000003/sig00000228 ; + wire \blk00000003/sig00000227 ; + wire \blk00000003/sig00000226 ; + wire \blk00000003/sig00000225 ; + wire \blk00000003/sig00000224 ; + wire \blk00000003/sig00000223 ; + wire \blk00000003/sig00000222 ; + wire \blk00000003/sig00000221 ; + wire \blk00000003/sig00000220 ; + wire \blk00000003/sig0000021f ; + wire \blk00000003/sig0000021e ; + wire \blk00000003/sig0000021d ; + wire \blk00000003/sig0000021c ; + wire \blk00000003/sig0000021b ; + wire \blk00000003/sig0000021a ; + wire \blk00000003/sig00000219 ; + wire \blk00000003/sig00000218 ; + wire \blk00000003/sig00000217 ; + wire \blk00000003/sig00000216 ; + wire \blk00000003/sig00000215 ; + wire \blk00000003/sig00000214 ; + wire \blk00000003/sig00000213 ; + wire \blk00000003/sig00000212 ; + wire \blk00000003/sig00000211 ; + wire \blk00000003/sig00000210 ; + wire \blk00000003/sig0000020f ; + wire \blk00000003/sig0000020e ; + wire \blk00000003/sig0000020d ; + wire \blk00000003/sig0000020c ; + wire \blk00000003/sig0000020b ; + wire \blk00000003/sig0000020a ; + wire \blk00000003/sig00000209 ; + wire \blk00000003/sig00000208 ; + wire \blk00000003/sig00000207 ; + wire \blk00000003/sig00000206 ; + wire \blk00000003/sig00000205 ; + wire \blk00000003/sig00000204 ; + wire \blk00000003/sig00000203 ; + wire \blk00000003/sig00000202 ; + wire \blk00000003/sig00000201 ; + wire \blk00000003/sig00000200 ; + wire \blk00000003/sig000001ff ; + wire \blk00000003/sig000001fe ; + wire \blk00000003/sig000001fd ; + wire \blk00000003/sig000001fc ; + wire \blk00000003/sig000001fb ; + wire \blk00000003/sig000001fa ; + wire \blk00000003/sig000001f9 ; + wire \blk00000003/sig000001f8 ; + wire \blk00000003/sig000001f7 ; + wire \blk00000003/sig000001f6 ; + wire \blk00000003/sig000001f5 ; + wire \blk00000003/sig000001f4 ; + wire \blk00000003/sig000001f3 ; + wire \blk00000003/sig000001f2 ; + wire \blk00000003/sig000001f1 ; + wire \blk00000003/sig000001f0 ; + wire \blk00000003/sig000001ef ; + wire \blk00000003/sig000001ee ; + wire \blk00000003/sig000001ed ; + wire \blk00000003/sig000001ec ; + wire \blk00000003/sig000001eb ; + wire \blk00000003/sig000001ea ; + wire \blk00000003/sig000001e9 ; + wire \blk00000003/sig000001e8 ; + wire \blk00000003/sig000001e7 ; + wire \blk00000003/sig000001e6 ; + wire \blk00000003/sig000001e5 ; + wire \blk00000003/sig000001e4 ; + wire \blk00000003/sig000001e3 ; + wire \blk00000003/sig000001e2 ; + wire \blk00000003/sig000001e1 ; + wire \blk00000003/sig000001e0 ; + wire \blk00000003/sig000001df ; + wire \blk00000003/sig000001de ; + wire \blk00000003/sig000001dd ; + wire \blk00000003/sig000001dc ; + wire \blk00000003/sig000001db ; + wire \blk00000003/sig000001da ; + wire \blk00000003/sig000001d9 ; + wire \blk00000003/sig000001d8 ; + wire \blk00000003/sig000001d7 ; + wire \blk00000003/sig000001d6 ; + wire \blk00000003/sig000001d5 ; + wire \blk00000003/sig000001d4 ; + wire \blk00000003/sig000001d3 ; + wire \blk00000003/sig000001d2 ; + wire \blk00000003/sig000001d1 ; + wire \blk00000003/sig000001d0 ; + wire \blk00000003/sig000001cf ; + wire \blk00000003/sig000001ce ; + wire \blk00000003/sig000001cd ; + wire \blk00000003/sig000001cc ; + wire \blk00000003/sig000001cb ; + wire \blk00000003/sig000001ca ; + wire \blk00000003/sig000001c9 ; + wire \blk00000003/sig000001c8 ; + wire \blk00000003/sig000001c7 ; + wire \blk00000003/sig000001c6 ; + wire \blk00000003/sig000001c5 ; + wire \blk00000003/sig000001c4 ; + wire \blk00000003/sig000001c3 ; + wire \blk00000003/sig000001c2 ; + wire \blk00000003/sig000001c1 ; + wire \blk00000003/sig000001c0 ; + wire \blk00000003/sig000001bf ; + wire \blk00000003/sig000001be ; + wire \blk00000003/sig000001bd ; + wire \blk00000003/sig000001bc ; + wire \blk00000003/sig000001bb ; + wire \blk00000003/sig000001ba ; + wire \blk00000003/sig000001b9 ; + wire \blk00000003/sig000001b8 ; + wire \blk00000003/sig000001b7 ; + wire \blk00000003/sig000001b6 ; + wire \blk00000003/sig000001b5 ; + wire \blk00000003/sig000001b4 ; + wire \blk00000003/sig000001b3 ; + wire \blk00000003/sig000001b2 ; + wire \blk00000003/sig000001b1 ; + wire \blk00000003/sig000001b0 ; + wire \blk00000003/sig000001af ; + wire \blk00000003/sig000001ae ; + wire \blk00000003/sig000001ad ; + wire \blk00000003/sig000001ac ; + wire \blk00000003/sig000001ab ; + wire \blk00000003/sig000001aa ; + wire \blk00000003/sig000001a9 ; + wire \blk00000003/sig000001a8 ; + wire \blk00000003/sig000001a7 ; + wire \blk00000003/sig000001a6 ; + wire \blk00000003/sig000001a5 ; + wire \blk00000003/sig000001a4 ; + wire \blk00000003/sig000001a3 ; + wire \blk00000003/sig000001a2 ; + wire \blk00000003/sig000001a1 ; + wire \blk00000003/sig000001a0 ; + wire \blk00000003/sig0000019f ; + wire \blk00000003/sig0000019e ; + wire \blk00000003/sig0000019d ; + wire \blk00000003/sig0000019c ; + wire \blk00000003/sig0000019b ; + wire \blk00000003/sig0000019a ; + wire \blk00000003/sig00000199 ; + wire \blk00000003/sig00000198 ; + wire \blk00000003/sig00000197 ; + wire \blk00000003/sig00000196 ; + wire \blk00000003/sig00000195 ; + wire \blk00000003/sig00000194 ; + wire \blk00000003/sig00000193 ; + wire \blk00000003/sig00000192 ; + wire \blk00000003/sig00000191 ; + wire \blk00000003/sig00000190 ; + wire \blk00000003/sig0000018f ; + wire \blk00000003/sig0000018e ; + wire \blk00000003/sig0000018d ; + wire \blk00000003/sig0000018c ; + wire \blk00000003/sig0000018b ; + wire \blk00000003/sig0000018a ; + wire \blk00000003/sig00000189 ; + wire \blk00000003/sig00000188 ; + wire \blk00000003/sig00000187 ; + wire \blk00000003/sig00000186 ; + wire \blk00000003/sig00000185 ; + wire \blk00000003/sig00000184 ; + wire \blk00000003/sig00000183 ; + wire \blk00000003/sig00000182 ; + wire \blk00000003/sig00000181 ; + wire \blk00000003/sig00000180 ; + wire \blk00000003/sig0000017f ; + wire \blk00000003/sig0000017e ; + wire \blk00000003/sig0000017d ; + wire \blk00000003/sig0000017c ; + wire \blk00000003/sig0000017b ; + wire \blk00000003/sig0000017a ; + wire \blk00000003/sig00000179 ; + wire \blk00000003/sig00000178 ; + wire \blk00000003/sig00000177 ; + wire \blk00000003/sig00000176 ; + wire \blk00000003/sig00000175 ; + wire \blk00000003/sig00000174 ; + wire \blk00000003/sig00000173 ; + wire \blk00000003/sig00000172 ; + wire \blk00000003/sig00000171 ; + wire \blk00000003/sig00000170 ; + wire \blk00000003/sig0000016f ; + wire \blk00000003/sig0000016e ; + wire \blk00000003/sig0000016d ; + wire \blk00000003/sig0000016c ; + wire \blk00000003/sig0000016b ; + wire \blk00000003/sig0000016a ; + wire \blk00000003/sig00000169 ; + wire \blk00000003/sig00000168 ; + wire \blk00000003/sig00000167 ; + wire \blk00000003/sig00000166 ; + wire \blk00000003/sig00000165 ; + wire \blk00000003/sig00000164 ; + wire \blk00000003/sig00000163 ; + wire \blk00000003/sig00000162 ; + wire \blk00000003/sig00000161 ; + wire \blk00000003/sig00000160 ; + wire \blk00000003/sig0000015f ; + wire \blk00000003/sig0000015e ; + wire \blk00000003/sig0000015d ; + wire \blk00000003/sig0000015c ; + wire \blk00000003/sig0000015b ; + wire \blk00000003/sig0000015a ; + wire \blk00000003/sig00000159 ; + wire \blk00000003/sig00000158 ; + wire \blk00000003/sig00000157 ; + wire \blk00000003/sig00000156 ; + wire \blk00000003/sig00000155 ; + wire \blk00000003/sig00000154 ; + wire \blk00000003/sig00000153 ; + wire \blk00000003/sig00000152 ; + wire \blk00000003/sig00000151 ; + wire \blk00000003/sig00000150 ; + wire \blk00000003/sig0000014f ; + wire \blk00000003/sig0000014e ; + wire \blk00000003/sig0000014d ; + wire \blk00000003/sig0000014c ; + wire \blk00000003/sig0000014b ; + wire \blk00000003/sig0000014a ; + wire \blk00000003/sig00000149 ; + wire \blk00000003/sig00000148 ; + wire \blk00000003/sig00000147 ; + wire \blk00000003/sig00000146 ; + wire \blk00000003/sig00000145 ; + wire \blk00000003/sig00000144 ; + wire \blk00000003/sig00000143 ; + wire \blk00000003/sig00000142 ; + wire \blk00000003/sig00000141 ; + wire \blk00000003/sig00000140 ; + wire \blk00000003/sig0000013f ; + wire \blk00000003/sig0000013e ; + wire \blk00000003/sig0000013d ; + wire \blk00000003/sig0000013c ; + wire \blk00000003/sig0000013b ; + wire \blk00000003/sig0000013a ; + wire \blk00000003/sig00000139 ; + wire \blk00000003/sig00000138 ; + wire \blk00000003/sig00000137 ; + wire \blk00000003/sig00000136 ; + wire \blk00000003/sig00000135 ; + wire \blk00000003/sig00000134 ; + wire \blk00000003/sig00000133 ; + wire \blk00000003/sig00000132 ; + wire \blk00000003/sig00000131 ; + wire \blk00000003/sig00000130 ; + wire \blk00000003/sig0000012f ; + wire \blk00000003/sig0000012e ; + wire \blk00000003/sig0000012d ; + wire \blk00000003/sig0000012c ; + wire \blk00000003/sig0000012b ; + wire \blk00000003/sig0000012a ; + wire \blk00000003/sig00000129 ; + wire \blk00000003/sig00000128 ; + wire \blk00000003/sig00000127 ; + wire \blk00000003/sig00000126 ; + wire \blk00000003/sig00000125 ; + wire \blk00000003/sig00000124 ; + wire \blk00000003/sig00000123 ; + wire \blk00000003/sig00000122 ; + wire \blk00000003/sig00000121 ; + wire \blk00000003/sig00000120 ; + wire \blk00000003/sig0000011f ; + wire \blk00000003/sig0000011e ; + wire \blk00000003/sig0000011d ; + wire \blk00000003/sig0000011c ; + wire \blk00000003/sig0000011b ; + wire \blk00000003/sig0000011a ; + wire \blk00000003/sig00000119 ; + wire \blk00000003/sig00000118 ; + wire \blk00000003/sig00000117 ; + wire \blk00000003/sig00000116 ; + wire \blk00000003/sig00000115 ; + wire \blk00000003/sig00000114 ; + wire \blk00000003/sig00000113 ; + wire \blk00000003/sig00000112 ; + wire \blk00000003/sig00000111 ; + wire \blk00000003/sig00000110 ; + wire \blk00000003/sig0000010f ; + wire \blk00000003/sig0000010e ; + wire \blk00000003/sig0000010d ; + wire \blk00000003/sig0000010c ; + wire \blk00000003/sig0000010b ; + wire \blk00000003/sig0000010a ; + wire \blk00000003/sig00000109 ; + wire \blk00000003/sig00000108 ; + wire \blk00000003/sig00000107 ; + wire \blk00000003/sig00000106 ; + wire \blk00000003/sig00000105 ; + wire \blk00000003/sig00000104 ; + wire \blk00000003/sig00000103 ; + wire \blk00000003/sig00000102 ; + wire \blk00000003/sig00000101 ; + wire \blk00000003/sig00000100 ; + wire \blk00000003/sig000000ff ; + wire \blk00000003/sig000000fe ; + wire \blk00000003/sig000000fd ; + wire \blk00000003/sig000000fc ; + wire \blk00000003/sig000000fb ; + wire \blk00000003/sig000000fa ; + wire \blk00000003/sig000000f9 ; + wire \blk00000003/sig000000f8 ; + wire \blk00000003/sig000000f7 ; + wire \blk00000003/sig000000f6 ; + wire \blk00000003/sig000000f5 ; + wire \blk00000003/sig000000f4 ; + wire \blk00000003/sig000000f3 ; + wire \blk00000003/sig000000f2 ; + wire \blk00000003/sig000000f1 ; + wire \blk00000003/sig000000f0 ; + wire \blk00000003/sig000000ef ; + wire \blk00000003/sig000000ee ; + wire \blk00000003/sig000000ed ; + wire \blk00000003/sig000000ec ; + wire \blk00000003/sig000000eb ; + wire \blk00000003/sig000000ea ; + wire \blk00000003/sig000000e9 ; + wire \blk00000003/sig000000e8 ; + wire \blk00000003/sig000000e7 ; + wire \blk00000003/sig000000e6 ; + wire \blk00000003/sig000000e5 ; + wire \blk00000003/sig000000e4 ; + wire \blk00000003/sig000000e3 ; + wire \blk00000003/sig000000e2 ; + wire \blk00000003/sig000000e1 ; + wire \blk00000003/sig000000e0 ; + wire \blk00000003/sig000000df ; + wire \blk00000003/sig000000de ; + wire \blk00000003/sig000000dd ; + wire \blk00000003/sig000000dc ; + wire \blk00000003/sig000000db ; + wire \blk00000003/sig000000da ; + wire \blk00000003/sig000000d9 ; + wire \blk00000003/sig000000d8 ; + wire \blk00000003/sig000000d7 ; + wire \blk00000003/sig000000d6 ; + wire \blk00000003/sig000000d5 ; + wire \blk00000003/sig000000d4 ; + wire \blk00000003/sig000000d3 ; + wire \blk00000003/sig000000d2 ; + wire \blk00000003/sig000000d1 ; + wire \blk00000003/sig000000d0 ; + wire \blk00000003/sig000000cf ; + wire \blk00000003/sig000000ce ; + wire \blk00000003/sig000000cd ; + wire \blk00000003/sig000000cc ; + wire \blk00000003/sig000000cb ; + wire \blk00000003/sig000000ca ; + wire \blk00000003/sig000000c9 ; + wire \blk00000003/sig000000c8 ; + wire \blk00000003/sig000000c7 ; + wire \blk00000003/sig000000c6 ; + wire \blk00000003/sig000000c5 ; + wire \blk00000003/sig000000c4 ; + wire \blk00000003/sig000000c3 ; + wire \blk00000003/sig000000c2 ; + wire \blk00000003/sig000000c1 ; + wire \blk00000003/sig000000c0 ; + wire \blk00000003/sig000000bf ; + wire \blk00000003/sig000000be ; + wire \blk00000003/sig000000bd ; + wire \blk00000003/sig000000bc ; + wire \blk00000003/sig000000bb ; + wire \blk00000003/sig000000ba ; + wire \blk00000003/sig000000b9 ; + wire \blk00000003/sig000000b8 ; + wire \blk00000003/sig000000b7 ; + wire \blk00000003/sig000000b6 ; + wire \blk00000003/sig000000b5 ; + wire \blk00000003/sig000000b4 ; + wire \blk00000003/sig000000b3 ; + wire \blk00000003/sig000000b2 ; + wire \blk00000003/sig000000b1 ; + wire \blk00000003/sig000000b0 ; + wire \blk00000003/sig000000af ; + wire \blk00000003/sig000000ae ; + wire \blk00000003/sig0000004a ; + wire \blk00000003/sig00000049 ; + wire \blk00000003/blk0000002a/sig000006fd ; + wire \blk00000003/blk0000002a/sig000006fc ; + wire \blk00000003/blk0000002a/sig000006fb ; + wire \blk00000003/blk0000002a/sig000006fa ; + wire \blk00000003/blk0000002a/sig000006f9 ; + wire \blk00000003/blk0000002a/sig000006f8 ; + wire \blk00000003/blk0000002a/sig000006f7 ; + wire \blk00000003/blk0000002a/sig000006f6 ; + wire \blk00000003/blk0000002a/sig000006f5 ; + wire \blk00000003/blk0000002a/sig000006f4 ; + wire \blk00000003/blk0000002a/sig000006f3 ; + wire \blk00000003/blk0000002a/sig000006f2 ; + wire \blk00000003/blk0000002a/sig000006f1 ; + wire \blk00000003/blk0000002a/sig000006f0 ; + wire \blk00000003/blk0000002a/sig000006ef ; + wire \blk00000003/blk0000002a/sig000006ee ; + wire \blk00000003/blk0000002a/sig000006ed ; + wire \blk00000003/blk0000002a/sig000006ec ; + wire \blk00000003/blk0000002a/sig000006eb ; + wire \blk00000003/blk0000002a/sig000006ea ; + wire \blk00000003/blk0000002a/sig000006e9 ; + wire \blk00000003/blk0000002a/sig000006e8 ; + wire \blk00000003/blk0000002a/sig000006e7 ; + wire \blk00000003/blk0000002a/sig000006e6 ; + wire \blk00000003/blk0000002a/sig000006e5 ; + wire \blk00000003/blk0000002a/sig000006e4 ; + wire \blk00000003/blk0000002a/sig000006e3 ; + wire \blk00000003/blk0000002a/sig000006e2 ; + wire \blk00000003/blk0000002a/sig000006e1 ; + wire \blk00000003/blk0000002a/sig000006e0 ; + wire \blk00000003/blk0000002a/sig000006df ; + wire \blk00000003/blk0000002a/sig000006de ; + wire \blk00000003/blk0000002a/sig000006dd ; + wire \blk00000003/blk0000002a/sig000006dc ; + wire \blk00000003/blk0000002a/sig000006db ; + wire \blk00000003/blk0000002a/sig000006da ; + wire \blk00000003/blk0000002a/sig000006d9 ; + wire \blk00000003/blk0000002a/sig000006d8 ; + wire \blk00000003/blk0000002a/sig000006d7 ; + wire \blk00000003/blk0000002a/sig000006d6 ; + wire \blk00000003/blk0000002a/sig000006d5 ; + wire \blk00000003/blk0000002a/sig000006d4 ; + wire \blk00000003/blk0000002a/sig000006d3 ; + wire \blk00000003/blk0000002a/sig000006d2 ; + wire \blk00000003/blk0000002a/sig000006d1 ; + wire \blk00000003/blk0000002a/sig000006d0 ; + wire \blk00000003/blk0000002a/sig000006cf ; + wire \blk00000003/blk0000002a/sig000006ce ; + wire \blk00000003/blk0000002a/sig000006cd ; + wire \blk00000003/blk0000002a/sig000006cc ; + wire \blk00000003/blk00000119/sig0000074d ; + wire \blk00000003/blk00000119/sig0000074c ; + wire \blk00000003/blk00000119/sig0000074b ; + wire \blk00000003/blk00000119/sig0000074a ; + wire \blk00000003/blk00000119/sig00000749 ; + wire \blk00000003/blk00000119/sig00000748 ; + wire \blk00000003/blk00000119/sig00000747 ; + wire \blk00000003/blk00000119/sig00000746 ; + wire \blk00000003/blk00000119/sig00000745 ; + wire \blk00000003/blk00000119/sig00000744 ; + wire \blk00000003/blk00000119/sig00000743 ; + wire \blk00000003/blk00000119/sig00000742 ; + wire \blk00000003/blk00000119/sig00000741 ; + wire \blk00000003/blk00000119/sig00000740 ; + wire \blk00000003/blk00000119/sig0000073f ; + wire \blk00000003/blk00000119/sig0000073e ; + wire \blk00000003/blk00000119/sig0000073d ; + wire \blk00000003/blk00000119/sig0000073c ; + wire \blk00000003/blk00000119/sig0000073b ; + wire \blk00000003/blk00000119/sig0000073a ; + wire \blk00000003/blk00000119/sig00000739 ; + wire \blk00000003/blk00000119/sig00000738 ; + wire \blk00000003/blk00000119/sig00000737 ; + wire \blk00000003/blk00000119/sig00000736 ; + wire \blk00000003/blk00000119/sig00000735 ; + wire \blk00000003/blk00000119/sig00000734 ; + wire \blk00000003/blk0000014c/sig0000079d ; + wire \blk00000003/blk0000014c/sig0000079c ; + wire \blk00000003/blk0000014c/sig0000079b ; + wire \blk00000003/blk0000014c/sig0000079a ; + wire \blk00000003/blk0000014c/sig00000799 ; + wire \blk00000003/blk0000014c/sig00000798 ; + wire \blk00000003/blk0000014c/sig00000797 ; + wire \blk00000003/blk0000014c/sig00000796 ; + wire \blk00000003/blk0000014c/sig00000795 ; + wire \blk00000003/blk0000014c/sig00000794 ; + wire \blk00000003/blk0000014c/sig00000793 ; + wire \blk00000003/blk0000014c/sig00000792 ; + wire \blk00000003/blk0000014c/sig00000791 ; + wire \blk00000003/blk0000014c/sig00000790 ; + wire \blk00000003/blk0000014c/sig0000078f ; + wire \blk00000003/blk0000014c/sig0000078e ; + wire \blk00000003/blk0000014c/sig0000078d ; + wire \blk00000003/blk0000014c/sig0000078c ; + wire \blk00000003/blk0000014c/sig0000078b ; + wire \blk00000003/blk0000014c/sig0000078a ; + wire \blk00000003/blk0000014c/sig00000789 ; + wire \blk00000003/blk0000014c/sig00000788 ; + wire \blk00000003/blk0000014c/sig00000787 ; + wire \blk00000003/blk0000014c/sig00000786 ; + wire \blk00000003/blk0000014c/sig00000785 ; + wire \blk00000003/blk0000014c/sig00000784 ; + wire \blk00000003/blk0000017f/sig000007ed ; + wire \blk00000003/blk0000017f/sig000007ec ; + wire \blk00000003/blk0000017f/sig000007eb ; + wire \blk00000003/blk0000017f/sig000007ea ; + wire \blk00000003/blk0000017f/sig000007e9 ; + wire \blk00000003/blk0000017f/sig000007e8 ; + wire \blk00000003/blk0000017f/sig000007e7 ; + wire \blk00000003/blk0000017f/sig000007e6 ; + wire \blk00000003/blk0000017f/sig000007e5 ; + wire \blk00000003/blk0000017f/sig000007e4 ; + wire \blk00000003/blk0000017f/sig000007e3 ; + wire \blk00000003/blk0000017f/sig000007e2 ; + wire \blk00000003/blk0000017f/sig000007e1 ; + wire \blk00000003/blk0000017f/sig000007e0 ; + wire \blk00000003/blk0000017f/sig000007df ; + wire \blk00000003/blk0000017f/sig000007de ; + wire \blk00000003/blk0000017f/sig000007dd ; + wire \blk00000003/blk0000017f/sig000007dc ; + wire \blk00000003/blk0000017f/sig000007db ; + wire \blk00000003/blk0000017f/sig000007da ; + wire \blk00000003/blk0000017f/sig000007d9 ; + wire \blk00000003/blk0000017f/sig000007d8 ; + wire \blk00000003/blk0000017f/sig000007d7 ; + wire \blk00000003/blk0000017f/sig000007d6 ; + wire \blk00000003/blk0000017f/sig000007d5 ; + wire \blk00000003/blk0000017f/sig000007d4 ; + wire \blk00000003/blk000001b2/sig0000083d ; + wire \blk00000003/blk000001b2/sig0000083c ; + wire \blk00000003/blk000001b2/sig0000083b ; + wire \blk00000003/blk000001b2/sig0000083a ; + wire \blk00000003/blk000001b2/sig00000839 ; + wire \blk00000003/blk000001b2/sig00000838 ; + wire \blk00000003/blk000001b2/sig00000837 ; + wire \blk00000003/blk000001b2/sig00000836 ; + wire \blk00000003/blk000001b2/sig00000835 ; + wire \blk00000003/blk000001b2/sig00000834 ; + wire \blk00000003/blk000001b2/sig00000833 ; + wire \blk00000003/blk000001b2/sig00000832 ; + wire \blk00000003/blk000001b2/sig00000831 ; + wire \blk00000003/blk000001b2/sig00000830 ; + wire \blk00000003/blk000001b2/sig0000082f ; + wire \blk00000003/blk000001b2/sig0000082e ; + wire \blk00000003/blk000001b2/sig0000082d ; + wire \blk00000003/blk000001b2/sig0000082c ; + wire \blk00000003/blk000001b2/sig0000082b ; + wire \blk00000003/blk000001b2/sig0000082a ; + wire \blk00000003/blk000001b2/sig00000829 ; + wire \blk00000003/blk000001b2/sig00000828 ; + wire \blk00000003/blk000001b2/sig00000827 ; + wire \blk00000003/blk000001b2/sig00000826 ; + wire \blk00000003/blk000001b2/sig00000825 ; + wire \blk00000003/blk000001b2/sig00000824 ; + wire \blk00000003/blk000001e5/sig0000088d ; + wire \blk00000003/blk000001e5/sig0000088c ; + wire \blk00000003/blk000001e5/sig0000088b ; + wire \blk00000003/blk000001e5/sig0000088a ; + wire \blk00000003/blk000001e5/sig00000889 ; + wire \blk00000003/blk000001e5/sig00000888 ; + wire \blk00000003/blk000001e5/sig00000887 ; + wire \blk00000003/blk000001e5/sig00000886 ; + wire \blk00000003/blk000001e5/sig00000885 ; + wire \blk00000003/blk000001e5/sig00000884 ; + wire \blk00000003/blk000001e5/sig00000883 ; + wire \blk00000003/blk000001e5/sig00000882 ; + wire \blk00000003/blk000001e5/sig00000881 ; + wire \blk00000003/blk000001e5/sig00000880 ; + wire \blk00000003/blk000001e5/sig0000087f ; + wire \blk00000003/blk000001e5/sig0000087e ; + wire \blk00000003/blk000001e5/sig0000087d ; + wire \blk00000003/blk000001e5/sig0000087c ; + wire \blk00000003/blk000001e5/sig0000087b ; + wire \blk00000003/blk000001e5/sig0000087a ; + wire \blk00000003/blk000001e5/sig00000879 ; + wire \blk00000003/blk000001e5/sig00000878 ; + wire \blk00000003/blk000001e5/sig00000877 ; + wire \blk00000003/blk000001e5/sig00000876 ; + wire \blk00000003/blk000001e5/sig00000875 ; + wire \blk00000003/blk000001e5/sig00000874 ; + wire \blk00000003/blk00000218/sig000008dd ; + wire \blk00000003/blk00000218/sig000008dc ; + wire \blk00000003/blk00000218/sig000008db ; + wire \blk00000003/blk00000218/sig000008da ; + wire \blk00000003/blk00000218/sig000008d9 ; + wire \blk00000003/blk00000218/sig000008d8 ; + wire \blk00000003/blk00000218/sig000008d7 ; + wire \blk00000003/blk00000218/sig000008d6 ; + wire \blk00000003/blk00000218/sig000008d5 ; + wire \blk00000003/blk00000218/sig000008d4 ; + wire \blk00000003/blk00000218/sig000008d3 ; + wire \blk00000003/blk00000218/sig000008d2 ; + wire \blk00000003/blk00000218/sig000008d1 ; + wire \blk00000003/blk00000218/sig000008d0 ; + wire \blk00000003/blk00000218/sig000008cf ; + wire \blk00000003/blk00000218/sig000008ce ; + wire \blk00000003/blk00000218/sig000008cd ; + wire \blk00000003/blk00000218/sig000008cc ; + wire \blk00000003/blk00000218/sig000008cb ; + wire \blk00000003/blk00000218/sig000008ca ; + wire \blk00000003/blk00000218/sig000008c9 ; + wire \blk00000003/blk00000218/sig000008c8 ; + wire \blk00000003/blk00000218/sig000008c7 ; + wire \blk00000003/blk00000218/sig000008c6 ; + wire \blk00000003/blk00000218/sig000008c5 ; + wire \blk00000003/blk00000218/sig000008c4 ; + wire \blk00000003/blk0000024b/sig0000092d ; + wire \blk00000003/blk0000024b/sig0000092c ; + wire \blk00000003/blk0000024b/sig0000092b ; + wire \blk00000003/blk0000024b/sig0000092a ; + wire \blk00000003/blk0000024b/sig00000929 ; + wire \blk00000003/blk0000024b/sig00000928 ; + wire \blk00000003/blk0000024b/sig00000927 ; + wire \blk00000003/blk0000024b/sig00000926 ; + wire \blk00000003/blk0000024b/sig00000925 ; + wire \blk00000003/blk0000024b/sig00000924 ; + wire \blk00000003/blk0000024b/sig00000923 ; + wire \blk00000003/blk0000024b/sig00000922 ; + wire \blk00000003/blk0000024b/sig00000921 ; + wire \blk00000003/blk0000024b/sig00000920 ; + wire \blk00000003/blk0000024b/sig0000091f ; + wire \blk00000003/blk0000024b/sig0000091e ; + wire \blk00000003/blk0000024b/sig0000091d ; + wire \blk00000003/blk0000024b/sig0000091c ; + wire \blk00000003/blk0000024b/sig0000091b ; + wire \blk00000003/blk0000024b/sig0000091a ; + wire \blk00000003/blk0000024b/sig00000919 ; + wire \blk00000003/blk0000024b/sig00000918 ; + wire \blk00000003/blk0000024b/sig00000917 ; + wire \blk00000003/blk0000024b/sig00000916 ; + wire \blk00000003/blk0000024b/sig00000915 ; + wire \blk00000003/blk0000024b/sig00000914 ; + wire \blk00000003/blk0000027e/sig0000097d ; + wire \blk00000003/blk0000027e/sig0000097c ; + wire \blk00000003/blk0000027e/sig0000097b ; + wire \blk00000003/blk0000027e/sig0000097a ; + wire \blk00000003/blk0000027e/sig00000979 ; + wire \blk00000003/blk0000027e/sig00000978 ; + wire \blk00000003/blk0000027e/sig00000977 ; + wire \blk00000003/blk0000027e/sig00000976 ; + wire \blk00000003/blk0000027e/sig00000975 ; + wire \blk00000003/blk0000027e/sig00000974 ; + wire \blk00000003/blk0000027e/sig00000973 ; + wire \blk00000003/blk0000027e/sig00000972 ; + wire \blk00000003/blk0000027e/sig00000971 ; + wire \blk00000003/blk0000027e/sig00000970 ; + wire \blk00000003/blk0000027e/sig0000096f ; + wire \blk00000003/blk0000027e/sig0000096e ; + wire \blk00000003/blk0000027e/sig0000096d ; + wire \blk00000003/blk0000027e/sig0000096c ; + wire \blk00000003/blk0000027e/sig0000096b ; + wire \blk00000003/blk0000027e/sig0000096a ; + wire \blk00000003/blk0000027e/sig00000969 ; + wire \blk00000003/blk0000027e/sig00000968 ; + wire \blk00000003/blk0000027e/sig00000967 ; + wire \blk00000003/blk0000027e/sig00000966 ; + wire \blk00000003/blk0000027e/sig00000965 ; + wire \blk00000003/blk0000027e/sig00000964 ; + wire \blk00000003/blk000002b1/sig000009e4 ; + wire \blk00000003/blk000002b1/sig000009e3 ; + wire \blk00000003/blk000002b1/sig000009e2 ; + wire \blk00000003/blk000002b1/sig000009e1 ; + wire \blk00000003/blk000002b1/sig000009e0 ; + wire \blk00000003/blk000002b1/sig000009df ; + wire \blk00000003/blk000002b1/sig000009de ; + wire \blk00000003/blk000002b1/sig000009dd ; + wire \blk00000003/blk000002b1/sig000009dc ; + wire \blk00000003/blk000002b1/sig000009db ; + wire \blk00000003/blk000002b1/sig000009da ; + wire \blk00000003/blk000002b1/sig000009d9 ; + wire \blk00000003/blk000002b1/sig000009d8 ; + wire \blk00000003/blk000002b1/sig000009d7 ; + wire \blk00000003/blk000002b1/sig000009d6 ; + wire \blk00000003/blk000002b1/sig000009d5 ; + wire \blk00000003/blk000002b1/sig000009d4 ; + wire \blk00000003/blk000002b1/sig000009d3 ; + wire \blk00000003/blk000002b1/sig000009d2 ; + wire \blk00000003/blk000002b1/sig000009d1 ; + wire \blk00000003/blk000002b1/sig000009d0 ; + wire \blk00000003/blk000002b1/sig000009cf ; + wire \blk00000003/blk000002b1/sig000009ce ; + wire \blk00000003/blk000002b1/sig000009cd ; + wire \blk00000003/blk000002b1/sig000009cc ; + wire \blk00000003/blk000002b1/sig000009cb ; + wire \blk00000003/blk000002b1/sig000009ca ; + wire \blk00000003/blk000002b1/sig000009c9 ; + wire \blk00000003/blk000002b1/sig000009c8 ; + wire \blk00000003/blk000002b1/sig000009c7 ; + wire \blk00000003/blk000002b1/sig000009c6 ; + wire \blk00000003/blk000002b1/sig000009c5 ; + wire \blk00000003/blk000002b1/sig000009c4 ; + wire \blk00000003/blk000002b1/sig000009c3 ; + wire \blk00000003/blk000002b1/sig000009c2 ; + wire \blk00000003/blk000002b1/sig000009c1 ; + wire \blk00000003/blk000002b1/sig000009c0 ; + wire \blk00000003/blk000002b1/sig000009bf ; + wire \blk00000003/blk000002ea/sig00000a27 ; + wire \blk00000003/blk000002ea/sig00000a26 ; + wire \blk00000003/blk000002ea/sig00000a25 ; + wire \blk00000003/blk000002ea/sig00000a24 ; + wire \blk00000003/blk000002ea/sig00000a23 ; + wire \blk00000003/blk000002ea/sig00000a22 ; + wire \blk00000003/blk000002ea/sig00000a21 ; + wire \blk00000003/blk000002ea/sig00000a20 ; + wire \blk00000003/blk000002ea/sig00000a1f ; + wire \blk00000003/blk000002ea/sig00000a1e ; + wire \blk00000003/blk000002ea/sig00000a1d ; + wire \blk00000003/blk000002ea/sig00000a1c ; + wire \blk00000003/blk000002ea/sig00000a1b ; + wire \blk00000003/blk000002ea/sig00000a1a ; + wire \blk00000003/blk000002ea/sig00000a19 ; + wire \blk00000003/blk000002ea/sig00000a18 ; + wire \blk00000003/blk000002ea/sig00000a17 ; + wire \blk00000003/blk000002ea/sig00000a16 ; + wire \blk00000003/blk000002ea/sig00000a15 ; + wire \blk00000003/blk000002ea/sig00000a14 ; + wire \blk00000003/blk00000371/sig00000a64 ; + wire \blk00000003/blk00000371/sig00000a63 ; + wire \blk00000003/blk00000371/sig00000a62 ; + wire \blk00000003/blk00000371/sig00000a61 ; + wire \blk00000003/blk00000371/sig00000a60 ; + wire \blk00000003/blk00000371/sig00000a5f ; + wire \blk00000003/blk00000371/sig00000a5e ; + wire \blk00000003/blk00000371/sig00000a5d ; + wire \blk00000003/blk00000371/sig00000a5c ; + wire \blk00000003/blk00000371/sig00000a5b ; + wire \blk00000003/blk00000371/sig00000a5a ; + wire \blk00000003/blk00000371/sig00000a59 ; + wire \blk00000003/blk00000371/sig00000a58 ; + wire \blk00000003/blk00000371/sig00000a57 ; + wire \blk00000003/blk00000371/sig00000a56 ; + wire \blk00000003/blk00000371/sig00000a55 ; + wire \blk00000003/blk00000371/sig00000a54 ; + wire \blk00000003/blk00000371/sig00000a53 ; + wire \blk00000003/blk00000371/sig00000a52 ; + wire \blk00000003/blk00000371/sig00000a51 ; + wire NLW_blk00000001_P_UNCONNECTED; + wire NLW_blk00000002_G_UNCONNECTED; + wire \NLW_blk00000003/blk0000065a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000658_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000656_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000654_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000652_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000650_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000648_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000646_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000644_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000642_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000640_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000638_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000636_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000634_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000632_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000630_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000062e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000062c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000062a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000628_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000626_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000624_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000622_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000620_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000061e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000061c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000061a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000618_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000616_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000614_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000612_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000610_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000060e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000060c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000060a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000608_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000606_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000604_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000602_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000600_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005fe_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005fc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005fa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005f8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005f6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005f4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005f2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005f0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ee_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ec_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ea_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005e8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005e6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005e4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005e2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ce_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005cc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ca_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005be_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005bc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ba_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005b0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000059e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000059c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000059a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000598_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000596_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000594_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000592_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000590_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000058e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000058c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000058a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000588_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000586_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000584_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000582_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000580_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000057e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000057c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000057a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000578_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000576_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000574_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000572_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000570_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000056e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000056c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000056a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000568_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000566_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000564_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000562_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000560_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000055e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000055c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000055a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000558_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000556_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000554_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000552_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000550_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000054a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000548_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000546_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000544_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000542_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000540_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000053e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000053c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000053a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000538_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000536_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000534_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000532_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000530_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000052e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000052c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000052a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000528_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000526_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000524_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000522_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000520_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000051a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000518_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000516_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000514_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000512_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000510_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000050e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000050c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000050a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000508_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000506_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000504_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000409_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000409_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000039d_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000039d_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f2_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e2_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e1_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000dd_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000dd_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d8_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d7_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d6_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d5_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d4_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d3_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cf_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ce_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cd_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cc_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cb_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ca_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c9_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c2_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c2_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000bd_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000bd_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b8_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b8_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b2_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b2_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a0_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009e_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk00000096_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000095_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000094_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000093_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000092_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000090_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000008f_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000019_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PATTERNBDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_MULTSIGNOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_CARRYCASCOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_UNDERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PATTERNDETECT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_OVERFLOW_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_ACOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_CARRYOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_CARRYOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_CARRYOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_CARRYOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000d_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000a_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000009_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000008b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000008a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000089_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000088_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000087_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000086_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000085_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000084_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000083_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000082_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000081_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000080_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000007f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000007e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000007d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000007c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000007b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000007a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000079_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000078_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000077_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000076_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000075_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000074_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000073_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000072_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000071_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000070_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000006f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000006e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000006d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000006c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000006b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000006a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000069_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000068_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000067_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000066_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000065_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000064_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000063_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000062_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000061_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk00000060_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000005f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000005e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000005d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000002a/blk0000005c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk0000014a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000149_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000148_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000147_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000146_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000145_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000144_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000143_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000142_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000141_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000140_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk0000013f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk0000013e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk0000013d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk0000013c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk0000013b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk0000013a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000139_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000138_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000137_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000136_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000135_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000134_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000119/blk00000133_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk0000017d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk0000017c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk0000017b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk0000017a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk00000179_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk00000178_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk00000177_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk00000176_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk00000175_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk00000174_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk00000173_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk00000172_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk00000171_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk00000170_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk0000016f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk0000016e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk0000016d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk0000016c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk0000016b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk0000016a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk00000169_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk00000168_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk00000167_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000014c/blk00000166_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001b0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk000001a0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk0000019f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk0000019e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk0000019d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk0000019c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk0000019b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk0000019a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000017f/blk00000199_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001e3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001e2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001ce_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001cd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001b2/blk000001cc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000216_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000215_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000214_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000213_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000212_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000211_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000210_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk0000020f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk0000020e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk0000020d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk0000020c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk0000020b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk0000020a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000209_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000208_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000207_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000206_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000205_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000204_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000203_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000202_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000201_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk00000200_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001e5/blk000001ff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000249_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000248_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000247_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000246_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000245_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000244_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000243_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000242_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000241_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000240_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk0000023f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk0000023e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk0000023d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk0000023c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk0000023b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk0000023a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000239_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000238_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000237_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000236_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000235_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000234_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000233_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000218/blk00000232_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk0000027c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk0000027b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk0000027a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000279_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000278_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000277_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000276_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000275_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000274_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000273_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000272_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000271_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000270_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk0000026f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk0000026e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk0000026d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk0000026c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk0000026b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk0000026a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000269_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000268_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000267_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000266_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000024b/blk00000265_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk000002a0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk0000029f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk0000029e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk0000029d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk0000029c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk0000029b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk0000029a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk00000299_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000027e/blk00000298_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk0000030f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk0000030e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk0000030d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk0000030c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk0000030b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk0000030a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk00000309_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk00000308_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk00000307_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk00000306_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk00000305_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk00000304_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk00000303_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk00000302_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk00000301_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk00000300_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk000002ff_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000002ea/blk000002fe_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk00000396_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk00000395_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk00000394_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk00000393_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk00000392_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk00000391_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk00000390_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk0000038f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk0000038e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk0000038d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk0000038c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk0000038b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk0000038a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk00000389_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk00000388_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk00000387_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk00000386_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000371/blk00000385_SPO_UNCONNECTED ; + wire [17 : 0] coef_din_0; + wire [23 : 0] din_1_1; + wire [23 : 0] din_2_2; + wire [47 : 0] NlwRenamedSig_OI_dout_1; + wire [47 : 0] NlwRenamedSig_OI_dout_2; + assign + rfd = NlwRenamedSig_OI_rfd, + dout_1[47] = NlwRenamedSig_OI_dout_1[47], + dout_1[46] = NlwRenamedSig_OI_dout_1[46], + dout_1[45] = NlwRenamedSig_OI_dout_1[45], + dout_1[44] = NlwRenamedSig_OI_dout_1[44], + dout_1[43] = NlwRenamedSig_OI_dout_1[43], + dout_1[42] = NlwRenamedSig_OI_dout_1[42], + dout_1[41] = NlwRenamedSig_OI_dout_1[41], + dout_1[40] = NlwRenamedSig_OI_dout_1[40], + dout_1[39] = NlwRenamedSig_OI_dout_1[39], + dout_1[38] = NlwRenamedSig_OI_dout_1[38], + dout_1[37] = NlwRenamedSig_OI_dout_1[37], + dout_1[36] = NlwRenamedSig_OI_dout_1[36], + dout_1[35] = NlwRenamedSig_OI_dout_1[35], + dout_1[34] = NlwRenamedSig_OI_dout_1[34], + dout_1[33] = NlwRenamedSig_OI_dout_1[33], + dout_1[32] = NlwRenamedSig_OI_dout_1[32], + dout_1[31] = NlwRenamedSig_OI_dout_1[31], + dout_1[30] = NlwRenamedSig_OI_dout_1[30], + dout_1[29] = NlwRenamedSig_OI_dout_1[29], + dout_1[28] = NlwRenamedSig_OI_dout_1[28], + dout_1[27] = NlwRenamedSig_OI_dout_1[27], + dout_1[26] = NlwRenamedSig_OI_dout_1[26], + dout_1[25] = NlwRenamedSig_OI_dout_1[25], + dout_1[24] = NlwRenamedSig_OI_dout_1[24], + dout_1[23] = NlwRenamedSig_OI_dout_1[23], + dout_1[22] = NlwRenamedSig_OI_dout_1[22], + dout_1[21] = NlwRenamedSig_OI_dout_1[21], + dout_1[20] = NlwRenamedSig_OI_dout_1[20], + dout_1[19] = NlwRenamedSig_OI_dout_1[19], + dout_1[18] = NlwRenamedSig_OI_dout_1[18], + dout_1[17] = NlwRenamedSig_OI_dout_1[17], + dout_1[16] = NlwRenamedSig_OI_dout_1[16], + dout_1[15] = NlwRenamedSig_OI_dout_1[15], + dout_1[14] = NlwRenamedSig_OI_dout_1[14], + dout_1[13] = NlwRenamedSig_OI_dout_1[13], + dout_1[12] = NlwRenamedSig_OI_dout_1[12], + dout_1[11] = NlwRenamedSig_OI_dout_1[11], + dout_1[10] = NlwRenamedSig_OI_dout_1[10], + dout_1[9] = NlwRenamedSig_OI_dout_1[9], + dout_1[8] = NlwRenamedSig_OI_dout_1[8], + dout_1[7] = NlwRenamedSig_OI_dout_1[7], + dout_1[6] = NlwRenamedSig_OI_dout_1[6], + dout_1[5] = NlwRenamedSig_OI_dout_1[5], + dout_1[4] = NlwRenamedSig_OI_dout_1[4], + dout_1[3] = NlwRenamedSig_OI_dout_1[3], + dout_1[2] = NlwRenamedSig_OI_dout_1[2], + dout_1[1] = NlwRenamedSig_OI_dout_1[1], + dout_1[0] = NlwRenamedSig_OI_dout_1[0], + dout_2[47] = NlwRenamedSig_OI_dout_2[47], + dout_2[46] = NlwRenamedSig_OI_dout_2[46], + dout_2[45] = NlwRenamedSig_OI_dout_2[45], + dout_2[44] = NlwRenamedSig_OI_dout_2[44], + dout_2[43] = NlwRenamedSig_OI_dout_2[43], + dout_2[42] = NlwRenamedSig_OI_dout_2[42], + dout_2[41] = NlwRenamedSig_OI_dout_2[41], + dout_2[40] = NlwRenamedSig_OI_dout_2[40], + dout_2[39] = NlwRenamedSig_OI_dout_2[39], + dout_2[38] = NlwRenamedSig_OI_dout_2[38], + dout_2[37] = NlwRenamedSig_OI_dout_2[37], + dout_2[36] = NlwRenamedSig_OI_dout_2[36], + dout_2[35] = NlwRenamedSig_OI_dout_2[35], + dout_2[34] = NlwRenamedSig_OI_dout_2[34], + dout_2[33] = NlwRenamedSig_OI_dout_2[33], + dout_2[32] = NlwRenamedSig_OI_dout_2[32], + dout_2[31] = NlwRenamedSig_OI_dout_2[31], + dout_2[30] = NlwRenamedSig_OI_dout_2[30], + dout_2[29] = NlwRenamedSig_OI_dout_2[29], + dout_2[28] = NlwRenamedSig_OI_dout_2[28], + dout_2[27] = NlwRenamedSig_OI_dout_2[27], + dout_2[26] = NlwRenamedSig_OI_dout_2[26], + dout_2[25] = NlwRenamedSig_OI_dout_2[25], + dout_2[24] = NlwRenamedSig_OI_dout_2[24], + dout_2[23] = NlwRenamedSig_OI_dout_2[23], + dout_2[22] = NlwRenamedSig_OI_dout_2[22], + dout_2[21] = NlwRenamedSig_OI_dout_2[21], + dout_2[20] = NlwRenamedSig_OI_dout_2[20], + dout_2[19] = NlwRenamedSig_OI_dout_2[19], + dout_2[18] = NlwRenamedSig_OI_dout_2[18], + dout_2[17] = NlwRenamedSig_OI_dout_2[17], + dout_2[16] = NlwRenamedSig_OI_dout_2[16], + dout_2[15] = NlwRenamedSig_OI_dout_2[15], + dout_2[14] = NlwRenamedSig_OI_dout_2[14], + dout_2[13] = NlwRenamedSig_OI_dout_2[13], + dout_2[12] = NlwRenamedSig_OI_dout_2[12], + dout_2[11] = NlwRenamedSig_OI_dout_2[11], + dout_2[10] = NlwRenamedSig_OI_dout_2[10], + dout_2[9] = NlwRenamedSig_OI_dout_2[9], + dout_2[8] = NlwRenamedSig_OI_dout_2[8], + dout_2[7] = NlwRenamedSig_OI_dout_2[7], + dout_2[6] = NlwRenamedSig_OI_dout_2[6], + dout_2[5] = NlwRenamedSig_OI_dout_2[5], + dout_2[4] = NlwRenamedSig_OI_dout_2[4], + dout_2[3] = NlwRenamedSig_OI_dout_2[3], + dout_2[2] = NlwRenamedSig_OI_dout_2[2], + dout_2[1] = NlwRenamedSig_OI_dout_2[1], + dout_2[0] = NlwRenamedSig_OI_dout_2[0], + din_1_1[23] = din_1[23], + din_1_1[22] = din_1[22], + din_1_1[21] = din_1[21], + din_1_1[20] = din_1[20], + din_1_1[19] = din_1[19], + din_1_1[18] = din_1[18], + din_1_1[17] = din_1[17], + din_1_1[16] = din_1[16], + din_1_1[15] = din_1[15], + din_1_1[14] = din_1[14], + din_1_1[13] = din_1[13], + din_1_1[12] = din_1[12], + din_1_1[11] = din_1[11], + din_1_1[10] = din_1[10], + din_1_1[9] = din_1[9], + din_1_1[8] = din_1[8], + din_1_1[7] = din_1[7], + din_1_1[6] = din_1[6], + din_1_1[5] = din_1[5], + din_1_1[4] = din_1[4], + din_1_1[3] = din_1[3], + din_1_1[2] = din_1[2], + din_1_1[1] = din_1[1], + din_1_1[0] = din_1[0], + din_2_2[23] = din_2[23], + din_2_2[22] = din_2[22], + din_2_2[21] = din_2[21], + din_2_2[20] = din_2[20], + din_2_2[19] = din_2[19], + din_2_2[18] = din_2[18], + din_2_2[17] = din_2[17], + din_2_2[16] = din_2[16], + din_2_2[15] = din_2[15], + din_2_2[14] = din_2[14], + din_2_2[13] = din_2[13], + din_2_2[12] = din_2[12], + din_2_2[11] = din_2[11], + din_2_2[10] = din_2[10], + din_2_2[9] = din_2[9], + din_2_2[8] = din_2[8], + din_2_2[7] = din_2[7], + din_2_2[6] = din_2[6], + din_2_2[5] = din_2[5], + din_2_2[4] = din_2[4], + din_2_2[3] = din_2[3], + din_2_2[2] = din_2[2], + din_2_2[1] = din_2[1], + din_2_2[0] = din_2[0], + coef_din_0[17] = coef_din[17], + coef_din_0[16] = coef_din[16], + coef_din_0[15] = coef_din[15], + coef_din_0[14] = coef_din[14], + coef_din_0[13] = coef_din[13], + coef_din_0[12] = coef_din[12], + coef_din_0[11] = coef_din[11], + coef_din_0[10] = coef_din[10], + coef_din_0[9] = coef_din[9], + coef_din_0[8] = coef_din[8], + coef_din_0[7] = coef_din[7], + coef_din_0[6] = coef_din[6], + coef_din_0[5] = coef_din[5], + coef_din_0[4] = coef_din[4], + coef_din_0[3] = coef_din[3], + coef_din_0[2] = coef_din[2], + coef_din_0[1] = coef_din[1], + coef_din_0[0] = coef_din[0]; + VCC blk00000001 ( + .P(NLW_blk00000001_P_UNCONNECTED) + ); + GND blk00000002 ( + .G(NLW_blk00000002_G_UNCONNECTED) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000065b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000664 ), + .Q(\blk00000003/sig00000579 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000065a ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000434 ), + .Q(\blk00000003/sig00000664 ), + .Q15(\NLW_blk00000003/blk0000065a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000659 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000663 ), + .Q(\blk00000003/sig00000502 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000658 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000042e ), + .Q(\blk00000003/sig00000663 ), + .Q15(\NLW_blk00000003/blk00000658_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000657 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000662 ), + .Q(\blk00000003/sig000001c3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000656 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000630 ), + .Q(\blk00000003/sig00000662 ), + .Q15(\NLW_blk00000003/blk00000656_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000655 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000661 ), + .Q(\blk00000003/sig000001c2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000654 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000062e ), + .Q(\blk00000003/sig00000661 ), + .Q15(\NLW_blk00000003/blk00000654_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000653 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000660 ), + .Q(\blk00000003/sig000001c1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000652 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000062c ), + .Q(\blk00000003/sig00000660 ), + .Q15(\NLW_blk00000003/blk00000652_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000651 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000065f ), + .Q(\blk00000003/sig000001c0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000650 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000062a ), + .Q(\blk00000003/sig0000065f ), + .Q15(\NLW_blk00000003/blk00000650_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000065e ), + .Q(\blk00000003/sig000001be ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064e ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000628 ), + .Q(\blk00000003/sig0000065e ), + .Q15(\NLW_blk00000003/blk0000064e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000065d ), + .Q(\blk00000003/sig000001bd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064c ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000626 ), + .Q(\blk00000003/sig0000065d ), + .Q15(\NLW_blk00000003/blk0000064c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000065c ), + .Q(\blk00000003/sig000001bf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064a ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000624 ), + .Q(\blk00000003/sig0000065c ), + .Q15(\NLW_blk00000003/blk0000064a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000649 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000065b ), + .Q(\blk00000003/sig000001bc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000648 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000061e ), + .Q(\blk00000003/sig0000065b ), + .Q15(\NLW_blk00000003/blk00000648_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000647 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000065a ), + .Q(\blk00000003/sig000001bb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000646 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000622 ), + .Q(\blk00000003/sig0000065a ), + .Q15(\NLW_blk00000003/blk00000646_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000645 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000659 ), + .Q(\blk00000003/sig000001b9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000644 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000618 ), + .Q(\blk00000003/sig00000659 ), + .Q15(\NLW_blk00000003/blk00000644_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000643 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000658 ), + .Q(\blk00000003/sig000001b8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000642 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000061c ), + .Q(\blk00000003/sig00000658 ), + .Q15(\NLW_blk00000003/blk00000642_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000641 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000657 ), + .Q(\blk00000003/sig000001ba ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000640 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000620 ), + .Q(\blk00000003/sig00000657 ), + .Q15(\NLW_blk00000003/blk00000640_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000656 ), + .Q(\blk00000003/sig000001b6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063e ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000616 ), + .Q(\blk00000003/sig00000656 ), + .Q15(\NLW_blk00000003/blk0000063e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000655 ), + .Q(\blk00000003/sig000001b5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063c ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000614 ), + .Q(\blk00000003/sig00000655 ), + .Q15(\NLW_blk00000003/blk0000063c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000654 ), + .Q(\blk00000003/sig000001b7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063a ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000061a ), + .Q(\blk00000003/sig00000654 ), + .Q15(\NLW_blk00000003/blk0000063a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000639 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000653 ), + .Q(\blk00000003/sig000001b3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000638 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000612 ), + .Q(\blk00000003/sig00000653 ), + .Q15(\NLW_blk00000003/blk00000638_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000637 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000652 ), + .Q(\blk00000003/sig000001b2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000636 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000610 ), + .Q(\blk00000003/sig00000652 ), + .Q15(\NLW_blk00000003/blk00000636_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000635 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000651 ), + .Q(\blk00000003/sig000001b4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000634 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000060e ), + .Q(\blk00000003/sig00000651 ), + .Q15(\NLW_blk00000003/blk00000634_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000633 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000650 ), + .Q(\blk00000003/sig000001b1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000632 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000608 ), + .Q(\blk00000003/sig00000650 ), + .Q15(\NLW_blk00000003/blk00000632_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000631 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000064f ), + .Q(\blk00000003/sig000001b0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000630 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000060c ), + .Q(\blk00000003/sig0000064f ), + .Q15(\NLW_blk00000003/blk00000630_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000062f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000064e ), + .Q(\blk00000003/sig000001ae ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000062e ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000602 ), + .Q(\blk00000003/sig0000064e ), + .Q15(\NLW_blk00000003/blk0000062e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000062d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000064d ), + .Q(\blk00000003/sig000001ad ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000062c ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000606 ), + .Q(\blk00000003/sig0000064d ), + .Q15(\NLW_blk00000003/blk0000062c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000062b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000064c ), + .Q(\blk00000003/sig000001af ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000062a ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000060a ), + .Q(\blk00000003/sig0000064c ), + .Q15(\NLW_blk00000003/blk0000062a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000629 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000064b ), + .Q(\blk00000003/sig0000014b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000628 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000600 ), + .Q(\blk00000003/sig0000064b ), + .Q15(\NLW_blk00000003/blk00000628_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000627 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000064a ), + .Q(\blk00000003/sig0000014a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000626 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005fe ), + .Q(\blk00000003/sig0000064a ), + .Q15(\NLW_blk00000003/blk00000626_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000625 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000649 ), + .Q(\blk00000003/sig000001ac ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000624 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000604 ), + .Q(\blk00000003/sig00000649 ), + .Q15(\NLW_blk00000003/blk00000624_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000623 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000648 ), + .Q(\blk00000003/sig00000148 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000622 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005fc ), + .Q(\blk00000003/sig00000648 ), + .Q15(\NLW_blk00000003/blk00000622_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000647 ), + .Q(\blk00000003/sig00000147 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000620 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005fa ), + .Q(\blk00000003/sig00000647 ), + .Q15(\NLW_blk00000003/blk00000620_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000061f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000646 ), + .Q(\blk00000003/sig00000149 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000061e ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005f8 ), + .Q(\blk00000003/sig00000646 ), + .Q15(\NLW_blk00000003/blk0000061e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000061d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000645 ), + .Q(\blk00000003/sig00000146 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000061c ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005f2 ), + .Q(\blk00000003/sig00000645 ), + .Q15(\NLW_blk00000003/blk0000061c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000061b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000644 ), + .Q(\blk00000003/sig00000145 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000061a ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005f6 ), + .Q(\blk00000003/sig00000644 ), + .Q15(\NLW_blk00000003/blk0000061a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000619 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000643 ), + .Q(\blk00000003/sig00000143 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000618 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005ec ), + .Q(\blk00000003/sig00000643 ), + .Q15(\NLW_blk00000003/blk00000618_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000617 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000642 ), + .Q(\blk00000003/sig00000142 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000616 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005f0 ), + .Q(\blk00000003/sig00000642 ), + .Q15(\NLW_blk00000003/blk00000616_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000615 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000641 ), + .Q(\blk00000003/sig00000144 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000614 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005f4 ), + .Q(\blk00000003/sig00000641 ), + .Q15(\NLW_blk00000003/blk00000614_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000613 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000640 ), + .Q(\blk00000003/sig00000140 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000612 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005ea ), + .Q(\blk00000003/sig00000640 ), + .Q15(\NLW_blk00000003/blk00000612_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000611 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000063f ), + .Q(\blk00000003/sig0000013f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000610 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005e8 ), + .Q(\blk00000003/sig0000063f ), + .Q15(\NLW_blk00000003/blk00000610_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000060f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000063e ), + .Q(\blk00000003/sig00000141 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000060e ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005ee ), + .Q(\blk00000003/sig0000063e ), + .Q15(\NLW_blk00000003/blk0000060e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000060d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000063d ), + .Q(\blk00000003/sig0000013d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000060c ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005e6 ), + .Q(\blk00000003/sig0000063d ), + .Q15(\NLW_blk00000003/blk0000060c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000060b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000063c ), + .Q(\blk00000003/sig0000013c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000060a ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005e4 ), + .Q(\blk00000003/sig0000063c ), + .Q15(\NLW_blk00000003/blk0000060a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000609 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000063b ), + .Q(\blk00000003/sig0000013e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000608 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005e2 ), + .Q(\blk00000003/sig0000063b ), + .Q15(\NLW_blk00000003/blk00000608_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000607 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000063a ), + .Q(\blk00000003/sig0000013b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000606 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005e0 ), + .Q(\blk00000003/sig0000063a ), + .Q15(\NLW_blk00000003/blk00000606_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000605 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000639 ), + .Q(\blk00000003/sig0000013a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000604 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005de ), + .Q(\blk00000003/sig00000639 ), + .Q15(\NLW_blk00000003/blk00000604_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000603 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000638 ), + .Q(\blk00000003/sig00000138 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000602 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005dc ), + .Q(\blk00000003/sig00000638 ), + .Q15(\NLW_blk00000003/blk00000602_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000601 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000637 ), + .Q(\blk00000003/sig00000137 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000600 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005da ), + .Q(\blk00000003/sig00000637 ), + .Q15(\NLW_blk00000003/blk00000600_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000636 ), + .Q(\blk00000003/sig00000139 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005fe ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005d8 ), + .Q(\blk00000003/sig00000636 ), + .Q15(\NLW_blk00000003/blk000005fe_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000635 ), + .Q(\blk00000003/sig00000136 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005fc ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005d6 ), + .Q(\blk00000003/sig00000635 ), + .Q15(\NLW_blk00000003/blk000005fc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000634 ), + .Q(\blk00000003/sig00000135 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005fa ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005d4 ), + .Q(\blk00000003/sig00000634 ), + .Q15(\NLW_blk00000003/blk000005fa_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000633 ), + .Q(\blk00000003/sig00000134 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005f8 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000005d0 ), + .Q(\blk00000003/sig00000633 ), + .Q15(\NLW_blk00000003/blk000005f8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000632 ), + .Q(\blk00000003/sig00000434 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005f6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001e1 ), + .Q(\blk00000003/sig00000632 ), + .Q15(\NLW_blk00000003/blk000005f6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000631 ), + .Q(\blk00000003/sig0000057a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005f4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ae ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001c4 ), + .Q(\blk00000003/sig00000631 ), + .Q15(\NLW_blk00000003/blk000005f4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005f3 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig0000062f ), + .Q(\blk00000003/sig00000630 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005f2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000219 ), + .Q(\blk00000003/sig0000062f ), + .Q15(\NLW_blk00000003/blk000005f2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005f1 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig0000062d ), + .Q(\blk00000003/sig0000062e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005f0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000218 ), + .Q(\blk00000003/sig0000062d ), + .Q15(\NLW_blk00000003/blk000005f0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ef ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig0000062b ), + .Q(\blk00000003/sig0000062c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ee ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000217 ), + .Q(\blk00000003/sig0000062b ), + .Q15(\NLW_blk00000003/blk000005ee_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ed ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000629 ), + .Q(\blk00000003/sig0000062a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ec ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000216 ), + .Q(\blk00000003/sig00000629 ), + .Q15(\NLW_blk00000003/blk000005ec_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005eb ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000627 ), + .Q(\blk00000003/sig00000628 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ea ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000214 ), + .Q(\blk00000003/sig00000627 ), + .Q15(\NLW_blk00000003/blk000005ea_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e9 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000625 ), + .Q(\blk00000003/sig00000626 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005e8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000213 ), + .Q(\blk00000003/sig00000625 ), + .Q15(\NLW_blk00000003/blk000005e8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e7 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000623 ), + .Q(\blk00000003/sig00000624 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005e6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000215 ), + .Q(\blk00000003/sig00000623 ), + .Q15(\NLW_blk00000003/blk000005e6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e5 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000621 ), + .Q(\blk00000003/sig00000622 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005e4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000211 ), + .Q(\blk00000003/sig00000621 ), + .Q15(\NLW_blk00000003/blk000005e4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e3 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig0000061f ), + .Q(\blk00000003/sig00000620 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005e2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000210 ), + .Q(\blk00000003/sig0000061f ), + .Q15(\NLW_blk00000003/blk000005e2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005e1 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig0000061d ), + .Q(\blk00000003/sig0000061e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005e0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000212 ), + .Q(\blk00000003/sig0000061d ), + .Q15(\NLW_blk00000003/blk000005e0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005df ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig0000061b ), + .Q(\blk00000003/sig0000061c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005de ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig0000020e ), + .Q(\blk00000003/sig0000061b ), + .Q15(\NLW_blk00000003/blk000005de_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005dd ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000619 ), + .Q(\blk00000003/sig0000061a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005dc ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig0000020d ), + .Q(\blk00000003/sig00000619 ), + .Q15(\NLW_blk00000003/blk000005dc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005db ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000617 ), + .Q(\blk00000003/sig00000618 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005da ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig0000020f ), + .Q(\blk00000003/sig00000617 ), + .Q15(\NLW_blk00000003/blk000005da_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005d9 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000615 ), + .Q(\blk00000003/sig00000616 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005d8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig0000020c ), + .Q(\blk00000003/sig00000615 ), + .Q15(\NLW_blk00000003/blk000005d8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005d7 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000613 ), + .Q(\blk00000003/sig00000614 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005d6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig0000020b ), + .Q(\blk00000003/sig00000613 ), + .Q15(\NLW_blk00000003/blk000005d6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005d5 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000611 ), + .Q(\blk00000003/sig00000612 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005d4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000209 ), + .Q(\blk00000003/sig00000611 ), + .Q15(\NLW_blk00000003/blk000005d4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005d3 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig0000060f ), + .Q(\blk00000003/sig00000610 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005d2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000208 ), + .Q(\blk00000003/sig0000060f ), + .Q15(\NLW_blk00000003/blk000005d2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005d1 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig0000060d ), + .Q(\blk00000003/sig0000060e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005d0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig0000020a ), + .Q(\blk00000003/sig0000060d ), + .Q15(\NLW_blk00000003/blk000005d0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005cf ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig0000060b ), + .Q(\blk00000003/sig0000060c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ce ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000206 ), + .Q(\blk00000003/sig0000060b ), + .Q15(\NLW_blk00000003/blk000005ce_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005cd ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000609 ), + .Q(\blk00000003/sig0000060a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005cc ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000205 ), + .Q(\blk00000003/sig00000609 ), + .Q15(\NLW_blk00000003/blk000005cc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005cb ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000607 ), + .Q(\blk00000003/sig00000608 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ca ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000207 ), + .Q(\blk00000003/sig00000607 ), + .Q15(\NLW_blk00000003/blk000005ca_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c9 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000605 ), + .Q(\blk00000003/sig00000606 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000203 ), + .Q(\blk00000003/sig00000605 ), + .Q15(\NLW_blk00000003/blk000005c8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c7 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000603 ), + .Q(\blk00000003/sig00000604 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000202 ), + .Q(\blk00000003/sig00000603 ), + .Q15(\NLW_blk00000003/blk000005c6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c5 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig00000601 ), + .Q(\blk00000003/sig00000602 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000204 ), + .Q(\blk00000003/sig00000601 ), + .Q15(\NLW_blk00000003/blk000005c4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c3 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005ff ), + .Q(\blk00000003/sig00000600 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000201 ), + .Q(\blk00000003/sig000005ff ), + .Q15(\NLW_blk00000003/blk000005c2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c1 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005fd ), + .Q(\blk00000003/sig000005fe ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig00000200 ), + .Q(\blk00000003/sig000005fd ), + .Q15(\NLW_blk00000003/blk000005c0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005bf ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005fb ), + .Q(\blk00000003/sig000005fc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005be ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001fe ), + .Q(\blk00000003/sig000005fb ), + .Q15(\NLW_blk00000003/blk000005be_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005bd ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005f9 ), + .Q(\blk00000003/sig000005fa ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005bc ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001fd ), + .Q(\blk00000003/sig000005f9 ), + .Q15(\NLW_blk00000003/blk000005bc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005bb ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005f7 ), + .Q(\blk00000003/sig000005f8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ba ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001ff ), + .Q(\blk00000003/sig000005f7 ), + .Q15(\NLW_blk00000003/blk000005ba_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b9 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005f5 ), + .Q(\blk00000003/sig000005f6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001fb ), + .Q(\blk00000003/sig000005f5 ), + .Q15(\NLW_blk00000003/blk000005b8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b7 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005f3 ), + .Q(\blk00000003/sig000005f4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001fa ), + .Q(\blk00000003/sig000005f3 ), + .Q15(\NLW_blk00000003/blk000005b6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b5 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005f1 ), + .Q(\blk00000003/sig000005f2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001fc ), + .Q(\blk00000003/sig000005f1 ), + .Q15(\NLW_blk00000003/blk000005b4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b3 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005ef ), + .Q(\blk00000003/sig000005f0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001f8 ), + .Q(\blk00000003/sig000005ef ), + .Q15(\NLW_blk00000003/blk000005b2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005b1 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005ed ), + .Q(\blk00000003/sig000005ee ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005b0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001f7 ), + .Q(\blk00000003/sig000005ed ), + .Q15(\NLW_blk00000003/blk000005b0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005af ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005eb ), + .Q(\blk00000003/sig000005ec ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ae ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001f9 ), + .Q(\blk00000003/sig000005eb ), + .Q15(\NLW_blk00000003/blk000005ae_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ad ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005e9 ), + .Q(\blk00000003/sig000005ea ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ac ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001f6 ), + .Q(\blk00000003/sig000005e9 ), + .Q15(\NLW_blk00000003/blk000005ac_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ab ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005e7 ), + .Q(\blk00000003/sig000005e8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005aa ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001f5 ), + .Q(\blk00000003/sig000005e7 ), + .Q15(\NLW_blk00000003/blk000005aa_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a9 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005e5 ), + .Q(\blk00000003/sig000005e6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a8 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001f3 ), + .Q(\blk00000003/sig000005e5 ), + .Q15(\NLW_blk00000003/blk000005a8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a7 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005e3 ), + .Q(\blk00000003/sig000005e4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a6 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001f2 ), + .Q(\blk00000003/sig000005e3 ), + .Q15(\NLW_blk00000003/blk000005a6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a5 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005e1 ), + .Q(\blk00000003/sig000005e2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a4 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001f4 ), + .Q(\blk00000003/sig000005e1 ), + .Q15(\NLW_blk00000003/blk000005a4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a3 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005df ), + .Q(\blk00000003/sig000005e0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a2 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001f1 ), + .Q(\blk00000003/sig000005df ), + .Q15(\NLW_blk00000003/blk000005a2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a1 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005dd ), + .Q(\blk00000003/sig000005de ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a0 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001f0 ), + .Q(\blk00000003/sig000005dd ), + .Q15(\NLW_blk00000003/blk000005a0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000059f ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005db ), + .Q(\blk00000003/sig000005dc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000059e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001ee ), + .Q(\blk00000003/sig000005db ), + .Q15(\NLW_blk00000003/blk0000059e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000059d ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005d9 ), + .Q(\blk00000003/sig000005da ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000059c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001ed ), + .Q(\blk00000003/sig000005d9 ), + .Q15(\NLW_blk00000003/blk0000059c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000059b ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005d7 ), + .Q(\blk00000003/sig000005d8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000059a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001ef ), + .Q(\blk00000003/sig000005d7 ), + .Q15(\NLW_blk00000003/blk0000059a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000599 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005d5 ), + .Q(\blk00000003/sig000005d6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000598 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001ec ), + .Q(\blk00000003/sig000005d5 ), + .Q15(\NLW_blk00000003/blk00000598_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000597 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005d3 ), + .Q(\blk00000003/sig000005d4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000596 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001eb ), + .Q(\blk00000003/sig000005d3 ), + .Q15(\NLW_blk00000003/blk00000596_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000595 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005d2 ), + .Q(\blk00000003/sig00000581 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000594 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000435 ), + .Q(\blk00000003/sig000005d2 ), + .Q15(\NLW_blk00000003/blk00000594_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000593 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005d1 ), + .Q(\blk00000003/sig00000480 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000592 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000219 ), + .Q(\blk00000003/sig000005d1 ), + .Q15(\NLW_blk00000003/blk00000592_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000591 ( + .C(clk), + .CE(\blk00000003/sig00000582 ), + .D(\blk00000003/sig000005cf ), + .Q(\blk00000003/sig000005d0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000590 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig000000ae ), + .CE(\blk00000003/sig00000582 ), + .CLK(clk), + .D(\blk00000003/sig000001ea ), + .Q(\blk00000003/sig000005cf ), + .Q15(\NLW_blk00000003/blk00000590_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000058f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005ce ), + .Q(\blk00000003/sig0000047e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000058e ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000217 ), + .Q(\blk00000003/sig000005ce ), + .Q15(\NLW_blk00000003/blk0000058e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000058d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005cd ), + .Q(\blk00000003/sig0000047d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000058c ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000216 ), + .Q(\blk00000003/sig000005cd ), + .Q15(\NLW_blk00000003/blk0000058c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000058b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005cc ), + .Q(\blk00000003/sig0000047f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000058a ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000218 ), + .Q(\blk00000003/sig000005cc ), + .Q15(\NLW_blk00000003/blk0000058a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000589 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005cb ), + .Q(\blk00000003/sig0000047b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000588 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000214 ), + .Q(\blk00000003/sig000005cb ), + .Q15(\NLW_blk00000003/blk00000588_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000587 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005ca ), + .Q(\blk00000003/sig0000047a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000586 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000213 ), + .Q(\blk00000003/sig000005ca ), + .Q15(\NLW_blk00000003/blk00000586_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000585 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005c9 ), + .Q(\blk00000003/sig0000047c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000584 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000215 ), + .Q(\blk00000003/sig000005c9 ), + .Q15(\NLW_blk00000003/blk00000584_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000583 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005c8 ), + .Q(\blk00000003/sig00000479 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000582 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000212 ), + .Q(\blk00000003/sig000005c8 ), + .Q15(\NLW_blk00000003/blk00000582_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000581 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005c7 ), + .Q(\blk00000003/sig00000478 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000580 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000211 ), + .Q(\blk00000003/sig000005c7 ), + .Q15(\NLW_blk00000003/blk00000580_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000057f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005c6 ), + .Q(\blk00000003/sig00000476 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000057e ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020f ), + .Q(\blk00000003/sig000005c6 ), + .Q15(\NLW_blk00000003/blk0000057e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000057d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005c5 ), + .Q(\blk00000003/sig00000475 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000057c ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020e ), + .Q(\blk00000003/sig000005c5 ), + .Q15(\NLW_blk00000003/blk0000057c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000057b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005c4 ), + .Q(\blk00000003/sig00000477 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000057a ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000210 ), + .Q(\blk00000003/sig000005c4 ), + .Q15(\NLW_blk00000003/blk0000057a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000579 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005c3 ), + .Q(\blk00000003/sig00000473 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000578 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020c ), + .Q(\blk00000003/sig000005c3 ), + .Q15(\NLW_blk00000003/blk00000578_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000577 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005c2 ), + .Q(\blk00000003/sig00000472 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000576 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020b ), + .Q(\blk00000003/sig000005c2 ), + .Q15(\NLW_blk00000003/blk00000576_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000575 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005c1 ), + .Q(\blk00000003/sig00000474 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000574 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020d ), + .Q(\blk00000003/sig000005c1 ), + .Q15(\NLW_blk00000003/blk00000574_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000573 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005c0 ), + .Q(\blk00000003/sig00000470 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000572 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000209 ), + .Q(\blk00000003/sig000005c0 ), + .Q15(\NLW_blk00000003/blk00000572_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000571 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005bf ), + .Q(\blk00000003/sig0000046f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000570 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000208 ), + .Q(\blk00000003/sig000005bf ), + .Q15(\NLW_blk00000003/blk00000570_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000056f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005be ), + .Q(\blk00000003/sig00000471 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000056e ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020a ), + .Q(\blk00000003/sig000005be ), + .Q15(\NLW_blk00000003/blk0000056e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000056d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005bd ), + .Q(\blk00000003/sig0000046e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000056c ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000207 ), + .Q(\blk00000003/sig000005bd ), + .Q15(\NLW_blk00000003/blk0000056c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000056b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005bc ), + .Q(\blk00000003/sig0000046d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000056a ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000206 ), + .Q(\blk00000003/sig000005bc ), + .Q15(\NLW_blk00000003/blk0000056a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005bb ), + .Q(\blk00000003/sig0000046b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000568 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000204 ), + .Q(\blk00000003/sig000005bb ), + .Q15(\NLW_blk00000003/blk00000568_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000567 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005ba ), + .Q(\blk00000003/sig0000046a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000566 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000203 ), + .Q(\blk00000003/sig000005ba ), + .Q15(\NLW_blk00000003/blk00000566_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000565 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005b9 ), + .Q(\blk00000003/sig0000046c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000564 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000205 ), + .Q(\blk00000003/sig000005b9 ), + .Q15(\NLW_blk00000003/blk00000564_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000563 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005b8 ), + .Q(\blk00000003/sig000004b0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000562 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000201 ), + .Q(\blk00000003/sig000005b8 ), + .Q15(\NLW_blk00000003/blk00000562_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000561 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005b7 ), + .Q(\blk00000003/sig000004af ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000560 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000200 ), + .Q(\blk00000003/sig000005b7 ), + .Q15(\NLW_blk00000003/blk00000560_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000055f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005b6 ), + .Q(\blk00000003/sig00000469 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000055e ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000202 ), + .Q(\blk00000003/sig000005b6 ), + .Q15(\NLW_blk00000003/blk0000055e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000055d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005b5 ), + .Q(\blk00000003/sig000004ad ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000055c ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fe ), + .Q(\blk00000003/sig000005b5 ), + .Q15(\NLW_blk00000003/blk0000055c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000055b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005b4 ), + .Q(\blk00000003/sig000004ac ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000055a ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fd ), + .Q(\blk00000003/sig000005b4 ), + .Q15(\NLW_blk00000003/blk0000055a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000559 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005b3 ), + .Q(\blk00000003/sig000004ae ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000558 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ff ), + .Q(\blk00000003/sig000005b3 ), + .Q15(\NLW_blk00000003/blk00000558_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000557 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005b2 ), + .Q(\blk00000003/sig000004ab ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000556 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fc ), + .Q(\blk00000003/sig000005b2 ), + .Q15(\NLW_blk00000003/blk00000556_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000555 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005b1 ), + .Q(\blk00000003/sig000004aa ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000554 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fb ), + .Q(\blk00000003/sig000005b1 ), + .Q15(\NLW_blk00000003/blk00000554_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000553 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005b0 ), + .Q(\blk00000003/sig000004a8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000552 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f9 ), + .Q(\blk00000003/sig000005b0 ), + .Q15(\NLW_blk00000003/blk00000552_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000551 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005af ), + .Q(\blk00000003/sig000004a7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000550 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f8 ), + .Q(\blk00000003/sig000005af ), + .Q15(\NLW_blk00000003/blk00000550_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005ae ), + .Q(\blk00000003/sig000004a9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054e ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fa ), + .Q(\blk00000003/sig000005ae ), + .Q15(\NLW_blk00000003/blk0000054e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005ad ), + .Q(\blk00000003/sig000004a6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054c ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f7 ), + .Q(\blk00000003/sig000005ad ), + .Q15(\NLW_blk00000003/blk0000054c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005ac ), + .Q(\blk00000003/sig000004a5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000054a ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f6 ), + .Q(\blk00000003/sig000005ac ), + .Q15(\NLW_blk00000003/blk0000054a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000549 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005ab ), + .Q(\blk00000003/sig000004a3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000548 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f4 ), + .Q(\blk00000003/sig000005ab ), + .Q15(\NLW_blk00000003/blk00000548_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000547 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005aa ), + .Q(\blk00000003/sig000004a2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000546 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f3 ), + .Q(\blk00000003/sig000005aa ), + .Q15(\NLW_blk00000003/blk00000546_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000545 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005a9 ), + .Q(\blk00000003/sig000004a4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000544 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f5 ), + .Q(\blk00000003/sig000005a9 ), + .Q15(\NLW_blk00000003/blk00000544_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000543 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005a8 ), + .Q(\blk00000003/sig000004a1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000542 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f2 ), + .Q(\blk00000003/sig000005a8 ), + .Q15(\NLW_blk00000003/blk00000542_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000541 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005a7 ), + .Q(\blk00000003/sig000004a0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000540 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f1 ), + .Q(\blk00000003/sig000005a7 ), + .Q15(\NLW_blk00000003/blk00000540_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000053f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005a6 ), + .Q(\blk00000003/sig0000049e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000053e ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ef ), + .Q(\blk00000003/sig000005a6 ), + .Q15(\NLW_blk00000003/blk0000053e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000053d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005a5 ), + .Q(\blk00000003/sig0000049d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000053c ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ee ), + .Q(\blk00000003/sig000005a5 ), + .Q15(\NLW_blk00000003/blk0000053c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000053b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005a4 ), + .Q(\blk00000003/sig0000049f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000053a ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001f0 ), + .Q(\blk00000003/sig000005a4 ), + .Q15(\NLW_blk00000003/blk0000053a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000539 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005a3 ), + .Q(\blk00000003/sig0000049b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000538 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ec ), + .Q(\blk00000003/sig000005a3 ), + .Q15(\NLW_blk00000003/blk00000538_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000537 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005a2 ), + .Q(\blk00000003/sig0000049a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000536 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001eb ), + .Q(\blk00000003/sig000005a2 ), + .Q15(\NLW_blk00000003/blk00000536_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000535 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005a1 ), + .Q(\blk00000003/sig0000049c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000534 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ed ), + .Q(\blk00000003/sig000005a1 ), + .Q15(\NLW_blk00000003/blk00000534_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000533 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000005a0 ), + .Q(\blk00000003/sig000002de ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000532 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001d6 ), + .Q(\blk00000003/sig000005a0 ), + .Q15(\NLW_blk00000003/blk00000532_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000531 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000059f ), + .Q(\blk00000003/sig000002df ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000530 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002b9 ), + .Q(\blk00000003/sig0000059f ), + .Q15(\NLW_blk00000003/blk00000530_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000059e ), + .Q(\blk00000003/sig00000499 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000052e ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ea ), + .Q(\blk00000003/sig0000059e ), + .Q15(\NLW_blk00000003/blk0000052e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000059d ), + .Q(\blk00000003/sig00000580 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000052c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ae ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001d2 ), + .Q(\blk00000003/sig0000059d ), + .Q15(\NLW_blk00000003/blk0000052c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000059c ), + .Q(\blk00000003/sig000004da ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000052a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[0]), + .Q(\blk00000003/sig0000059c ), + .Q15(\NLW_blk00000003/blk0000052a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000529 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000059b ), + .Q(\blk00000003/sig000004d8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000528 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[2]), + .Q(\blk00000003/sig0000059b ), + .Q15(\NLW_blk00000003/blk00000528_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000527 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000059a ), + .Q(\blk00000003/sig000004d7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000526 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[3]), + .Q(\blk00000003/sig0000059a ), + .Q15(\NLW_blk00000003/blk00000526_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000525 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000599 ), + .Q(\blk00000003/sig000004d9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000524 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[1]), + .Q(\blk00000003/sig00000599 ), + .Q15(\NLW_blk00000003/blk00000524_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000523 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000598 ), + .Q(\blk00000003/sig000004d5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000522 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[5]), + .Q(\blk00000003/sig00000598 ), + .Q15(\NLW_blk00000003/blk00000522_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000521 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000597 ), + .Q(\blk00000003/sig000004d4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000520 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[6]), + .Q(\blk00000003/sig00000597 ), + .Q15(\NLW_blk00000003/blk00000520_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000596 ), + .Q(\blk00000003/sig000004d6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[4]), + .Q(\blk00000003/sig00000596 ), + .Q15(\NLW_blk00000003/blk0000051e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000595 ), + .Q(\blk00000003/sig000004d2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[8]), + .Q(\blk00000003/sig00000595 ), + .Q15(\NLW_blk00000003/blk0000051c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000594 ), + .Q(\blk00000003/sig000004d1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000051a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[9]), + .Q(\blk00000003/sig00000594 ), + .Q15(\NLW_blk00000003/blk0000051a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000519 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000593 ), + .Q(\blk00000003/sig000004d3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000518 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[7]), + .Q(\blk00000003/sig00000593 ), + .Q15(\NLW_blk00000003/blk00000518_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000517 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000592 ), + .Q(\blk00000003/sig000004d0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000516 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[10]), + .Q(\blk00000003/sig00000592 ), + .Q15(\NLW_blk00000003/blk00000516_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000515 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000591 ), + .Q(\blk00000003/sig000004cf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000514 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[11]), + .Q(\blk00000003/sig00000591 ), + .Q15(\NLW_blk00000003/blk00000514_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000513 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000590 ), + .Q(\blk00000003/sig000004cd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000512 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[13]), + .Q(\blk00000003/sig00000590 ), + .Q15(\NLW_blk00000003/blk00000512_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000511 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000058f ), + .Q(\blk00000003/sig000004cc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000510 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[14]), + .Q(\blk00000003/sig0000058f ), + .Q15(\NLW_blk00000003/blk00000510_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000050f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000058e ), + .Q(\blk00000003/sig000004ce ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000050e ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[12]), + .Q(\blk00000003/sig0000058e ), + .Q15(\NLW_blk00000003/blk0000050e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000050d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000058d ), + .Q(\blk00000003/sig000004ca ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000050c ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[16]), + .Q(\blk00000003/sig0000058d ), + .Q15(\NLW_blk00000003/blk0000050c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000050b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000058c ), + .Q(\blk00000003/sig000004c9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000050a ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[17]), + .Q(\blk00000003/sig0000058c ), + .Q15(\NLW_blk00000003/blk0000050a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000509 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000058b ), + .Q(\blk00000003/sig000004cb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000508 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[15]), + .Q(\blk00000003/sig0000058b ), + .Q15(\NLW_blk00000003/blk00000508_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000507 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000058a ), + .Q(\blk00000003/sig000001e1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000506 ( + .A0(\blk00000003/sig000000ae ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001e3 ), + .Q(\blk00000003/sig0000058a ), + .Q15(\NLW_blk00000003/blk00000506_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000505 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000589 ), + .Q(\blk00000003/sig0000042e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000504 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ae ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001d8 ), + .Q(\blk00000003/sig00000589 ), + .Q15(\NLW_blk00000003/blk00000504_Q15_UNCONNECTED ) + ); + INV \blk00000003/blk00000503 ( + .I(\blk00000003/sig00000240 ), + .O(\blk00000003/sig00000288 ) + ); + INV \blk00000003/blk00000502 ( + .I(\blk00000003/sig00000291 ), + .O(\blk00000003/sig00000281 ) + ); + INV \blk00000003/blk00000501 ( + .I(\blk00000003/sig000001cf ), + .O(\blk00000003/sig00000296 ) + ); + INV \blk00000003/blk00000500 ( + .I(\blk00000003/sig00000298 ), + .O(\blk00000003/sig00000287 ) + ); + INV \blk00000003/blk000004ff ( + .I(\blk00000003/sig000004fd ), + .O(\blk00000003/sig00000578 ) + ); + INV \blk00000003/blk000004fe ( + .I(\blk00000003/sig00000244 ), + .O(\blk00000003/sig00000299 ) + ); + INV \blk00000003/blk000004fd ( + .I(\blk00000003/sig00000240 ), + .O(\blk00000003/sig00000282 ) + ); + INV \blk00000003/blk000004fc ( + .I(\blk00000003/sig0000021d ), + .O(\blk00000003/sig00000245 ) + ); + INV \blk00000003/blk000004fb ( + .I(\blk00000003/sig00000267 ), + .O(\blk00000003/sig00000227 ) + ); + INV \blk00000003/blk000004fa ( + .I(\blk00000003/sig000001cd ), + .O(\blk00000003/sig000000b9 ) + ); + INV \blk00000003/blk000004f9 ( + .I(\blk00000003/sig000000b6 ), + .O(\blk00000003/sig000000b7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000502 ), + .Q(\blk00000003/sig0000057c ) + ); + LUT3 #( + .INIT ( 8'h08 )) + \blk00000003/blk000004f7 ( + .I0(coef_ld), + .I1(\blk00000003/sig00000236 ), + .I2(\blk00000003/sig0000024d ), + .O(\blk00000003/sig00000249 ) + ); + LUT5 #( + .INIT ( 32'h4F444444 )) + \blk00000003/blk000004f6 ( + .I0(\blk00000003/sig0000024a ), + .I1(\blk00000003/sig0000023e ), + .I2(\blk00000003/sig0000024d ), + .I3(coef_ld), + .I4(\blk00000003/sig00000236 ), + .O(\blk00000003/sig00000241 ) + ); + LUT4 #( + .INIT ( 16'h1000 )) + \blk00000003/blk000004f5 ( + .I0(coef_ld), + .I1(\blk00000003/sig00000238 ), + .I2(coef_we), + .I3(\blk00000003/sig00000236 ), + .O(\blk00000003/sig00000248 ) + ); + LUT5 #( + .INIT ( 32'h20AA2020 )) + \blk00000003/blk000004f4 ( + .I0(\blk00000003/sig00000236 ), + .I1(\blk00000003/sig00000238 ), + .I2(coef_we), + .I3(\blk00000003/sig0000024d ), + .I4(coef_ld), + .O(\blk00000003/sig00000247 ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk000004f3 ( + .I0(\blk00000003/sig00000291 ), + .I1(ce), + .I2(\blk00000003/sig0000023e ), + .I3(\blk00000003/sig0000021b ), + .O(\blk00000003/sig00000588 ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk000004f2 ( + .I0(\blk00000003/sig00000298 ), + .I1(ce), + .I2(\blk00000003/sig0000023c ), + .I3(\blk00000003/sig00000289 ), + .O(\blk00000003/sig00000587 ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk000004f1 ( + .I0(\blk00000003/sig0000057b ), + .I1(ce), + .I2(\blk00000003/sig000001d6 ), + .I3(\blk00000003/sig000001d8 ), + .O(\blk00000003/sig00000585 ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk000004f0 ( + .I0(ce), + .I1(sclr), + .I2(\blk00000003/sig0000057f ), + .O(\blk00000003/sig00000584 ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk000004ef ( + .I0(ce), + .I1(\blk00000003/sig0000024d ), + .I2(\blk00000003/sig0000057d ), + .O(\blk00000003/sig00000583 ) + ); + LUT5 #( + .INIT ( 32'h6AAAAAAA )) + \blk00000003/blk000004ee ( + .I0(\blk00000003/sig0000057e ), + .I1(\blk00000003/sig000002a2 ), + .I2(ce), + .I3(nd), + .I4(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig00000586 ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk000004ed ( + .C(clk), + .D(\blk00000003/sig00000588 ), + .Q(\blk00000003/sig00000291 ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk000004ec ( + .C(clk), + .D(\blk00000003/sig00000587 ), + .Q(\blk00000003/sig00000298 ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk000004eb ( + .C(clk), + .D(\blk00000003/sig00000586 ), + .R(sclr), + .Q(\blk00000003/sig0000057e ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ea ( + .C(clk), + .D(\blk00000003/sig00000585 ), + .R(sclr), + .Q(\blk00000003/sig0000057b ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004e9 ( + .I0(\blk00000003/sig00000500 ), + .O(\blk00000003/sig000004fb ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004e8 ( + .I0(\blk00000003/sig000004ff ), + .O(\blk00000003/sig000004f8 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004e7 ( + .I0(\blk00000003/sig000004fe ), + .O(\blk00000003/sig000004f5 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004e6 ( + .I0(\blk00000003/sig000004fd ), + .O(\blk00000003/sig000004f2 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004e5 ( + .I0(\blk00000003/sig000002da ), + .O(\blk00000003/sig000002db ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004e4 ( + .I0(\blk00000003/sig000002d7 ), + .O(\blk00000003/sig000002d8 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004e3 ( + .I0(\blk00000003/sig000002d3 ), + .O(\blk00000003/sig000002d4 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004e2 ( + .I0(\blk00000003/sig000002b7 ), + .O(\blk00000003/sig000002b1 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004e1 ( + .I0(\blk00000003/sig0000057e ), + .O(\blk00000003/sig000002a7 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004e0 ( + .I0(\blk00000003/sig0000029f ), + .O(\blk00000003/sig0000029d ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004df ( + .I0(\blk00000003/sig00000271 ), + .O(\blk00000003/sig00000272 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004de ( + .I0(\blk00000003/sig0000026e ), + .O(\blk00000003/sig0000026f ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004dd ( + .I0(\blk00000003/sig0000026a ), + .O(\blk00000003/sig0000026b ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004dc ( + .I0(\blk00000003/sig00000260 ), + .O(\blk00000003/sig0000025d ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004db ( + .I0(\blk00000003/sig0000025f ), + .O(\blk00000003/sig0000025a ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004da ( + .I0(\blk00000003/sig00000255 ), + .O(\blk00000003/sig00000252 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004d9 ( + .I0(\blk00000003/sig00000254 ), + .O(\blk00000003/sig0000024f ) + ); + LUT3 #( + .INIT ( 8'h08 )) + \blk00000003/blk000004d8 ( + .I0(\blk00000003/sig00000254 ), + .I1(\blk00000003/sig00000255 ), + .I2(\blk00000003/sig00000258 ), + .O(\blk00000003/sig0000022f ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004d7 ( + .I0(\blk00000003/sig00000267 ), + .O(\blk00000003/sig00000229 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk000004d6 ( + .I0(\blk00000003/sig000001cd ), + .O(\blk00000003/sig000000ba ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000572 ), + .R(sclr), + .Q(\blk00000003/sig00000577 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000056f ), + .R(sclr), + .Q(\blk00000003/sig00000576 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000056c ), + .R(sclr), + .Q(\blk00000003/sig00000575 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000569 ), + .R(sclr), + .Q(\blk00000003/sig00000574 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000566 ), + .R(sclr), + .Q(\blk00000003/sig00000573 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000004d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004f0 ), + .S(sclr), + .Q(\blk00000003/sig00000501 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000004cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004fc ), + .S(sclr), + .Q(\blk00000003/sig00000500 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004f9 ), + .R(sclr), + .Q(\blk00000003/sig000004ff ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004f6 ), + .R(sclr), + .Q(\blk00000003/sig000004fe ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000004cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000004f3 ), + .S(sclr), + .Q(\blk00000003/sig000004fd ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002dd ), + .R(\blk00000003/sig000002e0 ), + .Q(\blk00000003/sig000002da ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002dc ), + .R(\blk00000003/sig000002e0 ), + .Q(\blk00000003/sig000002d7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002d6 ), + .R(\blk00000003/sig000002e0 ), + .Q(\blk00000003/sig000002d3 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000004c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002c8 ), + .S(\blk00000003/sig000002df ), + .Q(\blk00000003/sig000002d1 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000004c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ce ), + .S(\blk00000003/sig000002df ), + .Q(\blk00000003/sig000002d0 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000004c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002cb ), + .S(\blk00000003/sig000002df ), + .Q(\blk00000003/sig000002cf ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002bc ), + .R(\blk00000003/sig000002df ), + .Q(\blk00000003/sig000002c6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002c2 ), + .R(\blk00000003/sig000002df ), + .Q(\blk00000003/sig000002c5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002bf ), + .R(\blk00000003/sig000002df ), + .Q(\blk00000003/sig000002c4 ) + ); + FDR \blk00000003/blk000004c2 ( + .C(clk), + .D(\blk00000003/sig00000584 ), + .R(ce), + .Q(\blk00000003/sig0000057f ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000004c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b2 ), + .S(sclr), + .Q(\blk00000003/sig000002b7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b5 ), + .R(sclr), + .Q(\blk00000003/sig000002b6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ac ), + .R(sclr), + .Q(\blk00000003/sig000001e9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002af ), + .R(sclr), + .Q(\blk00000003/sig000001e8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029b ), + .R(sclr), + .Q(\blk00000003/sig000002a0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029e ), + .R(sclr), + .Q(\blk00000003/sig0000029f ) + ); + FDR \blk00000003/blk000004bb ( + .C(clk), + .D(\blk00000003/sig00000583 ), + .R(ce), + .Q(\blk00000003/sig0000057d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000274 ), + .R(\blk00000003/sig00000277 ), + .Q(\blk00000003/sig00000271 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000273 ), + .R(\blk00000003/sig00000277 ), + .Q(\blk00000003/sig0000026e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000026d ), + .R(\blk00000003/sig00000277 ), + .Q(\blk00000003/sig0000026a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000266 ), + .R(coef_ld), + .Q(\blk00000003/sig00000267 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000262 ), + .R(sclr), + .Q(\blk00000003/sig00000263 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000025e ), + .R(sclr), + .Q(\blk00000003/sig00000260 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000025b ), + .R(sclr), + .Q(\blk00000003/sig0000025f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000257 ), + .R(coef_ld), + .Q(\blk00000003/sig00000258 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000253 ), + .R(coef_ld), + .Q(\blk00000003/sig00000255 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000250 ), + .R(coef_ld), + .Q(\blk00000003/sig00000254 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000004b0 ( + .I0(\blk00000003/sig00000573 ), + .I1(\blk00000003/sig000004fd ), + .O(\blk00000003/sig00000565 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000004af ( + .I0(\blk00000003/sig00000574 ), + .I1(\blk00000003/sig000004fd ), + .O(\blk00000003/sig00000568 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000004ae ( + .I0(\blk00000003/sig00000575 ), + .I1(\blk00000003/sig000004fd ), + .O(\blk00000003/sig0000056b ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000004ad ( + .I0(\blk00000003/sig00000576 ), + .I1(\blk00000003/sig000004fd ), + .O(\blk00000003/sig0000056e ) + ); + LUT3 #( + .INIT ( 8'hDE )) + \blk00000003/blk000004ac ( + .I0(\blk00000003/sig00000577 ), + .I1(\blk00000003/sig000004fd ), + .I2(\blk00000003/sig000001df ), + .O(\blk00000003/sig00000571 ) + ); + LUT3 #( + .INIT ( 8'h04 )) + \blk00000003/blk000004ab ( + .I0(\blk00000003/sig000001df ), + .I1(\blk00000003/sig0000004a ), + .I2(\blk00000003/sig000004fd ), + .O(\blk00000003/sig00000563 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000004aa ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000104 ), + .I3(NlwRenamedSig_OI_dout_2[47]), + .O(\blk00000003/sig00000562 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000004a9 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000105 ), + .I3(NlwRenamedSig_OI_dout_2[46]), + .O(\blk00000003/sig00000561 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000004a8 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000107 ), + .I3(NlwRenamedSig_OI_dout_2[44]), + .O(\blk00000003/sig0000055f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000004a7 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000106 ), + .I3(NlwRenamedSig_OI_dout_2[45]), + .O(\blk00000003/sig00000560 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000004a6 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000108 ), + .I3(NlwRenamedSig_OI_dout_2[43]), + .O(\blk00000003/sig0000055e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000004a5 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000010a ), + .I3(NlwRenamedSig_OI_dout_2[41]), + .O(\blk00000003/sig0000055c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000004a4 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000109 ), + .I3(NlwRenamedSig_OI_dout_2[42]), + .O(\blk00000003/sig0000055d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000004a3 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000010b ), + .I3(NlwRenamedSig_OI_dout_2[40]), + .O(\blk00000003/sig0000055b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000004a2 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000010d ), + .I3(NlwRenamedSig_OI_dout_2[38]), + .O(\blk00000003/sig00000559 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000004a1 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000010c ), + .I3(NlwRenamedSig_OI_dout_2[39]), + .O(\blk00000003/sig0000055a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000004a0 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000010e ), + .I3(NlwRenamedSig_OI_dout_2[37]), + .O(\blk00000003/sig00000558 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000049f ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000110 ), + .I3(NlwRenamedSig_OI_dout_2[35]), + .O(\blk00000003/sig00000556 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000049e ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000010f ), + .I3(NlwRenamedSig_OI_dout_2[36]), + .O(\blk00000003/sig00000557 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000049d ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000111 ), + .I3(NlwRenamedSig_OI_dout_2[34]), + .O(\blk00000003/sig00000555 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000049c ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000113 ), + .I3(NlwRenamedSig_OI_dout_2[32]), + .O(\blk00000003/sig00000553 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000049b ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000112 ), + .I3(NlwRenamedSig_OI_dout_2[33]), + .O(\blk00000003/sig00000554 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000049a ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000114 ), + .I3(NlwRenamedSig_OI_dout_2[31]), + .O(\blk00000003/sig00000552 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000499 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000116 ), + .I3(NlwRenamedSig_OI_dout_2[29]), + .O(\blk00000003/sig00000550 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000498 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000115 ), + .I3(NlwRenamedSig_OI_dout_2[30]), + .O(\blk00000003/sig00000551 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000497 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000117 ), + .I3(NlwRenamedSig_OI_dout_2[28]), + .O(\blk00000003/sig0000054f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000496 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000119 ), + .I3(NlwRenamedSig_OI_dout_2[26]), + .O(\blk00000003/sig0000054d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000495 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000118 ), + .I3(NlwRenamedSig_OI_dout_2[27]), + .O(\blk00000003/sig0000054e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000494 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000011a ), + .I3(NlwRenamedSig_OI_dout_2[25]), + .O(\blk00000003/sig0000054c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000493 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000011c ), + .I3(NlwRenamedSig_OI_dout_2[23]), + .O(\blk00000003/sig0000054a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000492 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000011b ), + .I3(NlwRenamedSig_OI_dout_2[24]), + .O(\blk00000003/sig0000054b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000491 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000011d ), + .I3(NlwRenamedSig_OI_dout_2[22]), + .O(\blk00000003/sig00000549 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000490 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000011f ), + .I3(NlwRenamedSig_OI_dout_2[20]), + .O(\blk00000003/sig00000547 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000048f ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000011e ), + .I3(NlwRenamedSig_OI_dout_2[21]), + .O(\blk00000003/sig00000548 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000048e ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000120 ), + .I3(NlwRenamedSig_OI_dout_2[19]), + .O(\blk00000003/sig00000546 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000048d ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000122 ), + .I3(NlwRenamedSig_OI_dout_2[17]), + .O(\blk00000003/sig00000544 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000048c ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000121 ), + .I3(NlwRenamedSig_OI_dout_2[18]), + .O(\blk00000003/sig00000545 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000048b ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000123 ), + .I3(NlwRenamedSig_OI_dout_2[16]), + .O(\blk00000003/sig00000543 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000048a ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000125 ), + .I3(NlwRenamedSig_OI_dout_2[14]), + .O(\blk00000003/sig00000541 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000489 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000124 ), + .I3(NlwRenamedSig_OI_dout_2[15]), + .O(\blk00000003/sig00000542 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000488 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000126 ), + .I3(NlwRenamedSig_OI_dout_2[13]), + .O(\blk00000003/sig00000540 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000487 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000128 ), + .I3(NlwRenamedSig_OI_dout_2[11]), + .O(\blk00000003/sig0000053e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000486 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000127 ), + .I3(NlwRenamedSig_OI_dout_2[12]), + .O(\blk00000003/sig0000053f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000485 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000129 ), + .I3(NlwRenamedSig_OI_dout_2[10]), + .O(\blk00000003/sig0000053d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000484 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000012b ), + .I3(NlwRenamedSig_OI_dout_2[8]), + .O(\blk00000003/sig0000053b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000483 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000012a ), + .I3(NlwRenamedSig_OI_dout_2[9]), + .O(\blk00000003/sig0000053c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000482 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000012c ), + .I3(NlwRenamedSig_OI_dout_2[7]), + .O(\blk00000003/sig0000053a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000481 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000012e ), + .I3(NlwRenamedSig_OI_dout_2[5]), + .O(\blk00000003/sig00000538 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000480 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000012d ), + .I3(NlwRenamedSig_OI_dout_2[6]), + .O(\blk00000003/sig00000539 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000047f ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000012f ), + .I3(NlwRenamedSig_OI_dout_2[4]), + .O(\blk00000003/sig00000537 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000047e ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000131 ), + .I3(NlwRenamedSig_OI_dout_2[2]), + .O(\blk00000003/sig00000535 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000047d ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000130 ), + .I3(NlwRenamedSig_OI_dout_2[3]), + .O(\blk00000003/sig00000536 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000047c ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000132 ), + .I3(NlwRenamedSig_OI_dout_2[1]), + .O(\blk00000003/sig00000534 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000047b ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000133 ), + .I3(NlwRenamedSig_OI_dout_2[0]), + .O(\blk00000003/sig00000533 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000047a ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000017c ), + .I3(NlwRenamedSig_OI_dout_1[47]), + .O(\blk00000003/sig00000532 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000479 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000017e ), + .I3(NlwRenamedSig_OI_dout_1[45]), + .O(\blk00000003/sig00000530 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000478 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000017d ), + .I3(NlwRenamedSig_OI_dout_1[46]), + .O(\blk00000003/sig00000531 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000477 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000017f ), + .I3(NlwRenamedSig_OI_dout_1[44]), + .O(\blk00000003/sig0000052f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000476 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000181 ), + .I3(NlwRenamedSig_OI_dout_1[42]), + .O(\blk00000003/sig0000052d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000475 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000180 ), + .I3(NlwRenamedSig_OI_dout_1[43]), + .O(\blk00000003/sig0000052e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000474 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000182 ), + .I3(NlwRenamedSig_OI_dout_1[41]), + .O(\blk00000003/sig0000052c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000473 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000184 ), + .I3(NlwRenamedSig_OI_dout_1[39]), + .O(\blk00000003/sig0000052a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000472 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000183 ), + .I3(NlwRenamedSig_OI_dout_1[40]), + .O(\blk00000003/sig0000052b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000471 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000185 ), + .I3(NlwRenamedSig_OI_dout_1[38]), + .O(\blk00000003/sig00000529 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000470 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000187 ), + .I3(NlwRenamedSig_OI_dout_1[36]), + .O(\blk00000003/sig00000527 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000046f ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000186 ), + .I3(NlwRenamedSig_OI_dout_1[37]), + .O(\blk00000003/sig00000528 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000046e ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000188 ), + .I3(NlwRenamedSig_OI_dout_1[35]), + .O(\blk00000003/sig00000526 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000046d ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000018a ), + .I3(NlwRenamedSig_OI_dout_1[33]), + .O(\blk00000003/sig00000524 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000046c ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000189 ), + .I3(NlwRenamedSig_OI_dout_1[34]), + .O(\blk00000003/sig00000525 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000046b ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000018b ), + .I3(NlwRenamedSig_OI_dout_1[32]), + .O(\blk00000003/sig00000523 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000046a ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000018d ), + .I3(NlwRenamedSig_OI_dout_1[30]), + .O(\blk00000003/sig00000521 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000469 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000018c ), + .I3(NlwRenamedSig_OI_dout_1[31]), + .O(\blk00000003/sig00000522 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000468 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000018e ), + .I3(NlwRenamedSig_OI_dout_1[29]), + .O(\blk00000003/sig00000520 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000467 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000190 ), + .I3(NlwRenamedSig_OI_dout_1[27]), + .O(\blk00000003/sig0000051e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000466 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000018f ), + .I3(NlwRenamedSig_OI_dout_1[28]), + .O(\blk00000003/sig0000051f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000465 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000191 ), + .I3(NlwRenamedSig_OI_dout_1[26]), + .O(\blk00000003/sig0000051d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000464 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000193 ), + .I3(NlwRenamedSig_OI_dout_1[24]), + .O(\blk00000003/sig0000051b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000463 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000192 ), + .I3(NlwRenamedSig_OI_dout_1[25]), + .O(\blk00000003/sig0000051c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000462 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000194 ), + .I3(NlwRenamedSig_OI_dout_1[23]), + .O(\blk00000003/sig0000051a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000461 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000196 ), + .I3(NlwRenamedSig_OI_dout_1[21]), + .O(\blk00000003/sig00000518 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000460 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000195 ), + .I3(NlwRenamedSig_OI_dout_1[22]), + .O(\blk00000003/sig00000519 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000045f ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000197 ), + .I3(NlwRenamedSig_OI_dout_1[20]), + .O(\blk00000003/sig00000517 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000045e ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000199 ), + .I3(NlwRenamedSig_OI_dout_1[18]), + .O(\blk00000003/sig00000515 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000045d ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig00000198 ), + .I3(NlwRenamedSig_OI_dout_1[19]), + .O(\blk00000003/sig00000516 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000045c ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000019a ), + .I3(NlwRenamedSig_OI_dout_1[17]), + .O(\blk00000003/sig00000514 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000045b ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000019c ), + .I3(NlwRenamedSig_OI_dout_1[15]), + .O(\blk00000003/sig00000512 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000045a ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000019b ), + .I3(NlwRenamedSig_OI_dout_1[16]), + .O(\blk00000003/sig00000513 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000459 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000019d ), + .I3(NlwRenamedSig_OI_dout_1[14]), + .O(\blk00000003/sig00000511 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000458 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000019f ), + .I3(NlwRenamedSig_OI_dout_1[12]), + .O(\blk00000003/sig0000050f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000457 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig0000019e ), + .I3(NlwRenamedSig_OI_dout_1[13]), + .O(\blk00000003/sig00000510 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000456 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig000001a0 ), + .I3(NlwRenamedSig_OI_dout_1[11]), + .O(\blk00000003/sig0000050e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000455 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig000001a2 ), + .I3(NlwRenamedSig_OI_dout_1[9]), + .O(\blk00000003/sig0000050c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000454 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig000001a1 ), + .I3(NlwRenamedSig_OI_dout_1[10]), + .O(\blk00000003/sig0000050d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000453 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig000001a3 ), + .I3(NlwRenamedSig_OI_dout_1[8]), + .O(\blk00000003/sig0000050b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000452 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig000001a5 ), + .I3(NlwRenamedSig_OI_dout_1[6]), + .O(\blk00000003/sig00000509 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000451 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig000001a4 ), + .I3(NlwRenamedSig_OI_dout_1[7]), + .O(\blk00000003/sig0000050a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000450 ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig000001a6 ), + .I3(NlwRenamedSig_OI_dout_1[5]), + .O(\blk00000003/sig00000508 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000044f ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig000001a8 ), + .I3(NlwRenamedSig_OI_dout_1[3]), + .O(\blk00000003/sig00000506 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000044e ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig000001a7 ), + .I3(NlwRenamedSig_OI_dout_1[4]), + .O(\blk00000003/sig00000507 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000044d ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig000001a9 ), + .I3(NlwRenamedSig_OI_dout_1[2]), + .O(\blk00000003/sig00000505 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000044c ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig000001ab ), + .I3(NlwRenamedSig_OI_dout_1[0]), + .O(\blk00000003/sig00000503 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000044b ( + .I0(\blk00000003/sig000001cd ), + .I1(\blk00000003/sig000001df ), + .I2(\blk00000003/sig000001aa ), + .I3(NlwRenamedSig_OI_dout_1[1]), + .O(\blk00000003/sig00000504 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk0000044a ( + .I0(\blk00000003/sig00000501 ), + .I1(\blk00000003/sig000004fd ), + .O(\blk00000003/sig000004ef ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000449 ( + .I0(ce), + .I1(\blk00000003/sig000001e1 ), + .O(\blk00000003/sig00000582 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000448 ( + .I0(ce), + .I1(\blk00000003/sig0000042f ), + .O(\blk00000003/sig000004ee ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000447 ( + .I0(ce), + .I1(\blk00000003/sig00000581 ), + .O(\blk00000003/sig000004ed ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000446 ( + .I0(\blk00000003/sig000002cf ), + .I1(\blk00000003/sig000002de ), + .O(\blk00000003/sig000002ca ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000445 ( + .I0(\blk00000003/sig000002de ), + .I1(\blk00000003/sig000002d1 ), + .O(\blk00000003/sig000002c7 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000444 ( + .I0(\blk00000003/sig000002de ), + .I1(\blk00000003/sig000002d0 ), + .O(\blk00000003/sig000002cd ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000443 ( + .I0(\blk00000003/sig000002de ), + .I1(\blk00000003/sig00000580 ), + .O(\blk00000003/sig000002c3 ) + ); + LUT3 #( + .INIT ( 8'hEA )) + \blk00000003/blk00000442 ( + .I0(\blk00000003/sig000002c4 ), + .I1(\blk00000003/sig000002de ), + .I2(\blk00000003/sig00000580 ), + .O(\blk00000003/sig000002be ) + ); + LUT3 #( + .INIT ( 8'hF8 )) + \blk00000003/blk00000441 ( + .I0(\blk00000003/sig00000580 ), + .I1(\blk00000003/sig000002de ), + .I2(\blk00000003/sig000002c5 ), + .O(\blk00000003/sig000002c1 ) + ); + LUT3 #( + .INIT ( 8'hBC )) + \blk00000003/blk00000440 ( + .I0(\blk00000003/sig00000580 ), + .I1(\blk00000003/sig000002de ), + .I2(\blk00000003/sig000002c6 ), + .O(\blk00000003/sig000002bb ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk0000043f ( + .I0(sclr), + .I1(\blk00000003/sig0000057f ), + .O(\blk00000003/sig000002b8 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk0000043e ( + .I0(\blk00000003/sig000002b6 ), + .I1(\blk00000003/sig000001d8 ), + .O(\blk00000003/sig000002b4 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk0000043d ( + .I0(nd), + .I1(\blk00000003/sig000002a9 ), + .I2(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig000002b0 ) + ); + LUT4 #( + .INIT ( 16'hEAAA )) + \blk00000003/blk0000043c ( + .I0(\blk00000003/sig000001e8 ), + .I1(nd), + .I2(NlwRenamedSig_OI_rfd), + .I3(\blk00000003/sig000002a9 ), + .O(\blk00000003/sig000002ae ) + ); + LUT4 #( + .INIT ( 16'hDFA0 )) + \blk00000003/blk0000043b ( + .I0(nd), + .I1(\blk00000003/sig000002a9 ), + .I2(NlwRenamedSig_OI_rfd), + .I3(\blk00000003/sig000001e9 ), + .O(\blk00000003/sig000002ab ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000043a ( + .I0(nd), + .I1(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig000002a4 ) + ); + LUT3 #( + .INIT ( 8'h09 )) + \blk00000003/blk00000439 ( + .I0(\blk00000003/sig0000057e ), + .I1(\blk00000003/sig000001e8 ), + .I2(\blk00000003/sig000001e9 ), + .O(\blk00000003/sig000002a6 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000438 ( + .I0(\blk00000003/sig000002a0 ), + .I1(\blk00000003/sig000001c7 ), + .O(\blk00000003/sig0000029a ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000437 ( + .I0(\blk00000003/sig0000023d ), + .I1(\blk00000003/sig00000244 ), + .O(\blk00000003/sig00000297 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000436 ( + .I0(\blk00000003/sig00000244 ), + .I1(\blk00000003/sig0000023c ), + .O(\blk00000003/sig00000294 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000435 ( + .I0(\blk00000003/sig00000244 ), + .I1(\blk00000003/sig00000240 ), + .O(\blk00000003/sig00000292 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk00000434 ( + .I0(\blk00000003/sig00000242 ), + .I1(\blk00000003/sig0000024a ), + .I2(\blk00000003/sig00000244 ), + .O(\blk00000003/sig0000028d ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk00000433 ( + .I0(\blk00000003/sig00000242 ), + .I1(\blk00000003/sig00000240 ), + .I2(\blk00000003/sig00000244 ), + .O(\blk00000003/sig0000028f ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000432 ( + .I0(\blk00000003/sig0000023d ), + .I1(\blk00000003/sig00000240 ), + .O(\blk00000003/sig00000286 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000431 ( + .I0(\blk00000003/sig0000023c ), + .I1(\blk00000003/sig00000240 ), + .O(\blk00000003/sig00000284 ) + ); + LUT3 #( + .INIT ( 8'hDF )) + \blk00000003/blk00000430 ( + .I0(\blk00000003/sig0000023a ), + .I1(\blk00000003/sig00000244 ), + .I2(\blk00000003/sig00000240 ), + .O(\blk00000003/sig0000027f ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000042f ( + .I0(\blk00000003/sig0000023f ), + .I1(\blk00000003/sig00000240 ), + .O(\blk00000003/sig0000027b ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk0000042e ( + .I0(\blk00000003/sig0000023e ), + .I1(\blk00000003/sig00000240 ), + .I2(\blk00000003/sig0000024a ), + .O(\blk00000003/sig0000027d ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk0000042d ( + .I0(\blk00000003/sig0000024d ), + .I1(\blk00000003/sig0000057d ), + .O(\blk00000003/sig00000276 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk0000042c ( + .I0(coef_we), + .I1(\blk00000003/sig00000224 ), + .I2(\blk00000003/sig0000022c ), + .O(\blk00000003/sig00000268 ) + ); + LUT4 #( + .INIT ( 16'hDAAA )) + \blk00000003/blk0000042b ( + .I0(\blk00000003/sig00000267 ), + .I1(\blk00000003/sig00000224 ), + .I2(\blk00000003/sig0000022c ), + .I3(coef_we), + .O(\blk00000003/sig00000265 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk0000042a ( + .I0(\blk00000003/sig00000263 ), + .I1(\blk00000003/sig000001c4 ), + .O(\blk00000003/sig00000261 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000429 ( + .I0(\blk00000003/sig00000258 ), + .I1(coef_we), + .O(\blk00000003/sig00000256 ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000428 ( + .I0(coef_ld), + .I1(\blk00000003/sig0000024d ), + .O(\blk00000003/sig00000243 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000427 ( + .I0(coef_we), + .I1(\blk00000003/sig0000022c ), + .O(\blk00000003/sig00000225 ) + ); + LUT3 #( + .INIT ( 8'h08 )) + \blk00000003/blk00000426 ( + .I0(\blk00000003/sig00000238 ), + .I1(coef_we), + .I2(coef_ld), + .O(\blk00000003/sig0000024b ) + ); + LUT3 #( + .INIT ( 8'h08 )) + \blk00000003/blk00000425 ( + .I0(\blk00000003/sig00000254 ), + .I1(\blk00000003/sig00000255 ), + .I2(\blk00000003/sig00000258 ), + .O(\blk00000003/sig0000022e ) + ); + LUT3 #( + .INIT ( 8'hDF )) + \blk00000003/blk00000424 ( + .I0(coef_ld), + .I1(\blk00000003/sig0000024d ), + .I2(\blk00000003/sig00000236 ), + .O(\blk00000003/sig00000222 ) + ); + LUT3 #( + .INIT ( 8'hDF )) + \blk00000003/blk00000423 ( + .I0(coef_we), + .I1(\blk00000003/sig00000238 ), + .I2(\blk00000003/sig00000236 ), + .O(\blk00000003/sig0000021f ) + ); + LUT5 #( + .INIT ( 32'hFFFF2AAA )) + \blk00000003/blk00000422 ( + .I0(\blk00000003/sig00000238 ), + .I1(coef_we), + .I2(\blk00000003/sig0000022c ), + .I3(\blk00000003/sig00000224 ), + .I4(coef_ld), + .O(\blk00000003/sig00000237 ) + ); + LUT4 #( + .INIT ( 16'hFF8A )) + \blk00000003/blk00000421 ( + .I0(\blk00000003/sig00000236 ), + .I1(\blk00000003/sig00000238 ), + .I2(coef_we), + .I3(coef_ld), + .O(\blk00000003/sig00000235 ) + ); + LUT3 #( + .INIT ( 8'h80 )) + \blk00000003/blk00000420 ( + .I0(nd), + .I1(\blk00000003/sig000002a2 ), + .I2(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig000001e7 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000041f ( + .I0(\blk00000003/sig000000be ), + .I1(\blk00000003/sig000001df ), + .O(\blk00000003/sig000001e5 ) + ); + LUT3 #( + .INIT ( 8'h10 )) + \blk00000003/blk0000041e ( + .I0(\blk00000003/sig000000be ), + .I1(\blk00000003/sig000004fd ), + .I2(\blk00000003/sig0000057c ), + .O(\blk00000003/sig000000bf ) + ); + LUT3 #( + .INIT ( 8'hEA )) + \blk00000003/blk0000041d ( + .I0(sclr), + .I1(ce), + .I2(\blk00000003/sig000004fd ), + .O(\blk00000003/sig000001e0 ) + ); + LUT2 #( + .INIT ( 4'hD )) + \blk00000003/blk0000041c ( + .I0(NlwRenamedSig_OI_rfd), + .I1(nd), + .O(\blk00000003/sig000001cb ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk0000041b ( + .I0(\blk00000003/sig0000029f ), + .I1(\blk00000003/sig000002a0 ), + .O(\blk00000003/sig000001c8 ) + ); + LUT3 #( + .INIT ( 8'h08 )) + \blk00000003/blk0000041a ( + .I0(\blk00000003/sig0000025f ), + .I1(\blk00000003/sig00000260 ), + .I2(\blk00000003/sig00000263 ), + .O(\blk00000003/sig000001c5 ) + ); + LUT5 #( + .INIT ( 32'h00008000 )) + \blk00000003/blk00000419 ( + .I0(\blk00000003/sig00000573 ), + .I1(\blk00000003/sig00000574 ), + .I2(\blk00000003/sig00000575 ), + .I3(\blk00000003/sig00000576 ), + .I4(\blk00000003/sig00000577 ), + .O(\blk00000003/sig000000c1 ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk00000418 ( + .I0(\blk00000003/sig000001d8 ), + .I1(\blk00000003/sig000001c4 ), + .I2(\blk00000003/sig000001e6 ), + .O(\blk00000003/sig000001d7 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000417 ( + .I0(\blk00000003/sig000002b7 ), + .I1(\blk00000003/sig000001e4 ), + .O(\blk00000003/sig000001dd ) + ); + LUT3 #( + .INIT ( 8'hD8 )) + \blk00000003/blk00000416 ( + .I0(ce), + .I1(\blk00000003/sig00000579 ), + .I2(\blk00000003/sig000000b4 ), + .O(\blk00000003/sig000000b3 ) + ); + LUT3 #( + .INIT ( 8'h72 )) + \blk00000003/blk00000415 ( + .I0(ce), + .I1(\blk00000003/sig00000579 ), + .I2(\blk00000003/sig000000b2 ), + .O(\blk00000003/sig000000b1 ) + ); + LUT4 #( + .INIT ( 16'h8F88 )) + \blk00000003/blk00000414 ( + .I0(NlwRenamedSig_OI_rfd), + .I1(nd), + .I2(\blk00000003/sig000001da ), + .I3(\blk00000003/sig000001c7 ), + .O(\blk00000003/sig000001d9 ) + ); + LUT5 #( + .INIT ( 32'hCEEE8AAA )) + \blk00000003/blk00000413 ( + .I0(\blk00000003/sig000001c4 ), + .I1(\blk00000003/sig000001e6 ), + .I2(\blk00000003/sig000001d6 ), + .I3(\blk00000003/sig000001d8 ), + .I4(\blk00000003/sig000001d4 ), + .O(\blk00000003/sig000001d5 ) + ); + LUT4 #( + .INIT ( 16'h8808 )) + \blk00000003/blk00000412 ( + .I0(\blk00000003/sig000001d6 ), + .I1(\blk00000003/sig0000057b ), + .I2(\blk00000003/sig000001d8 ), + .I3(\blk00000003/sig000001e6 ), + .O(\blk00000003/sig000001d1 ) + ); + LUT4 #( + .INIT ( 16'h5540 )) + \blk00000003/blk00000411 ( + .I0(\blk00000003/sig000001e6 ), + .I1(\blk00000003/sig000001d6 ), + .I2(\blk00000003/sig000001d8 ), + .I3(\blk00000003/sig000001d4 ), + .O(\blk00000003/sig000001d3 ) + ); + LUT3 #( + .INIT ( 8'h9A )) + \blk00000003/blk00000410 ( + .I0(\blk00000003/sig000002b6 ), + .I1(\blk00000003/sig000002b7 ), + .I2(\blk00000003/sig000001e4 ), + .O(\blk00000003/sig000001db ) + ); + LUT4 #( + .INIT ( 16'hFDA8 )) + \blk00000003/blk0000040f ( + .I0(ce), + .I1(\blk00000003/sig00000579 ), + .I2(\blk00000003/sig0000057a ), + .I3(\blk00000003/sig000000b0 ), + .O(\blk00000003/sig000000af ) + ); + MUXCY \blk00000003/blk0000040e ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ae ), + .S(\blk00000003/sig00000578 ), + .O(\blk00000003/sig00000570 ) + ); + MUXCY_L \blk00000003/blk0000040d ( + .CI(\blk00000003/sig00000570 ), + .DI(\blk00000003/sig00000577 ), + .S(\blk00000003/sig00000571 ), + .LO(\blk00000003/sig0000056d ) + ); + MUXCY_L \blk00000003/blk0000040c ( + .CI(\blk00000003/sig0000056d ), + .DI(\blk00000003/sig00000576 ), + .S(\blk00000003/sig0000056e ), + .LO(\blk00000003/sig0000056a ) + ); + MUXCY_L \blk00000003/blk0000040b ( + .CI(\blk00000003/sig0000056a ), + .DI(\blk00000003/sig00000575 ), + .S(\blk00000003/sig0000056b ), + .LO(\blk00000003/sig00000567 ) + ); + MUXCY_L \blk00000003/blk0000040a ( + .CI(\blk00000003/sig00000567 ), + .DI(\blk00000003/sig00000574 ), + .S(\blk00000003/sig00000568 ), + .LO(\blk00000003/sig00000564 ) + ); + MUXCY_D \blk00000003/blk00000409 ( + .CI(\blk00000003/sig00000564 ), + .DI(\blk00000003/sig00000573 ), + .S(\blk00000003/sig00000565 ), + .O(\NLW_blk00000003/blk00000409_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk00000409_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000408 ( + .CI(\blk00000003/sig00000570 ), + .LI(\blk00000003/sig00000571 ), + .O(\blk00000003/sig00000572 ) + ); + XORCY \blk00000003/blk00000407 ( + .CI(\blk00000003/sig0000056d ), + .LI(\blk00000003/sig0000056e ), + .O(\blk00000003/sig0000056f ) + ); + XORCY \blk00000003/blk00000406 ( + .CI(\blk00000003/sig0000056a ), + .LI(\blk00000003/sig0000056b ), + .O(\blk00000003/sig0000056c ) + ); + XORCY \blk00000003/blk00000405 ( + .CI(\blk00000003/sig00000567 ), + .LI(\blk00000003/sig00000568 ), + .O(\blk00000003/sig00000569 ) + ); + XORCY \blk00000003/blk00000404 ( + .CI(\blk00000003/sig00000564 ), + .LI(\blk00000003/sig00000565 ), + .O(\blk00000003/sig00000566 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000403 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000563 ), + .R(sclr), + .Q(\blk00000003/sig0000004a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000402 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000562 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[47]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000401 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000561 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[46]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000400 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000560 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[45]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000055f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[44]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000055e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[43]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000055d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[42]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000055c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[41]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000055b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[40]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000055a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[39]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000559 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[38]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000558 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[37]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000557 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[36]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000556 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[35]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000555 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[34]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000554 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[33]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000553 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[32]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000552 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[31]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000551 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[30]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000550 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[29]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000054f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[28]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000054e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[27]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000054d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[26]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000054c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[25]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000054b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[24]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000054a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[23]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000549 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[22]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000548 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[21]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000547 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[20]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000546 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[19]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000545 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[18]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000544 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[17]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000543 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[16]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000542 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[15]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000541 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[14]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000540 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[13]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003df ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000053f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[12]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003de ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000053e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[11]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003dd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000053d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[10]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000053c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[9]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003db ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000053b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[8]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003da ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000053a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[7]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000539 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[6]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000538 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[5]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000537 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[4]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000536 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[3]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000535 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[2]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000534 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[1]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000533 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[0]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000532 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[47]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000531 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[46]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000530 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[45]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000052f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[44]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000052e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[43]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000052d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[42]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000052c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[41]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000052b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[40]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000052a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[39]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000529 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[38]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000528 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[37]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000527 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[36]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000526 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[35]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000525 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[34]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000524 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[33]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000523 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[32]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000522 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[31]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000521 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[30]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000520 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[29]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000051f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[28]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003be ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000051e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[27]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000051d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[26]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000051c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[25]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000051b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[24]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000051a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[23]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000519 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[22]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000518 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[21]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000517 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[20]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000516 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[19]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000515 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[18]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000514 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[17]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000513 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[16]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000512 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[15]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000511 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[14]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000510 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[13]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003af ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000050f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[12]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000050e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[11]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000050d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[10]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000050c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[9]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000050b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[8]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000050a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[7]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000509 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[6]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000508 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[5]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000507 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[4]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000506 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[3]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003a5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000505 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[2]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000504 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[1]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003a3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000503 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[0]) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000502 ), + .Q(\blk00000003/sig000001df ) + ); + MUXCY_L \blk00000003/blk000003a1 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000501 ), + .S(\blk00000003/sig000004ef ), + .LO(\blk00000003/sig000004fa ) + ); + MUXCY_L \blk00000003/blk000003a0 ( + .CI(\blk00000003/sig000004fa ), + .DI(\blk00000003/sig00000500 ), + .S(\blk00000003/sig000004fb ), + .LO(\blk00000003/sig000004f7 ) + ); + MUXCY_L \blk00000003/blk0000039f ( + .CI(\blk00000003/sig000004f7 ), + .DI(\blk00000003/sig000004ff ), + .S(\blk00000003/sig000004f8 ), + .LO(\blk00000003/sig000004f4 ) + ); + MUXCY_L \blk00000003/blk0000039e ( + .CI(\blk00000003/sig000004f4 ), + .DI(\blk00000003/sig000004fe ), + .S(\blk00000003/sig000004f5 ), + .LO(\blk00000003/sig000004f1 ) + ); + MUXCY_D \blk00000003/blk0000039d ( + .CI(\blk00000003/sig000004f1 ), + .DI(\blk00000003/sig000004fd ), + .S(\blk00000003/sig000004f2 ), + .O(\NLW_blk00000003/blk0000039d_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk0000039d_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk0000039c ( + .CI(\blk00000003/sig000004fa ), + .LI(\blk00000003/sig000004fb ), + .O(\blk00000003/sig000004fc ) + ); + XORCY \blk00000003/blk0000039b ( + .CI(\blk00000003/sig000004f7 ), + .LI(\blk00000003/sig000004f8 ), + .O(\blk00000003/sig000004f9 ) + ); + XORCY \blk00000003/blk0000039a ( + .CI(\blk00000003/sig000004f4 ), + .LI(\blk00000003/sig000004f5 ), + .O(\blk00000003/sig000004f6 ) + ); + XORCY \blk00000003/blk00000399 ( + .CI(\blk00000003/sig000004f1 ), + .LI(\blk00000003/sig000004f2 ), + .O(\blk00000003/sig000004f3 ) + ); + XORCY \blk00000003/blk00000398 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig000004ef ), + .O(\blk00000003/sig000004f0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000370 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003dd ), + .R(sclr), + .Q(\blk00000003/sig00000450 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036f ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003dc ), + .R(sclr), + .Q(\blk00000003/sig0000044f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036e ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003db ), + .R(sclr), + .Q(\blk00000003/sig0000044e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003da ), + .R(sclr), + .Q(\blk00000003/sig0000044d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036c ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003d9 ), + .R(sclr), + .Q(\blk00000003/sig0000044c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036b ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003d8 ), + .R(sclr), + .Q(\blk00000003/sig0000044b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036a ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003d7 ), + .R(sclr), + .Q(\blk00000003/sig0000044a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000369 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003d6 ), + .R(sclr), + .Q(\blk00000003/sig00000449 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000368 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003d5 ), + .R(sclr), + .Q(\blk00000003/sig00000448 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000367 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003d4 ), + .R(sclr), + .Q(\blk00000003/sig00000447 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000366 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003d3 ), + .R(sclr), + .Q(\blk00000003/sig00000446 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000365 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003d2 ), + .R(sclr), + .Q(\blk00000003/sig00000445 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000364 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003d1 ), + .R(sclr), + .Q(\blk00000003/sig00000444 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000363 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003d0 ), + .R(sclr), + .Q(\blk00000003/sig00000443 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000362 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003cf ), + .R(sclr), + .Q(\blk00000003/sig00000442 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000361 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003ce ), + .R(sclr), + .Q(\blk00000003/sig00000441 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000360 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003cd ), + .R(sclr), + .Q(\blk00000003/sig00000440 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035f ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003cc ), + .R(sclr), + .Q(\blk00000003/sig0000043f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035e ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003cb ), + .R(sclr), + .Q(\blk00000003/sig0000043e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035d ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003ca ), + .R(sclr), + .Q(\blk00000003/sig0000043d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035c ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003c9 ), + .R(sclr), + .Q(\blk00000003/sig0000043c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035b ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003c8 ), + .R(sclr), + .Q(\blk00000003/sig0000043b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035a ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003c7 ), + .R(sclr), + .Q(\blk00000003/sig0000043a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000359 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003c6 ), + .R(sclr), + .Q(\blk00000003/sig00000439 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000358 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig0000040d ), + .R(sclr), + .Q(\blk00000003/sig00000468 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000357 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig0000040c ), + .R(sclr), + .Q(\blk00000003/sig00000467 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000356 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig0000040b ), + .R(sclr), + .Q(\blk00000003/sig00000466 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000355 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig0000040a ), + .R(sclr), + .Q(\blk00000003/sig00000465 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000354 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig00000409 ), + .R(sclr), + .Q(\blk00000003/sig00000464 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000353 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig00000408 ), + .R(sclr), + .Q(\blk00000003/sig00000463 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000352 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig00000407 ), + .R(sclr), + .Q(\blk00000003/sig00000462 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig00000406 ), + .R(sclr), + .Q(\blk00000003/sig00000461 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000350 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig00000405 ), + .R(sclr), + .Q(\blk00000003/sig00000460 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034f ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig00000404 ), + .R(sclr), + .Q(\blk00000003/sig0000045f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034e ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig00000403 ), + .R(sclr), + .Q(\blk00000003/sig0000045e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034d ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig00000402 ), + .R(sclr), + .Q(\blk00000003/sig0000045d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034c ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig00000401 ), + .R(sclr), + .Q(\blk00000003/sig0000045c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034b ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig00000400 ), + .R(sclr), + .Q(\blk00000003/sig0000045b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003ff ), + .R(sclr), + .Q(\blk00000003/sig0000045a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000349 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003fe ), + .R(sclr), + .Q(\blk00000003/sig00000459 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003fd ), + .R(sclr), + .Q(\blk00000003/sig00000458 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000347 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003fc ), + .R(sclr), + .Q(\blk00000003/sig00000457 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000346 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003fb ), + .R(sclr), + .Q(\blk00000003/sig00000456 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000345 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003fa ), + .R(sclr), + .Q(\blk00000003/sig00000455 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000344 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003f9 ), + .R(sclr), + .Q(\blk00000003/sig00000454 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000343 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003f8 ), + .R(sclr), + .Q(\blk00000003/sig00000453 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000342 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003f7 ), + .R(sclr), + .Q(\blk00000003/sig00000452 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000341 ( + .C(clk), + .CE(\blk00000003/sig000004ee ), + .D(\blk00000003/sig000003f6 ), + .R(sclr), + .Q(\blk00000003/sig00000451 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000340 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003f5 ), + .R(sclr), + .Q(\blk00000003/sig00000498 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000033f ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003f4 ), + .R(sclr), + .Q(\blk00000003/sig00000497 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000033e ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003f3 ), + .R(sclr), + .Q(\blk00000003/sig00000496 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000033d ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003f2 ), + .R(sclr), + .Q(\blk00000003/sig00000495 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000033c ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003f1 ), + .R(sclr), + .Q(\blk00000003/sig00000494 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000033b ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003f0 ), + .R(sclr), + .Q(\blk00000003/sig00000493 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000033a ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003ef ), + .R(sclr), + .Q(\blk00000003/sig00000492 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000339 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003ee ), + .R(sclr), + .Q(\blk00000003/sig00000491 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000338 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003ed ), + .R(sclr), + .Q(\blk00000003/sig00000490 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000337 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003ec ), + .R(sclr), + .Q(\blk00000003/sig0000048f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000336 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003eb ), + .R(sclr), + .Q(\blk00000003/sig0000048e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000335 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003ea ), + .R(sclr), + .Q(\blk00000003/sig0000048d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000334 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003e9 ), + .R(sclr), + .Q(\blk00000003/sig0000048c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000333 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003e8 ), + .R(sclr), + .Q(\blk00000003/sig0000048b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000332 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003e7 ), + .R(sclr), + .Q(\blk00000003/sig0000048a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000331 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003e6 ), + .R(sclr), + .Q(\blk00000003/sig00000489 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000330 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003e5 ), + .R(sclr), + .Q(\blk00000003/sig00000488 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000032f ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003e4 ), + .R(sclr), + .Q(\blk00000003/sig00000487 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000032e ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003e3 ), + .R(sclr), + .Q(\blk00000003/sig00000486 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000032d ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003e2 ), + .R(sclr), + .Q(\blk00000003/sig00000485 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000032c ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003e1 ), + .R(sclr), + .Q(\blk00000003/sig00000484 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000032b ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003e0 ), + .R(sclr), + .Q(\blk00000003/sig00000483 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000032a ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003df ), + .R(sclr), + .Q(\blk00000003/sig00000482 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000329 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig000003de ), + .R(sclr), + .Q(\blk00000003/sig00000481 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000328 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000425 ), + .R(sclr), + .Q(\blk00000003/sig000004c8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000424 ), + .R(sclr), + .Q(\blk00000003/sig000004c7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000326 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000423 ), + .R(sclr), + .Q(\blk00000003/sig000004c6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000325 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000422 ), + .R(sclr), + .Q(\blk00000003/sig000004c5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000324 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000421 ), + .R(sclr), + .Q(\blk00000003/sig000004c4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000323 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000420 ), + .R(sclr), + .Q(\blk00000003/sig000004c3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000322 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig0000041f ), + .R(sclr), + .Q(\blk00000003/sig000004c2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000321 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig0000041e ), + .R(sclr), + .Q(\blk00000003/sig000004c1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000320 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig0000041d ), + .R(sclr), + .Q(\blk00000003/sig000004c0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031f ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig0000041c ), + .R(sclr), + .Q(\blk00000003/sig000004bf ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031e ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig0000041b ), + .R(sclr), + .Q(\blk00000003/sig000004be ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031d ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig0000041a ), + .R(sclr), + .Q(\blk00000003/sig000004bd ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031c ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000419 ), + .R(sclr), + .Q(\blk00000003/sig000004bc ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031b ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000418 ), + .R(sclr), + .Q(\blk00000003/sig000004bb ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000031a ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000417 ), + .R(sclr), + .Q(\blk00000003/sig000004ba ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000319 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000416 ), + .R(sclr), + .Q(\blk00000003/sig000004b9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000318 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000415 ), + .R(sclr), + .Q(\blk00000003/sig000004b8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000317 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000414 ), + .R(sclr), + .Q(\blk00000003/sig000004b7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000316 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000413 ), + .R(sclr), + .Q(\blk00000003/sig000004b6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000315 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000412 ), + .R(sclr), + .Q(\blk00000003/sig000004b5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000314 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000411 ), + .R(sclr), + .Q(\blk00000003/sig000004b4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000313 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig00000410 ), + .R(sclr), + .Q(\blk00000003/sig000004b3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000312 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig0000040f ), + .R(sclr), + .Q(\blk00000003/sig000004b2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000311 ( + .C(clk), + .CE(\blk00000003/sig000004ed ), + .D(\blk00000003/sig0000040e ), + .R(sclr), + .Q(\blk00000003/sig000004b1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000118 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002d1 ), + .R(sclr), + .Q(\blk00000003/sig00000438 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002d0 ), + .R(sclr), + .Q(\blk00000003/sig00000437 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000116 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002cf ), + .R(sclr), + .Q(\blk00000003/sig00000436 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000115 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000434 ), + .R(sclr), + .Q(\blk00000003/sig00000435 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000114 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002d3 ), + .R(sclr), + .Q(\blk00000003/sig00000433 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000113 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002d7 ), + .R(sclr), + .Q(\blk00000003/sig00000432 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000112 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002da ), + .R(sclr), + .Q(\blk00000003/sig00000431 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000111 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002e1 ), + .R(sclr), + .Q(\blk00000003/sig00000430 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000110 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000042e ), + .R(sclr), + .Q(\blk00000003/sig0000042f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002c6 ), + .R(sclr), + .Q(\blk00000003/sig0000042d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002c5 ), + .R(sclr), + .Q(\blk00000003/sig0000042c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002c4 ), + .R(sclr), + .Q(\blk00000003/sig0000042b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000234 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000042a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000275 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000429 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000010a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000271 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000428 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000109 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000026e ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000427 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000108 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000026a ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000426 ) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk00000107 ( + .PATTERNBDETECT(\NLW_blk00000003/blk00000107_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk00000107_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk00000107_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk00000107_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk00000107_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk00000107_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk00000107_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000107_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000107_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000107_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000107_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000107_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000107_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000107_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000107_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000107_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000107_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000107_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000107_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000107_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000107_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig000000ae , +\blk00000003/sig00000049 , \blk00000003/sig000000ae }), + .PCIN({\blk00000003/sig00000384 , \blk00000003/sig00000385 , \blk00000003/sig00000386 , \blk00000003/sig00000387 , \blk00000003/sig00000388 , +\blk00000003/sig00000389 , \blk00000003/sig0000038a , \blk00000003/sig0000038b , \blk00000003/sig0000038c , \blk00000003/sig0000038d , +\blk00000003/sig0000038e , \blk00000003/sig0000038f , \blk00000003/sig00000390 , \blk00000003/sig00000391 , \blk00000003/sig00000392 , +\blk00000003/sig00000393 , \blk00000003/sig00000394 , \blk00000003/sig00000395 , \blk00000003/sig00000396 , \blk00000003/sig00000397 , +\blk00000003/sig00000398 , \blk00000003/sig00000399 , \blk00000003/sig0000039a , \blk00000003/sig0000039b , \blk00000003/sig0000039c , +\blk00000003/sig0000039d , \blk00000003/sig0000039e , \blk00000003/sig0000039f , \blk00000003/sig000003a0 , \blk00000003/sig000003a1 , +\blk00000003/sig000003a2 , \blk00000003/sig000003a3 , \blk00000003/sig000003a4 , \blk00000003/sig000003a5 , \blk00000003/sig000003a6 , +\blk00000003/sig000003a7 , \blk00000003/sig000003a8 , \blk00000003/sig000003a9 , \blk00000003/sig000003aa , \blk00000003/sig000003ab , +\blk00000003/sig000003ac , \blk00000003/sig000003ad , \blk00000003/sig000003ae , \blk00000003/sig000003af , \blk00000003/sig000003b0 , +\blk00000003/sig000003b1 , \blk00000003/sig000003b2 , \blk00000003/sig000003b3 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk00000107_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000107_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000107_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000107_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000003b4 , \blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 , \blk00000003/sig000003b8 , +\blk00000003/sig000003b9 , \blk00000003/sig000003ba , \blk00000003/sig000003bb , \blk00000003/sig000003bc , \blk00000003/sig000003bd , +\blk00000003/sig000003be , \blk00000003/sig000003bf , \blk00000003/sig000003c0 , \blk00000003/sig000003c1 , \blk00000003/sig000003c2 , +\blk00000003/sig000003c3 , \blk00000003/sig000003c4 , \blk00000003/sig000003c5 }), + .BCOUT({\NLW_blk00000003/blk00000107_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig000003f6 , \blk00000003/sig000003f6 , \blk00000003/sig000003f7 , \blk00000003/sig000003f8 , \blk00000003/sig000003f9 , +\blk00000003/sig000003fa , \blk00000003/sig000003fb , \blk00000003/sig000003fc , \blk00000003/sig000003fd , \blk00000003/sig000003fe , +\blk00000003/sig000003ff , \blk00000003/sig00000400 , \blk00000003/sig00000401 , \blk00000003/sig00000402 , \blk00000003/sig00000403 , +\blk00000003/sig00000404 , \blk00000003/sig00000405 , \blk00000003/sig00000406 , \blk00000003/sig00000407 , \blk00000003/sig00000408 , +\blk00000003/sig00000409 , \blk00000003/sig0000040a , \blk00000003/sig0000040b , \blk00000003/sig0000040c , \blk00000003/sig0000040d }), + .P({\NLW_blk00000003/blk00000107_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<0>_UNCONNECTED }), + .A({\blk00000003/sig0000040e , \blk00000003/sig0000040e , \blk00000003/sig0000040e , \blk00000003/sig0000040e , \blk00000003/sig0000040e , +\blk00000003/sig0000040e , \blk00000003/sig0000040e , \blk00000003/sig0000040f , \blk00000003/sig00000410 , \blk00000003/sig00000411 , +\blk00000003/sig00000412 , \blk00000003/sig00000413 , \blk00000003/sig00000414 , \blk00000003/sig00000415 , \blk00000003/sig00000416 , +\blk00000003/sig00000417 , \blk00000003/sig00000418 , \blk00000003/sig00000419 , \blk00000003/sig0000041a , \blk00000003/sig0000041b , +\blk00000003/sig0000041c , \blk00000003/sig0000041d , \blk00000003/sig0000041e , \blk00000003/sig0000041f , \blk00000003/sig00000420 , +\blk00000003/sig00000421 , \blk00000003/sig00000422 , \blk00000003/sig00000423 , \blk00000003/sig00000424 , \blk00000003/sig00000425 }), + .PCOUT({\blk00000003/sig000000c2 , \blk00000003/sig000000c3 , \blk00000003/sig000000c4 , \blk00000003/sig000000c5 , \blk00000003/sig000000c6 , +\blk00000003/sig000000c7 , \blk00000003/sig000000c8 , \blk00000003/sig000000c9 , \blk00000003/sig000000ca , \blk00000003/sig000000cb , +\blk00000003/sig000000cc , \blk00000003/sig000000cd , \blk00000003/sig000000ce , \blk00000003/sig000000cf , \blk00000003/sig000000d0 , +\blk00000003/sig000000d1 , \blk00000003/sig000000d2 , \blk00000003/sig000000d3 , \blk00000003/sig000000d4 , \blk00000003/sig000000d5 , +\blk00000003/sig000000d6 , \blk00000003/sig000000d7 , \blk00000003/sig000000d8 , \blk00000003/sig000000d9 , \blk00000003/sig000000da , +\blk00000003/sig000000db , \blk00000003/sig000000dc , \blk00000003/sig000000dd , \blk00000003/sig000000de , \blk00000003/sig000000df , +\blk00000003/sig000000e0 , \blk00000003/sig000000e1 , \blk00000003/sig000000e2 , \blk00000003/sig000000e3 , \blk00000003/sig000000e4 , +\blk00000003/sig000000e5 , \blk00000003/sig000000e6 , \blk00000003/sig000000e7 , \blk00000003/sig000000e8 , \blk00000003/sig000000e9 , +\blk00000003/sig000000ea , \blk00000003/sig000000eb , \blk00000003/sig000000ec , \blk00000003/sig000000ed , \blk00000003/sig000000ee , +\blk00000003/sig000000ef , \blk00000003/sig000000f0 , \blk00000003/sig000000f1 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk00000106 ( + .PATTERNBDETECT(\NLW_blk00000003/blk00000106_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk00000106_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk00000106_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk00000106_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk00000106_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk00000106_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk00000106_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000106_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000106_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000106_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000106_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000106_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000106_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000106_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000106_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000106_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000106_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000106_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000106_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000106_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000106_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig000000ae , +\blk00000003/sig00000049 , \blk00000003/sig000000ae }), + .PCIN({\blk00000003/sig00000324 , \blk00000003/sig00000325 , \blk00000003/sig00000326 , \blk00000003/sig00000327 , \blk00000003/sig00000328 , +\blk00000003/sig00000329 , \blk00000003/sig0000032a , \blk00000003/sig0000032b , \blk00000003/sig0000032c , \blk00000003/sig0000032d , +\blk00000003/sig0000032e , \blk00000003/sig0000032f , \blk00000003/sig00000330 , \blk00000003/sig00000331 , \blk00000003/sig00000332 , +\blk00000003/sig00000333 , \blk00000003/sig00000334 , \blk00000003/sig00000335 , \blk00000003/sig00000336 , \blk00000003/sig00000337 , +\blk00000003/sig00000338 , \blk00000003/sig00000339 , \blk00000003/sig0000033a , \blk00000003/sig0000033b , \blk00000003/sig0000033c , +\blk00000003/sig0000033d , \blk00000003/sig0000033e , \blk00000003/sig0000033f , \blk00000003/sig00000340 , \blk00000003/sig00000341 , +\blk00000003/sig00000342 , \blk00000003/sig00000343 , \blk00000003/sig00000344 , \blk00000003/sig00000345 , \blk00000003/sig00000346 , +\blk00000003/sig00000347 , \blk00000003/sig00000348 , \blk00000003/sig00000349 , \blk00000003/sig0000034a , \blk00000003/sig0000034b , +\blk00000003/sig0000034c , \blk00000003/sig0000034d , \blk00000003/sig0000034e , \blk00000003/sig0000034f , \blk00000003/sig00000350 , +\blk00000003/sig00000351 , \blk00000003/sig00000352 , \blk00000003/sig00000353 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk00000106_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000106_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000106_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000106_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000003b4 , \blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 , \blk00000003/sig000003b8 , +\blk00000003/sig000003b9 , \blk00000003/sig000003ba , \blk00000003/sig000003bb , \blk00000003/sig000003bc , \blk00000003/sig000003bd , +\blk00000003/sig000003be , \blk00000003/sig000003bf , \blk00000003/sig000003c0 , \blk00000003/sig000003c1 , \blk00000003/sig000003c2 , +\blk00000003/sig000003c3 , \blk00000003/sig000003c4 , \blk00000003/sig000003c5 }), + .BCOUT({\NLW_blk00000003/blk00000106_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig000003c6 , \blk00000003/sig000003c6 , \blk00000003/sig000003c7 , \blk00000003/sig000003c8 , \blk00000003/sig000003c9 , +\blk00000003/sig000003ca , \blk00000003/sig000003cb , \blk00000003/sig000003cc , \blk00000003/sig000003cd , \blk00000003/sig000003ce , +\blk00000003/sig000003cf , \blk00000003/sig000003d0 , \blk00000003/sig000003d1 , \blk00000003/sig000003d2 , \blk00000003/sig000003d3 , +\blk00000003/sig000003d4 , \blk00000003/sig000003d5 , \blk00000003/sig000003d6 , \blk00000003/sig000003d7 , \blk00000003/sig000003d8 , +\blk00000003/sig000003d9 , \blk00000003/sig000003da , \blk00000003/sig000003db , \blk00000003/sig000003dc , \blk00000003/sig000003dd }), + .P({\NLW_blk00000003/blk00000106_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<0>_UNCONNECTED }), + .A({\blk00000003/sig000003de , \blk00000003/sig000003de , \blk00000003/sig000003de , \blk00000003/sig000003de , \blk00000003/sig000003de , +\blk00000003/sig000003de , \blk00000003/sig000003de , \blk00000003/sig000003df , \blk00000003/sig000003e0 , \blk00000003/sig000003e1 , +\blk00000003/sig000003e2 , \blk00000003/sig000003e3 , \blk00000003/sig000003e4 , \blk00000003/sig000003e5 , \blk00000003/sig000003e6 , +\blk00000003/sig000003e7 , \blk00000003/sig000003e8 , \blk00000003/sig000003e9 , \blk00000003/sig000003ea , \blk00000003/sig000003eb , +\blk00000003/sig000003ec , \blk00000003/sig000003ed , \blk00000003/sig000003ee , \blk00000003/sig000003ef , \blk00000003/sig000003f0 , +\blk00000003/sig000003f1 , \blk00000003/sig000003f2 , \blk00000003/sig000003f3 , \blk00000003/sig000003f4 , \blk00000003/sig000003f5 }), + .PCOUT({\blk00000003/sig0000014c , \blk00000003/sig0000014d , \blk00000003/sig0000014e , \blk00000003/sig0000014f , \blk00000003/sig00000150 , +\blk00000003/sig00000151 , \blk00000003/sig00000152 , \blk00000003/sig00000153 , \blk00000003/sig00000154 , \blk00000003/sig00000155 , +\blk00000003/sig00000156 , \blk00000003/sig00000157 , \blk00000003/sig00000158 , \blk00000003/sig00000159 , \blk00000003/sig0000015a , +\blk00000003/sig0000015b , \blk00000003/sig0000015c , \blk00000003/sig0000015d , \blk00000003/sig0000015e , \blk00000003/sig0000015f , +\blk00000003/sig00000160 , \blk00000003/sig00000161 , \blk00000003/sig00000162 , \blk00000003/sig00000163 , \blk00000003/sig00000164 , +\blk00000003/sig00000165 , \blk00000003/sig00000166 , \blk00000003/sig00000167 , \blk00000003/sig00000168 , \blk00000003/sig00000169 , +\blk00000003/sig0000016a , \blk00000003/sig0000016b , \blk00000003/sig0000016c , \blk00000003/sig0000016d , \blk00000003/sig0000016e , +\blk00000003/sig0000016f , \blk00000003/sig00000170 , \blk00000003/sig00000171 , \blk00000003/sig00000172 , \blk00000003/sig00000173 , +\blk00000003/sig00000174 , \blk00000003/sig00000175 , \blk00000003/sig00000176 , \blk00000003/sig00000177 , \blk00000003/sig00000178 , +\blk00000003/sig00000179 , \blk00000003/sig0000017a , \blk00000003/sig0000017b }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk00000105 ( + .PATTERNBDETECT(\NLW_blk00000003/blk00000105_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk00000105_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk00000105_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk00000105_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk00000105_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk00000105_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk00000105_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000105_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000105_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000105_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000105_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000105_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000105_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000105_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000105_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000105_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000105_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000105_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000105_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000105_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000105_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig000000ae , +\blk00000003/sig00000049 , \blk00000003/sig000000ae }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk00000105_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000105_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000105_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000105_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000002e2 , \blk00000003/sig000002e3 , \blk00000003/sig000002e4 , \blk00000003/sig000002e5 , \blk00000003/sig000002e6 , +\blk00000003/sig000002e7 , \blk00000003/sig000002e8 , \blk00000003/sig000002e9 , \blk00000003/sig000002ea , \blk00000003/sig000002eb , +\blk00000003/sig000002ec , \blk00000003/sig000002ed , \blk00000003/sig000002ee , \blk00000003/sig000002ef , \blk00000003/sig000002f0 , +\blk00000003/sig000002f1 , \blk00000003/sig000002f2 , \blk00000003/sig000002f3 }), + .BCOUT({\NLW_blk00000003/blk00000105_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig00000354 , \blk00000003/sig00000354 , \blk00000003/sig00000355 , \blk00000003/sig00000356 , \blk00000003/sig00000357 , +\blk00000003/sig00000358 , \blk00000003/sig00000359 , \blk00000003/sig0000035a , \blk00000003/sig0000035b , \blk00000003/sig0000035c , +\blk00000003/sig0000035d , \blk00000003/sig0000035e , \blk00000003/sig0000035f , \blk00000003/sig00000360 , \blk00000003/sig00000361 , +\blk00000003/sig00000362 , \blk00000003/sig00000363 , \blk00000003/sig00000364 , \blk00000003/sig00000365 , \blk00000003/sig00000366 , +\blk00000003/sig00000367 , \blk00000003/sig00000368 , \blk00000003/sig00000369 , \blk00000003/sig0000036a , \blk00000003/sig0000036b }), + .P({\NLW_blk00000003/blk00000105_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<0>_UNCONNECTED }), + .A({\blk00000003/sig0000036c , \blk00000003/sig0000036c , \blk00000003/sig0000036c , \blk00000003/sig0000036c , \blk00000003/sig0000036c , +\blk00000003/sig0000036c , \blk00000003/sig0000036c , \blk00000003/sig0000036d , \blk00000003/sig0000036e , \blk00000003/sig0000036f , +\blk00000003/sig00000370 , \blk00000003/sig00000371 , \blk00000003/sig00000372 , \blk00000003/sig00000373 , \blk00000003/sig00000374 , +\blk00000003/sig00000375 , \blk00000003/sig00000376 , \blk00000003/sig00000377 , \blk00000003/sig00000378 , \blk00000003/sig00000379 , +\blk00000003/sig0000037a , \blk00000003/sig0000037b , \blk00000003/sig0000037c , \blk00000003/sig0000037d , \blk00000003/sig0000037e , +\blk00000003/sig0000037f , \blk00000003/sig00000380 , \blk00000003/sig00000381 , \blk00000003/sig00000382 , \blk00000003/sig00000383 }), + .PCOUT({\blk00000003/sig00000384 , \blk00000003/sig00000385 , \blk00000003/sig00000386 , \blk00000003/sig00000387 , \blk00000003/sig00000388 , +\blk00000003/sig00000389 , \blk00000003/sig0000038a , \blk00000003/sig0000038b , \blk00000003/sig0000038c , \blk00000003/sig0000038d , +\blk00000003/sig0000038e , \blk00000003/sig0000038f , \blk00000003/sig00000390 , \blk00000003/sig00000391 , \blk00000003/sig00000392 , +\blk00000003/sig00000393 , \blk00000003/sig00000394 , \blk00000003/sig00000395 , \blk00000003/sig00000396 , \blk00000003/sig00000397 , +\blk00000003/sig00000398 , \blk00000003/sig00000399 , \blk00000003/sig0000039a , \blk00000003/sig0000039b , \blk00000003/sig0000039c , +\blk00000003/sig0000039d , \blk00000003/sig0000039e , \blk00000003/sig0000039f , \blk00000003/sig000003a0 , \blk00000003/sig000003a1 , +\blk00000003/sig000003a2 , \blk00000003/sig000003a3 , \blk00000003/sig000003a4 , \blk00000003/sig000003a5 , \blk00000003/sig000003a6 , +\blk00000003/sig000003a7 , \blk00000003/sig000003a8 , \blk00000003/sig000003a9 , \blk00000003/sig000003aa , \blk00000003/sig000003ab , +\blk00000003/sig000003ac , \blk00000003/sig000003ad , \blk00000003/sig000003ae , \blk00000003/sig000003af , \blk00000003/sig000003b0 , +\blk00000003/sig000003b1 , \blk00000003/sig000003b2 , \blk00000003/sig000003b3 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 1 ), + .ADREG ( 1 ), + .ALUMODEREG ( 0 ), + .AREG ( 1 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 1 ), + .BREG ( 1 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 1 ), + .INMODEREG ( 1 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "TRUE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk00000104 ( + .PATTERNBDETECT(\NLW_blk00000003/blk00000104_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(\blk00000003/sig00000049 ), + .CEAD(ce), + .MULTSIGNOUT(\NLW_blk00000003/blk00000104_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk00000104_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk00000104_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk00000104_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(\blk00000003/sig00000049 ), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk00000104_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk00000104_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000104_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000104_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000104_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000104_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000104_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000104_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000104_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000104_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000104_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000104_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000104_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000104_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000104_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000104_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig000000ae , +\blk00000003/sig00000049 , \blk00000003/sig000000ae }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk00000104_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000104_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000104_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000104_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000002e2 , \blk00000003/sig000002e3 , \blk00000003/sig000002e4 , \blk00000003/sig000002e5 , \blk00000003/sig000002e6 , +\blk00000003/sig000002e7 , \blk00000003/sig000002e8 , \blk00000003/sig000002e9 , \blk00000003/sig000002ea , \blk00000003/sig000002eb , +\blk00000003/sig000002ec , \blk00000003/sig000002ed , \blk00000003/sig000002ee , \blk00000003/sig000002ef , \blk00000003/sig000002f0 , +\blk00000003/sig000002f1 , \blk00000003/sig000002f2 , \blk00000003/sig000002f3 }), + .BCOUT({\NLW_blk00000003/blk00000104_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig000002f4 , \blk00000003/sig000002f4 , \blk00000003/sig000002f5 , \blk00000003/sig000002f6 , \blk00000003/sig000002f7 , +\blk00000003/sig000002f8 , \blk00000003/sig000002f9 , \blk00000003/sig000002fa , \blk00000003/sig000002fb , \blk00000003/sig000002fc , +\blk00000003/sig000002fd , \blk00000003/sig000002fe , \blk00000003/sig000002ff , \blk00000003/sig00000300 , \blk00000003/sig00000301 , +\blk00000003/sig00000302 , \blk00000003/sig00000303 , \blk00000003/sig00000304 , \blk00000003/sig00000305 , \blk00000003/sig00000306 , +\blk00000003/sig00000307 , \blk00000003/sig00000308 , \blk00000003/sig00000309 , \blk00000003/sig0000030a , \blk00000003/sig0000030b }), + .P({\NLW_blk00000003/blk00000104_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<0>_UNCONNECTED }), + .A({\blk00000003/sig0000030c , \blk00000003/sig0000030c , \blk00000003/sig0000030c , \blk00000003/sig0000030c , \blk00000003/sig0000030c , +\blk00000003/sig0000030c , \blk00000003/sig0000030c , \blk00000003/sig0000030d , \blk00000003/sig0000030e , \blk00000003/sig0000030f , +\blk00000003/sig00000310 , \blk00000003/sig00000311 , \blk00000003/sig00000312 , \blk00000003/sig00000313 , \blk00000003/sig00000314 , +\blk00000003/sig00000315 , \blk00000003/sig00000316 , \blk00000003/sig00000317 , \blk00000003/sig00000318 , \blk00000003/sig00000319 , +\blk00000003/sig0000031a , \blk00000003/sig0000031b , \blk00000003/sig0000031c , \blk00000003/sig0000031d , \blk00000003/sig0000031e , +\blk00000003/sig0000031f , \blk00000003/sig00000320 , \blk00000003/sig00000321 , \blk00000003/sig00000322 , \blk00000003/sig00000323 }), + .PCOUT({\blk00000003/sig00000324 , \blk00000003/sig00000325 , \blk00000003/sig00000326 , \blk00000003/sig00000327 , \blk00000003/sig00000328 , +\blk00000003/sig00000329 , \blk00000003/sig0000032a , \blk00000003/sig0000032b , \blk00000003/sig0000032c , \blk00000003/sig0000032d , +\blk00000003/sig0000032e , \blk00000003/sig0000032f , \blk00000003/sig00000330 , \blk00000003/sig00000331 , \blk00000003/sig00000332 , +\blk00000003/sig00000333 , \blk00000003/sig00000334 , \blk00000003/sig00000335 , \blk00000003/sig00000336 , \blk00000003/sig00000337 , +\blk00000003/sig00000338 , \blk00000003/sig00000339 , \blk00000003/sig0000033a , \blk00000003/sig0000033b , \blk00000003/sig0000033c , +\blk00000003/sig0000033d , \blk00000003/sig0000033e , \blk00000003/sig0000033f , \blk00000003/sig00000340 , \blk00000003/sig00000341 , +\blk00000003/sig00000342 , \blk00000003/sig00000343 , \blk00000003/sig00000344 , \blk00000003/sig00000345 , \blk00000003/sig00000346 , +\blk00000003/sig00000347 , \blk00000003/sig00000348 , \blk00000003/sig00000349 , \blk00000003/sig0000034a , \blk00000003/sig0000034b , +\blk00000003/sig0000034c , \blk00000003/sig0000034d , \blk00000003/sig0000034e , \blk00000003/sig0000034f , \blk00000003/sig00000350 , +\blk00000003/sig00000351 , \blk00000003/sig00000352 , \blk00000003/sig00000353 }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000103 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001ce ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000102 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002df ), + .Q(\blk00000003/sig000002e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000101 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002de ), + .Q(\blk00000003/sig000002d2 ) + ); + XORCY \blk00000003/blk00000100 ( + .CI(\blk00000003/sig000002d9 ), + .LI(\blk00000003/sig000002db ), + .O(\blk00000003/sig000002dd ) + ); + XORCY \blk00000003/blk000000ff ( + .CI(\blk00000003/sig000002d5 ), + .LI(\blk00000003/sig000002d8 ), + .O(\blk00000003/sig000002dc ) + ); + MUXCY_D \blk00000003/blk000000fe ( + .CI(\blk00000003/sig000002d9 ), + .DI(\blk00000003/sig000002da ), + .S(\blk00000003/sig000002db ), + .O(\NLW_blk00000003/blk000000fe_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000fe_LO_UNCONNECTED ) + ); + MUXCY_L \blk00000003/blk000000fd ( + .CI(\blk00000003/sig000002d5 ), + .DI(\blk00000003/sig000002d7 ), + .S(\blk00000003/sig000002d8 ), + .LO(\blk00000003/sig000002d9 ) + ); + XORCY \blk00000003/blk000000fc ( + .CI(\blk00000003/sig000002d2 ), + .LI(\blk00000003/sig000002d4 ), + .O(\blk00000003/sig000002d6 ) + ); + MUXCY_L \blk00000003/blk000000fb ( + .CI(\blk00000003/sig000002d2 ), + .DI(\blk00000003/sig000002d3 ), + .S(\blk00000003/sig000002d4 ), + .LO(\blk00000003/sig000002d5 ) + ); + MUXCY_L \blk00000003/blk000000fa ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000002d1 ), + .S(\blk00000003/sig000002c7 ), + .LO(\blk00000003/sig000002cc ) + ); + MUXCY_L \blk00000003/blk000000f9 ( + .CI(\blk00000003/sig000002cc ), + .DI(\blk00000003/sig000002d0 ), + .S(\blk00000003/sig000002cd ), + .LO(\blk00000003/sig000002c9 ) + ); + MUXCY_D \blk00000003/blk000000f8 ( + .CI(\blk00000003/sig000002c9 ), + .DI(\blk00000003/sig000002cf ), + .S(\blk00000003/sig000002ca ), + .O(\NLW_blk00000003/blk000000f8_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000f8_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000f7 ( + .CI(\blk00000003/sig000002cc ), + .LI(\blk00000003/sig000002cd ), + .O(\blk00000003/sig000002ce ) + ); + XORCY \blk00000003/blk000000f6 ( + .CI(\blk00000003/sig000002c9 ), + .LI(\blk00000003/sig000002ca ), + .O(\blk00000003/sig000002cb ) + ); + XORCY \blk00000003/blk000000f5 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig000002c7 ), + .O(\blk00000003/sig000002c8 ) + ); + MUXCY_L \blk00000003/blk000000f4 ( + .CI(\blk00000003/sig000002ba ), + .DI(\blk00000003/sig000002c6 ), + .S(\blk00000003/sig000002bb ), + .LO(\blk00000003/sig000002c0 ) + ); + MUXCY_L \blk00000003/blk000000f3 ( + .CI(\blk00000003/sig000002c0 ), + .DI(\blk00000003/sig000002c5 ), + .S(\blk00000003/sig000002c1 ), + .LO(\blk00000003/sig000002bd ) + ); + MUXCY_D \blk00000003/blk000000f2 ( + .CI(\blk00000003/sig000002bd ), + .DI(\blk00000003/sig000002c4 ), + .S(\blk00000003/sig000002be ), + .O(\NLW_blk00000003/blk000000f2_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000f2_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000f1 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ae ), + .S(\blk00000003/sig000002c3 ), + .O(\blk00000003/sig000002ba ) + ); + XORCY \blk00000003/blk000000f0 ( + .CI(\blk00000003/sig000002c0 ), + .LI(\blk00000003/sig000002c1 ), + .O(\blk00000003/sig000002c2 ) + ); + XORCY \blk00000003/blk000000ef ( + .CI(\blk00000003/sig000002bd ), + .LI(\blk00000003/sig000002be ), + .O(\blk00000003/sig000002bf ) + ); + XORCY \blk00000003/blk000000ee ( + .CI(\blk00000003/sig000002ba ), + .LI(\blk00000003/sig000002bb ), + .O(\blk00000003/sig000002bc ) + ); + FDE \blk00000003/blk000000ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b8 ), + .Q(\blk00000003/sig000002b9 ) + ); + MUXCY_L \blk00000003/blk000000ec ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000002b7 ), + .S(\blk00000003/sig000002b1 ), + .LO(\blk00000003/sig000002b3 ) + ); + MUXCY_D \blk00000003/blk000000eb ( + .CI(\blk00000003/sig000002b3 ), + .DI(\blk00000003/sig000002b6 ), + .S(\blk00000003/sig000002b4 ), + .O(\NLW_blk00000003/blk000000eb_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000eb_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000ea ( + .CI(\blk00000003/sig000002b3 ), + .LI(\blk00000003/sig000002b4 ), + .O(\blk00000003/sig000002b5 ) + ); + XORCY \blk00000003/blk000000e9 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig000002b1 ), + .O(\blk00000003/sig000002b2 ) + ); + MUXCY_L \blk00000003/blk000000e8 ( + .CI(\blk00000003/sig000002aa ), + .DI(\blk00000003/sig000001e9 ), + .S(\blk00000003/sig000002ab ), + .LO(\blk00000003/sig000002ad ) + ); + MUXCY_D \blk00000003/blk000000e7 ( + .CI(\blk00000003/sig000002ad ), + .DI(\blk00000003/sig000001e8 ), + .S(\blk00000003/sig000002ae ), + .O(\NLW_blk00000003/blk000000e7_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000e7_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000e6 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ae ), + .S(\blk00000003/sig000002b0 ), + .O(\blk00000003/sig000002aa ) + ); + XORCY \blk00000003/blk000000e5 ( + .CI(\blk00000003/sig000002ad ), + .LI(\blk00000003/sig000002ae ), + .O(\blk00000003/sig000002af ) + ); + XORCY \blk00000003/blk000000e4 ( + .CI(\blk00000003/sig000002aa ), + .LI(\blk00000003/sig000002ab ), + .O(\blk00000003/sig000002ac ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a8 ), + .R(sclr), + .Q(\blk00000003/sig000002a9 ) + ); + MUXCY_D \blk00000003/blk000000e2 ( + .CI(\blk00000003/sig000002a5 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000002a7 ), + .O(\NLW_blk00000003/blk000000e2_O_UNCONNECTED ), + .LO(\blk00000003/sig000002a8 ) + ); + MUXCY_D \blk00000003/blk000000e1 ( + .CI(\blk00000003/sig000000ae ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000002a6 ), + .O(\blk00000003/sig000002a3 ), + .LO(\NLW_blk00000003/blk000000e1_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000e0 ( + .CI(\blk00000003/sig000002a3 ), + .DI(\blk00000003/sig000002a2 ), + .S(\blk00000003/sig000002a4 ), + .O(\blk00000003/sig000002a5 ), + .LO(\blk00000003/sig000002a1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000df ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a1 ), + .R(sclr), + .Q(\blk00000003/sig000002a2 ) + ); + MUXCY_L \blk00000003/blk000000de ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000002a0 ), + .S(\blk00000003/sig0000029a ), + .LO(\blk00000003/sig0000029c ) + ); + MUXCY_D \blk00000003/blk000000dd ( + .CI(\blk00000003/sig0000029c ), + .DI(\blk00000003/sig0000029f ), + .S(\blk00000003/sig0000029d ), + .O(\NLW_blk00000003/blk000000dd_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000dd_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000dc ( + .CI(\blk00000003/sig0000029c ), + .LI(\blk00000003/sig0000029d ), + .O(\blk00000003/sig0000029e ) + ); + XORCY \blk00000003/blk000000db ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig0000029a ), + .O(\blk00000003/sig0000029b ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000000da ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000028b ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000221 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000028a ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000021e ) + ); + MUXCY_D \blk00000003/blk000000d8 ( + .CI(\blk00000003/sig0000021e ), + .DI(\blk00000003/sig00000298 ), + .S(\blk00000003/sig00000299 ), + .O(\blk00000003/sig00000295 ), + .LO(\NLW_blk00000003/blk000000d8_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d7 ( + .CI(\blk00000003/sig00000295 ), + .DI(\blk00000003/sig00000296 ), + .S(\blk00000003/sig00000297 ), + .O(\blk00000003/sig00000293 ), + .LO(\NLW_blk00000003/blk000000d7_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d6 ( + .CI(\blk00000003/sig00000293 ), + .DI(\blk00000003/sig00000289 ), + .S(\blk00000003/sig00000294 ), + .O(\blk00000003/sig00000290 ), + .LO(\NLW_blk00000003/blk000000d6_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d5 ( + .CI(\blk00000003/sig00000290 ), + .DI(\blk00000003/sig00000291 ), + .S(\blk00000003/sig00000292 ), + .O(\blk00000003/sig0000028e ), + .LO(\NLW_blk00000003/blk000000d5_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d4 ( + .CI(\blk00000003/sig0000028e ), + .DI(\blk00000003/sig00000246 ), + .S(\blk00000003/sig0000028f ), + .O(\blk00000003/sig0000028c ), + .LO(\NLW_blk00000003/blk000000d4_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d3 ( + .CI(\blk00000003/sig0000028c ), + .DI(\blk00000003/sig0000021b ), + .S(\blk00000003/sig0000028d ), + .O(\NLW_blk00000003/blk000000d3_O_UNCONNECTED ), + .LO(\blk00000003/sig0000028a ) + ); + XORCY \blk00000003/blk000000d2 ( + .CI(\blk00000003/sig0000028a ), + .LI(\blk00000003/sig000000ae ), + .O(\blk00000003/sig0000028b ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000000d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000279 ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000289 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000278 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000001ce ) + ); + MUXCY_D \blk00000003/blk000000cf ( + .CI(\blk00000003/sig000001ce ), + .DI(\blk00000003/sig00000287 ), + .S(\blk00000003/sig00000288 ), + .O(\blk00000003/sig00000285 ), + .LO(\NLW_blk00000003/blk000000cf_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000ce ( + .CI(\blk00000003/sig00000285 ), + .DI(\blk00000003/sig000001cf ), + .S(\blk00000003/sig00000286 ), + .O(\blk00000003/sig00000283 ), + .LO(\NLW_blk00000003/blk000000ce_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000cd ( + .CI(\blk00000003/sig00000283 ), + .DI(\blk00000003/sig000001ce ), + .S(\blk00000003/sig00000284 ), + .O(\blk00000003/sig00000280 ), + .LO(\NLW_blk00000003/blk000000cd_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000cc ( + .CI(\blk00000003/sig00000280 ), + .DI(\blk00000003/sig00000281 ), + .S(\blk00000003/sig00000282 ), + .O(\blk00000003/sig0000027e ), + .LO(\NLW_blk00000003/blk000000cc_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000cb ( + .CI(\blk00000003/sig0000027e ), + .DI(\blk00000003/sig00000221 ), + .S(\blk00000003/sig0000027f ), + .O(\blk00000003/sig0000027a ), + .LO(\NLW_blk00000003/blk000000cb_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000ca ( + .CI(\blk00000003/sig0000027c ), + .DI(\blk00000003/sig00000221 ), + .S(\blk00000003/sig0000027d ), + .O(\NLW_blk00000003/blk000000ca_O_UNCONNECTED ), + .LO(\blk00000003/sig00000278 ) + ); + MUXCY_D \blk00000003/blk000000c9 ( + .CI(\blk00000003/sig0000027a ), + .DI(\blk00000003/sig0000023b ), + .S(\blk00000003/sig0000027b ), + .O(\blk00000003/sig0000027c ), + .LO(\NLW_blk00000003/blk000000c9_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000c8 ( + .CI(\blk00000003/sig00000278 ), + .LI(\blk00000003/sig000000ae ), + .O(\blk00000003/sig00000279 ) + ); + FDE \blk00000003/blk000000c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000276 ), + .Q(\blk00000003/sig00000277 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000021e ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000275 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000024c ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000269 ) + ); + XORCY \blk00000003/blk000000c4 ( + .CI(\blk00000003/sig00000270 ), + .LI(\blk00000003/sig00000272 ), + .O(\blk00000003/sig00000274 ) + ); + XORCY \blk00000003/blk000000c3 ( + .CI(\blk00000003/sig0000026c ), + .LI(\blk00000003/sig0000026f ), + .O(\blk00000003/sig00000273 ) + ); + MUXCY_D \blk00000003/blk000000c2 ( + .CI(\blk00000003/sig00000270 ), + .DI(\blk00000003/sig00000271 ), + .S(\blk00000003/sig00000272 ), + .O(\NLW_blk00000003/blk000000c2_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000c2_LO_UNCONNECTED ) + ); + MUXCY_L \blk00000003/blk000000c1 ( + .CI(\blk00000003/sig0000026c ), + .DI(\blk00000003/sig0000026e ), + .S(\blk00000003/sig0000026f ), + .LO(\blk00000003/sig00000270 ) + ); + XORCY \blk00000003/blk000000c0 ( + .CI(\blk00000003/sig00000269 ), + .LI(\blk00000003/sig0000026b ), + .O(\blk00000003/sig0000026d ) + ); + MUXCY_L \blk00000003/blk000000bf ( + .CI(\blk00000003/sig00000269 ), + .DI(\blk00000003/sig0000026a ), + .S(\blk00000003/sig0000026b ), + .LO(\blk00000003/sig0000026c ) + ); + MUXCY \blk00000003/blk000000be ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ae ), + .S(\blk00000003/sig00000268 ), + .O(\blk00000003/sig00000264 ) + ); + MUXCY_D \blk00000003/blk000000bd ( + .CI(\blk00000003/sig00000264 ), + .DI(\blk00000003/sig00000267 ), + .S(\blk00000003/sig00000265 ), + .O(\NLW_blk00000003/blk000000bd_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000bd_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000bc ( + .CI(\blk00000003/sig00000264 ), + .LI(\blk00000003/sig00000265 ), + .O(\blk00000003/sig00000266 ) + ); + MUXCY_L \blk00000003/blk000000bb ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000263 ), + .S(\blk00000003/sig00000261 ), + .LO(\blk00000003/sig0000025c ) + ); + XORCY \blk00000003/blk000000ba ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000261 ), + .O(\blk00000003/sig00000262 ) + ); + MUXCY_L \blk00000003/blk000000b9 ( + .CI(\blk00000003/sig0000025c ), + .DI(\blk00000003/sig00000260 ), + .S(\blk00000003/sig0000025d ), + .LO(\blk00000003/sig00000259 ) + ); + MUXCY_D \blk00000003/blk000000b8 ( + .CI(\blk00000003/sig00000259 ), + .DI(\blk00000003/sig0000025f ), + .S(\blk00000003/sig0000025a ), + .O(\NLW_blk00000003/blk000000b8_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000b8_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000b7 ( + .CI(\blk00000003/sig0000025c ), + .LI(\blk00000003/sig0000025d ), + .O(\blk00000003/sig0000025e ) + ); + XORCY \blk00000003/blk000000b6 ( + .CI(\blk00000003/sig00000259 ), + .LI(\blk00000003/sig0000025a ), + .O(\blk00000003/sig0000025b ) + ); + MUXCY_L \blk00000003/blk000000b5 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000258 ), + .S(\blk00000003/sig00000256 ), + .LO(\blk00000003/sig00000251 ) + ); + XORCY \blk00000003/blk000000b4 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000256 ), + .O(\blk00000003/sig00000257 ) + ); + MUXCY_L \blk00000003/blk000000b3 ( + .CI(\blk00000003/sig00000251 ), + .DI(\blk00000003/sig00000255 ), + .S(\blk00000003/sig00000252 ), + .LO(\blk00000003/sig0000024e ) + ); + MUXCY_D \blk00000003/blk000000b2 ( + .CI(\blk00000003/sig0000024e ), + .DI(\blk00000003/sig00000254 ), + .S(\blk00000003/sig0000024f ), + .O(\NLW_blk00000003/blk000000b2_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000b2_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000b1 ( + .CI(\blk00000003/sig00000251 ), + .LI(\blk00000003/sig00000252 ), + .O(\blk00000003/sig00000253 ) + ); + XORCY \blk00000003/blk000000b0 ( + .CI(\blk00000003/sig0000024e ), + .LI(\blk00000003/sig0000024f ), + .O(\blk00000003/sig00000250 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000af ( + .C(clk), + .CE(ce), + .D(coef_ld), + .Q(\blk00000003/sig0000024d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ae ( + .C(clk), + .CE(ce), + .D(coef_we), + .Q(\blk00000003/sig0000024c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e2 ), + .Q(\blk00000003/sig00000240 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000024b ), + .Q(\blk00000003/sig00000233 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000249 ), + .Q(\blk00000003/sig0000024a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000248 ), + .Q(\blk00000003/sig00000231 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000247 ), + .Q(\blk00000003/sig0000023e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000245 ), + .Q(\blk00000003/sig00000246 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000243 ), + .Q(\blk00000003/sig00000244 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000241 ), + .Q(\blk00000003/sig00000242 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000240 ), + .Q(\blk00000003/sig0000023c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000023e ), + .Q(\blk00000003/sig0000023f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000023c ), + .Q(\blk00000003/sig0000023d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000021d ), + .Q(\blk00000003/sig0000023b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000236 ), + .Q(\blk00000003/sig0000023a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000230 ), + .R(coef_ld), + .Q(\NLW_blk00000003/blk000000a0_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000022d ), + .R(coef_ld), + .Q(\blk00000003/sig0000022c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000022a ), + .R(coef_ld), + .Q(\NLW_blk00000003/blk0000009e_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000226 ), + .R(coef_ld), + .Q(\blk00000003/sig00000224 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000021e ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000239 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000237 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000238 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000009a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000235 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000236 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000099 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000233 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000234 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000098 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000231 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000232 ) + ); + MUXCY_D \blk00000003/blk00000097 ( + .CI(coef_we), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig0000022f ), + .O(\blk00000003/sig00000228 ), + .LO(\blk00000003/sig00000230 ) + ); + MUXCY_D \blk00000003/blk00000096 ( + .CI(\blk00000003/sig000000ae ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig0000022e ), + .O(\blk00000003/sig0000022b ), + .LO(\NLW_blk00000003/blk00000096_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk00000095 ( + .CI(\blk00000003/sig0000022b ), + .DI(\blk00000003/sig0000022c ), + .S(coef_we), + .O(\NLW_blk00000003/blk00000095_O_UNCONNECTED ), + .LO(\blk00000003/sig0000022d ) + ); + MUXCY_D \blk00000003/blk00000094 ( + .CI(\blk00000003/sig00000228 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000229 ), + .O(\NLW_blk00000003/blk00000094_O_UNCONNECTED ), + .LO(\blk00000003/sig0000022a ) + ); + MUXCY_D \blk00000003/blk00000093 ( + .CI(\blk00000003/sig000000ae ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000227 ), + .O(\blk00000003/sig00000223 ), + .LO(\NLW_blk00000003/blk00000093_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk00000092 ( + .CI(\blk00000003/sig00000223 ), + .DI(\blk00000003/sig00000224 ), + .S(\blk00000003/sig00000225 ), + .O(\NLW_blk00000003/blk00000092_O_UNCONNECTED ), + .LO(\blk00000003/sig00000226 ) + ); + XORCY \blk00000003/blk00000091 ( + .CI(\blk00000003/sig0000021c ), + .LI(\blk00000003/sig000000ae ), + .O(\blk00000003/sig0000021a ) + ); + MUXCY_D \blk00000003/blk00000090 ( + .CI(\blk00000003/sig00000220 ), + .DI(\blk00000003/sig00000221 ), + .S(\blk00000003/sig00000222 ), + .O(\NLW_blk00000003/blk00000090_O_UNCONNECTED ), + .LO(\blk00000003/sig0000021c ) + ); + MUXCY_D \blk00000003/blk0000008f ( + .CI(\blk00000003/sig0000021d ), + .DI(\blk00000003/sig0000021e ), + .S(\blk00000003/sig0000021f ), + .O(\blk00000003/sig00000220 ), + .LO(\NLW_blk00000003/blk0000008f_LO_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000008e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000021c ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000021d ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk0000008d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000021a ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000021b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000029 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e7 ), + .R(sclr), + .Q(\blk00000003/sig000001e6 ) + ); + FDR #( + .INIT ( 1'b1 )) + \blk00000003/blk00000028 ( + .C(clk), + .D(\blk00000003/sig000000b6 ), + .R(sclr), + .Q(\blk00000003/sig000000b6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000027 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e6 ), + .R(sclr), + .Q(\blk00000003/sig000001e3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000026 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e5 ), + .R(\blk00000003/sig000001e0 ), + .Q(data_valid) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000025 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e3 ), + .R(sclr), + .Q(\blk00000003/sig000001e4 ) + ); + FDRE \blk00000003/blk00000024 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001e1 ), + .R(sclr), + .Q(\blk00000003/sig000001e2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000023 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001df ), + .R(\blk00000003/sig000001e0 ), + .Q(rdy) + ); + FDSE \blk00000003/blk00000022 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001dd ), + .S(sclr), + .Q(\blk00000003/sig000001de ) + ); + FDRE \blk00000003/blk00000021 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001db ), + .R(sclr), + .Q(\blk00000003/sig000001dc ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000020 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001cc ), + .S(sclr), + .Q(NlwRenamedSig_OI_rfd) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001ca ), + .R(sclr), + .Q(\blk00000003/sig000001da ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d9 ), + .R(sclr), + .Q(\blk00000003/sig000001c7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001c6 ), + .R(sclr), + .Q(\blk00000003/sig000001d8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d7 ), + .R(sclr), + .Q(\blk00000003/sig000001c4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d5 ), + .R(sclr), + .Q(\blk00000003/sig000001d6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000001a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d3 ), + .R(sclr), + .Q(\blk00000003/sig000001d4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000019 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d1 ), + .R(sclr), + .Q(\NLW_blk00000003/blk00000019_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000018 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001d1 ), + .R(sclr), + .Q(\blk00000003/sig000001d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000017 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001cf ), + .Q(\blk00000003/sig000001d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000016 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000001ce ), + .Q(\blk00000003/sig000001cf ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000015 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000000c0 ), + .R(sclr), + .Q(\blk00000003/sig000000be ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000014 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000000bb ), + .R(sclr), + .Q(\NLW_blk00000003/blk00000014_Q_UNCONNECTED ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000013 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000000bc ), + .S(sclr), + .Q(\blk00000003/sig000001cd ) + ); + MUXCY \blk00000003/blk00000012 ( + .CI(\blk00000003/sig000001c9 ), + .DI(\blk00000003/sig000000ae ), + .S(\blk00000003/sig000001cb ), + .O(\blk00000003/sig000001cc ) + ); + MUXCY_D \blk00000003/blk00000011 ( + .CI(\blk00000003/sig000001c7 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000001c8 ), + .O(\blk00000003/sig000001c9 ), + .LO(\blk00000003/sig000001ca ) + ); + MUXCY_D \blk00000003/blk00000010 ( + .CI(\blk00000003/sig000001c4 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000001c5 ), + .O(\NLW_blk00000003/blk00000010_O_UNCONNECTED ), + .LO(\blk00000003/sig000001c6 ) + ); + DSP48E1 #( + .ACASCREG ( 2 ), + .ADREG ( 0 ), + .ALUMODEREG ( 1 ), + .AREG ( 2 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 2 ), + .BREG ( 2 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 0 ), + .INMODEREG ( 0 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "FALSE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk0000000f ( + .PATTERNBDETECT(\NLW_blk00000003/blk0000000f_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(ce), + .CEAD(\blk00000003/sig00000049 ), + .MULTSIGNOUT(\NLW_blk00000003/blk0000000f_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk0000000f_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk0000000f_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk0000000f_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(\blk00000003/sig00000049 ), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(ce), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk0000000f_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk0000000f_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000b0 , \blk00000003/sig00000049 , \blk00000003/sig000000b4 , +\blk00000003/sig000000b2 , \blk00000003/sig000000b4 }), + .PCIN({\blk00000003/sig0000014c , \blk00000003/sig0000014d , \blk00000003/sig0000014e , \blk00000003/sig0000014f , \blk00000003/sig00000150 , +\blk00000003/sig00000151 , \blk00000003/sig00000152 , \blk00000003/sig00000153 , \blk00000003/sig00000154 , \blk00000003/sig00000155 , +\blk00000003/sig00000156 , \blk00000003/sig00000157 , \blk00000003/sig00000158 , \blk00000003/sig00000159 , \blk00000003/sig0000015a , +\blk00000003/sig0000015b , \blk00000003/sig0000015c , \blk00000003/sig0000015d , \blk00000003/sig0000015e , \blk00000003/sig0000015f , +\blk00000003/sig00000160 , \blk00000003/sig00000161 , \blk00000003/sig00000162 , \blk00000003/sig00000163 , \blk00000003/sig00000164 , +\blk00000003/sig00000165 , \blk00000003/sig00000166 , \blk00000003/sig00000167 , \blk00000003/sig00000168 , \blk00000003/sig00000169 , +\blk00000003/sig0000016a , \blk00000003/sig0000016b , \blk00000003/sig0000016c , \blk00000003/sig0000016d , \blk00000003/sig0000016e , +\blk00000003/sig0000016f , \blk00000003/sig00000170 , \blk00000003/sig00000171 , \blk00000003/sig00000172 , \blk00000003/sig00000173 , +\blk00000003/sig00000174 , \blk00000003/sig00000175 , \blk00000003/sig00000176 , \blk00000003/sig00000177 , \blk00000003/sig00000178 , +\blk00000003/sig00000179 , \blk00000003/sig0000017a , \blk00000003/sig0000017b }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk0000000f_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000000f_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000000f_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000000f2 , \blk00000003/sig000000f3 , \blk00000003/sig000000f4 , \blk00000003/sig000000f5 , \blk00000003/sig000000f6 , +\blk00000003/sig000000f7 , \blk00000003/sig000000f8 , \blk00000003/sig000000f9 , \blk00000003/sig000000fa , \blk00000003/sig000000fb , +\blk00000003/sig000000fc , \blk00000003/sig000000fd , \blk00000003/sig000000fe , \blk00000003/sig000000ff , \blk00000003/sig00000100 , +\blk00000003/sig00000101 , \blk00000003/sig00000102 , \blk00000003/sig00000103 }), + .BCOUT({\NLW_blk00000003/blk0000000f_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000000f_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000000f_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000000f_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000000f_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000000f_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000000f_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000000f_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000000f_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000000f_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\blk00000003/sig0000017c , \blk00000003/sig0000017d , \blk00000003/sig0000017e , \blk00000003/sig0000017f , \blk00000003/sig00000180 , +\blk00000003/sig00000181 , \blk00000003/sig00000182 , \blk00000003/sig00000183 , \blk00000003/sig00000184 , \blk00000003/sig00000185 , +\blk00000003/sig00000186 , \blk00000003/sig00000187 , \blk00000003/sig00000188 , \blk00000003/sig00000189 , \blk00000003/sig0000018a , +\blk00000003/sig0000018b , \blk00000003/sig0000018c , \blk00000003/sig0000018d , \blk00000003/sig0000018e , \blk00000003/sig0000018f , +\blk00000003/sig00000190 , \blk00000003/sig00000191 , \blk00000003/sig00000192 , \blk00000003/sig00000193 , \blk00000003/sig00000194 , +\blk00000003/sig00000195 , \blk00000003/sig00000196 , \blk00000003/sig00000197 , \blk00000003/sig00000198 , \blk00000003/sig00000199 , +\blk00000003/sig0000019a , \blk00000003/sig0000019b , \blk00000003/sig0000019c , \blk00000003/sig0000019d , \blk00000003/sig0000019e , +\blk00000003/sig0000019f , \blk00000003/sig000001a0 , \blk00000003/sig000001a1 , \blk00000003/sig000001a2 , \blk00000003/sig000001a3 , +\blk00000003/sig000001a4 , \blk00000003/sig000001a5 , \blk00000003/sig000001a6 , \blk00000003/sig000001a7 , \blk00000003/sig000001a8 , +\blk00000003/sig000001a9 , \blk00000003/sig000001aa , \blk00000003/sig000001ab }), + .A({\blk00000003/sig000001ac , \blk00000003/sig000001ac , \blk00000003/sig000001ac , \blk00000003/sig000001ac , \blk00000003/sig000001ac , +\blk00000003/sig000001ac , \blk00000003/sig000001ac , \blk00000003/sig000001ad , \blk00000003/sig000001ae , \blk00000003/sig000001af , +\blk00000003/sig000001b0 , \blk00000003/sig000001b1 , \blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , +\blk00000003/sig000001b5 , \blk00000003/sig000001b6 , \blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 , +\blk00000003/sig000001ba , \blk00000003/sig000001bb , \blk00000003/sig000001bc , \blk00000003/sig000001bd , \blk00000003/sig000001be , +\blk00000003/sig000001bf , \blk00000003/sig000001c0 , \blk00000003/sig000001c1 , \blk00000003/sig000001c2 , \blk00000003/sig000001c3 }), + .PCOUT({\NLW_blk00000003/blk0000000f_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000000f_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<0>_UNCONNECTED }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + DSP48E1 #( + .ACASCREG ( 2 ), + .ADREG ( 0 ), + .ALUMODEREG ( 1 ), + .AREG ( 2 ), + .AUTORESET_PATDET ( "NO_RESET" ), + .A_INPUT ( "DIRECT" ), + .BCASCREG ( 2 ), + .BREG ( 2 ), + .B_INPUT ( "DIRECT" ), + .CARRYINREG ( 1 ), + .CARRYINSELREG ( 1 ), + .CREG ( 1 ), + .DREG ( 0 ), + .INMODEREG ( 0 ), + .MASK ( 48'hFFFFFFFFFFFE ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PATTERN ( 48'h000000000000 ), + .PREG ( 1 ), + .SEL_MASK ( "MASK" ), + .SEL_PATTERN ( "PATTERN" ), + .USE_DPORT ( "FALSE" ), + .USE_MULT ( "MULTIPLY" ), + .USE_PATTERN_DETECT ( "NO_PATDET" ), + .USE_SIMD ( "ONE48" )) + \blk00000003/blk0000000e ( + .PATTERNBDETECT(\NLW_blk00000003/blk0000000e_PATTERNBDETECT_UNCONNECTED ), + .RSTC(\blk00000003/sig00000049 ), + .CEB1(ce), + .CEAD(\blk00000003/sig00000049 ), + .MULTSIGNOUT(\NLW_blk00000003/blk0000000e_MULTSIGNOUT_UNCONNECTED ), + .CEC(ce), + .RSTM(\blk00000003/sig00000049 ), + .MULTSIGNIN(\blk00000003/sig00000049 ), + .CEB2(ce), + .RSTCTRL(\blk00000003/sig00000049 ), + .CEP(ce), + .CARRYCASCOUT(\NLW_blk00000003/blk0000000e_CARRYCASCOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .CECARRYIN(ce), + .UNDERFLOW(\NLW_blk00000003/blk0000000e_UNDERFLOW_UNCONNECTED ), + .PATTERNDETECT(\NLW_blk00000003/blk0000000e_PATTERNDETECT_UNCONNECTED ), + .RSTALUMODE(\blk00000003/sig00000049 ), + .RSTALLCARRYIN(\blk00000003/sig00000049 ), + .CED(\blk00000003/sig00000049 ), + .RSTD(\blk00000003/sig00000049 ), + .CEALUMODE(ce), + .CEA2(ce), + .CLK(clk), + .CEA1(ce), + .RSTB(\blk00000003/sig00000049 ), + .OVERFLOW(\NLW_blk00000003/blk0000000e_OVERFLOW_UNCONNECTED ), + .CECTRL(ce), + .CEM(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CARRYCASCIN(\blk00000003/sig00000049 ), + .RSTINMODE(\blk00000003/sig00000049 ), + .CEINMODE(ce), + .RSTP(\blk00000003/sig00000049 ), + .ACOUT({\NLW_blk00000003/blk0000000e_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000b0 , \blk00000003/sig00000049 , \blk00000003/sig000000b4 , +\blk00000003/sig000000b2 , \blk00000003/sig000000b4 }), + .PCIN({\blk00000003/sig000000c2 , \blk00000003/sig000000c3 , \blk00000003/sig000000c4 , \blk00000003/sig000000c5 , \blk00000003/sig000000c6 , +\blk00000003/sig000000c7 , \blk00000003/sig000000c8 , \blk00000003/sig000000c9 , \blk00000003/sig000000ca , \blk00000003/sig000000cb , +\blk00000003/sig000000cc , \blk00000003/sig000000cd , \blk00000003/sig000000ce , \blk00000003/sig000000cf , \blk00000003/sig000000d0 , +\blk00000003/sig000000d1 , \blk00000003/sig000000d2 , \blk00000003/sig000000d3 , \blk00000003/sig000000d4 , \blk00000003/sig000000d5 , +\blk00000003/sig000000d6 , \blk00000003/sig000000d7 , \blk00000003/sig000000d8 , \blk00000003/sig000000d9 , \blk00000003/sig000000da , +\blk00000003/sig000000db , \blk00000003/sig000000dc , \blk00000003/sig000000dd , \blk00000003/sig000000de , \blk00000003/sig000000df , +\blk00000003/sig000000e0 , \blk00000003/sig000000e1 , \blk00000003/sig000000e2 , \blk00000003/sig000000e3 , \blk00000003/sig000000e4 , +\blk00000003/sig000000e5 , \blk00000003/sig000000e6 , \blk00000003/sig000000e7 , \blk00000003/sig000000e8 , \blk00000003/sig000000e9 , +\blk00000003/sig000000ea , \blk00000003/sig000000eb , \blk00000003/sig000000ec , \blk00000003/sig000000ed , \blk00000003/sig000000ee , +\blk00000003/sig000000ef , \blk00000003/sig000000f0 , \blk00000003/sig000000f1 }), + .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYOUT({\NLW_blk00000003/blk0000000e_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000000e_CARRYOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000000e_CARRYOUT<0>_UNCONNECTED }), + .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .B({\blk00000003/sig000000f2 , \blk00000003/sig000000f3 , \blk00000003/sig000000f4 , \blk00000003/sig000000f5 , \blk00000003/sig000000f6 , +\blk00000003/sig000000f7 , \blk00000003/sig000000f8 , \blk00000003/sig000000f9 , \blk00000003/sig000000fa , \blk00000003/sig000000fb , +\blk00000003/sig000000fc , \blk00000003/sig000000fd , \blk00000003/sig000000fe , \blk00000003/sig000000ff , \blk00000003/sig00000100 , +\blk00000003/sig00000101 , \blk00000003/sig00000102 , \blk00000003/sig00000103 }), + .BCOUT({\NLW_blk00000003/blk0000000e_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000000e_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000000e_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000000e_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000000e_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000000e_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000000e_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000000e_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000000e_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000000e_BCOUT<0>_UNCONNECTED }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\blk00000003/sig00000104 , \blk00000003/sig00000105 , \blk00000003/sig00000106 , \blk00000003/sig00000107 , \blk00000003/sig00000108 , +\blk00000003/sig00000109 , \blk00000003/sig0000010a , \blk00000003/sig0000010b , \blk00000003/sig0000010c , \blk00000003/sig0000010d , +\blk00000003/sig0000010e , \blk00000003/sig0000010f , \blk00000003/sig00000110 , \blk00000003/sig00000111 , \blk00000003/sig00000112 , +\blk00000003/sig00000113 , \blk00000003/sig00000114 , \blk00000003/sig00000115 , \blk00000003/sig00000116 , \blk00000003/sig00000117 , +\blk00000003/sig00000118 , \blk00000003/sig00000119 , \blk00000003/sig0000011a , \blk00000003/sig0000011b , \blk00000003/sig0000011c , +\blk00000003/sig0000011d , \blk00000003/sig0000011e , \blk00000003/sig0000011f , \blk00000003/sig00000120 , \blk00000003/sig00000121 , +\blk00000003/sig00000122 , \blk00000003/sig00000123 , \blk00000003/sig00000124 , \blk00000003/sig00000125 , \blk00000003/sig00000126 , +\blk00000003/sig00000127 , \blk00000003/sig00000128 , \blk00000003/sig00000129 , \blk00000003/sig0000012a , \blk00000003/sig0000012b , +\blk00000003/sig0000012c , \blk00000003/sig0000012d , \blk00000003/sig0000012e , \blk00000003/sig0000012f , \blk00000003/sig00000130 , +\blk00000003/sig00000131 , \blk00000003/sig00000132 , \blk00000003/sig00000133 }), + .A({\blk00000003/sig00000134 , \blk00000003/sig00000134 , \blk00000003/sig00000134 , \blk00000003/sig00000134 , \blk00000003/sig00000134 , +\blk00000003/sig00000134 , \blk00000003/sig00000134 , \blk00000003/sig00000135 , \blk00000003/sig00000136 , \blk00000003/sig00000137 , +\blk00000003/sig00000138 , \blk00000003/sig00000139 , \blk00000003/sig0000013a , \blk00000003/sig0000013b , \blk00000003/sig0000013c , +\blk00000003/sig0000013d , \blk00000003/sig0000013e , \blk00000003/sig0000013f , \blk00000003/sig00000140 , \blk00000003/sig00000141 , +\blk00000003/sig00000142 , \blk00000003/sig00000143 , \blk00000003/sig00000144 , \blk00000003/sig00000145 , \blk00000003/sig00000146 , +\blk00000003/sig00000147 , \blk00000003/sig00000148 , \blk00000003/sig00000149 , \blk00000003/sig0000014a , \blk00000003/sig0000014b }), + .PCOUT({\NLW_blk00000003/blk0000000e_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000000e_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<0>_UNCONNECTED }), + .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }) + ); + MUXCY_D \blk00000003/blk0000000d ( + .CI(\blk00000003/sig000000ae ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000c1 ), + .O(\blk00000003/sig000000bd ), + .LO(\NLW_blk00000003/blk0000000d_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk0000000c ( + .CI(\blk00000003/sig000000bd ), + .DI(\blk00000003/sig000000be ), + .S(\blk00000003/sig000000bf ), + .O(\blk00000003/sig000000b5 ), + .LO(\blk00000003/sig000000c0 ) + ); + XORCY \blk00000003/blk0000000b ( + .CI(\blk00000003/sig000000bb ), + .LI(\blk00000003/sig000000ae ), + .O(\blk00000003/sig000000bc ) + ); + MUXCY_D \blk00000003/blk0000000a ( + .CI(\blk00000003/sig000000b8 ), + .DI(\blk00000003/sig000000b9 ), + .S(\blk00000003/sig000000ba ), + .O(\NLW_blk00000003/blk0000000a_O_UNCONNECTED ), + .LO(\blk00000003/sig000000bb ) + ); + MUXCY_D \blk00000003/blk00000009 ( + .CI(\blk00000003/sig000000b5 ), + .DI(\blk00000003/sig000000b6 ), + .S(\blk00000003/sig000000b7 ), + .O(\blk00000003/sig000000b8 ), + .LO(\NLW_blk00000003/blk00000009_LO_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk00000008 ( + .C(clk), + .D(\blk00000003/sig000000b3 ), + .Q(\blk00000003/sig000000b4 ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk00000007 ( + .C(clk), + .D(\blk00000003/sig000000b1 ), + .Q(\blk00000003/sig000000b2 ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk00000006 ( + .C(clk), + .D(\blk00000003/sig000000af ), + .Q(\blk00000003/sig000000b0 ) + ); + VCC \blk00000003/blk00000005 ( + .P(\blk00000003/sig000000ae ) + ); + GND \blk00000003/blk00000004 ( + .G(\blk00000003/sig00000049 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000002a/blk0000008c ( + .I0(nd), + .I1(ce), + .O(\blk00000003/blk0000002a/sig000006fd ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000008b ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[22]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000008b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006fb ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000008a ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[21]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000008a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006fa ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000089 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[23]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000089_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006fc ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000088 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[19]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000088_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006f8 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000087 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[18]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000087_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006f7 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000086 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[20]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000086_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006f9 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000085 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[16]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000085_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006f5 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000084 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[15]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000084_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006f4 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000083 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[17]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000083_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006f6 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000082 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[13]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000082_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006f2 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000081 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[12]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000081_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006f1 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000080 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[14]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000080_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006f3 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000007f ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[10]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000007f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006ef ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000007e ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[9]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000007e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006ee ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000007d ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[11]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000007d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006f0 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000007c ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[7]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000007c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006ec ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000007b ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[6]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000007b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006eb ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000007a ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[8]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000007a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006ed ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000079 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[4]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000079_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006e9 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000078 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[3]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000078_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006e8 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000077 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[5]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000077_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006ea ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000076 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[1]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000076_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006e6 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000075 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[0]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000075_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006e5 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000074 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_2_2[2]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000074_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006e7 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000073 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[22]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000073_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006e3 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000072 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[21]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000072_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006e2 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000071 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[23]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000071_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006e4 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000070 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[19]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000070_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006e0 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000006f ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[18]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000006f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006df ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000006e ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[20]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000006e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006e1 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000006d ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[16]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000006d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006dd ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000006c ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[15]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000006c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006dc ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000006b ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[17]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000006b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006de ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000006a ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[13]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000006a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006da ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000069 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[12]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000069_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006d9 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000068 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[14]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000068_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006db ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000067 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[10]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000067_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006d7 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000066 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[9]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000066_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006d6 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000065 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[11]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000065_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006d8 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000064 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[7]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000064_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006d4 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000063 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[6]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000063_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006d3 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000062 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[8]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000062_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006d5 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000061 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[4]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000061_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006d1 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk00000060 ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[3]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk00000060_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006d0 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000005f ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[5]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000005f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006d2 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000005e ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[1]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000005e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006ce ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000005d ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[0]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000005d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006cd ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk0000002a/blk0000005c ( + .A0(\blk00000003/sig000001e9 ), + .A1(\blk00000003/sig000001e8 ), + .A2(\blk00000003/blk0000002a/sig000006cc ), + .A3(\blk00000003/blk0000002a/sig000006cc ), + .A4(\blk00000003/blk0000002a/sig000006cc ), + .D(din_1_1[2]), + .DPRA0(\blk00000003/sig000001de ), + .DPRA1(\blk00000003/sig000001dc ), + .DPRA2(\blk00000003/blk0000002a/sig000006cc ), + .DPRA3(\blk00000003/blk0000002a/sig000006cc ), + .DPRA4(\blk00000003/blk0000002a/sig000006cc ), + .WCLK(clk), + .WE(\blk00000003/blk0000002a/sig000006fd ), + .SPO(\NLW_blk00000003/blk0000002a/blk0000005c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk0000002a/sig000006cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000005b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006fc ), + .Q(\blk00000003/sig000001ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000005a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006fb ), + .Q(\blk00000003/sig000001eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000059 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006fa ), + .Q(\blk00000003/sig000001ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000058 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006f9 ), + .Q(\blk00000003/sig000001ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000057 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006f8 ), + .Q(\blk00000003/sig000001ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000056 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006f7 ), + .Q(\blk00000003/sig000001ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000055 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006f6 ), + .Q(\blk00000003/sig000001f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000054 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006f5 ), + .Q(\blk00000003/sig000001f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000053 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006f4 ), + .Q(\blk00000003/sig000001f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000052 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006f3 ), + .Q(\blk00000003/sig000001f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000051 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006f2 ), + .Q(\blk00000003/sig000001f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000050 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006f1 ), + .Q(\blk00000003/sig000001f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000004f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006f0 ), + .Q(\blk00000003/sig000001f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000004e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006ef ), + .Q(\blk00000003/sig000001f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000004d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006ee ), + .Q(\blk00000003/sig000001f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000004c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006ed ), + .Q(\blk00000003/sig000001f9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000004b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006ec ), + .Q(\blk00000003/sig000001fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000004a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006eb ), + .Q(\blk00000003/sig000001fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000049 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006ea ), + .Q(\blk00000003/sig000001fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000048 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006e9 ), + .Q(\blk00000003/sig000001fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000047 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006e8 ), + .Q(\blk00000003/sig000001fe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000046 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006e7 ), + .Q(\blk00000003/sig000001ff ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000045 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006e6 ), + .Q(\blk00000003/sig00000200 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000044 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006e5 ), + .Q(\blk00000003/sig00000201 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000043 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006e4 ), + .Q(\blk00000003/sig00000202 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000042 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006e3 ), + .Q(\blk00000003/sig00000203 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000041 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006e2 ), + .Q(\blk00000003/sig00000204 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000040 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006e1 ), + .Q(\blk00000003/sig00000205 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000003f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006e0 ), + .Q(\blk00000003/sig00000206 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000003e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006df ), + .Q(\blk00000003/sig00000207 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000003d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006de ), + .Q(\blk00000003/sig00000208 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000003c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006dd ), + .Q(\blk00000003/sig00000209 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000003b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006dc ), + .Q(\blk00000003/sig0000020a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000003a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006db ), + .Q(\blk00000003/sig0000020b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000039 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006da ), + .Q(\blk00000003/sig0000020c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000038 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006d9 ), + .Q(\blk00000003/sig0000020d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000037 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006d8 ), + .Q(\blk00000003/sig0000020e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000036 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006d7 ), + .Q(\blk00000003/sig0000020f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000035 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006d6 ), + .Q(\blk00000003/sig00000210 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000034 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006d5 ), + .Q(\blk00000003/sig00000211 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000033 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006d4 ), + .Q(\blk00000003/sig00000212 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000032 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006d3 ), + .Q(\blk00000003/sig00000213 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000031 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006d2 ), + .Q(\blk00000003/sig00000214 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk00000030 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006d1 ), + .Q(\blk00000003/sig00000215 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000002f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006d0 ), + .Q(\blk00000003/sig00000216 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000002e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006cf ), + .Q(\blk00000003/sig00000217 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000002d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006ce ), + .Q(\blk00000003/sig00000218 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a/blk0000002c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000002a/sig000006cd ), + .Q(\blk00000003/sig00000219 ) + ); + GND \blk00000003/blk0000002a/blk0000002b ( + .G(\blk00000003/blk0000002a/sig000006cc ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000119/blk0000014b ( + .I0(ce), + .I1(\blk00000003/sig00000435 ), + .O(\blk00000003/blk00000119/sig0000074d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk0000014a ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig000002f5 ), + .Q(\blk00000003/blk00000119/sig0000074b ), + .Q15(\NLW_blk00000003/blk00000119/blk0000014a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000149 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig000002f6 ), + .Q(\blk00000003/blk00000119/sig0000074a ), + .Q15(\NLW_blk00000003/blk00000119/blk00000149_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000148 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig000002f4 ), + .Q(\blk00000003/blk00000119/sig0000074c ), + .Q15(\NLW_blk00000003/blk00000119/blk00000148_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000147 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig000002f8 ), + .Q(\blk00000003/blk00000119/sig00000748 ), + .Q15(\NLW_blk00000003/blk00000119/blk00000147_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000146 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig000002f9 ), + .Q(\blk00000003/blk00000119/sig00000747 ), + .Q15(\NLW_blk00000003/blk00000119/blk00000146_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000145 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig000002f7 ), + .Q(\blk00000003/blk00000119/sig00000749 ), + .Q15(\NLW_blk00000003/blk00000119/blk00000145_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000144 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig000002fb ), + .Q(\blk00000003/blk00000119/sig00000745 ), + .Q15(\NLW_blk00000003/blk00000119/blk00000144_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000143 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig000002fc ), + .Q(\blk00000003/blk00000119/sig00000744 ), + .Q15(\NLW_blk00000003/blk00000119/blk00000143_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000142 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig000002fa ), + .Q(\blk00000003/blk00000119/sig00000746 ), + .Q15(\NLW_blk00000003/blk00000119/blk00000142_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000141 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig000002fe ), + .Q(\blk00000003/blk00000119/sig00000742 ), + .Q15(\NLW_blk00000003/blk00000119/blk00000141_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000140 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig000002ff ), + .Q(\blk00000003/blk00000119/sig00000741 ), + .Q15(\NLW_blk00000003/blk00000119/blk00000140_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk0000013f ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig000002fd ), + .Q(\blk00000003/blk00000119/sig00000743 ), + .Q15(\NLW_blk00000003/blk00000119/blk0000013f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk0000013e ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig00000301 ), + .Q(\blk00000003/blk00000119/sig0000073f ), + .Q15(\NLW_blk00000003/blk00000119/blk0000013e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk0000013d ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig00000302 ), + .Q(\blk00000003/blk00000119/sig0000073e ), + .Q15(\NLW_blk00000003/blk00000119/blk0000013d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk0000013c ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig00000300 ), + .Q(\blk00000003/blk00000119/sig00000740 ), + .Q15(\NLW_blk00000003/blk00000119/blk0000013c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk0000013b ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig00000304 ), + .Q(\blk00000003/blk00000119/sig0000073c ), + .Q15(\NLW_blk00000003/blk00000119/blk0000013b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk0000013a ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig00000305 ), + .Q(\blk00000003/blk00000119/sig0000073b ), + .Q15(\NLW_blk00000003/blk00000119/blk0000013a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000139 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig00000303 ), + .Q(\blk00000003/blk00000119/sig0000073d ), + .Q15(\NLW_blk00000003/blk00000119/blk00000139_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000138 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig00000307 ), + .Q(\blk00000003/blk00000119/sig00000739 ), + .Q15(\NLW_blk00000003/blk00000119/blk00000138_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000137 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig00000308 ), + .Q(\blk00000003/blk00000119/sig00000738 ), + .Q15(\NLW_blk00000003/blk00000119/blk00000137_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000136 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig00000306 ), + .Q(\blk00000003/blk00000119/sig0000073a ), + .Q15(\NLW_blk00000003/blk00000119/blk00000136_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000135 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig0000030a ), + .Q(\blk00000003/blk00000119/sig00000736 ), + .Q15(\NLW_blk00000003/blk00000119/blk00000135_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000134 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig0000030b ), + .Q(\blk00000003/blk00000119/sig00000735 ), + .Q15(\NLW_blk00000003/blk00000119/blk00000134_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000119/blk00000133 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk00000119/sig00000734 ), + .CE(\blk00000003/blk00000119/sig0000074d ), + .CLK(clk), + .D(\blk00000003/sig00000309 ), + .Q(\blk00000003/blk00000119/sig00000737 ), + .Q15(\NLW_blk00000003/blk00000119/blk00000133_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk00000132 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig0000074c ), + .Q(\blk00000003/sig000003c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk00000131 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig0000074b ), + .Q(\blk00000003/sig000003c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk00000130 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig0000074a ), + .Q(\blk00000003/sig000003c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk0000012f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000749 ), + .Q(\blk00000003/sig000003c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk0000012e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000748 ), + .Q(\blk00000003/sig000003ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk0000012d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000747 ), + .Q(\blk00000003/sig000003cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk0000012c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000746 ), + .Q(\blk00000003/sig000003cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk0000012b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000745 ), + .Q(\blk00000003/sig000003cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk0000012a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000744 ), + .Q(\blk00000003/sig000003ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk00000129 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000743 ), + .Q(\blk00000003/sig000003cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk00000128 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000742 ), + .Q(\blk00000003/sig000003d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk00000127 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000741 ), + .Q(\blk00000003/sig000003d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk00000126 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000740 ), + .Q(\blk00000003/sig000003d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk00000125 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig0000073f ), + .Q(\blk00000003/sig000003d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk00000124 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig0000073e ), + .Q(\blk00000003/sig000003d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk00000123 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig0000073d ), + .Q(\blk00000003/sig000003d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk00000122 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig0000073c ), + .Q(\blk00000003/sig000003d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk00000121 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig0000073b ), + .Q(\blk00000003/sig000003d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk00000120 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig0000073a ), + .Q(\blk00000003/sig000003d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk0000011f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000739 ), + .Q(\blk00000003/sig000003d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk0000011e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000738 ), + .Q(\blk00000003/sig000003da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk0000011d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000737 ), + .Q(\blk00000003/sig000003db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk0000011c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000736 ), + .Q(\blk00000003/sig000003dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119/blk0000011b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000119/sig00000735 ), + .Q(\blk00000003/sig000003dd ) + ); + GND \blk00000003/blk00000119/blk0000011a ( + .G(\blk00000003/blk00000119/sig00000734 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000014c/blk0000017e ( + .I0(ce), + .I1(\blk00000003/sig0000042f ), + .O(\blk00000003/blk0000014c/sig0000079d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk0000017d ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig0000043a ), + .Q(\blk00000003/blk0000014c/sig0000079b ), + .Q15(\NLW_blk00000003/blk0000014c/blk0000017d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk0000017c ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig0000043b ), + .Q(\blk00000003/blk0000014c/sig0000079a ), + .Q15(\NLW_blk00000003/blk0000014c/blk0000017c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk0000017b ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig00000439 ), + .Q(\blk00000003/blk0000014c/sig0000079c ), + .Q15(\NLW_blk00000003/blk0000014c/blk0000017b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk0000017a ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig0000043d ), + .Q(\blk00000003/blk0000014c/sig00000798 ), + .Q15(\NLW_blk00000003/blk0000014c/blk0000017a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk00000179 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig0000043e ), + .Q(\blk00000003/blk0000014c/sig00000797 ), + .Q15(\NLW_blk00000003/blk0000014c/blk00000179_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk00000178 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig0000043c ), + .Q(\blk00000003/blk0000014c/sig00000799 ), + .Q15(\NLW_blk00000003/blk0000014c/blk00000178_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk00000177 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig00000440 ), + .Q(\blk00000003/blk0000014c/sig00000795 ), + .Q15(\NLW_blk00000003/blk0000014c/blk00000177_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk00000176 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig00000441 ), + .Q(\blk00000003/blk0000014c/sig00000794 ), + .Q15(\NLW_blk00000003/blk0000014c/blk00000176_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk00000175 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig0000043f ), + .Q(\blk00000003/blk0000014c/sig00000796 ), + .Q15(\NLW_blk00000003/blk0000014c/blk00000175_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk00000174 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig00000443 ), + .Q(\blk00000003/blk0000014c/sig00000792 ), + .Q15(\NLW_blk00000003/blk0000014c/blk00000174_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk00000173 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig00000444 ), + .Q(\blk00000003/blk0000014c/sig00000791 ), + .Q15(\NLW_blk00000003/blk0000014c/blk00000173_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk00000172 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig00000442 ), + .Q(\blk00000003/blk0000014c/sig00000793 ), + .Q15(\NLW_blk00000003/blk0000014c/blk00000172_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk00000171 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig00000446 ), + .Q(\blk00000003/blk0000014c/sig0000078f ), + .Q15(\NLW_blk00000003/blk0000014c/blk00000171_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk00000170 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig00000447 ), + .Q(\blk00000003/blk0000014c/sig0000078e ), + .Q15(\NLW_blk00000003/blk0000014c/blk00000170_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk0000016f ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig00000445 ), + .Q(\blk00000003/blk0000014c/sig00000790 ), + .Q15(\NLW_blk00000003/blk0000014c/blk0000016f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk0000016e ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig00000449 ), + .Q(\blk00000003/blk0000014c/sig0000078c ), + .Q15(\NLW_blk00000003/blk0000014c/blk0000016e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk0000016d ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig0000044a ), + .Q(\blk00000003/blk0000014c/sig0000078b ), + .Q15(\NLW_blk00000003/blk0000014c/blk0000016d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk0000016c ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig00000448 ), + .Q(\blk00000003/blk0000014c/sig0000078d ), + .Q15(\NLW_blk00000003/blk0000014c/blk0000016c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk0000016b ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig0000044c ), + .Q(\blk00000003/blk0000014c/sig00000789 ), + .Q15(\NLW_blk00000003/blk0000014c/blk0000016b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk0000016a ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig0000044d ), + .Q(\blk00000003/blk0000014c/sig00000788 ), + .Q15(\NLW_blk00000003/blk0000014c/blk0000016a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk00000169 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig0000044b ), + .Q(\blk00000003/blk0000014c/sig0000078a ), + .Q15(\NLW_blk00000003/blk0000014c/blk00000169_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk00000168 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig0000044f ), + .Q(\blk00000003/blk0000014c/sig00000786 ), + .Q15(\NLW_blk00000003/blk0000014c/blk00000168_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk00000167 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig00000450 ), + .Q(\blk00000003/blk0000014c/sig00000785 ), + .Q15(\NLW_blk00000003/blk0000014c/blk00000167_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000014c/blk00000166 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk0000014c/sig00000784 ), + .CE(\blk00000003/blk0000014c/sig0000079d ), + .CLK(clk), + .D(\blk00000003/sig0000044e ), + .Q(\blk00000003/blk0000014c/sig00000787 ), + .Q15(\NLW_blk00000003/blk0000014c/blk00000166_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000165 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig0000079c ), + .Q(\blk00000003/sig000003de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000164 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig0000079b ), + .Q(\blk00000003/sig000003df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000163 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig0000079a ), + .Q(\blk00000003/sig000003e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000162 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000799 ), + .Q(\blk00000003/sig000003e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000161 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000798 ), + .Q(\blk00000003/sig000003e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000160 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000797 ), + .Q(\blk00000003/sig000003e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk0000015f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000796 ), + .Q(\blk00000003/sig000003e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk0000015e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000795 ), + .Q(\blk00000003/sig000003e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk0000015d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000794 ), + .Q(\blk00000003/sig000003e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk0000015c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000793 ), + .Q(\blk00000003/sig000003e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk0000015b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000792 ), + .Q(\blk00000003/sig000003e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk0000015a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000791 ), + .Q(\blk00000003/sig000003e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000159 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000790 ), + .Q(\blk00000003/sig000003ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000158 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig0000078f ), + .Q(\blk00000003/sig000003eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000157 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig0000078e ), + .Q(\blk00000003/sig000003ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000156 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig0000078d ), + .Q(\blk00000003/sig000003ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000155 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig0000078c ), + .Q(\blk00000003/sig000003ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000154 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig0000078b ), + .Q(\blk00000003/sig000003ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000153 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig0000078a ), + .Q(\blk00000003/sig000003f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000152 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000789 ), + .Q(\blk00000003/sig000003f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000151 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000788 ), + .Q(\blk00000003/sig000003f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk00000150 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000787 ), + .Q(\blk00000003/sig000003f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk0000014f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000786 ), + .Q(\blk00000003/sig000003f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000014c/blk0000014e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000014c/sig00000785 ), + .Q(\blk00000003/sig000003f5 ) + ); + GND \blk00000003/blk0000014c/blk0000014d ( + .G(\blk00000003/blk0000014c/sig00000784 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000017f/blk000001b1 ( + .I0(ce), + .I1(\blk00000003/sig00000435 ), + .O(\blk00000003/blk0000017f/sig000007ed ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001b0 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000355 ), + .Q(\blk00000003/blk0000017f/sig000007eb ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001b0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001af ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000356 ), + .Q(\blk00000003/blk0000017f/sig000007ea ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001af_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001ae ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000354 ), + .Q(\blk00000003/blk0000017f/sig000007ec ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001ae_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001ad ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000358 ), + .Q(\blk00000003/blk0000017f/sig000007e8 ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001ad_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001ac ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000359 ), + .Q(\blk00000003/blk0000017f/sig000007e7 ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001ac_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001ab ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000357 ), + .Q(\blk00000003/blk0000017f/sig000007e9 ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001ab_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001aa ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig0000035b ), + .Q(\blk00000003/blk0000017f/sig000007e5 ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001aa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001a9 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig0000035c ), + .Q(\blk00000003/blk0000017f/sig000007e4 ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001a9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001a8 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig0000035a ), + .Q(\blk00000003/blk0000017f/sig000007e6 ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001a8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001a7 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig0000035e ), + .Q(\blk00000003/blk0000017f/sig000007e2 ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001a7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001a6 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig0000035f ), + .Q(\blk00000003/blk0000017f/sig000007e1 ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001a6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001a5 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig0000035d ), + .Q(\blk00000003/blk0000017f/sig000007e3 ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001a5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001a4 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000361 ), + .Q(\blk00000003/blk0000017f/sig000007df ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001a4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001a3 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000362 ), + .Q(\blk00000003/blk0000017f/sig000007de ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001a3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001a2 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000360 ), + .Q(\blk00000003/blk0000017f/sig000007e0 ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001a2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001a1 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000364 ), + .Q(\blk00000003/blk0000017f/sig000007dc ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001a1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk000001a0 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000365 ), + .Q(\blk00000003/blk0000017f/sig000007db ), + .Q15(\NLW_blk00000003/blk0000017f/blk000001a0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk0000019f ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000363 ), + .Q(\blk00000003/blk0000017f/sig000007dd ), + .Q15(\NLW_blk00000003/blk0000017f/blk0000019f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk0000019e ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000367 ), + .Q(\blk00000003/blk0000017f/sig000007d9 ), + .Q15(\NLW_blk00000003/blk0000017f/blk0000019e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk0000019d ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000368 ), + .Q(\blk00000003/blk0000017f/sig000007d8 ), + .Q15(\NLW_blk00000003/blk0000017f/blk0000019d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk0000019c ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000366 ), + .Q(\blk00000003/blk0000017f/sig000007da ), + .Q15(\NLW_blk00000003/blk0000017f/blk0000019c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk0000019b ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig0000036a ), + .Q(\blk00000003/blk0000017f/sig000007d6 ), + .Q15(\NLW_blk00000003/blk0000017f/blk0000019b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk0000019a ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig0000036b ), + .Q(\blk00000003/blk0000017f/sig000007d5 ), + .Q15(\NLW_blk00000003/blk0000017f/blk0000019a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000017f/blk00000199 ( + .A0(\blk00000003/sig0000042d ), + .A1(\blk00000003/sig0000042c ), + .A2(\blk00000003/sig0000042b ), + .A3(\blk00000003/blk0000017f/sig000007d4 ), + .CE(\blk00000003/blk0000017f/sig000007ed ), + .CLK(clk), + .D(\blk00000003/sig00000369 ), + .Q(\blk00000003/blk0000017f/sig000007d7 ), + .Q15(\NLW_blk00000003/blk0000017f/blk00000199_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000198 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007ec ), + .Q(\blk00000003/sig000003f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000197 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007eb ), + .Q(\blk00000003/sig000003f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000196 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007ea ), + .Q(\blk00000003/sig000003f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000195 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007e9 ), + .Q(\blk00000003/sig000003f9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000194 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007e8 ), + .Q(\blk00000003/sig000003fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000193 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007e7 ), + .Q(\blk00000003/sig000003fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000192 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007e6 ), + .Q(\blk00000003/sig000003fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000191 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007e5 ), + .Q(\blk00000003/sig000003fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000190 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007e4 ), + .Q(\blk00000003/sig000003fe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk0000018f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007e3 ), + .Q(\blk00000003/sig000003ff ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk0000018e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007e2 ), + .Q(\blk00000003/sig00000400 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk0000018d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007e1 ), + .Q(\blk00000003/sig00000401 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk0000018c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007e0 ), + .Q(\blk00000003/sig00000402 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk0000018b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007df ), + .Q(\blk00000003/sig00000403 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk0000018a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007de ), + .Q(\blk00000003/sig00000404 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000189 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007dd ), + .Q(\blk00000003/sig00000405 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000188 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007dc ), + .Q(\blk00000003/sig00000406 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000187 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007db ), + .Q(\blk00000003/sig00000407 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000186 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007da ), + .Q(\blk00000003/sig00000408 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000185 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007d9 ), + .Q(\blk00000003/sig00000409 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000184 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007d8 ), + .Q(\blk00000003/sig0000040a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000183 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007d7 ), + .Q(\blk00000003/sig0000040b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000182 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007d6 ), + .Q(\blk00000003/sig0000040c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000017f/blk00000181 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000017f/sig000007d5 ), + .Q(\blk00000003/sig0000040d ) + ); + GND \blk00000003/blk0000017f/blk00000180 ( + .G(\blk00000003/blk0000017f/sig000007d4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000001b2/blk000001e4 ( + .I0(ce), + .I1(\blk00000003/sig0000042f ), + .O(\blk00000003/blk000001b2/sig0000083d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001e3 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000452 ), + .Q(\blk00000003/blk000001b2/sig0000083b ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001e3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001e2 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000453 ), + .Q(\blk00000003/blk000001b2/sig0000083a ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001e2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001e1 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000451 ), + .Q(\blk00000003/blk000001b2/sig0000083c ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001e1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001e0 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000455 ), + .Q(\blk00000003/blk000001b2/sig00000838 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001e0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001df ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000456 ), + .Q(\blk00000003/blk000001b2/sig00000837 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001df_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001de ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000454 ), + .Q(\blk00000003/blk000001b2/sig00000839 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001de_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001dd ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000458 ), + .Q(\blk00000003/blk000001b2/sig00000835 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001dd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001dc ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000459 ), + .Q(\blk00000003/blk000001b2/sig00000834 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001dc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001db ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000457 ), + .Q(\blk00000003/blk000001b2/sig00000836 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001db_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001da ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig0000045b ), + .Q(\blk00000003/blk000001b2/sig00000832 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001da_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001d9 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig0000045c ), + .Q(\blk00000003/blk000001b2/sig00000831 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001d9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001d8 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig0000045a ), + .Q(\blk00000003/blk000001b2/sig00000833 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001d8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001d7 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig0000045e ), + .Q(\blk00000003/blk000001b2/sig0000082f ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001d7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001d6 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig0000045f ), + .Q(\blk00000003/blk000001b2/sig0000082e ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001d6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001d5 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig0000045d ), + .Q(\blk00000003/blk000001b2/sig00000830 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001d5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001d4 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000461 ), + .Q(\blk00000003/blk000001b2/sig0000082c ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001d4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001d3 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000462 ), + .Q(\blk00000003/blk000001b2/sig0000082b ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001d3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001d2 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000460 ), + .Q(\blk00000003/blk000001b2/sig0000082d ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001d2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001d1 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000464 ), + .Q(\blk00000003/blk000001b2/sig00000829 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001d1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001d0 ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000465 ), + .Q(\blk00000003/blk000001b2/sig00000828 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001d0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001cf ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000463 ), + .Q(\blk00000003/blk000001b2/sig0000082a ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001cf_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001ce ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000467 ), + .Q(\blk00000003/blk000001b2/sig00000826 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001ce_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001cd ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000468 ), + .Q(\blk00000003/blk000001b2/sig00000825 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001cd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001b2/blk000001cc ( + .A0(\blk00000003/sig00000438 ), + .A1(\blk00000003/sig00000437 ), + .A2(\blk00000003/sig00000436 ), + .A3(\blk00000003/blk000001b2/sig00000824 ), + .CE(\blk00000003/blk000001b2/sig0000083d ), + .CLK(clk), + .D(\blk00000003/sig00000466 ), + .Q(\blk00000003/blk000001b2/sig00000827 ), + .Q15(\NLW_blk00000003/blk000001b2/blk000001cc_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig0000083c ), + .Q(\blk00000003/sig0000040e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig0000083b ), + .Q(\blk00000003/sig0000040f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig0000083a ), + .Q(\blk00000003/sig00000410 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000839 ), + .Q(\blk00000003/sig00000411 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000838 ), + .Q(\blk00000003/sig00000412 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000837 ), + .Q(\blk00000003/sig00000413 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000836 ), + .Q(\blk00000003/sig00000414 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000835 ), + .Q(\blk00000003/sig00000415 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000834 ), + .Q(\blk00000003/sig00000416 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000833 ), + .Q(\blk00000003/sig00000417 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000832 ), + .Q(\blk00000003/sig00000418 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000831 ), + .Q(\blk00000003/sig00000419 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000830 ), + .Q(\blk00000003/sig0000041a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig0000082f ), + .Q(\blk00000003/sig0000041b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig0000082e ), + .Q(\blk00000003/sig0000041c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig0000082d ), + .Q(\blk00000003/sig0000041d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig0000082c ), + .Q(\blk00000003/sig0000041e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig0000082b ), + .Q(\blk00000003/sig0000041f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig0000082a ), + .Q(\blk00000003/sig00000420 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000829 ), + .Q(\blk00000003/sig00000421 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000828 ), + .Q(\blk00000003/sig00000422 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000827 ), + .Q(\blk00000003/sig00000423 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000826 ), + .Q(\blk00000003/sig00000424 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001b2/blk000001b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001b2/sig00000825 ), + .Q(\blk00000003/sig00000425 ) + ); + GND \blk00000003/blk000001b2/blk000001b3 ( + .G(\blk00000003/blk000001b2/sig00000824 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000001e5/blk00000217 ( + .I0(ce), + .I1(\blk00000003/sig00000434 ), + .O(\blk00000003/blk000001e5/sig0000088d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000216 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig0000046a ), + .Q(\blk00000003/blk000001e5/sig0000088b ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000216_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000215 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig0000046b ), + .Q(\blk00000003/blk000001e5/sig0000088a ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000215_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000214 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig00000469 ), + .Q(\blk00000003/blk000001e5/sig0000088c ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000214_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000213 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig0000046d ), + .Q(\blk00000003/blk000001e5/sig00000888 ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000213_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000212 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig0000046e ), + .Q(\blk00000003/blk000001e5/sig00000887 ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000212_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000211 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig0000046c ), + .Q(\blk00000003/blk000001e5/sig00000889 ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000211_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000210 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig00000470 ), + .Q(\blk00000003/blk000001e5/sig00000885 ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000210_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk0000020f ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig00000471 ), + .Q(\blk00000003/blk000001e5/sig00000884 ), + .Q15(\NLW_blk00000003/blk000001e5/blk0000020f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk0000020e ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig0000046f ), + .Q(\blk00000003/blk000001e5/sig00000886 ), + .Q15(\NLW_blk00000003/blk000001e5/blk0000020e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk0000020d ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig00000473 ), + .Q(\blk00000003/blk000001e5/sig00000882 ), + .Q15(\NLW_blk00000003/blk000001e5/blk0000020d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk0000020c ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig00000474 ), + .Q(\blk00000003/blk000001e5/sig00000881 ), + .Q15(\NLW_blk00000003/blk000001e5/blk0000020c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk0000020b ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig00000472 ), + .Q(\blk00000003/blk000001e5/sig00000883 ), + .Q15(\NLW_blk00000003/blk000001e5/blk0000020b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk0000020a ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig00000476 ), + .Q(\blk00000003/blk000001e5/sig0000087f ), + .Q15(\NLW_blk00000003/blk000001e5/blk0000020a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000209 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig00000477 ), + .Q(\blk00000003/blk000001e5/sig0000087e ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000209_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000208 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig00000475 ), + .Q(\blk00000003/blk000001e5/sig00000880 ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000208_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000207 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig00000479 ), + .Q(\blk00000003/blk000001e5/sig0000087c ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000207_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000206 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig0000047a ), + .Q(\blk00000003/blk000001e5/sig0000087b ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000206_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000205 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig00000478 ), + .Q(\blk00000003/blk000001e5/sig0000087d ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000205_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000204 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig0000047c ), + .Q(\blk00000003/blk000001e5/sig00000879 ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000204_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000203 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig0000047d ), + .Q(\blk00000003/blk000001e5/sig00000878 ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000203_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000202 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig0000047b ), + .Q(\blk00000003/blk000001e5/sig0000087a ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000202_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000201 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig0000047f ), + .Q(\blk00000003/blk000001e5/sig00000876 ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000201_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk00000200 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig00000480 ), + .Q(\blk00000003/blk000001e5/sig00000875 ), + .Q15(\NLW_blk00000003/blk000001e5/blk00000200_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001e5/blk000001ff ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk000001e5/sig00000874 ), + .CE(\blk00000003/blk000001e5/sig0000088d ), + .CLK(clk), + .D(\blk00000003/sig0000047e ), + .Q(\blk00000003/blk000001e5/sig00000877 ), + .Q15(\NLW_blk00000003/blk000001e5/blk000001ff_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig0000088c ), + .Q(\blk00000003/sig000002f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig0000088b ), + .Q(\blk00000003/sig000002f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig0000088a ), + .Q(\blk00000003/sig000002f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000889 ), + .Q(\blk00000003/sig000002f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000888 ), + .Q(\blk00000003/sig000002f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000887 ), + .Q(\blk00000003/sig000002f9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000886 ), + .Q(\blk00000003/sig000002fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000885 ), + .Q(\blk00000003/sig000002fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000884 ), + .Q(\blk00000003/sig000002fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000883 ), + .Q(\blk00000003/sig000002fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000882 ), + .Q(\blk00000003/sig000002fe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000881 ), + .Q(\blk00000003/sig000002ff ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000880 ), + .Q(\blk00000003/sig00000300 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig0000087f ), + .Q(\blk00000003/sig00000301 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig0000087e ), + .Q(\blk00000003/sig00000302 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig0000087d ), + .Q(\blk00000003/sig00000303 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig0000087c ), + .Q(\blk00000003/sig00000304 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig0000087b ), + .Q(\blk00000003/sig00000305 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig0000087a ), + .Q(\blk00000003/sig00000306 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000879 ), + .Q(\blk00000003/sig00000307 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000878 ), + .Q(\blk00000003/sig00000308 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000877 ), + .Q(\blk00000003/sig00000309 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000876 ), + .Q(\blk00000003/sig0000030a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001e5/blk000001e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001e5/sig00000875 ), + .Q(\blk00000003/sig0000030b ) + ); + GND \blk00000003/blk000001e5/blk000001e6 ( + .G(\blk00000003/blk000001e5/sig00000874 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000218/blk0000024a ( + .I0(ce), + .I1(\blk00000003/sig0000042e ), + .O(\blk00000003/blk00000218/sig000008dd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000249 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000482 ), + .Q(\blk00000003/blk00000218/sig000008db ), + .Q15(\NLW_blk00000003/blk00000218/blk00000249_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000248 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000483 ), + .Q(\blk00000003/blk00000218/sig000008da ), + .Q15(\NLW_blk00000003/blk00000218/blk00000248_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000247 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000481 ), + .Q(\blk00000003/blk00000218/sig000008dc ), + .Q15(\NLW_blk00000003/blk00000218/blk00000247_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000246 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000485 ), + .Q(\blk00000003/blk00000218/sig000008d8 ), + .Q15(\NLW_blk00000003/blk00000218/blk00000246_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000245 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000486 ), + .Q(\blk00000003/blk00000218/sig000008d7 ), + .Q15(\NLW_blk00000003/blk00000218/blk00000245_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000244 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000484 ), + .Q(\blk00000003/blk00000218/sig000008d9 ), + .Q15(\NLW_blk00000003/blk00000218/blk00000244_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000243 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000488 ), + .Q(\blk00000003/blk00000218/sig000008d5 ), + .Q15(\NLW_blk00000003/blk00000218/blk00000243_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000242 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000489 ), + .Q(\blk00000003/blk00000218/sig000008d4 ), + .Q15(\NLW_blk00000003/blk00000218/blk00000242_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000241 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000487 ), + .Q(\blk00000003/blk00000218/sig000008d6 ), + .Q15(\NLW_blk00000003/blk00000218/blk00000241_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000240 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig0000048b ), + .Q(\blk00000003/blk00000218/sig000008d2 ), + .Q15(\NLW_blk00000003/blk00000218/blk00000240_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk0000023f ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig0000048c ), + .Q(\blk00000003/blk00000218/sig000008d1 ), + .Q15(\NLW_blk00000003/blk00000218/blk0000023f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk0000023e ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig0000048a ), + .Q(\blk00000003/blk00000218/sig000008d3 ), + .Q15(\NLW_blk00000003/blk00000218/blk0000023e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk0000023d ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig0000048e ), + .Q(\blk00000003/blk00000218/sig000008cf ), + .Q15(\NLW_blk00000003/blk00000218/blk0000023d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk0000023c ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig0000048f ), + .Q(\blk00000003/blk00000218/sig000008ce ), + .Q15(\NLW_blk00000003/blk00000218/blk0000023c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk0000023b ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig0000048d ), + .Q(\blk00000003/blk00000218/sig000008d0 ), + .Q15(\NLW_blk00000003/blk00000218/blk0000023b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk0000023a ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000491 ), + .Q(\blk00000003/blk00000218/sig000008cc ), + .Q15(\NLW_blk00000003/blk00000218/blk0000023a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000239 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000492 ), + .Q(\blk00000003/blk00000218/sig000008cb ), + .Q15(\NLW_blk00000003/blk00000218/blk00000239_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000238 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000490 ), + .Q(\blk00000003/blk00000218/sig000008cd ), + .Q15(\NLW_blk00000003/blk00000218/blk00000238_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000237 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000494 ), + .Q(\blk00000003/blk00000218/sig000008c9 ), + .Q15(\NLW_blk00000003/blk00000218/blk00000237_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000236 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000495 ), + .Q(\blk00000003/blk00000218/sig000008c8 ), + .Q15(\NLW_blk00000003/blk00000218/blk00000236_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000235 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000493 ), + .Q(\blk00000003/blk00000218/sig000008ca ), + .Q15(\NLW_blk00000003/blk00000218/blk00000235_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000234 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000497 ), + .Q(\blk00000003/blk00000218/sig000008c6 ), + .Q15(\NLW_blk00000003/blk00000218/blk00000234_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000233 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000498 ), + .Q(\blk00000003/blk00000218/sig000008c5 ), + .Q15(\NLW_blk00000003/blk00000218/blk00000233_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000218/blk00000232 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk00000218/sig000008c4 ), + .CE(\blk00000003/blk00000218/sig000008dd ), + .CLK(clk), + .D(\blk00000003/sig00000496 ), + .Q(\blk00000003/blk00000218/sig000008c7 ), + .Q15(\NLW_blk00000003/blk00000218/blk00000232_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk00000231 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008dc ), + .Q(\blk00000003/sig0000030c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk00000230 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008db ), + .Q(\blk00000003/sig0000030d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk0000022f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008da ), + .Q(\blk00000003/sig0000030e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk0000022e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008d9 ), + .Q(\blk00000003/sig0000030f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk0000022d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008d8 ), + .Q(\blk00000003/sig00000310 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk0000022c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008d7 ), + .Q(\blk00000003/sig00000311 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk0000022b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008d6 ), + .Q(\blk00000003/sig00000312 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk0000022a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008d5 ), + .Q(\blk00000003/sig00000313 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk00000229 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008d4 ), + .Q(\blk00000003/sig00000314 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk00000228 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008d3 ), + .Q(\blk00000003/sig00000315 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk00000227 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008d2 ), + .Q(\blk00000003/sig00000316 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk00000226 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008d1 ), + .Q(\blk00000003/sig00000317 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk00000225 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008d0 ), + .Q(\blk00000003/sig00000318 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk00000224 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008cf ), + .Q(\blk00000003/sig00000319 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk00000223 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008ce ), + .Q(\blk00000003/sig0000031a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk00000222 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008cd ), + .Q(\blk00000003/sig0000031b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk00000221 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008cc ), + .Q(\blk00000003/sig0000031c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk00000220 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008cb ), + .Q(\blk00000003/sig0000031d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk0000021f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008ca ), + .Q(\blk00000003/sig0000031e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk0000021e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008c9 ), + .Q(\blk00000003/sig0000031f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk0000021d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008c8 ), + .Q(\blk00000003/sig00000320 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk0000021c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008c7 ), + .Q(\blk00000003/sig00000321 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk0000021b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008c6 ), + .Q(\blk00000003/sig00000322 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000218/blk0000021a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000218/sig000008c5 ), + .Q(\blk00000003/sig00000323 ) + ); + GND \blk00000003/blk00000218/blk00000219 ( + .G(\blk00000003/blk00000218/sig000008c4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000024b/blk0000027d ( + .I0(ce), + .I1(\blk00000003/sig00000434 ), + .O(\blk00000003/blk0000024b/sig0000092d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk0000027c ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig0000049a ), + .Q(\blk00000003/blk0000024b/sig0000092b ), + .Q15(\NLW_blk00000003/blk0000024b/blk0000027c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk0000027b ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig0000049b ), + .Q(\blk00000003/blk0000024b/sig0000092a ), + .Q15(\NLW_blk00000003/blk0000024b/blk0000027b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk0000027a ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig00000499 ), + .Q(\blk00000003/blk0000024b/sig0000092c ), + .Q15(\NLW_blk00000003/blk0000024b/blk0000027a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000279 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig0000049d ), + .Q(\blk00000003/blk0000024b/sig00000928 ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000279_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000278 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig0000049e ), + .Q(\blk00000003/blk0000024b/sig00000927 ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000278_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000277 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig0000049c ), + .Q(\blk00000003/blk0000024b/sig00000929 ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000277_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000276 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004a0 ), + .Q(\blk00000003/blk0000024b/sig00000925 ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000276_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000275 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004a1 ), + .Q(\blk00000003/blk0000024b/sig00000924 ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000275_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000274 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig0000049f ), + .Q(\blk00000003/blk0000024b/sig00000926 ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000274_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000273 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004a3 ), + .Q(\blk00000003/blk0000024b/sig00000922 ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000273_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000272 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004a4 ), + .Q(\blk00000003/blk0000024b/sig00000921 ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000272_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000271 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004a2 ), + .Q(\blk00000003/blk0000024b/sig00000923 ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000271_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000270 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004a6 ), + .Q(\blk00000003/blk0000024b/sig0000091f ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000270_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk0000026f ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004a7 ), + .Q(\blk00000003/blk0000024b/sig0000091e ), + .Q15(\NLW_blk00000003/blk0000024b/blk0000026f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk0000026e ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004a5 ), + .Q(\blk00000003/blk0000024b/sig00000920 ), + .Q15(\NLW_blk00000003/blk0000024b/blk0000026e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk0000026d ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004a9 ), + .Q(\blk00000003/blk0000024b/sig0000091c ), + .Q15(\NLW_blk00000003/blk0000024b/blk0000026d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk0000026c ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004aa ), + .Q(\blk00000003/blk0000024b/sig0000091b ), + .Q15(\NLW_blk00000003/blk0000024b/blk0000026c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk0000026b ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004a8 ), + .Q(\blk00000003/blk0000024b/sig0000091d ), + .Q15(\NLW_blk00000003/blk0000024b/blk0000026b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk0000026a ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004ac ), + .Q(\blk00000003/blk0000024b/sig00000919 ), + .Q15(\NLW_blk00000003/blk0000024b/blk0000026a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000269 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004ad ), + .Q(\blk00000003/blk0000024b/sig00000918 ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000269_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000268 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004ab ), + .Q(\blk00000003/blk0000024b/sig0000091a ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000268_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000267 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004af ), + .Q(\blk00000003/blk0000024b/sig00000916 ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000267_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000266 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004b0 ), + .Q(\blk00000003/blk0000024b/sig00000915 ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000266_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000024b/blk00000265 ( + .A0(\blk00000003/sig000002c6 ), + .A1(\blk00000003/sig000002c5 ), + .A2(\blk00000003/sig000002c4 ), + .A3(\blk00000003/blk0000024b/sig00000914 ), + .CE(\blk00000003/blk0000024b/sig0000092d ), + .CLK(clk), + .D(\blk00000003/sig000004ae ), + .Q(\blk00000003/blk0000024b/sig00000917 ), + .Q15(\NLW_blk00000003/blk0000024b/blk00000265_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000264 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig0000092c ), + .Q(\blk00000003/sig00000354 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000263 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig0000092b ), + .Q(\blk00000003/sig00000355 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000262 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig0000092a ), + .Q(\blk00000003/sig00000356 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000261 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000929 ), + .Q(\blk00000003/sig00000357 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000260 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000928 ), + .Q(\blk00000003/sig00000358 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk0000025f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000927 ), + .Q(\blk00000003/sig00000359 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk0000025e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000926 ), + .Q(\blk00000003/sig0000035a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk0000025d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000925 ), + .Q(\blk00000003/sig0000035b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk0000025c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000924 ), + .Q(\blk00000003/sig0000035c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk0000025b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000923 ), + .Q(\blk00000003/sig0000035d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk0000025a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000922 ), + .Q(\blk00000003/sig0000035e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000259 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000921 ), + .Q(\blk00000003/sig0000035f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000258 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000920 ), + .Q(\blk00000003/sig00000360 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000257 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig0000091f ), + .Q(\blk00000003/sig00000361 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000256 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig0000091e ), + .Q(\blk00000003/sig00000362 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000255 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig0000091d ), + .Q(\blk00000003/sig00000363 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000254 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig0000091c ), + .Q(\blk00000003/sig00000364 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000253 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig0000091b ), + .Q(\blk00000003/sig00000365 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000252 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig0000091a ), + .Q(\blk00000003/sig00000366 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000251 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000919 ), + .Q(\blk00000003/sig00000367 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk00000250 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000918 ), + .Q(\blk00000003/sig00000368 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk0000024f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000917 ), + .Q(\blk00000003/sig00000369 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk0000024e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000916 ), + .Q(\blk00000003/sig0000036a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000024b/blk0000024d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000024b/sig00000915 ), + .Q(\blk00000003/sig0000036b ) + ); + GND \blk00000003/blk0000024b/blk0000024c ( + .G(\blk00000003/blk0000024b/sig00000914 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000027e/blk000002b0 ( + .I0(ce), + .I1(\blk00000003/sig0000042e ), + .O(\blk00000003/blk0000027e/sig0000097d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002af ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004b2 ), + .Q(\blk00000003/blk0000027e/sig0000097b ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002af_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002ae ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004b3 ), + .Q(\blk00000003/blk0000027e/sig0000097a ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002ae_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002ad ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004b1 ), + .Q(\blk00000003/blk0000027e/sig0000097c ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002ad_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002ac ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004b5 ), + .Q(\blk00000003/blk0000027e/sig00000978 ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002ac_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002ab ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004b6 ), + .Q(\blk00000003/blk0000027e/sig00000977 ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002ab_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002aa ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004b4 ), + .Q(\blk00000003/blk0000027e/sig00000979 ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002aa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002a9 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004b8 ), + .Q(\blk00000003/blk0000027e/sig00000975 ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002a9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002a8 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004b9 ), + .Q(\blk00000003/blk0000027e/sig00000974 ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002a8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002a7 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004b7 ), + .Q(\blk00000003/blk0000027e/sig00000976 ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002a7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002a6 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004bb ), + .Q(\blk00000003/blk0000027e/sig00000972 ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002a6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002a5 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004bc ), + .Q(\blk00000003/blk0000027e/sig00000971 ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002a5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002a4 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004ba ), + .Q(\blk00000003/blk0000027e/sig00000973 ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002a4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002a3 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004be ), + .Q(\blk00000003/blk0000027e/sig0000096f ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002a3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002a2 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004bf ), + .Q(\blk00000003/blk0000027e/sig0000096e ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002a2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002a1 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004bd ), + .Q(\blk00000003/blk0000027e/sig00000970 ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002a1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk000002a0 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004c1 ), + .Q(\blk00000003/blk0000027e/sig0000096c ), + .Q15(\NLW_blk00000003/blk0000027e/blk000002a0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk0000029f ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004c2 ), + .Q(\blk00000003/blk0000027e/sig0000096b ), + .Q15(\NLW_blk00000003/blk0000027e/blk0000029f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk0000029e ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004c0 ), + .Q(\blk00000003/blk0000027e/sig0000096d ), + .Q15(\NLW_blk00000003/blk0000027e/blk0000029e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk0000029d ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004c4 ), + .Q(\blk00000003/blk0000027e/sig00000969 ), + .Q15(\NLW_blk00000003/blk0000027e/blk0000029d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk0000029c ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004c5 ), + .Q(\blk00000003/blk0000027e/sig00000968 ), + .Q15(\NLW_blk00000003/blk0000027e/blk0000029c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk0000029b ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004c3 ), + .Q(\blk00000003/blk0000027e/sig0000096a ), + .Q15(\NLW_blk00000003/blk0000027e/blk0000029b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk0000029a ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004c7 ), + .Q(\blk00000003/blk0000027e/sig00000966 ), + .Q15(\NLW_blk00000003/blk0000027e/blk0000029a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk00000299 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004c8 ), + .Q(\blk00000003/blk0000027e/sig00000965 ), + .Q15(\NLW_blk00000003/blk0000027e/blk00000299_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000027e/blk00000298 ( + .A0(\blk00000003/sig000002d1 ), + .A1(\blk00000003/sig000002d0 ), + .A2(\blk00000003/sig000002cf ), + .A3(\blk00000003/blk0000027e/sig00000964 ), + .CE(\blk00000003/blk0000027e/sig0000097d ), + .CLK(clk), + .D(\blk00000003/sig000004c6 ), + .Q(\blk00000003/blk0000027e/sig00000967 ), + .Q15(\NLW_blk00000003/blk0000027e/blk00000298_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000297 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig0000097c ), + .Q(\blk00000003/sig0000036c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000296 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig0000097b ), + .Q(\blk00000003/sig0000036d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000295 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig0000097a ), + .Q(\blk00000003/sig0000036e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000294 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000979 ), + .Q(\blk00000003/sig0000036f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000293 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000978 ), + .Q(\blk00000003/sig00000370 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000292 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000977 ), + .Q(\blk00000003/sig00000371 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000291 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000976 ), + .Q(\blk00000003/sig00000372 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000290 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000975 ), + .Q(\blk00000003/sig00000373 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk0000028f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000974 ), + .Q(\blk00000003/sig00000374 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk0000028e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000973 ), + .Q(\blk00000003/sig00000375 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk0000028d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000972 ), + .Q(\blk00000003/sig00000376 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk0000028c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000971 ), + .Q(\blk00000003/sig00000377 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk0000028b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000970 ), + .Q(\blk00000003/sig00000378 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk0000028a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig0000096f ), + .Q(\blk00000003/sig00000379 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000289 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig0000096e ), + .Q(\blk00000003/sig0000037a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000288 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig0000096d ), + .Q(\blk00000003/sig0000037b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000287 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig0000096c ), + .Q(\blk00000003/sig0000037c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000286 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig0000096b ), + .Q(\blk00000003/sig0000037d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000285 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig0000096a ), + .Q(\blk00000003/sig0000037e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000284 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000969 ), + .Q(\blk00000003/sig0000037f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000283 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000968 ), + .Q(\blk00000003/sig00000380 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000282 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000967 ), + .Q(\blk00000003/sig00000381 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000281 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000966 ), + .Q(\blk00000003/sig00000382 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000027e/blk00000280 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000027e/sig00000965 ), + .Q(\blk00000003/sig00000383 ) + ); + GND \blk00000003/blk0000027e/blk0000027f ( + .G(\blk00000003/blk0000027e/sig00000964 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000002b1/blk000002e9 ( + .I0(ce), + .I1(\blk00000003/sig00000234 ), + .O(\blk00000003/blk000002b1/sig000009e4 ) + ); + RAM32X1D #( + .INIT ( 32'h00000055 )) + \blk00000003/blk000002b1/blk000002e8 ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004c9 ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009d1 ), + .DPO(\blk00000003/blk000002b1/sig000009e3 ) + ); + RAM32X1D #( + .INIT ( 32'h00000055 )) + \blk00000003/blk000002b1/blk000002e7 ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004ca ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009d0 ), + .DPO(\blk00000003/blk000002b1/sig000009e2 ) + ); + RAM32X1D #( + .INIT ( 32'h00000055 )) + \blk00000003/blk000002b1/blk000002e6 ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004cb ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009cf ), + .DPO(\blk00000003/blk000002b1/sig000009e1 ) + ); + RAM32X1D #( + .INIT ( 32'h00000055 )) + \blk00000003/blk000002b1/blk000002e5 ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004cc ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009ce ), + .DPO(\blk00000003/blk000002b1/sig000009e0 ) + ); + RAM32X1D #( + .INIT ( 32'h00000055 )) + \blk00000003/blk000002b1/blk000002e4 ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004cd ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009cd ), + .DPO(\blk00000003/blk000002b1/sig000009df ) + ); + RAM32X1D #( + .INIT ( 32'h00000055 )) + \blk00000003/blk000002b1/blk000002e3 ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004ce ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009cc ), + .DPO(\blk00000003/blk000002b1/sig000009de ) + ); + RAM32X1D #( + .INIT ( 32'h00000095 )) + \blk00000003/blk000002b1/blk000002e2 ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004d0 ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009ca ), + .DPO(\blk00000003/blk000002b1/sig000009dc ) + ); + RAM32X1D #( + .INIT ( 32'h000000E5 )) + \blk00000003/blk000002b1/blk000002e1 ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004d1 ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009c9 ), + .DPO(\blk00000003/blk000002b1/sig000009db ) + ); + RAM32X1D #( + .INIT ( 32'h00000055 )) + \blk00000003/blk000002b1/blk000002e0 ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004cf ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009cb ), + .DPO(\blk00000003/blk000002b1/sig000009dd ) + ); + RAM32X1D #( + .INIT ( 32'h000000BD )) + \blk00000003/blk000002b1/blk000002df ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004d2 ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009c8 ), + .DPO(\blk00000003/blk000002b1/sig000009da ) + ); + RAM32X1D #( + .INIT ( 32'h000000F1 )) + \blk00000003/blk000002b1/blk000002de ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004d3 ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009c7 ), + .DPO(\blk00000003/blk000002b1/sig000009d9 ) + ); + RAM32X1D #( + .INIT ( 32'h0000000B )) + \blk00000003/blk000002b1/blk000002dd ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004d4 ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009c6 ), + .DPO(\blk00000003/blk000002b1/sig000009d8 ) + ); + RAM32X1D #( + .INIT ( 32'h000000DC )) + \blk00000003/blk000002b1/blk000002dc ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004d5 ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009c5 ), + .DPO(\blk00000003/blk000002b1/sig000009d7 ) + ); + RAM32X1D #( + .INIT ( 32'h00000057 )) + \blk00000003/blk000002b1/blk000002db ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004d6 ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009c4 ), + .DPO(\blk00000003/blk000002b1/sig000009d6 ) + ); + RAM32X1D #( + .INIT ( 32'h00000037 )) + \blk00000003/blk000002b1/blk000002da ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004d7 ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009c3 ), + .DPO(\blk00000003/blk000002b1/sig000009d5 ) + ); + RAM32X1D #( + .INIT ( 32'h00000072 )) + \blk00000003/blk000002b1/blk000002d9 ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004d9 ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009c1 ), + .DPO(\blk00000003/blk000002b1/sig000009d3 ) + ); + RAM32X1D #( + .INIT ( 32'h000000A7 )) + \blk00000003/blk000002b1/blk000002d8 ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004da ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009c0 ), + .DPO(\blk00000003/blk000002b1/sig000009d2 ) + ); + RAM32X1D #( + .INIT ( 32'h00000047 )) + \blk00000003/blk000002b1/blk000002d7 ( + .A0(\blk00000003/sig0000026a ), + .A1(\blk00000003/sig0000026e ), + .A2(\blk00000003/sig00000271 ), + .A3(\blk00000003/sig00000275 ), + .A4(\blk00000003/blk000002b1/sig000009bf ), + .D(\blk00000003/sig000004d8 ), + .DPRA0(\blk00000003/sig000002d3 ), + .DPRA1(\blk00000003/sig000002d7 ), + .DPRA2(\blk00000003/sig000002da ), + .DPRA3(\blk00000003/sig000002e1 ), + .DPRA4(\blk00000003/blk000002b1/sig000009bf ), + .WCLK(clk), + .WE(\blk00000003/blk000002b1/sig000009e4 ), + .SPO(\blk00000003/blk000002b1/sig000009c2 ), + .DPO(\blk00000003/blk000002b1/sig000009d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009e3 ), + .Q(\blk00000003/sig000002e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009e2 ), + .Q(\blk00000003/sig000002e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009e1 ), + .Q(\blk00000003/sig000002e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002d3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009e0 ), + .Q(\blk00000003/sig000002e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009df ), + .Q(\blk00000003/sig000002e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009de ), + .Q(\blk00000003/sig000002e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009dd ), + .Q(\blk00000003/sig000002e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009dc ), + .Q(\blk00000003/sig000002e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009db ), + .Q(\blk00000003/sig000002ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009da ), + .Q(\blk00000003/sig000002eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009d9 ), + .Q(\blk00000003/sig000002ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009d8 ), + .Q(\blk00000003/sig000002ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009d7 ), + .Q(\blk00000003/sig000002ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009d6 ), + .Q(\blk00000003/sig000002ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009d5 ), + .Q(\blk00000003/sig000002f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009d4 ), + .Q(\blk00000003/sig000002f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009d3 ), + .Q(\blk00000003/sig000002f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009d2 ), + .Q(\blk00000003/sig000002f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009d1 ), + .Q(\blk00000003/sig000004db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009d0 ), + .Q(\blk00000003/sig000004dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009cf ), + .Q(\blk00000003/sig000004dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009ce ), + .Q(\blk00000003/sig000004de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009cd ), + .Q(\blk00000003/sig000004df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009cc ), + .Q(\blk00000003/sig000004e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009cb ), + .Q(\blk00000003/sig000004e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009ca ), + .Q(\blk00000003/sig000004e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009c9 ), + .Q(\blk00000003/sig000004e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009c8 ), + .Q(\blk00000003/sig000004e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009c7 ), + .Q(\blk00000003/sig000004e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009c6 ), + .Q(\blk00000003/sig000004e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009c5 ), + .Q(\blk00000003/sig000004e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009c4 ), + .Q(\blk00000003/sig000004e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009c3 ), + .Q(\blk00000003/sig000004e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009c2 ), + .Q(\blk00000003/sig000004ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009c1 ), + .Q(\blk00000003/sig000004eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig000009c0 ), + .Q(\blk00000003/sig000004ec ) + ); + GND \blk00000003/blk000002b1/blk000002b2 ( + .G(\blk00000003/blk000002b1/sig000009bf ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000002ea/blk00000310 ( + .I0(ce), + .I1(\blk00000003/sig0000042a ), + .O(\blk00000003/blk000002ea/sig00000a27 ) + ); + RAM32X1D #( + .INIT ( 32'h00000055 )) + \blk00000003/blk000002ea/blk0000030f ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004db ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk0000030f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a26 ) + ); + RAM32X1D #( + .INIT ( 32'h000000D5 )) + \blk00000003/blk000002ea/blk0000030e ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004dc ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk0000030e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a25 ) + ); + RAM32X1D #( + .INIT ( 32'h00000055 )) + \blk00000003/blk000002ea/blk0000030d ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004dd ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk0000030d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a24 ) + ); + RAM32X1D #( + .INIT ( 32'h00000095 )) + \blk00000003/blk000002ea/blk0000030c ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004de ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk0000030c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a23 ) + ); + RAM32X1D #( + .INIT ( 32'h00000025 )) + \blk00000003/blk000002ea/blk0000030b ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004df ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk0000030b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a22 ) + ); + RAM32X1D #( + .INIT ( 32'h00000079 )) + \blk00000003/blk000002ea/blk0000030a ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004e0 ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk0000030a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a21 ) + ); + RAM32X1D #( + .INIT ( 32'h000000FB )) + \blk00000003/blk000002ea/blk00000309 ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004e2 ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk00000309_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a1f ) + ); + RAM32X1D #( + .INIT ( 32'h00000056 )) + \blk00000003/blk000002ea/blk00000308 ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004e3 ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk00000308_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a1e ) + ); + RAM32X1D #( + .INIT ( 32'h0000002E )) + \blk00000003/blk000002ea/blk00000307 ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004e1 ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk00000307_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a20 ) + ); + RAM32X1D #( + .INIT ( 32'h00000015 )) + \blk00000003/blk000002ea/blk00000306 ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004e4 ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk00000306_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a1d ) + ); + RAM32X1D #( + .INIT ( 32'h000000B2 )) + \blk00000003/blk000002ea/blk00000305 ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004e5 ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk00000305_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a1c ) + ); + RAM32X1D #( + .INIT ( 32'h0000009F )) + \blk00000003/blk000002ea/blk00000304 ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004e6 ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk00000304_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a1b ) + ); + RAM32X1D #( + .INIT ( 32'h000000CE )) + \blk00000003/blk000002ea/blk00000303 ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004e7 ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk00000303_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a1a ) + ); + RAM32X1D #( + .INIT ( 32'h000000C4 )) + \blk00000003/blk000002ea/blk00000302 ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004e8 ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk00000302_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a19 ) + ); + RAM32X1D #( + .INIT ( 32'h00000094 )) + \blk00000003/blk000002ea/blk00000301 ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004e9 ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk00000301_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a18 ) + ); + RAM32X1D #( + .INIT ( 32'h00000024 )) + \blk00000003/blk000002ea/blk00000300 ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004eb ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk00000300_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a16 ) + ); + RAM32X1D #( + .INIT ( 32'h00000047 )) + \blk00000003/blk000002ea/blk000002ff ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004ec ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk000002ff_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a15 ) + ); + RAM32X1D #( + .INIT ( 32'h000000E6 )) + \blk00000003/blk000002ea/blk000002fe ( + .A0(\blk00000003/sig00000426 ), + .A1(\blk00000003/sig00000427 ), + .A2(\blk00000003/sig00000428 ), + .A3(\blk00000003/sig00000429 ), + .A4(\blk00000003/blk000002ea/sig00000a14 ), + .D(\blk00000003/sig000004ea ), + .DPRA0(\blk00000003/sig00000433 ), + .DPRA1(\blk00000003/sig00000432 ), + .DPRA2(\blk00000003/sig00000431 ), + .DPRA3(\blk00000003/sig00000430 ), + .DPRA4(\blk00000003/blk000002ea/sig00000a14 ), + .WCLK(clk), + .WE(\blk00000003/blk000002ea/sig00000a27 ), + .SPO(\NLW_blk00000003/blk000002ea/blk000002fe_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000002ea/sig00000a17 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a26 ), + .Q(\blk00000003/sig000003b4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a25 ), + .Q(\blk00000003/sig000003b5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a24 ), + .Q(\blk00000003/sig000003b6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a23 ), + .Q(\blk00000003/sig000003b7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a22 ), + .Q(\blk00000003/sig000003b8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a21 ), + .Q(\blk00000003/sig000003b9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a20 ), + .Q(\blk00000003/sig000003ba ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a1f ), + .Q(\blk00000003/sig000003bb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a1e ), + .Q(\blk00000003/sig000003bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a1d ), + .Q(\blk00000003/sig000003bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a1c ), + .Q(\blk00000003/sig000003be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a1b ), + .Q(\blk00000003/sig000003bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a1a ), + .Q(\blk00000003/sig000003c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a19 ), + .Q(\blk00000003/sig000003c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a18 ), + .Q(\blk00000003/sig000003c2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a17 ), + .Q(\blk00000003/sig000003c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a16 ), + .Q(\blk00000003/sig000003c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002ea/blk000002ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002ea/sig00000a15 ), + .Q(\blk00000003/sig000003c5 ) + ); + GND \blk00000003/blk000002ea/blk000002eb ( + .G(\blk00000003/blk000002ea/sig00000a14 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000371/blk00000397 ( + .I0(ce), + .I1(\blk00000003/sig00000232 ), + .O(\blk00000003/blk00000371/sig00000a64 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \blk00000003/blk00000371/blk00000396 ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004c9 ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk00000396_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a63 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk00000395 ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004ca ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk00000395_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a62 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk00000394 ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004cb ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk00000394_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a61 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk00000393 ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004cc ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk00000393_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a60 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk00000392 ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004cd ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk00000392_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a5f ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk00000391 ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004ce ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk00000391_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a5e ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk00000390 ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004d0 ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk00000390_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a5c ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk0000038f ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004d1 ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk0000038f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a5b ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk0000038e ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004cf ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk0000038e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a5d ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk0000038d ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004d2 ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk0000038d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a5a ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk0000038c ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004d3 ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk0000038c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a59 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk0000038b ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004d4 ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk0000038b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a58 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk0000038a ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004d5 ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk0000038a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a57 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk00000389 ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004d6 ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk00000389_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a56 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk00000388 ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004d7 ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk00000388_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a55 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk00000387 ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004d9 ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk00000387_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a53 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk00000386 ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004da ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk00000386_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a52 ) + ); + RAM32X1D #( + .INIT ( 32'h00000001 )) + \blk00000003/blk00000371/blk00000385 ( + .A0(\blk00000003/sig00000239 ), + .A1(\blk00000003/blk00000371/sig00000a51 ), + .A2(\blk00000003/blk00000371/sig00000a51 ), + .A3(\blk00000003/blk00000371/sig00000a51 ), + .A4(\blk00000003/blk00000371/sig00000a51 ), + .D(\blk00000003/sig000004d8 ), + .DPRA0(\blk00000003/sig000001d0 ), + .DPRA1(\blk00000003/blk00000371/sig00000a51 ), + .DPRA2(\blk00000003/blk00000371/sig00000a51 ), + .DPRA3(\blk00000003/blk00000371/sig00000a51 ), + .DPRA4(\blk00000003/blk00000371/sig00000a51 ), + .WCLK(clk), + .WE(\blk00000003/blk00000371/sig00000a64 ), + .SPO(\NLW_blk00000003/blk00000371/blk00000385_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000371/sig00000a54 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk00000384 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a63 ), + .Q(\blk00000003/sig000000f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk00000383 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a62 ), + .Q(\blk00000003/sig000000f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk00000382 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a61 ), + .Q(\blk00000003/sig000000f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk00000381 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a60 ), + .Q(\blk00000003/sig000000f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk00000380 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a5f ), + .Q(\blk00000003/sig000000f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk0000037f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a5e ), + .Q(\blk00000003/sig000000f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk0000037e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a5d ), + .Q(\blk00000003/sig000000f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk0000037d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a5c ), + .Q(\blk00000003/sig000000f9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk0000037c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a5b ), + .Q(\blk00000003/sig000000fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk0000037b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a5a ), + .Q(\blk00000003/sig000000fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk0000037a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a59 ), + .Q(\blk00000003/sig000000fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk00000379 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a58 ), + .Q(\blk00000003/sig000000fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk00000378 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a57 ), + .Q(\blk00000003/sig000000fe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk00000377 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a56 ), + .Q(\blk00000003/sig000000ff ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk00000376 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a55 ), + .Q(\blk00000003/sig00000100 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk00000375 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a54 ), + .Q(\blk00000003/sig00000101 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk00000374 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a53 ), + .Q(\blk00000003/sig00000102 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371/blk00000373 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000371/sig00000a52 ), + .Q(\blk00000003/sig00000103 ) + ); + GND \blk00000003/blk00000371/blk00000372 ( + .G(\blk00000003/blk00000371/sig00000a51 ) + ); + +// synthesis translate_on + +endmodule + +// synthesis translate_off + +`ifndef GLBL +`define GLBL + +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (weak1, weak0) GSR = GSR_int; + assign (weak1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + +endmodule + +`endif + +// synthesis translate_on diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec3.veo b/fpga/usrp3/top/x300/coregen_dsp/hbdec3.veo new file mode 100644 index 000000000..41d2ac4ee --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec3.veo @@ -0,0 +1,79 @@ +/******************************************************************************* +* (c) Copyright 1995 - 2010 Xilinx, Inc. All rights reserved. * +* * +* This file contains confidential and proprietary information * +* of Xilinx, Inc. and is protected under U.S. and * +* international copyright and other intellectual property * +* laws. * +* * +* DISCLAIMER * +* This disclaimer is not a license and does not grant any * +* rights to the materials distributed herewith. Except as * +* otherwise provided in a valid license issued to you by * +* Xilinx, and to the maximum extent permitted by applicable * +* law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND * +* WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES * +* AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING * +* BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- * +* INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and * +* (2) Xilinx shall not be liable (whether in contract or tort, * +* including negligence, or under any other theory of * +* liability) for any loss or damage of any kind or nature * +* related to, arising under or in connection with these * +* materials, including for any direct, or any indirect, * +* special, incidental, or consequential loss or damage * +* (including loss of data, profits, goodwill, or any type of * +* loss or damage suffered as a result of any action brought * +* by a third party) even if such damage or loss was * +* reasonably foreseeable or Xilinx had been advised of the * +* possibility of the same. * +* * +* CRITICAL APPLICATIONS * +* Xilinx products are not designed or intended to be fail- * +* safe, or for use in any application requiring fail-safe * +* performance, such as life-support or safety devices or * +* systems, Class III medical devices, nuclear facilities, * +* applications related to the deployment of airbags, or any * +* other applications that could lead to death, personal * +* injury, or severe property or environmental damage * +* (individually and collectively, "Critical * +* Applications"). Customer assumes the sole risk and * +* liability of any use of Xilinx products in Critical * +* Applications, subject only to applicable laws and * +* regulations governing limitations on product liability. * +* * +* THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS * +* PART OF THIS FILE AT ALL TIMES. * +*******************************************************************************/ + +// Generated from component ID: xilinx.com:ip:fir_compiler:5.0 + + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +hbdec3 YourInstanceName ( + .sclr(sclr), // input sclr + .clk(clk), // input clk + .ce(ce), // input ce + .nd(nd), // input nd + .coef_ld(coef_ld), // input coef_ld + .coef_we(coef_we), // input coef_we + .coef_din(coef_din), // input [17 : 0] coef_din + .rfd(rfd), // output rfd + .rdy(rdy), // output rdy + .data_valid(data_valid), // output data_valid + .din_1(din_1), // input [23 : 0] din_1 + .din_2(din_2), // input [23 : 0] din_2 + .dout_1(dout_1), // output [47 : 0] dout_1 + .dout_2(dout_2)); // output [47 : 0] dout_2 + +// INST_TAG_END ------ End INSTANTIATION Template --------- + +// You must compile the wrapper file hbdec3.v when simulating +// the core, hbdec3. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec3.xco b/fpga/usrp3/top/x300/coregen_dsp/hbdec3.xco new file mode 100644 index 000000000..86db05cdd --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec3.xco @@ -0,0 +1,102 @@ +############################################################## +# +# Xilinx Core Generator version 14.4 +# Date: Wed Dec 4 21:31:43 2013 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:fir_compiler:5.0 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc7k325t +SET devicefamily = kintex7 +SET flowvendor = Other +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = ffg900 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -2 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT FIR_Compiler family Xilinx,_Inc. 5.0 +# END Select +# BEGIN Parameters +CSET allow_rounding_approximation=false +CSET bestprecision=false +CSET chan_in_adv=0 +CSET clock_frequency=200 +CSET coefficient_buffer_type=Automatic +CSET coefficient_file=./hb63.coe +CSET coefficient_fractional_bits=0 +CSET coefficient_reload=true +CSET coefficient_sets=1 +CSET coefficient_sign=Signed +CSET coefficient_structure=Half_Band +CSET coefficient_width=18 +CSET coefficientsource=COE_File +CSET coefficientvector=6,0,-4,-3,5,6,-6,-13,7,44,64,44,7,-13,-6,6,5,-3,-4,0,6 +CSET columnconfig=3 +CSET component_name=hbdec3 +CSET data_buffer_type=Automatic +CSET data_fractional_bits=0 +CSET data_sign=Signed +CSET data_width=24 +CSET decimation_rate=2 +CSET displayreloadorder=false +CSET filter_architecture=Systolic_Multiply_Accumulate +CSET filter_selection=1 +CSET filter_type=Decimation +CSET gui_behaviour=Coregen +CSET hardwareoversamplingrate=1 +CSET has_ce=true +CSET has_data_valid=true +CSET has_nd=true +CSET has_sclr=true +CSET input_buffer_type=Automatic +CSET inter_column_pipe_length=4 +CSET interpolation_rate=1 +CSET multi_column_support=Disabled +CSET number_channels=1 +CSET number_paths=2 +CSET optimization_goal=Area +CSET output_buffer_type=Automatic +CSET output_rounding_mode=Full_Precision +CSET output_width=48 +CSET passband_max=0.5 +CSET passband_min=0.0 +CSET preference_for_other_storage=Automatic +CSET quantization=Integer_Coefficients +CSET rate_change_type=Integer +CSET ratespecification=Frequency_Specification +CSET registered_output=true +CSET sample_frequency=50 +CSET sampleperiod=1 +CSET sclr_deterministic=true +CSET stopband_max=1.0 +CSET stopband_min=0.5 +CSET usechan_in_adv=false +CSET zero_pack_factor=1 +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2012-12-18T05:23:34Z +# END Extra information +GENERATE +# CRC: 86e0fdc7 diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec3COEFF_auto0_0.mif b/fpga/usrp3/top/x300/coregen_dsp/hbdec3COEFF_auto0_0.mif new file mode 100644 index 000000000..35823f684 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec3COEFF_auto0_0.mif @@ -0,0 +1,17 @@ +111111111111011101 +000000000001011111 +111111111100111101 +000000000101100000 +111111110110111010 +000000001110001011 +111111101010110110 +000000011110100001 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec3COEFF_auto0_1.mif b/fpga/usrp3/top/x300/coregen_dsp/hbdec3COEFF_auto0_1.mif new file mode 100644 index 000000000..a8b11a750 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec3COEFF_auto0_1.mif @@ -0,0 +1,17 @@ +111111010101000001 +000000111011100101 +111110101101111111 +000001110001100000 +111101011111001000 +000011110010000110 +111001011000110101 +010100010011111100 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec3COEFF_auto_HALFBAND_CENTRE0.mif b/fpga/usrp3/top/x300/coregen_dsp/hbdec3COEFF_auto_HALFBAND_CENTRE0.mif new file mode 100644 index 000000000..ca072e0fc --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec3COEFF_auto_HALFBAND_CENTRE0.mif @@ -0,0 +1,3 @@ +011111111111111111 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec3_flist.txt b/fpga/usrp3/top/x300/coregen_dsp/hbdec3_flist.txt new file mode 100644 index 000000000..99e5ce3e9 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec3_flist.txt @@ -0,0 +1,18 @@ +# Output products list for +hbdec3.asy +hbdec3.gise +hbdec3.mif +hbdec3.ngc +hbdec3.v +hbdec3.veo +hbdec3.xco +hbdec3.xise +hbdec3COEFF_auto0_0.mif +hbdec3COEFF_auto0_1.mif +hbdec3COEFF_auto_HALFBAND_CENTRE0.mif +hbdec3_flist.txt +hbdec3_readme.txt +hbdec3_reload_addrfilt_decode_rom.mif +hbdec3_reload_order.txt +hbdec3_xmdf.tcl +hbdec3filt_decode_rom.mif diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec3_readme.txt b/fpga/usrp3/top/x300/coregen_dsp/hbdec3_readme.txt new file mode 100644 index 000000000..9b6db7222 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec3_readme.txt @@ -0,0 +1,63 @@ +The following files were generated for 'hbdec3' in directory +/home/matt/fpgadev/usrp3/top/b250/coregen_dsp/ + +Opens the IP Customization GUI: + Allows the user to customize or recustomize the IP instance. + + * hbdec3.mif + * hbdec3_reload_order.txt + +XCO file generator: + Generate an XCO file for compatibility with legacy flows. + + * hbdec3.xco + +Creates an implementation netlist: + Creates an implementation netlist for the IP. + + * hbdec3.ngc + * hbdec3.v + * hbdec3.veo + * hbdec3COEFF_auto0_0.mif + * hbdec3COEFF_auto0_1.mif + * hbdec3COEFF_auto_HALFBAND_CENTRE0.mif + * hbdec3_reload_addrfilt_decode_rom.mif + * hbdec3filt_decode_rom.mif + +Creates an HDL instantiation template: + Creates an HDL instantiation template for the IP. + + * hbdec3.veo + +IP Symbol Generator: + Generate an IP symbol based on the current project options'. + + * hbdec3.asy + * hbdec3.mif + * hbdec3_reload_order.txt + +Generate ISE metadata: + Create a metadata file for use when including this core in ISE designs + + * hbdec3_xmdf.tcl + +Generate ISE subproject: + Create an ISE subproject for use when including this core in ISE designs + + * hbdec3.gise + * hbdec3.xise + +Deliver Readme: + Readme file for the IP. + + * hbdec3_readme.txt + +Generate FLIST file: + Text file listing all of the output files produced when a customized core was + generated in the CORE Generator. + + * hbdec3_flist.txt + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec3_reload_addrfilt_decode_rom.mif b/fpga/usrp3/top/x300/coregen_dsp/hbdec3_reload_addrfilt_decode_rom.mif new file mode 100644 index 000000000..d0fb6f12b --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec3_reload_addrfilt_decode_rom.mif @@ -0,0 +1,17 @@ +0000 +1000 +0000 +0000 +0000 +0000 +0000 +0000 +0000 +0000 +0000 +0000 +0000 +0000 +0000 +0000 +0000 diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec3_reload_order.txt b/fpga/usrp3/top/x300/coregen_dsp/hbdec3_reload_order.txt new file mode 100644 index 000000000..cf70f3816 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec3_reload_order.txt @@ -0,0 +1,33 @@ +Reload index 0 = Index 16 +Reload index 1 = Index 18 +Reload index 2 = Index 20 +Reload index 3 = Index 22 +Reload index 4 = Index 24 +Reload index 5 = Index 26 +Reload index 6 = Index 28 +Reload index 7 = Index 30 +Reload index 8 = Index 0 +Reload index 9 = Index 2 +Reload index 10 = Index 4 +Reload index 11 = Index 6 +Reload index 12 = Index 8 +Reload index 13 = Index 10 +Reload index 14 = Index 12 +Reload index 15 = Index 14 +Reload index 16 = Index 31 +Reload index 17 = Index 0 +Reload index 18 = Index 0 +Reload index 19 = Index 0 +Reload index 20 = Index 0 +Reload index 21 = Index 0 +Reload index 22 = Index 0 +Reload index 23 = Index 0 +Reload index 24 = Index 0 +Reload index 25 = Index 0 +Reload index 26 = Index 0 +Reload index 27 = Index 0 +Reload index 28 = Index 0 +Reload index 29 = Index 0 +Reload index 30 = Index 0 +Reload index 31 = Index 0 + diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec3_xmdf.tcl b/fpga/usrp3/top/x300/coregen_dsp/hbdec3_xmdf.tcl new file mode 100644 index 000000000..dfb3fd075 --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec3_xmdf.tcl @@ -0,0 +1,95 @@ +# The package naming convention is _xmdf +package provide hbdec3_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::hbdec3_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::hbdec3_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name hbdec3 +} +# ::hbdec3_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::hbdec3_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec3.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec3.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec3.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec3.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec3.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec3.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec3COEFF_auto0_0.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec3COEFF_auto0_1.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec3COEFF_auto_HALFBAND_CENTRE0.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec3_reload_addrfilt_decode_rom.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec3_reload_order.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type text +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec3_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec3filt_decode_rom.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module hbdec3 +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec3filt_decode_rom.mif b/fpga/usrp3/top/x300/coregen_dsp/hbdec3filt_decode_rom.mif new file mode 100644 index 000000000..d0fb6f12b --- /dev/null +++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec3filt_decode_rom.mif @@ -0,0 +1,17 @@ +0000 +1000 +0000 +0000 +0000 +0000 +0000 +0000 +0000 +0000 +0000 +0000 +0000 +0000 +0000 +0000 +0000 diff --git a/fpga/usrp3/top/x300/dev_config.json b/fpga/usrp3/top/x300/dev_config.json new file mode 100644 index 000000000..eb6ce9dc0 --- /dev/null +++ b/fpga/usrp3/top/x300/dev_config.json @@ -0,0 +1,3 @@ +{ + "warning": { "ignore": ["."]} +} diff --git a/fpga/usrp3/top/x300/gen_ddrlvds.v b/fpga/usrp3/top/x300/gen_ddrlvds.v new file mode 100644 index 000000000..5773b296c --- /dev/null +++ b/fpga/usrp3/top/x300/gen_ddrlvds.v @@ -0,0 +1,106 @@ + + +module gen_ddrlvds + ( + // 1X Radio Clock + input tx_clk_1x, + // 2X Radio clock + input tx_clk_2x, + // Clk to drive DCI ODDR. This is a phase shifted version of + // tx_clk_2x. The phase shift is to center the DCI edge in the + // valid window of the data in the DAC. + input tx_dci_clk, + // Reset signal synchronous to radio clock + input reset, + // Source synchronous differential clocks to DAC + output tx_clk_2x_p, + output tx_clk_2x_n, + // Differential frame sync to DAC + output tx_frame_p, + output tx_frame_n, + // Differential byte wide data to DAC. + // Alternates I[15:8],I[7:0],Q[15:8],Q[7:0] + output [7:0] tx_d_p, + output [7:0] tx_d_n, + // Input data + input [15:0] i, + input [15:0] q, + // Rising edge sampled on sync_dacs triggers frame sync sequence + input sync_dacs + ); + + reg [15:0] i_reg, q_reg; + reg [15:0] i_2x, q_2x; + reg rising_edge; + wire [15:0] i_and_q_2x; + reg sync_2x; + + genvar z; + wire [7:0] tx_int; + wire tx_clk_2x_int; + wire tx_frame_int; + + // Keep constraint to ensure these signals are not resource shared which can cause timing failures + (* keep = "true" *) reg phase, phase_2x, sync_dacs_reg; + + wire phase_eq_phase2x = (phase == phase_2x); + + always @(posedge tx_clk_1x) + if (reset) + phase <= 1'b0; + else + phase <= ~phase; + + + // + // Pipeline input data so that 1x to 2x clock domain jump includes no logic external to this module. + // + always @(posedge tx_clk_1x) + begin + i_reg <= i; + q_reg <= q; + sync_dacs_reg <= sync_dacs; + end + + always @(posedge tx_clk_2x) + begin + // Move 1x data to 2x domain, mostly just to add pipeline regs + // for timing closure. + i_2x <= i_reg; + q_2x <= q_reg; + // Sample phase to determine when 1x clock edges occur. + // To sync multiple AD9146 DAC's an extended assertion of FRAME is required, + // when sync flag set, squash one rising_edge assertion which causes a 3 word assertion of FRAME, + // also reset sync flag. "sync_dacs" comes from 1x clk and pulse lasts 2 2x clock cycles...this is accounted for. + sync_2x <= (phase_eq_phase2x && sync_2x) ? 1'b0 /*RESET */ : (sync_dacs_reg) ? 1'b1 /* SET */ : sync_2x /* HOLD */; + rising_edge <= (phase_eq_phase2x && ~sync_2x); + phase_2x <= phase; + end + + // Interleave I and Q as SDR signals + assign i_and_q_2x = rising_edge ? q_2x : i_2x; + + generate + for(z = 0; z < 8; z = z + 1) + begin : gen_pins + OBUFDS obufds (.I(tx_int[z]), .O(tx_d_p[z]), .OB(tx_d_n[z])); + ODDR #(.DDR_CLK_EDGE("SAME_EDGE")) oddr + (.Q(tx_int[z]), .C(tx_clk_2x), + .CE(1'b1), .D1(i_and_q_2x[z+8]), .D2(i_and_q_2x[z]), .S(1'b0), .R(1'b0)); + end + endgenerate + + // Generate framing signal to identify I and Q + OBUFDS obufds_frame (.I(tx_frame_int), .O(tx_frame_p), .OB(tx_frame_n)); + ODDR #(.DDR_CLK_EDGE("SAME_EDGE")) oddr_frame + (.Q(tx_frame_int), .C(tx_clk_2x), + .CE(1'b1), .D1(~rising_edge), .D2(~rising_edge), .S(1'b0), .R(1'b0)); + + // Source synchronous clk + OBUFDS obufds_clk (.I(tx_clk_2x_int), .O(tx_clk_2x_p), .OB(tx_clk_2x_n)); + ODDR #(.DDR_CLK_EDGE("SAME_EDGE")) oddr_clk + (.Q(tx_clk_2x_int), .C(tx_dci_clk), + .CE(1'b1), .D1(1'b1), .D2(1'b0), .S(1'b0), .R(1'b0)); + +endmodule // gen_ddrlvds + diff --git a/fpga/usrp3/top/x300/ip/Makefile.inc b/fpga/usrp3/top/x300/ip/Makefile.inc new file mode 100644 index 000000000..2b53823e4 --- /dev/null +++ b/fpga/usrp3/top/x300/ip/Makefile.inc @@ -0,0 +1,81 @@ +# +# Copyright 2014 Ettus Research +# + +include $(IP_DIR)/axi4_dualport_sram/Makefile.inc +include $(IP_DIR)/axi64_4k_2clk_fifo/Makefile.inc +include $(IP_DIR)/axi64_8k_2clk_fifo/Makefile.inc +include $(IP_DIR)/axi_intercon_2x64_128_bd/Makefile.inc +include $(IP_DIR)/bootram/Makefile.inc +include $(IP_DIR)/bus_clk_gen/Makefile.inc +include $(IP_DIR)/ddr3_32bit/Makefile.inc +include $(IP_DIR)/fifo_4k_2clk/Makefile.inc +include $(IP_DIR)/fifo_short_2clk/Makefile.inc +include $(IP_DIR)/input_sample_fifo/Makefile.inc +include $(IP_DIR)/one_gig_eth_pcs_pma/Makefile.inc +include $(IP_DIR)/pcie_clk_gen/Makefile.inc +include $(IP_DIR)/radio_clk_gen/Makefile.inc +include $(IP_DIR)/ten_gig_eth_pcs_pma/Makefile.inc +include $(IP_DIR)/aurora_64b66b_pcs_pma/Makefile.inc + +IP_XCI_SRCS = \ +$(IP_AXI64_4K_2CLK_FIFO_SRCS) \ +$(IP_AXI64_8K_2CLK_FIFO_SRCS) \ +$(IP_BOOTRAM_SRCS) \ +$(IP_BUS_CLK_GEN_SRCS) \ +$(IP_FIFO_4K_2CLK_SRCS) \ +$(IP_FIFO_SHORT_2CLK_SRCS) \ +$(IP_PCIE_CLK_GEN_SRCS) \ +$(IP_RADIO_CLK_GEN_SRCS) +# $(IP_AXI4_BRAM_SRCS) \ + +BD_SRCS = \ +$(IP_AXI_INTERCON_2X64_128_BD_SRCS) + +IP_ONE_GIGE_PHY_XCI_SRCS = \ +$(IP_ONE_GIG_ETH_PCS_PMA_SRCS) \ + +IP_TEN_GIGE_PHY_XCI_SRCS = \ +$(IP_TEN_GIG_ETH_PCS_PMA_SRCS) + +IP_AURORA_XCI_SRCS = \ +$(IP_AURORA_64B66B_PCS_PMA_SRCS) + +IP_DRAM_XCI_SRCS = \ +$(IP_DDR3_32BIT_SRCS) + +# Currently unused +# $(IP_INPUT_SAMPLE_FIFO_SRCS) \ +# $(IP_AXI_INTERCON_4X64_128_SRCS) \ +# $(IP_AXI_INTERCON_2X64_128_SRCS) \ + +IP_CODEGEN_SRCS = \ +$(ONE_GIGE_PHY_SRCS) \ +$(TEN_GIGE_PHY_SRCS) + +IP_SYNTH_OUTPUTS = \ +$(IP_AXI64_4K_2CLK_FIFO_OUTS) \ +$(IP_AXI64_8K_2CLK_FIFO_OUTS) \ +$(IP_BOOTRAM_OUTS) \ +$(IP_BUS_CLK_GEN_OUTS) \ +$(IP_FIFO_4K_2CLK_OUTS) \ +$(IP_FIFO_SHORT_2CLK_OUTS) \ +$(IP_ONE_GIG_ETH_PCS_PMA_OUTS) \ +$(IP_PCIE_CLK_GEN_OUTS) \ +$(IP_RADIO_CLK_GEN_OUTS) \ +$(IP_TEN_GIG_ETH_PCS_PMA_OUTS) \ +$(IP_AURORA_64B66B_PCS_PMA_OUTS) +# $(IP_AXI4_BRAM_OUTS) \ + +BD_OUTPUTS = \ +$(IP_AXI_INTERCON_2X64_128_BD_OUTS) + +# Currently unused +# $(IP_INPUT_SAMPLE_FIFO_OUTS) \ +# $(IP_AXI_INTERCON_4X64_128_OUTS) \ +# $(IP_AXI_INTERCON_2X64_128_OUTS) \ + +ip: $(IP_SYNTH_OUTPUTS) $(IP_CODEGEN_SRCS) $(BD_OUTPUTS) + +.PHONY: ip + diff --git a/fpga/usrp3/top/x300/ip/aurora_64b66b_pcs_pma/Makefile.inc b/fpga/usrp3/top/x300/ip/aurora_64b66b_pcs_pma/Makefile.inc new file mode 100644 index 000000000..49e58dbc0 --- /dev/null +++ b/fpga/usrp3/top/x300/ip/aurora_64b66b_pcs_pma/Makefile.inc @@ -0,0 +1,34 @@ +# +# Copyright 2016 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_AURORA_64B66B_PCS_PMA_EXAMPLE_SRCS = $(addprefix $(IP_BUILD_DIR)/aurora_64b66b_pcs_pma_ex/, \ +aurora_64b66b_pcs_pma_ex.srcs/shared_logic/aurora_64b66b_pcs_pma_clock_module.v \ +aurora_64b66b_pcs_pma_ex.srcs/shared_logic/aurora_64b66b_pcs_pma_gt_common_wrapper.v \ +aurora_64b66b_pcs_pma_ex.srcs/shared_logic/aurora_64b66b_pcs_pma_support_reset_logic.v \ +aurora_64b66b_pcs_pma_ex.srcs/shared_logic/aurora_64b66b_pcs_pma_support.v \ +imports/aurora_64b66b_pcs_pma_cdc_sync_exdes.v \ +imports/aurora_64b66b_pcs_pma_example_axi_to_ll.v \ +imports/aurora_64b66b_pcs_pma_example_ll_to_axi.v \ +imports/aurora_64b66b_pcs_pma_exdes.v \ +imports/aurora_64b66b_pcs_pma_exdes.xdc \ +imports/aurora_64b66b_pcs_pma_frame_check.v \ +imports/aurora_64b66b_pcs_pma_frame_gen.v \ +) + +AURORA_PHY_SRCS = \ +$(IP_DIR)/aurora_64b66b_pcs_pma/aurora_phy_x1.v \ +$(IP_DIR)/aurora_64b66b_pcs_pma/aurora_phy_clk_gen.v \ +$(IP_DIR)/aurora_64b66b_pcs_pma/aurora_axis_mac.v \ +$(IP_AURORA_64B66B_PCS_PMA_EXAMPLE_SRCS) + +IP_AURORA_64B66B_PCS_PMA_SRCS = $(IP_BUILD_DIR)/aurora_64b66b_pcs_pma/aurora_64b66b_pcs_pma.xci + +IP_AURORA_64B66B_PCS_PMA_OUTS = $(addprefix $(IP_BUILD_DIR)/aurora_64b66b_pcs_pma/, \ +aurora_64b66b_pcs_pma.xci.out \ +) + +$(IP_AURORA_64B66B_PCS_PMA_SRCS) $(IP_AURORA_64B66B_PCS_PMA_OUTS) $(IP_AURORA_64B66B_PCS_PMA_EXAMPLE_SRCS): $(IP_DIR)/aurora_64b66b_pcs_pma/aurora_64b66b_pcs_pma.xci + $(call BUILD_VIVADO_IP,aurora_64b66b_pcs_pma,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),1) diff --git a/fpga/usrp3/top/x300/ip/aurora_64b66b_pcs_pma/aurora_64b66b_pcs_pma.xci b/fpga/usrp3/top/x300/ip/aurora_64b66b_pcs_pma/aurora_64b66b_pcs_pma.xci new file mode 100644 index 000000000..0bfdcb8b0 --- /dev/null +++ b/fpga/usrp3/top/x300/ip/aurora_64b66b_pcs_pma/aurora_64b66b_pcs_pma.xci @@ -0,0 +1,1780 @@ + + + xilinx.com + xci + unknown + 1.0 + + + aurora_64b66b_pcs_pma + + + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + false + 100000000 + false + 100000000 + false + 100000000 + false + 100000000 + false + 100000000 + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 0 + 0.000 + + + + 0 + 0.000 + + + + 0 + 0.000 + + + + 0 + 0.000 + + + + 0 + 0.000 + 0 + 0 + + + + 100000000 + 0 + 0.000 + + 100000000 + 0 + 0.000 + + + 0 + 0.000 + false + 100000000 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + 0 + 0 + 0 + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + 0 + 0 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + 0 + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + undef + + + + 100000000 + 0 + 0.000 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0.000 + 156.25 + undef + + 100000000 + 0 + 0.000 + + 0 + 0.000 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 8 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 1 + 0 + 0 + undef + 0.000 + 8 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + false + BL7 + BL8 + none + BL7 + BL8 + none + BL7 + BL8 + none + BL7 + BL8 + none + BL7 + BL8 + none + X0Y0 + X0Y0 + 1 + QPLL + none + false + false + none + 8 + 1 + left + 1 + 4 + 1 + false + GTXQ0 + None + None + None + None + 1 + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + gtx + false + 78.125 + 4 + 10312.5 + false + IMM + 1 + 0 + 0 + 0 + 0 + true + 66 + 1 + 156250.0 + 2 + 1 + false + TX + true + 1 + right + false + true + false + axi4_stream + false + xc7k410t + ffg900 + -2 + 156.250 + X0Y0 + aurora_64b66b_pcs_pma + NONE + Duplex + 7 + 78.125 + AXI4_LITE + None + 1 + 20 + Streaming + true + false + None + false + AC + AUTO + 0 + PROGRAMMABLE + 800 + false + false + X0Y0 + 1 + left + false + false + false + GTXQ0 + None + None + None + None + 1 + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + X + gtx + 78.125 + 10.3125 + LCPLL + none + none + none + none + 156.250 + 156.250 + 156.250 + none + X0Y0 + X0Y0 + right + false + true + false + 1 + aurora_64b66b_pcs_pma + 78.125 + 20 + AC + AUTO + 0 + PROGRAMMABLE + 800 + false + false + 0 + false + false + Duplex + AXI4_LITE + None + Streaming + kintex7 + + + xc7k410t + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 0 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/x300/ip/aurora_64b66b_pcs_pma/aurora_axis_mac.v b/fpga/usrp3/top/x300/ip/aurora_64b66b_pcs_pma/aurora_axis_mac.v new file mode 100644 index 000000000..1ee89c030 --- /dev/null +++ b/fpga/usrp3/top/x300/ip/aurora_64b66b_pcs_pma/aurora_axis_mac.v @@ -0,0 +1,329 @@ +// +// Copyright 2016 Ettus Research LLC +// + +module aurora_axis_mac #( + parameter PHY_ENDIANNESS = "LITTLE", //{"LITTLE, "BIG"} + parameter PACKET_MODE = 0, + parameter MAX_PACKET_SIZE = 512, + parameter BIST_ENABLED = 1 +) ( + // Clocks and resets + input phy_clk, + input phy_rst, + input sys_clk, + input sys_rst, + input clear, + // PHY TX Interface (Synchronous to phy_clk) + output [63:0] phy_m_axis_tdata, + output phy_m_axis_tvalid, + input phy_m_axis_tready, + // PHY RX Interface (Synchronous to phy_clk) + input [63:0] phy_s_axis_tdata, + input phy_s_axis_tvalid, + // User TX Interface (Synchronous to sys_clk) + input [63:0] s_axis_tdata, + input s_axis_tlast, + input s_axis_tvalid, + output s_axis_tready, + // User RX Interface (Synchronous to sys_clk) + output [63:0] m_axis_tdata, + output m_axis_tlast, + output m_axis_tvalid, + input m_axis_tready, + // PHY Status Inputs (Synchronous to phy_clk) + input channel_up, + input hard_err, + input soft_err, + // Status and Error Outputs (Synchronous to sys_clk) + output [31:0] overruns, + output [31:0] soft_errors, + output reg [31:0] checksum_errors, + output critical_err, + // BIST Interface (Synchronous to sys_clk) + input bist_gen_en, + input [5:0] bist_gen_rate, + input bist_checker_en, + input bist_loopback_en, + output reg bist_checker_locked, + output reg [47:0] bist_checker_samps, + output reg [47:0] bist_checker_errors +); + + // ---------------------------------------------- + // Resets, Clears, Clock crossings + // ---------------------------------------------- + + wire phy_s_axis_tready; // Internal only. The PHY has no backpressure signal. + + // Stay idle if the PHY is not up or if it experiences a fatal error + wire clear_sysclk, clear_phyclk; + synchronizer #(.INITIAL_VAL(1'b1)) clear_sync_phyclk_i ( + .clk(phy_clk), .rst(1'b0 /* no reset */), .in((~channel_up) | hard_err | clear), .out(clear_phyclk)); + synchronizer #(.INITIAL_VAL(1'b1)) clear_sync_sysclk_i ( + .clk(sys_clk), .rst(1'b0 /* no reset */), .in(clear_phyclk), .out(clear_sysclk)); + + // ---------------------------------------------- + // Counters + // ---------------------------------------------- + + reg [31:0] overruns_reg; + reg [31:0] soft_errors_reg; + + // Counter for recoverable errors. For reporting only. + always @(posedge phy_clk) + if (phy_rst | clear_phyclk) + soft_errors_reg <= 32'd0; + else if (soft_err) + soft_errors_reg <= soft_errors_reg + 32'd1; + + // Tag an overrun if the FIFO is full. Samples will get dropped + always @(posedge phy_clk) + if (phy_rst | clear_phyclk) + overruns_reg <= 32'd0; + else if (phy_s_axis_tvalid & ~phy_s_axis_tready) + overruns_reg <= overruns_reg + 32'd1; + + wire [7:0] dummy0; + fifo_short_2clk status_counters_2clk_i ( + .rst(phy_rst), + .wr_clk(phy_clk), .din({8'h00, soft_errors_reg, overruns_reg}), .wr_en(1'b1), .full(), .wr_data_count(), + .rd_clk(sys_clk), .dout({dummy0, soft_errors, overruns}), .rd_en(1'b1), .empty(), .rd_data_count() + ); + + // ---------------------------------------------- + // BIST Wires + // ---------------------------------------------- + + wire [63:0] bist_o_tdata; + wire bist_o_tvalid, bist_o_tready; + wire [63:0] bist_i_tdata; + wire bist_i_tvalid, bist_i_tready; + wire [63:0] loopback_tdata; + wire loopback_tvalid, loopback_tready; + reg bist_gen_en_reg = 1'b0, bist_checker_en_reg = 1'b0, bist_loopback_en_reg = 1'b0; + reg [5:0] bist_gen_rate_reg = 'd0; + + generate if (BIST_ENABLED == 1) begin + // Pipeline control signals + always @(posedge sys_clk) begin + if (sys_rst | clear_sysclk) begin + bist_gen_en_reg <= 1'b0; + bist_checker_en_reg <= 1'b0; + bist_loopback_en_reg <= 1'b0; + bist_gen_rate_reg <= 'd0; + end else begin + bist_gen_en_reg <= bist_gen_en; + bist_checker_en_reg <= bist_checker_en; + bist_loopback_en_reg <= bist_loopback_en; + bist_gen_rate_reg <= bist_gen_rate; + end + end + end endgenerate + // ---------------------------------------------- + // RX Data Path + // ---------------------------------------------- + + wire [63:0] i_raw_tdata; + wire i_raw_tvalid, i_raw_tready; + + wire [63:0] i_pip_tdata; + wire i_pip_tvalid, i_pip_tready; + + wire [63:0] i_pkt_tdata; + wire i_pkt_tlast, i_pkt_tvalid, i_pkt_tready; + + wire [63:0] i_gt_tdata; + wire i_gt_tlast, i_gt_tvalid, i_gt_tready; + + wire checksum_err; + + wire [63:0] phy_s_axis_tdata_endian, phy_m_axis_tdata_endian; + + generate if (PHY_ENDIANNESS == "BIG") begin + assign phy_s_axis_tdata_endian = { + phy_s_axis_tdata[7:0], phy_s_axis_tdata[15:8], phy_s_axis_tdata[23:16], phy_s_axis_tdata[31:24], + phy_s_axis_tdata[39:32], phy_s_axis_tdata[47:40], phy_s_axis_tdata[55:48], phy_s_axis_tdata[63:56] + }; + assign phy_m_axis_tdata = { + phy_m_axis_tdata_endian[7:0], phy_m_axis_tdata_endian[15:8], phy_m_axis_tdata_endian[23:16], phy_m_axis_tdata_endian[31:24], + phy_m_axis_tdata_endian[39:32], phy_m_axis_tdata_endian[47:40], phy_m_axis_tdata_endian[55:48], phy_m_axis_tdata_endian[63:56] + }; + end else begin + assign phy_s_axis_tdata_endian = phy_s_axis_tdata; + assign phy_m_axis_tdata = phy_m_axis_tdata_endian; + end endgenerate + + // Large FIFO must be able to run input side at 64b@156MHz to sustain 10Gb Rx. + axi64_4k_2clk_fifo ingress_fifo_i ( + .s_aresetn(~phy_rst), .s_aclk(phy_clk), + .s_axis_tdata(phy_s_axis_tdata_endian), .s_axis_tlast(phy_s_axis_tvalid), .s_axis_tuser(4'h0), + .s_axis_tvalid(phy_s_axis_tvalid), .s_axis_tready(phy_s_axis_tready), .axis_wr_data_count(), + .m_aclk(sys_clk), + .m_axis_tdata(i_raw_tdata), .m_axis_tlast(), .m_axis_tuser(), + .m_axis_tvalid(i_raw_tvalid), .m_axis_tready(i_raw_tready), .axis_rd_data_count() + ); + + // AXI-Flop to ease timing + axi_fifo_flop2 #(.WIDTH(64)) input_pipe_i0 ( + .clk(sys_clk), .reset(sys_rst), .clear(clear_sysclk), + .i_tdata(i_raw_tdata), .i_tvalid(i_raw_tvalid), .i_tready(i_raw_tready), + .o_tdata(i_pip_tdata), .o_tvalid(i_pip_tvalid), + .o_tready(bist_checker_en_reg ? bist_i_tready : (bist_loopback_en_reg ? loopback_tready : i_pip_tready)), + .space(), .occupied() + ); + + assign bist_i_tdata = i_pip_tdata; + assign bist_i_tvalid = i_pip_tvalid & bist_checker_en_reg; + + assign loopback_tdata = i_pip_tdata; + assign loopback_tvalid = i_pip_tvalid & bist_loopback_en_reg; + + axi_strip_preamble #(.WIDTH(64), .MAX_PKT_SIZE(MAX_PACKET_SIZE)) axi_strip_preamble_i ( + .clk(sys_clk), .reset(sys_rst), .clear(clear_sysclk), + .i_tdata(i_pip_tdata), .i_tvalid(i_pip_tvalid & ~bist_checker_en_reg & ~bist_loopback_en_reg), .i_tready(i_pip_tready), + .o_tdata(i_gt_tdata), .o_tlast(i_gt_tlast), .o_tvalid(i_gt_tvalid), .o_tready(i_gt_tready), + .crc_err(checksum_err), .pkt_dropped(), .crit_error(critical_err) + ); + + axi_fifo_flop2 #(.WIDTH(65)) input_pipe_i1 ( + .clk(sys_clk), .reset(sys_rst), .clear(clear_sysclk), + .i_tdata({i_gt_tlast, i_gt_tdata}), .i_tvalid(i_gt_tvalid), .i_tready(i_gt_tready), + .o_tdata({m_axis_tlast, m_axis_tdata}), .o_tvalid(m_axis_tvalid), .o_tready(m_axis_tready), + .space(), .occupied() + ); + + always @(posedge sys_clk) + if (sys_rst | clear_sysclk) + checksum_errors <= 32'd0; + else if (checksum_err) + checksum_errors <= checksum_errors + 32'd1; + + // ---------------------------------------------- + // TX Data Path + // ---------------------------------------------- + + wire [63:0] o_pkt_tdata; + wire o_pkt_tlast, o_pkt_tvalid, o_pkt_tready; + + wire [63:0] o_pip_tdata; + wire o_pip_tvalid, o_pip_tready; + + wire [63:0] o_raw_tdata; + wire o_raw_tvalid, o_raw_tready; + + // AXI-Flop to ease timing + axi_fifo_flop2 #(.WIDTH(65)) output_pipe_i0 ( + .clk(sys_clk), .reset(sys_rst), .clear(clear_sysclk), + .i_tdata({s_axis_tlast, s_axis_tdata}), .i_tvalid(s_axis_tvalid), .i_tready(s_axis_tready), + .o_tdata({o_pkt_tlast, o_pkt_tdata}), .o_tvalid(o_pkt_tvalid), .o_tready(o_pkt_tready), + .space(), .occupied() + ); + + // Insert preamble and EOP + axi_add_preamble #(.WIDTH(64)) axi_add_preamble_i ( + .clk(sys_clk), .reset(sys_rst), .clear(clear_sysclk), + .i_tdata(o_pkt_tdata), .i_tlast(o_pkt_tlast), .i_tvalid(o_pkt_tvalid), .i_tready(o_pkt_tready), + .o_tdata(o_pip_tdata), .o_tvalid(o_pip_tvalid), .o_tready(o_pip_tready & ~bist_gen_en_reg & ~bist_loopback_en_reg) + ); + + // AXI-Flop to ease timing + axi_fifo_flop2 #(.WIDTH(64)) output_pipe_i1 ( + .clk(sys_clk), .reset(sys_rst), .clear(clear_sysclk), + .i_tdata(bist_gen_en_reg ? bist_o_tdata : (bist_loopback_en_reg ? loopback_tdata : o_pip_tdata)), + .i_tvalid(bist_gen_en_reg ? bist_o_tvalid : (bist_loopback_en_reg ? loopback_tvalid : o_pip_tvalid)), + .i_tready(o_pip_tready), + .o_tdata(o_raw_tdata), .o_tvalid(o_raw_tvalid), .o_tready(o_raw_tready), + .space(), .occupied() + ); + + assign bist_o_tready = o_pip_tready; + assign loopback_tready = o_pip_tready; + + // Egress FIFO + axi64_4k_2clk_fifo egress_fifo_i ( + .s_aresetn(~phy_rst), .s_aclk(sys_clk), + .s_axis_tdata(o_raw_tdata), .s_axis_tlast(o_raw_tvalid), .s_axis_tuser(4'h0), + .s_axis_tvalid(o_raw_tvalid), .s_axis_tready(o_raw_tready), .axis_wr_data_count(), + .m_aclk(phy_clk), + .m_axis_tdata(phy_m_axis_tdata_endian), .m_axis_tlast(), .m_axis_tuser(), + .m_axis_tvalid(phy_m_axis_tvalid), .m_axis_tready(phy_m_axis_tready), .axis_rd_data_count() + ); + + // ------------------------------------------------- + // BIST: Generator and checker for a LFSR polynomial + // ------------------------------------------------- + localparam LFSR_LEN = 32; + localparam LFSR_SEED = {LFSR_LEN{1'b1}}; + + function [LFSR_LEN-1:0] compute_lfsr_next; + input [LFSR_LEN-1:0] current; + // Maximal length polynomial: x^32 + x^22 + x^2 + x^1 + 1 + compute_lfsr_next = {current[30:0], current[31]^current[21]^current[1]^current[0]}; + endfunction + + function [63:0] lfsr_to_axis; + input [LFSR_LEN-1:0] lfsr; + lfsr_to_axis = {~lfsr, lfsr}; + endfunction + + function [LFSR_LEN-1:0] axis_to_lfsr; + input [63:0] axis; + axis_to_lfsr = axis[LFSR_LEN-1:0]; + endfunction + + generate if (BIST_ENABLED == 1) begin + // Throttle outgoing LFSR to based on the specified rate + // BIST Throughput = sys_clk BW * (bist_gen_rate+1)/64 + reg [5:0] throttle_cnt; + always @(posedge sys_clk) begin + if (sys_rst | clear_sysclk) + throttle_cnt <= 6'd0; + else if (bist_gen_en_reg) + throttle_cnt <= throttle_cnt + 6'd1; + end + // NOTE: This techinically violates AXIS spec (valid revocation) + assign bist_o_tvalid = bist_gen_en_reg && (throttle_cnt <= bist_gen_rate_reg); + + // Unsynchronized LFSR generator (for BIST output) + reg [LFSR_LEN-1:0] lfsr_gen = LFSR_SEED, lfsr_check = LFSR_SEED; + always @(posedge sys_clk) begin + if (sys_rst | clear_sysclk | ~bist_gen_en_reg) + lfsr_gen <= LFSR_SEED; + else if (bist_o_tready & bist_o_tvalid) + lfsr_gen <= compute_lfsr_next(lfsr_gen); + end + assign bist_o_tdata = lfsr_to_axis(lfsr_gen); + + // Synchronized LFSR checker (for BIST input) + wire [LFSR_LEN-1:0] lfsr_next = compute_lfsr_next(lfsr_check);; + always @(posedge sys_clk) begin + if (sys_rst | clear_sysclk | ~bist_checker_en_reg) begin + bist_checker_locked <= 1'b0; + lfsr_check <= LFSR_SEED; + end else if (bist_i_tvalid && bist_i_tready) begin + lfsr_check <= axis_to_lfsr(bist_i_tdata); + if (bist_i_tdata == lfsr_to_axis(LFSR_SEED)) + bist_checker_locked <= 1'b1; + end + end + + // LFSR checker + always @(posedge sys_clk) begin + if (bist_checker_locked) begin + if (bist_i_tvalid & bist_i_tready) begin + bist_checker_samps <= bist_checker_samps + 48'd1; + if (bist_i_tdata != lfsr_to_axis(lfsr_next)) begin + bist_checker_errors <= bist_checker_errors + 48'd1; + end + end + end else begin + bist_checker_samps <= 48'd0; + bist_checker_errors <= 48'd0; + end + end + assign bist_i_tready = 1'b1; + end endgenerate + +endmodule + diff --git a/fpga/usrp3/top/x300/ip/aurora_64b66b_pcs_pma/aurora_phy_clk_gen.v b/fpga/usrp3/top/x300/ip/aurora_64b66b_pcs_pma/aurora_phy_clk_gen.v new file mode 100644 index 000000000..9e994aefe --- /dev/null +++ b/fpga/usrp3/top/x300/ip/aurora_64b66b_pcs_pma/aurora_phy_clk_gen.v @@ -0,0 +1,51 @@ +// +// Copyright 2016 Ettus Research LLC +// + +module aurora_phy_clk_gen +( + input areset, + input refclk_p, + input refclk_n, + + output refclk, + output clk156, + output init_clk +); + + wire clk156_buf; + wire init_clk_buf; + wire clkfbout; + + IBUFDS_GTE2 ibufds_inst ( + .O (refclk), + .ODIV2 (), + .CEB (1'b0), + .I (refclk_p), + .IB (refclk_n) + ); + + BUFG clk156_bufg_inst ( + .I (refclk), + .O (clk156) + ); + + // Divding independent clock by 2 as source for DRP clock + BUFR # ( + .BUFR_DIVIDE ("2") + ) dclk_divide_by_2_buf ( + .I (clk156), + .O (init_clk_buf), + .CE (1'b1), + .CLR (1'b0) + ); + + BUFG dclk_bufg_i ( + .I (init_clk_buf), + .O (init_clk) + ); + +endmodule + + + diff --git a/fpga/usrp3/top/x300/ip/aurora_64b66b_pcs_pma/aurora_phy_x1.v b/fpga/usrp3/top/x300/ip/aurora_64b66b_pcs_pma/aurora_phy_x1.v new file mode 100644 index 000000000..c3fc89749 --- /dev/null +++ b/fpga/usrp3/top/x300/ip/aurora_64b66b_pcs_pma/aurora_phy_x1.v @@ -0,0 +1,370 @@ +// +// Copyright 2016 Ettus Research LLC +// + +module aurora_phy_x1 #( + parameter SIMULATION = 0 +)( + // Clocks and Resets + input areset, + input refclk, + input init_clk, + output user_clk, + output user_rst, + // GTX Serial I/O + input rx_p, + input rx_n, + output tx_p, + output tx_n, + // AXI4-Stream TX Interface + input [63:0] s_axis_tdata, + input s_axis_tvalid, + output s_axis_tready, + // AXI4-Stream RX Interface + output [63:0] m_axis_tdata, + output m_axis_tvalid, + // AXI4-Lite Config Interface + input [31:0] s_axi_awaddr, + input [31:0] s_axi_araddr, + input [31:0] s_axi_wdata, + input [3:0] s_axi_wstrb, + input s_axi_awvalid, + input s_axi_rready, + output [31:0] s_axi_rdata, + output s_axi_awready, + output s_axi_wready, + output s_axi_bvalid, + output [1:0] s_axi_bresp, + output [1:0] s_axi_rresp, + input s_axi_bready, + output s_axi_arready, + output s_axi_rvalid, + input s_axi_arvalid, + input s_axi_wvalid, + // Status and Error Reporting Interface + output reg channel_up, + output reg hard_err, + output reg soft_err +); + + //-------------------------------------------------------------- + // Status and Error Signals + //-------------------------------------------------------------- + wire hard_err_i, soft_err_i, channel_up_i, lane_up_i; + always @(posedge user_clk) begin + hard_err <= hard_err_i; + soft_err <= soft_err_i; + channel_up <= channel_up_i && lane_up_i; + end + + //-------------------------------------------------------------- + // Reset and PMA Init Sequence + //-------------------------------------------------------------- + // Requirements from PG074: + // - It is expected that user_clock is stable when the reset_pb signal is applied. + // - During the board power-on sequence, both the pma_init and reset_pb signals are + // expected to be High. INIT_CLK and GT_REFCLK are expected to be stable during + // power-on for the proper functioning of the Aurora 64B/66B core. When both clocks are + // stable, pma_init is deasserted followed by the deassertion of reset_pb. + // - Normal Operation Reset Sequence: + // 1. Assert reset. Wait for a minimum time equal to 128*user_clk's time-period. + // 2. Assert pma_init. Keep pma_init and reset asserted for at least one second to prevent + // the transmission of CC characters and ensure that the remote agent detects a hot plug event. + // 3. Deassert pma_init. + // 4. Deassert reset_pb. + + localparam PWRON_PMA_INIT_CYC = 32'd1024; + localparam SYSRST_ASSERT_CYC = 32'd128; + localparam PMA_INIT_ASSERT_CYC_LOG2 = (SIMULATION == 1) ? 4 : 26; + localparam SYSRST_DEASSERT_CYC = 32'd20; + + wire reset_iclk, pma_init, reset_pb; + wire gt_pll_lock, gt_pll_lock_iclk, mmcm_locked, mmcm_locked_iclk; + + synchronizer #( .STAGES(3), .INITIAL_VAL(1'b1) ) input_rst_sync_i ( + .clk(init_clk), .rst(1'b0), .in(areset), .out(reset_iclk) + ); + + synchronizer #( .STAGES(3), .INITIAL_VAL(1'b0) ) gt_pll_lock_sync_i ( + .clk(init_clk), .rst(1'b0), .in(gt_pll_lock), .out(gt_pll_lock_iclk) + ); + + synchronizer #( .STAGES(3), .INITIAL_VAL(1'b0) ) mmcm_locked_sync_i ( + .clk(init_clk), .rst(1'b0), .in(mmcm_locked), .out(mmcm_locked_iclk) + ); + + localparam [2:0] RST_ST_PWRON_PMA_INIT = 3'd0; + localparam [2:0] RST_ST_PWRON_PMA_SYSRST = 3'd1; + localparam [2:0] RST_ST_IDLE = 3'd2; + localparam [2:0] RST_ST_SYSRST_PRE = 3'd3; + localparam [2:0] RST_ST_PMA_INIT = 3'd4; + localparam [2:0] RST_ST_SYSRST_POST = 3'd5; + + reg [2:0] rst_state = RST_ST_PWRON_PMA_INIT; + reg [31:0] rst_counter = PWRON_PMA_INIT_CYC; + + always @(posedge init_clk) begin + case (rst_state) + RST_ST_PWRON_PMA_INIT: begin + if (rst_counter == 32'd0) begin + rst_state <= RST_ST_PWRON_PMA_SYSRST; + rst_counter <= SYSRST_DEASSERT_CYC; + end else begin + rst_counter <= rst_counter - 32'd1; + end + end + RST_ST_PWRON_PMA_SYSRST: begin + if (rst_counter == 32'd0) begin + rst_state <= RST_ST_IDLE; + end else begin + rst_counter <= rst_counter - 32'd1; + end + end + RST_ST_IDLE: begin + if (reset_iclk) begin + rst_state <= RST_ST_SYSRST_PRE; + rst_counter <= SYSRST_ASSERT_CYC; + end + end + RST_ST_SYSRST_PRE: begin + if (rst_counter == 32'd0) begin + rst_state <= RST_ST_PMA_INIT; + rst_counter <= {{(32-PMA_INIT_ASSERT_CYC_LOG2){1'b0}}, {PMA_INIT_ASSERT_CYC_LOG2{1'b1}}}; + end else if (mmcm_locked_iclk) begin + rst_counter <= rst_counter - 32'd1; + end + end + RST_ST_PMA_INIT: begin + if (rst_counter == 32'd0) begin + rst_state <= RST_ST_SYSRST_POST; + rst_counter <= SYSRST_DEASSERT_CYC; + end else begin + rst_counter <= rst_counter - 32'd1; + end + end + RST_ST_SYSRST_POST: begin + if (rst_counter == 32'd0) begin + rst_state <= RST_ST_IDLE; + end else begin + rst_counter <= rst_counter - 32'd1; + end + end + endcase + end + + assign reset_pb = (rst_state != RST_ST_IDLE); + assign pma_init = (rst_state == RST_ST_PMA_INIT || rst_state == RST_ST_PWRON_PMA_INIT); + + //-------------------------------------------------------------- + // Clocking + //-------------------------------------------------------------- + + wire tx_out_clk, tx_out_clk_bufg; + wire sync_clk_i; + wire user_clk_i; + wire mmcm_fb_clk; + wire sync_clk; + + localparam MULT = 10; + localparam DIVIDE = 5; + localparam CLK_PERIOD = 3.103; + localparam OUT0_DIVIDE = 4; + localparam OUT1_DIVIDE = 2; + localparam OUT2_DIVIDE = 6; + localparam OUT3_DIVIDE = 8; + + MMCME2_ADV #( + .BANDWIDTH ("OPTIMIZED"), + .CLKOUT4_CASCADE ("FALSE"), + .COMPENSATION ("ZHOLD"), + .STARTUP_WAIT ("FALSE"), + .DIVCLK_DIVIDE (DIVIDE), + .CLKFBOUT_MULT_F (MULT), + .CLKFBOUT_PHASE (0.000), + .CLKFBOUT_USE_FINE_PS ("FALSE"), + .CLKOUT0_DIVIDE_F (OUT0_DIVIDE), + .CLKOUT0_PHASE (0.000), + .CLKOUT0_DUTY_CYCLE (0.500), + .CLKOUT0_USE_FINE_PS ("FALSE"), + .CLKIN1_PERIOD (CLK_PERIOD), + .CLKOUT1_DIVIDE (OUT1_DIVIDE), + .CLKOUT1_PHASE (0.000), + .CLKOUT1_DUTY_CYCLE (0.500), + .CLKOUT1_USE_FINE_PS ("FALSE"), + .CLKOUT2_DIVIDE (OUT2_DIVIDE), + .CLKOUT2_PHASE (0.000), + .CLKOUT2_DUTY_CYCLE (0.500), + .CLKOUT2_USE_FINE_PS ("FALSE"), + .CLKOUT3_DIVIDE (OUT3_DIVIDE), + .CLKOUT3_PHASE (0.000), + .CLKOUT3_DUTY_CYCLE (0.500), + .CLKOUT3_USE_FINE_PS ("FALSE"), + .REF_JITTER1 (0.010) + ) mmcm_adv_inst ( + .CLKFBOUT (mmcm_fb_clk), + .CLKFBOUTB (), + .CLKOUT0 (user_clk_i), + .CLKOUT0B (), + .CLKOUT1 (sync_clk_i), + .CLKOUT1B (), + .CLKOUT2 (), + .CLKOUT2B (), + .CLKOUT3 (), + .CLKOUT3B (), + .CLKOUT4 (), + .CLKOUT5 (), + .CLKOUT6 (), + // Input clock control + .CLKFBIN (mmcm_fb_clk), + .CLKIN1 (tx_out_clk_bufg), + .CLKIN2 (1'b0), + // Tied to always select the primary input clock + .CLKINSEL (1'b1), + // Ports for dynamic reconfiguration + .DADDR (7'h0), + .DCLK (1'b0), + .DEN (1'b0), + .DI (16'h0), + .DO (), + .DRDY (), + .DWE (1'b0), + // Ports for dynamic phase shift + .PSCLK (1'b0), + .PSEN (1'b0), + .PSINCDEC (1'b0), + .PSDONE (), + // Other control and status signals + .LOCKED (mmcm_locked), + .CLKINSTOPPED (), + .CLKFBSTOPPED (), + .PWRDWN (1'b0), + .RST (!gt_pll_lock) + ); + + // BUFG for the feedback clock. The feedback signal is phase aligned to the input + // and must come from the CLK0 or CLK2X output of the PLL. In this case, we use + // the CLK0 output. + BUFG txout_clock_net_i ( + .I(tx_out_clk), + .O(tx_out_clk_bufg) + ); + BUFG user_clk_net_i ( + .I(user_clk_i), + .O(user_clk) + ); + BUFG sync_clock_net_i ( + .I(sync_clk_i), + .O(sync_clk) + ); + + //-------------------------------------------------------------- + // GT Common + //-------------------------------------------------------------- + + wire gt_qpllclk_quad1_i; + wire gt_qpllrefclk_quad1_i; + wire gt_to_common_qpllreset_i; + wire gt_qpllrefclklost_i; + wire gt_qplllock_i; + + wire [7:0] qpll_drpaddr_in_i = 8'h0; + wire [15:0] qpll_drpdi_in_i = 16'h0; + wire qpll_drpen_in_i = 1'b0; + wire qpll_drpwe_in_i = 1'b0; + wire [15:0] qpll_drpdo_out_i; + wire qpll_drprdy_out_i; + + aurora_64b66b_pcs_pma_gt_common_wrapper gt_common_support ( + .gt_qpllclk_quad1_out (gt_qpllclk_quad1_i), + .gt_qpllrefclk_quad1_out (gt_qpllrefclk_quad1_i), + .GT0_GTREFCLK0_COMMON_IN (refclk), + //----------------------- Common Block - QPLL Ports ------------------------ + .GT0_QPLLLOCK_OUT (gt_qplllock_i), + .GT0_QPLLRESET_IN (gt_to_common_qpllreset_i), + .GT0_QPLLLOCKDETCLK_IN (init_clk), + .GT0_QPLLREFCLKLOST_OUT (gt_qpllrefclklost_i), + //---------------------- Common DRP Ports ---------------------- + .qpll_drpaddr_in (qpll_drpaddr_in_i), + .qpll_drpdi_in (qpll_drpdi_in_i), + .qpll_drpclk_in (init_clk), + .qpll_drpdo_out (qpll_drpdo_out_i), + .qpll_drprdy_out (qpll_drprdy_out_i), + .qpll_drpen_in (qpll_drpen_in_i), + .qpll_drpwe_in (qpll_drpwe_in_i) + ); + + //-------------------------------------------------------------- + // IP Instantiation + //-------------------------------------------------------------- + + wire gt_rxcdrovrden_i = 1'b0; + wire [2:0] loopback_i = 3'b000; + wire power_down_i = 1'b0; + + aurora_64b66b_pcs_pma aurora_64b66b_pcs_pma_i ( + .refclk1_in (refclk), + // TX AXI4-S Interface + .s_axi_tx_tdata (s_axis_tdata), + .s_axi_tx_tvalid (s_axis_tvalid), + .s_axi_tx_tready (s_axis_tready), + // RX AXI4-S Interface + .m_axi_rx_tdata (m_axis_tdata), + .m_axi_rx_tvalid (m_axis_tvalid), + // GTX Serial I/O + .rxp (rx_p), + .rxn (rx_n), + .txp (tx_p), + .txn (tx_n), + // Status and Error + .hard_err (hard_err_i), + .soft_err (soft_err_i), + .channel_up (channel_up_i), + .lane_up (lane_up_i), + // System Interface + .mmcm_not_locked (!mmcm_locked), + .user_clk (user_clk), + .sync_clk (sync_clk), + .reset_pb (reset_pb), + .gt_rxcdrovrden_in (gt_rxcdrovrden_i), + .power_down (power_down_i), + .loopback (loopback_i), + .pma_init (pma_init), + .gt_pll_lock (gt_pll_lock), + .drp_clk_in (init_clk), + .gt_qpllclk_quad1_in (gt_qpllclk_quad1_i), + .gt_qpllrefclk_quad1_in (gt_qpllrefclk_quad1_i), + .gt_to_common_qpllreset_out(gt_to_common_qpllreset_i), + .gt_qplllock_in (gt_qplllock_i), + .gt_qpllrefclklost_in (gt_qpllrefclklost_i), + // AXI4-Lite config + .s_axi_awaddr (s_axi_awaddr), + .s_axi_awvalid (s_axi_awvalid), + .s_axi_awready (s_axi_awready), + .s_axi_wdata (s_axi_wdata), + .s_axi_wstrb (s_axi_wstrb), + .s_axi_wvalid (s_axi_wvalid), + .s_axi_wready (s_axi_wready), + .s_axi_bvalid (s_axi_bvalid), + .s_axi_bresp (s_axi_bresp), + .s_axi_bready (s_axi_bready), + .s_axi_araddr (s_axi_araddr), + .s_axi_arvalid (s_axi_arvalid), + .s_axi_arready (s_axi_arready), + .s_axi_rdata (s_axi_rdata), + .s_axi_rvalid (s_axi_rvalid), + .s_axi_rresp (s_axi_rresp), + .s_axi_rready (s_axi_rready), + // GTXE2 COMMON DRP Ports + .qpll_drpaddr_in (qpll_drpaddr_in_i), + .qpll_drpdi_in (qpll_drpdi_in_i), + .qpll_drpdo_out (), + .qpll_drprdy_out (), + .qpll_drpen_in (qpll_drpen_in_i), + .qpll_drpwe_in (qpll_drpwe_in_i), + .init_clk (init_clk), + .link_reset_out (), + .sys_reset_out (user_rst), + .tx_out_clk (tx_out_clk) + ); + + endmodule diff --git a/fpga/usrp3/top/x300/ip/axi4_dualport_sram/Makefile.inc b/fpga/usrp3/top/x300/ip/axi4_dualport_sram/Makefile.inc new file mode 100644 index 000000000..8fe97ec5a --- /dev/null +++ b/fpga/usrp3/top/x300/ip/axi4_dualport_sram/Makefile.inc @@ -0,0 +1,15 @@ +# +# Copyright 2014 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_AXI4_BRAM_SRCS = $(IP_BUILD_DIR)/axi4_dualport_sram/axi4_dualport_sram.xci + +IP_AXI4_BRAM_OUTS = $(addprefix $(IP_BUILD_DIR)/axi4_dualport_sram/, \ +axi4_dualport_sram.xci.out \ +synth/axi4_dualport_sram.vhd \ +) + +$(IP_AXI4_BRAM_SRCS) $(IP_AXI4_BRAM_OUTS) : $(IP_DIR)/axi4_dualport_sram/axi4_dualport_sram.xci + $(call BUILD_VIVADO_IP,axi4_dualport_sram,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) diff --git a/fpga/usrp3/top/x300/ip/axi4_dualport_sram/axi4_dualport_sram.xci b/fpga/usrp3/top/x300/ip/axi4_dualport_sram/axi4_dualport_sram.xci new file mode 100644 index 000000000..f06d744aa --- /dev/null +++ b/fpga/usrp3/top/x300/ip/axi4_dualport_sram/axi4_dualport_sram.xci @@ -0,0 +1,314 @@ + + + xilinx.com + xci + unknown + 1.0 + + + axi4_dualport_sram + + + 4096 + 32 + 0 + 0 + 0 + + 64 + 100000000 + 1 + 1 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 0 + 256 + 2 + 1 + 2 + 1 + 0.000 + AXI4 + READ_WRITE + 0 + 0 + 1 + 0 + 0 + 32 + 0 + 0 + 0 + + 64 + 100000000 + 1 + 1 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 0 + 256 + 2 + 1 + 2 + 1 + 0.000 + AXI4 + READ_WRITE + 0 + 0 + 1 + 0 + 0 + OTHER + NONE + 8192 + 32 + 1 + + OTHER + NONE + 8192 + 32 + 1 + + + 100000000 + 0 + 0.000 + 0 + 18 + 18 + 1 + 1 + 0 + 1 + 8 + 1 + 0 + 512 + NONE + 0 + 0 + 0 + ./ + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + Estimated Power for IP : 42.036212 mW + kintex7 + 1 + 1 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + axi4_dualport_sram.mem + no_coe_file_loaded + 1 + 0 + 1 + 0 + 1 + 262144 + 262144 + 1 + 1 + 64 + 64 + 0 + 0 + CE + CE + ALL + 0 + 1 + 1 + 0 + 0 + 0 + 0 + 8 + 8 + 262144 + 262144 + READ_FIRST + READ_FIRST + 64 + 64 + kintex7 + 1 + Memory_Slave + AXI4_Full + false + Minimum_Area + true + 8 + NONE + no_coe_file_loaded + ALL + axi4_dualport_sram + false + false + false + false + false + false + false + false + false + Use_ENA_Pin + Use_ENB_Pin + Single_Bit_Error_Injection + false + AXI4 + false + no_Mem_file_loaded + Simple_Dual_Port_RAM + READ_FIRST + READ_FIRST + 0 + 0 + BRAM + 0 + 100 + 100 + 50 + 100 + 100 + 0 + 8kx2 + false + false + 1 + 1 + 64 + 64 + false + false + false + false + 0 + false + false + CE + CE + ASYNC + true + true + false + false + false + false + true + 262144 + 64 + 64 + No_ECC + false + false + false + Stand_Alone + kintex7 + + + xc7k410t + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 3 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/x300/ip/axi64_4k_2clk_fifo/Makefile.inc b/fpga/usrp3/top/x300/ip/axi64_4k_2clk_fifo/Makefile.inc new file mode 100644 index 000000000..75bdf99c3 --- /dev/null +++ b/fpga/usrp3/top/x300/ip/axi64_4k_2clk_fifo/Makefile.inc @@ -0,0 +1,15 @@ +# +# Copyright 2014 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_AXI64_4K_2CLK_FIFO_SRCS = $(IP_BUILD_DIR)/axi64_4k_2clk_fifo/axi64_4k_2clk_fifo.xci + +IP_AXI64_4K_2CLK_FIFO_OUTS = $(addprefix $(IP_BUILD_DIR)/axi64_4k_2clk_fifo/, \ +axi64_4k_2clk_fifo.xci.out \ +synth/axi64_4k_2clk_fifo.vhd \ +) + +$(IP_AXI64_4K_2CLK_FIFO_SRCS) $(IP_AXI64_4K_2CLK_FIFO_OUTS) : $(IP_DIR)/axi64_4k_2clk_fifo/axi64_4k_2clk_fifo.xci + $(call BUILD_VIVADO_IP,axi64_4k_2clk_fifo,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) diff --git a/fpga/usrp3/top/x300/ip/axi64_4k_2clk_fifo/axi64_4k_2clk_fifo.xci b/fpga/usrp3/top/x300/ip/axi64_4k_2clk_fifo/axi64_4k_2clk_fifo.xci new file mode 100644 index 000000000..3747f059f --- /dev/null +++ b/fpga/usrp3/top/x300/ip/axi64_4k_2clk_fifo/axi64_4k_2clk_fifo.xci @@ -0,0 +1,584 @@ + + + xilinx.com + xci + unknown + 1.0 + + + axi64_4k_2clk_fifo + + + + + + 100000000 + 0 + 0.000 + + + 100000000 + 0 + 0.000 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 1 + 1 + 0 + 0 + undef + 0.000 + 8 + 0 + 0 + 4 + + + + 100000000 + 0 + 0.000 + + 100000000 + 0 + 0.000 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 1 + 1 + 0 + 0 + undef + 0.000 + 8 + 0 + 0 + 4 + + + + 100000000 + 0 + 0.000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 64 + 1 + 1 + 8 + 8 + 4 + 0 + 32 + 1 + 1 + 1 + 64 + 1 + 8 + 1 + 1 + 1 + 1 + 0 + 0 + 10 + BlankString + 18 + 69 + 32 + 64 + 32 + 64 + 2 + 0 + 18 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + kintex7 + 1 + 0 + 0 + 1 + 0 + 0 + 0 + 1 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 11 + 12 + 11 + 12 + 11 + 12 + 0 + 1 + 1 + BlankString + 1 + 0 + 0 + 0 + 1 + 0 + 4kx4 + 512x72 + 512x36 + 1kx36 + 512x36 + 1kx36 + 512x36 + 2 + 509 + 13 + 1021 + 13 + 1021 + 13 + 3 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1022 + 511 + 15 + 1023 + 15 + 1023 + 15 + 1021 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 10 + 1024 + 1 + 10 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 2 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 10 + 1024 + 512 + 16 + 1024 + 16 + 1024 + 16 + 1 + 10 + 9 + 4 + 10 + 4 + 10 + 4 + 1 + 32 + 0 + 0 + false + false + false + 0 + 0 + Slave_Interface_Clock_Enable + Independent_Clock + axi64_4k_2clk_fifo + 64 + false + 10 + false + false + 0 + 2 + 509 + 13 + 1021 + 13 + 1021 + 13 + 3 + false + false + true + false + false + false + false + false + false + Hard_ECC + false + false + false + false + false + false + true + false + true + true + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Independent_Clocks_Block_RAM + Independent_Clocks_Distributed_RAM + Independent_Clocks_Block_RAM + Independent_Clocks_Distributed_RAM + Independent_Clocks_Block_RAM + Independent_Clocks_Distributed_RAM + Common_Clock_Block_RAM + 1 + 1022 + 511 + 15 + 1023 + 15 + 1023 + 15 + 1021 + false + false + false + 0 + AXI_STREAM + false + false + false + false + false + false + false + false + false + false + false + false + false + false + 18 + 1024 + 512 + 16 + 1024 + 16 + 1024 + 16 + false + 18 + 1024 + Embedded_Reg + false + false + Active_High + Active_High + AXI4 + Standard_FIFO + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + READ_WRITE + 0 + 1 + false + 10 + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + true + Asynchronous_Reset + false + 8 + 0 + 0 + 8 + 8 + 4 + false + false + Active_High + Active_High + true + false + false + false + false + Active_High + 0 + false + Active_High + 1 + false + 10 + false + FIFO + false + false + false + false + FIFO + FIFO + 2 + 2 + false + FIFO + FIFO + FIFO + kintex7 + + + xc7k410t + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 4 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/x300/ip/axi64_8k_2clk_fifo/Makefile.inc b/fpga/usrp3/top/x300/ip/axi64_8k_2clk_fifo/Makefile.inc new file mode 100644 index 000000000..647ca003b --- /dev/null +++ b/fpga/usrp3/top/x300/ip/axi64_8k_2clk_fifo/Makefile.inc @@ -0,0 +1,15 @@ +# +# Copyright 2014 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_AXI64_8K_2CLK_FIFO_SRCS = $(IP_BUILD_DIR)/axi64_8k_2clk_fifo/axi64_8k_2clk_fifo.xci + +IP_AXI64_8K_2CLK_FIFO_OUTS = $(addprefix $(IP_BUILD_DIR)/axi64_8k_2clk_fifo/, \ +axi64_8k_2clk_fifo.xci.out \ +synth/axi64_8k_2clk_fifo.vhd \ +) + +$(IP_AXI64_8K_2CLK_FIFO_SRCS) $(IP_AXI64_8K_2CLK_FIFO_OUTS) : $(IP_DIR)/axi64_8k_2clk_fifo/axi64_8k_2clk_fifo.xci + $(call BUILD_VIVADO_IP,axi64_8k_2clk_fifo,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) diff --git a/fpga/usrp3/top/x300/ip/axi64_8k_2clk_fifo/axi64_8k_2clk_fifo.xci b/fpga/usrp3/top/x300/ip/axi64_8k_2clk_fifo/axi64_8k_2clk_fifo.xci new file mode 100644 index 000000000..8c3264cb7 --- /dev/null +++ b/fpga/usrp3/top/x300/ip/axi64_8k_2clk_fifo/axi64_8k_2clk_fifo.xci @@ -0,0 +1,582 @@ + + + xilinx.com + xci + unknown + 1.0 + + + axi64_8k_2clk_fifo + + + + + + 100000000 + 0 + 0.000 + + + 100000000 + 0 + 0.000 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 1 + 1 + 0 + 0 + undef + 0.000 + 8 + 0 + 0 + 4 + + + + 100000000 + 0 + 0.000 + + 100000000 + 0 + 0.000 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 1 + 1 + 0 + 0 + undef + 0.000 + 8 + 0 + 0 + 4 + + + + 100000000 + 0 + 0.000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 64 + 1 + 1 + 8 + 8 + 4 + 0 + 32 + 1 + 1 + 1 + 64 + 1 + 8 + 1 + 1 + 1 + 1 + 0 + 0 + 10 + BlankString + 18 + 69 + 32 + 64 + 32 + 64 + 2 + 0 + 18 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + kintex7 + 1 + 0 + 0 + 1 + 0 + 0 + 0 + 1 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 11 + 12 + 11 + 12 + 11 + 12 + 0 + 1 + 1 + BlankString + 1 + 0 + 0 + 0 + 1 + 0 + 4kx4 + 1kx36 + 512x36 + 1kx36 + 512x36 + 1kx36 + 512x36 + 2 + 1021 + 13 + 1021 + 13 + 1021 + 13 + 3 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1022 + 1023 + 15 + 1023 + 15 + 1023 + 15 + 1021 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 10 + 1024 + 1 + 10 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 2 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 10 + 1024 + 1024 + 16 + 1024 + 16 + 1024 + 16 + 1 + 10 + 10 + 4 + 10 + 4 + 10 + 4 + 1 + 32 + 0 + 0 + false + false + false + 0 + 0 + Slave_Interface_Clock_Enable + Independent_Clock + axi64_8k_2clk_fifo + 64 + false + 10 + false + false + 0 + 2 + 1021 + 13 + 1021 + 13 + 1021 + 13 + 3 + false + false + true + false + false + false + false + false + false + Hard_ECC + false + false + false + false + false + false + true + false + true + true + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Independent_Clocks_Block_RAM + Independent_Clocks_Distributed_RAM + Independent_Clocks_Block_RAM + Independent_Clocks_Distributed_RAM + Independent_Clocks_Block_RAM + Independent_Clocks_Distributed_RAM + Common_Clock_Block_RAM + 1 + 1022 + 1023 + 15 + 1023 + 15 + 1023 + 15 + 1021 + false + false + false + 0 + AXI_STREAM + false + false + false + false + false + false + false + false + false + false + false + false + false + false + 18 + 1024 + 1024 + 16 + 1024 + 16 + 1024 + 16 + false + 18 + 1024 + Embedded_Reg + false + false + Active_High + Active_High + AXI4 + Standard_FIFO + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + READ_WRITE + 0 + 1 + false + 10 + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + true + Asynchronous_Reset + false + 8 + 0 + 0 + 8 + 8 + 4 + false + false + Active_High + Active_High + true + false + false + false + false + Active_High + 0 + false + Active_High + 1 + false + 10 + false + FIFO + false + false + false + false + FIFO + FIFO + 2 + 2 + false + FIFO + FIFO + FIFO + kintex7 + + + xc7k410t + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 4 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/x300/ip/axi_intercon_2x64_128_bd/Makefile.inc b/fpga/usrp3/top/x300/ip/axi_intercon_2x64_128_bd/Makefile.inc new file mode 100644 index 000000000..51dd6135e --- /dev/null +++ b/fpga/usrp3/top/x300/ip/axi_intercon_2x64_128_bd/Makefile.inc @@ -0,0 +1,19 @@ +# +# Copyright 2016 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_AXI_INTERCON_2X64_128_BD_SRCS = $(IP_BUILD_DIR)/axi_intercon_2x64_128_bd/axi_intercon_2x64_128_bd.bd \ +$(IP_BUILD_DIR)/axi_intercon_2x64_128_bd/axi_intercon_2x64_128_bd.bxml \ +$(IP_BUILD_DIR)/axi_intercon_2x64_128_bd/axi_intercon_2x64_128_bd_wrapper.v + +BD_AXI_INTERCON_2X64_128_BD_OUTS = $(addprefix $(IP_BUILD_DIR)/axi_intercon_2x64_128_bd/, \ +axi_intercon_2x64_128_bd.bd.out \ +axi_intercon_2x64_128_bd_ooc.xdc \ +) + +# The cp below is a workaround for a Vivado issue where it is pulling in wrong sources +$(IP_AXI_INTERCON_2X64_128_BD_SRCS) $(IP_AXI_INTERCON_2X64_128_BD_OUTS) : $(IP_DIR)/axi_intercon_2x64_128_bd/axi_intercon_2x64_128_bd.bd + $(call BUILD_VIVADO_BD,axi_intercon_2x64_128_bd,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR)) + cp -f $(IP_BUILD_DIR)/axi_intercon_2x64_128_bd/synth/axi_intercon_2x64_128_bd.v $(IP_BUILD_DIR)/axi_intercon_2x64_128_bd/hdl/axi_intercon_2x64_128_bd.v diff --git a/fpga/usrp3/top/x300/ip/axi_intercon_2x64_128_bd/axi_intercon_2x64_128_bd.bd b/fpga/usrp3/top/x300/ip/axi_intercon_2x64_128_bd/axi_intercon_2x64_128_bd.bd new file mode 100755 index 000000000..962d94246 --- /dev/null +++ b/fpga/usrp3/top/x300/ip/axi_intercon_2x64_128_bd/axi_intercon_2x64_128_bd.bd @@ -0,0 +1,1326 @@ + + + + + xilinx.com + BlockDiagram + axi_intercon_2x64_128_bd + 1.00.a + + + isTop + true + + + + + S01_AXI + + + + + + DATA_WIDTH + 64 + + + + + + + + PROTOCOL + AXI4 + + + + + + + + FREQ_HZ + 100000000 + + + + + + + + ID_WIDTH + 1 + + + + + + + + ADDR_WIDTH + 32 + + + + + + + + AWUSER_WIDTH + 0 + + + + + + + + ARUSER_WIDTH + 0 + + + + + + + + WUSER_WIDTH + 0 + + + + + + + + RUSER_WIDTH + 0 + + + + + + + + BUSER_WIDTH + 0 + + + + + + + + READ_WRITE_MODE + READ_WRITE + + + + + + + + HAS_BURST + 1 + + + + + + + + HAS_LOCK + 1 + + + + + + + + HAS_PROT + 1 + + + + + + + + HAS_CACHE + 1 + + + + + + + + HAS_QOS + 1 + + + + + + + + HAS_REGION + 1 + + + + + + + + HAS_WSTRB + 1 + + + + + + + + HAS_BRESP + 1 + + + + + + + + HAS_RRESP + 1 + + + + + + + + SUPPORTS_NARROW_BURST + 1 + + + + + + + + NUM_READ_OUTSTANDING + 2 + + + + + + + + NUM_WRITE_OUTSTANDING + 2 + + + + + + + + MAX_BURST_LENGTH + 256 + + + + + + + + PHASE + 0.000 + + + + + + + + CLK_DOMAIN + axi_intercon_2x64_128_bd_S01_ACLK + + + + + + + + + + S00_AXI + + + + + + DATA_WIDTH + 64 + + + + + + + + PROTOCOL + AXI4 + + + + + + + + FREQ_HZ + 1000000000 + + + + + + + + ID_WIDTH + 1 + + + + + + + + ADDR_WIDTH + 32 + + + + + + + + AWUSER_WIDTH + 0 + + + + + + + + ARUSER_WIDTH + 0 + + + + + + + + WUSER_WIDTH + 0 + + + + + + + + RUSER_WIDTH + 0 + + + + + + + + BUSER_WIDTH + 0 + + + + + + + + READ_WRITE_MODE + READ_WRITE + + + + + + + + HAS_BURST + 1 + + + + + + + + HAS_LOCK + 1 + + + + + + + + HAS_PROT + 1 + + + + + + + + HAS_CACHE + 1 + + + + + + + + HAS_QOS + 1 + + + + + + + + HAS_REGION + 1 + + + + + + + + HAS_WSTRB + 1 + + + + + + + + HAS_BRESP + 1 + + + + + + + + HAS_RRESP + 1 + + + + + + + + SUPPORTS_NARROW_BURST + 1 + + + + + + + + NUM_READ_OUTSTANDING + 2 + + + + + + + + NUM_WRITE_OUTSTANDING + 2 + + + + + + + + MAX_BURST_LENGTH + 256 + + + + + + + + PHASE + 0.000 + + + + + + + + CLK_DOMAIN + axi_intercon_2x64_128_bd_S00_ACLK + + + + + + + + + + M00_AXI + + + + + + DATA_WIDTH + 256 + + + + + + + + PROTOCOL + AXI4 + + + + + + + + FREQ_HZ + 100000000 + + + + + + + + ID_WIDTH + 1 + + + + + + + + ADDR_WIDTH + 32 + + + + + + + + AWUSER_WIDTH + 0 + + + + + + + + ARUSER_WIDTH + 0 + + + + + + + + WUSER_WIDTH + 0 + + + + + + + + RUSER_WIDTH + 0 + + + + + + + + BUSER_WIDTH + 0 + + + + + + + + READ_WRITE_MODE + READ_WRITE + + + + + + + + HAS_BURST + 1 + + + + + + + + HAS_LOCK + 1 + + + + + + + + HAS_PROT + 1 + + + + + + + + HAS_CACHE + 1 + + + + + + + + HAS_QOS + 1 + + + + + + + + HAS_REGION + 1 + + + + + + + + HAS_WSTRB + 1 + + + + + + + + HAS_BRESP + 1 + + + + + + + + HAS_RRESP + 1 + + + + + + + + SUPPORTS_NARROW_BURST + 1 + + + + + + + + NUM_READ_OUTSTANDING + 2 + + + + + + + + NUM_WRITE_OUTSTANDING + 2 + + + + + + + + MAX_BURST_LENGTH + 256 + + + + + + + + PHASE + 0.000 + + + + + + + + CLK_DOMAIN + axi_intercon_2x64_128_bd_M00_ACLK + + + + + + + + + + CLK.S00_AXI_ACLK + Clk + Clock + + + + + + + CLK + + + S00_AXI_ACLK + + + + + + FREQ_HZ + 1000000000 + + + + + + + + PHASE + 0.000 + + + + + + + + CLK_DOMAIN + axi_intercon_2x64_128_bd_S00_ACLK + + + + + + + + ASSOCIATED_BUSIF + S00_AXI + + + + + + + + ASSOCIATED_RESET + S00_AXI_ARESETN + + + + + + + + + + RST.S00_AXI_ARESETN + Reset + Reset + + + + + + + RST + + + S00_AXI_ARESETN + + + + + + POLARITY + ACTIVE_LOW + + + + + + + + + + CLK.S01_AXI_ACLK + Clk + Clock + + + + + + + CLK + + + S01_AXI_ACLK + + + + + + FREQ_HZ + 100000000 + + + + + + + + PHASE + 0.000 + + + + + + + + CLK_DOMAIN + axi_intercon_2x64_128_bd_S01_ACLK + + + + + + + + ASSOCIATED_BUSIF + S01_AXI + + + + + + + + ASSOCIATED_RESET + S01_AXI_ARESETN + + + + + + + + + + RST.S01_AXI_ARESETN + Reset + Reset + + + + + + + RST + + + S01_AXI_ARESETN + + + + + + POLARITY + ACTIVE_LOW + + + + + + + + + + CLK.M00_AXI_ACLK + Clk + Clock + + + + + + + CLK + + + M00_AXI_ACLK + + + + + + FREQ_HZ + 100000000 + + + + + + + + PHASE + 0.000 + + + + + + + + CLK_DOMAIN + axi_intercon_2x64_128_bd_M00_ACLK + + + + + + + + ASSOCIATED_BUSIF + M00_AXI + + + + + + + + ASSOCIATED_RESET + M00_AXI_ARESETN + + + + + + + + + + RST.M00_AXI_ARESETN + Reset + Reset + + + + + + + RST + + + M00_AXI_ARESETN + + + + + + POLARITY + ACTIVE_LOW + + + + + + + + + + + + + BlockDiagram + :vivado.xilinx.com: + + + + + + S00_AXI_ACLK + + in + + + + S00_AXI_ARESETN + + in + + + + S01_AXI_ACLK + + in + + + + S01_AXI_ARESETN + + in + + + + M00_AXI_ACLK + + in + + + + M00_AXI_ARESETN + + in + + + + + + + S01_AXI + 4G + 32 + + + SEG_M00_AXI_Reg + /M00_AXI/Reg + 0x02000000 + 32M + + + + + S00_AXI + 4G + 32 + + + SEG_M00_AXI_Reg + /M00_AXI/Reg + 0x00000000 + 32M + + + + + + + M00_AXI + + Reg + 0 + 64K + 32 + register + + + + + + + xilinx.com + BlockDiagram + axi_intercon_2x64_128_bd_imp + 1.00.a + + + s00_width_conv + + + axi_intercon_2x64_128_bd_s00_width_conv_0 + 64 + 256 + 2 + 1 + 2 + + + + s01_width_conv + + + axi_intercon_2x64_128_bd_s01_width_conv_0 + 64 + 256 + 2 + 1 + 2 + + + + xbar + + + axi_intercon_2x64_128_bd_xbar_0 + 2 + 1 + 2 + 256 + 1 + 0x00000001 + 0x00000002 + 0x00000003 + 0x00000004 + 0x00000005 + 0x00000006 + 0x00000007 + 0x00000008 + 0x00000009 + 0x0000000a + 0x0000000b + 0x0000000c + 0x0000000d + 0x0000000e + 0x0000000f + + + + m00_rs + + + axi_intercon_2x64_128_bd_m00_rs_0 + 1 + 1 + 1 + + + + s01_rs_0 + + + axi_intercon_2x64_128_bd_s01_rs_0_0 + 1 + 1 + 1 + 1 + 1 + + + + s00_rs_0 + + + axi_intercon_2x64_128_bd_s00_rs_0_0 + 1 + 1 + 1 + 1 + 1 + + + + s00_rs_1 + + + axi_intercon_2x64_128_bd_s00_rs_1_0 + 1 + 1 + 1 + 1 + 1 + + + + s01_rs_1 + + + axi_intercon_2x64_128_bd_s01_rs_1_0 + 1 + 1 + 1 + 1 + 1 + + + + + + xbar_M00_AXI + + + + + s01_rs_M_AXI + + + + + s00_rs_M_AXI + + + + + s00_width_conv_M_AXI + + + + + s01_width_conv_M_AXI + + + + + s00_rs_1_M_AXI + + + + + s01_rs_1_M_AXI + + + + + + + M00_AXI_ACLK_1 + + + + + + + + + + M00_AXI_ARESETN_1 + + + + + + + + + + S01_AXI_ACLK_1 + + + + + + S01_AXI_ARESETN_1 + + + + + + S00_AXI_ACLK_1 + + + + + + S00_AXI_ARESETN_1 + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/x300/ip/axi_intercon_2x64_128_bd/axi_intercon_2x64_128_bd.bxml b/fpga/usrp3/top/x300/ip/axi_intercon_2x64_128_bd/axi_intercon_2x64_128_bd.bxml new file mode 100755 index 000000000..e26003603 --- /dev/null +++ b/fpga/usrp3/top/x300/ip/axi_intercon_2x64_128_bd/axi_intercon_2x64_128_bd.bxml @@ -0,0 +1,132 @@ + + + + Composite Fileset + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/x300/ip/axi_intercon_2x64_128_bd/axi_intercon_2x64_128_bd_wrapper.v b/fpga/usrp3/top/x300/ip/axi_intercon_2x64_128_bd/axi_intercon_2x64_128_bd_wrapper.v new file mode 100644 index 000000000..0a6fbf6cf --- /dev/null +++ b/fpga/usrp3/top/x300/ip/axi_intercon_2x64_128_bd/axi_intercon_2x64_128_bd_wrapper.v @@ -0,0 +1,508 @@ +//Copyright 1986-2015 Xilinx, Inc. All Rights Reserved. +//-------------------------------------------------------------------------------- +//Tool Version: Vivado v.2015.4 (lin64) Build 1412921 Wed Nov 18 09:44:32 MST 2015 +//Date : Mon Oct 24 19:58:35 2016 +//Host : ubuntu-VM running 64-bit Ubuntu 14.04.5 LTS +//Command : generate_target axi_intercon_2x64_128_bd_wrapper.bd +//Design : axi_intercon_2x64_128_bd_wrapper +//Purpose : IP block netlist +//-------------------------------------------------------------------------------- +`timescale 1 ps / 1 ps + +module axi_intercon_2x64_128_bd_wrapper + (M00_AXI_ACLK, + M00_AXI_ARESETN, + M00_AXI_ARADDR, + M00_AXI_ARBURST, + M00_AXI_ARCACHE, + M00_AXI_ARID, + M00_AXI_ARLEN, + M00_AXI_ARLOCK, + M00_AXI_ARPROT, + M00_AXI_ARQOS, + M00_AXI_ARREADY, + M00_AXI_ARREGION, + M00_AXI_ARSIZE, + M00_AXI_ARVALID, + M00_AXI_AWADDR, + M00_AXI_AWBURST, + M00_AXI_AWCACHE, + M00_AXI_AWID, + M00_AXI_AWLEN, + M00_AXI_AWLOCK, + M00_AXI_AWPROT, + M00_AXI_AWQOS, + M00_AXI_AWREADY, + M00_AXI_AWREGION, + M00_AXI_AWSIZE, + M00_AXI_AWVALID, + M00_AXI_BID, + M00_AXI_BREADY, + M00_AXI_BRESP, + M00_AXI_BVALID, + M00_AXI_RDATA, + M00_AXI_RID, + M00_AXI_RLAST, + M00_AXI_RREADY, + M00_AXI_RRESP, + M00_AXI_RVALID, + M00_AXI_WDATA, + M00_AXI_WLAST, + M00_AXI_WREADY, + M00_AXI_WSTRB, + M00_AXI_WVALID, + S00_AXI_ACLK, + S00_AXI_ARESETN, + S00_AXI_ARADDR, + S00_AXI_ARBURST, + S00_AXI_ARCACHE, + S00_AXI_ARID, + S00_AXI_ARLEN, + S00_AXI_ARLOCK, + S00_AXI_ARPROT, + S00_AXI_ARQOS, + S00_AXI_ARREADY, + S00_AXI_ARREGION, + S00_AXI_ARSIZE, + S00_AXI_ARVALID, + S00_AXI_AWADDR, + S00_AXI_AWBURST, + S00_AXI_AWCACHE, + S00_AXI_AWID, + S00_AXI_AWLEN, + S00_AXI_AWLOCK, + S00_AXI_AWPROT, + S00_AXI_AWQOS, + S00_AXI_AWREADY, + S00_AXI_AWREGION, + S00_AXI_AWSIZE, + S00_AXI_AWVALID, + S00_AXI_BID, + S00_AXI_BREADY, + S00_AXI_BRESP, + S00_AXI_BVALID, + S00_AXI_RDATA, + S00_AXI_RID, + S00_AXI_RLAST, + S00_AXI_RREADY, + S00_AXI_RRESP, + S00_AXI_RVALID, + S00_AXI_WDATA, + S00_AXI_WLAST, + S00_AXI_WREADY, + S00_AXI_WSTRB, + S00_AXI_WVALID, + S01_AXI_ACLK, + S01_AXI_ARESETN, + S01_AXI_ARADDR, + S01_AXI_ARBURST, + S01_AXI_ARCACHE, + S01_AXI_ARID, + S01_AXI_ARLEN, + S01_AXI_ARLOCK, + S01_AXI_ARPROT, + S01_AXI_ARQOS, + S01_AXI_ARREADY, + S01_AXI_ARREGION, + S01_AXI_ARSIZE, + S01_AXI_ARVALID, + S01_AXI_AWADDR, + S01_AXI_AWBURST, + S01_AXI_AWCACHE, + S01_AXI_AWID, + S01_AXI_AWLEN, + S01_AXI_AWLOCK, + S01_AXI_AWPROT, + S01_AXI_AWQOS, + S01_AXI_AWREADY, + S01_AXI_AWREGION, + S01_AXI_AWSIZE, + S01_AXI_AWVALID, + S01_AXI_BID, + S01_AXI_BREADY, + S01_AXI_BRESP, + S01_AXI_BVALID, + S01_AXI_RDATA, + S01_AXI_RID, + S01_AXI_RLAST, + S01_AXI_RREADY, + S01_AXI_RRESP, + S01_AXI_RVALID, + S01_AXI_WDATA, + S01_AXI_WLAST, + S01_AXI_WREADY, + S01_AXI_WSTRB, + S01_AXI_WVALID); + input M00_AXI_ACLK; + input M00_AXI_ARESETN; + output [31:0]M00_AXI_ARADDR; + output [1:0]M00_AXI_ARBURST; + output [3:0]M00_AXI_ARCACHE; + output [0:0]M00_AXI_ARID; + output [7:0]M00_AXI_ARLEN; + output [0:0]M00_AXI_ARLOCK; + output [2:0]M00_AXI_ARPROT; + output [3:0]M00_AXI_ARQOS; + input M00_AXI_ARREADY; + output [3:0]M00_AXI_ARREGION; + output [2:0]M00_AXI_ARSIZE; + output M00_AXI_ARVALID; + output [31:0]M00_AXI_AWADDR; + output [1:0]M00_AXI_AWBURST; + output [3:0]M00_AXI_AWCACHE; + output [0:0]M00_AXI_AWID; + output [7:0]M00_AXI_AWLEN; + output [0:0]M00_AXI_AWLOCK; + output [2:0]M00_AXI_AWPROT; + output [3:0]M00_AXI_AWQOS; + input M00_AXI_AWREADY; + output [3:0]M00_AXI_AWREGION; + output [2:0]M00_AXI_AWSIZE; + output M00_AXI_AWVALID; + input [0:0]M00_AXI_BID; + output M00_AXI_BREADY; + input [1:0]M00_AXI_BRESP; + input M00_AXI_BVALID; + input [255:0]M00_AXI_RDATA; + input [0:0]M00_AXI_RID; + input M00_AXI_RLAST; + output M00_AXI_RREADY; + input [1:0]M00_AXI_RRESP; + input M00_AXI_RVALID; + output [255:0]M00_AXI_WDATA; + output M00_AXI_WLAST; + input M00_AXI_WREADY; + output [31:0]M00_AXI_WSTRB; + output M00_AXI_WVALID; + input S00_AXI_ACLK; + input S00_AXI_ARESETN; + input [31:0]S00_AXI_ARADDR; + input [1:0]S00_AXI_ARBURST; + input [3:0]S00_AXI_ARCACHE; + input [0:0]S00_AXI_ARID; + input [7:0]S00_AXI_ARLEN; + input [0:0]S00_AXI_ARLOCK; + input [2:0]S00_AXI_ARPROT; + input [3:0]S00_AXI_ARQOS; + output S00_AXI_ARREADY; + input [3:0]S00_AXI_ARREGION; + input [2:0]S00_AXI_ARSIZE; + input S00_AXI_ARVALID; + input [31:0]S00_AXI_AWADDR; + input [1:0]S00_AXI_AWBURST; + input [3:0]S00_AXI_AWCACHE; + input [0:0]S00_AXI_AWID; + input [7:0]S00_AXI_AWLEN; + input [0:0]S00_AXI_AWLOCK; + input [2:0]S00_AXI_AWPROT; + input [3:0]S00_AXI_AWQOS; + output S00_AXI_AWREADY; + input [3:0]S00_AXI_AWREGION; + input [2:0]S00_AXI_AWSIZE; + input S00_AXI_AWVALID; + output [0:0]S00_AXI_BID; + input S00_AXI_BREADY; + output [1:0]S00_AXI_BRESP; + output S00_AXI_BVALID; + output [63:0]S00_AXI_RDATA; + output [0:0]S00_AXI_RID; + output S00_AXI_RLAST; + input S00_AXI_RREADY; + output [1:0]S00_AXI_RRESP; + output S00_AXI_RVALID; + input [63:0]S00_AXI_WDATA; + input S00_AXI_WLAST; + output S00_AXI_WREADY; + input [7:0]S00_AXI_WSTRB; + input S00_AXI_WVALID; + input S01_AXI_ACLK; + input S01_AXI_ARESETN; + input [31:0]S01_AXI_ARADDR; + input [1:0]S01_AXI_ARBURST; + input [3:0]S01_AXI_ARCACHE; + input [0:0]S01_AXI_ARID; + input [7:0]S01_AXI_ARLEN; + input [0:0]S01_AXI_ARLOCK; + input [2:0]S01_AXI_ARPROT; + input [3:0]S01_AXI_ARQOS; + output S01_AXI_ARREADY; + input [3:0]S01_AXI_ARREGION; + input [2:0]S01_AXI_ARSIZE; + input S01_AXI_ARVALID; + input [31:0]S01_AXI_AWADDR; + input [1:0]S01_AXI_AWBURST; + input [3:0]S01_AXI_AWCACHE; + input [0:0]S01_AXI_AWID; + input [7:0]S01_AXI_AWLEN; + input [0:0]S01_AXI_AWLOCK; + input [2:0]S01_AXI_AWPROT; + input [3:0]S01_AXI_AWQOS; + output S01_AXI_AWREADY; + input [3:0]S01_AXI_AWREGION; + input [2:0]S01_AXI_AWSIZE; + input S01_AXI_AWVALID; + output [0:0]S01_AXI_BID; + input S01_AXI_BREADY; + output [1:0]S01_AXI_BRESP; + output S01_AXI_BVALID; + output [63:0]S01_AXI_RDATA; + output [0:0]S01_AXI_RID; + output S01_AXI_RLAST; + input S01_AXI_RREADY; + output [1:0]S01_AXI_RRESP; + output S01_AXI_RVALID; + input [63:0]S01_AXI_WDATA; + input S01_AXI_WLAST; + output S01_AXI_WREADY; + input [7:0]S01_AXI_WSTRB; + input S01_AXI_WVALID; + + wire M00_AXI_ACLK; + wire M00_AXI_ARESETN; + wire [31:0]M00_AXI_ARADDR; + wire [1:0]M00_AXI_ARBURST; + wire [3:0]M00_AXI_ARCACHE; + wire [0:0]M00_AXI_ARID; + wire [7:0]M00_AXI_ARLEN; + wire [0:0]M00_AXI_ARLOCK; + wire [2:0]M00_AXI_ARPROT; + wire [3:0]M00_AXI_ARQOS; + wire M00_AXI_ARREADY; + wire [3:0]M00_AXI_ARREGION; + wire [2:0]M00_AXI_ARSIZE; + wire M00_AXI_ARVALID; + wire [31:0]M00_AXI_AWADDR; + wire [1:0]M00_AXI_AWBURST; + wire [3:0]M00_AXI_AWCACHE; + wire [0:0]M00_AXI_AWID; + wire [7:0]M00_AXI_AWLEN; + wire [0:0]M00_AXI_AWLOCK; + wire [2:0]M00_AXI_AWPROT; + wire [3:0]M00_AXI_AWQOS; + wire M00_AXI_AWREADY; + wire [3:0]M00_AXI_AWREGION; + wire [2:0]M00_AXI_AWSIZE; + wire M00_AXI_AWVALID; + wire [0:0]M00_AXI_BID; + wire M00_AXI_BREADY; + wire [1:0]M00_AXI_BRESP; + wire M00_AXI_BVALID; + wire [255:0]M00_AXI_RDATA; + wire [0:0]M00_AXI_RID; + wire M00_AXI_RLAST; + wire M00_AXI_RREADY; + wire [1:0]M00_AXI_RRESP; + wire M00_AXI_RVALID; + wire [255:0]M00_AXI_WDATA; + wire M00_AXI_WLAST; + wire M00_AXI_WREADY; + wire [31:0]M00_AXI_WSTRB; + wire M00_AXI_WVALID; + wire S00_AXI_ACLK; + wire S00_AXI_ARESETN; + wire [31:0]S00_AXI_ARADDR; + wire [1:0]S00_AXI_ARBURST; + wire [3:0]S00_AXI_ARCACHE; + wire [0:0]S00_AXI_ARID; + wire [7:0]S00_AXI_ARLEN; + wire [0:0]S00_AXI_ARLOCK; + wire [2:0]S00_AXI_ARPROT; + wire [3:0]S00_AXI_ARQOS; + wire S00_AXI_ARREADY; + wire [3:0]S00_AXI_ARREGION; + wire [2:0]S00_AXI_ARSIZE; + wire S00_AXI_ARVALID; + wire [31:0]S00_AXI_AWADDR; + wire [1:0]S00_AXI_AWBURST; + wire [3:0]S00_AXI_AWCACHE; + wire [0:0]S00_AXI_AWID; + wire [7:0]S00_AXI_AWLEN; + wire [0:0]S00_AXI_AWLOCK; + wire [2:0]S00_AXI_AWPROT; + wire [3:0]S00_AXI_AWQOS; + wire S00_AXI_AWREADY; + wire [3:0]S00_AXI_AWREGION; + wire [2:0]S00_AXI_AWSIZE; + wire S00_AXI_AWVALID; + wire [0:0]S00_AXI_BID; + wire S00_AXI_BREADY; + wire [1:0]S00_AXI_BRESP; + wire S00_AXI_BVALID; + wire [63:0]S00_AXI_RDATA; + wire [0:0]S00_AXI_RID; + wire S00_AXI_RLAST; + wire S00_AXI_RREADY; + wire [1:0]S00_AXI_RRESP; + wire S00_AXI_RVALID; + wire [63:0]S00_AXI_WDATA; + wire S00_AXI_WLAST; + wire S00_AXI_WREADY; + wire [7:0]S00_AXI_WSTRB; + wire S00_AXI_WVALID; + wire S01_AXI_ACLK; + wire S01_AXI_ARESETN; + wire [31:0]S01_AXI_ARADDR; + wire [1:0]S01_AXI_ARBURST; + wire [3:0]S01_AXI_ARCACHE; + wire [0:0]S01_AXI_ARID; + wire [7:0]S01_AXI_ARLEN; + wire [0:0]S01_AXI_ARLOCK; + wire [2:0]S01_AXI_ARPROT; + wire [3:0]S01_AXI_ARQOS; + wire S01_AXI_ARREADY; + wire [3:0]S01_AXI_ARREGION; + wire [2:0]S01_AXI_ARSIZE; + wire S01_AXI_ARVALID; + wire [31:0]S01_AXI_AWADDR; + wire [1:0]S01_AXI_AWBURST; + wire [3:0]S01_AXI_AWCACHE; + wire [0:0]S01_AXI_AWID; + wire [7:0]S01_AXI_AWLEN; + wire [0:0]S01_AXI_AWLOCK; + wire [2:0]S01_AXI_AWPROT; + wire [3:0]S01_AXI_AWQOS; + wire S01_AXI_AWREADY; + wire [3:0]S01_AXI_AWREGION; + wire [2:0]S01_AXI_AWSIZE; + wire S01_AXI_AWVALID; + wire [0:0]S01_AXI_BID; + wire S01_AXI_BREADY; + wire [1:0]S01_AXI_BRESP; + wire S01_AXI_BVALID; + wire [63:0]S01_AXI_RDATA; + wire [0:0]S01_AXI_RID; + wire S01_AXI_RLAST; + wire S01_AXI_RREADY; + wire [1:0]S01_AXI_RRESP; + wire S01_AXI_RVALID; + wire [63:0]S01_AXI_WDATA; + wire S01_AXI_WLAST; + wire S01_AXI_WREADY; + wire [7:0]S01_AXI_WSTRB; + wire S01_AXI_WVALID; + + axi_intercon_2x64_128_bd axi_intercon_2x64_128_bd_i + (.M00_AXI_ACLK(M00_AXI_ACLK), + .M00_AXI_ARESETN(M00_AXI_ARESETN), + .M00_AXI_araddr(M00_AXI_ARADDR), + .M00_AXI_arburst(M00_AXI_ARBURST), + .M00_AXI_arcache(M00_AXI_ARCACHE), + .M00_AXI_arid(M00_AXI_ARID), + .M00_AXI_arlen(M00_AXI_ARLEN), + .M00_AXI_arlock(M00_AXI_ARLOCK), + .M00_AXI_arprot(M00_AXI_ARPROT), + .M00_AXI_arqos(M00_AXI_ARQOS), + .M00_AXI_arready(M00_AXI_ARREADY), + .M00_AXI_arregion(M00_AXI_ARREGION), + .M00_AXI_arsize(M00_AXI_ARSIZE), + .M00_AXI_arvalid(M00_AXI_ARVALID), + .M00_AXI_awaddr(M00_AXI_AWADDR), + .M00_AXI_awburst(M00_AXI_AWBURST), + .M00_AXI_awcache(M00_AXI_AWCACHE), + .M00_AXI_awid(M00_AXI_AWID), + .M00_AXI_awlen(M00_AXI_AWLEN), + .M00_AXI_awlock(M00_AXI_AWLOCK), + .M00_AXI_awprot(M00_AXI_AWPROT), + .M00_AXI_awqos(M00_AXI_AWQOS), + .M00_AXI_awready(M00_AXI_AWREADY), + .M00_AXI_awregion(M00_AXI_AWREGION), + .M00_AXI_awsize(M00_AXI_AWSIZE), + .M00_AXI_awvalid(M00_AXI_AWVALID), + .M00_AXI_bid(M00_AXI_BID), + .M00_AXI_bready(M00_AXI_BREADY), + .M00_AXI_bresp(M00_AXI_BRESP), + .M00_AXI_bvalid(M00_AXI_BVALID), + .M00_AXI_rdata(M00_AXI_RDATA), + .M00_AXI_rid(M00_AXI_RID), + .M00_AXI_rlast(M00_AXI_RLAST), + .M00_AXI_rready(M00_AXI_RREADY), + .M00_AXI_rresp(M00_AXI_RRESP), + .M00_AXI_rvalid(M00_AXI_RVALID), + .M00_AXI_wdata(M00_AXI_WDATA), + .M00_AXI_wlast(M00_AXI_WLAST), + .M00_AXI_wready(M00_AXI_WREADY), + .M00_AXI_wstrb(M00_AXI_WSTRB), + .M00_AXI_wvalid(M00_AXI_WVALID), + .S00_AXI_ACLK(S00_AXI_ACLK), + .S00_AXI_ARESETN(S00_AXI_ARESETN), + .S00_AXI_araddr(S00_AXI_ARADDR), + .S00_AXI_arburst(S00_AXI_ARBURST), + .S00_AXI_arcache(S00_AXI_ARCACHE), + .S00_AXI_arid(S00_AXI_ARID), + .S00_AXI_arlen(S00_AXI_ARLEN), + .S00_AXI_arlock(S00_AXI_ARLOCK), + .S00_AXI_arprot(S00_AXI_ARPROT), + .S00_AXI_arqos(S00_AXI_ARQOS), + .S00_AXI_arready(S00_AXI_ARREADY), + .S00_AXI_arregion(S00_AXI_ARREGION), + .S00_AXI_arsize(S00_AXI_ARSIZE), + .S00_AXI_arvalid(S00_AXI_ARVALID), + .S00_AXI_awaddr(S00_AXI_AWADDR), + .S00_AXI_awburst(S00_AXI_AWBURST), + .S00_AXI_awcache(S00_AXI_AWCACHE), + .S00_AXI_awid(S00_AXI_AWID), + .S00_AXI_awlen(S00_AXI_AWLEN), + .S00_AXI_awlock(S00_AXI_AWLOCK), + .S00_AXI_awprot(S00_AXI_AWPROT), + .S00_AXI_awqos(S00_AXI_AWQOS), + .S00_AXI_awready(S00_AXI_AWREADY), + .S00_AXI_awregion(S00_AXI_AWREGION), + .S00_AXI_awsize(S00_AXI_AWSIZE), + .S00_AXI_awvalid(S00_AXI_AWVALID), + .S00_AXI_bid(S00_AXI_BID), + .S00_AXI_bready(S00_AXI_BREADY), + .S00_AXI_bresp(S00_AXI_BRESP), + .S00_AXI_bvalid(S00_AXI_BVALID), + .S00_AXI_rdata(S00_AXI_RDATA), + .S00_AXI_rid(S00_AXI_RID), + .S00_AXI_rlast(S00_AXI_RLAST), + .S00_AXI_rready(S00_AXI_RREADY), + .S00_AXI_rresp(S00_AXI_RRESP), + .S00_AXI_rvalid(S00_AXI_RVALID), + .S00_AXI_wdata(S00_AXI_WDATA), + .S00_AXI_wlast(S00_AXI_WLAST), + .S00_AXI_wready(S00_AXI_WREADY), + .S00_AXI_wstrb(S00_AXI_WSTRB), + .S00_AXI_wvalid(S00_AXI_WVALID), + .S01_AXI_ACLK(S01_AXI_ACLK), + .S01_AXI_ARESETN(S01_AXI_ARESETN), + .S01_AXI_araddr(S01_AXI_ARADDR), + .S01_AXI_arburst(S01_AXI_ARBURST), + .S01_AXI_arcache(S01_AXI_ARCACHE), + .S01_AXI_arid(S01_AXI_ARID), + .S01_AXI_arlen(S01_AXI_ARLEN), + .S01_AXI_arlock(S01_AXI_ARLOCK), + .S01_AXI_arprot(S01_AXI_ARPROT), + .S01_AXI_arqos(S01_AXI_ARQOS), + .S01_AXI_arready(S01_AXI_ARREADY), + .S01_AXI_arregion(S01_AXI_ARREGION), + .S01_AXI_arsize(S01_AXI_ARSIZE), + .S01_AXI_arvalid(S01_AXI_ARVALID), + .S01_AXI_awaddr(S01_AXI_AWADDR), + .S01_AXI_awburst(S01_AXI_AWBURST), + .S01_AXI_awcache(S01_AXI_AWCACHE), + .S01_AXI_awid(S01_AXI_AWID), + .S01_AXI_awlen(S01_AXI_AWLEN), + .S01_AXI_awlock(S01_AXI_AWLOCK), + .S01_AXI_awprot(S01_AXI_AWPROT), + .S01_AXI_awqos(S01_AXI_AWQOS), + .S01_AXI_awready(S01_AXI_AWREADY), + .S01_AXI_awregion(S01_AXI_AWREGION), + .S01_AXI_awsize(S01_AXI_AWSIZE), + .S01_AXI_awvalid(S01_AXI_AWVALID), + .S01_AXI_bid(S01_AXI_BID), + .S01_AXI_bready(S01_AXI_BREADY), + .S01_AXI_bresp(S01_AXI_BRESP), + .S01_AXI_bvalid(S01_AXI_BVALID), + .S01_AXI_rdata(S01_AXI_RDATA), + .S01_AXI_rid(S01_AXI_RID), + .S01_AXI_rlast(S01_AXI_RLAST), + .S01_AXI_rready(S01_AXI_RREADY), + .S01_AXI_rresp(S01_AXI_RRESP), + .S01_AXI_rvalid(S01_AXI_RVALID), + .S01_AXI_wdata(S01_AXI_WDATA), + .S01_AXI_wlast(S01_AXI_WLAST), + .S01_AXI_wready(S01_AXI_WREADY), + .S01_AXI_wstrb(S01_AXI_WSTRB), + .S01_AXI_wvalid(S01_AXI_WVALID)); +endmodule diff --git a/fpga/usrp3/top/x300/ip/bootram/Makefile.inc b/fpga/usrp3/top/x300/ip/bootram/Makefile.inc new file mode 100644 index 000000000..a42639f25 --- /dev/null +++ b/fpga/usrp3/top/x300/ip/bootram/Makefile.inc @@ -0,0 +1,15 @@ +# +# Copyright 2014 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_BOOTRAM_SRCS = $(IP_BUILD_DIR)/bootram/bootram.xci + +IP_BOOTRAM_OUTS = $(addprefix $(IP_BUILD_DIR)/bootram/, \ +bootram.xci.out \ +synth/bootram.vhd \ +) + +$(IP_BOOTRAM_SRCS) $(IP_BOOTRAM_OUTS) : $(IP_DIR)/bootram/bootram.xci $(IP_DIR)/bootram/bootram.coe + $(call BUILD_VIVADO_IP,bootram,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) diff --git a/fpga/usrp3/top/x300/ip/bootram/bootram.coe b/fpga/usrp3/top/x300/ip/bootram/bootram.coe new file mode 100644 index 000000000..253a292b1 --- /dev/null +++ b/fpga/usrp3/top/x300/ip/bootram/bootram.coe @@ -0,0 +1,8194 @@ +memory_initialization_radix=16; +memory_initialization_vector= +0b0b80e4, +c9040000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +0b0b0b89, +92040000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +71fd0608, +72830609, +81058205, +832b2a83, +ffff0652, +04000000, +00000000, +00000000, +71fd0608, +83ffff73, +83060981, +05820583, +2b2b0906, +7383ffff, +0b0b0b0b, +83a70400, +72098105, +72057373, +09060906, +73097306, +070a8106, +53510400, +00000000, +00000000, +72722473, +732e0753, +51040000, +00000000, +00000000, +00000000, +00000000, +00000000, +71737109, +71068106, +30720a10, +0a720a10, +0a31050a, +81065151, +53510400, +00000000, +72722673, +732e0753, +51040000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +0b0b0b88, +c4040000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +720a722b, +0a535104, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +72729f06, +0981050b, +0b0b88a7, +05040000, +00000000, +00000000, +00000000, +00000000, +72722aff, +739f062a, +0974090a, +8106ff05, +06075351, +04000000, +00000000, +00000000, +71715351, +020d0406, +73830609, +81058205, +832b0b2b, +0772fc06, +0c515104, +00000000, +72098105, +72050970, +81050906, +0a810653, +51040000, +00000000, +00000000, +00000000, +72098105, +72050970, +81050906, +0a098106, +53510400, +00000000, +00000000, +00000000, +71098105, +52040000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +72720981, +05055351, +04000000, +00000000, +00000000, +00000000, +00000000, +00000000, +72097206, +73730906, +07535104, +00000000, +00000000, +00000000, +00000000, +00000000, +71fc0608, +72830609, +81058305, +1010102a, +81ff0652, +04000000, +00000000, +00000000, +71fc0608, +0b0b80e6, +e8738306, +10100508, +060b0b0b, +88aa0400, +00000000, +00000000, +0b0b0b88, +f9040000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +0b0b0b88, +e0040000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +72097081, +0509060a, +8106ff05, +70547106, +73097274, +05ff0506, +07515151, +04000000, +72097081, +0509060a, +098106ff, +05705471, +06730972, +7405ff05, +06075151, +51040000, +05ff0504, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +810b0b0b, +80e7900c, +51040000, +00000000, +00000000, +00000000, +00000000, +00000000, +71810552, +04000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +02840572, +10100552, +04000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +717105ff, +05715351, +020d0400, +00000000, +00000000, +00000000, +00000000, +00000000, +82c23f80, +deb53f04, +10101010, +10101010, +10101010, +10101010, +10101010, +10101010, +10101010, +10101053, +51047381, +ff067383, +06098105, +83051010, +102b0772, +fc060c51, +51043c04, +72728072, +8106ff05, +09720605, +71105272, +0a100a53, +72ed3851, +51535104, +80088408, +88087575, +80dda02d, +50508008, +56880c84, +0c800c51, +04800884, +08880875, +7580dbee, +2d505080, +0856880c, +840c800c, +51048008, +84088808, +80e5942d, +880c840c, +800c0480, +e7900880, +2ea43880, +e7940882, +2ebd3883, +80800b0b, +0b80efa8, +0c82a080, +0b80efac, +0c829080, +0b80efb0, +0c04f880, +8080a40b, +0b0b80ef, +a80cf880, +8082800b, +80efac0c, +f8808084, +800b80ef, +b00c0480, +c0a8808c, +0b0b0b80, +efa80c80, +c0a88094, +0b80efac, +0c80e6f8, +0b80efb0, +0c04ff3d, +0d80efb4, +335170a7, +3880e79c, +08700852, +5270802e, +94388412, +80e79c0c, +702d80e7, +9c087008, +525270ee, +38810b80, +efb43483, +3d0d0404, +803d0d0b, +0b80efa4, +08802e8e, +380b0b0b, +0b800b80, +2e098106, +8538823d, +0d040b0b, +80efa451, +0b0b0bf5, +933f823d, +0d0404f9, +3d0d797b, +7d585858, +81705654, +80537276, +27b13872, +18703370, +30708025, +70307906, +7381ff32, +70307080, +2570307c, +06811c5c, +5c515154, +59515253, +51757326, +d6387480, +2e853876, +51883976, +51738338, +77517080, +0c893d0d, +040482c0, +8008800c, +04f53d0d, +7d5b86ad, +5283fa80, +5195f83f, +86ad5283, +f2805195, +ee3f8bc9, +52805199, +a33f82c0, +980855ac, +da85f052, +8bca5180, +cebc3fac, +da85f052, +83fc8051, +968e3fac, +da85f052, +83fe8051, +96823fac, +da85f052, +83f88051, +95f63f81, +0b82c084, +0cbffc53, +82808052, +80efb851, +99b63f80, +efb8519e, +863f80cd, +ba3f8254, +80e6fc53, +80d05283, +fe805197, +903f80ec, +547a5380, +d05283fe, +805195e8, +3f82c090, +08558257, +74833874, +5782c094, +0855835c, +74833881, +5c865380, +e7a85288, +1b51fdeb, +3f800856, +865380e7, +b052901b, +51fddc3f, +80085884, +5376822b, +80e7cc11, +53701cac, +11535855, +fdc53f80, +085a8453, +80e7bc15, +529c1751, +fdb53f80, +08598453, +7b822b80, +e7cc1153, +701cac11, +535855fd, +9e3f8008, +5b845380, +e7bc1552, +9c1751fd, +8e3f8008, +79557a54, +76535780, +519cf43f, +84163385, +17337188, +2b078318, +3370902b, +7207821a, +3370982b, +720782c1, +c00c7a33, +811c3371, +882b0782, +c1c40c7f, +0882c1c8, +0c534052, +52565980, +0b82c1d0, +0c9e800b, +82c1d40c, +76547a53, +77528151, +9ca53f84, +18338519, +3371882b, +07831a33, +70902b72, +07821c33, +70982b72, +0782c280, +0c7c3381, +1e337188, +2b0782c2, +840c6008, +82c2880c, +5540525b, +5656800b, +82c2900c, +9e800b82, +c2940c80, +0b82c084, +0c805699, +3982c094, +5575812e, +853882c0, +90557408, +81177081, +ff065851, +55aae93f, +80087624, +e03880e4, +5180cbd4, +3f8051b2, +aa3f8151, +b2a53f8d, +3d0d04f7, +3d0d7d02, +8405af05, +33715358, +5899d43f, +800856a8, +5376802e, +8338b853, +7c902a70, +81ff0678, +53515599, +a23f7282, +2b82c080, +11800833, +80088105, +3371882b, +07720c82, +c0841380, +08820533, +80088305, +3371982b, +71902b07, +80088405, +3370882b, +72078008, +85053371, +07760c52, +82c08818, +7f5a5553, +585f5252, +5b555398, +bd3f8008, +08740c82, +c08c1302, +8405be05, +22710c52, +82c09013, +75710c52, +82c09413, +7808710c, +5482c098, +13028405, +ba052277, +3371902b, +71882b07, +811a3371, +07740c52, +82c09c16, +821a3383, +1b337198, +2b71902b, +07841d33, +70882b72, +07851f33, +7107760c, +52555555, +5e565753, +548b3d0d, +04fb3d0d, +7c567580, +2e9d3884, +16080284, +05ae0522, +56028405, +aa052255, +79545202, +9f053351, +fdf53f87, +3d0d04f2, +3d0d655a, +79802e81, +b0388c3d, +5b905379, +527a5180, +cef03f66, +8f268838, +7b82075c, +80f23979, +08832a70, +81065157, +76802eab, +38881a08, +70942a70, +81065158, +5876802e, +97388280, +80548053, +903dfc05, +5277bfff, +ff0651b0, +cd3f8439, +77085f79, +08822a70, +81065157, +76802eac, +38881a08, +70942a70, +81068c1d, +085c5158, +5876802e, +94388280, +80548053, +785277bf, +ffff0651, +afaf3f84, +3978780c, +79088106, +5776802e, +9c389056, +7a550280, +ce052254, +0280d205, +22536152, +0280c305, +33519fa5, +3f903d0d, +048c0802, +8c0cf03d, +0d8c089c, +05080284, +058c08f8, +050c8c08, +ec050c80, +0b8c08fc, +050c8c08, +ec050880, +2e86cb38, +8c08a005, +088f268b, +38820b8c, +08fc050c, +8680398c, +08ec0508, +0870822a, +70810651, +8c08d405, +0c8c08d8, +050c8c08, +d4050880, +2e9038b3, +833f8008, +81068c08, +dc050c85, +be398c08, +d8050883, +2a708106, +518c08d4, +050c8c08, +d4050880, +2e8738b5, +913f85b2, +398c08d8, +0508862a, +70810651, +8c08d405, +0c8c08d4, +0508802e, +8738b78f, +3f859339, +8c08d805, +08872a70, +8106518c, +08d4050c, +8c08d405, +08802e9b, +38b7853f, +800881ff, +068c08d4, +050c8c08, +d4050882, +2e84e338, +84d4398c, +08ec0508, +84050851, +b4d93f80, +0881068c, +08dc050c, +8c08dc05, +08802e88, +38810b8c, +08dc050c, +8c08ec05, +0808842a, +70810651, +8c08d405, +0c8c08d4, +0508802e, +97388c08, +dc050880, +2e8e38b4, +ba3f8008, +81ff068c, +08dc050c, +8c08ec05, +088c0508, +709f0670, +3072852a, +719f2a05, +8c08e805, +0c518c08, +d4050c8c, +08d8050c, +80708c08, +e0050c8c, +08e4050c, +8c08e405, +088c08e8, +05082781, +a9388c08, +dc05088c, +08e40508, +2e819b38, +8c08ec05, +088c0508, +8c08e005, +08318c08, +d8050ca0, +0b8c08d8, +05082788, +38a00b8c, +08d8050c, +8c08d805, +08538c08, +e0050810, +8c08ec05, +08059005, +528c08ec, +05088805, +088c08e0, +05080510, +51ae843f, +80088c08, +dc050806, +8c08dc05, +0c8c08dc, +0508802e, +8838810b, +8c08dc05, +0c8c08e0, +05088c08, +d8050805, +8c08e405, +0881058c, +08e4050c, +8c08e005, +0c8c08e4, +05088c08, +e8050827, +89388c08, +dc0508fe, +e7388c08, +ec050808, +852a7081, +06518c08, +d4050c8c, +08d40508, +802e81f7, +38028c08, +ec05088c, +05087010, +860570fc, +06518c08, +d4050c8c, +08d8050c, +8c08f405, +0c028c08, +d4050831, +0d873d8c, +08d80508, +5470538c, +08ec0508, +88050810, +528c08f0, +050cac88, +3f80088c, +08dc0508, +068c08dc, +050c8c08, +dc050880, +2e883881, +0b8c08dc, +050c800b, +8c08ec05, +088c0508, +8c08e805, +0c8c08e0, +050c8c08, +e005088c, +08e80508, +2780ee38, +8c08ec05, +0890058c, +08e4050c, +8c08e005, +08108c08, +f0050805, +8c08e405, +08708205, +8c08e405, +0c228c08, +dc050881, +06722253, +8c08dc05, +0c8c08d4, +050c8c08, +d8050c8c, +08d40508, +8c08d805, +082e8838, +800b8c08, +dc050c8c, +08e00508, +81058c08, +e0050c8c, +08e80508, +8c08e005, +0826ffa0, +388c08f4, +05080d8c, +08dc0508, +8d388c08, +fc050882, +078c08fc, +050c8c08, +ec050808, +81068c08, +d4050c8c, +08d40508, +802ea338, +84568c08, +fc05558c, +08960522, +548c089a, +0522538c, +088c0508, +528c088b, +05335198, +ac3f8c08, +f805080d, +923d0d8c, +0c04ffb3, +3d0d80d5, +3d088c3d, +5b598290, +53805279, +5180c98e, +3f78802e, +8198388b, +0b80d73d, +082780eb, +38780870, +822a7081, +06515858, +76802e8c, +38aca93f, +80088106, +5780cd39, +77832a70, +81065157, +76802e87, +38aec73f, +80c1397a, +81075b84, +19085c88, +19085d8c, +19085e7b, +51aed83f, +80088106, +5776802e, +83388157, +8c190853, +80cf3dfe, +80055288, +19081051, +a8f63f80, +08770657, +7685387a, +82075b82, +90567955, +0282ca05, +22540282, +ce052253, +80d13d08, +520282bf, +05335196, +ec3f80cf, +3d0d04f7, +3d0d6058, +77802eb0, +38770881, +06577685, +38788407, +59788207, +59615a84, +1808568b, +3df80555, +02ba0522, +5402be05, +22537c52, +02af0533, +5196ae3f, +8b3d0d04, +f93d0d79, +57807078, +842b7957, +5a565674, +1882c480, +0c82c480, +087482c4, +800c82c4, +80087118, +05811790, +17575757, +538f7527, +de387681, +268d3876, +81ff0651, +8fef3f80, +08165675, +800c893d, +0d04f83d, +0d80e88c, +08b40551, +eeef3f91, +d7528380, +80519596, +3f91ad52, +83808151, +958c3f93, +95528380, +85519582, +3f9a9652, +83808751, +94f83f9b, +cf528380, +865194ee, +3f805682, +c0800880, +e88c0855, +58941408, +802ea638, +98140855, +74802e91, +387780ef, +c4083153, +80d9b48b, +e073278d, +38800b94, +150c7480, +efc80c9c, +39981408, +5380efc8, +08732e90, +38810b94, +150c7280, +efc80c77, +80efc40c, +77763153, +b99c9c73, +27829538, +8051a0ff, +3f8151a0, +fa3f8051, +fe8a3f80, +08558151, +fe823f74, +80efcc08, +32703070, +7207709f, +2a800880, +efd00832, +70307072, +07709f2a, +7c80efcc, +0c800880, +efd00c5e, +5153545c, +51545480, +51a3c63f, +800881ff, +06558151, +a3bb3f80, +0881ff06, +80e88c08, +94110856, +54567380, +2e833881, +54845374, +83387453, +75802e85, +38728807, +5378802e, +85387290, +07538055, +78752e09, +81068638, +76752e83, +38815572, +75075376, +802e8538, +72a00753, +73802e85, +38728207, +537282c0, +800c80ef, +d4088111, +80efd40c, +5397b773, +2780cf38, +800b80ef, +d40c8054, +bb3982c0, +90537380, +2e853882, +c0945372, +08537282, +2ea33873, +51a2aa3f, +800881ff, +06537280, +2e933873, +81ff0670, +5253898e, +3f800852, +725190ea, +3f811454, +9a963f80, +087426ff, +bd387756, +94ff3fad, +d23f80e8, +8c0880ef, +d80ba012, +0c80f1d8, +0ba4120c, +5380c00b, +a8140c83, +fa805181, +d03f8008, +538008ff, +2e80c638, +80f3d808, +70832b98, +06555573, +86387380, +f3dc0c72, +81ff0670, +752b80f3, +dc080770, +80f3dc0c, +7681fc06, +80efd805, +56750c53, +811580f3, +d80c80e8, +8c0880f3, +d8088812, +0c53ffab, +3980e88c, +085380f3, +e0088c14, +082ebf38, +80f3e008, +70832b98, +0671fc06, +80f1d805, +7008722a, +55525553, +83fa8051, +ba3f80f3, +e0088105, +7081ff06, +7080f3e0, +0c80e88c, +08565153, +728c1508, +2e098106, +c33880e8, +8c085398, +8080730c, +fbc13980, +3d0d7274, +710c5182, +3d0d04fe, +3d0d7484, +11535371, +08517080, +2ef9388c, +1376710c, +51843d0d, +04fe3d0d, +74881170, +08515253, +ff527080, +2e873890, +13700853, +5171800c, +843d0d04, +fc3d0d76, +5380fa89, +80527751, +b8fa3f80, +08ff0570, +83ffff06, +7081ff06, +750c7088, +2a84160c, +51548180, +0b88140c, +863d0d04, +fd3d0d75, +787a5555, +52815172, +802e8182, +38901208, +70862a70, +81065151, +5170f238, +029b0533, +70108107, +8c140c51, +81900b90, +130c9012, +0870812a, +70810651, +515170f2, +38901208, +70872a70, +81067081, +32515151, +5170802e, +b7387280, +2eae3880, +e8517281, +2e8338a0, +51709013, +0c901208, +70812a70, +81065151, +5170f238, +8c120851, +70747081, +055634ff, +1353cf39, +81518739, +80c00b90, +130c7080, +0c853d0d, +04fd3d0d, +75787a55, +55529012, +0870862a, +70810651, +515170f2, +38029b05, +3370108c, +140c5181, +d0517280, +2e843881, +90517090, +130c9012, +0870812a, +70810651, +515170f2, +38901208, +70872a70, +81067081, +32515151, +5170802e, +80cb3872, +802e80c1, +3873338c, +130c80d0, +5172812e, +83389051, +7090130c, +90120870, +812a7081, +06515151, +70f23890, +12087087, +2a708106, +70813251, +51515170, +802e8e38, +8114ff14, +5454ffbb, +39815189, +3980c00b, +90130c80, +5170800c, +853d0d04, +7280f3e4, +0c7180f3, +e80c0480, +3d0d7288, +11087008, +800c5151, +823d0d04, +ff3d0d73, +88110884, +1208710c, +5351833d, +0d04ff3d, +0d738411, +08810a07, +84120c70, +5252dd3f, +7151c83f, +800b8008, +24f63884, +1208fe0a, +0684130c, +7151c53f, +833d0d04, +f93d0d79, +7b705855, +5580577b, +1458863d, +705254ff, +ab3f7351, +ffb83f8c, +53735274, +51bacb3f, +74800c89, +3d0d04fd, +3d0d7570, +5254fefb, +3f80089f, +2a778008, +bfff0671, +0c537053, +5372802e, +84387308, +5271800c, +853d0d04, +fe3d0d74, +537251fe, +d23f8008, +9e2a7081, +06515271, +812e0981, +06eb3872, +08800c84, +3d0d04fc, +3d0d7678, +84120882, +0a07c080, +06708414, +0c718706, +55575454, +71802e84, +38881353, +72bfff06, +75078415, +0c7351fe, +9b3f7351, +fe853f80, +089e2a70, +81065152, +71f03884, +1408fd0a, +0684150c, +7351fdfc, +3f863d0d, +04fc3d0d, +76787a54, +55558053, +7274278f, +38721015, +70227305, +81155553, +51ee3971, +902a5170, +802e8d38, +7183ffff, +0672902a, +0552ec39, +71800c86, +3d0d04f8, +3d0d7a7c, +028805b3, +05335b59, +57807080, +f3fc5757, +54845374, +527651b7, +d93f8008, +98388653, +77527316, +80f4fc05, +51b8bb3f, +7880f6bc, +153480ce, +39811484, +16851858, +56549f74, +27cf389f, +0b80f3f8, +08278738, +800b80f3, +f80c80f3, +f8087082, +2b780880, +f3fc120c, +71100551, +54865377, +5280f4fc, +1451b7f6, +3f80f3f8, +08547880, +f6bc1534, +811480f3, +f80c8a3d, +0d04803d, +0d028b05, +33708429, +80f6dc05, +800c5182, +3d0d04fe, +3d0d0293, +05337084, +29711005, +80f6ec05, +800c5284, +3d0d04fa, +3d0d7857, +80547381, +ff067052, +55c43f84, +53800852, +7651b6a6, +3f80088a, +387451c7, +3f800854, +b7398114, +54837427, +d9388070, +80f3fc57, +57548453, +74527651, +b6803f80, +088a3873, +1680f4fc, +05549139, +81148416, +85185856, +549f7427, +dd388054, +73800c88, +3d0d04ff, +3d0d80f3, +f4085271, +8726a838, +028f0533, +517080f8, +94133402, +93053351, +7080f89c, +13347184, +2980f8a4, +0576710c, +51811280, +f3f40c83, +3d0d04fe, +3d0d7480, +f3ec0cb0, +bf538052, +8851ffb7, +3fb49753, +83528351, +ffad3f84, +3d0d04fa, +3d0d02a3, +05337082, +2b565486, +53795273, +10748429, +0580f6ec, +0551b5da, +3f7a7008, +80f6dc17, +0c547b70, +0880f784, +170c5480, +0b80f7a4, +160c883d, +0d04803d, +0d028b05, +33708429, +80f78405, +800c5182, +3d0d04fd, +3d0d0297, +05337052, +52fdbb3f, +80080872, +5254d73f, +71822b80, +08080975, +0780f794, +120c80f7, +9405800c, +853d0d04, +fb3d0d77, +79575580, +547381ff, +0651c43f, +84537452, +800851b3, +ed3f8008, +802ea338, +81145483, +7427e238, +7451fd9b, +3f800854, +8008802e, +99388653, +80085275, +51b4bb3f, +8b398653, +81ff5275, +51b78b3f, +81547380, +0c873d0d, +04ff3d0d, +028f0533, +70842980, +f7a40570, +08800c52, +52833d0d, +04803d0d, +72703351, +51708326, +8f387084, +2980f7a4, +05700881, +05710c51, +823d0d04, +f73d0d7b, +7d606373, +555b5b5b, +55d33f80, +f3ec0851, +f9be3f80, +0b800883, +1c822a55, +58547373, +279b3874, +80085656, +75708405, +57087570, +8405570c, +8114ff14, +545472ec, +38831982, +2a538073, +279d387d, +74842918, +56567570, +84055708, +75708405, +570c8114, +ff145454, +72ec3883, +18822a53, +8073279d, +387f7484, +29185656, +75708405, +57087570, +8405570c, +8114ff14, +545472ec, +38738106, +5372812e, +09810689, +38738429, +17538073, +0c781a18, +5280f3ec, +0851f8cb, +3f8b3d0d, +04e73d0d, +a13d08a3, +3d08a53d, +08028c05, +80ef0533, +9d3d7057, +74565c5c, +5c5d5bfd, +a33f8008, +81ff0657, +76802e81, +ee38788e, +3d348653, +77529b3d, +ce0551b2, +813f7851, +fab13f86, +53800852, +9b3dd405, +51b1ef3f, +90800284, +0580c205, +23818a80, +0b933d23, +9c1a5776, +02840580, +c6052380, +0b943d23, +81808002, +840580ca, +052380c0, +810b953d, +23800284, +0580ce05, +237851f9, +d13f8008, +08457a08, +4680538a, +529b3ddc, +0551f7f9, +3f800809, +57760284, +0580ce05, +230280f3, +05335776, +983d3402, +80f70533, +57760284, +0580d905, +34800284, +0580da05, +230280fa, +05225776, +993d2302, +80fe0522, +57760284, +0580de05, +23805384, +529b3df0, +0551f7a9, +3f800853, +79812a52, +7b51f79d, +3f800809, +57760284, +0580da05, +23805680, +5579547b, +53b0529b, +3dc80551, +fcb63f9b, +3d0d04f9, +3d0d7f58, +7e577a56, +02b60522, +5502b205, +22548053, +805202a7, +053351fd, +c43f893d, +0d04ec3d, +0d0280db, +05335877, +8b3d3486, +5381ff52, +963dd605, +51b2bf3f, +7751f893, +3f867054, +80085397, +3ddc0552, +57afcf3f, +90860284, +05b60523, +810b903d, +23908002, +8405ba05, +2376913d, +34840284, +05bd0534, +81028405, +be052377, +51f7d83f, +76538008, +52963dec, +0551af96, +3f7751f7, +b13f8453, +80085296, +3df20551, +af843f76, +53805296, +3df60551, +b1d43f67, +70084657, +80568055, +80548053, +b052963d, +d00551fa, +f33f963d, +0d04fe3d, +0d80f3f0, +0853728f, +26a33872, +1080f7b4, +05028405, +92052253, +51717123, +72842980, +f7d40576, +710c5181, +1380f3f0, +0c843d0d, +04e83d0d, +6ba13d08, +02880580, +eb05339b, +3d705673, +555b5b5b, +5bf9953f, +800881ff, +06577680, +2e81b838, +788d3d34, +86537752, +9a3dce05, +51adf33f, +7851f6a3, +3f865380, +08529a3d, +d40551ad, +e13f9080, +028405be, +0523818a, +800b923d, +239c1a57, +76028405, +80c20523, +800b933d, +23818080, +02840580, +c6052380, +c0910b94, +3d238002, +840580ca, +05237851, +f5c43f80, +0808447a, +08458053, +8a529a3d, +dc0551f3, +ec3f8008, +09577602, +840580ca, +05230280, +f2052257, +76973d23, +0280f605, +22577602, +840580d6, +0523881a, +5776983d, +23800284, +0580da05, +23805680, +5579546e, +53b0529a, +3dc80551, +f8de3f9a, +3d0d04f2, +3d0d6502, +840580c3, +05339412, +89133353, +5f5f5877, +912e0981, +0680d238, +800b80f3, +f0085b59, +787a2780, +c43880f7, +d40b80f7, +b45d5b7b, +7082055d, +227d2240, +58777f2e, +0981069e, +387d51f4, +9d3f8057, +8056821d, +22557754, +80085361, +527d517a, +0858772d, +8c398119, +841c5c59, +797926c7, +38903d0d, +04df3d0d, +8044a33d, +c4055280, +f3ec0851, +f1813f80, +085d8008, +802e84ec, +38800851, +f7a73f94, +1d921e22, +5f5a7d90, +862e0981, +0682fa38, +7c337a22, +595b7781, +2e098106, +84be3882, +1a225877, +90802e09, +810684b0, +38841a22, +58778c84, +2e098106, +84a23886, +1a225877, +822e0981, +06a43893, +3d598453, +a21d5278, +51aadf3f, +a13d5886, +539c1d52, +7751aad2, +3f7a5377, +527851f1, +de3f861a, +22587781, +2e098106, +83e6387a, +51f2d73f, +ac1d5f84, +53800852, +7e51a9b6, +3f80085c, +800883cc, +387a51f2, +d23f8008, +587a963d, +349c1d59, +86537852, +a33dce05, +51aa873f, +7a51f2b7, +3f865380, +0852a33d, +d40551a9, +f53f7d02, +840580e2, +05237922, +9b3d2382, +1a220284, +0580e605, +23841a33, +9c3d3485, +1a330284, +0580e905, +34820284, +0580ea05, +23865377, +52a33de4, +0551a9ba, +3f847054, +7f53a43d, +ea055258, +a9ac3f86, +537852a3, +3dee0551, +a9a03f77, +53a21d52, +a33df405, +51a9933f, +7b567b55, +7b547b53, +b052a33d, +c80551f5, +933f82a8, +397f51f1, +993f7884, +2980f7d4, +0561f805, +58881c57, +821c2256, +7b225580, +08546253, +60527008, +5158772d, +81af397c, +51f0ef3f, +77842980, +f8a405f8, +1d58881c, +57861c22, +56841c22, +55800854, +7e537d52, +70085158, +772d81d4, +397d9080, +2e098106, +81ca38a8, +1d7a2270, +8c2a5a5a, +5b77842e, +09810681, +b7387888, +2a708f06, +51587785, +2e098106, +81a63886, +1a2280ff, +ff065978, +819a387c, +33538c1d, +52a01d70, +5242eef7, +3f891a33, +5877912e, +098106b1, +387c3382, +1b22ec05, +80f3f008, +5e424080, +7c279e38, +821b2280, +f7b4405e, +7e708205, +40225877, +7e2efeb1, +38811959, +7b7926ec, +38891a33, +5877812e, +09810680, +c3387c33, +8c1b821c, +22ec055e, +5f5d800b, +80f3f408, +5b58777a, +27aa387a, +335980f8, +94183340, +78602e09, +81069138, +811b3380, +f89c1933, +415f7e60, +2efe8c38, +81185879, +7826db38, +80f3ec08, +51ebb33f, +a33d0d04, +820b800c, +04fd3d0d, +76548453, +83808002, +84059705, +33525270, +802e8738, +855383a0, +80527284, +2982c080, +0570087a, +9f065551, +5170802e, +80c13873, +94130c77, +9f067074, +852b0770, +a0800798, +150c5254, +810b9c13, +0c9c1208, +5170812e, +f8387285, +2b740770, +b8800798, +140c5181, +0b9c130c, +9c120851, +70812ef8, +389c3972, +852b7407, +70888007, +98140c51, +810b9c13, +0c9c1208, +5170812e, +f8389012, +08800c85, +3d0d04f7, +3d0d7b02, +8405b305, +33585802, +b705338b, +3d348154, +8b3dfc05, +53765277, +51e8963f, +800881ff, +0655ff56, +74802e9e, +3881548b, +3df80553, +76527751, +e6e23f80, +0881ff06, +5574802e, +8538893d, +33567580, +0c8b3d0d, +04f53d0d, +7d7f80f8, +c4123372, +30707407, +9f2a8811, +70822b82, +c0801170, +08708706, +51515241, +5151585e, +5b587482, +9f387981, +2e098106, +81c53883, +80805577, +802e8538, +83a08055, +81750c77, +81ff0659, +84548153, +80527851, +fdc33f80, +08828080, +07578455, +83808056, +78802e87, +38855583, +a0805674, +842982c0, +80057008, +51557480, +2eb43880, +0b94170c, +a1810b98, +170c810b, +9c170c9c, +16085574, +812ef838, +7690170c, +a9810b98, +170c810b, +9c170c9c, +16085574, +812ef838, +99397690, +170c9180, +0b98170c, +810b9c17, +0c9c1608, +5574812e, +f8388077, +8f2a7081, +06515656, +74762ea3, +38845481, +53805278, +51fcb63f, +75811757, +557481c8, +268d3880, +088f2a70, +81065155, +74df3880, +e4577981, +2e098106, +96388454, +79537952, +7781ff06, +51fc863f, +8008822a, +558d3982, +c0801b70, +0870902a, +51515574, +81065675, +97387677, +81800a29, +81ff0a05, +70982c51, +58557480, +24ffbb38, +7580f8c4, +19348839, +800b80f8, +c419347b, +9e3880f8, +c4183355, +74802e93, +387781ff, +06705255, +ea943f80, +08527451, +f1f03f8d, +3d0d04f8, +3d0d7a58, +82c09054, +77802e85, +3882c094, +54730857, +82c0a054, +77802e85, +3882c0a4, +54730870, +852a7081, +06565a55, +73802e81, +ec387482, +2a708106, +51547381, +e0387681, +2e098106, +81d73883, +fc805677, +802e8538, +83f88056, +81519bbc, +3f835380, +d0527551, +fbd53f80, +0b800824, +81db3880, +08810654, +73819e38, +8008842a, +70810651, +547381c5, +38800885, +2a708106, +51547381, +b8388008, +862a7081, +06515473, +81ab3886, +5380d052, +7551fb93, +3f800b80, +08248199, +38800881, +06547381, +90388008, +812a7081, +06515473, +81833880, +08832a70, +81065154, +7380f638, +885380d0, +527551fa, +de3f800b, +80082480, +e4388008, +822a8132, +70810651, +547380d5, +388a5380, +d0527551, +fabd3f80, +0b800824, +80c33880, +08872a70, +81065154, +73802eb5, +38925380, +d0527551, +fa9d3fa9, +3976822e, +098106a1, +3882c0a0, +5477802e, +853882c0, +a4547308, +70902a70, +81065151, +547380f8, +c41934a7, +3974832a, +70810651, +54739538, +74842a70, +81065154, +738a3878, +81065473, +802e8838, +76527751, +fa9f3f8a, +3d0d04fd, +3d0d7554, +82c09053, +73802e85, +3882c094, +53720852, +7351fa81, +3f853d0d, +04803d0d, +7280f8c4, +1133800c, +51823d0d, +04fd3d0d, +78028405, +9f053377, +bfffff06, +55525470, +802e8638, +72880a07, +5372820a, +0753800b, +83f68c08, +70842a70, +81065151, +52527072, +2e9d3881, +12527372, +27853880, +519d3983, +f68c0870, +842a7081, +06515151, +70e53876, +83f6800c, +7283f684, +0c815170, +800c853d, +0d04fc3d, +0d777a02, +8805a305, +3379bfff, +ff065653, +56547080, +2e863872, +880a0753, +72840a07, +53800b83, +f68c0870, +842a7081, +06515152, +5270722e, +99388112, +52717526, +b73883f6, +8c087084, +2a708106, +51515170, +e9387380, +2e843880, +740c7283, +f6840c80, +0b83f68c, +08708106, +51525270, +722e9938, +81125274, +72278538, +80519a39, +83f68c08, +70810651, +5170e938, +73802e87, +3883f688, +08740c81, +5170800c, +863d0d04, +f63d0d7d, +7f5a5a81, +7d9fffff, +06988080, +07595780, +56757927, +af387516, +55828080, +5481538c, +3dfc0552, +741851fe, +b93f8008, +81ff067a, +16565702, +aa052275, +23811656, +75792784, +3876d338, +76800c8c, +3d0d04f6, +3d0d7d7f, +71307073, +07802570, +73a02607, +51515759, +5a805674, +762e0981, +0681ee38, +82808054, +815381aa, +52888851, +fd833f80, +0881ff06, +56828080, +54815380, +d5528880, +51fcee3f, +80087606, +5675802e, +b1388280, +80548153, +a5529880, +8051fcd5, +3f800881, +ff065675, +802e9738, +82808054, +8153ff18, +52988080, +51fcba3f, +800881ff, +06567c9f, +ffff0698, +80800759, +80577678, +27a83875, +802e9e38, +76177a11, +70225157, +55828080, +54815375, +52741951, +fc873f80, +0881ff06, +56811757, +d5397580, +2e963882, +80805481, +53a95298, +808051fb, +e83f8008, +81ff0656, +77185582, +80805481, +538c3dfc, +05527419, +fe0551fc, +b13f8008, +76065675, +802e9e38, +817a1602, +8805aa05, +22fe1222, +70723287, +2a707506, +51515259, +565674c4, +3875800c, +8c3d0d04, +f73d0d82, +80805480, +538188d0, +5281c051, +fbf03f82, +80805480, +538188cc, +5281e051, +fbe03f81, +58828080, +54805398, +81915281, +c051fae9, +3f800878, +06577680, +2e833877, +57828080, +548053fd, +f5b6fdef, +52848051, +facb3f80, +0881ff06, +77065776, +802e8338, +77578280, +80547753, +81acf852, +848451fa, +ac3f8008, +81ff0677, +06577680, +2e833877, +578a3d59, +82808054, +77537852, +8051faf2, +3f800881, +ff067706, +5776802e, +83387757, +82808054, +77537952, +848651f9, +f03f8008, +81ff0677, +06577680, +2e833877, +57828080, +54805378, +52848051, +fab83f80, +0881ff06, +77065776, +802e8338, +775779fd, +f5b6fdef, +32703070, +72077009, +709f2c7c, +065c5151, +57558280, +80548053, +78528484, +51fa833f, +800881ff, +06770657, +76802e83, +38815777, +81065879, +87d6c1ac, +f82e8338, +80588055, +76802e88, +3877802e, +83388155, +7481ff06, +800c8b3d, +0d04fd3d, +0d828080, +54805381, +88d00852, +81c051f8, +d43f8280, +80548053, +8188cc08, +5281e051, +f8c33f85, +3d0d04fc, +3d0d7691, +2b558280, +80548053, +745281e0, +51f8aa3f, +800881ff, +06800c86, +3d0d04fa, +3d0d8280, +80548153, +81aa5288, +8851f88d, +3f800881, +ff065574, +802e81b0, +38828080, +54815380, +d5528880, +51f7f23f, +800881ff, +06557480, +2e819538, +82808054, +81538180, +52888851, +f7d73f80, +0881ff06, +5574802e, +80fa3882, +80805481, +5381aa52, +888851f7, +bc3f8008, +81ff0655, +74802e80, +df388280, +80548153, +80d55288, +8051f7a1, +3f800881, +ff065574, +802e80c4, +38828080, +548153b0, +52988080, +51f7863f, +800881ff, +06557480, +2eaa3882, +80805481, +53883dfc, +05529880, +8051f7ce, +3f800881, +ff060284, +059a0522, +57557583, +ffff2e09, +8106d338, +74800c88, +3d0d04fd, +3d0d8280, +80548053, +815280d8, +51f6ba3f, +853d0d04, +fa3d0d82, +80805480, +53883dfc, +055280d8, +51f7873f, +80088106, +55835674, +802e8638, +029b0533, +5675800c, +883d0d04, +fe3d0d80, +0b83f68c, +0870842a, +70810651, +51525270, +722ea238, +8188d408, +53811252, +72722785, +3880519f, +3983f68c, +0870842a, +70810651, +515170e5, +387483f6, +800c810a, +0b83f684, +0c815170, +800c843d, +0d04fe3d, +0d7483f6, +8c087081, +2a708106, +51515253, +70802e80, +e43880cc, +8c0b8188, +e80c83f6, +80088188, +e00c83f6, +840870bf, +ffff0681, +88dc0c70, +9c2a7081, +06515252, +708188e4, +34719d2a, +70810651, +5170802e, +8938810b, +8188d834, +9b39719e, +2a708106, +51517080, +2e893882, +0b8188d8, +34863970, +8188d834, +8188d873, +0c815184, +3970730c, +70800c84, +3d0d04fb, +3d0d873d, +fc0551fe, +f13f8008, +81ff0653, +72802e80, +c538758c, +11335455, +72bc3874, +33537282, +2e098106, +8e388415, +08881608, +710c5481, +53a63972, +812e0981, +069c3884, +15087008, +51538280, +80527251, +90150853, +722d8008, +81ff0653, +83398053, +72800c87, +3d0d04fe, +3d0d7451, +80528811, +08802e9b, +3880f8c8, +22821222, +71713170, +83ffff06, +51515253, +70822683, +38815271, +81ff0680, +0c843d0d, +04ff3d0d, +7375982b, +70982c51, +53510296, +05227123, +80f8c822, +82122371, +84123476, +70088813, +0c52833d, +0d04f53d, +0d7d7f63, +62982b70, +982c0294, +0580c205, +225f515e, +5e595980, +57767827, +80de3878, +567551fe, +fe3f8008, +81ff0655, +74802eb6, +38881608, +7c082e09, +8106b538, +84163355, +7a752e09, +8106a938, +75225580, +5779752e, +8e387975, +31902b55, +76752483, +38815776, +5574802e, +b6387b54, +79537a52, +7551a739, +81178c17, +57577777, +26ffa638, +77101870, +8429f405, +54557852, +8c195191, +ca3f7b54, +79537a52, +7851febd, +3f815776, +800c8d3d, +0d04fe3d, +0d748180, +90525380, +ff527008, +73082e09, +81068938, +80710c80, +0b84120c, +ff128812, +52527180, +25e43884, +3d0d04f3, +3d0d7f61, +63657312, +70335b59, +5e5f5d5e, +7580dc38, +81753475, +8180940b, +8180905a, +5a5a7d82, +2b1c7808, +881a5a56, +56747608, +2e098106, +ad388056, +757b27a6, +387b5776, +70840558, +08557479, +082e0981, +068c387a, +547c537b, +527551ff, +a63f8116, +567a7626, +de38811a, +881a5a5a, +80ff7a27, +ffb4388f, +3d0d04fe, +d43d0d81, +af3d085d, +80708180, +900bb13d, +5f5b5c57, +78085574, +802eb338, +84190880, +2eac3880, +56757727, +9a3874af, +3d5b5879, +7084055b, +08557478, +2e943881, +16567676, +26ed3878, +087c7084, +055e0c81, +1757811b, +881a5a5b, +80ff7b27, +ffba3880, +56757727, +92388e3d, +58751855, +80753481, +16567676, +26f33880, +56757727, +ae38ae3d, +58777084, +05590855, +747d082e, +09810693, +38765481, +ae3dfb80, +1154fc80, +05527551, +fded3f81, +16567676, +26d73880, +56757727, +b53881b0, +3d087008, +903db13d, +5c5c5955, +78708405, +5a085574, +782e0981, +06903875, +1a703351, +5574802e, +85388155, +8b398116, +56767626, +db388055, +74800c81, +ae3d0d04, +fb3d0d02, +9f053356, +8055b039, +75752ea8, +3875822b, +81889005, +75117681, +ff065351, +53d58b3f, +80087652, +54d5833f, +73528008, +51fde03f, +80087334, +811555e6, +873f8008, +7526c938, +873d0d04, +ef3d0d65, +02840580, +cf053302, +880580d2, +05228813, +70597158, +72982b70, +982c585d, +4042425e, +80c05280, +fa9051fa, +d93f8008, +81ff0659, +78802e82, +bb3880fa, +985cbf0b, +80fa905b, +5b881a08, +802e9e38, +80f8c822, +821b2271, +71317083, +ffff0651, +5b435f82, +79278638, +7b51fbc2, +3fff1b8c, +1b8c1e5e, +5b5b7a80, +25cf387c, +51fbaf3f, +80705a5f, +9a397881, +ff0651d3, +dd3f881e, +08800808, +2e098106, +8338815f, +811959e4, +df3f8008, +7926df38, +805c7d08, +7c2e80d9, +388c1e5b, +7e802e88, +38841e08, +7c26bd38, +800b8180, +905a5a78, +088c387c, +08790c7a, +08841a0c, +a739811a, +881a5a5a, +80ff7a27, +e63887f8, +53818090, +52818098, +518bf43f, +7c088180, +900c7a08, +8180940c, +811c841c, +5c5c7d08, +7c26ffac, +3880f8d8, +0bfc115b, +5b805d8f, +5c7c8429, +80f8d005, +59881908, +881f082e, +bc387851, +f8853f80, +0881ff06, +5978802e, +ac387933, +51ebb63f, +800881ff, +06597880, +2e9b387d, +0884298c, +05587d57, +7a567f55, +84815480, +538f5279, +3351d7ad, +3fff1c83, +1e8c1c8c, +1e5e5c5e, +5c7b8025, +ffa33860, +51fcc53f, +933d0d04, +f53d0d62, +028405b7, +05330288, +0580c205, +22028c05, +80c60522, +5d5b5d5b, +7884802e, +89387884, +812e9838, +9f396358, +7a577e56, +79557854, +80539052, +7b51d6d5, +3f8a397a, +5379527b, +51fcc13f, +8d3d0d04, +fdf73d0d, +0288af05, +335a8059, +81c2398b, +3d558880, +53805274, +518bc33f, +7881ff06, +51d0ff3f, +8008085d, +80750c80, +5c8056ad, +3978762e, +a5387408, +8429158c, +117781ff, +06537608, +8105770c, +5154d0d6, +3f800808, +740c8415, +08810584, +160c8116, +56e1d93f, +80087626, +cc38800b, +80f8d057, +58740882, +2b901155, +577387ff, +26b93875, +51f5e83f, +800881ff, +06547380, +2e9d3884, +16335478, +742e0981, +06913874, +178c0588, +1708710c, +54740881, +05750c81, +188c1757, +588f7827, +ffbb3874, +5380f8cc, +22811155, +557380f8, +cc237483, +ffff0652, +7951faf0, +3f811959, +e0e63f80, +087926fe, +b638828b, +3d0d04fa, +3d0d02a3, +05330284, +05ae0522, +57577584, +802e0981, +06a93879, +5502b205, +22547698, +2b70982c, +54569052, +80f8d051, +f5b43f80, +0881ff06, +5675802e, +86387651, +fde23f88, +3d0d04fa, +3d0d80d7, +90538052, +8f51d083, +3f80d9bb, +53805290, +51cff83f, +800b8188, +90575780, +55741654, +81743481, +15558375, +27f33881, +17841757, +57837727, +e638883d, +0d04ff3d, +0d738188, +a00c7481, +88a40c81, +88a85283, +5180720c, +8812ff12, +52527080, +25f33883, +3d0d04fe, +3d0d7453, +72802e9c, +388188a0, +0851702d, +80085281, +88a00851, +702d8008, +72315172, +7126f038, +843d0d04, +ff3d0d87, +e8528188, +a408518c, +3f738008, +2951c43f, +833d0d04, +8c08028c, +0cfd3d0d, +80538c08, +8c050852, +8c088805, +085182de, +3f800870, +800c5485, +3d0d8c0c, +048c0802, +8c0cfd3d, +0d81538c, +088c0508, +528c0888, +05085182, +b93f8008, +70800c54, +853d0d8c, +0c048c08, +028c0cf9, +3d0d800b, +8c08fc05, +0c8c0888, +05088025, +ab388c08, +88050830, +8c088805, +0c800b8c, +08f4050c, +8c08fc05, +08883881, +0b8c08f4, +050c8c08, +f405088c, +08fc050c, +8c088c05, +088025ab, +388c088c, +0508308c, +088c050c, +800b8c08, +f0050c8c, +08fc0508, +8838810b, +8c08f005, +0c8c08f0, +05088c08, +fc050c80, +538c088c, +0508528c, +08880508, +5181a73f, +8008708c, +08f8050c, +548c08fc, +0508802e, +8c388c08, +f8050830, +8c08f805, +0c8c08f8, +05087080, +0c54893d, +0d8c0c04, +8c08028c, +0cfb3d0d, +800b8c08, +fc050c8c, +08880508, +80259338, +8c088805, +08308c08, +88050c81, +0b8c08fc, +050c8c08, +8c050880, +258c388c, +088c0508, +308c088c, +050c8153, +8c088c05, +08528c08, +88050851, +ad3f8008, +708c08f8, +050c548c, +08fc0508, +802e8c38, +8c08f805, +08308c08, +f8050c8c, +08f80508, +70800c54, +873d0d8c, +0c048c08, +028c0cfd, +3d0d810b, +8c08fc05, +0c800b8c, +08f8050c, +8c088c05, +088c0888, +050827ac, +388c08fc, +0508802e, +a338800b, +8c088c05, +08249938, +8c088c05, +08108c08, +8c050c8c, +08fc0508, +108c08fc, +050cc939, +8c08fc05, +08802e80, +c9388c08, +8c05088c, +08880508, +26a1388c, +08880508, +8c088c05, +08318c08, +88050c8c, +08f80508, +8c08fc05, +08078c08, +f8050c8c, +08fc0508, +812a8c08, +fc050c8c, +088c0508, +812a8c08, +8c050cff, +af398c08, +90050880, +2e8f388c, +08880508, +708c08f4, +050c518d, +398c08f8, +0508708c, +08f4050c, +518c08f4, +0508800c, +853d0d8c, +0c04fc3d, +0d787779, +56565283, +72278c38, +74740783, +06517080, +2eb038ff, +125271ff, +2ea03874, +33743352, +5372712e, +098106bd, +38811581, +15ff1454, +555571ff, +2e098106, +e238800b, +800c863d, +0d047474, +54517008, +73082e09, +81068f38, +84118414, +fc145454, +51718326, +e9387073, +5555ffaf, +39727131, +800c863d, +0d04fc3d, +0d767079, +7b555555, +558f7227, +8c387275, +07830651, +70802ea7, +38ff1252, +71ff2e98, +38727081, +05543374, +70810556, +34ff1252, +71ff2e09, +8106ea38, +74800c86, +3d0d0474, +51727084, +05540871, +70840553, +0c727084, +05540871, +70840553, +0c727084, +05540871, +70840553, +0c727084, +05540871, +70840553, +0cf01252, +718f26c9, +38837227, +95387270, +84055408, +71708405, +530cfc12, +52718326, +ed387054, +ff8339fa, +3d0d787a, +7c727272, +57575759, +56567476, +27b23876, +15517571, +27aa3870, +7717ff14, +54555371, +ff2e9638, +ff14ff14, +54547233, +7434ff12, +5271ff2e, +098106ec, +3875800c, +883d0d04, +768f2697, +38ff1252, +71ff2eed, +38727081, +05543374, +70810556, +34eb3974, +76078306, +5170e238, +75755451, +72708405, +54087170, +8405530c, +72708405, +54087170, +8405530c, +72708405, +54087170, +8405530c, +72708405, +54087170, +8405530c, +f0125271, +8f26c938, +83722795, +38727084, +05540871, +70840553, +0cfc1252, +718326ed, +387054ff, +8839fc3d, +0d767971, +028c059f, +05335755, +53558372, +278a3874, +83065170, +802ea238, +ff125271, +ff2e9338, +73737081, +055534ff, +125271ff, +2e098106, +ef387480, +0c863d0d, +04747488, +2b750770, +71902b07, +5154518f, +7227a538, +72717084, +05530c72, +71708405, +530c7271, +70840553, +0c727170, +8405530c, +f0125271, +8f26dd38, +83722790, +38727170, +8405530c, +fc125271, +8326f238, +7053ff90, +39fd3d0d, +800b80e7, +94085454, +72812e9d, +38738188, +c80cffa4, +c23fffa3, +9b3f80e8, +90528151, +ffb7e73f, +800851a3, +3f728188, +c80cffa4, +a63fffa2, +ff3f80e8, +90528151, +ffb7cb3f, +80085187, +3f00ff39, +00ff39f7, +3d0d7b80, +e8940882, +c811085a, +545a7780, +2e80da38, +81881884, +1908ff05, +81712b59, +55598074, +2480ea38, +807424b5, +3873822b, +78118805, +56568180, +19087706, +5372802e, +b6387816, +70085353, +79517408, +53722dff, +14fc17fc, +1779812c, +5a575754, +738025d6, +38770858, +77ffad38, +80e89408, +53bc1308, +a5387951, +ff833f74, +0853722d, +ff14fc17, +fc177981, +2c5a5757, +54738025, +ffa838d1, +398057ff, +93397251, +bc130853, +722d7951, +fed73fff, +3d0d80ef, +980bfc05, +70085252, +70ff2e91, +38702dfc, +12700852, +5270ff2e, +098106f1, +38833d0d, +0404ffa3, +a93f0400, +00ffffff, +ff00ffff, +ffff00ff, +ffffff00, +00000040, +00000000, +64756d6d, +792e6578, +65000000, +43000000, +00000000, +00000000, +00000000, +000037a0, +00000000, +00000000, +0050c285, +3fff0000, +0050c285, +3f330000, +c0a80a01, +ffffff00, +ffffff00, +ffffff00, +ffffff00, +c0a80a02, +c0a81402, +c0a81e02, +c0a82802, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00006000, +00003380, +00003418, +00000000, +00003680, +000036dc, +00003738, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +0000338c, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000001, +330eabcd, +1234e66d, +deec0005, +000b0000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +ffffffff, +00000000, +ffffffff, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000, +00000000; \ No newline at end of file diff --git a/fpga/usrp3/top/x300/ip/bootram/bootram.xci b/fpga/usrp3/top/x300/ip/bootram/bootram.xci new file mode 100644 index 000000000..ec46d7a5f --- /dev/null +++ b/fpga/usrp3/top/x300/ip/bootram/bootram.xci @@ -0,0 +1,318 @@ + + + xilinx.com + xci + unknown + 1.0 + + + bootram + + + 4096 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + OTHER + NONE + 8192 + 32 + 1 + + OTHER + NONE + 8192 + 32 + 1 + + + 100000000 + 0 + 0.000 + 0 + 13 + 13 + 1 + 4 + 0 + 1 + 8 + 0 + 0 + 8 + NONE + 0 + 0 + 0 + ./ + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + Estimated Power for IP : 20.388 mW + kintex7 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + bootram.mem + bootram.mif + 0 + 1 + 0 + 0 + 1 + 8192 + 8192 + 1 + 1 + 32 + 32 + 0 + 0 + CE + CE + ALL + 0 + 1 + 1 + 1 + 0 + 0 + 0 + 4 + 4 + 8192 + 8192 + WRITE_FIRST + WRITE_FIRST + 32 + 32 + kintex7 + 4 + Memory_Slave + AXI4_Full + false + Minimum_Area + false + 8 + NONE + bootram.coe + ALL + bootram + false + false + false + false + false + false + false + false + false + Use_ENA_Pin + Always_Enabled + Single_Bit_Error_Injection + true + Native + true + no_Mem_file_loaded + Single_Port_RAM + WRITE_FIRST + WRITE_FIRST + 0 + 0 + BRAM + 0 + 100 + 100 + 50 + 100 + 100 + 50 + 8kx2 + false + false + 1 + 1 + 32 + 32 + false + false + false + false + 0 + false + false + CE + CE + SYNC + false + true + false + false + false + false + false + 8192 + 32 + 32 + No_ECC + false + false + false + Stand_Alone + kintex7 + + + xc7k410t + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 3 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/x300/ip/bus_clk_gen/Makefile.inc b/fpga/usrp3/top/x300/ip/bus_clk_gen/Makefile.inc new file mode 100644 index 000000000..e05a173c3 --- /dev/null +++ b/fpga/usrp3/top/x300/ip/bus_clk_gen/Makefile.inc @@ -0,0 +1,15 @@ +# +# Copyright 2014 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_BUS_CLK_GEN_SRCS = $(IP_BUILD_DIR)/bus_clk_gen/bus_clk_gen.xci + +IP_BUS_CLK_GEN_OUTS = $(addprefix $(IP_BUILD_DIR)/bus_clk_gen/, \ +bus_clk_gen.xci.out \ +bus_clk_gen.v \ +) + +$(IP_BUS_CLK_GEN_SRCS) $(IP_BUS_CLK_GEN_OUTS) : $(IP_DIR)/bus_clk_gen/bus_clk_gen.xci + $(call BUILD_VIVADO_IP,bus_clk_gen,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) diff --git a/fpga/usrp3/top/x300/ip/bus_clk_gen/bus_clk_gen.xci b/fpga/usrp3/top/x300/ip/bus_clk_gen/bus_clk_gen.xci new file mode 100644 index 000000000..dd1f688fb --- /dev/null +++ b/fpga/usrp3/top/x300/ip/bus_clk_gen/bus_clk_gen.xci @@ -0,0 +1,786 @@ + + + xilinx.com + xci + unknown + 1.0 + + + bus_clk_gen + + + false + 100000000 + false + 100000000 + false + 100000000 + false + 100000000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + 1 + LEVEL_HIGH + + + + 100000000 + 0 + 0.000 + 0 + 0 + + 100000000 + 0 + 0.000 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 0 + MMCM + cddcdone + cddcreq + 0000 + 0000 + CLKFB_IN_N + CLKFB_IN + CLKFB_IN_P + SINGLE + CLKFB_OUT_N + CLKFB_OUT + CLKFB_OUT_P + CLKFB_STOPPED + 80.0 + 100.0 + 0000 + 0000 + 187.500 + 0000 + 0000 + 125.000 + BUFG + 50.0 + false + 187.500 + 0.000 + 50.000 + 187.5 + 0.00 + 1 + 0000 + 0000 + 93.750 + No_buffer + 50.0 + false + 125.000 + 0.000 + 50.000 + 125.000 + 0.000 + 1 + 1 + 0000 + 0000 + 214.286 + BUFG + 50.0 + false + 93.750 + 0.000 + 50.000 + 93.75 + 0.000 + 1 + 1 + 0000 + 0000 + 100.000 + BUFG + 50.0 + false + 214.286 + 0.000 + 50.000 + 215 + 0.000 + 1 + 1 + 0000 + 0000 + 100.000 + BUFG + 50.000 + false + 100.000 + 0.000 + 50.000 + 100.000 + 0.000 + 1 + 0 + 0000 + 0000 + 100.000 + BUFG + 50.000 + false + 100.000 + 0.000 + 50.000 + 100.000 + 0.000 + 1 + 0 + BUFG + 50.000 + false + 100.000 + 0.000 + 50.000 + 100.000 + 0.000 + 1 + 0 + VCO + CLK_IN_SEL + CLK_OUT1 + CLK_OUT2_UNBUF + CLK_OUT3 + CLK_OUT4 + clk_out5 + clk_out6 + clk_out7 + CLK_VALID + NA + DADDR + DCLK + DEN + DIN + 0000 + 1 + 1.5 + 2.0 + 0.872093023255814 + 1.875 + 1.875 + 1.875 + DOUT + DRDY + DWE + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + FDBK_ONCHIP + 0000 + 0000 + 0 + Input Clock Freq (MHz) Input Jitter (UI) + __primary_________125.000____________0.010 + no_secondary_input_clock + INPUT_CLK_STOPPED + 0 + Units_MHz + No_Jitter + LOCKED + 0000 + 0000 + 0000 + false + false + false + false + false + false + false + false + OPTIMIZED + 12.000 + 0.000 + FALSE + 8.000 + 10.000 + 8.000 + 0.500 + 0.000 + FALSE + 12 + 0.500 + 0.000 + FALSE + 16 + 0.500 + 0.000 + FALSE + 7 + 0.500 + 0.000 + FALSE + FALSE + 1 + 0.500 + 0.000 + FALSE + 1 + 0.500 + 0.000 + FALSE + 1 + 0.500 + 0.000 + FALSE + FALSE + ZHOLD + 1 + None + 0.010 + 0.010 + FALSE + 4 + Output Output Phase Duty Cycle Pk-to-Pk Phase + Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) + CLK_OUT1___187.500______0.000______50.0_______85.263_____73.940 + CLK_OUT2_UNBUF___125.000______0.000______50.0_______91.831_____73.940 + CLK_OUT3____93.750______0.000______50.0_______96.813_____73.940 + CLK_OUT4___214.286______0.000______50.0_______83.210_____73.940 + no_CLK_OUT5_output + no_CLK_OUT6_output + no_CLK_OUT7_output + 0 + 0 + WAVEFORM + UNKNOWN + false + false + false + false + false + OPTIMIZED + 1 + 0.000 + 1.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + CLKFBOUT + SYSTEM_SYNCHRONOUS + 1 + No notes + 0.010 + POWER_DOWN + 0000 + 1 + CLK_IN1 + PLL + AUTO + 125.000 + 0.010 + 10.000 + No_buffer + PSCLK + PSDONE + PSEN + PSINCDEC + 100.0 + 0 + RESET + 100.000 + 0.010 + 10.000 + clk_in2 + Single_ended_clock_capable_pin + CENTER_HIGH + 4000 + 0.004 + STATUS + 11 + 32 + 100.0 + 100.0 + 100.0 + 100.0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + bus_clk_gen + MMCM + false + DONE + cddcdone + cddcreq + CLKFB_IN_N + CLKFB_IN + CLKFB_IN_P + SINGLE + CLKFB_OUT_N + CLKFB_OUT + CLKFB_OUT_P + CLKFB_STOPPED + 80.0 + 0.010 + 100.0 + 0.010 + BUFG + 85.263 + false + 73.940 + 50.000 + 187.5 + 0.00 + 1 + true + No_buffer + 91.831 + false + 73.940 + 50.000 + 125.000 + 0.000 + 1 + true + BUFG + 96.813 + false + 73.940 + 50.000 + 93.75 + 0.000 + 1 + true + BUFG + 83.210 + false + 73.940 + 50.000 + 215 + 0.000 + 1 + true + BUFG + 0.0 + false + 0.0 + 50.000 + 100.000 + 0.000 + 1 + false + BUFG + 0.0 + false + 0.0 + 50.000 + 100.000 + 0.000 + 1 + false + BUFG + 0.0 + false + 0.0 + 50.000 + 100.000 + 0.000 + 1 + false + 600.000 + Custom + Custom + CLK_IN_SEL + CLK_OUT1 + false + CLK_OUT2_UNBUF + false + CLK_OUT3 + false + CLK_OUT4 + false + clk_out5 + false + clk_out6 + false + clk_out7 + false + CLK_VALID + MANUAL + bus_clk_gen + DADDR + DCLK + DEN + Custom + Custom + DIN + DOUT + DRDY + DWE + false + false + false + false + false + false + false + false + false + FDBK_ONCHIP + INPUT_CLK_STOPPED + frequency + Enable_AXI + Units_MHz + Units_UI + UI + No_Jitter + LOCKED + OPTIMIZED + 12 + 0.000 + false + 8.000 + 10.000 + 8 + 0.500 + 0.000 + false + 12 + 0.500 + 0.000 + false + 16 + 0.500 + 0.000 + false + 7 + 0.500 + 0.000 + false + false + 1 + 0.500 + 0.000 + false + 1 + 0.500 + 0.000 + false + 1 + 0.500 + 0.000 + false + false + ZHOLD + 1 + None + 0.010 + 0.010 + false + 4 + false + false + WAVEFORM + false + UNKNOWN + OPTIMIZED + 4 + 0.000 + 10.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + CLKFBOUT + SYSTEM_SYNCHRONOUS + 1 + None + 0.010 + POWER_DOWN + 1 + CLK_IN1 + PLL + MMCM_ADV + 125.000 + 0.010 + 10.000 + No_buffer + PSCLK + PSDONE + PSEN + PSINCDEC + 100.0 + REL_PRIMARY + Custom + RESET + ACTIVE_HIGH + 100.000 + 0.010 + 10.000 + clk_in2 + Single_ended_clock_capable_pin + CENTER_HIGH + 250 + 0.004 + STATUS + empty + 100.0 + 100.0 + 100.0 + 100.0 + false + false + false + false + false + false + false + true + false + false + true + false + false + false + true + false + false + false + false + false + kintex7 + + + xc7k410t + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 3 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/x300/ip/ddr3_32bit/Makefile.inc b/fpga/usrp3/top/x300/ip/ddr3_32bit/Makefile.inc new file mode 100644 index 000000000..90b0be153 --- /dev/null +++ b/fpga/usrp3/top/x300/ip/ddr3_32bit/Makefile.inc @@ -0,0 +1,26 @@ +# +# Copyright 2014 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_DDR3_32BIT_SRCS = \ +$(IP_BUILD_DIR)/ddr3_32bit/ddr3_32bit.xci \ +$(IP_BUILD_DIR)/ddr3_32bit/ddr3_32bit/user_design/rtl/clocking/mig_7series_v4_2_tempmon.v + +IP_DDR3_32BIT_OUTS = $(addprefix $(IP_BUILD_DIR)/ddr3_32bit/, \ +ddr3_32bit.xci.out \ +ddr3_32bit/user_design/rtl/ddr3_32bit.v \ +ddr3_32bit/user_design/rtl/ddr3_32bit_mig.v \ +) + +IP_DDR3_32BIT_SIM_OUTS = $(addprefix $(IP_BUILD_DIR)/ddr3_32bit/, \ +ddr3_32bit/example_design/sim/ddr3_model.sv \ +ddr3_32bit/example_design/sim/ddr3_model_parameters.vh \ +) + + +$(IP_DDR3_32BIT_SRCS) $(IP_DDR3_32BIT_OUTS) : $(IP_DIR)/ddr3_32bit/ddr3_32bit.xci $(IP_DIR)/ddr3_32bit/mig_*.prj + cp -f $(IP_DIR)/ddr3_32bit/mig_$(subst /,,$(PART_ID)).prj $(IP_DIR)/ddr3_32bit/mig_a.prj # Note: This won't allow parallel IP builds + $(call BUILD_VIVADO_IP,ddr3_32bit,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) + rm -f $(IP_DIR)/ddr3_32bit/mig_a.prj diff --git a/fpga/usrp3/top/x300/ip/ddr3_32bit/ddr3_32bit.xci b/fpga/usrp3/top/x300/ip/ddr3_32bit/ddr3_32bit.xci new file mode 100644 index 000000000..58fad4f82 --- /dev/null +++ b/fpga/usrp3/top/x300/ip/ddr3_32bit/ddr3_32bit.xci @@ -0,0 +1,2645 @@ + + + xilinx.com + xci + unknown + 1.0 + + + ddr3_32bit + + + 0 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 0 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + false + 100000000 + + + + 100000000 + 0 + 0.000 + + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 0 + false + 100000000 + + + + 100000000 + 0 + 0.000 + 30 + 0 + 0 + 0 + + 256 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 1 + 0 + 256 + 2 + 1 + 2 + 1 + 0.000 + AXI4 + READ_WRITE + 0 + 0 + 1 + 0 + 0 + 1 + 0 + 0 + 0 + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 32 + 32 + 32 + 4 + 1048576 + 32 + 4 + 1048576 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 100.0 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + FALSE + 10.0 + FALSE + 10 + FALSE + 10 + FALSE + 10 + FALSE + 10 + 1200.0 + 0.000 + ACTIVE_LOW + 29 + 1 + 8 + 18 + OFF + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + DIFF + FALSE + 0 + 0 + 30 + 32 + 32 + 4 + 1048576 + 256 + 1 + 1073741824 + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + 1 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + 29 + 3 + 1 + 1 + 1 + 32 + OFF + 4 + 2 + 4 + 32 + 1 + OFF + 15 + 1 + 1 + 1 + 4 + 1 + 8 + 8 + 2 + OFF + 1 + OFF + 150060024 + FALSE + 8 + 3 + 1 + 1 + 1 + 8 + OFF + 1 + 1 + 1 + 8 + OFF + 14 + 1 + 1 + 1 + 2 + 1 + DDR3 + TRUE + 300000000 + TRUE + 200000000 + FALSE + 1200000000 + FALSE + 1200000000 + FALSE + 1200000000 + 1200 + 1 + 0.000 + ACTIVE_HIGH + 29 + 1 + 8 + 18 + OFF + 1 + NOBUF + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 1 + 18 + OFF + 1 + 1 + 1 + 8 + 1 + 29 + 1 + 29 + 2 + 1 + 18 + 1 + 1 + SINGLE + EXTERNAL + TRUE + 1 + Custom + ddr3_32bit + Custom + Custom + mig_a.prj + kintex7 + + + xc7k410t + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 1 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/x300/ip/ddr3_32bit/mig_xc7k325tffg900-2.prj b/fpga/usrp3/top/x300/ip/ddr3_32bit/mig_xc7k325tffg900-2.prj new file mode 100644 index 000000000..ed5c3dcaa --- /dev/null +++ b/fpga/usrp3/top/x300/ip/ddr3_32bit/mig_xc7k325tffg900-2.prj @@ -0,0 +1,163 @@ + + + + ddr3_32bit + 1 + 1 + OFF + 1024 + ON + Disabled + xc7k325t-ffg900/-2 + 4.0 + Single-Ended + No Buffer + ACTIVE HIGH + FALSE + 0 + 50 Ohms + 0 + + 7k/xc7k325t-ffg900 + + + DDR3_SDRAM/Components/MT41J256m16XX-125 + 1666 + 1.8V + 4:1 + 100.04 + 1 + 1200 + 4.000 + 6 + 1 + 1 + 1 + 32 + 1 + 1 + Disabled + Normal + 4 + FALSE + + 15 + 10 + 3 + 1.5V + ROW_BANK_COLUMN + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 8 - Fixed + Sequential + 9 + Normal + No + Slow Exit + Enable + RZQ/7 + Disable + Enable + RZQ/4 + 0 + Disabled + Enabled + Output Buffer Enabled + Full Array + 7 + Enabled + Normal + Dynamic ODT off + AXI + + ROUND_ROBIN + 30 + 256 + 1 + 1 + + + + diff --git a/fpga/usrp3/top/x300/ip/ddr3_32bit/mig_xc7k410tffg900-2.prj b/fpga/usrp3/top/x300/ip/ddr3_32bit/mig_xc7k410tffg900-2.prj new file mode 100644 index 000000000..00c7fa345 --- /dev/null +++ b/fpga/usrp3/top/x300/ip/ddr3_32bit/mig_xc7k410tffg900-2.prj @@ -0,0 +1,163 @@ + + + + ddr3_32bit + 1 + 1 + OFF + 1024 + ON + Disabled + xc7k410t-ffg900/-2 + 4.0 + Single-Ended + No Buffer + ACTIVE HIGH + FALSE + 0 + 50 Ohms + 0 + + 7k/xc7k325t-ffg900 + + + DDR3_SDRAM/Components/MT41J256m16XX-125 + 1666 + 1.8V + 4:1 + 100.04 + 1 + 1200 + 4.000 + 6 + 1 + 1 + 1 + 32 + 1 + 1 + Disabled + Normal + 4 + FALSE + + 15 + 10 + 3 + 1.5V + ROW_BANK_COLUMN + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 8 - Fixed + Sequential + 9 + Normal + No + Slow Exit + Enable + RZQ/7 + Disable + Enable + RZQ/4 + 0 + Disabled + Enabled + Output Buffer Enabled + Full Array + 7 + Enabled + Normal + Dynamic ODT off + AXI + + ROUND_ROBIN + 30 + 256 + 1 + 1 + + + + diff --git a/fpga/usrp3/top/x300/ip/fifo_4k_2clk/Makefile.inc b/fpga/usrp3/top/x300/ip/fifo_4k_2clk/Makefile.inc new file mode 100644 index 000000000..e022d9a1c --- /dev/null +++ b/fpga/usrp3/top/x300/ip/fifo_4k_2clk/Makefile.inc @@ -0,0 +1,15 @@ +# +# Copyright 2014 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_FIFO_4K_2CLK_SRCS = $(IP_BUILD_DIR)/fifo_4k_2clk/fifo_4k_2clk.xci + +IP_FIFO_4K_2CLK_OUTS = $(addprefix $(IP_BUILD_DIR)/fifo_4k_2clk/, \ +fifo_4k_2clk.xci.out \ +synth/fifo_4k_2clk.vhd \ +) + +$(IP_FIFO_4K_2CLK_SRCS) $(IP_FIFO_4K_2CLK_OUTS) : $(IP_DIR)/fifo_4k_2clk/fifo_4k_2clk.xci + $(call BUILD_VIVADO_IP,fifo_4k_2clk,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) diff --git a/fpga/usrp3/top/x300/ip/fifo_4k_2clk/fifo_4k_2clk.xci b/fpga/usrp3/top/x300/ip/fifo_4k_2clk/fifo_4k_2clk.xci new file mode 100644 index 000000000..dddfacc1e --- /dev/null +++ b/fpga/usrp3/top/x300/ip/fifo_4k_2clk/fifo_4k_2clk.xci @@ -0,0 +1,576 @@ + + + xilinx.com + xci + unknown + 1.0 + + + fifo_4k_2clk + + + + + + 100000000 + 0 + 0.000 + + + 100000000 + 0 + 0.000 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + + + 100000000 + 0 + 0.000 + + 100000000 + 0 + 0.000 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + + + 100000000 + 0 + 0.000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 4 + 0 + 32 + 1 + 1 + 1 + 64 + 1 + 8 + 1 + 1 + 1 + 1 + 0 + 0 + 9 + BlankString + 72 + 1 + 32 + 64 + 1 + 64 + 2 + 0 + 72 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + kintex7 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 2 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + 1 + BlankString + 0 + 0 + 0 + 0 + 0 + 1 + 512x72 + 1kx18 + 512x36 + 1kx36 + 512x36 + 1kx36 + 512x36 + 4 + 1022 + 1022 + 1022 + 1022 + 1022 + 1022 + 5 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 511 + 1023 + 1023 + 1023 + 1023 + 1023 + 1023 + 510 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 10 + 512 + 1 + 9 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 2 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 10 + 512 + 1024 + 16 + 1024 + 16 + 1024 + 16 + 1 + 9 + 10 + 4 + 10 + 4 + 10 + 4 + 1 + 32 + 0 + 0 + false + false + false + 0 + 0 + Slave_Interface_Clock_Enable + Common_Clock + fifo_4k_2clk + 64 + false + 9 + true + false + 0 + 4 + 1022 + 1022 + 1022 + 1022 + 1022 + 1022 + 5 + false + false + false + false + false + false + false + false + false + Hard_ECC + false + false + false + false + false + false + true + false + false + true + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Independent_Clocks_Block_RAM + 1 + 511 + 1023 + 1023 + 1023 + 1023 + 1023 + 1023 + 510 + false + false + false + 0 + Native + false + false + false + false + false + false + false + false + false + false + false + false + false + false + 72 + 512 + 1024 + 16 + 1024 + 16 + 1024 + 16 + false + 72 + 512 + Embedded_Reg + false + false + Active_High + Active_High + AXI4 + First_Word_Fall_Through + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + READ_WRITE + 0 + 1 + true + 10 + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + true + Asynchronous_Reset + false + 0 + 0 + 0 + 0 + 0 + 4 + false + false + Active_High + Active_High + true + false + false + true + false + Active_High + 0 + false + Active_High + 1 + true + 10 + false + FIFO + false + false + false + false + FIFO + FIFO + 2 + 2 + false + FIFO + FIFO + FIFO + kintex7 + + + xc7k410t + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 4 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/x300/ip/fifo_short_2clk/Makefile.inc b/fpga/usrp3/top/x300/ip/fifo_short_2clk/Makefile.inc new file mode 100644 index 000000000..8c5c54213 --- /dev/null +++ b/fpga/usrp3/top/x300/ip/fifo_short_2clk/Makefile.inc @@ -0,0 +1,15 @@ +# +# Copyright 2014 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_FIFO_SHORT_2CLK_SRCS = $(IP_BUILD_DIR)/fifo_short_2clk/fifo_short_2clk.xci + +IP_FIFO_SHORT_2CLK_OUTS = $(addprefix $(IP_BUILD_DIR)/fifo_short_2clk/, \ +fifo_short_2clk.xci.out \ +synth/fifo_short_2clk.vhd \ +) + +$(IP_FIFO_SHORT_2CLK_SRCS) $(IP_FIFO_SHORT_2CLK_OUTS) : $(IP_DIR)/fifo_short_2clk/fifo_short_2clk.xci + $(call BUILD_VIVADO_IP,fifo_short_2clk,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) diff --git a/fpga/usrp3/top/x300/ip/fifo_short_2clk/fifo_short_2clk.xci b/fpga/usrp3/top/x300/ip/fifo_short_2clk/fifo_short_2clk.xci new file mode 100644 index 000000000..49761459c --- /dev/null +++ b/fpga/usrp3/top/x300/ip/fifo_short_2clk/fifo_short_2clk.xci @@ -0,0 +1,578 @@ + + + xilinx.com + xci + unknown + 1.0 + + + fifo_short_2clk + + + + + + 100000000 + 0 + 0.000 + + + 100000000 + 0 + 0.000 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + + + 100000000 + 0 + 0.000 + + 100000000 + 0 + 0.000 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + + + 100000000 + 0 + 0.000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 4 + 0 + 32 + 1 + 1 + 1 + 64 + 1 + 8 + 1 + 1 + 1 + 1 + 0 + 0 + 5 + BlankString + 72 + 1 + 32 + 64 + 1 + 64 + 2 + 0 + 72 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + kintex7 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 2 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + 2 + BlankString + 1 + 0 + 0 + 0 + 0 + 1 + 512x72 + 1kx18 + 512x36 + 1kx36 + 512x36 + 1kx36 + 512x36 + 4 + 1022 + 1022 + 1022 + 1022 + 1022 + 1022 + 5 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 31 + 1023 + 1023 + 1023 + 1023 + 1023 + 1023 + 30 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 6 + 32 + 1 + 5 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 3 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 6 + 32 + 1024 + 16 + 1024 + 16 + 1024 + 16 + 1 + 5 + 10 + 4 + 10 + 4 + 10 + 4 + 1 + 32 + 0 + 0 + false + false + false + 0 + 0 + Slave_Interface_Clock_Enable + Common_Clock + fifo_short_2clk + 64 + false + 5 + false + false + 0 + 4 + 1022 + 1022 + 1022 + 1022 + 1022 + 1022 + 5 + false + false + false + false + false + false + false + false + false + Hard_ECC + false + false + false + false + false + false + true + false + false + true + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Independent_Clocks_Distributed_RAM + 1 + 31 + 1023 + 1023 + 1023 + 1023 + 1023 + 1023 + 30 + false + false + false + 0 + Native + false + false + false + false + false + false + false + false + false + false + false + false + false + false + 72 + 32 + 1024 + 16 + 1024 + 16 + 1024 + 16 + false + 72 + 32 + Embedded_Reg + false + false + Active_High + Active_High + AXI4 + First_Word_Fall_Through + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + READ_WRITE + 0 + 1 + true + 6 + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + true + Asynchronous_Reset + false + 0 + 0 + 0 + 0 + 0 + 4 + false + false + Active_High + Active_High + true + false + false + true + false + Active_High + 0 + false + Active_High + 1 + true + 6 + false + FIFO + false + false + false + false + FIFO + FIFO + 3 + 2 + false + FIFO + FIFO + FIFO + kintex7 + + + xc7k410t + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 4 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/x300/ip/input_sample_fifo/Makefile.inc b/fpga/usrp3/top/x300/ip/input_sample_fifo/Makefile.inc new file mode 100644 index 000000000..d79a40e91 --- /dev/null +++ b/fpga/usrp3/top/x300/ip/input_sample_fifo/Makefile.inc @@ -0,0 +1,15 @@ +# +# Copyright 2014 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_INPUT_SAMPLE_FIFO_SRCS = $(IP_BUILD_DIR)/input_sample_fifo/input_sample_fifo.xci + +IP_INPUT_SAMPLE_FIFO_OUTS = $(addprefix $(IP_BUILD_DIR)/input_sample_fifo/, \ +nput_sample_fifo.xci.out \ +synth/input_sample_fifo.vhd \ +) + +$(IP_INPUT_SAMPLE_FIFO_SRCS) $(IP_INPUT_SAMPLE_FIFO_OUTS) : $(IP_DIR)/input_sample_fifo/input_sample_fifo.xci + $(call BUILD_VIVADO_IP,input_sample_fifo,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) diff --git a/fpga/usrp3/top/x300/ip/input_sample_fifo/input_sample_fifo.xci b/fpga/usrp3/top/x300/ip/input_sample_fifo/input_sample_fifo.xci new file mode 100644 index 000000000..1f3e58e2d --- /dev/null +++ b/fpga/usrp3/top/x300/ip/input_sample_fifo/input_sample_fifo.xci @@ -0,0 +1,575 @@ + + + xilinx.com + xci + unknown + 1.0 + + + input_sample_fifo + + + + + + 100000000 + 0 + 0.000 + + + 100000000 + 0 + 0.000 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + + + 100000000 + 0 + 0.000 + + 100000000 + 0 + 0.000 + 0 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 0 + 0 + 0 + undef + 0.000 + 0 + 0 + 0 + 0 + + + + 100000000 + 0 + 0.000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 4 + 0 + 32 + 1 + 1 + 1 + 64 + 1 + 8 + 1 + 1 + 1 + 1 + 0 + 0 + 4 + BlankString + 28 + 1 + 32 + 64 + 1 + 64 + 2 + 0 + 28 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + kintex7 + 1 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 2 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + 2 + BlankString + 1 + 0 + 0 + 0 + 0 + 1 + 512x36 + 1kx18 + 512x36 + 1kx36 + 512x36 + 1kx36 + 512x36 + 4 + 1022 + 1022 + 1022 + 1022 + 1022 + 1022 + 5 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 15 + 1023 + 1023 + 1023 + 1023 + 1023 + 1023 + 14 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 4 + 16 + 1 + 4 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 2 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 4 + 16 + 1024 + 16 + 1024 + 16 + 1024 + 16 + 1 + 4 + 10 + 4 + 10 + 4 + 10 + 4 + 1 + 32 + 0 + 0 + false + true + false + 0 + 0 + Slave_Interface_Clock_Enable + Common_Clock + input_sample_fifo + 64 + false + 4 + false + false + 0 + 4 + 1022 + 1022 + 1022 + 1022 + 1022 + 1022 + 5 + false + false + false + false + false + false + false + false + false + Hard_ECC + false + false + false + false + false + false + true + false + false + true + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Data_FIFO + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Common_Clock_Block_RAM + Independent_Clocks_Distributed_RAM + 1 + 15 + 1023 + 1023 + 1023 + 1023 + 1023 + 1023 + 14 + false + false + false + 0 + Native + false + false + false + false + false + false + false + false + false + false + false + false + false + false + 28 + 16 + 1024 + 16 + 1024 + 16 + 1024 + 16 + false + 28 + 16 + Embedded_Reg + false + false + Active_High + Active_High + AXI4 + First_Word_Fall_Through + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Empty_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + No_Programmable_Full_Threshold + READ_WRITE + 0 + 1 + false + 4 + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + Fully_Registered + true + Asynchronous_Reset + false + 0 + 0 + 0 + 0 + 0 + 4 + false + false + Active_High + Active_High + true + false + false + false + false + Active_High + 0 + false + Active_High + 1 + false + 4 + false + FIFO + false + false + false + false + FIFO + FIFO + 2 + 2 + false + FIFO + FIFO + FIFO + kintex7 + + + xc7k410t + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 4 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/Makefile.inc b/fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/Makefile.inc new file mode 100644 index 000000000..9c14cc13a --- /dev/null +++ b/fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/Makefile.inc @@ -0,0 +1,50 @@ +# +# Copyright 2008-2013 Ettus Research LLC +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +ONE_GIGE_PHY_SRCS = \ +$(IP_DIR)/one_gig_eth_pcs_pma/one_gige_phy_clk_gen.v \ +$(IP_DIR)/one_gig_eth_pcs_pma/one_gige_phy.v \ +$(IP_ONE_GIG_ETH_PCS_PMA_EXAMPLE_SRCS) + +IP_ONE_GIG_ETH_PCS_PMA_EXAMPLE_SRCS = $(addprefix $(IP_BUILD_DIR)/one_gig_eth_pcs_pma_ex/imports/, \ +one_gig_eth_pcs_pma_example_design.v \ +one_gig_eth_pcs_pma_reset_sync_ex.v \ +one_gig_eth_pcs_pma_sync_block_ex.v \ +one_gig_eth_pcs_pma_tx_elastic_buffer.v \ +one_gig_eth_pcs_pma_clocking.v \ +one_gig_eth_pcs_pma_gt_common.v \ +one_gig_eth_pcs_pma_resets.v \ +one_gig_eth_pcs_pma_support.v \ +) + +IP_ONE_GIG_ETH_PCS_PMA_SRCS = $(IP_BUILD_DIR)/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma.xci + +IP_ONE_GIG_ETH_PCS_PMA_OUTS = $(addprefix $(IP_BUILD_DIR)/one_gig_eth_pcs_pma/, \ +one_gig_eth_pcs_pma.xci.out \ +synth/one_gig_eth_pcs_pma_block.v \ +synth/one_gig_eth_pcs_pma_reset_sync.v \ +synth/one_gig_eth_pcs_pma.v \ +synth/one_gig_eth_pcs_pma_ooc.xdc \ +synth/one_gig_eth_pcs_pma_sync_block.v \ +synth/one_gig_eth_pcs_pma.xdc \ +synth/transceiver/one_gig_eth_pcs_pma_gtwizard_gt.v \ +synth/transceiver/one_gig_eth_pcs_pma_gtwizard.v \ +synth/transceiver/one_gig_eth_pcs_pma_transceiver.v \ +synth/transceiver/one_gig_eth_pcs_pma_gtwizard_init.v \ +synth/transceiver/one_gig_eth_pcs_pma_reset_wtd_timer.v \ +synth/transceiver/one_gig_eth_pcs_pma_tx_startup_fsm.v \ +synth/transceiver/one_gig_eth_pcs_pma_gtwizard_multi_gt.v \ +synth/transceiver/one_gig_eth_pcs_pma_rx_startup_fsm.v \ +) + +$(IP_ONE_GIG_ETH_PCS_PMA_EXAMPLE_SRCS) : $(IP_ONE_GIG_ETH_PCS_PMA_OUTS) + +$(IP_ONE_GIG_ETH_PCS_PMA_SRCS) $(IP_ONE_GIG_ETH_PCS_PMA_OUTS) : $(IP_DIR)/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma.xci + $(call BUILD_VIVADO_IP,one_gig_eth_pcs_pma,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),1) + cp $(IP_BUILD_DIR)/one_gig_eth_pcs_pma_ex/imports/one_gig_eth_pcs_pma_clocking.v $(IP_BUILD_DIR)/one_gig_eth_pcs_pma_ex/imports/one_gig_eth_pcs_pma_clocking.v.orig + patch $(IP_BUILD_DIR)/one_gig_eth_pcs_pma_ex/imports/one_gig_eth_pcs_pma_clocking.v $(IP_DIR)/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_clocking.v.patch + cp $(IP_BUILD_DIR)/one_gig_eth_pcs_pma_ex/imports/one_gig_eth_pcs_pma_support.v $(IP_BUILD_DIR)/one_gig_eth_pcs_pma_ex/imports/one_gig_eth_pcs_pma_support.v.orig + patch $(IP_BUILD_DIR)/one_gig_eth_pcs_pma_ex/imports/one_gig_eth_pcs_pma_support.v $(IP_DIR)/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_support.v.patch diff --git a/fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma.xci b/fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma.xci new file mode 100644 index 000000000..245a6dd8b --- /dev/null +++ b/fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma.xci @@ -0,0 +1,353 @@ + + + xilinx.com + xci + unknown + 1.0 + + + one_gig_eth_pcs_pma + + + 1 + 1 + 1 + 1 + + + + 0 + + + + 0 + + + 0 + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + 100000000 + 0 + 0.000 + + + 100000000 + 0 + 0.000 + false + false + false + false + 0 + + + + 0 + + + + 0 + false + 100000000 + + + + 0 + + + + 0 + + + + 100000000 + 0 + 0.000 + + + 100000000 + 0 + 0.000 + + + 100000000 + 0 + 0.000 + false + false + false + false + 0 + 0 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + false + false + false + + + + 100000000 + 0 + 0.000 + 0 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + ACTIVE_LOW + ACTIVE_LOW + ACTIVE_LOW + ACTIVE_LOW + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + + 100000000 + 0 + 0.000 + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + + + + 0 + true + 0 + 0 + false + false + DIFF_PAIR_0 + DIFF_PAIR_1 + false + DIFF_PAIR_0 + DIFF_PAIR_1 + kintex7 + 0 + one_gig_eth_pcs_pma + 50 + false + . + false + false + false + false + kintex7 + 8 + 9 + X0Y0 + 7 + 4 + GTH + false + false + false + false + true + false + false + 1 + clk0 + 125 + TXOUTCLK + true + false + one_gig_eth_pcs_pma_gt + false + GTXE2 + false + 1 + false + false + true + xc7k410t + false + 1 + false + false + Sync + one_gig_eth_pcs_pma + Custom + 50 + TEMAC + Custom + 0 + false + false + false + false + X0Y0 + GTH + false + false + 125 + Custom + true + 1G + 1 + Transceiver + 125 + clk0 + TXOUTCLK + DIFF_PAIR_0 + DIFF_PAIR_1 + false + 10_100_1000 + false + 1000BASEX + Include_Shared_Logic_in_Example_Design + Time_of_day + false + DIFF_PAIR_0 + DIFF_PAIR_1 + 1 + false + kintex7 + + + xc7k410t + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 6 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_clocking.v.patch b/fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_clocking.v.patch new file mode 100644 index 000000000..41f963797 --- /dev/null +++ b/fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_clocking.v.patch @@ -0,0 +1,25 @@ +65,66d64 +< input gtrefclk_p, // Differential +ve of reference clock for MGT: 125MHz, very high quality. +< input gtrefclk_n, // Differential -ve of reference clock for MGT: 125MHz, very high quality. +70,71d67 +< output gtrefclk, // gtrefclk routed through an IBUFG. +< output gtrefclk_bufg, // gtrefclk routed through a BUFG for driving logic. +88d83 +< wire gtrefclk_i; +93,108d87 +< // Clock circuitry for the Transceiver uses a differential input clock. +< // gtrefclk is routed to the tranceiver. +< IBUFDS_GTE2 ibufds_gtrefclk ( +< .I (gtrefclk_p), +< .IB (gtrefclk_n), +< .CEB (1'b0), +< .O (gtrefclk_i), +< .ODIV2 () +< ); +< +< assign gtrefclk = gtrefclk_i; +< +< BUFG bufg_gtrefclk ( +< .I (gtrefclk_i), +< .O (gtrefclk_bufg) +< ); diff --git a/fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_support.v.patch b/fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_support.v.patch new file mode 100644 index 000000000..277c890f1 --- /dev/null +++ b/fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_support.v.patch @@ -0,0 +1,17 @@ +70,73c70,71 +< input gtrefclk_p, // differential clock +< input gtrefclk_n, // differential clock +< output gtrefclk_out, // Very high quality clock for GT transceiver. +< output gtrefclk_bufg_out, +--- +> input gtrefclk, // gtrefclk routed through an IBUFG. +> input gtrefclk_bufg, // gtrefclk routed through a BUFG for driving logic. +125,126d122 +< wire gtrefclk; // High quality clock +< wire gtrefclk_bufg; +205,206d200 +< .gtrefclk_p (gtrefclk_p), +< .gtrefclk_n (gtrefclk_n), +210,211d203 +< .gtrefclk (gtrefclk), +< .gtrefclk_bufg (gtrefclk_bufg), diff --git a/fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/one_gige_phy.v b/fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/one_gige_phy.v new file mode 100644 index 000000000..0b02b1942 --- /dev/null +++ b/fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/one_gige_phy.v @@ -0,0 +1,100 @@ +// +// Copyright 2014 Ettus Research LLC +// + +module one_gige_phy +( + input independent_clock, + + // Tranceiver Interface + //--------------------- + input gtrefclk, // Reference clock for MGT: 125MHz, very high quality. + input gtrefclk_bufg, // Reference clock routed through a BUFG + output txp, // Differential +ve of serial transmission from PMA to PMD. + output txn, // Differential -ve of serial transmission from PMA to PMD. + input rxp, // Differential +ve for serial reception from PMD to PMA. + input rxn, // Differential -ve for serial reception from PMD to PMA. + + // GMII Interface (client MAC <=> PCS) + //------------------------------------ + output gmii_clk, // Receive clock to client MAC. + input [7:0] gmii_txd, // Transmit data from client MAC. + input gmii_tx_en, // Transmit control signal from client MAC. + input gmii_tx_er, // Transmit control signal from client MAC. + output reg [7:0] gmii_rxd, // Received Data to client MAC. + output reg gmii_rx_dv, // Received control signal to client MAC. + output reg gmii_rx_er, // Received control signal to client MAC. + + // Management: MDIO Interface + //--------------------------- + input mdc, // Management Data Clock + input mdio_i, // Management Data In + output mdio_o, // Management Data Out + output mdio_t, // Management Data Tristate + input [4:0] configuration_vector, // Alternative to MDIO interface. + input configuration_valid, // Validation signal for Config vector + + // General IO's + //------------- + output [15:0] status_vector, // Core status. + input reset, // Asynchronous reset for entire core. + input signal_detect // Input from PMD to indicate presence of optical input. +); + + wire resetdone; // To indicate that the GT transceiver has completed its reset cycle + wire userclk; // 62.5MHz clock for GT transceiver Tx/Rx user clocks + wire userclk2; // 125MHz clock for core reference clock. + wire rxuserclk2; + wire gmii_isolate; // internal gmii_isolate signal. + + wire [7:0] gmii_rxd_int; + wire gmii_rx_dv_int; + wire gmii_rx_er_int; + + always @(posedge gmii_clk) begin + gmii_rxd <= gmii_rxd_int; + gmii_rx_dv <= gmii_rx_dv_int; + gmii_rx_er <= gmii_rx_er_int; + end + + //---------------------------------------------------------------------------- + // Instantiate core wrapper + //---------------------------------------------------------------------------- + one_gig_eth_pcs_pma_support core_support_i ( + .gtrefclk (gtrefclk), + .gtrefclk_bufg (gtrefclk_bufg), + .txp (txp), + .txn (txn), + .rxp (rxp), + .rxn (rxn), + .mmcm_locked_out (), + .userclk_out (userclk), + .userclk2_out (userclk2), + .rxuserclk_out (), + .rxuserclk2_out (rxuserclk2), + .independent_clock_bufg(independent_clock), + .pma_reset_out (), + .resetdone (resetdone), + .gmii_txd (gmii_txd), + .gmii_tx_en (gmii_tx_en), + .gmii_tx_er (gmii_tx_er), + .gmii_rxd (gmii_rxd_int), + .gmii_rx_dv (gmii_rx_dv_int), + .gmii_rx_er (gmii_rx_er_int), + .gmii_isolate (gmii_isolate), + .mdc (mdc), + .mdio_i (mdio_i), + .mdio_o (mdio_o), + .mdio_t (mdio_t), + .configuration_vector (configuration_vector), + .configuration_valid (configuration_valid), + .status_vector (status_vector), + .reset (reset), + .signal_detect (signal_detect), + .gt0_qplloutclk_out (), + .gt0_qplloutrefclk_out () + ); + + assign gmii_clk = userclk2; + +endmodule // one_gige_phy diff --git a/fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/one_gige_phy.xdc b/fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/one_gige_phy.xdc new file mode 100644 index 000000000..4dadace3b --- /dev/null +++ b/fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/one_gige_phy.xdc @@ -0,0 +1,164 @@ + + +#*********************************************************** +# The following constraints target the Transceiver Physical* +# Interface which is instantiated in the Example Design. * +#*********************************************************** +#----------------------------------------------------------- +# Transceiver I/O placement: - +#----------------------------------------------------------- + + +# Place the transceiver components, chosen for this example design +# *** These values should be modified according to your specific design *** + +#set_property LOC GTXE2_CHANNEL_X0Y1 [get_cells */*/*/transceiver_inst/gtwizard_inst/*/gtwizard_i/gt0_GTWIZARD_i/gtxe2_i] + + +#----------------------------------------------------------- +# Clock source used for the IDELAY Controller (if present) - +# and for the transceiver reset circuitry - +#----------------------------------------------------------- + + +create_clock -name independent_clock -period 5.000 [get_ports independent_clock] + +#----------------------------------------------------------- +# PCS/PMA Clock period Constraints: please do not relax - +#----------------------------------------------------------- + +create_clock -add -name gtrefclk -period 8.000 [get_ports gtrefclk_p] + + +#----------------------------------------------------------- +# Transceiver I/O placement: - +#----------------------------------------------------------- + +# Place the transceiver components, chosen for this example design +# *** These values should be modified according to your specific design *** + +#set_property LOC H6 [get_ports gtrefclk_p] +#set_property LOC H5 [get_ports gtrefclk_n] + + +#*********************************************************** +# The following constraints target the GMII implemented in * +# the Example Design. * +#*********************************************************** +# If the GMII is intended to be an internal interface, * +# the GMII signals can be connected directly to user * +# logic and all of the following constraints in this file * +# should be removed. * +# * +# If the GMII is intended to be an external interface, * +# all of the following constraints in this file should be * +# maintained. * +#*********************************************************** + +#----------------------------------------------------------- +# GMII IOSTANDARD Constraints: please select an I/O - +# Standard (LVTTL is suggested). - +#----------------------------------------------------------- + +# Please update the IOSTANDARD according to that available in the device + +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_txd[0]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_txd[1]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_txd[2]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_txd[3]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_txd[4]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_txd[5]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_txd[6]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_txd[7]}] +#set_property IOSTANDARD LVCMOS33 [get_ports gmii_tx_en] +#set_property IOSTANDARD LVCMOS33 [get_ports gmii_tx_er] + +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_rxd[0]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_rxd[1]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_rxd[2]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_rxd[3]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_rxd[4]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_rxd[5]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_rxd[6]}] +#set_property IOSTANDARD LVCMOS33 [get_ports {gmii_rxd[7]}] +#set_property IOSTANDARD LVCMOS33 [get_ports gmii_rx_dv] +#set_property IOSTANDARD LVCMOS33 [get_ports gmii_rx_er] + +#set_property IOSTANDARD LVCMOS33 [get_ports gmii_tx_clk] +#set_property IOSTANDARD LVCMOS33 [get_ports gmii_rx_clk] + +#----------------------------------------------------------- +# Lock down the GMII Tx signals to the same bank for low - +# skew. This is an example placement only. - +#----------------------------------------------------------- + + +#----------------------------------------------------------- +# To Adjust GMII Tx Input Setup/Hold Timing - +#----------------------------------------------------------- +# These constraints will be set at a later date when device speed files have matured + +#set_property IDELAY_VALUE 0 [get_cells delay_gmii_tx_en] +#set_property IDELAY_VALUE 0 [get_cells delay_gmii_tx_er] + +#set_property IDELAY_VALUE 0 [get_cells {gmii_data_bus[7].delay_gmii_txd}] +#set_property IDELAY_VALUE 0 [get_cells {gmii_data_bus[6].delay_gmii_txd}] +#set_property IDELAY_VALUE 0 [get_cells {gmii_data_bus[5].delay_gmii_txd}] +#set_property IDELAY_VALUE 0 [get_cells {gmii_data_bus[4].delay_gmii_txd}] +#set_property IDELAY_VALUE 0 [get_cells {gmii_data_bus[3].delay_gmii_txd}] +#set_property IDELAY_VALUE 0 [get_cells {gmii_data_bus[2].delay_gmii_txd}] +#set_property IDELAY_VALUE 0 [get_cells {gmii_data_bus[1].delay_gmii_txd}] +#set_property IDELAY_VALUE 0 [get_cells {gmii_data_bus[0].delay_gmii_txd}] + + + +#----------------------------------------------------------- +# To check (analyze) GMII Tx Input Setup/Hold Timing - +#----------------------------------------------------------- + +create_clock -name gmii_tx_clk -period 8.000 [get_ports gmii_tx_clk] + + + +#----------------------------------------------------------- +# Fast Skew maximises output setup and hold timing - +#----------------------------------------------------------- +set_property SLEW FAST [get_ports {gmii_rxd[*]}] +set_property SLEW FAST [get_ports gmii_rx_dv] +set_property SLEW FAST [get_ports gmii_rx_er] +set_property SLEW FAST [get_ports gmii_rx_clk] + + +#----------------------------------------------------------- +# GMII Transmitter Constraints: place flip-flops in IOB - +#----------------------------------------------------------- +#set_property IOB TRUE [get_cells gmii_txd_IBUF*] +#set_property IOB TRUE [get_cells gmii_tx_en_IBUF*] +#set_property IOB TRUE [get_cells gmii_tx_er_IBUF*] + +#----------------------------------------------------------- +# GMII Receiver Constraints: place flip-flops in IOB - +#----------------------------------------------------------- +#set_property IOB TRUE [get_cells gmii_rxd_obuf_reg*] +#set_property IOB TRUE [get_cells gmii_rx_dv_obuf_reg] +#set_property IOB TRUE [get_cells gmii_rx_er_obuf_reg] + + + +#----------------------------------------------------------- +# GMII Tx Elastic Buffer Constraints - +#----------------------------------------------------------- + +# Control Gray Code delay and skew across clock boundary +set_false_path -to [get_pins -hier -filter {name =~ *tx_elastic_buffer_inst/reclock_rd_addrgray*/data_sync*/D}] +set_false_path -to [get_pins -hier -filter {name =~ *tx_elastic_buffer_inst/reclock_wr_addrgray*/data_sync*/D}] + +# Constrain between Distributed Memory (output data) and the 1st set of flip-flops +set_false_path -from [get_clocks gmii_tx_clk] -to [get_pins -hier -filter {name =~ tx_elastic_buffer_inst/tx_en_fifo_reg1*/D}] +set_false_path -from [get_clocks gmii_tx_clk] -to [get_pins -hier -filter {name =~ tx_elastic_buffer_inst/tx_er_fifo_reg1*/D}] +set_false_path -from [get_clocks gmii_tx_clk] -to [get_pins -hier -filter {name =~ tx_elastic_buffer_inst/txd_fifo_reg1*/D}] + +set_false_path -to [get_pins -hier -filter {name =~ *reset_sync*/PRE }] + +set_false_path -to [get_pins -hier -filter {name =~ */core_resets_i/pma_reset_pipe_reg*/PRE}] +set_false_path -to [get_pins -hier -filter {name =~ */core_resets_i/pma_reset_pipe*[0]/D}] diff --git a/fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/one_gige_phy_clk_gen.v b/fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/one_gige_phy_clk_gen.v new file mode 100644 index 000000000..347a0e550 --- /dev/null +++ b/fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/one_gige_phy_clk_gen.v @@ -0,0 +1,29 @@ +// +// Copyright 2014 Ettus Research LLC +// + +module one_gige_phy_clk_gen +( + input refclk_p, + input refclk_n, + output refclk, + output refclk_bufg +); + + IBUFDS_GTE2 ibufds_inst ( + .O (refclk), + .ODIV2 (), + .CEB (1'b0), + .I (refclk_p), + .IB (refclk_n) + ); + + BUFG bufg_gtrefclk_inst ( + .I(refclk), + .O(refclk_bufg) + ); + +endmodule + + + diff --git a/fpga/usrp3/top/x300/ip/pcie_clk_gen/Makefile.inc b/fpga/usrp3/top/x300/ip/pcie_clk_gen/Makefile.inc new file mode 100644 index 000000000..72ddc140d --- /dev/null +++ b/fpga/usrp3/top/x300/ip/pcie_clk_gen/Makefile.inc @@ -0,0 +1,15 @@ +# +# Copyright 2014 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_PCIE_CLK_GEN_SRCS = $(IP_BUILD_DIR)/pcie_clk_gen/pcie_clk_gen.xci + +IP_PCIE_CLK_GEN_OUTS = $(addprefix $(IP_BUILD_DIR)/pcie_clk_gen/, \ +pcie_clk_gen.xci.out \ +pcie_clk_gen.v \ +) + +$(IP_PCIE_CLK_GEN_SRCS) $(IP_PCIE_CLK_GEN_OUTS) : $(IP_DIR)/pcie_clk_gen/pcie_clk_gen.xci + $(call BUILD_VIVADO_IP,pcie_clk_gen,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) diff --git a/fpga/usrp3/top/x300/ip/pcie_clk_gen/pcie_clk_gen.xci b/fpga/usrp3/top/x300/ip/pcie_clk_gen/pcie_clk_gen.xci new file mode 100644 index 000000000..a36035616 --- /dev/null +++ b/fpga/usrp3/top/x300/ip/pcie_clk_gen/pcie_clk_gen.xci @@ -0,0 +1,752 @@ + + + xilinx.com + xci + unknown + 1.0 + + + pcie_clk_gen + + + false + 100000000 + false + 100000000 + false + 100000000 + false + 100000000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + 1 + LEVEL_HIGH + + + + 100000000 + 0 + 0.000 + 0 + 0 + + 100000000 + 0 + 0.000 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 0 + MMCM + cddcdone + cddcreq + 0000 + 0000 + CLKFB_IN_N + CLKFB_IN + CLKFB_IN_P + SINGLE + CLKFB_OUT_N + CLKFB_OUT + CLKFB_OUT_P + CLKFB_STOPPED + 800.0 + 100.0 + 0000 + 0000 + 40.000 + 0000 + 0000 + 200.000 + BUFH + 50.0 + false + 40.000 + 0.000 + 50.000 + 40 + 0.000 + 1 + 0000 + 0000 + 100.000 + BUFH + 50.0 + false + 200.000 + 0.000 + 50.000 + 200 + 0.000 + 1 + 1 + 0000 + 0000 + 100.000 + BUFG + 50.000 + false + 100.000 + 0.000 + 50.000 + 100.000 + 0.000 + 1 + 0 + 0000 + 0000 + 100.000 + BUFG + 50.000 + false + 100.000 + 0.000 + 50.000 + 100.000 + 0.000 + 1 + 0 + 0000 + 0000 + 100.000 + BUFG + 50.000 + false + 100.000 + 0.000 + 50.000 + 100.000 + 0.000 + 1 + 0 + 0000 + 0000 + 100.000 + BUFG + 50.000 + false + 100.000 + 0.000 + 50.000 + 100.000 + 0.000 + 1 + 0 + BUFG + 50.000 + false + 100.000 + 0.000 + 50.000 + 100.000 + 0.000 + 1 + 0 + VCO + CLK_IN_SEL + CLK_OUT1 + CLK_OUT2 + clk_out3 + clk_out4 + clk_out5 + clk_out6 + clk_out7 + CLK_VALID + NA + DADDR + DCLK + DEN + DIN + 0000 + 1 + 0.2 + 0.4 + 0.4 + 0.4 + 0.4 + 0.4 + DOUT + DRDY + DWE + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + FDBK_AUTO + 0000 + 0000 + 0 + Input Clock Freq (MHz) Input Jitter (UI) + __primary_________125.000____________0.100 + no_secondary_input_clock + INPUT_CLK_STOPPED + 0 + Units_MHz + No_Jitter + LOCKED + 0000 + 0000 + 0000 + false + false + false + false + false + false + false + false + OPTIMIZED + 8.000 + 0.000 + FALSE + 8.000 + 10.0 + 25.000 + 0.500 + 0.000 + FALSE + 5 + 0.500 + 0.000 + FALSE + 1 + 0.500 + 0.000 + FALSE + 1 + 0.500 + 0.000 + FALSE + FALSE + 1 + 0.500 + 0.000 + FALSE + 1 + 0.500 + 0.000 + FALSE + 1 + 0.500 + 0.000 + FALSE + FALSE + ZHOLD + 1 + None + 0.100 + 0.010 + FALSE + 2 + Output Output Phase Duty Cycle Pk-to-Pk Phase + Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) + CLK_OUT1____40.000______0.000______50.0______353.417_____96.948 + CLK_OUT2___200.000______0.000______50.0______192.299_____96.948 + no_CLK_OUT3_output + no_CLK_OUT4_output + no_CLK_OUT5_output + no_CLK_OUT6_output + no_CLK_OUT7_output + 0 + 0 + WAVEFORM + UNKNOWN + false + false + false + false + false + OPTIMIZED + 1 + 0.000 + 1.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + CLKFBOUT + SYSTEM_SYNCHRONOUS + 1 + No notes + 0.010 + POWER_DOWN + 0000 + 1 + CLK_IN1 + PLL + AUTO + 125.000 + 0.100 + 10.000 + No_buffer + PSCLK + PSDONE + PSEN + PSINCDEC + 100.0 + 0 + RESET + 100.000 + 0.010 + 10.000 + clk_in2 + Single_ended_clock_capable_pin + CENTER_HIGH + 4000 + 0.004 + STATUS + 11 + 32 + 100.0 + 100.0 + 100.0 + 100.0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + pcie_clk_gen + MMCM + false + DONE + cddcdone + cddcreq + CLKFB_IN_N + CLKFB_IN + CLKFB_IN_P + SINGLE + CLKFB_OUT_N + CLKFB_OUT + CLKFB_OUT_P + CLKFB_STOPPED + 800.0 + 0.100 + 100.0 + 0.010 + BUFH + 1181.857 + false + 265.359 + 50.000 + 40 + 0.000 + 1 + true + BUFH + 440.890 + false + 265.359 + 50.000 + 200 + 0.000 + 1 + true + BUFG + 0.0 + false + 0.0 + 50.000 + 100.000 + 0.000 + 1 + false + BUFG + 0.0 + false + 0.0 + 50.000 + 100.000 + 0.000 + 1 + false + BUFG + 0.0 + false + 0.0 + 50.000 + 100.000 + 0.000 + 1 + false + BUFG + 0.0 + false + 0.0 + 50.000 + 100.000 + 0.000 + 1 + false + BUFG + 0.0 + false + 0.0 + 50.000 + 100.000 + 0.000 + 1 + false + 600.000 + Custom + Custom + CLK_IN_SEL + CLK_OUT1 + false + CLK_OUT2 + false + clk_out3 + false + clk_out4 + false + clk_out5 + false + clk_out6 + false + clk_out7 + false + CLK_VALID + MANUAL + pcie_clk_gen + DADDR + DCLK + DEN + Custom + Custom + DIN + DOUT + DRDY + DWE + false + false + false + false + false + false + false + false + false + FDBK_AUTO + INPUT_CLK_STOPPED + frequency + Enable_AXI + Units_MHz + Units_UI + UI + No_Jitter + LOCKED + OPTIMIZED + 8 + 0.000 + false + 8.000 + 10.0 + 25 + 0.500 + 0.000 + false + 5 + 0.500 + 0.000 + false + 1 + 0.500 + 0.000 + false + 1 + 0.500 + 0.000 + false + false + 1 + 0.500 + 0.000 + false + 1 + 0.500 + 0.000 + false + 1 + 0.500 + 0.000 + false + false + ZHOLD + 1 + None + 0.100 + 0.010 + false + 2 + false + false + WAVEFORM + false + UNKNOWN + OPTIMIZED + 4 + 0.000 + 10.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + CLKFBOUT + SYSTEM_SYNCHRONOUS + 1 + None + 0.010 + POWER_DOWN + 1 + CLK_IN1 + PLL + MMCM_ADV + 125.000 + 0.100 + 10.000 + No_buffer + PSCLK + PSDONE + PSEN + PSINCDEC + 100.0 + REL_PRIMARY + Custom + RESET + ACTIVE_HIGH + 100.000 + 0.010 + 10.000 + clk_in2 + Single_ended_clock_capable_pin + CENTER_HIGH + 250 + 0.004 + STATUS + empty + 100.0 + 100.0 + 100.0 + 100.0 + false + false + false + false + false + false + false + true + false + false + true + false + false + false + true + false + true + false + false + false + kintex7 + + + xc7k410t + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 3 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/x300/ip/radio_clk_gen/Makefile.inc b/fpga/usrp3/top/x300/ip/radio_clk_gen/Makefile.inc new file mode 100644 index 000000000..f96bc9d7d --- /dev/null +++ b/fpga/usrp3/top/x300/ip/radio_clk_gen/Makefile.inc @@ -0,0 +1,19 @@ +# +# Copyright 2014 Ettus Research +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +IP_RADIO_CLK_GEN_SRCS = $(IP_BUILD_DIR)/radio_clk_gen/radio_clk_gen.xci + +IP_RADIO_CLK_GEN_OUTS = $(addprefix $(IP_BUILD_DIR)/radio_clk_gen/, \ +radio_clk_gen.xci.out \ +radio_clk_gen.v \ +) + +# We have to patch the XDC file to remove constraints on the source clock for the module +# All timing constraints are handled in one place (timing.xdc) +$(IP_RADIO_CLK_GEN_SRCS) $(IP_RADIO_CLK_GEN_OUTS) : $(IP_DIR)/radio_clk_gen/radio_clk_gen.xci + $(call BUILD_VIVADO_IP,radio_clk_gen,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0) + patch $(IP_BUILD_DIR)/radio_clk_gen/radio_clk_gen.xdc $(IP_DIR)/radio_clk_gen/radio_clk_gen.xdc.patch + diff --git a/fpga/usrp3/top/x300/ip/radio_clk_gen/radio_clk_gen.xci b/fpga/usrp3/top/x300/ip/radio_clk_gen/radio_clk_gen.xci new file mode 100644 index 000000000..9c68fcee3 --- /dev/null +++ b/fpga/usrp3/top/x300/ip/radio_clk_gen/radio_clk_gen.xci @@ -0,0 +1,755 @@ + + + xilinx.com + xci + unknown + 1.0 + + + radio_clk_gen + + + false + 100000000 + false + 100000000 + false + 100000000 + false + 100000000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + 1 + LEVEL_HIGH + + + + 100000000 + 0 + 0.000 + 0 + 0 + + 100000000 + 0 + 0.000 + 1 + 0 + 0 + 0 + + 1 + 100000000 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 0.000 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 0 + MMCM + cddcdone + cddcreq + 0000 + 0000 + CLKFB_IN_N + CLKFB_IN + CLKFB_IN_P + SINGLE + CLKFB_OUT_N + CLKFB_OUT + CLKFB_OUT_P + CLKFB_STOPPED + 50.0 + 100.0 + 0000 + 0000 + 200.000 + 0000 + 0000 + 400.000 + BUFG + 50.0 + false + 200.000 + 0.000 + 50.000 + 200.000 + 0.000 + 1 + 0000 + 0000 + 400.000 + BUFG + 50.0 + false + 400.000 + -45.000 + 50.000 + 400.000 + -45.000 + 1 + 1 + 0000 + 0000 + 100.000 + BUFG + 50.0 + false + 400.000 + 60.000 + 50.000 + 400.000 + 60.000 + 1 + 1 + 0000 + 0000 + 100.000 + BUFG + 50.000 + false + 100.000 + 0.000 + 50.000 + 100.000 + 0.000 + 1 + 0 + 0000 + 0000 + 100.000 + BUFG + 50.000 + false + 100.000 + 0.000 + 50.000 + 100.000 + 0.000 + 1 + 0 + 0000 + 0000 + 100.000 + BUFG + 50.000 + false + 100.000 + 0.000 + 50.000 + 100.000 + 0.000 + 1 + 0 + BUFG + 50.000 + false + 100.000 + 0.000 + 50.000 + 100.000 + 0.000 + 1 + 0 + VCO + CLK_IN_SEL + CLK_OUT1 + CLK_OUT2 + CLK_OUT3 + clk_out4 + clk_out5 + clk_out6 + clk_out7 + CLK_VALID + NA + DADDR + DCLK + DEN + DIN + 0000 + 1 + 0.5 + 0.5 + 2.0 + 2.0 + 2.0 + 2.0 + DOUT + DRDY + DWE + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + FDBK_AUTO + 0000 + 0000 + 0 + Input Clock Freq (MHz) Input Jitter (UI) + __primary_________200.000____________0.010 + no_secondary_input_clock + INPUT_CLK_STOPPED + 0 + Units_MHz + No_Jitter + LOCKED + 0000 + 0000 + 0000 + false + false + false + false + false + false + false + false + OPTIMIZED + 6.000 + 0.000 + FALSE + 5.000 + 10.0 + 6.000 + 0.500 + 0.000 + FALSE + 3 + 0.500 + -45.000 + FALSE + 3 + 0.500 + 60.000 + FALSE + 1 + 0.500 + 0.000 + FALSE + FALSE + 1 + 0.500 + 0.000 + FALSE + 1 + 0.500 + 0.000 + FALSE + 1 + 0.500 + 0.000 + FALSE + FALSE + ZHOLD + 1 + None + 0.010 + 0.010 + FALSE + 3 + Output Output Phase Duty Cycle Pk-to-Pk Phase + Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) + CLK_OUT1___200.000______0.000______50.0_______92.799_____82.655 + CLK_OUT2___400.000____-45.000______50.0_______81.254_____82.655 + CLK_OUT3___400.000_____60.000______50.0_______81.254_____82.655 + no_CLK_OUT4_output + no_CLK_OUT5_output + no_CLK_OUT6_output + no_CLK_OUT7_output + 0 + 0 + WAVEFORM + UNKNOWN + false + false + false + false + false + OPTIMIZED + 1 + 0.000 + 1.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + CLKFBOUT + SYSTEM_SYNCHRONOUS + 1 + No notes + 0.010 + POWER_DOWN + 0000 + 1 + clk_in1 + MMCM + AUTO + 200.000 + 0.010 + 10.000 + Differential_clock_capable_pin + PSCLK + PSDONE + PSEN + PSINCDEC + 100.0 + 0 + RESET + 100.000 + 0.010 + 10.000 + clk_in2 + Single_ended_clock_capable_pin + CENTER_HIGH + 4000 + 0.004 + STATUS + 11 + 32 + 100.0 + 100.0 + 100.0 + 100.0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + radio_clk_gen + MMCM + false + DONE + cddcdone + cddcreq + CLKFB_IN_N + CLKFB_IN + CLKFB_IN_P + SINGLE + CLKFB_OUT_N + CLKFB_OUT + CLKFB_OUT_P + CLKFB_STOPPED + 50.0 + 0.010 + 100.0 + 0.010 + BUFG + 92.799 + false + 82.655 + 50.000 + 200.000 + 0.000 + 1 + true + BUFG + 81.254 + false + 82.655 + 50.000 + 400.000 + -45.000 + 1 + true + BUFG + 81.254 + false + 82.655 + 50.000 + 400.000 + 60.000 + 1 + true + BUFG + 0.0 + false + 0.0 + 50.000 + 100.000 + 0.000 + 1 + false + BUFG + 0.0 + false + 0.0 + 50.000 + 100.000 + 0.000 + 1 + false + BUFG + 0.0 + false + 0.0 + 50.000 + 100.000 + 0.000 + 1 + false + BUFG + 0.0 + false + 0.0 + 50.000 + 100.000 + 0.000 + 1 + false + 600.000 + Custom + Custom + CLK_IN_SEL + CLK_OUT1 + false + CLK_OUT2 + false + CLK_OUT3 + false + clk_out4 + false + clk_out5 + false + clk_out6 + false + clk_out7 + false + CLK_VALID + MANUAL + radio_clk_gen + DADDR + DCLK + DEN + Custom + Custom + DIN + DOUT + DRDY + DWE + false + false + false + false + false + false + false + false + false + FDBK_AUTO + INPUT_CLK_STOPPED + frequency + Enable_AXI + Units_MHz + Units_UI + UI + No_Jitter + LOCKED + OPTIMIZED + 6.000 + 0.000 + false + 5.000 + 10.0 + 6.000 + 0.500 + 0.000 + false + 3 + 0.500 + -45.000 + false + 3 + 0.500 + 60.000 + false + 1 + 0.500 + 0.000 + false + false + 1 + 0.500 + 0.000 + false + 1 + 0.500 + 0.000 + false + 1 + 0.500 + 0.000 + false + false + ZHOLD + 1 + None + 0.010 + 0.010 + false + 3 + false + false + WAVEFORM + false + UNKNOWN + OPTIMIZED + 4 + 0.000 + 10.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + CLKFBOUT + SYSTEM_SYNCHRONOUS + 1 + None + 0.010 + POWER_DOWN + 1 + clk_in1 + MMCM + MMCM_ADV + 200.000 + 0.010 + 10.000 + Differential_clock_capable_pin + PSCLK + PSDONE + PSEN + PSINCDEC + 100.0 + REL_PRIMARY + Custom + RESET + ACTIVE_HIGH + 100.000 + 0.010 + 10.000 + clk_in2 + Single_ended_clock_capable_pin + CENTER_HIGH + 250 + 0.004 + STATUS + empty + 100.0 + 100.0 + 100.0 + 100.0 + false + false + false + false + false + false + false + true + false + false + true + false + false + false + true + false + true + false + false + false + kintex7 + + + xc7k410t + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 3 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/usrp3/top/x300/ip/radio_clk_gen/radio_clk_gen.xdc.patch b/fpga/usrp3/top/x300/ip/radio_clk_gen/radio_clk_gen.xdc.patch new file mode 100644 index 000000000..d4a0c072f --- /dev/null +++ b/fpga/usrp3/top/x300/ip/radio_clk_gen/radio_clk_gen.xdc.patch @@ -0,0 +1,4 @@ +56,58d55 +< create_clock -period 5.000 [get_ports clk_in1_p] +< set_input_jitter [get_clocks -of_objects [get_ports clk_in1_p]] 0.05 +< diff --git a/fpga/usrp3/top/x300/ip/ten_gig_eth_pcs_pma/Makefile.inc b/fpga/usrp3/top/x300/ip/ten_gig_eth_pcs_pma/Makefile.inc new file mode 100644 index 000000000..3c3d99107 --- /dev/null +++ b/fpga/usrp3/top/x300/ip/ten_gig_eth_pcs_pma/Makefile.inc @@ -0,0 +1,42 @@ +# +# Copyright 2008-2013 Ettus Research LLC +# + +include $(TOOLS_DIR)/make/viv_ip_builder.mak + +TEN_GIGE_PHY_SRCS = \ +$(IP_DIR)/ten_gig_eth_pcs_pma/ten_gige_phy_clk_gen.v \ +$(IP_DIR)/ten_gig_eth_pcs_pma/ten_gige_phy.v \ +$(IP_TEN_GIG_ETH_PCS_PMA_EXAMPLE_SRCS) + +IP_TEN_GIG_ETH_PCS_PMA_EXAMPLE_SRCS = $(addprefix $(IP_BUILD_DIR)/ten_gig_eth_pcs_pma_ex/imports/, \ +ten_gig_eth_pcs_pma_example_design.v \ +ten_gig_eth_pcs_pma_ff_synchronizer_rst2.v \ +ten_gig_eth_pcs_pma_gt_common.v \ +ten_gig_eth_pcs_pma_shared_clock_and_reset.v \ +ten_gig_eth_pcs_pma_support.v \ +) + +IP_TEN_GIG_ETH_PCS_PMA_SRCS = $(IP_BUILD_DIR)/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.xci + +IP_TEN_GIG_ETH_PCS_PMA_OUTS = $(addprefix $(IP_BUILD_DIR)/ten_gig_eth_pcs_pma/, \ +ten_gig_eth_pcs_pma.xci.out \ +synth/ten_gig_eth_pcs_pma_block.v \ +synth/ten_gig_eth_pcs_pma_gtwizard_10gbaser_multi_gt.v \ +synth/ten_gig_eth_pcs_pma_cable_pull_logic.v \ +synth/ten_gig_eth_pcs_pma_local_clock_and_reset.v \ +synth/ten_gig_eth_pcs_pma_clocks.xdc \ +synth/ten_gig_eth_pcs_pma_ooc.xdc \ +synth/ten_gig_eth_pcs_pma_ff_synchronizer_rst.v \ +synth/ten_gig_eth_pcs_pma_sim_speedup_controller.v \ +synth/ten_gig_eth_pcs_pma_ff_synchronizer.v \ +synth/ten_gig_eth_pcs_pma.v \ +synth/ten_gig_eth_pcs_pma_gtwizard_10gbaser_gt.v \ +synth/ten_gig_eth_pcs_pma.xdc \ +) + +$(IP_TEN_GIG_ETH_PCS_PMA_EXAMPLE_SRCS) : $(IP_TEN_GIG_ETH_PCS_PMA_OUTS) + +$(IP_TEN_GIG_ETH_PCS_PMA_SRCS) $(IP_TEN_GIG_ETH_PCS_PMA_OUTS) : $(IP_DIR)/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.xci + $(call BUILD_VIVADO_IP,ten_gig_eth_pcs_pma,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),1) + diff --git a/fpga/usrp3/top/x300/ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.xci b/fpga/usrp3/top/x300/ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.xci new file mode 100644 index 000000000..453f49cd9 --- /dev/null +++ b/fpga/usrp3/top/x300/ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.xci @@ -0,0 +1,192 @@ + + + xilinx.com + xci + unknown + 1.0 + + + ten_gig_eth_pcs_pma + + + 0 + 0 + 0 + 0 + 0 + + + 0 + 0.000 + + + + 0 + 0.000 + + + 100000000 + 0 + 0.000 + 0 + 0 + 0 + 0 + 0 + + + + 100000000 + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + 0 + false + 0 + 0 + + + + 100000000 + 0 + 0.000 + + + + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 0 + 0.000 + + + + 100000000 + 0 + 0.000 + + + + 0 + 0.000 + false + 0 + 0 + + + 0 + 0.000 + 0 + + + + 0 + 0.000 + + + + 0 + 0.000 + 0 + + + + 0 + 0.000 + + + + 0 + 0.000 + + 0 + 0.000 + + + + 0 + 0.000 + 0 + ten_gig_eth_pcs_pma + 100.00 + kintex7 + X0Y0 + 32 + 0 + false + false + true + false + false + false + clk0 + 156 + 10 + ten_gig_eth_pcs_pma_gt + ten_gig_eth_pcs_pma + 100.00 + None + X0Y0 + true + clk0 + 156.25 + 0 + Time_of_day + false + false + false + BASE-R + 64bit + false + false + 10Gig + GTH + kintex7 + + + xc7k410t + ffg900 + VERILOG + + MIXED + -2 + + + TRUE + TRUE + IP_Flow + 15 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + diff --git a/fpga/usrp3/top/x300/ip/ten_gig_eth_pcs_pma/ten_gige_phy.v b/fpga/usrp3/top/x300/ip/ten_gig_eth_pcs_pma/ten_gige_phy.v new file mode 100644 index 000000000..de98ea53a --- /dev/null +++ b/fpga/usrp3/top/x300/ip/ten_gig_eth_pcs_pma/ten_gige_phy.v @@ -0,0 +1,249 @@ +// +// Copyright 2008-2013 Ettus Research LLC +// + +module ten_gige_phy +( + input refclk, + input clk156, + input dclk, + input areset, + input sim_speedup_control, + input [63:0] xgmii_txd, + input [7:0] xgmii_txc, + output reg [63:0] xgmii_rxd, + output reg [7:0] xgmii_rxc, + output txp, + output txn, + input rxp, + input rxn, + input mdc, + input mdio_in, + output reg mdio_out, + output reg mdio_tri, + input [4:0] prtad, + output [7:0] core_status, + output resetdone, + input signal_detect, + input tx_fault, + output tx_disable +); + + reg [63:0] xgmii_txd_reg; + reg [7:0] xgmii_txc_reg; + wire [63:0] xgmii_rxd_int; + wire [7:0] xgmii_rxc_int; + + // Add a pipeline to the xmgii_tx inputs, to aid timing closure + always @(posedge clk156) + begin + xgmii_txd_reg <= xgmii_txd; + xgmii_txc_reg <= xgmii_txc; + end + + // Add a pipeline to the xmgii_rx outputs, to aid timing closure + always @(posedge clk156) + begin + xgmii_rxd <= xgmii_rxd_int; + xgmii_rxc <= xgmii_rxc_int; + end + + wire mdio_out_int; + wire mdio_tri_int; + reg mdc_reg; + reg mdio_in_reg; + + // Add a pipeline to the mdio in/outputs, to aid timing closure + // This is safe because the mdio clock is running so slowly + always @(posedge clk156) + begin + mdio_out <= mdio_out_int; + mdio_tri <= mdio_tri_int; + mdc_reg <= mdc; + mdio_in_reg <= mdio_in; + end + + // Signal declarations + wire txclk322; + wire qplloutclk; + wire qplloutrefclk; + wire qplllock; + + wire drp_gnt; + wire drp_req; + wire drp_den_o; + wire drp_dwe_o; + wire [15:0] drp_daddr_o; + wire [15:0] drp_di_o; + wire drp_drdy_o; + wire [15:0] drp_drpdo_o; + wire drp_den_i; + wire drp_dwe_i; + wire [15:0] drp_daddr_i; + wire [15:0] drp_di_i; + wire drp_drdy_i; + wire [15:0] drp_drpdo_i; + + wire tx_resetdone_int; + wire rx_resetdone_int; + + wire areset_clk156; + wire gttxreset; + wire gtrxreset; + wire qpllreset; + wire qplllock_txusrclk2; + wire gttxreset_txusrclk2; + wire reset_counter_done; + wire txusrclk; + wire txusrclk2; + reg txuserrdy; + + assign resetdone = tx_resetdone_int && rx_resetdone_int; + + // If no arbitration is required on the GT DRP ports then connect REQ to GNT + // and connect other signals i <= o; + assign drp_gnt = drp_req; + assign drp_den_i = drp_den_o; + assign drp_dwe_i = drp_dwe_o; + assign drp_daddr_i = drp_daddr_o; + assign drp_di_i = drp_di_o; + assign drp_drdy_i = drp_drdy_o; + assign drp_drpdo_i = drp_drpdo_o; + + // Instantiate the 10GBASER/KR GT Common block + ten_gig_eth_pcs_pma_gt_common # ( + .WRAPPER_SIM_GTRESET_SPEEDUP("TRUE") //Does not affect hardware + ) ten_gig_eth_pcs_pma_gt_common_block ( + .refclk(refclk), + .qpllreset(qpllreset), + .qplllock(qplllock), + .qplloutclk(qplloutclk), + .qplloutrefclk(qplloutrefclk) + ); + + // Asynch reset synchronizers... + ten_gig_eth_pcs_pma_ff_synchronizer_rst2 #( + .C_NUM_SYNC_REGS(4), + .C_RVAL(1'b1) + ) areset_clk156_sync_i ( + .clk(clk156), + .rst(areset), + .data_in(1'b0), + .data_out(areset_clk156) + ); + + ten_gig_eth_pcs_pma_ff_synchronizer_rst2 #( + .C_NUM_SYNC_REGS(4), + .C_RVAL(1'b0) + ) qplllock_txusrclk2_sync_i ( + .clk(txusrclk2), + .rst(!qplllock), + .data_in(1'b1), + .data_out(qplllock_txusrclk2) + ); + + reg [7:0] reset_counter = 8'h00; + reg [3:0] reset_pulse = 4'b1110; + assign reset_counter_done = reset_counter[7]; + + // Hold off the GT resets until 500ns after configuration. + // 128 ticks at 6.4ns period will be >> 500 ns. + always @(posedge clk156) + begin + if (!reset_counter[7]) + reset_counter <= reset_counter + 1'b1; + else + reset_counter <= reset_counter; + end + + always @(posedge clk156) + begin + if (areset_clk156 == 1'b1) + reset_pulse <= 4'b1110; + else if(reset_counter[7]) + reset_pulse <= {1'b0, reset_pulse[3:1]}; + end + + assign qpllreset = reset_pulse[0]; + assign gttxreset = reset_pulse[0]; + assign gtrxreset = reset_pulse[0]; + + ten_gig_eth_pcs_pma_ff_synchronizer_rst2 #( + .C_NUM_SYNC_REGS(4), + .C_RVAL(1'b1) + ) gttxreset_txusrclk2_sync_i ( + .clk(txusrclk2), + .rst(gttxreset), + .data_in(1'b0), + .data_out(gttxreset_txusrclk2) + ); + + always @(posedge txusrclk2 or posedge gttxreset_txusrclk2) + begin + if(gttxreset_txusrclk2) + txuserrdy <= 1'b0; + else + txuserrdy <= qplllock_txusrclk2; + end + + BUFG tx322clk_bufg_i ( + .I (txclk322), + .O (txusrclk) + ); + + assign txusrclk2 = txusrclk; + + // Instantiate the 10GBASER/KR Block Level + ten_gig_eth_pcs_pma ten_gig_eth_pcs_pma_i ( + .coreclk(clk156), + .dclk(dclk), + .txusrclk(txusrclk), + .txusrclk2(txusrclk2), + .txoutclk(txclk322), + .areset_coreclk(areset_clk156), + .txuserrdy(txuserrdy), + .areset(areset), + .gttxreset(gttxreset), + .gtrxreset(gtrxreset), + .sim_speedup_control(sim_speedup_control), + .qplllock(qplllock), + .qplloutclk(qplloutclk), + .qplloutrefclk(qplloutrefclk), + .reset_counter_done(reset_counter_done), + .xgmii_txd(xgmii_txd_reg), + .xgmii_txc(xgmii_txc_reg), + .xgmii_rxd(xgmii_rxd_int), + .xgmii_rxc(xgmii_rxc_int), + .txp(txp), + .txn(txn), + .rxp(rxp), + .rxn(rxn), + .mdc(mdc_reg), + .mdio_in(mdio_in_reg), + .mdio_out(mdio_out_int), + .mdio_tri(mdio_tri_int), + .prtad(prtad), + .core_status(core_status), + .tx_resetdone(tx_resetdone_int), + .rx_resetdone(rx_resetdone_int), + .signal_detect(signal_detect), + .tx_fault(tx_fault), + .drp_req(drp_req), + .drp_gnt(drp_gnt), + .drp_den_o(drp_den_o), + .drp_dwe_o(drp_dwe_o), + .drp_daddr_o(drp_daddr_o), + .drp_di_o(drp_di_o), + .drp_drdy_o(drp_drdy_o), + .drp_drpdo_o(drp_drpdo_o), + .drp_den_i(drp_den_i), + .drp_dwe_i(drp_dwe_i), + .drp_daddr_i(drp_daddr_i), + .drp_di_i(drp_di_i), + .drp_drdy_i(drp_drdy_i), + .drp_drpdo_i(drp_drpdo_i), + .pma_pmd_type(3'b101), + .tx_disable(tx_disable) + ); + +endmodule diff --git a/fpga/usrp3/top/x300/ip/ten_gig_eth_pcs_pma/ten_gige_phy.xdc b/fpga/usrp3/top/x300/ip/ten_gig_eth_pcs_pma/ten_gige_phy.xdc new file mode 100644 index 000000000..c8656e43a --- /dev/null +++ b/fpga/usrp3/top/x300/ip/ten_gig_eth_pcs_pma/ten_gige_phy.xdc @@ -0,0 +1,91 @@ +## (c) Copyright 2009 - 2014 Xilinx, Inc. All rights reserved. +## +## This file contains confidential and proprietary information +## of Xilinx, Inc. and is protected under U.S. and +## international copyright and other intellectual property +## laws. +## +## DISCLAIMER +## This disclaimer is not a license and does not grant any +## rights to the materials distributed herewith. Except as +## otherwise provided in a valid license issued to you by +## Xilinx, and to the maximum extent permitted by applicable +## law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +## WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +## AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +## BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +## INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +## (2) Xilinx shall not be liable (whether in contract or tort, +## including negligence, or under any other theory of +## liability) for any loss or damage of any kind or nature +## related to, arising under or in connection with these +## materials, including for any direct, or any indirect, +## special, incidental, or consequential loss or damage +## (including loss of data, profits, goodwill, or any type of +## loss or damage suffered as a result of any action brought +## by a third party) even if such damage or loss was +## reasonably foreseeable or Xilinx had been advised of the +## possibility of the same. +## +## CRITICAL APPLICATIONS +## Xilinx products are not designed or intended to be fail- +## safe, or for use in any application requiring fail-safe +## performance, such as life-support or safety devices or +## systems, Class III medical devices, nuclear facilities, +## applications related to the deployment of airbags, or any +## other applications that could lead to death, personal +## injury, or severe property or environmental damage +## (individually and collectively, "Critical +## Applications"). Customer assumes the sole risk and +## liability of any use of Xilinx products in Critical +## Applications, subject only to applicable laws and +## regulations governing limitations on product liability. +## +## THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +## PART OF THIS FILE AT ALL TIMES. + +create_clock -period 6.400 [get_ports {dclk}] + +create_clock -period 6.400 [get_ports refclk_p] + +create_generated_clock -name ddrclock -divide_by 1 -invert -source [get_pins *rx_clk_ddr/C] [get_ports xgmii_rx_clk] +set_output_delay -max 1.500 -clock [get_clocks ddrclock] [get_ports * -filter {NAME =~ *xgmii_rxd*}] +set_output_delay -min -1.500 -clock [get_clocks ddrclock] [get_ports * -filter {NAME =~ *xgmii_rxd*}] +set_output_delay -max 1.500 -clock [get_clocks ddrclock] [get_ports * -filter {NAME =~ *xgmii_rxc*}] +set_output_delay -min -1.500 -clock [get_clocks ddrclock] [get_ports * -filter {NAME =~ *xgmii_rxc*}] + +# False paths for async reset removal synchronizers +set_false_path -to [get_pins -of_objects [get_cells -hierarchical -filter {NAME =~ ten_gig_eth_pcs_pma_core_support_layer_i/*shared*sync1_r_reg*}] -filter {NAME =~ *PRE}] +set_false_path -to [get_pins -of_objects [get_cells -hierarchical -filter {NAME =~ ten_gig_eth_pcs_pma_core_support_layer_i/*shared*sync1_r_reg*}] -filter {NAME =~ *CLR}] + + +## Sample constraint for GT location +#set_property LOC GTXE2_CHANNEL_X0Y18 [get_cells ten_gig_eth_pcs_pma_core_support_layer_i/ten_gig_eth_pcs_pma_i/*/gt0_gtwizard_10gbaser_multi_gt_i/gt0_gtwizard_10gbaser_i/gtxe2_i] +#set_property LOC GTXE2_COMMON_X0Y4 [get_cells ten_gig_eth_pcs_pma_core_support_layer_i/ten_gig_eth_pcs_pma_gt_common_block/gtxe2_common_0_i] + +set_property IOSTANDARD HSTL_I [get_ports {xgmii_txc[*]}] +set_property IOSTANDARD HSTL_I [get_ports {xgmii_txd[*]}] + +set_property IOSTANDARD HSTL_I [get_ports {xgmii_rxc[*]}] +set_property IOSTANDARD HSTL_I [get_ports {xgmii_rxd[*]}] + +set_property IOB TRUE [get_cells {xgmii_rxc_reg[*]}] +set_property IOB TRUE [get_cells {xgmii_rxd_reg[*]}] + +set_property IOSTANDARD HSTL_I [get_ports xgmii_rx_clk] + + +################################################################## +# MDIO-related constraints # +################################################################## +set_property IOB TRUE [get_cells * -filter {NAME =~ *mdio_out*reg*}] +set_property IOB TRUE [get_cells * -filter {NAME =~ *mdio_tri*reg*}] +################################################################### + +################################################################## +# MDIO-related constraints # +################################################################## +set_property IOB TRUE [get_cells * -hierarchical -filter {NAME =~ mdc_reg_reg}] +set_property IOB TRUE [get_cells * -hierarchical -filter {NAME =~ mdio_in_reg_reg}] +################################################################### + diff --git a/fpga/usrp3/top/x300/ip/ten_gig_eth_pcs_pma/ten_gige_phy_clk_gen.v b/fpga/usrp3/top/x300/ip/ten_gig_eth_pcs_pma/ten_gige_phy_clk_gen.v new file mode 100644 index 000000000..27655571a --- /dev/null +++ b/fpga/usrp3/top/x300/ip/ten_gig_eth_pcs_pma/ten_gige_phy_clk_gen.v @@ -0,0 +1,51 @@ +// +// Copyright 2008-2013 Ettus Research LLC +// + +module ten_gige_phy_clk_gen +( + input areset, + input refclk_p, + input refclk_n, + + output refclk, + output clk156, + output dclk +); + + wire clk156_buf; + wire dclk_buf; + wire clkfbout; + + IBUFDS_GTE2 ibufds_inst ( + .O (refclk), + .ODIV2 (), + .CEB (1'b0), + .I (refclk_p), + .IB (refclk_n) + ); + + BUFG clk156_bufg_inst ( + .I (refclk), + .O (clk156) + ); + + // Divding independent clock by 2 as source for DRP clock + BUFR # ( + .BUFR_DIVIDE ("2") + ) dclk_divide_by_2_buf ( + .I (clk156), + .O (dclk_buf), + .CE (1'b1), + .CLR (1'b0) + ); + + BUFG dclk_bufg_i ( + .I (dclk_buf), + .O (dclk) + ); + +endmodule + + + diff --git a/fpga/usrp3/top/x300/nirio_chdr64_adapter.v b/fpga/usrp3/top/x300/nirio_chdr64_adapter.v new file mode 100644 index 000000000..05976105b --- /dev/null +++ b/fpga/usrp3/top/x300/nirio_chdr64_adapter.v @@ -0,0 +1,106 @@ +// +// Copyright 2019 Ettus Research, A National Instruments Brand +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// +// Module: nirio_chdr64_adapter +// +// Description: A transport adapter specific to connecting an NI-RIO streaming +// interface to CHDR. It assumes to be connected to x300_pcie_int. +// See also chdr_xport_adapter_generic. +// +// The tuser inputs/outputs used for routing are the index of the DMA channel. +// Because we have 6 DMA channels on NI-RIO on the X300, these are always 3 +// bits wide. +// +// Parameters: +// - PROTOVER: RFNoC protocol version {8'd, 8'd} +// - MTU: Log2 of the MTU of the packet in 64-bit words +// - RT_TBL_SIZE: Log2 of the depth of the return-address routing table +// - NODE_INST: The node type to return for a node-info discovery +// +// Signals: +// - device_id : The ID of the device that has instantiated this module +// - s_dma_*: The input Ethernet stream from the MAC (plus tuser for source DMA engine ID) +// - m_dma_*: The output Ethernet stream to the MAC (plus tuser for dest DMA engine ID) +// - s_chdr_*: The input CHDR stream from the rfnoc infrastructure +// - m_chdr_*: The output CHDR stream to the rfnoc infrastructure +// + +module nirio_chdr64_adapter #( + parameter [15:0] PROTOVER = {8'd1, 8'd0}, + parameter MTU = 10, + parameter RT_TBL_SIZE = 6, + parameter NODE_INST = 0, + parameter DMA_ID_WIDTH = 3 +)( + // Clocking and reset interface + input wire clk, + input wire rst, + // Device info + input wire [15:0] device_id, + // AXI-Stream interface to/from DMA engines + input wire [63:0] s_dma_tdata, + input wire [DMA_ID_WIDTH-1:0] s_dma_tuser, + input wire s_dma_tlast, + input wire s_dma_tvalid, + output wire s_dma_tready, + output wire [63:0] m_dma_tdata, + output wire [DMA_ID_WIDTH-1:0] m_dma_tuser, + output wire m_dma_tlast, + output wire m_dma_tvalid, + input wire m_dma_tready, + // AXI-Stream interface to/from CHDR infrastructure + input wire [63:0] s_chdr_tdata, + input wire s_chdr_tlast, + input wire s_chdr_tvalid, + output wire s_chdr_tready, + output wire [63:0] m_chdr_tdata, + output wire m_chdr_tlast, + output wire m_chdr_tvalid, + input wire m_chdr_tready +); + + `include "../../lib/rfnoc/core/rfnoc_chdr_utils.vh" + `include "../../lib/rfnoc/core/rfnoc_chdr_internal_utils.vh" + `include "../../lib/rfnoc/xport/rfnoc_xport_types.vh" + + //--------------------------------------- + // CHDR Transport Adapter + //--------------------------------------- + + chdr_xport_adapter_generic #( + .PROTOVER(PROTOVER), .CHDR_W(64), + .USER_W(DMA_ID_WIDTH), .TBL_SIZE(RT_TBL_SIZE), + .NODE_SUBTYPE(NODE_SUBTYPE_XPORT_NIRIO_CHDR64), .NODE_INST(NODE_INST) + ) xport_adapter_gen_i ( + .clk (clk), + .rst (rst), + .device_id (device_id), + .s_axis_xport_tdata (s_dma_tdata), + .s_axis_xport_tuser (s_dma_tuser), + .s_axis_xport_tlast (s_dma_tlast), + .s_axis_xport_tvalid(s_dma_tvalid), + .s_axis_xport_tready(s_dma_tready), + .m_axis_xport_tdata (m_dma_tdata), + .m_axis_xport_tuser (m_dma_tuser), + .m_axis_xport_tlast (m_dma_tlast), + .m_axis_xport_tvalid(m_dma_tvalid), + .m_axis_xport_tready(m_dma_tready), + .s_axis_rfnoc_tdata (s_chdr_tdata), + .s_axis_rfnoc_tlast (s_chdr_tlast), + .s_axis_rfnoc_tvalid(s_chdr_tvalid), + .s_axis_rfnoc_tready(s_chdr_tready), + .m_axis_rfnoc_tdata (m_chdr_tdata), + .m_axis_rfnoc_tlast (m_chdr_tlast), + .m_axis_rfnoc_tvalid(m_chdr_tvalid), + .m_axis_rfnoc_tready(m_chdr_tready), + .ctrlport_req_wr (/* unused */), + .ctrlport_req_rd (/* unused */), + .ctrlport_req_addr (/* unused */), + .ctrlport_req_data (/* unused */), + .ctrlport_resp_ack (/* unused */ 1'b0), + .ctrlport_resp_data (/* unused */ 32'd0) + ); + +endmodule // liberio_chdr64_adapter diff --git a/fpga/usrp3/top/x300/setupenv.sh b/fpga/usrp3/top/x300/setupenv.sh new file mode 100644 index 000000000..829628690 --- /dev/null +++ b/fpga/usrp3/top/x300/setupenv.sh @@ -0,0 +1,11 @@ +#!/bin/bash + +VIVADO_VER=2019.1 +DISPLAY_NAME="USRP-X3x0" +REPO_BASE_PATH=$(cd "$(dirname "${BASH_SOURCE[0]}")/../.." && pwd) + +declare -A PRODUCT_ID_MAP +PRODUCT_ID_MAP["X300"]="kintex7/xc7k325t/ffg900/-2" +PRODUCT_ID_MAP["X310"]="kintex7/xc7k410t/ffg900/-2" + +source $REPO_BASE_PATH/tools/scripts/setupenv_base.sh diff --git a/fpga/usrp3/top/x300/sim/aurora_loopback/Makefile b/fpga/usrp3/top/x300/sim/aurora_loopback/Makefile new file mode 100644 index 000000000..eb135ef4e --- /dev/null +++ b/fpga/usrp3/top/x300/sim/aurora_loopback/Makefile @@ -0,0 +1,77 @@ +# +# Copyright 2015 Ettus Research LLC +# + +#------------------------------------------------- +# Top-of-Makefile +#------------------------------------------------- +# Define BASE_DIR to point to the "top" dir +BASE_DIR = $(abspath ../../..) +# Include viv_sim_preample after defining BASE_DIR +include $(BASE_DIR)/../tools/make/viv_sim_preamble.mak + +#------------------------------------------------- +# Design Specific +#------------------------------------------------- +# Define part using PART_ID (//) +ARCH = kintex7 +PART_ID = xc7k410t/ffg900/-2 + +# Include makefiles and sources for the DUT and its dependencies +include $(BASE_DIR)/../lib/fifo/Makefile.srcs +include $(BASE_DIR)/../lib/axi/Makefile.srcs +include $(BASE_DIR)/../lib/control/Makefile.srcs +include $(BASE_DIR)/../lib/rfnoc/Makefile.srcs + +DESIGN_SRCS = $(abspath \ +$(FIFO_SRCS) \ +$(AXI_SRCS) \ +$(CONTROL_LIB_SRCS) \ +$(RFNOC_SRCS) \ +) + +#------------------------------------------------- +# IP Specific +#------------------------------------------------- +# If simulation contains IP, define the IP_DIR and point +# it to the base level IP directory +IP_DIR = ../../ip + +# Include makefiles and sources for all IP components +# *after* defining the IP_DIR +include $(IP_DIR)/aurora_64b66b_pcs_pma/Makefile.inc +include $(IP_DIR)/fifo_short_2clk/Makefile.inc +include $(IP_DIR)/axi64_4k_2clk_fifo/Makefile.inc + +DESIGN_SRCS += $(abspath \ +$(IP_AURORA_64B66B_PCS_PMA_SRCS) \ +$(IP_AXI64_4K_2CLK_FIFO_SRCS) \ +$(IP_FIFO_SHORT_2CLK_SRCS) \ +$(AURORA_PHY_SRCS) \ +) + +#------------------------------------------------- +# Testbench Specific +#------------------------------------------------- +include $(BASE_DIR)/../sim/general/Makefile.srcs +include $(BASE_DIR)/../sim/control/Makefile.srcs +include $(BASE_DIR)/../sim/axi/Makefile.srcs + +# Define only one toplevel module +SIM_TOP = aurora_loopback_tb +# Simulation runtime in microseconds +SIM_RUNTIME_US = 70 + +SIM_SRCS = \ +$(abspath aurora_loopback_tb.sv) \ +$(SIM_GENERAL_SRCS) \ +$(SIM_CONTROL_SRCS) \ +$(SIM_AXI_SRCS) + +#------------------------------------------------- +# Bottom-of-Makefile +#------------------------------------------------- +# Include all simulator specific makefiles here +# Each should define a unique target to simulate +# e.g. xsim, vsim, etc and a common "clean" target +include $(BASE_DIR)/../tools/make/viv_simulator.mak diff --git a/fpga/usrp3/top/x300/sim/aurora_loopback/aurora_loopback_tb.sv b/fpga/usrp3/top/x300/sim/aurora_loopback/aurora_loopback_tb.sv new file mode 100644 index 000000000..75c07dd09 --- /dev/null +++ b/fpga/usrp3/top/x300/sim/aurora_loopback/aurora_loopback_tb.sv @@ -0,0 +1,310 @@ +// +// Copyright 2016 Ettus Research LLC +// + + +`timescale 1ns/1ps +`define NS_PER_TICK 1 +`define NUM_TEST_CASES 13 + +`include "sim_clks_rsts.vh" +`include "sim_exec_report.vh" +`include "sim_cvita_lib.svh" +`include "sim_axi4_lib.svh" +`include "sim_set_rb_lib.svh" + +module aurora_loopback_tb(); + `TEST_BENCH_INIT("aurora_loopback_tb",`NUM_TEST_CASES,`NS_PER_TICK) + + // Define all clocks and resets + `DEFINE_CLK(XG_CLK_P, 1000/156.25, 50) //156.25MHz GT transceiver clock + `DEFINE_RESET(GSR, 0, 100) //100ns for GSR to deassert + + wire XG_CLK_N = ~XG_CLK_P; + wire SFP_LN0_P, SFP_LN0_N, SFP_LN1_P, SFP_LN1_N; + + localparam PACKET_MODE = 1; + + // Aurora Loopback Topology: + // + // TB Simulus ====> |------------| |----------------| + // | Aurora MAC | <===> | Aurora PCS/PMA | <====>|| + // TB Checker <==== |------------| |----------------| || Loopback through + // || + // ====> |------------| |----------------| || perfect serial channel + // Loopback | | Aurora MAC | <===> | Aurora PCS/PMA | <====>|| + // <==== |------------| |----------------| + + // Initialize DUT + wire aurora_refclk, aurora_init_clk; + wire m_user_clk, s_user_clk; + wire m_user_rst, s_user_rst; + wire m_channel_up, s_channel_up; + wire m_hard_err, s_hard_err; + wire m_soft_err, s_soft_err; + + aurora_phy_clk_gen aurora_clk_gen_i ( + .areset(GSR), + .refclk_p(XG_CLK_P), + .refclk_n(XG_CLK_N), + .refclk(aurora_refclk), + .clk156(), + .init_clk(aurora_init_clk) + ); + + wire [63:0] m_i_tdata, m_o_tdata; + wire m_i_tvalid, m_i_tready, m_o_tvalid; + wire [63:0] s_i_tdata, s_o_tdata; + wire s_i_tvalid, s_i_tready, s_o_tvalid; + wire [63:0] loop_tdata; + wire loop_tlast, loop_tvalid, loop_tready; + wire [31:0] m_overruns, s_overruns; + wire [31:0] m_soft_errors, s_soft_errors; + reg m_bist_gen, m_bist_check, s_bist_loopback; + reg [5:0] m_bist_rate; + wire m_bist_locked; + wire [47:0] m_bist_samps, m_bist_errors; + + + cvita_master m_tx_chdr (.clk(m_user_clk)); + cvita_slave m_rx_chdr (.clk(s_user_clk)); + + aurora_phy_x1 #(.SIMULATION(1)) aurora_phy_master_i ( + // Resets + .areset(GSR), + // Clocks + .refclk(aurora_refclk), + .user_clk(m_user_clk), + .init_clk(aurora_init_clk), + .user_rst(m_user_rst), + // GTX Serial I/O + .tx_p(SFP_LN0_P), .tx_n(SFP_LN0_N), + .rx_p(SFP_LN1_P), .rx_n(SFP_LN1_N), + // AXI4-Stream TX Interface + .s_axis_tdata(m_i_tdata), .s_axis_tvalid(m_i_tvalid), .s_axis_tready(m_i_tready), + // AXI4-Stream RX Interface + .m_axis_tdata(m_o_tdata), .m_axis_tvalid(m_o_tvalid), + // AXI4-Lite Config Interface + .s_axi_awaddr(32'h0), .s_axi_araddr(32'h0), .s_axi_awvalid(1'b0), .s_axi_awready(), + .s_axi_wdata(32'h0), .s_axi_wvalid(1'b0), .s_axi_wstrb(1'b0), .s_axi_wready(), + .s_axi_bvalid(), .s_axi_bresp(), .s_axi_bready(1'b1), + .s_axi_arready(), .s_axi_arvalid(1'b0), + .s_axi_rdata(), .s_axi_rvalid(), .s_axi_rresp(), .s_axi_rready(1'b1), + // Status and Error Reporting Interface + .channel_up(m_channel_up), .hard_err(m_hard_err), .soft_err(m_soft_err) + ); + + aurora_axis_mac #(.PACKET_MODE(PACKET_MODE), .BIST_ENABLED(1)) aurora_mac_master_i ( + // Clocks and resets + .phy_clk(m_user_clk), .phy_rst(m_user_rst), + .sys_clk(m_user_clk), .sys_rst(m_user_rst), + .clear(1'b0), + // PHY Interface + .phy_s_axis_tdata(m_o_tdata), .phy_s_axis_tvalid(m_o_tvalid), + .phy_m_axis_tdata(m_i_tdata), .phy_m_axis_tvalid(m_i_tvalid), .phy_m_axis_tready(m_i_tready), + // User Interface + .s_axis_tdata(m_tx_chdr.axis.tdata), .s_axis_tlast(m_tx_chdr.axis.tlast), + .s_axis_tvalid(m_tx_chdr.axis.tvalid), .s_axis_tready(m_tx_chdr.axis.tready), + .m_axis_tdata(m_rx_chdr.axis.tdata), .m_axis_tlast(m_rx_chdr.axis.tlast), + .m_axis_tvalid(m_rx_chdr.axis.tvalid), .m_axis_tready(m_rx_chdr.axis.tready), + // Misc PHY + .channel_up(m_channel_up), .hard_err(m_hard_err), .soft_err(m_soft_err), + .overruns(m_overruns), .soft_errors(m_soft_errors), + //BIST + .bist_gen_en(m_bist_gen), .bist_checker_en(m_bist_check), .bist_loopback_en(1'b0), .bist_gen_rate(m_bist_rate), + .bist_checker_locked(m_bist_locked), .bist_checker_samps(m_bist_samps), .bist_checker_errors(m_bist_errors) + ); + + aurora_phy_x1 #(.SIMULATION(1)) aurora_phy_slave_i ( + // Resets + .areset(GSR), + // Clocks + .refclk(aurora_refclk), + .user_clk(s_user_clk), + .init_clk(aurora_init_clk), + .user_rst(s_user_rst), + // GTX Serial I/O + .tx_p(SFP_LN1_P), .tx_n(SFP_LN1_N), + .rx_p(SFP_LN0_P), .rx_n(SFP_LN0_N), + // AXI4-Stream TX Interface + .s_axis_tdata(s_i_tdata), .s_axis_tvalid(s_i_tvalid), .s_axis_tready(s_i_tready), + // AXI4-Stream RX Interface + .m_axis_tdata(s_o_tdata), .m_axis_tvalid(s_o_tvalid), + // AXI4-Lite Config Interface + // AXI4-Lite Config Interface + .s_axi_awaddr(32'h0), .s_axi_araddr(32'h0), .s_axi_awvalid(1'b0), .s_axi_awready(), + .s_axi_wdata(32'h0), .s_axi_wvalid(1'b0), .s_axi_wstrb(1'b0), .s_axi_wready(), + .s_axi_bvalid(), .s_axi_bresp(), .s_axi_bready(1'b1), + .s_axi_arready(), .s_axi_arvalid(1'b0), + .s_axi_rdata(), .s_axi_rvalid(), .s_axi_rresp(), .s_axi_rready(1'b1), + // Status and Error Reporting Interface + .channel_up(s_channel_up), .hard_err(s_hard_err), .soft_err(s_soft_err) + ); + + aurora_axis_mac #(.PACKET_MODE(PACKET_MODE), .BIST_ENABLED(1)) aurora_mac_slave_i ( + // Clocks and resets + .phy_clk(s_user_clk), .phy_rst(s_user_rst), + .sys_clk(s_user_clk), .sys_rst(s_user_rst), + .clear(1'b0), + // PHY Interface + .phy_s_axis_tdata(s_o_tdata), .phy_s_axis_tvalid(s_o_tvalid), + .phy_m_axis_tdata(s_i_tdata), .phy_m_axis_tvalid(s_i_tvalid), .phy_m_axis_tready(s_i_tready), + // User Interface + .s_axis_tdata(loop_tdata), .s_axis_tlast(loop_tlast), + .s_axis_tvalid(~s_bist_loopback & loop_tvalid), .s_axis_tready(loop_tready), + .m_axis_tdata(loop_tdata), .m_axis_tlast(loop_tlast), + .m_axis_tvalid(loop_tvalid), .m_axis_tready(~s_bist_loopback & loop_tready), + // Misc PHY + .channel_up(s_channel_up), .hard_err(s_hard_err), .soft_err(s_soft_err), + .overruns(s_overruns), .soft_errors(s_soft_errors), + //BIST + .bist_gen_en(1'b0), .bist_checker_en(1'b0), .bist_loopback_en(s_bist_loopback), .bist_gen_rate(6'd0), + .bist_checker_locked(), .bist_checker_samps(), .bist_checker_errors() + ); + + //Testbench variables + cvita_hdr_t header, header_out; + cvita_stats_t stats; + logic [63:0] crc_cache; + + //------------------------------------------ + //Main thread for testbench execution + //------------------------------------------ + initial begin : tb_main + string s; + `TEST_CASE_START("Wait for reset"); + while (GSR) @(posedge XG_CLK_P); + `TEST_CASE_DONE((~GSR)); + + m_bist_gen <= 1'b0; + m_bist_rate <= 6'd0; + m_bist_check <= 1'b0; + s_bist_loopback <= 1'b0; + + m_tx_chdr.push_bubble(); + + `TEST_CASE_START("Wait for master channel to come up"); + while (m_channel_up !== 1'b1) @(posedge m_user_clk); + `TEST_CASE_DONE(1'b1); + + `TEST_CASE_START("Wait for slave channel to come up"); + while (s_channel_up !== 1'b1) @(posedge s_user_clk); + `TEST_CASE_DONE(1'b1); + + `TEST_CASE_START("Run PRBS BIST"); + s_bist_loopback <= PACKET_MODE; + @(posedge m_user_clk); + m_bist_rate <= 6'd60; + m_bist_gen <= 1'b1; + m_bist_check <= 1'b1; + @(posedge m_user_clk); + while (m_bist_locked !== 1'b1) @(posedge m_user_clk); + repeat (512) @(posedge m_user_clk); + `ASSERT_ERROR(m_bist_samps>256, "BIST: Num samples incorrect"); + `ASSERT_ERROR(m_bist_errors===36'd0, "BIST: Errors!"); + @(posedge m_user_clk); + m_bist_gen <= 1'b0; + repeat (256) @(posedge m_user_clk); + m_bist_check <= 1'b0; + `TEST_CASE_DONE(1'b1); + + header = '{ + pkt_type:DATA, has_time:0, eob:0, seqnum:12'h666, + length:0, src_sid:$random, dst_sid:$random, timestamp:64'h0}; + + `TEST_CASE_START("Fill up empty FIFO then drain (short packet)"); + m_rx_chdr.axis.tready = 0; + m_tx_chdr.push_ramp_pkt(16, 64'd0, 64'h100, header); + m_rx_chdr.axis.tready = 1; + m_rx_chdr.wait_for_pkt_get_info(header_out, stats); + `ASSERT_ERROR(stats.count==16, "Bad packet: Length mismatch"); + $sformat(s, "Bad packet: Wrong SID. Expected: %08x, Actual: %08x", + {header.src_sid,header.dst_sid},{header_out.src_sid,header_out.dst_sid}); + `ASSERT_ERROR({header.src_sid,header.dst_sid}=={header_out.src_sid,header_out.dst_sid}, s); + `TEST_CASE_DONE(1); + + `TEST_CASE_START("Fill up empty FIFO then drain (long packet)"); + m_rx_chdr.axis.tready = 0; + m_tx_chdr.push_ramp_pkt(256, 64'd0, 64'h100, header); + m_rx_chdr.axis.tready = 1; + m_rx_chdr.wait_for_pkt_get_info(header_out, stats); + `ASSERT_ERROR(stats.count==256, "Bad packet: Length mismatch"); + $sformat(s, "Bad packet: Wrong SID. Expected: %08x, Actual: %08x", + {header.src_sid,header.dst_sid},{header_out.src_sid,header_out.dst_sid}); + `ASSERT_ERROR({header.src_sid,header.dst_sid}=={header_out.src_sid,header_out.dst_sid}, s); + `TEST_CASE_DONE(1); + + header = '{ + pkt_type:DATA, has_time:1, eob:0, seqnum:12'h666, + length:0, src_sid:$random, dst_sid:$random, timestamp:64'h0}; + + `TEST_CASE_START("Concurrent read and write (single packet)"); + repeat (10) @(posedge m_user_clk); //Wait for clear to go low + m_rx_chdr.axis.tready = 1; + fork + begin + m_tx_chdr.push_ramp_pkt(200, 64'd0, 64'h100, header); + end + begin + m_rx_chdr.wait_for_pkt_get_info(header_out, stats); + end + join + crc_cache = stats.crc; //Cache CRC for future test cases + `ASSERT_ERROR(stats.count==200, "Bad packet: Length mismatch"); + `TEST_CASE_DONE(1); + + `TEST_CASE_START("Concurrent read and write (multiple packets)"); + m_rx_chdr.axis.tready = 1; + fork + begin + repeat (20) begin + m_tx_chdr.push_ramp_pkt(20, 64'd0, 64'h100, header); + m_tx_chdr.push_bubble(); + end + end + begin + repeat (20) begin + m_rx_chdr.wait_for_pkt_get_info(header_out, stats); + `ASSERT_ERROR(stats.count==20, "Bad packet: Length mismatch"); + `ASSERT_ERROR(crc_cache==stats.crc, "Bad packet: Wrong CRC"); + end + end + join + `TEST_CASE_DONE(1); + + `TEST_CASE_START("Validate no drops (master)"); + `TEST_CASE_DONE((m_overruns === 32'd0)); + + `TEST_CASE_START("Validate no drops (slave)"); + `TEST_CASE_DONE((s_overruns === 32'd0)); + + s_bist_loopback <= 1'b1; + + `TEST_CASE_START("Run PRBS BIST (Loopback Mode)"); + @(posedge m_user_clk); + m_bist_gen <= 1'b1; + m_bist_rate <= 6'd60; + m_bist_check <= 1'b1; + @(posedge m_user_clk); + while (m_bist_locked !== 1'b1) @(posedge m_user_clk); + repeat (512) @(posedge m_user_clk); + `ASSERT_ERROR(m_bist_samps>256, "BIST: Num samples incorrect"); + `ASSERT_ERROR(m_bist_errors===36'd0, "BIST: Errors!"); + @(posedge m_user_clk); + m_bist_gen <= 1'b0; + repeat (256) @(posedge m_user_clk); + m_bist_check <= 1'b0; + `TEST_CASE_DONE(1'b1); + + s_bist_loopback <= 1'b0; + + `TEST_CASE_START("Validate no drops (master)"); + `TEST_CASE_DONE((m_overruns === 32'd0)); + + `TEST_CASE_START("Validate no drops (slave)"); + `TEST_CASE_DONE((s_overruns === 32'd0)); + + `TEST_BENCH_DONE; + end + +endmodule diff --git a/fpga/usrp3/top/x300/sim/dram_fifo/Makefile b/fpga/usrp3/top/x300/sim/dram_fifo/Makefile new file mode 100644 index 000000000..8cc56331c --- /dev/null +++ b/fpga/usrp3/top/x300/sim/dram_fifo/Makefile @@ -0,0 +1,72 @@ +# +# Copyright 2015 Ettus Research LLC +# + +#------------------------------------------------- +# Top-of-Makefile +#------------------------------------------------- +# Define BASE_DIR to point to the "top" dir +BASE_DIR = $(abspath ../../..) +# Include viv_sim_preample after defining BASE_DIR +include $(BASE_DIR)/../tools/make/viv_sim_preamble.mak + +#------------------------------------------------- +# Design Specific +#------------------------------------------------- +# Define part using PART_ID (//) +ARCH = kintex7 +PART_ID = xc7k410t/ffg900/-2 + +# Include makefiles and sources for the DUT and its dependencies +include $(BASE_DIR)/../lib/fifo/Makefile.srcs +include $(BASE_DIR)/../lib/axi/Makefile.srcs +include $(BASE_DIR)/../lib/control/Makefile.srcs + +DESIGN_SRCS = $(abspath \ +$(FIFO_SRCS) \ +$(AXI_SRCS) \ +$(CONTROL_LIB_SRCS) \ +) + +#------------------------------------------------- +# IP Specific +#------------------------------------------------- +# If simulation contains IP, define the IP_DIR and point +# it to the base level IP directory +IP_DIR = ../../ip + +# Include makefiles and sources for all IP components +# *after* defining the IP_DIR +include $(IP_DIR)/ddr3_32bit/Makefile.inc +include $(IP_DIR)/axi_intercon_2x64_128_bd/Makefile.inc +include $(IP_DIR)/fifo_short_2clk/Makefile.inc +include $(IP_DIR)/fifo_4k_2clk/Makefile.inc +include $(IP_DIR)/axi4_dualport_sram/Makefile.inc + +DESIGN_SRCS += $(abspath \ +$(IP_DDR3_32BIT_SRCS) \ +$(IP_AXI_INTERCON_2X64_128_SRCS) \ +$(IP_AXI_INTERCON_2X64_128_BD_SRCS) \ +$(IP_FIFO_4K_2CLK_SRCS) \ +$(IP_FIFO_SHORT_2CLK_SRCS) \ +$(IP_AXI4_BRAM_SRCS) \ +) + +#------------------------------------------------- +# Testbench Specific +#------------------------------------------------- +# Define only one toplevel module +SIM_TOP = dram_fifo_tb + +SIM_SRCS = \ +$(abspath dram_fifo_tb.sv) \ +$(abspath axis_dram_fifo_single.sv) \ +$(IP_DDR3_32BIT_SIM_OUTS) + +#------------------------------------------------- +# Bottom-of-Makefile +#------------------------------------------------- +# Include all simulator specific makefiles here +# Each should define a unique target to simulate +# e.g. xsim, vsim, etc and a common "clean" target +include $(BASE_DIR)/../tools/make/viv_simulator.mak diff --git a/fpga/usrp3/top/x300/sim/dram_fifo/axis_dram_fifo_single.sv b/fpga/usrp3/top/x300/sim/dram_fifo/axis_dram_fifo_single.sv new file mode 100644 index 000000000..d4aae6dd0 --- /dev/null +++ b/fpga/usrp3/top/x300/sim/dram_fifo/axis_dram_fifo_single.sv @@ -0,0 +1,589 @@ +// +// Copyright 2015 Ettus Research LLC +// + +`timescale 1ns/1ps + +module axis_dram_fifo_single +#( + parameter USE_SRAM_MEMORY = 0, + parameter USE_BD_INTERCON = 0, + parameter SR_BASE = 0 +) ( + input bus_clk, + input bus_rst, + input sys_clk, + input sys_rst, + + input [63:0] i_tdata, + input i_tlast, + input i_tvalid, + output i_tready, + + output [63:0] o_tdata, + output o_tlast, + output o_tvalid, + input o_tready, + + input set_stb, + input [7:0] set_addr, + input [31:0] set_data, + output [31:0] rb_data, + + input [63:0] forced_bit_err, + + output init_calib_complete +); + + // Misc declarations + axi4_rd_t #(.DWIDTH(64), .AWIDTH(32), .IDWIDTH(1)) dma_axi_rd(.clk(sys_clk)); + axi4_wr_t #(.DWIDTH(64), .AWIDTH(32), .IDWIDTH(1)) dma_axi_wr(.clk(sys_clk)); + axi4_rd_t #(.DWIDTH(256), .AWIDTH(32), .IDWIDTH(1)) mig_axi_rd(.clk(sys_clk)); + axi4_wr_t #(.DWIDTH(256), .AWIDTH(32), .IDWIDTH(1)) mig_axi_wr(.clk(sys_clk)); + + wire [31:0] ddr3_dq; // Data pins. Input for Reads; Output for Writes. + wire [3:0] ddr3_dqs_n; // Data Strobes. Input for Reads; Output for Writes. + wire [3:0] ddr3_dqs_p; + wire [14:0] ddr3_addr; // Address + wire [2:0] ddr3_ba; // Bank Address + wire ddr3_ras_n; // Row Address Strobe. + wire ddr3_cas_n; // Column address select + wire ddr3_we_n; // Write Enable + wire ddr3_reset_n; // SDRAM reset pin. + wire [0:0] ddr3_ck_p; // Differential clock + wire [0:0] ddr3_ck_n; + wire [0:0] ddr3_cke; // Clock Enable + wire [0:0] ddr3_cs_n; // Chip Select + wire [3:0] ddr3_dm; // Data Mask [3] = UDM.U26; [2] = LDM.U26; ... + wire [0:0] ddr3_odt; // On-Die termination enable. + + wire ddr3_axi_clk; // 1/4 DDR external clock rate (250MHz) + wire ddr3_axi_rst; // Synchronized to ddr_sys_clk + reg ddr3_axi_rst_reg_n; // Synchronized to ddr_sys_clk + wire ddr3_axi_clk_x2; + + always @(posedge ddr3_axi_clk) + ddr3_axi_rst_reg_n <= ~ddr3_axi_rst; + + axi_dma_fifo #( + .DEFAULT_BASE(30'h00010000), + .DEFAULT_MASK(30'hFFFF0000), + .DEFAULT_TIMEOUT(280), + .SR_BASE(SR_BASE), + .EXT_BIST(1), + .SIMULATION(1) + ) axi_dma_fifo_i0 ( + // + // Clocks and reset + .bus_clk (bus_clk), + .bus_reset (bus_rst), + .dram_clk (ddr3_axi_clk_x2), + .dram_reset (ddr3_axi_rst), + // + // AXI Write address channel + .m_axi_awid (dma_axi_wr.addr.id), + .m_axi_awaddr (dma_axi_wr.addr.addr), + .m_axi_awlen (dma_axi_wr.addr.len), + .m_axi_awsize (dma_axi_wr.addr.size), + .m_axi_awburst (dma_axi_wr.addr.burst), + .m_axi_awlock (dma_axi_wr.addr.lock), + .m_axi_awcache (dma_axi_wr.addr.cache), + .m_axi_awprot (dma_axi_wr.addr.prot), + .m_axi_awqos (dma_axi_wr.addr.qos), + .m_axi_awregion (dma_axi_wr.addr.region), + .m_axi_awuser (dma_axi_wr.addr.user), + .m_axi_awvalid (dma_axi_wr.addr.valid), + .m_axi_awready (dma_axi_wr.addr.ready), + // + // AXI Write data channel. + .m_axi_wdata (dma_axi_wr.data.data), + .m_axi_wstrb (dma_axi_wr.data.strb), + .m_axi_wlast (dma_axi_wr.data.last), + .m_axi_wuser (dma_axi_wr.data.user), + .m_axi_wvalid (dma_axi_wr.data.valid), + .m_axi_wready (dma_axi_wr.data.ready), + // + // AXI Write response channel signals + .m_axi_bid (dma_axi_wr.resp.id), + .m_axi_bresp (dma_axi_wr.resp.resp), + .m_axi_buser (dma_axi_wr.resp.user), + .m_axi_bvalid (dma_axi_wr.resp.valid), + .m_axi_bready (dma_axi_wr.resp.ready), + // + // AXI Read address channel + .m_axi_arid (dma_axi_rd.addr.id), + .m_axi_araddr (dma_axi_rd.addr.addr), + .m_axi_arlen (dma_axi_rd.addr.len), + .m_axi_arsize (dma_axi_rd.addr.size), + .m_axi_arburst (dma_axi_rd.addr.burst), + .m_axi_arlock (dma_axi_rd.addr.lock), + .m_axi_arcache (dma_axi_rd.addr.cache), + .m_axi_arprot (dma_axi_rd.addr.prot), + .m_axi_arqos (dma_axi_rd.addr.qos), + .m_axi_arregion (dma_axi_rd.addr.region), + .m_axi_aruser (dma_axi_rd.addr.user), + .m_axi_arvalid (dma_axi_rd.addr.valid), + .m_axi_arready (dma_axi_rd.addr.ready), + // + // AXI Read data channel + .m_axi_rid (dma_axi_rd.data.id), + .m_axi_rdata (dma_axi_rd.data.data), + .m_axi_rresp (dma_axi_rd.data.resp), + .m_axi_rlast (dma_axi_rd.data.last), + .m_axi_ruser (dma_axi_rd.data.user), + .m_axi_rvalid (dma_axi_rd.data.valid), + .m_axi_rready (dma_axi_rd.data.ready), + // + // CHDR friendly AXI stream input + .i_tdata (i_tdata), + .i_tlast (i_tlast), + .i_tvalid (i_tvalid), + .i_tready (i_tready), + // + // CHDR friendly AXI Stream output + .o_tdata (o_tdata), + .o_tlast (o_tlast), + .o_tvalid (o_tvalid), + .o_tready (o_tready), + // + // Settings + .set_stb (set_stb), + .set_addr (set_addr), + .set_data (set_data), + .rb_data (rb_data), + + .debug() + ); + + generate if (USE_SRAM_MEMORY) begin + assign init_calib_complete = 1; + assign ddr3_axi_clk = bus_clk; + assign ddr3_axi_clk_x2 = bus_clk; + assign ddr3_axi_rst = bus_rst; + + axi4_dualport_sram axi4_dualport_sram_i1 ( + .s_aclk (ddr3_axi_clk_x2), // input s_aclk + .s_aresetn (~ddr3_axi_rst), // input s_aresetn + .s_axi_awid (dma_axi_wr.addr.id), // input [0 : 0] s_axi_awid + .s_axi_awaddr (dma_axi_wr.addr.addr), // input [31 : 0] s_axi_awaddr + .s_axi_awlen (dma_axi_wr.addr.len), // input [7 : 0] s_axi_awlen + .s_axi_awsize (dma_axi_wr.addr.size), // input [2 : 0] s_axi_awsize + .s_axi_awburst (dma_axi_wr.addr.burst), // input [1 : 0] s_axi_awburst + .s_axi_awvalid (dma_axi_wr.addr.valid), // input s_axi_awvalid + .s_axi_awready (dma_axi_wr.addr.ready), // output s_axi_awready + .s_axi_wdata (dma_axi_wr.data.data ^ forced_bit_err), // input [63 : 0] s_axi_wdata + .s_axi_wstrb (dma_axi_wr.data.strb), // input [7 : 0] s_axi_wstrb + .s_axi_wlast (dma_axi_wr.data.last), // input s_axi_wlast + .s_axi_wvalid (dma_axi_wr.data.valid), // input s_axi_wvalid + .s_axi_wready (dma_axi_wr.data.ready), // output s_axi_wready + .s_axi_bid (dma_axi_wr.resp.id), // output [0 : 0] s_axi_bid + .s_axi_bresp (dma_axi_wr.resp.resp), // output [1 : 0] s_axi_bresp + .s_axi_bvalid (dma_axi_wr.resp.valid), // output s_axi_bvalid + .s_axi_bready (dma_axi_wr.resp.ready), // input s_axi_bready + .s_axi_arid (dma_axi_rd.addr.id), // input [0 : 0] s_axi_arid + .s_axi_araddr (dma_axi_rd.addr.addr), // input [31 : 0] s_axi_araddr + .s_axi_arlen (dma_axi_rd.addr.len), // input [7 : 0] s_axi_arlen + .s_axi_arsize (dma_axi_rd.addr.size), // input [2 : 0] s_axi_arsize + .s_axi_arburst (dma_axi_rd.addr.burst), // input [1 : 0] s_axi_arburst + .s_axi_arvalid (dma_axi_rd.addr.valid), // input s_axi_arvalid + .s_axi_arready (dma_axi_rd.addr.ready), // output s_axi_arready + .s_axi_rid (dma_axi_rd.data.id), // output [0 : 0] s_axi_rid + .s_axi_rdata (dma_axi_rd.data.data), // output [63 : 0] s_axi_rdata + .s_axi_rresp (dma_axi_rd.data.resp), // output [1 : 0] s_axi_rresp + .s_axi_rlast (dma_axi_rd.data.last), // output s_axi_rlast + .s_axi_rvalid (dma_axi_rd.data.valid), // output s_axi_rvalid + .s_axi_rready (dma_axi_rd.data.ready) // input s_axi_rready + ); + + end else begin //generate if (USE_SRAM_MEMORY) begin + //--------------------------------------------------- + // We use an interconnect to connect to FIFOs. + //--------------------------------------------------- + if (USE_BD_INTERCON) begin + // Vivado Block Diagram interconnect. + axi_intercon_2x64_128_bd_wrapper axi_intercon_2x64_128_i ( + .S00_AXI_ACLK (ddr3_axi_clk_x2), // input S00_AXI_ACLK + .S00_AXI_ARESETN (~ddr3_axi_rst), // input S00_AXI_ARESETN + .S00_AXI_AWID (dma_axi_wr.addr.id), // input [0 : 0] S00_AXI_AWID + .S00_AXI_AWADDR (dma_axi_wr.addr.addr), // input [31 : 0] S00_AXI_AWADDR + .S00_AXI_AWLEN (dma_axi_wr.addr.len), // input [7 : 0] S00_AXI_AWLEN + .S00_AXI_AWSIZE (dma_axi_wr.addr.size), // input [2 : 0] S00_AXI_AWSIZE + .S00_AXI_AWBURST (dma_axi_wr.addr.burst), // input [1 : 0] S00_AXI_AWBURST + .S00_AXI_AWLOCK (dma_axi_wr.addr.lock), // input S00_AXI_AWLOCK + .S00_AXI_AWCACHE (dma_axi_wr.addr.cache), // input [3 : 0] S00_AXI_AWCACHE + .S00_AXI_AWPROT (dma_axi_wr.addr.prot), // input [2 : 0] S00_AXI_AWPROT + .S00_AXI_AWQOS (dma_axi_wr.addr.qos), // input [3 : 0] S00_AXI_AWQOS + .S00_AXI_AWVALID (dma_axi_wr.addr.valid), // input S00_AXI_AWVALID + .S00_AXI_AWREADY (dma_axi_wr.addr.ready), // output S00_AXI_AWREADY + .S00_AXI_WDATA (dma_axi_wr.data.data ^ forced_bit_err), // input [63 : 0] S00_AXI_WDATA + .S00_AXI_WSTRB (dma_axi_wr.data.strb), // input [7 : 0] S00_AXI_WSTRB + .S00_AXI_WLAST (dma_axi_wr.data.last), // input S00_AXI_WLAST + .S00_AXI_WVALID (dma_axi_wr.data.valid), // input S00_AXI_WVALID + .S00_AXI_WREADY (dma_axi_wr.data.ready), // output S00_AXI_WREADY + .S00_AXI_BID (dma_axi_wr.resp.id), // output [0 : 0] S00_AXI_BID + .S00_AXI_BRESP (dma_axi_wr.resp.resp), // output [1 : 0] S00_AXI_BRESP + .S00_AXI_BVALID (dma_axi_wr.resp.valid), // output S00_AXI_BVALID + .S00_AXI_BREADY (dma_axi_wr.resp.ready), // input S00_AXI_BREADY + .S00_AXI_ARID (dma_axi_rd.addr.id), // input [0 : 0] S00_AXI_ARID + .S00_AXI_ARADDR (dma_axi_rd.addr.addr), // input [31 : 0] S00_AXI_ARADDR + .S00_AXI_ARLEN (dma_axi_rd.addr.len), // input [7 : 0] S00_AXI_ARLEN + .S00_AXI_ARSIZE (dma_axi_rd.addr.size), // input [2 : 0] S00_AXI_ARSIZE + .S00_AXI_ARBURST (dma_axi_rd.addr.burst), // input [1 : 0] S00_AXI_ARBURST + .S00_AXI_ARLOCK (dma_axi_rd.addr.lock), // input S00_AXI_ARLOCK + .S00_AXI_ARCACHE (dma_axi_rd.addr.cache), // input [3 : 0] S00_AXI_ARCACHE + .S00_AXI_ARPROT (dma_axi_rd.addr.prot), // input [2 : 0] S00_AXI_ARPROT + .S00_AXI_ARQOS (dma_axi_rd.addr.qos), // input [3 : 0] S00_AXI_ARQOS + .S00_AXI_ARVALID (dma_axi_rd.addr.valid), // input S00_AXI_ARVALID + .S00_AXI_ARREADY (dma_axi_rd.addr.ready), // output S00_AXI_ARREADY + .S00_AXI_RID (dma_axi_rd.data.id), // output [0 : 0] S00_AXI_RID + .S00_AXI_RDATA (dma_axi_rd.data.data), // output [63 : 0] S00_AXI_RDATA + .S00_AXI_RRESP (dma_axi_rd.data.resp), // output [1 : 0] S00_AXI_RRESP + .S00_AXI_RLAST (dma_axi_rd.data.last), // output S00_AXI_RLAST + .S00_AXI_RVALID (dma_axi_rd.data.valid), // output S00_AXI_RVALID + .S00_AXI_RREADY (dma_axi_rd.data.ready), // input S00_AXI_RREADY + // + //.S01_AXI_ARESET_OUT_N (), // output S01_AXI_ARESET_OUT_N + .S01_AXI_ACLK (ddr3_axi_clk_x2), // input S01_AXI_ACLK + .S01_AXI_ARESETN (~ddr3_axi_rst), // input S01_AXI_ARESETN + .S01_AXI_AWID (0), // input [0 : 0] S01_AXI_AWID + .S01_AXI_AWADDR (0), // input [31 : 0] S01_AXI_AWADDR + .S01_AXI_AWLEN (0), // input [7 : 0] S01_AXI_AWLEN + .S01_AXI_AWSIZE (0), // input [2 : 0] S01_AXI_AWSIZE + .S01_AXI_AWBURST (0), // input [1 : 0] S01_AXI_AWBURST + .S01_AXI_AWLOCK (0), // input S01_AXI_AWLOCK + .S01_AXI_AWCACHE (0), // input [3 : 0] S01_AXI_AWCACHE + .S01_AXI_AWPROT (0), // input [2 : 0] S01_AXI_AWPROT + .S01_AXI_AWQOS (0), // input [3 : 0] S01_AXI_AWQOS + .S01_AXI_AWVALID (0), // input S01_AXI_AWVALID + .S01_AXI_AWREADY (), // output S01_AXI_AWREADY + .S01_AXI_WDATA (0), // input [63 : 0] S01_AXI_WDATA + .S01_AXI_WSTRB (0), // input [7 : 0] S01_AXI_WSTRB + .S01_AXI_WLAST (0), // input S01_AXI_WLAST + .S01_AXI_WVALID (0), // input S01_AXI_WVALID + .S01_AXI_WREADY (), // output S01_AXI_WREADY + .S01_AXI_BID (), // output [0 : 0] S01_AXI_BID + .S01_AXI_BRESP (), // output [1 : 0] S01_AXI_BRESP + .S01_AXI_BVALID (), // output S01_AXI_BVALID + .S01_AXI_BREADY (0), // input S01_AXI_BREADY + .S01_AXI_ARID (0), // input [0 : 0] S01_AXI_ARID + .S01_AXI_ARADDR (0), // input [31 : 0] S01_AXI_ARADDR + .S01_AXI_ARLEN (0), // input [7 : 0] S01_AXI_ARLEN + .S01_AXI_ARSIZE (0), // input [2 : 0] S01_AXI_ARSIZE + .S01_AXI_ARBURST (0), // input [1 : 0] S01_AXI_ARBURST + .S01_AXI_ARLOCK (0), // input S01_AXI_ARLOCK + .S01_AXI_ARCACHE (0), // input [3 : 0] S01_AXI_ARCACHE + .S01_AXI_ARPROT (0), // input [2 : 0] S01_AXI_ARPROT + .S01_AXI_ARQOS (0), // input [3 : 0] S01_AXI_ARQOS + .S01_AXI_ARVALID (0), // input S01_AXI_ARVALID + .S01_AXI_ARREADY (), // output S01_AXI_ARREADY + .S01_AXI_RID (), // output [0 : 0] S01_AXI_RID + .S01_AXI_RDATA (), // output [63 : 0] S01_AXI_RDATA + .S01_AXI_RRESP (), // output [1 : 0] S01_AXI_RRESP + .S01_AXI_RLAST (), // output S01_AXI_RLAST + .S01_AXI_RVALID (), // output S01_AXI_RVALID + .S01_AXI_RREADY (0), // input S01_AXI_RREADY + // + //.M00_AXI_ARESET_OUT_N (), // output M00_AXI_ARESET_OUT_N + .M00_AXI_ACLK (ddr3_axi_clk), // input M00_AXI_ACLK + .M00_AXI_ARESETN (~ddr3_axi_rst), // input M00_AXI_ARESETN + .M00_AXI_AWID (mig_axi_wr.addr.id), // output [3 : 0] M00_AXI_AWID + .M00_AXI_AWADDR (mig_axi_wr.addr.addr), // output [31 : 0] M00_AXI_AWADDR + .M00_AXI_AWLEN (mig_axi_wr.addr.len), // output [7 : 0] M00_AXI_AWLEN + .M00_AXI_AWSIZE (mig_axi_wr.addr.size), // output [2 : 0] M00_AXI_AWSIZE + .M00_AXI_AWBURST (mig_axi_wr.addr.burst), // output [1 : 0] M00_AXI_AWBURST + .M00_AXI_AWLOCK (mig_axi_wr.addr.lock), // output M00_AXI_AWLOCK + .M00_AXI_AWCACHE (mig_axi_wr.addr.cache), // output [3 : 0] M00_AXI_AWCACHE + .M00_AXI_AWPROT (mig_axi_wr.addr.prot), // output [2 : 0] M00_AXI_AWPROT + .M00_AXI_AWQOS (mig_axi_wr.addr.qos), // output [3 : 0] M00_AXI_AWQOS + .M00_AXI_AWVALID (mig_axi_wr.addr.valid), // output M00_AXI_AWVALID + .M00_AXI_AWREADY (mig_axi_wr.addr.ready), // input M00_AXI_AWREADY + .M00_AXI_WDATA (mig_axi_wr.data.data), // output [127 : 0] M00_AXI_WDATA + .M00_AXI_WSTRB (mig_axi_wr.data.strb), // output [15 : 0] M00_AXI_WSTRB + .M00_AXI_WLAST (mig_axi_wr.data.last), // output M00_AXI_WLAST + .M00_AXI_WVALID (mig_axi_wr.data.valid), // output M00_AXI_WVALID + .M00_AXI_WREADY (mig_axi_wr.data.ready), // input M00_AXI_WREADY + .M00_AXI_BID (mig_axi_wr.resp.id), // input [3 : 0] M00_AXI_BID + .M00_AXI_BRESP (mig_axi_wr.resp.resp), // input [1 : 0] M00_AXI_BRESP + .M00_AXI_BVALID (mig_axi_wr.resp.valid), // input M00_AXI_BVALID + .M00_AXI_BREADY (mig_axi_wr.resp.ready), // output M00_AXI_BREADY + .M00_AXI_ARID (mig_axi_rd.addr.id), // output [3 : 0] M00_AXI_ARID + .M00_AXI_ARADDR (mig_axi_rd.addr.addr), // output [31 : 0] M00_AXI_ARADDR + .M00_AXI_ARLEN (mig_axi_rd.addr.len), // output [7 : 0] M00_AXI_ARLEN + .M00_AXI_ARSIZE (mig_axi_rd.addr.size), // output [2 : 0] M00_AXI_ARSIZE + .M00_AXI_ARBURST (mig_axi_rd.addr.burst), // output [1 : 0] M00_AXI_ARBURST + .M00_AXI_ARLOCK (mig_axi_rd.addr.lock), // output M00_AXI_ARLOCK + .M00_AXI_ARCACHE (mig_axi_rd.addr.cache), // output [3 : 0] M00_AXI_ARCACHE + .M00_AXI_ARPROT (mig_axi_rd.addr.prot), // output [2 : 0] M00_AXI_ARPROT + .M00_AXI_ARQOS (mig_axi_rd.addr.qos), // output [3 : 0] M00_AXI_ARQOS + .M00_AXI_ARVALID (mig_axi_rd.addr.valid), // output M00_AXI_ARVALID + .M00_AXI_ARREADY (mig_axi_rd.addr.ready), // input M00_AXI_ARREADY + .M00_AXI_RID (mig_axi_rd.data.id), // input [3 : 0] M00_AXI_RID + .M00_AXI_RDATA (mig_axi_rd.data.data), // input [127 : 0] M00_AXI_RDATA + .M00_AXI_RRESP (mig_axi_rd.data.resp), // input [1 : 0] M00_AXI_RRESP + .M00_AXI_RLAST (mig_axi_rd.data.last), // input M00_AXI_RLAST + .M00_AXI_RVALID (mig_axi_rd.data.valid), // input M00_AXI_RVALID + .M00_AXI_RREADY (mig_axi_rd.data.ready) // output M00_AXI_RREADY + ); + end else begin + //Original IP interconnect + axi_intercon_2x64_128 axi_intercon_2x64_128_i ( + .INTERCONNECT_ACLK(ddr3_axi_clk_x2), // input INTERCONNECT_ACLK + .INTERCONNECT_ARESETN(~ddr3_axi_rst), // input INTERCONNECT_ARESETN + // + .S00_AXI_ARESET_OUT_N (), // output S00_AXI_ARESET_OUT_N + .S00_AXI_ACLK (ddr3_axi_clk_x2), // input S00_AXI_ACLK + .S00_AXI_AWID (dma_axi_wr.addr.id), // input [0 : 0] S00_AXI_AWID + .S00_AXI_AWADDR (dma_axi_wr.addr.addr), // input [31 : 0] S00_AXI_AWADDR + .S00_AXI_AWLEN (dma_axi_wr.addr.len), // input [7 : 0] S00_AXI_AWLEN + .S00_AXI_AWSIZE (dma_axi_wr.addr.size), // input [2 : 0] S00_AXI_AWSIZE + .S00_AXI_AWBURST (dma_axi_wr.addr.burst), // input [1 : 0] S00_AXI_AWBURST + .S00_AXI_AWLOCK (dma_axi_wr.addr.lock), // input S00_AXI_AWLOCK + .S00_AXI_AWCACHE (dma_axi_wr.addr.cache), // input [3 : 0] S00_AXI_AWCACHE + .S00_AXI_AWPROT (dma_axi_wr.addr.prot), // input [2 : 0] S00_AXI_AWPROT + .S00_AXI_AWQOS (dma_axi_wr.addr.qos), // input [3 : 0] S00_AXI_AWQOS + .S00_AXI_AWVALID (dma_axi_wr.addr.valid), // input S00_AXI_AWVALID + .S00_AXI_AWREADY (dma_axi_wr.addr.ready), // output S00_AXI_AWREADY + .S00_AXI_WDATA (dma_axi_wr.data.data ^ forced_bit_err), // input [63 : 0] S00_AXI_WDATA + .S00_AXI_WSTRB (dma_axi_wr.data.strb), // input [7 : 0] S00_AXI_WSTRB + .S00_AXI_WLAST (dma_axi_wr.data.last), // input S00_AXI_WLAST + .S00_AXI_WVALID (dma_axi_wr.data.valid), // input S00_AXI_WVALID + .S00_AXI_WREADY (dma_axi_wr.data.ready), // output S00_AXI_WREADY + .S00_AXI_BID (dma_axi_wr.resp.id), // output [0 : 0] S00_AXI_BID + .S00_AXI_BRESP (dma_axi_wr.resp.resp), // output [1 : 0] S00_AXI_BRESP + .S00_AXI_BVALID (dma_axi_wr.resp.valid), // output S00_AXI_BVALID + .S00_AXI_BREADY (dma_axi_wr.resp.ready), // input S00_AXI_BREADY + .S00_AXI_ARID (dma_axi_rd.addr.id), // input [0 : 0] S00_AXI_ARID + .S00_AXI_ARADDR (dma_axi_rd.addr.addr), // input [31 : 0] S00_AXI_ARADDR + .S00_AXI_ARLEN (dma_axi_rd.addr.len), // input [7 : 0] S00_AXI_ARLEN + .S00_AXI_ARSIZE (dma_axi_rd.addr.size), // input [2 : 0] S00_AXI_ARSIZE + .S00_AXI_ARBURST (dma_axi_rd.addr.burst), // input [1 : 0] S00_AXI_ARBURST + .S00_AXI_ARLOCK (dma_axi_rd.addr.lock), // input S00_AXI_ARLOCK + .S00_AXI_ARCACHE (dma_axi_rd.addr.cache), // input [3 : 0] S00_AXI_ARCACHE + .S00_AXI_ARPROT (dma_axi_rd.addr.prot), // input [2 : 0] S00_AXI_ARPROT + .S00_AXI_ARQOS (dma_axi_rd.addr.qos), // input [3 : 0] S00_AXI_ARQOS + .S00_AXI_ARVALID (dma_axi_rd.addr.valid), // input S00_AXI_ARVALID + .S00_AXI_ARREADY (dma_axi_rd.addr.ready), // output S00_AXI_ARREADY + .S00_AXI_RID (dma_axi_rd.data.id), // output [0 : 0] S00_AXI_RID + .S00_AXI_RDATA (dma_axi_rd.data.data), // output [63 : 0] S00_AXI_RDATA + .S00_AXI_RRESP (dma_axi_rd.data.resp), // output [1 : 0] S00_AXI_RRESP + .S00_AXI_RLAST (dma_axi_rd.data.last), // output S00_AXI_RLAST + .S00_AXI_RVALID (dma_axi_rd.data.valid), // output S00_AXI_RVALID + .S00_AXI_RREADY (dma_axi_rd.data.ready), // input S00_AXI_RREADY + // + .S01_AXI_ARESET_OUT_N (), // output S01_AXI_ARESET_OUT_N + .S01_AXI_ACLK (ddr3_axi_clk_x2), // input S01_AXI_ACLK + .S01_AXI_AWID (0), // input [0 : 0] S01_AXI_AWID + .S01_AXI_AWADDR (0), // input [31 : 0] S01_AXI_AWADDR + .S01_AXI_AWLEN (0), // input [7 : 0] S01_AXI_AWLEN + .S01_AXI_AWSIZE (0), // input [2 : 0] S01_AXI_AWSIZE + .S01_AXI_AWBURST (0), // input [1 : 0] S01_AXI_AWBURST + .S01_AXI_AWLOCK (0), // input S01_AXI_AWLOCK + .S01_AXI_AWCACHE (0), // input [3 : 0] S01_AXI_AWCACHE + .S01_AXI_AWPROT (0), // input [2 : 0] S01_AXI_AWPROT + .S01_AXI_AWQOS (0), // input [3 : 0] S01_AXI_AWQOS + .S01_AXI_AWVALID (0), // input S01_AXI_AWVALID + .S01_AXI_AWREADY (), // output S01_AXI_AWREADY + .S01_AXI_WDATA (0), // input [63 : 0] S01_AXI_WDATA + .S01_AXI_WSTRB (0), // input [7 : 0] S01_AXI_WSTRB + .S01_AXI_WLAST (0), // input S01_AXI_WLAST + .S01_AXI_WVALID (0), // input S01_AXI_WVALID + .S01_AXI_WREADY (), // output S01_AXI_WREADY + .S01_AXI_BID (), // output [0 : 0] S01_AXI_BID + .S01_AXI_BRESP (), // output [1 : 0] S01_AXI_BRESP + .S01_AXI_BVALID (), // output S01_AXI_BVALID + .S01_AXI_BREADY (0), // input S01_AXI_BREADY + .S01_AXI_ARID (0), // input [0 : 0] S01_AXI_ARID + .S01_AXI_ARADDR (0), // input [31 : 0] S01_AXI_ARADDR + .S01_AXI_ARLEN (0), // input [7 : 0] S01_AXI_ARLEN + .S01_AXI_ARSIZE (0), // input [2 : 0] S01_AXI_ARSIZE + .S01_AXI_ARBURST (0), // input [1 : 0] S01_AXI_ARBURST + .S01_AXI_ARLOCK (0), // input S01_AXI_ARLOCK + .S01_AXI_ARCACHE (0), // input [3 : 0] S01_AXI_ARCACHE + .S01_AXI_ARPROT (0), // input [2 : 0] S01_AXI_ARPROT + .S01_AXI_ARQOS (0), // input [3 : 0] S01_AXI_ARQOS + .S01_AXI_ARVALID (0), // input S01_AXI_ARVALID + .S01_AXI_ARREADY (), // output S01_AXI_ARREADY + .S01_AXI_RID (), // output [0 : 0] S01_AXI_RID + .S01_AXI_RDATA (), // output [63 : 0] S01_AXI_RDATA + .S01_AXI_RRESP (), // output [1 : 0] S01_AXI_RRESP + .S01_AXI_RLAST (), // output S01_AXI_RLAST + .S01_AXI_RVALID (), // output S01_AXI_RVALID + .S01_AXI_RREADY (0), // input S01_AXI_RREADY + // + .M00_AXI_ARESET_OUT_N (), // output M00_AXI_ARESET_OUT_N + .M00_AXI_ACLK (ddr3_axi_clk), // input M00_AXI_ACLK + .M00_AXI_AWID (mig_axi_wr.addr.id), // output [3 : 0] M00_AXI_AWID + .M00_AXI_AWADDR (mig_axi_wr.addr.addr), // output [31 : 0] M00_AXI_AWADDR + .M00_AXI_AWLEN (mig_axi_wr.addr.len), // output [7 : 0] M00_AXI_AWLEN + .M00_AXI_AWSIZE (mig_axi_wr.addr.size), // output [2 : 0] M00_AXI_AWSIZE + .M00_AXI_AWBURST (mig_axi_wr.addr.burst), // output [1 : 0] M00_AXI_AWBURST + .M00_AXI_AWLOCK (mig_axi_wr.addr.lock), // output M00_AXI_AWLOCK + .M00_AXI_AWCACHE (mig_axi_wr.addr.cache), // output [3 : 0] M00_AXI_AWCACHE + .M00_AXI_AWPROT (mig_axi_wr.addr.prot), // output [2 : 0] M00_AXI_AWPROT + .M00_AXI_AWQOS (mig_axi_wr.addr.qos), // output [3 : 0] M00_AXI_AWQOS + .M00_AXI_AWVALID (mig_axi_wr.addr.valid), // output M00_AXI_AWVALID + .M00_AXI_AWREADY (mig_axi_wr.addr.ready), // input M00_AXI_AWREADY + .M00_AXI_WDATA (mig_axi_wr.data.data), // output [127 : 0] M00_AXI_WDATA + .M00_AXI_WSTRB (mig_axi_wr.data.strb), // output [15 : 0] M00_AXI_WSTRB + .M00_AXI_WLAST (mig_axi_wr.data.last), // output M00_AXI_WLAST + .M00_AXI_WVALID (mig_axi_wr.data.valid), // output M00_AXI_WVALID + .M00_AXI_WREADY (mig_axi_wr.data.ready), // input M00_AXI_WREADY + .M00_AXI_BID (mig_axi_wr.resp.id), // input [3 : 0] M00_AXI_BID + .M00_AXI_BRESP (mig_axi_wr.resp.resp), // input [1 : 0] M00_AXI_BRESP + .M00_AXI_BVALID (mig_axi_wr.resp.valid), // input M00_AXI_BVALID + .M00_AXI_BREADY (mig_axi_wr.resp.ready), // output M00_AXI_BREADY + .M00_AXI_ARID (mig_axi_rd.addr.id), // output [3 : 0] M00_AXI_ARID + .M00_AXI_ARADDR (mig_axi_rd.addr.addr), // output [31 : 0] M00_AXI_ARADDR + .M00_AXI_ARLEN (mig_axi_rd.addr.len), // output [7 : 0] M00_AXI_ARLEN + .M00_AXI_ARSIZE (mig_axi_rd.addr.size), // output [2 : 0] M00_AXI_ARSIZE + .M00_AXI_ARBURST (mig_axi_rd.addr.burst), // output [1 : 0] M00_AXI_ARBURST + .M00_AXI_ARLOCK (mig_axi_rd.addr.lock), // output M00_AXI_ARLOCK + .M00_AXI_ARCACHE (mig_axi_rd.addr.cache), // output [3 : 0] M00_AXI_ARCACHE + .M00_AXI_ARPROT (mig_axi_rd.addr.prot), // output [2 : 0] M00_AXI_ARPROT + .M00_AXI_ARQOS (mig_axi_rd.addr.qos), // output [3 : 0] M00_AXI_ARQOS + .M00_AXI_ARVALID (mig_axi_rd.addr.valid), // output M00_AXI_ARVALID + .M00_AXI_ARREADY (mig_axi_rd.addr.ready), // input M00_AXI_ARREADY + .M00_AXI_RID (mig_axi_rd.data.id), // input [3 : 0] M00_AXI_RID + .M00_AXI_RDATA (mig_axi_rd.data.data), // input [127 : 0] M00_AXI_RDATA + .M00_AXI_RRESP (mig_axi_rd.data.resp), // input [1 : 0] M00_AXI_RRESP + .M00_AXI_RLAST (mig_axi_rd.data.last), // input M00_AXI_RLAST + .M00_AXI_RVALID (mig_axi_rd.data.valid), // input M00_AXI_RVALID + .M00_AXI_RREADY (mig_axi_rd.data.ready) // output M00_AXI_RREADY + ); + end + + //--------------------------------------------------- + // MIG + //--------------------------------------------------- + wire ddr3_idelay_refclk; + + ddr3_32bit ddr_mig_i ( + // Memory interface ports + .ddr3_addr (ddr3_addr), + .ddr3_ba (ddr3_ba), + .ddr3_cas_n (ddr3_cas_n), + .ddr3_ck_n (ddr3_ck_n), + .ddr3_ck_p (ddr3_ck_p), + .ddr3_cke (ddr3_cke), + .ddr3_ras_n (ddr3_ras_n), + .ddr3_reset_n (ddr3_reset_n), + .ddr3_we_n (ddr3_we_n), + .ddr3_dq (ddr3_dq), + .ddr3_dqs_n (ddr3_dqs_n), + .ddr3_dqs_p (ddr3_dqs_p), + .init_calib_complete (init_calib_complete), + + .ddr3_cs_n (ddr3_cs_n), + .ddr3_dm (ddr3_dm), + .ddr3_odt (ddr3_odt), + // Application interface ports + .ui_clk (ddr3_axi_clk), // 150MHz clock out + .ui_addn_clk_0 (ddr3_axi_clk_x2), // 300MHz clock out + .ui_addn_clk_1 (ddr3_idelay_refclk), + .ui_addn_clk_2 (), + .ui_addn_clk_3 (), + .ui_addn_clk_4 (), + .clk_ref_i (ddr3_idelay_refclk), + .ui_clk_sync_rst (ddr3_axi_rst), // Active high Reset signal synchronised to 150MHz + .aresetn (ddr3_axi_rst_reg_n), + .app_sr_req (1'b0), + .app_sr_active (), + .app_ref_req (1'b0), + .app_ref_ack (), + .app_zq_req (1'b0), + .app_zq_ack (), + .device_temp_i (12'd0), + // Slave Interface Write Address Ports + .s_axi_awid (mig_axi_wr.addr.id), + .s_axi_awaddr (mig_axi_wr.addr.addr), + .s_axi_awlen (mig_axi_wr.addr.len), + .s_axi_awsize (mig_axi_wr.addr.size), + .s_axi_awburst (mig_axi_wr.addr.burst), + .s_axi_awlock (mig_axi_wr.addr.lock), + .s_axi_awcache (mig_axi_wr.addr.cache), + .s_axi_awprot (mig_axi_wr.addr.prot), + .s_axi_awqos (mig_axi_wr.addr.qos), + .s_axi_awvalid (mig_axi_wr.addr.valid), + .s_axi_awready (mig_axi_wr.addr.ready), + // Slave Interface Write Data Ports + .s_axi_wdata (mig_axi_wr.data.data), + .s_axi_wstrb (mig_axi_wr.data.strb), + .s_axi_wlast (mig_axi_wr.data.last), + .s_axi_wvalid (mig_axi_wr.data.valid), + .s_axi_wready (mig_axi_wr.data.ready), + // Slave Interface Write Response Ports + .s_axi_bid (mig_axi_wr.resp.id), + .s_axi_bresp (mig_axi_wr.resp.resp), + .s_axi_bvalid (mig_axi_wr.resp.valid), + .s_axi_bready (mig_axi_wr.resp.ready), + // Slave Interface Read Address Ports + .s_axi_arid (mig_axi_rd.addr.id), + .s_axi_araddr (mig_axi_rd.addr.addr), + .s_axi_arlen (mig_axi_rd.addr.len), + .s_axi_arsize (mig_axi_rd.addr.size), + .s_axi_arburst (mig_axi_rd.addr.burst), + .s_axi_arlock (mig_axi_rd.addr.lock), + .s_axi_arcache (mig_axi_rd.addr.cache), + .s_axi_arprot (mig_axi_rd.addr.prot), + .s_axi_arqos (mig_axi_rd.addr.qos), + .s_axi_arvalid (mig_axi_rd.addr.valid), + .s_axi_arready (mig_axi_rd.addr.ready), + // Slave Interface Read Data Ports + .s_axi_rid (mig_axi_rd.data.id), + .s_axi_rdata (mig_axi_rd.data.data), + .s_axi_rresp (mig_axi_rd.data.resp), + .s_axi_rlast (mig_axi_rd.data.last), + .s_axi_rvalid (mig_axi_rd.data.valid), + .s_axi_rready (mig_axi_rd.data.ready), + // System Clock Ports + .sys_clk_i (sys_clk), // From external 100MHz source. + .sys_rst (sys_rst) + ); + + //--------------------------------------------------- + // DDR3 SDRAM Models + //--------------------------------------------------- + ddr3_model #( + .DEBUG(0) //Disable verbose prints + ) sdram_i0 ( + .rst_n (ddr3_reset_n), + .ck (ddr3_ck_p), + .ck_n (ddr3_ck_n), + .cke (ddr3_cke), + .cs_n (ddr3_cs_n), + .ras_n (ddr3_ras_n), + .cas_n (ddr3_cas_n), + .we_n (ddr3_we_n), + .dm_tdqs (ddr3_dm[1:0]), + .ba (ddr3_ba), + .addr (ddr3_addr), + .dq (ddr3_dq[15:0]), + .dqs (ddr3_dqs_p[1:0]), + .dqs_n (ddr3_dqs_n[1:0]), + .tdqs_n (), // Unused on x16 + .odt (ddr3_odt) + ); + + ddr3_model #( + .DEBUG(0) //Disable verbose prints + ) sdram_i1 ( + .rst_n (ddr3_reset_n), + .ck (ddr3_ck_p), + .ck_n (ddr3_ck_n), + .cke (ddr3_cke), + .cs_n (ddr3_cs_n), + .ras_n (ddr3_ras_n), + .cas_n (ddr3_cas_n), + .we_n (ddr3_we_n), + .dm_tdqs (ddr3_dm[3:2]), + .ba (ddr3_ba), + .addr (ddr3_addr), + .dq (ddr3_dq[31:16]), + .dqs (ddr3_dqs_p[3:2]), + .dqs_n (ddr3_dqs_n[3:2]), + .tdqs_n (), // Unused on x16 + .odt (ddr3_odt) + ); + end endgenerate + +endmodule diff --git a/fpga/usrp3/top/x300/sim/dram_fifo/dram_fifo_tb.sv b/fpga/usrp3/top/x300/sim/dram_fifo/dram_fifo_tb.sv new file mode 100644 index 000000000..0be9dc9dd --- /dev/null +++ b/fpga/usrp3/top/x300/sim/dram_fifo/dram_fifo_tb.sv @@ -0,0 +1,184 @@ +// +// Copyright 2016 Ettus Research +// + + +`timescale 1ns/1ps +`define SIM_TIMEOUT_US 120 +`define NS_PER_TICK 1 +`define NUM_TEST_CASES 7 + +`include "sim_clks_rsts.vh" +`include "sim_exec_report.vh" +`include "sim_cvita_lib.svh" +`include "sim_axi4_lib.svh" +`include "sim_set_rb_lib.svh" + +//`define USE_SRAM_FIFO //Use an AXI-Stream SRAM FIFO (for testing) +`define USE_SRAM_MIG 0 //Use the DMA engine from the DRAM FIFO but SRAM as the base memory +`define USE_BD_INTERCON 1 //Use the Block Design Axi Interconnect + +module dram_fifo_tb(); + `TEST_BENCH_INIT("dram_fifo_tb",`NUM_TEST_CASES,`NS_PER_TICK) + + // Define all clocks and resets + `DEFINE_CLK(sys_clk, 10, 50) //100MHz sys_clk to generate DDR3 clocking + `DEFINE_CLK(bus_clk, 1000/166.6667, 50) //166MHz bus_clk + `DEFINE_RESET(bus_rst, 0, 100) //100ns for GSR to deassert + `DEFINE_RESET(sys_rst, 0, 100) //100ns for GSR to deassert + + settings_bus_master #(.SR_AWIDTH(8),.SR_DWIDTH(32)) tst_set (.clk(bus_clk)); + cvita_master chdr_i (.clk(bus_clk)); + cvita_slave chdr_o (.clk(bus_clk)); + + // Initialize DUT + wire calib_complete; +`ifdef USE_SRAM_FIFO + + axi_fifo #(.WIDTH(65), .SIZE(18)) dut_single ( + .clk(bus_clk), + .reset(bus_rst), + .clear(1'b0), + + .i_tdata({chdr_i.axis.tlast, chdr_i.axis.tdata}), + .i_tvalid(chdr_i.axis.tvalid), + .i_tready(chdr_i.axis.tready), + + .o_tdata({chdr_o.axis.tlast, chdr_o.axis.tdata}), + .o_tvalid(chdr_o.axis.tvalid), + .o_tready(chdr_o.axis.tready), + + .space(), + .occupied() + ); + assign calib_complete = 1; + +`else + + axis_dram_fifo_single #( + .USE_SRAM_MEMORY(`USE_SRAM_MIG), + .USE_BD_INTERCON(`USE_BD_INTERCON) + ) dut_single + ( + .bus_clk(bus_clk), + .bus_rst(bus_rst), + .sys_clk(sys_clk), + .sys_rst(sys_rst), + + .i_tdata(chdr_i.axis.tdata), + .i_tlast(chdr_i.axis.tlast), + .i_tvalid(chdr_i.axis.tvalid), + .i_tready(chdr_i.axis.tready), + + .o_tdata(chdr_o.axis.tdata), + .o_tlast(chdr_o.axis.tlast), + .o_tvalid(chdr_o.axis.tvalid), + .o_tready(chdr_o.axis.tready), + + .set_stb(tst_set.settings_bus.set_stb), + .set_addr(tst_set.settings_bus.set_addr), + .set_data(tst_set.settings_bus.set_data), + .rb_data(), + + .forced_bit_err(64'h0), + .init_calib_complete(calib_complete) + ); +`endif + + //Testbench variables + cvita_hdr_t header; + cvita_pkt_t pkt_out; + integer i; + + //------------------------------------------ + //Main thread for testbench execution + //------------------------------------------ + initial begin : tb_main + string s; + + `TEST_CASE_START("Wait for reset"); + while (bus_rst) @(posedge bus_clk); + while (sys_rst) @(posedge sys_clk); + `TEST_CASE_DONE((~bus_rst & ~sys_rst)); + + `TEST_CASE_START("Wait for initial calibration to complete"); + while (calib_complete !== 1'b1) @(posedge bus_clk); + `TEST_CASE_DONE(calib_complete); + + `TEST_CASE_START("Clear FIFO"); + tst_set.write(1, {16'h0, 12'd280, 2'b00, 1'b0, 1'b1}); + repeat (200) @(posedge bus_clk); + tst_set.write(1, {16'h0, 12'd280, 2'b00, 1'b0, 1'b0}); + repeat (200) @(posedge bus_clk); + `TEST_CASE_DONE(1); + + header = '{ + pkt_type:DATA, has_time:0, eob:0, seqnum:12'h666, + length:0, src_sid:$random, dst_sid:$random, timestamp:64'h0}; + + `TEST_CASE_START("Fill up empty FIFO then drain (short packet)"); + chdr_i.push_ramp_pkt(16, 64'd0, 64'h100, header); + chdr_o.pull_pkt(pkt_out); + $sformat(s, "Bad packet: Length mismatch. Expected: %0d, Actual: %0d",16,pkt_out.payload.size()); + `ASSERT_ERROR(pkt_out.payload.size()==16, s); + $sformat(s, "Bad packet: Wrong SID. Expected: %08x, Actual: %08x", + {header.src_sid,header.dst_sid},{pkt_out.hdr.src_sid,pkt_out.hdr.dst_sid}); + `ASSERT_ERROR({header.src_sid,header.dst_sid}=={pkt_out.hdr.src_sid,pkt_out.hdr.dst_sid}, s); + `TEST_CASE_DONE(1); + + `TEST_CASE_START("Fill up empty FIFO then drain (long packet)"); + chdr_i.push_ramp_pkt(1024, 64'd0, 64'h100, header); + chdr_o.pull_pkt(pkt_out); + $sformat(s, "Bad packet: Length mismatch. Expected: %0d, Actual: %0d",1024,pkt_out.payload.size()); + `ASSERT_ERROR(pkt_out.payload.size()==1024, s); + $sformat(s, "Bad packet: Wrong SID. Expected: %08x, Actual: %08x", + {header.src_sid,header.dst_sid},{pkt_out.hdr.src_sid,pkt_out.hdr.dst_sid}); + `ASSERT_ERROR({header.src_sid,header.dst_sid}=={pkt_out.hdr.src_sid,pkt_out.hdr.dst_sid}, s); + `TEST_CASE_DONE(1); + + header = '{ + pkt_type:DATA, has_time:0, eob:0, seqnum:12'h666, + length:0, src_sid:$random, dst_sid:$random, timestamp:64'h0}; + + `TEST_CASE_START("Concurrent read and write (single packet)"); + fork + begin + chdr_i.push_ramp_pkt(20, 64'd0, 64'h100, header); + end + begin + chdr_o.pull_pkt(pkt_out); + end + join + $sformat(s, "Bad packet: Length mismatch. Expected: %0d, Actual: %0d",20,pkt_out.payload.size()); + `ASSERT_ERROR(pkt_out.payload.size()==20, s); + i = 0; + repeat (20) begin + $sformat(s, "Bad packet: Wrong payload. Index: %d, Expected: %08x, Actual: %08x", + i,(i * 64'h100),pkt_out.payload[i]); + `ASSERT_ERROR(pkt_out.payload[i]==(i * 64'h100), s); + end + `TEST_CASE_DONE(1); + + `TEST_CASE_START("Concurrent read and write (multiple packets)"); + fork + begin + repeat (10) begin + chdr_i.push_ramp_pkt(20, 64'd0, 64'h100, header); + repeat (30) @(posedge bus_clk); + end + end + begin + repeat (10) begin + chdr_o.pull_pkt(pkt_out); + $sformat(s, "Bad packet: Length mismatch. Expected: %0d, Actual: %0d",20,pkt_out.payload.size()); + `ASSERT_ERROR(pkt_out.payload.size()==20, s); + end + end + join + `TEST_CASE_DONE(1); + + `TEST_BENCH_DONE; + + end + +endmodule diff --git a/fpga/usrp3/top/x300/sim/dram_fifo_bist/Makefile b/fpga/usrp3/top/x300/sim/dram_fifo_bist/Makefile new file mode 100644 index 000000000..8e75817b1 --- /dev/null +++ b/fpga/usrp3/top/x300/sim/dram_fifo_bist/Makefile @@ -0,0 +1,72 @@ +# +# Copyright 2015 Ettus Research LLC +# + +#------------------------------------------------- +# Top-of-Makefile +#------------------------------------------------- +# Define BASE_DIR to point to the "top" dir +BASE_DIR = $(abspath ../../..) +# Include viv_sim_preample after defining BASE_DIR +include $(BASE_DIR)/../tools/make/viv_sim_preamble.mak + +#------------------------------------------------- +# Design Specific +#------------------------------------------------- +# Define part using PART_ID (//) +ARCH = kintex7 +PART_ID = xc7k410t/ffg900/-2 + +# Include makefiles and sources for the DUT and its dependencies +include $(BASE_DIR)/../lib/fifo/Makefile.srcs +include $(BASE_DIR)/../lib/axi/Makefile.srcs +include $(BASE_DIR)/../lib/control/Makefile.srcs + +DESIGN_SRCS = $(abspath \ +$(FIFO_SRCS) \ +$(AXI_SRCS) \ +$(CONTROL_LIB_SRCS) \ +) + +#------------------------------------------------- +# IP Specific +#------------------------------------------------- +# If simulation contains IP, define the IP_DIR and point +# it to the base level IP directory +IP_DIR = ../../ip + +# Include makefiles and sources for all IP components +# *after* defining the IP_DIR +include $(IP_DIR)/ddr3_32bit/Makefile.inc +include $(IP_DIR)/axi_intercon_2x64_128_bd/Makefile.inc +include $(IP_DIR)/fifo_short_2clk/Makefile.inc +include $(IP_DIR)/fifo_4k_2clk/Makefile.inc +include $(IP_DIR)/axi4_dualport_sram/Makefile.inc + +DESIGN_SRCS += $(abspath \ +$(IP_DDR3_32BIT_SRCS) \ +$(IP_AXI_INTERCON_2X64_128_SRCS) \ +$(IP_AXI_INTERCON_2X64_128_BD_SRCS) \ +$(IP_FIFO_4K_2CLK_SRCS) \ +$(IP_FIFO_SHORT_2CLK_SRCS) \ +$(IP_AXI4_BRAM_SRCS) \ +) + +#------------------------------------------------- +# Testbench Specific +#------------------------------------------------- +# Define only one toplevel module +SIM_TOP = dram_fifo_bist_tb + +SIM_SRCS = \ +$(abspath dram_fifo_bist_tb.sv) \ +$(abspath ../dram_fifo/axis_dram_fifo_single.sv) \ +$(IP_DDR3_32BIT_SIM_OUTS) + +#------------------------------------------------- +# Bottom-of-Makefile +#------------------------------------------------- +# Include all simulator specific makefiles here +# Each should define a unique target to simulate +# e.g. xsim, vsim, etc and a common "clean" target +include $(BASE_DIR)/../tools/make/viv_simulator.mak diff --git a/fpga/usrp3/top/x300/sim/dram_fifo_bist/dram_fifo_bist_tb.sv b/fpga/usrp3/top/x300/sim/dram_fifo_bist/dram_fifo_bist_tb.sv new file mode 100644 index 000000000..33fb93332 --- /dev/null +++ b/fpga/usrp3/top/x300/sim/dram_fifo_bist/dram_fifo_bist_tb.sv @@ -0,0 +1,349 @@ +// +// Copyright 2015 Ettus Research LLC +// + + +`timescale 1ns/1ps +`define SIM_RUNTIME_US 3000 +`define NS_PER_TICK 1 +`define NUM_TEST_CASES 25 + +`include "sim_clks_rsts.vh" +`include "sim_exec_report.vh" +`include "sim_cvita_lib.svh" +`include "sim_axi4_lib.svh" +`include "sim_set_rb_lib.svh" + +//`define USE_SRAM_FIFO //Use an AXI-Stream SRAM FIFO (for testing) +`define USE_SRAM_MIG 1 //Use the DMA engine from the DRAM FIFO but SRAM as the base memory +`define USE_BD_INTERCON 1 //Use the Block Design Axi Interconnect + + +module dram_fifo_bist_tb(); + `TEST_BENCH_INIT("dram_fifo_bist_tb",`NUM_TEST_CASES,`NS_PER_TICK) + + // Define all clocks and resets + `DEFINE_CLK(sys_clk, 10, 50) //100MHz sys_clk to generate DDR3 clocking + `DEFINE_CLK(bus_clk, 1000/166.6667, 50) //166MHz bus_clk + `DEFINE_RESET(bus_rst, 0, 100) //100ns for GSR to deassert + `DEFINE_RESET(sys_rst, 0, 100) //100ns for GSR to deassert + + // Initialize DUT + wire calib_complete; + wire running, done; + wire [1:0] error; + wire [31:0] rb_data; + reg [63:0] forced_bit_err; + + settings_bus_master #(.SR_AWIDTH(8),.SR_DWIDTH(32)) tst_set (.clk(bus_clk)); + cvita_master cvita_fifo_in (.clk(bus_clk)); + cvita_slave cvita_fifo_out (.clk(bus_clk)); + + // AXI DRAM FIFO Topology (Inline production BIST for DRAM FIFO): + // + // User Data ====> |---------| |---------------| |-----------| ====> User Data Out + // | AXI MUX | ====> | AXI DRAM FIFO | ====> | AXI DEMUX | + // BIST Data ====> |---------| |---------------| |-----------| ====> BIST Data Out + // || + // |--------------| + // | MIG (D/S)RAM | + // |--------------| + + localparam SR_FIFO_BASE = 0; + localparam SR_BIST_BASE = SR_FIFO_BASE + 4; + + axis_dram_fifo_single #( + .USE_SRAM_MEMORY(`USE_SRAM_MIG), + .USE_BD_INTERCON(`USE_BD_INTERCON), + .SR_BASE(SR_FIFO_BASE) + ) dut_single ( + .bus_clk(bus_clk), + .bus_rst(bus_rst), + .sys_clk(sys_clk), + .sys_rst(sys_rst), + + .i_tdata(cvita_fifo_in.axis.tdata), + .i_tlast(cvita_fifo_in.axis.tlast), + .i_tvalid(cvita_fifo_in.axis.tvalid), + .i_tready(cvita_fifo_in.axis.tready), + + .o_tdata(cvita_fifo_out.axis.tdata), + .o_tlast(cvita_fifo_out.axis.tlast), + .o_tvalid(cvita_fifo_out.axis.tvalid), + .o_tready(cvita_fifo_out.axis.tready), + + .set_stb(tst_set.settings_bus.set_stb), + .set_addr(tst_set.settings_bus.set_addr), + .set_data(tst_set.settings_bus.set_data), + .rb_data(rb_data), + + .forced_bit_err(forced_bit_err), + .init_calib_complete(calib_complete) + ); + + assign {error, done, running} = rb_data[3:0]; + + //Testbench variables + cvita_hdr_t header; + cvita_pkt_t pkt_out; + integer i; + integer single_run_time; + integer xfer_cnt, cyc_cnt; + + //------------------------------------------ + //Main thread for testbench execution + //------------------------------------------ + initial begin : tb_main + string s; + + `TEST_CASE_START("Wait for reset"); + while (bus_rst) @(posedge bus_clk); + while (sys_rst) @(posedge sys_clk); + `TEST_CASE_DONE(~bus_rst & ~sys_rst); + + forced_bit_err <= 64'h0; + repeat (200) @(posedge sys_clk); + + `TEST_CASE_START("Wait for initial calibration to complete"); + while (calib_complete !== 1'b1) @(posedge bus_clk); + `TEST_CASE_DONE(calib_complete); + + `TEST_CASE_START("Clear FIFO"); + tst_set.write(SR_FIFO_BASE + 1, {16'h0, 12'd280, 2'b00, 1'b0, 1'b1}); + repeat (200) @(posedge bus_clk); + tst_set.write(SR_FIFO_BASE + 1, {16'h0, 12'd280, 2'b00, 1'b0, 1'b0}); + repeat (200) @(posedge bus_clk); + `TEST_CASE_DONE(1); + + //Select BIST status as the readback output + tst_set.write(SR_FIFO_BASE + 0, 3'd1); + + header = '{ + pkt_type:DATA, has_time:0, eob:0, seqnum:12'h666, + length:0, src_sid:$random, dst_sid:$random, timestamp:64'h0}; + + `TEST_CASE_START("Fill up empty FIFO then drain (long packet)"); + cvita_fifo_in.push_ramp_pkt(100, 64'd0, 64'h100, header); + cvita_fifo_out.pull_pkt(pkt_out); + $sformat(s, "Bad packet: Length mismatch. Expected: %0d, Actual: %0d",100,pkt_out.payload.size()); + `ASSERT_ERROR(pkt_out.payload.size()==100, s); + $sformat(s, "Bad packet: Wrong SID. Expected: %08x, Actual: %08x", + {header.src_sid,header.dst_sid},{pkt_out.hdr.src_sid,pkt_out.hdr.dst_sid}); + `ASSERT_ERROR({header.src_sid,header.dst_sid}=={pkt_out.hdr.src_sid,pkt_out.hdr.dst_sid}, s); + i = 0; + repeat (100) begin + $sformat(s, "Bad packet: Wrong payload. Index: %d, Expected: %08x, Actual: %08x", + i,(i * 64'h100),pkt_out.payload[i]); + `ASSERT_ERROR(pkt_out.payload[i]==(i * 64'h100), s); + end + `TEST_CASE_DONE(1); + + `TEST_CASE_START("Setup BIST: 10 x 40byte packets"); + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + tst_set.write(SR_BIST_BASE + 3, 32'h01234567); + tst_set.write(SR_BIST_BASE + 2, {8'd0, 16'd0}); + tst_set.write(SR_BIST_BASE + 1, {1'b0, 13'd40, 18'd10}); + `TEST_CASE_DONE(~done & ~running); + + `TEST_CASE_START("Run BIST"); + tst_set.write(SR_BIST_BASE + 0, {2'd3, 2'd0, 1'b0, 1'b1}); + while (~done) @(posedge bus_clk); + `ASSERT_ERROR(error==2'b00, "BIST failed!"); + @(posedge bus_clk); + `TEST_CASE_DONE(done & ~running); + + `TEST_CASE_START("Run BIST ... again (should fail)"); + forced_bit_err <= 64'h8000000000000000; + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b1}); + while (~done) @(posedge bus_clk); + `ASSERT_ERROR(error==2'b01, "BIST passed when it should have failed!"); + forced_bit_err <= 64'h0; + @(posedge bus_clk); + `TEST_CASE_DONE(done & ~running); + + `TEST_CASE_START("Run BIST ... and again (should pass)"); + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + tst_set.write(SR_BIST_BASE + 0, {2'd2, 2'd0, 1'b0, 1'b1}); + while (~done) @(posedge bus_clk); + `ASSERT_ERROR(error==2'b00, "BIST failed!"); + @(posedge bus_clk); + `TEST_CASE_DONE(done & ~running); + + `TEST_CASE_START("Setup BIST: 8000 x 40byte ramping packets"); + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + tst_set.write(SR_BIST_BASE + 3, 32'h01234567); + tst_set.write(SR_BIST_BASE + 2, {8'd0, 16'd0}); + tst_set.write(SR_BIST_BASE + 1, {1'b1, 13'd40, 18'd8000}); + `TEST_CASE_DONE(~done & ~running); + + `TEST_CASE_START("Run BIST"); + tst_set.write(SR_BIST_BASE + 0, {2'd3, 2'd0, 1'b0, 1'b1}); + while (~done) @(posedge bus_clk); + `ASSERT_ERROR(error==2'b00, "BIST failed!"); + @(posedge bus_clk); + `TEST_CASE_DONE(done & ~running); + + `TEST_CASE_START("Setup BIST: 256 x 1000byte packets"); + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + tst_set.write(SR_BIST_BASE + 3, 32'h0ABCDEF0); + tst_set.write(SR_BIST_BASE + 2, {8'd4, 16'd256}); + tst_set.write(SR_BIST_BASE + 1, {1'b0, 13'd1000, 18'd256}); + `TEST_CASE_DONE(~done & ~running); + + `TEST_CASE_START("Run BIST"); + tst_set.write(SR_BIST_BASE + 0, {2'd1, 2'd0, 1'b0, 1'b1}); + while (~done) @(negedge bus_clk); + `ASSERT_ERROR(error==2'b00, "BIST failed!"); + @(posedge bus_clk); + `TEST_CASE_DONE(done & ~running); + + `TEST_CASE_START("User Data: Concurrent read and write"); + cvita_fifo_out.axis.tready = 1; + fork + begin + cvita_fifo_in.push_ramp_pkt(20, 64'd0, 64'h100, header); + end + begin + cvita_fifo_out.pull_pkt(pkt_out); + end + join + $sformat(s, "Bad packet: Length mismatch. Expected: %0d, Actual: %0d",20,pkt_out.payload.size()); + `ASSERT_ERROR(pkt_out.payload.size()==20, s); + `TEST_CASE_DONE(1); + + `TEST_CASE_START("Setup BIST: 256 x 600byte ramping packets"); + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + tst_set.write(SR_BIST_BASE + 3, 32'h01234567); + tst_set.write(SR_BIST_BASE + 2, {8'd0, 16'd0}); + tst_set.write(SR_BIST_BASE + 1, {1'b1, 13'd600, 18'd256}); + `TEST_CASE_DONE(~done & ~running); + + `TEST_CASE_START("Run BIST"); + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b1}); + while (~done) @(posedge bus_clk); + `ASSERT_ERROR(error==2'b00, "BIST failed!"); + @(posedge bus_clk); + `TEST_CASE_DONE(done & ~running); + + `TEST_CASE_START("Setup BIST: 30 x 8000byte packets"); + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + tst_set.write(SR_BIST_BASE + 3, 32'h0ABCDEF0); + tst_set.write(SR_BIST_BASE + 2, {8'd0, 16'd0}); + tst_set.write(SR_BIST_BASE + 1, {1'b0, 13'd8000, 18'd30}); + `TEST_CASE_DONE(~done & ~running); + + `TEST_CASE_START("Run BIST"); + tst_set.write(SR_BIST_BASE + 0, {2'd1, 2'd0, 1'b0, 1'b1}); + while (~done) @(negedge bus_clk); + `ASSERT_ERROR(error==2'b00, "BIST failed!"); + @(posedge bus_clk); + `TEST_CASE_DONE(done & ~running); + + `TEST_CASE_START("Setup BIST: 100 x 8000byte ramping packets"); + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + tst_set.write(SR_BIST_BASE + 3, 32'h0ABCDEF0); + tst_set.write(SR_BIST_BASE + 2, {8'd0, 16'd0}); + tst_set.write(SR_BIST_BASE + 1, {1'b1, 13'd8000, 18'd100}); + `TEST_CASE_DONE(~done & ~running); + + `TEST_CASE_START("Run BIST"); + tst_set.write(SR_BIST_BASE + 0, {2'd1, 2'd0, 1'b0, 1'b1}); + while (~done) @(negedge bus_clk); + `ASSERT_ERROR(error==2'b00, "BIST failed!"); + @(posedge bus_clk); + `TEST_CASE_DONE(done & ~running); + + `TEST_CASE_START("Validate Throughput"); + tst_set.write(SR_FIFO_BASE + 0, 3'd2); + xfer_cnt = rb_data; + tst_set.write(SR_FIFO_BASE + 0, 3'd3); + cyc_cnt = rb_data; + `ASSERT_ERROR(xfer_cnt>0, "Transfer count was not >0"); + `ASSERT_ERROR(cyc_cnt>0, "Cycle count was not >0"); + $display("Measured Throughput = %0d%% of bus_clk throughput", ((xfer_cnt*100)/cyc_cnt)); + `ASSERT_ERROR(((xfer_cnt*100)/cyc_cnt)>80, "Throughput was less than 80%%"); + tst_set.write(SR_FIFO_BASE + 0, 3'd1); //Restore + `TEST_CASE_DONE(done & ~running); + + `TEST_CASE_START("Setup BIST: 10 x 256byte packets"); + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + tst_set.write(SR_BIST_BASE + 3, 32'hFFFFFFFF); + tst_set.write(SR_BIST_BASE + 2, {8'd0, 16'd0}); + tst_set.write(SR_BIST_BASE + 1, {1'b0, 13'd256, 18'd30}); + `TEST_CASE_DONE(~done & ~running); + + fork + begin + integer curr_time = $time; + `TEST_CASE_START("Run BIST Continuous (Early interrupt)"); + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + tst_set.write(SR_BIST_BASE + 0, {2'd2, 2'd0, 1'b1, 1'b1}); + while (~done) @(negedge bus_clk); + `ASSERT_ERROR(error==2'b00, "BIST failed!"); + @(posedge bus_clk); + single_run_time = $time - curr_time; + `TEST_CASE_DONE(done & ~running); + end + begin + //Wait then clear + #2000; + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + end + join + + fork + begin + `TEST_CASE_START("Run BIST Continuous (Force error)"); + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + tst_set.write(SR_BIST_BASE + 0, {2'd2, 2'd0, 1'b1, 1'b1}); + while (~done) @(negedge bus_clk); + `ASSERT_ERROR(error==2'b01, "BIST passed when it should have failed!"); + @(posedge bus_clk); + `TEST_CASE_DONE(done & ~running); + end + begin + //Wait then force error + #10000; + forced_bit_err <= 64'h1; + end + join + //Recover from failure + forced_bit_err <= 64'h0; + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + repeat (2000) @(posedge bus_clk); + + fork + begin + integer curr_time = $time; + `TEST_CASE_START("Run BIST Continuous"); + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + tst_set.write(SR_BIST_BASE + 0, {2'd2, 2'd0, 1'b1, 1'b1}); + while (~done) @(negedge bus_clk); + `ASSERT_ERROR(error==2'b00, "BIST failed!"); + @(posedge bus_clk); + `ASSERT_ERROR((($time - curr_time) > 2 * single_run_time), "Continuous test most likely stopped early!"); + `TEST_CASE_DONE(done & ~running); + end + begin + //Wait then clear + #100000; + tst_set.write(SR_BIST_BASE + 0, {2'd0, 2'd0, 1'b0, 1'b0}); + end + join + + `TEST_CASE_START("Validate Throughput"); + tst_set.write(SR_FIFO_BASE + 0, 3'd2); + xfer_cnt = rb_data; + tst_set.write(SR_FIFO_BASE + 0, 3'd3); + cyc_cnt = rb_data; + `ASSERT_ERROR(xfer_cnt>0, "Transfer count was not >0"); + `ASSERT_ERROR(cyc_cnt>0, "Cycle count was not >0"); + $display("Measured Throughput = %0d%% of bus_clk throughput", ((xfer_cnt*100)/cyc_cnt)); + `ASSERT_ERROR(((xfer_cnt*100)/cyc_cnt)>80, "Throughput was less than 80%%"); + tst_set.write(SR_FIFO_BASE + 0, 3'd1); //Restore + `TEST_CASE_DONE(done & ~running); + `TEST_BENCH_DONE; + + end +endmodule diff --git a/fpga/usrp3/top/x300/sim/x300_pcie_int/Makefile b/fpga/usrp3/top/x300/sim/x300_pcie_int/Makefile new file mode 100644 index 000000000..02aaef59c --- /dev/null +++ b/fpga/usrp3/top/x300/sim/x300_pcie_int/Makefile @@ -0,0 +1,66 @@ +# +# Copyright 2015 Ettus Research LLC +# + +#------------------------------------------------- +# Top-of-Makefile +#------------------------------------------------- +# Define BASE_DIR to point to the "top" dir +BASE_DIR = $(abspath ../../..) +# Include viv_sim_preample after defining BASE_DIR +include $(BASE_DIR)/../tools/make/viv_sim_preamble.mak + +#------------------------------------------------- +# Design Specific +#------------------------------------------------- +# Define part using PART_ID (//) +ARCH = kintex7 +PART_ID = xc7k410t/ffg900/-2 + +# Include makefiles and sources for the DUT and its dependencies +include $(BASE_DIR)/../lib/control/Makefile.srcs +include $(BASE_DIR)/../lib/packet_proc/Makefile.srcs +include $(BASE_DIR)/../lib/fifo/Makefile.srcs +include $(BASE_DIR)/../lib/io_port2/Makefile.srcs + +DESIGN_SRCS = $(abspath \ +$(FIFO_SRCS) \ +$(CONTROL_LIB_SRCS) \ +$(PACKET_PROC_SRCS) \ +$(IOPORT2_SRCS) \ +../../x300_pcie_int.v \ +) + +#------------------------------------------------- +# IP Specific +#------------------------------------------------- +# If simulation contains IP, define the IP_DIR and point +# it to the base level IP directory +IP_DIR = ../../ip + +# Include makefiles and sources for all IP components +# *after* defining the IP_DIR +include $(IP_DIR)/fifo_short_2clk/Makefile.inc +include $(IP_DIR)/fifo_4k_2clk/Makefile.inc + +DESIGN_SRCS += $(abspath \ +$(IP_FIFO_4K_2CLK_SRCS) \ +$(IP_FIFO_SHORT_2CLK_SRCS) \ +) + +#------------------------------------------------- +# Testbench Specific +#------------------------------------------------- +# Define only one toplevel module +SIM_TOP = x300_pcie_int_tb + +SIM_SRCS = \ +$(abspath x300_pcie_int_tb.sv) + +#------------------------------------------------- +# Bottom-of-Makefile +#------------------------------------------------- +# Include all simulator specific makefiles here +# Each should define a unique target to simulate +# e.g. xsim, vsim, etc and a common "clean" target +include $(BASE_DIR)/../tools/make/viv_simulator.mak diff --git a/fpga/usrp3/top/x300/sim/x300_pcie_int/x300_pcie_int_tb.sv b/fpga/usrp3/top/x300/sim/x300_pcie_int/x300_pcie_int_tb.sv new file mode 100644 index 000000000..0093e4315 --- /dev/null +++ b/fpga/usrp3/top/x300/sim/x300_pcie_int/x300_pcie_int_tb.sv @@ -0,0 +1,596 @@ +// +// Copyright 2013 Ettus Research LLC +// + + +`timescale 1ns/1ps +`define NS_PER_TICK 1 +`define NUM_TEST_CASES 23 + +`define SIM_TIMEOUT_US 1000 // 1ms + +`include "sim_clks_rsts.vh" +`include "sim_exec_report.vh" + +module x300_pcie_int_tb(); + `DEFINE_CLK(clk, 8.000, 50) + `DEFINE_RESET(reset, 0, 10) + + `TEST_BENCH_INIT("x300_pcie_int_tb",`NUM_TEST_CASES,`NS_PER_TICK) + + reg temp_pass = 0; + reg pkt_swap = 0; + reg [15:0] it = 0; + reg [15:0] tx_ch, rx_ch = 0; + + reg [31:0] dma_sample_cnt = 0; + reg [31:0] dma_packet_cnt = 0; + reg [31:0] dma_out_sample_cnt[0:5]; + reg [63:0] dma_out_last_sample[0:5]; + + reg pcie_usr_reg_wr, pcie_usr_reg_rd; + reg [1:0] pcie_usr_reg_len; + reg [19:0] pcie_usr_reg_addr; + reg [31:0] pcie_usr_reg_data_in, pcie_usr_data; + wire pcie_usr_reg_rc, pcie_usr_reg_rdy; + wire [31:0] pcie_usr_reg_data_out; + + wire chinch_reg_wr, chinch_reg_rd; + wire [1:0] chinch_reg_len; + wire [19:0] chinch_reg_addr; + wire [31:0] chinch_reg_data_out; + reg chinch_reg_rc, chinch_reg_rdy; + reg [31:0] chinch_reg_data_in; + + reg [2:0] i_chan, o_chan; + reg [383:0] i_tdata_par; + reg [5:0] i_tvalid_par = 6'b000000, o_tready_par = 6'b111111; + wire [383:0] o_tdata_par; + wire [5:0] o_tvalid_par, i_tready_par; + + reg i_tvalid, i_tready, o_tvalid, o_tready; + reg [63:0] i_tdata, o_tdata; + + localparam READ = 2'b01; + localparam WRITE = 2'b10; + + task usr_regport_request; + input [1:0] operation; + input [19:0] address; + input [31:0] data; + begin + pcie_usr_reg_data_in <= data; + pcie_usr_reg_addr <= address; + pcie_usr_reg_wr <= operation[1]; + pcie_usr_reg_rd <= operation[0]; + pcie_usr_reg_len <= 2'b10; + + @(posedge clk); + while (~pcie_usr_reg_rdy) @(posedge clk); + + pcie_usr_reg_wr <= 1'b0; + pcie_usr_reg_rd <= 1'b0; + @(posedge clk); + end + endtask // usr_regport_request + + task usr_regport_response; + begin + @(posedge clk); + while (~pcie_usr_reg_rc) @(posedge clk); + pcie_usr_data <= pcie_usr_reg_data_out; + @(posedge clk); + end + endtask // usr_regport_response + + task chinch_regport_request; + input [1:0] operation; + input [19:0] address; + input [31:0] data; + begin + @(posedge clk); + while (~(chinch_reg_rdy && + chinch_reg_addr == address && + {chinch_reg_wr,chinch_reg_rd} == operation && + chinch_reg_len == 2'b10 && + (operation == WRITE || chinch_reg_data_out == data) + )) @(posedge clk); + + @(posedge clk); + end + endtask // chinch_regport_request + + task chinch_regport_response; + input [31:0] data; + begin + @(posedge clk); + chinch_reg_data_in <= data; + chinch_reg_rc <= 1'b1; + @(posedge clk); + chinch_reg_rc <= 1'b0; + end + endtask // chinch_regport_response + + task send_packet; + input [63:0] sid; + input [31:0] len; + input [31:0] quant; + begin + if(quant < 2) begin + i_tdata <= { sid[63:32],len[15:0], sid[15:0] }; + i_tvalid <= 1; + @(posedge clk); + i_tvalid <= 0; + @(posedge clk); + end else begin + i_tdata <= { sid[63:32],len[15:0], sid[15:0] }; + i_tvalid <= 1; + @(posedge clk); + i_tdata <= 64'h0000_0001_0000_0000; + repeat(quant - 2) begin + i_tdata <= i_tdata + 64'h0000_0002_0000_0002; + @(posedge clk); + end + i_tdata <= i_tdata + 64'h0000_0002_0000_0002; + @(posedge clk); + i_tvalid <= 1'b0; + @(posedge clk); + end // else: !if(len < 3) + end + endtask // send_packet + + task reset_dma_counts; + begin + dma_sample_cnt <= 32'd0; + dma_packet_cnt <= 32'd0; + dma_out_sample_cnt[0] <= 32'd0; + dma_out_sample_cnt[1] <= 32'd0; + dma_out_sample_cnt[2] <= 32'd0; + dma_out_sample_cnt[3] <= 32'd0; + dma_out_sample_cnt[4] <= 32'd0; + dma_out_sample_cnt[5] <= 32'd0; + dma_out_last_sample[0] <= 64'd0; + dma_out_last_sample[1] <= 64'd0; + dma_out_last_sample[2] <= 64'd0; + dma_out_last_sample[3] <= 64'd0; + dma_out_last_sample[4] <= 64'd0; + dma_out_last_sample[5] <= 64'd0; + @(posedge clk); + end + endtask // reset_dma_counts + + task select_channels; + input [2:0] tx_ch; + input [2:0] rx_ch; + begin + i_chan <= tx_ch; + o_chan <= rx_ch; + @(posedge clk); + end + endtask // select_channels + + task wait_for_pkt_loopback; + begin + while (i_tvalid & i_tready) @(posedge clk); //Wait for outbound pkt to pad and send + while (~o_tvalid) @(posedge clk); //Wait for inbound pkt to arrive + while (o_tvalid & o_tready) @(posedge clk); //Wait for inbound pkt to finish + end + endtask // wait_for_pkt_loopback + + wire [63:0] dma_loop_tdata ; + wire [ 2:0] dma_loop_tuser ; + wire dma_loop_tvalid, dma_loop_tlast, dma_loop_tready; + + wire [63:0] iop2_msg_tdata ; + wire iop2_msg_tvalid, iop2_msg_tlast, iop2_msg_tready; + + + initial begin : tb_main + while (reset) @(posedge clk); + + chinch_reg_rdy <= 1'b1; + chinch_reg_rc <= 1'b0; + + `TEST_CASE_START("Verify signature register"); + usr_regport_request(READ, 20'h40000, 32'h0); + usr_regport_response(); + `TEST_CASE_DONE((pcie_usr_data == "X300")) + + `TEST_CASE_START("Verify counter frequency register"); + usr_regport_request(READ, 20'h4000C, 32'h0); + usr_regport_response(); + `TEST_CASE_DONE((pcie_usr_data == 166666667)); + + `TEST_CASE_START("Verify scratch registers"); + usr_regport_request(WRITE, 20'h40010, 32'hDEAD); + usr_regport_request(WRITE, 20'h40014, 32'hBEEF); + usr_regport_request(READ, 20'h40014, 32'h0); + usr_regport_response(); + temp_pass <= (pcie_usr_data == 32'hBEEF); + usr_regport_request(READ, 20'h40010, 32'h0); + usr_regport_response(); + `TEST_CASE_DONE((pcie_usr_data == 32'hDEAD) & temp_pass); + + `TEST_CASE_START("Client register port write 1"); + usr_regport_request(WRITE, 20'h60000, 32'h12345678); + chinch_regport_request(WRITE, 20'h60000, 32'h12345678); + + usr_regport_request(WRITE, 20'h7FFFC, 32'h1357); + chinch_regport_request(WRITE, 20'h7FFFC, 32'h1357); + + usr_regport_request(WRITE, 20'h70000, 32'h2468); + chinch_regport_request(WRITE, 20'h70000, 32'h2468); + `TEST_CASE_DONE(1); + + `TEST_CASE_START("Client register port read 1"); + usr_regport_request(READ, 20'h60000, 32'h0); + chinch_regport_request(READ, 20'h60000, 32'h0); + chinch_regport_response(32'hACE0BA51); + usr_regport_response(); + `ASSERT_ERROR((pcie_usr_data == 32'hACE0BA51),""); + + usr_regport_request(READ, 20'h7FFFC, 32'h0); + chinch_regport_request(READ, 20'h7FFFC, 32'h0); + chinch_regport_response(32'hACE0BA52); + usr_regport_response(); + `ASSERT_ERROR((pcie_usr_data == 32'hACE0BA52),""); + + usr_regport_request(READ, 20'h70000, 32'h0); + chinch_regport_request(READ, 20'h70000, 32'h0); + chinch_regport_response(32'hACE0BA53); + usr_regport_response(); + `TEST_CASE_DONE((pcie_usr_data == 32'hACE0BA53)); + + `TEST_CASE_START("Configure RX DMA routing table"); + usr_regport_request(WRITE, 20'h40500, 32'h0000_0000); + usr_regport_request(WRITE, 20'h40500, 32'h0001_0001); + usr_regport_request(WRITE, 20'h40500, 32'h0002_0002); + usr_regport_request(WRITE, 20'h40500, 32'h0003_0003); + usr_regport_request(WRITE, 20'h40500, 32'h00D3_0000); + usr_regport_request(WRITE, 20'h40500, 32'h00D2_0001); + usr_regport_request(WRITE, 20'h40500, 32'h00D1_0002); + usr_regport_request(WRITE, 20'h40500, 32'h00D0_0003); + `TEST_CASE_DONE(1); + + `TEST_CASE_START("Frame size register read"); + usr_regport_request(READ, 20'h40204, 32'h0); + usr_regport_response(); + `ASSERT_ERROR((pcie_usr_data == 32), "Frame size register read (Default) [TX0]."); + usr_regport_request(READ, 20'h40214, 32'h0); + usr_regport_response(); + `ASSERT_ERROR((pcie_usr_data == 32), "Frame size register read (Default) [TX1]."); + usr_regport_request(READ, 20'h40404, 32'h0); + usr_regport_response(); + `ASSERT_ERROR((pcie_usr_data == 32), "Frame size register read (Default) [RX0]."); + usr_regport_request(READ, 20'h40414, 32'h0); + usr_regport_response(); + `ASSERT_ERROR((pcie_usr_data == 32), "Frame size register read (Default) [RX1]."); + `TEST_CASE_DONE(1); + + o_tready <= 1'b1; + + `TEST_CASE_START("Loopback packet"); + reset_dma_counts(); + usr_regport_request(WRITE, 20'h40200, 32'h0000_0012); + usr_regport_request(WRITE, 20'h40400, 32'h0000_0012); + select_channels(0,0); + send_packet(16'h00D2, 80, 32); + wait_for_pkt_loopback(); + `TEST_CASE_DONE((dma_sample_cnt==10 && dma_packet_cnt==1 && dma_out_sample_cnt[0]==32)); + + reset_dma_counts(); + select_channels(1,0); + send_packet(16'h00D3, 80, 32); + wait_for_pkt_loopback(); + `TEST_CASE_DONE(dma_sample_cnt==10 && dma_packet_cnt==1 && dma_out_sample_cnt[0]==32); + + `TEST_CASE_START("Frame size register write."); + usr_regport_request(WRITE, 20'h40224, 32'd16); + usr_regport_request(WRITE, 20'h40234, 32'd16); + usr_regport_request(WRITE, 20'h40424, 32'd16); + usr_regport_request(WRITE, 20'h40434, 32'd16); + `TEST_CASE_DONE(1); + + `TEST_CASE_START("Frame size register read"); + usr_regport_request(READ, 20'h40224, 32'h0); + usr_regport_response(); + `ASSERT_ERROR((pcie_usr_data == 16), "Frame size register read [TX2]."); + usr_regport_request(READ, 20'h40234, 32'h0); + usr_regport_response(); + `ASSERT_ERROR((pcie_usr_data == 16), "Frame size register read [TX3]."); + usr_regport_request(READ, 20'h40424, 32'h0); + usr_regport_response(); + `ASSERT_ERROR((pcie_usr_data == 16), "Frame size register read [RX2]."); + usr_regport_request(READ, 20'h40434, 32'h0); + usr_regport_response(); + `ASSERT_ERROR((pcie_usr_data == 16), "Frame size register read [RX3]."); + `TEST_CASE_DONE(1); + + `TEST_CASE_START("Loopback packet"); + reset_dma_counts(); + select_channels(2,2); + send_packet(16'h0002, 32, 16); + wait_for_pkt_loopback(); + `TEST_CASE_DONE(dma_sample_cnt==4 && dma_packet_cnt==1 && dma_out_sample_cnt[2]==16); + + reset_dma_counts(); + select_channels(3,3); + send_packet(16'h0003, 32, 16); + wait_for_pkt_loopback(); + `TEST_CASE_DONE((dma_sample_cnt==4 && dma_packet_cnt==1 && dma_out_sample_cnt[3]==16)); + + `TEST_CASE_START("Loopback multiple packets"); + reset_dma_counts(); + select_channels(3,2); + send_packet(16'h0002, 128, 16); + send_packet(16'h0002, 128, 16); + send_packet(16'h0002, 128, 16); + send_packet(16'h0002, 128, 16); + wait_for_pkt_loopback(); + repeat(64) @(posedge clk); + `TEST_CASE_DONE(dma_sample_cnt==64 && dma_packet_cnt==4 && dma_out_sample_cnt[2]==64 && o_tdata==64'h0000009e00000020); + + `TEST_CASE_START("Loopback multiple packets (RX Swapped)"); + reset_dma_counts(); + select_channels(3,2); + + usr_regport_request(WRITE, 20'h40230, 32'h10); + usr_regport_request(WRITE, 20'h40420, 32'h00); + repeat(16) @(posedge clk); + + send_packet(16'h0002, 128, 16); + send_packet(16'h0002, 128, 16); + wait_for_pkt_loopback(); + repeat(64) @(posedge clk); + `TEST_CASE_DONE(dma_sample_cnt==32 && dma_packet_cnt==2 && dma_out_sample_cnt[2]==32 && o_tdata==64'h000000200000009e); + + /* @TODO: Need to implement data swapping in TB + `TEST_CASE_START(); + reset_dma_counts(); + select_channels(3,2); + + usr_regport_request(WRITE, 20'h40230, 32'h00); + usr_regport_request(WRITE, 20'h40420, 32'h10); + repeat(16) @(posedge clk); + + send_packet(16'h0002, 128, 16); + send_packet(16'h0002, 128, 16); + wait_for_pkt_loopback(); + repeat(64) @(posedge clk); + `TEST_CASE_DONE(dma_sample_cnt==32 && dma_packet_cnt==2 && dma_out_sample_cnt[2]==32 && o_tdata[7:0]==32, + "Loopback multiple packets (TX Swapped)."); + */ + + `TEST_CASE_START("Good DMA status."); + reset_dma_counts(); + select_channels(3,2); + usr_regport_request(READ, 20'h40230, 32'h0); + usr_regport_response(); + temp_pass <= (pcie_usr_data == 32'h0); + usr_regport_request(READ, 20'h40420, 32'h0); + usr_regport_response(); + `TEST_CASE_DONE(temp_pass && (pcie_usr_data == 32'h0)); + + `TEST_CASE_START("Bad DMA status."); + send_packet(16'h0002, 160, 20); + repeat(64) @(posedge clk); + usr_regport_request(READ, 20'h40230, 32'h0); + usr_regport_response(); + temp_pass <= (pcie_usr_data == 32'h1); + usr_regport_request(READ, 20'h40420, 32'h0); + usr_regport_response(); + `TEST_CASE_DONE(temp_pass || (pcie_usr_data == 32'h1)); + + `TEST_CASE_START("DMA Status reset."); + usr_regport_request(WRITE, 20'h40230, 32'h1); + usr_regport_request(READ, 20'h40230, 32'h0); + usr_regport_response(); + temp_pass <= (pcie_usr_data == 32'h0); + usr_regport_request(WRITE, 20'h40420, 32'h1); + usr_regport_request(READ, 20'h40420, 32'h0); + usr_regport_response(); + `TEST_CASE_DONE(temp_pass && (pcie_usr_data == 32'h0)); + + `TEST_CASE_START("Packet count register reset"); + select_channels(2,1); + reset_dma_counts(); + usr_regport_request(WRITE, 20'h4022C, 32'h0); + usr_regport_request(READ, 20'h4022C, 32'h0); + usr_regport_response(); + `ASSERT_ERROR((pcie_usr_data == 0), "TX Packet count register reset."); + usr_regport_request(WRITE, 20'h4041C, 32'h0); + usr_regport_request(READ, 20'h4041C, 32'h0); + usr_regport_response(); + `ASSERT_ERROR((pcie_usr_data == 0), "RX Packet count register reset."); + `TEST_CASE_DONE(1); + + `TEST_CASE_START("TX Packet count register read"); + send_packet(16'h0001, 80, 16); + send_packet(16'h0001, 24, 16); + send_packet(16'h0001, 48, 16); + repeat(64) @(posedge clk); + usr_regport_request(READ, 20'h4022C, 32'h0); + usr_regport_response(); + `ASSERT_ERROR((pcie_usr_data == 3), "TX Packet count register read."); + usr_regport_request(READ, 20'h4041C, 32'h0); + usr_regport_response(); + `ASSERT_ERROR((pcie_usr_data == 3), "RX Packet count register read."); + `TEST_CASE_DONE(1); + + `TEST_CASE_START("TX Sample count register reset"); + select_channels(1,2); + reset_dma_counts(); + usr_regport_request(WRITE, 20'h40218, 32'h0); + usr_regport_request(READ, 20'h40218, 32'h0); + usr_regport_response(); + `ASSERT_ERROR((pcie_usr_data == 0), "TX Sample count register reset."); + usr_regport_request(WRITE, 20'h40428, 32'h0); + usr_regport_request(READ, 20'h40428, 32'h0); + usr_regport_response(); + `ASSERT_ERROR((pcie_usr_data == 0), "RX Sample count register reset."); + `TEST_CASE_DONE(1'b1); + + `TEST_CASE_START("TX Sample count register read"); + send_packet(16'h0002, 28, 16); + wait_for_pkt_loopback(); + usr_regport_request(READ, 20'h40218, 32'h0); + usr_regport_response(); + `ASSERT_ERROR((pcie_usr_data == 4), "TX Sample count register read."); + usr_regport_request(READ, 20'h40428, 32'h0); + usr_regport_response(); + `ASSERT_ERROR((pcie_usr_data == 4), "RX Sample count register read."); + `TEST_CASE_DONE(1'b1); + + `TEST_CASE_START("Setup for NxN DMA test"); + for (it = 0; it < 16'd6; it = it + 16'd1) begin + usr_regport_request(WRITE, 20'h40204 + (it * 16), 32'h4); + usr_regport_request(WRITE, 20'h40404 + (it * 16), 32'h4); + usr_regport_request(WRITE, 20'h40500, {it, it}); + end + `TEST_CASE_DONE(1'b1); + + `TEST_CASE_START("Setup for NxN DMA test"); + for (tx_ch = 0; tx_ch < 6; tx_ch = tx_ch + 16'd2) begin + for (rx_ch = 1; rx_ch < 6; rx_ch = rx_ch + 16'd1) begin + select_channels(tx_ch,rx_ch); + reset_dma_counts(); + @(posedge clk); + send_packet(rx_ch, 16, 4); + wait_for_pkt_loopback(); + if (dma_sample_cnt==2 && dma_packet_cnt==1 && dma_out_sample_cnt[rx_ch]==4) begin + $display("[TEST%d]: NxN DMA Test [TX=%d, RX=%d]...Passed",tc_run_count,tx_ch[3:0],rx_ch[3:0]); + end else begin + $display("[TEST%d]: NxN DMA Test [TX=%d, RX=%d]...FAILED!!!",tc_run_count,tx_ch[3:0],rx_ch[3:0]); + end + @(posedge clk); + end + end + `TEST_CASE_DONE(1'b1); + end // initial begin + + + x300_pcie_int #( + .DMA_STREAM_WIDTH (64), + .NUM_TX_STREAMS (6 ), + .NUM_RX_STREAMS (6 ), + .REGPORT_ADDR_WIDTH(20), + .REGPORT_DATA_WIDTH(32), + .IOP2_MSG_WIDTH (64) + ) x300_pcie_int ( + .ioport2_clk (clk ), + .bus_clk (clk ), + .bus_rst (reset ), + + //DMA TX FIFOs (IoPort2 Clock Domain) + .dmatx_tdata_iop2 (i_tdata_par ), + .dmatx_tvalid_iop2 (i_tvalid_par ), + .dmatx_tready_iop2 (i_tready_par ), + + //DMA TX FIFOs (IoPort2 Clock Domain) + .dmarx_tdata_iop2 (o_tdata_par ), + .dmarx_tvalid_iop2 (o_tvalid_par ), + .dmarx_tready_iop2 (o_tready_par ), + + //PCIe User Regport + .pcie_usr_reg_wr (pcie_usr_reg_wr ), + .pcie_usr_reg_rd (pcie_usr_reg_rd ), + .pcie_usr_reg_addr (pcie_usr_reg_addr ), + .pcie_usr_reg_data_in (pcie_usr_reg_data_in ), + .pcie_usr_reg_len (pcie_usr_reg_len ), + .pcie_usr_reg_data_out(pcie_usr_reg_data_out), + .pcie_usr_reg_rc (pcie_usr_reg_rc ), + .pcie_usr_reg_rdy (pcie_usr_reg_rdy ), + + //Chinch Regport + .chinch_reg_wr (chinch_reg_wr ), + .chinch_reg_rd (chinch_reg_rd ), + .chinch_reg_addr (chinch_reg_addr ), + .chinch_reg_data_out (chinch_reg_data_out ), + .chinch_reg_len (chinch_reg_len ), + .chinch_reg_data_in (chinch_reg_data_in ), + .chinch_reg_rc (chinch_reg_rc ), + .chinch_reg_rdy (chinch_reg_rdy ), + + //DMA TX FIFO (Bus Clock Domain) + .dmatx_tdata (dma_loop_tdata ), + .dmatx_tuser (dma_loop_tuser ), + .dmatx_tlast (dma_loop_tlast ), + .dmatx_tvalid (dma_loop_tvalid ), + .dmatx_tready (dma_loop_tready ), + + //DMA RX FIFO (Bus Clock Domain) + .dmarx_tdata (dma_loop_tdata ), + .dmarx_tuser (dma_loop_tuser ), + .dmarx_tlast (dma_loop_tlast ), + .dmarx_tvalid (dma_loop_tvalid ), + .dmarx_tready (dma_loop_tready ), + + //Message FIFO Out (Bus Clock Domain) + .rego_tdata (iop2_msg_tdata ), + .rego_tvalid (iop2_msg_tvalid ), + .rego_tlast (iop2_msg_tlast ), + .rego_tready (iop2_msg_tready ), + + //Message FIFO In (Bus Clock Domain) + .regi_tdata (iop2_msg_tdata ), + .regi_tvalid (iop2_msg_tvalid ), + .regi_tlast (iop2_msg_tlast ), + .regi_tready (iop2_msg_tready ), + + .debug ( ) + ); + + always @(posedge clk) begin + if (dma_loop_tvalid & dma_loop_tready) begin + dma_sample_cnt <= dma_sample_cnt + 32'd1; + if (dma_loop_tlast) dma_packet_cnt <= dma_packet_cnt + 32'd1; + end + end + + always @(posedge clk) begin + case (i_chan) + 3'd5: + {i_tdata_par[383:320], i_tvalid_par[5], i_tready} <= {i_tdata, i_tvalid, i_tready_par[5]}; + 3'd4: + {i_tdata_par[319:256], i_tvalid_par[4], i_tready} <= {i_tdata, i_tvalid, i_tready_par[4]}; + 3'd3: + {i_tdata_par[255:192], i_tvalid_par[3], i_tready} <= {i_tdata, i_tvalid, i_tready_par[3]}; + 3'd2: + {i_tdata_par[191:128], i_tvalid_par[2], i_tready} <= {i_tdata, i_tvalid, i_tready_par[2]}; + 3'd1: + {i_tdata_par[127:64], i_tvalid_par[1], i_tready} <= {i_tdata, i_tvalid, i_tready_par[1]}; + default: + {i_tdata_par[63:0], i_tvalid_par[0], i_tready} <= {i_tdata, i_tvalid, i_tready_par[0]}; + endcase + end + + always @(posedge clk) begin + case (o_chan) + 3'd5: + {o_tdata, o_tvalid, o_tready_par[5]} <= {o_tdata_par[383:320], o_tvalid_par[5], o_tready}; + 3'd4: + {o_tdata, o_tvalid, o_tready_par[4]} <= {o_tdata_par[319:256], o_tvalid_par[4], o_tready}; + 3'd3: + {o_tdata, o_tvalid, o_tready_par[3]} <= {o_tdata_par[255:192], o_tvalid_par[3], o_tready}; + 3'd2: + {o_tdata, o_tvalid, o_tready_par[2]} <= {o_tdata_par[191:128], o_tvalid_par[2], o_tready}; + 3'd1: + {o_tdata, o_tvalid, o_tready_par[1]} <= {o_tdata_par[127:64], o_tvalid_par[1], o_tready}; + default: + {o_tdata, o_tvalid, o_tready_par[0]} <= {o_tdata_par[63:0], o_tvalid_par[0], o_tready}; + endcase + end + + genvar i; + generate + for (i=0; i<6; i=i+1) begin: dma_counter_generator + always @(posedge clk) begin + if (o_tvalid_par[i] & o_tready_par[i]) begin + dma_out_sample_cnt[i] <= dma_out_sample_cnt[i] + 32'd1; + dma_out_last_sample[i] <= o_tdata_par[(64*i)+63:64*i]; + end + end + end + endgenerate + +endmodule diff --git a/fpga/usrp3/top/x300/soft_ctrl.v b/fpga/usrp3/top/x300/soft_ctrl.v new file mode 100644 index 000000000..3c45a3dd2 --- /dev/null +++ b/fpga/usrp3/top/x300/soft_ctrl.v @@ -0,0 +1,482 @@ +// +// Copyright 2012 Ettus Research LLC +// Copyright 2017 Ettus Research, a National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// + +module soft_ctrl +#( + parameter SB_ADDRW = 8, + parameter RB_ADDRW = 8, + parameter dw = 32, // Data bus width + parameter aw = 16, // Address bus width, for byte addressibility, 16 = 64K byte memory space + parameter sw = 4 // Select width -- 32-bit data bus with 8-bit granularity. +) +( + input clk, input rst, + input clk_div2, input rst_div2, + + //------------------------------------------------------------------ + // I2C interfaces + //------------------------------------------------------------------ + inout scl0, inout sda0, + inout scl1, inout sda1, + inout scl2, inout sda2, + + //------------------------------------------------------------------ + // UARTs + //------------------------------------------------------------------ + input gps_rxd, + output gps_txd, + input debug_rxd, + output debug_txd, + + //------------------------------------------------------------------ + // settings bus interface + //------------------------------------------------------------------ + output [31:0] set_data, + output [SB_ADDRW-1:0] set_addr, + output set_stb, + + //------------------------------------------------------------------ + // settings bus interface for crossbar router + //------------------------------------------------------------------ + output [31:0] set_data_xb, + output [8:0] set_addr_xb, + output set_stb_xb, + + //------------------------------------------------------------------ + // readback bus interface + //------------------------------------------------------------------ + input [31:0] rb_data, + output [RB_ADDRW-1:0] rb_addr, + output rb_rd_stb, + + //------------------------------------------------------------------ + // packet interface in + //------------------------------------------------------------------ + input [63:0] rx_tdata, + input [3:0] rx_tuser, + input rx_tlast, + input rx_tvalid, + output rx_tready, + + //------------------------------------------------------------------ + // packet interface out + //------------------------------------------------------------------ + output [63:0] tx_tdata, + output [3:0] tx_tuser, + output tx_tlast, + output tx_tvalid, + input tx_tready, + + //------------------------------------------------------------------ + // PCIe endpoint interface + //------------------------------------------------------------------ + output [63:0] o_iop2_msg_tdata, + output o_iop2_msg_tvalid, + output o_iop2_msg_tlast, + input o_iop2_msg_tready, + input [63:0] i_iop2_msg_tdata, + input i_iop2_msg_tvalid, + input i_iop2_msg_tlast, + output i_iop2_msg_tready, + + //------------------------------------------------------------------ + // Debug + //------------------------------------------------------------------ + output [127:0] debug0, + output [31:0] debug1, + + //------------------------------------------------------------------ + // Wishbone Slave Interface(s) + //------------------------------------------------------------------ + input [dw-1:0] s4_dat_i, + output [dw-1:0] s4_dat_o, + output [aw-1:0] s4_adr, + output [sw-1:0] s4_sel, + input s4_ack, + output s4_stb, + output s4_cyc, + output s4_we, + input s4_int, // Nothing to connect this too!! No IRQ controller on x300. + + input [dw-1:0] s5_dat_i, + output [dw-1:0] s5_dat_o, + output [aw-1:0] s5_adr, + output [sw-1:0] s5_sel, + input s5_ack, + output s5_stb, + output s5_cyc, + output s5_we, + input s5_int // Nothing to connect this too!! No IRQ controller on x300. + + +); + + //////////////////////////////////////////////////////////////////// + // WB interconnect - ZPU, RAM, settings... + //////////////////////////////////////////////////////////////////// + + wire [dw-1:0] m0_dat_o, m0_dat_i; + wire [dw-1:0] s0_dat_o, s1_dat_o, s0_dat_i, s1_dat_i, s2_dat_o, s3_dat_o, s2_dat_i, s3_dat_i, + /* s4_dat_o,s5_dat_o,s4_dat_i,s5_dat_i, */s6_dat_o, s7_dat_o, s6_dat_i, s7_dat_i, + s8_dat_o, s9_dat_o, s8_dat_i, s9_dat_i, sa_dat_o, sa_dat_i, sb_dat_i, sb_dat_o, + sc_dat_i, sc_dat_o, sd_dat_i, sd_dat_o, se_dat_i, se_dat_o, sf_dat_i, sf_dat_o; + wire [aw-1:0] m0_adr,s0_adr,s1_adr,s2_adr,s3_adr,/*s4_adr,s5_adr,*/s6_adr,s7_adr; + wire [aw-1:0] s8_adr,s9_adr,sa_adr,sb_adr,sc_adr,sd_adr,se_adr,sf_adr; + wire [sw-1:0] m0_sel,s0_sel,s1_sel,s2_sel,s3_sel,/*s4_sel,s5_sel,*/s6_sel,s7_sel; + wire [sw-1:0] s8_sel,s9_sel,sa_sel,sb_sel,sc_sel,sd_sel,se_sel,sf_sel; + wire m0_ack,s0_ack,s1_ack,s2_ack,s3_ack,/*s4_ack,s5_ack,*/s6_ack,s7_ack; + wire s8_ack,s9_ack,sa_ack,sb_ack,sc_ack,sd_ack,se_ack,sf_ack; + wire m0_stb,s0_stb,s1_stb,s2_stb,s3_stb,/*s4_stb,s5_stb,*/s6_stb,s7_stb; + wire s8_stb,s9_stb,sa_stb,sb_stb,sc_stb,sd_stb,se_stb,sf_stb; + wire m0_cyc,s0_cyc,s1_cyc,s2_cyc,s3_cyc,/*s4_cyc,s5_cyc,*/s6_cyc,s7_cyc; + wire s8_cyc,s9_cyc,sa_cyc,sb_cyc,sc_cyc,sd_cyc,se_cyc,sf_cyc; + wire m0_we,s0_we,s1_we,s2_we,s3_we,/*s4_we,s5_we,*/s6_we,s7_we; + wire s8_we,s9_we,sa_we,sb_we,sc_we,sd_we,se_we,sf_we; + + wb_1master #(.decode_w(8), + .s0_addr(8'b0000_0000),.s0_mask(8'b1000_0000), // 0x0000 - Main RAM 32k + .s1_addr(8'b1000_0000),.s1_mask(8'b1110_0000), // 0x8000 - PKT RAM 8k + .s2_addr(8'b1010_0000),.s2_mask(8'b1111_0000), // 0xa000 - Settings/Readback - misc + .s3_addr(8'b1011_0000),.s3_mask(8'b1111_0000), // 0xb000 - Settings/Readback - crossbar + .s4_addr(8'b1100_0000),.s4_mask(8'b1111_0000), // 0xc000 - 10GE MAC 0 + .s5_addr(8'b1101_0000),.s5_mask(8'b1111_0000), // 0xd000 - 10GE MAC 1 + .s6_addr(8'b1111_0110),.s6_mask(8'b1111_1111), // 0xf600 - Unused + .s7_addr(8'b1111_0111),.s7_mask(8'b1111_1111), // 0xf700 - Unused + .s8_addr(8'b1111_1000),.s8_mask(8'b1111_1111), // 0xf800 - Unused + .s9_addr(8'b1111_1001),.s9_mask(8'b1111_1111), // 0xf900 - UART1 (Debug on GPIO) + .sa_addr(8'b1111_1010),.sa_mask(8'b1111_1111), // 0xfa00 - FW Programmer + .sb_addr(8'b1111_1011),.sb_mask(8'b1111_1111), // 0xfb00 - PCIe Endpoint + .sc_addr(8'b1111_1100),.sc_mask(8'b1111_1111), // 0xfc00 - I2C2 + .sd_addr(8'b1111_1101),.sd_mask(8'b1111_1111), // 0xfd00 - UART0 (GPS) + .se_addr(8'b1111_1110),.se_mask(8'b1111_1111), // 0xfe00 - I2C0 + .sf_addr(8'b1111_1111),.sf_mask(8'b1111_1111), // 0xff00 - I2C1 + .dw(dw),.aw(aw),.sw(sw)) + wb_1master + (.clk_i(clk_div2),.rst_i(rst_div2), + .m0_dat_o(m0_dat_o),.m0_ack_o(m0_ack),.m0_err_o(),.m0_rty_o(),.m0_dat_i(m0_dat_i), + .m0_adr_i(m0_adr),.m0_sel_i(m0_sel),.m0_we_i(m0_we),.m0_cyc_i(m0_cyc),.m0_stb_i(m0_stb), + .s0_dat_o(s0_dat_o),.s0_adr_o(s0_adr),.s0_sel_o(s0_sel),.s0_we_o(s0_we),.s0_cyc_o(s0_cyc),.s0_stb_o(s0_stb), + .s0_dat_i(s0_dat_i),.s0_ack_i(s0_ack),.s0_err_i(1'b0),.s0_rty_i(1'b0), + .s1_dat_o(s1_dat_o),.s1_adr_o(s1_adr),.s1_sel_o(s1_sel),.s1_we_o(s1_we),.s1_cyc_o(s1_cyc),.s1_stb_o(s1_stb), + .s1_dat_i(s1_dat_i),.s1_ack_i(s1_ack),.s1_err_i(1'b0),.s1_rty_i(1'b0), + .s2_dat_o(s2_dat_o),.s2_adr_o(s2_adr),.s2_sel_o(s2_sel),.s2_we_o(s2_we),.s2_cyc_o(s2_cyc),.s2_stb_o(s2_stb), + .s2_dat_i(s2_dat_i),.s2_ack_i(s2_ack),.s2_err_i(1'b0),.s2_rty_i(1'b0), + .s3_dat_o(s3_dat_o),.s3_adr_o(s3_adr),.s3_sel_o(s3_sel),.s3_we_o(s3_we),.s3_cyc_o(s3_cyc),.s3_stb_o(s3_stb), + .s3_dat_i(s3_dat_i),.s3_ack_i(s3_ack),.s3_err_i(1'b0),.s3_rty_i(1'b0), + .s4_dat_o(s4_dat_o),.s4_adr_o(s4_adr),.s4_sel_o(s4_sel),.s4_we_o(s4_we),.s4_cyc_o(s4_cyc),.s4_stb_o(s4_stb), + .s4_dat_i(s4_dat_i),.s4_ack_i(s4_ack),.s4_err_i(1'b0),.s4_rty_i(1'b0), + .s5_dat_o(s5_dat_o),.s5_adr_o(s5_adr),.s5_sel_o(s5_sel),.s5_we_o(s5_we),.s5_cyc_o(s5_cyc),.s5_stb_o(s5_stb), + .s5_dat_i(s5_dat_i),.s5_ack_i(s5_ack),.s5_err_i(1'b0),.s5_rty_i(1'b0), + .s6_dat_o(s6_dat_o),.s6_adr_o(s6_adr),.s6_sel_o(s6_sel),.s6_we_o(s6_we),.s6_cyc_o(s6_cyc),.s6_stb_o(s6_stb), + .s6_dat_i(s6_dat_i),.s6_ack_i(s6_ack),.s6_err_i(1'b0),.s6_rty_i(1'b0), + .s7_dat_o(s7_dat_o),.s7_adr_o(s7_adr),.s7_sel_o(s7_sel),.s7_we_o(s7_we),.s7_cyc_o(s7_cyc),.s7_stb_o(s7_stb), + .s7_dat_i(s7_dat_i),.s7_ack_i(s7_ack),.s7_err_i(1'b0),.s7_rty_i(1'b0), + .s8_dat_o(s8_dat_o),.s8_adr_o(s8_adr),.s8_sel_o(s8_sel),.s8_we_o(s8_we),.s8_cyc_o(s8_cyc),.s8_stb_o(s8_stb), + .s8_dat_i(s8_dat_i),.s8_ack_i(s8_ack),.s8_err_i(1'b0),.s8_rty_i(1'b0), + .s9_dat_o(s9_dat_o),.s9_adr_o(s9_adr),.s9_sel_o(s9_sel),.s9_we_o(s9_we),.s9_cyc_o(s9_cyc),.s9_stb_o(s9_stb), + .s9_dat_i(s9_dat_i),.s9_ack_i(s9_ack),.s9_err_i(1'b0),.s9_rty_i(1'b0), + .sa_dat_o(sa_dat_o),.sa_adr_o(sa_adr),.sa_sel_o(sa_sel),.sa_we_o(sa_we),.sa_cyc_o(sa_cyc),.sa_stb_o(sa_stb), + .sa_dat_i(sa_dat_i),.sa_ack_i(sa_ack),.sa_err_i(1'b0),.sa_rty_i(1'b0), + .sb_dat_o(sb_dat_o),.sb_adr_o(sb_adr),.sb_sel_o(sb_sel),.sb_we_o(sb_we),.sb_cyc_o(sb_cyc),.sb_stb_o(sb_stb), + .sb_dat_i(sb_dat_i),.sb_ack_i(sb_ack),.sb_err_i(1'b0),.sb_rty_i(1'b0), + .sc_dat_o(sc_dat_o),.sc_adr_o(sc_adr),.sc_sel_o(sc_sel),.sc_we_o(sc_we),.sc_cyc_o(sc_cyc),.sc_stb_o(sc_stb), + .sc_dat_i(sc_dat_i),.sc_ack_i(sc_ack),.sc_err_i(1'b0),.sc_rty_i(1'b0), + .sd_dat_o(sd_dat_o),.sd_adr_o(sd_adr),.sd_sel_o(sd_sel),.sd_we_o(sd_we),.sd_cyc_o(sd_cyc),.sd_stb_o(sd_stb), + .sd_dat_i(sd_dat_i),.sd_ack_i(sd_ack),.sd_err_i(1'b0),.sd_rty_i(1'b0), + .se_dat_o(se_dat_o),.se_adr_o(se_adr),.se_sel_o(se_sel),.se_we_o(se_we),.se_cyc_o(se_cyc),.se_stb_o(se_stb), + .se_dat_i(se_dat_i),.se_ack_i(se_ack),.se_err_i(1'b0),.se_rty_i(1'b0), + .sf_dat_o(sf_dat_o),.sf_adr_o(sf_adr),.sf_sel_o(sf_sel),.sf_we_o(sf_we),.sf_cyc_o(sf_cyc),.sf_stb_o(sf_stb), + .sf_dat_i(sf_dat_i),.sf_ack_i(sf_ack),.sf_err_i(1'b0),.sf_rty_i(1'b0) ); + + //assign {s0_dat_i, s0_ack} = 33'b0; + //assign {s1_dat_i, s1_ack} = 33'b0; + //assign {s2_dat_i, s2_ack} = 33'b0; + //assign {s3_dat_i, s3_ack} = 33'b0; + //assign {s4_dat_i, s4_ack} = 33'b0; + //assign {s5_dat_i, s5_ack} = 33'b0; + assign {s6_dat_i, s6_ack} = 33'b0; + assign {s7_dat_i, s7_ack} = 33'b0; + assign {s8_dat_i, s8_ack} = 33'b0; + //assign {s9_dat_i, s9_ack} = 33'b0; + //assign {sa_dat_i, sa_ack} = 33'b0; + assign sa_dat_i = 32'b0; + //assign {sb_dat_i, sb_ack} = 33'b0; + //assign {sc_dat_i, sc_ack} = 33'b0; + //assign {sd_dat_i, sd_ack} = 33'b0; + //assign {se_dat_i, se_ack} = 33'b0; + //assign {sf_dat_i, sf_ack} = 33'b0; + + + //////////////////////////////////////////////////////////////////// + // Processor + //////////////////////////////////////////////////////////////////// + wire zpu_rst; + + zpu_wb_top #(.dat_w(dw), .adr_w(aw), .sel_w(sw)) + zpu_top0 (.clk(clk_div2), .rst(zpu_rst), .enb(~zpu_rst), + // Data Wishbone bus to system bus fabric + .we_o(m0_we),.stb_o(m0_stb),.dat_o(m0_dat_i),.adr_o(m0_adr), + .dat_i(m0_dat_o),.ack_i(m0_ack),.sel_o(m0_sel),.cyc_o(m0_cyc), + // Interrupts and exceptions + .zpu_status(), .interrupt(1'b0)); + + //assign {debug1, debug0} = {bank_swap, zpu_rst, swap_addr, s0_we, s0_stb, s3_ack, s2_ack, s1_ack, s0_ack, txd, clk, rst, m0_we, m0_stb, m0_ack, m0_cyc, m0_adr, m0_dat_i}; + + //////////////////////////////////////////////////////////////////// + // Double buffered system RAM (Slave #0) and Bootloader (Slave #A) + //////////////////////////////////////////////////////////////////// + zpu_bootram #(.ADDR_WIDTH(aw), .DATA_WIDTH(dw), .MAX_ADDR(16'h7FFC)) sys_ram + ( + .clk(clk_div2), .rst(rst_div2), + .mem_stb(s0_stb), .mem_wea(&({4{s0_we}} & s0_sel)), .mem_acka(s0_ack), + .mem_addra(s0_adr), .mem_dina(s0_dat_o), .mem_douta(s0_dat_i), + .ldr_stb(sa_stb), .ldr_wea(&({4{sa_we}} & sa_sel)), + .ldr_addra(sa_adr), .ldr_dina(sa_dat_o), .ldr_acka(sa_ack), + .zpu_rst(zpu_rst) + ); + + //////////////////////////////////////////////////////////////////// + // Packet RAM -- Slave #1 + //////////////////////////////////////////////////////////////////// + + //------------------------------------------------------------------ + // packet interface in div2 + //------------------------------------------------------------------ + wire [63:0] rx_tdata_div2; + wire [3:0] rx_tuser_div2; + wire rx_tlast_div2; + wire rx_tvalid_div2; + wire rx_tready_div2; + + //------------------------------------------------------------------ + // packet interface out div2 + //------------------------------------------------------------------ + wire [63:0] tx_tdata_div2; + wire [3:0] tx_tuser_div2; + wire tx_tlast_div2; + wire tx_tvalid_div2; + wire tx_tready_div2; + + //clock cross fifo between bus_clk and bus_clk_div2 for axi stream input + //WIDTH = tdata+tuser+tlast = 69 + axi_fifo_2clk #(.WIDTH(69), .SIZE(5)) axi_stream_rx_fifo_2clk + (.reset(rst), + .i_aclk(clk), .i_tdata({rx_tdata, rx_tuser, rx_tlast}), .i_tvalid(rx_tvalid), .i_tready(rx_tready), + .o_aclk(clk_div2), .o_tdata({rx_tdata_div2, rx_tuser_div2, rx_tlast_div2}), .o_tvalid(rx_tvalid_div2), .o_tready(rx_tready_div2)); + + //clock cross fifo between bus_clk_div2 and bus_clk for axi stream output + //WIDTH = tdata+tuser+tlast = 69 + axi_fifo_2clk #(.WIDTH(69), .SIZE(5)) axi_stream_tx_fifo_2clk + (.reset(reset), + .i_aclk(clk_div2), .i_tdata({tx_tdata_div2, tx_tuser_div2, tx_tlast_div2}), .i_tvalid(tx_tvalid_div2), .i_tready(tx_tready_div2), + .o_aclk(clk), .o_tdata({tx_tdata, tx_tuser, tx_tlast}), .o_tvalid(tx_tvalid), .o_tready(tx_tready)); + + axi_stream_to_wb #(.AWIDTH(13), .CTRL_ADDR(13'h1ffc)) axi_stream_to_wb + ( + .clk_i(clk_div2), .rst_i(rst_div2), + + //wb interface + .we_i(s1_we), .stb_i(s1_stb), .cyc_i(s1_cyc), .ack_o(s1_ack), + .adr_i(s1_adr[12:0]), .dat_i(s1_dat_o), .dat_o(s1_dat_i), + + //axi stream in + .rx_tdata(rx_tdata_div2), .rx_tuser(rx_tuser_div2), .rx_tlast(rx_tlast_div2), + .rx_tvalid(rx_tvalid_div2), .rx_tready(rx_tready_div2), + + //axi stream out + .tx_tdata(tx_tdata_div2), .tx_tuser(tx_tuser_div2), .tx_tlast(tx_tlast_div2), + .tx_tvalid(tx_tvalid_div2), .tx_tready(tx_tready_div2), + + .debug_rx(), .debug_tx() + ); + + + + //////////////////////////////////////////////////////////////////// + // Settings and readback bus -- Slave #2 + //////////////////////////////////////////////////////////////////// + settings_bus #(.AWIDTH(aw), .DWIDTH(dw), .SWIDTH(SB_ADDRW)) settings_bus + ( + .wb_clk(clk_div2), .wb_rst(rst_div2), + .wb_adr_i(s2_adr), .wb_dat_i(s2_dat_o), + .wb_stb_i(s2_stb), .wb_we_i(s2_we), .wb_ack_o(s2_ack), + .strobe(set_stb), .addr(set_addr), .data(set_data) + ); + + settings_readback #(.AWIDTH(aw),.DWIDTH(dw), .RB_ADDRW(RB_ADDRW)) settings_readback + ( + .wb_clk(clk_div2), + .wb_rst(rst_div2), + .wb_adr_i(s2_adr), + .wb_stb_i(s2_stb), + .wb_we_i(s2_we), + .rb_data(rb_data), + .rb_addr(rb_addr), + .wb_dat_o(s2_dat_i), + .rb_rd_stb(rb_rd_stb) + ); + + // assign rb_addr = s2_adr[RB_ADDRW+1:2]; + // assign s2_dat_i = rb_data; + + //////////////////////////////////////////////////////////////////// + // Settings bus for cross bar -- Slave #3 + //////////////////////////////////////////////////////////////////// + settings_bus #(.AWIDTH(aw), .DWIDTH(dw), .SWIDTH(9)) settings_bus_xb + ( + .wb_clk(clk), .wb_rst(rst), + .wb_adr_i(s3_adr), .wb_dat_i(s3_dat_o), + .wb_stb_i(s3_stb), .wb_we_i(s3_we), .wb_ack_o(s3_ack), + .strobe(set_stb_xb), .addr(set_addr_xb), .data(set_data_xb) + ); + + assign s3_dat_i = 32'b0; + + //////////////////////////////////////////////////////////////////// + // 10GE MAC 0 -- Slave #4 + //////////////////////////////////////////////////////////////////// + // External to this Heirarchy. + + //////////////////////////////////////////////////////////////////// + // 10GE MAC 1 -- Slave #5 + //////////////////////////////////////////////////////////////////// + // External to this Heirarchy. + + + //////////////////////////////////////////////////////////////////// + // UART0 -- Slave #9 + //////////////////////////////////////////////////////////////////// + simple_uart zpu_debug_uart + ( + .clk_i(clk_div2), .rst_i(rst_div2), + .we_i(s9_we), .stb_i(s9_stb), .cyc_i(s9_cyc), .ack_o(s9_ack), + .adr_i(s9_adr[4:2]), .dat_i(s9_dat_o), .dat_o(s9_dat_i), + .rx_int_o(), .tx_int_o(), .tx_o(debug_txd), .rx_i(debug_rxd), .baud_o() + ); + + //////////////////////////////////////////////////////////////////// + // PCIe endpoint -- Slave #b + //////////////////////////////////////////////////////////////////// + + //------------------------------------------------------------------ + // PCIe endpoint interface in div2 + //------------------------------------------------------------------ + wire [63:0] o_iop2_msg_tdata_div2; + wire o_iop2_msg_tvalid_div2; + wire o_iop2_msg_tlast_div2; + wire o_iop2_msg_tready_div2; + wire [63:0] i_iop2_msg_tdata_div2; + wire i_iop2_msg_tvalid_div2; + wire i_iop2_msg_tlast_div2; + wire i_iop2_msg_tready_div2; + + + //clock cross fifo between bus_clk and bus_clk_div2 for i_iop2_msg + //WIDTH = tdata+tuser+tlast = 69 + axi_fifo_2clk #(.WIDTH(65), .SIZE(5)) i_iop2_msg_fifo_2clk + (.reset(reset), + .i_aclk(clk), .i_tdata({i_iop2_msg_tdata, i_iop2_msg_tlast}), .i_tvalid(i_iop2_msg_tvalid), .i_tready(i_iop2_msg_tready), + .o_aclk(clk_div2), .o_tdata({i_iop2_msg_tdata_div2, iop2_msg_tlast_div2}), .o_tvalid(i_iop2_msg_tvalid_div2), .o_tready(i_iop2_msg_tready_div2)); + + //clock cross fifo between bus_clk_div2 and bus_clk for o_iop2_msg + //WIDTH = tdata+tuser+tlast = 69 + axi_fifo_2clk #(.WIDTH(65), .SIZE(5)) o_iop2_msg_fifo_2clk + (.reset(reset), + .i_aclk(clk_div2), .i_tdata({o_iop2_msg_tdata_div2, 1'b1}), .i_tvalid(o_iop2_msg_tvalid_div2), .i_tready(o_iop2_msg_tready_div2), + .o_aclk(clk), .o_tdata({o_iop2_msg_tdata, o_iop2_msg_tlast}), .o_tvalid(o_iop2_msg_tvalid), .o_tready(o_iop2_msg_tready)); + + pcie_wb_reg_core #(.WB_ADDRW(aw), .WB_DATAW(dw)) pcie_reg_core + ( + .clk(clk_div2), .rst(rst_div2), + .wb_stb_i(sb_stb), .wb_we_i(sb_we), .wb_adr_i(sb_adr), + .wb_dat_i(sb_dat_o), .wb_ack_o(sb_ack), .wb_dat_o(sb_dat_i), + .msgi_tdata(i_iop2_msg_tdata_div2), .msgi_tvalid(i_iop2_msg_tvalid_div2), .msgi_tready(i_iop2_msg_tready_div2), + .msgo_tdata(o_iop2_msg_tdata_div2), .msgo_tvalid(o_iop2_msg_tvalid_div2), .msgo_tready(o_iop2_msg_tready_div2), + .debug(debug0) + ); + + //////////////////////////////////////////////////////////////////// + // I2C2 -- Slave #c + //////////////////////////////////////////////////////////////////// + wire scl2_pad_i, scl2_pad_o, scl2_pad_oen_o; + wire sda2_pad_i, sda2_pad_o, sda2_pad_oen_o; + + i2c_master_top #(.ARST_LVL(1)) i2c2 + ( + .wb_clk_i(clk_div2),.wb_rst_i(rst_div2),.arst_i(1'b0), + .wb_adr_i(sc_adr[4:2]),.wb_dat_i(sc_dat_o[7:0]),.wb_dat_o(sc_dat_i[7:0]), + .wb_we_i(sc_we),.wb_stb_i(sc_stb),.wb_cyc_i(sc_cyc), + .wb_ack_o(sc_ack),.wb_inta_o(), + .scl_pad_i(scl2_pad_i),.scl_pad_o(scl2_pad_o),.scl_padoen_o(scl2_pad_oen_o), + .sda_pad_i(sda2_pad_i),.sda_pad_o(sda2_pad_o),.sda_padoen_o(sda2_pad_oen_o) + ); + + // I2C -- Don't use external transistors for open drain, the FPGA implements this + IOBUF scl2_pin(.O(scl2_pad_i), .IO(scl2), .I(scl2_pad_o), .T(scl2_pad_oen_o)); + IOBUF sda2_pin(.O(sda2_pad_i), .IO(sda2), .I(sda2_pad_o), .T(sda2_pad_oen_o)); + + assign sc_dat_i[31:8] = 24'd0; + + + //////////////////////////////////////////////////////////////////// + // UART0 -- Slave #d + //////////////////////////////////////////////////////////////////// + simple_uart gps_uart + ( + .clk_i(clk_div2), .rst_i(rst_div2), + .we_i(sd_we), .stb_i(sd_stb), .cyc_i(sd_cyc), .ack_o(sd_ack), + .adr_i(sd_adr[4:2]), .dat_i(sd_dat_o), .dat_o(sd_dat_i), + .rx_int_o(), .tx_int_o(), .tx_o(gps_txd), .rx_i(gps_rxd), .baud_o() + ); + + //////////////////////////////////////////////////////////////////// + // I2C0 -- Slave #e + //////////////////////////////////////////////////////////////////// + wire scl0_pad_i, scl0_pad_o, scl0_pad_oen_o; + wire sda0_pad_i, sda0_pad_o, sda0_pad_oen_o; + + i2c_master_top #(.ARST_LVL(1)) i2c0 + ( + .wb_clk_i(clk_div2),.wb_rst_i(rst_div2),.arst_i(1'b0), + .wb_adr_i(se_adr[4:2]),.wb_dat_i(se_dat_o[7:0]),.wb_dat_o(se_dat_i[7:0]), + .wb_we_i(se_we),.wb_stb_i(se_stb),.wb_cyc_i(se_cyc), + .wb_ack_o(se_ack),.wb_inta_o(), + .scl_pad_i(scl0_pad_i),.scl_pad_o(scl0_pad_o),.scl_padoen_o(scl0_pad_oen_o), + .sda_pad_i(sda0_pad_i),.sda_pad_o(sda0_pad_o),.sda_padoen_o(sda0_pad_oen_o) + ); + + // I2C -- Don't use external transistors for open drain, the FPGA implements this + IOBUF scl0_pin(.O(scl0_pad_i), .IO(scl0), .I(scl0_pad_o), .T(scl0_pad_oen_o)); + IOBUF sda0_pin(.O(sda0_pad_i), .IO(sda0), .I(sda0_pad_o), .T(sda0_pad_oen_o)); + + assign se_dat_i[31:8] = 24'd0; + + //////////////////////////////////////////////////////////////////// + // I2C1 -- Slave #f + //////////////////////////////////////////////////////////////////// + wire scl1_pad_i, scl1_pad_o, scl1_pad_oen_o; + wire sda1_pad_i, sda1_pad_o, sda1_pad_oen_o; + + i2c_master_top #(.ARST_LVL(1)) i2c1 + ( + .wb_clk_i(clk_div2),.wb_rst_i(rst_div2),.arst_i(1'b0), + .wb_adr_i(sf_adr[4:2]),.wb_dat_i(sf_dat_o[7:0]),.wb_dat_o(sf_dat_i[7:0]), + .wb_we_i(sf_we),.wb_stb_i(sf_stb),.wb_cyc_i(sf_cyc), + .wb_ack_o(sf_ack),.wb_inta_o(), + .scl_pad_i(scl1_pad_i),.scl_pad_o(scl1_pad_o),.scl_padoen_o(scl1_pad_oen_o), + .sda_pad_i(sda1_pad_i),.sda_pad_o(sda1_pad_o),.sda_padoen_o(sda1_pad_oen_o) + ); + + // I2C -- Don't use external transistors for open drain, the FPGA implements this + IOBUF scl1_pin(.O(scl1_pad_i), .IO(scl1), .I(scl1_pad_o), .T(scl1_pad_oen_o)); + IOBUF sda1_pin(.O(sda1_pad_i), .IO(sda1), .I(sda1_pad_o), .T(sda1_pad_oen_o)); + + assign sf_dat_i[31:8] = 24'd0; + + + +endmodule //soft_ctrl diff --git a/fpga/usrp3/top/x300/timing.xdc b/fpga/usrp3/top/x300/timing.xdc new file mode 100644 index 000000000..1b913456c --- /dev/null +++ b/fpga/usrp3/top/x300/timing.xdc @@ -0,0 +1,603 @@ +# +# Copyright 2014 Ettus Research LLC +# + +#******************************************************************************* +## Primary clock definitions + +# Define clocks +create_clock -name FPGA_CLK -period 5.000 -waveform {0.000 2.500} [get_ports FPGA_CLK_p] +create_clock -name FPGA_REFCLK -period 32.563 -waveform {0.000 16.281} [get_ports FPGA_REFCLK_10MHz_p] +create_clock -name FPGA_125MHz_CLK -period 8.000 -waveform {0.000 4.000} [get_ports FPGA_125MHz_CLK] +create_clock -name DB0_ADC_DCLK -period 5.000 -waveform {0.000 2.500} [get_ports DB0_ADC_DCLK_P] +create_clock -name DB1_ADC_DCLK -period 5.000 -waveform {0.000 2.500} [get_ports DB1_ADC_DCLK_P] +create_clock -name IoRxClock -period 4.000 -waveform {0.000 2.000} [get_ports IoRxClock] +# Create virtual clock aligned with FPGA_CLK that is twice the frequency for DAC IO Timing. +create_clock -name VIRT_DAC_CLK -period 2.500 -waveform {0.000 1.250} + +# Set clock properties +set_input_jitter [get_clocks FPGA_CLK] 0.05 + +set var_fpga_clk_delay 1.545 ;# LMK_Delay=0.900ns, LMK->FPGA=0.645ns +set var_fpga_clk_skew 0.100 +set_clock_latency -source -early [expr $var_fpga_clk_delay - $var_fpga_clk_skew/2] [get_clocks FPGA_CLK] +set_clock_latency -source -late [expr $var_fpga_clk_delay + $var_fpga_clk_skew/2] [get_clocks FPGA_CLK] + +set var_adc_clk_delay 8.440 ;# LMK->ADC=1.04ns, ADC->FPGA=0.750ns, ADC=6.65ns=(0.69*5ns)+5.7-2.5 +set var_adc_clk_skew 0.500 ;# The real skew is ~3.5ns with which we will not meet static timing. Ignore skew by temp variations. +set_clock_latency -source -early [expr $var_adc_clk_delay - $var_adc_clk_skew/2] [get_clocks DB0_ADC_DCLK] +set_clock_latency -source -late [expr $var_adc_clk_delay + $var_adc_clk_skew/2] [get_clocks DB0_ADC_DCLK] +set_clock_latency -source -early [expr $var_adc_clk_delay - $var_adc_clk_skew/2] [get_clocks DB1_ADC_DCLK] +set_clock_latency -source -late [expr $var_adc_clk_delay + $var_adc_clk_skew/2] [get_clocks DB1_ADC_DCLK] + +# FPGA_CLK_p/n is externally phase shifted to allow for crossing from the ADC clock domain +# to the radio_clk (aka FPGA_CLK_p/n) clock domain. To ensure this timing is consistent, +# lock the locations of the MMCM and BUFG to generate radio_clk. +set_property LOC MMCME2_ADV_X0Y0 [get_cells -hierarchical -filter {NAME =~ "*radio_clk_gen/*mmcm_adv_inst"}] +set_property LOC BUFGCTRL_X0Y8 [get_cells -hierarchical -filter {NAME =~ "*radio_clk_gen/*clkout1_buf"}] + +# The PCIe specific 40MHz and 200MHz clocks are only active in clock regious X0Y0 and X1Y0 so we use BUFHs +# to distribute them. To do so, we have to use a PLL because the MMCM in that region is used by radio_clk_gen +# Since that MMCM is LOC constrained, we must LOC constrain this PLL as well. +set_property LOC PLLE2_ADV_X0Y0 [get_cells -hierarchical -filter {NAME =~ "*pcie_clk_gen/*plle2_adv_inst"}] + + +#******************************************************************************* +## Generated clock definitions + +create_generated_clock -name DB0_DAC_DCI -source [get_pins gen_db0/oddr_clk/C] -divide_by 1 [get_ports DB0_DAC_DCI_P] +create_generated_clock -name DB1_DAC_DCI -source [get_pins gen_db1/oddr_clk/C] -divide_by 1 [get_ports DB1_DAC_DCI_P] +create_generated_clock -name IoTxClock -multiply_by 1 \ + -source [get_pins -hier -filter {NAME =~ lvfpga_chinch_inst/*/TxClockGenx/TxUseMmcm.TxMmcm/CLKOUT0}] \ + [get_ports {IoTxClock}] + + +#******************************************************************************* +## Aliases for auto-generated clocks + +create_generated_clock -name radio_clk [get_pins -hierarchical -filter {NAME =~ "*radio_clk_gen/*/CLKOUT0"}] +create_generated_clock -name radio_clk_2x [get_pins -hierarchical -filter {NAME =~ "*radio_clk_gen/*/CLKOUT1"}] +#create_generated_clock -name dac_dci_clk [get_pins -hierarchical -filter {NAME =~ "*radio_clk_gen/*/CLKOUT2"}] +create_generated_clock -name bus_clk [get_pins -hierarchical -filter {NAME =~ "*bus_clk_gen/*/CLKOUT0"}] +create_generated_clock -name bus_clk_div2 [get_pins -hierarchical -filter {NAME =~ "*bus_clk_gen/*/CLKOUT2"}] +create_generated_clock -name ce_clk [get_pins -hierarchical -filter {NAME =~ "*bus_clk_gen/*/CLKOUT3"}] +create_generated_clock -name ioport2_clk [get_pins -hierarchical -filter {NAME =~ "*bus_clk_gen/*/CLKFBOUT"}] +create_generated_clock -name rio40_clk [get_pins -hierarchical -filter {NAME =~ "*pcie_clk_gen/*/CLKOUT0"}] +create_generated_clock -name ioport2_idelay_ref_clk [get_pins -hierarchical -filter {NAME =~ "*pcie_clk_gen/*/CLKOUT1"}] + + +#******************************************************************************* +## Asynchronous clock groups + +set_clock_groups -asynchronous -group [get_clocks bus_clk] -group [get_clocks ioport2_clk] +set_clock_groups -asynchronous -group [get_clocks ioport2_clk] -group [get_clocks rio40_clk] +set_clock_groups -asynchronous -group [get_clocks bus_clk] -group [get_clocks radio_clk] +set_clock_groups -asynchronous -group [get_clocks bus_clk_div2] -group [get_clocks radio_clk] +set_clock_groups -asynchronous -group [get_clocks ioport2_clk] -group [get_clocks IoPort2Wrapperx/RxLowSpeedClk] +set_clock_groups -asynchronous -group [get_clocks bus_clk] -group [get_clocks FPGA_REFCLK] +set_clock_groups -asynchronous -group [get_clocks ce_clk] -group [get_clocks bus_clk] +set_clock_groups -asynchronous -group [get_clocks ce_clk] -group [get_clocks radio_clk] + + +#******************************************************************************* +## ADC Interface + +# At 200 MHz, static timing cannot be closed so we tune data delays on the capture +# interface from software at device creation time. +# The data is center aligned wrt to the SS Clock when it is launched from the ADC +# So we tune the data IDELAYS to half the range (16) so we have slack in both directions +# In the constraints we capture this by padding the dv_before and dv_after by half the +# tuning range of the IDELAY. + +# Using typical values for ADC +set adc_clk_delay_wrt_center 0.000 ;# Possible {-1.340, -0.769, 0, 0.769} +set adc_in_dv_before_clk_edge 0.550 ;# Typical: 0.90ns +set adc_in_dv_after_clk_edge 0.550 ;# Typical: 0.95ns +set idelay_tune_range 2.500 ;# Refclk for IDELAY is 200MHz. Range of idelay is 0.5*period + +set adc_in_delay_max [expr 2.500 - $adc_in_dv_before_clk_edge - $idelay_tune_range + $adc_clk_delay_wrt_center] +set adc_in_delay_min [expr $adc_in_dv_after_clk_edge + $idelay_tune_range - $adc_clk_delay_wrt_center] + +set_input_delay -clock DB0_ADC_DCLK -max $adc_in_delay_max [get_ports {DB0_ADC_DA*}] +set_input_delay -clock DB0_ADC_DCLK -min $adc_in_delay_min [get_ports {DB0_ADC_DA*}] +set_input_delay -clock DB0_ADC_DCLK -max $adc_in_delay_max -clock_fall -add_delay [get_ports {DB0_ADC_DA*}] +set_input_delay -clock DB0_ADC_DCLK -min $adc_in_delay_min -clock_fall -add_delay [get_ports {DB0_ADC_DA*}] + +set_input_delay -clock DB0_ADC_DCLK -max $adc_in_delay_max [get_ports {DB0_ADC_DB*}] +set_input_delay -clock DB0_ADC_DCLK -min $adc_in_delay_min [get_ports {DB0_ADC_DB*}] +set_input_delay -clock DB0_ADC_DCLK -max $adc_in_delay_max -clock_fall -add_delay [get_ports {DB0_ADC_DB*}] +set_input_delay -clock DB0_ADC_DCLK -min $adc_in_delay_min -clock_fall -add_delay [get_ports {DB0_ADC_DB*}] + +set_input_delay -clock DB1_ADC_DCLK -max $adc_in_delay_max [get_ports {DB1_ADC_DA*}] +set_input_delay -clock DB1_ADC_DCLK -min $adc_in_delay_min [get_ports {DB1_ADC_DA*}] +set_input_delay -clock DB1_ADC_DCLK -max $adc_in_delay_max -clock_fall -add_delay [get_ports {DB1_ADC_DA*}] +set_input_delay -clock DB1_ADC_DCLK -min $adc_in_delay_min -clock_fall -add_delay [get_ports {DB1_ADC_DA*}] + +set_input_delay -clock DB1_ADC_DCLK -max $adc_in_delay_max [get_ports {DB1_ADC_DB*}] +set_input_delay -clock DB1_ADC_DCLK -min $adc_in_delay_min [get_ports {DB1_ADC_DB*}] +set_input_delay -clock DB1_ADC_DCLK -max $adc_in_delay_max -clock_fall -add_delay [get_ports {DB1_ADC_DB*}] +set_input_delay -clock DB1_ADC_DCLK -min $adc_in_delay_min -clock_fall -add_delay [get_ports {DB1_ADC_DB*}] + +# We use a simple synchronizer to cross ADC data over from the ADC_CLK domain to the radio_clk domain +# Use max delay constraints to ensure that the transition happens safely +set_min_delay 0.700 -from [get_cells {cap_db0/gen_lvds_pins[*].iddr_i}] \ + -to [get_cells {cap_db0/adc_data_rclk_reg*[*]}] +set_min_delay 0.700 -from [get_cells {cap_db1/gen_lvds_pins[*].iddr_i}] \ + -to [get_cells {cap_db1/adc_data_rclk_reg*[*]}] +set_max_delay -datapath_only 0.950 -from [get_cells {cap_db0/gen_lvds_pins[*].iddr_i}] \ + -to [get_cells {cap_db0/adc_data_rclk_reg*[*]}] +set_max_delay -datapath_only 0.950 -from [get_cells {cap_db1/gen_lvds_pins[*].iddr_i}] \ + -to [get_cells {cap_db1/adc_data_rclk_reg*[*]}] + +# We also need to location constrain the first flops in the synchronizer to help the tools +# meet timing reliably + +# ADC0 +set_property BEL A5FF [get_cells {cap_db0/adc_data_rclk_reg*[0]}] +set_property LOC SLICE_X1Y192 [get_cells {cap_db0/adc_data_rclk_reg*[0]}] +set_property BEL B5FF [get_cells {cap_db0/adc_data_rclk_reg*[1]}] +set_property LOC SLICE_X1Y192 [get_cells {cap_db0/adc_data_rclk_reg*[1]}] +set_property BEL AFF [get_cells {cap_db0/adc_data_rclk_reg*[2]}] +set_property LOC SLICE_X1Y190 [get_cells {cap_db0/adc_data_rclk_reg*[2]}] +set_property BEL BFF [get_cells {cap_db0/adc_data_rclk_reg*[3]}] +set_property LOC SLICE_X1Y190 [get_cells {cap_db0/adc_data_rclk_reg*[3]}] +set_property BEL A5FF [get_cells {cap_db0/adc_data_rclk_reg*[4]}] +set_property LOC SLICE_X1Y188 [get_cells {cap_db0/adc_data_rclk_reg*[4]}] +set_property BEL B5FF [get_cells {cap_db0/adc_data_rclk_reg*[5]}] +set_property LOC SLICE_X1Y188 [get_cells {cap_db0/adc_data_rclk_reg*[5]}] +set_property BEL A5FF [get_cells {cap_db0/adc_data_rclk_reg*[6]}] +set_property LOC SLICE_X1Y186 [get_cells {cap_db0/adc_data_rclk_reg*[6]}] +set_property BEL B5FF [get_cells {cap_db0/adc_data_rclk_reg*[7]}] +set_property LOC SLICE_X1Y186 [get_cells {cap_db0/adc_data_rclk_reg*[7]}] +set_property BEL A5FF [get_cells {cap_db0/adc_data_rclk_reg*[8]}] +set_property LOC SLICE_X1Y184 [get_cells {cap_db0/adc_data_rclk_reg*[8]}] +set_property BEL B5FF [get_cells {cap_db0/adc_data_rclk_reg*[9]}] +set_property LOC SLICE_X1Y184 [get_cells {cap_db0/adc_data_rclk_reg*[9]}] +set_property BEL A5FF [get_cells {cap_db0/adc_data_rclk_reg*[10]}] +set_property LOC SLICE_X1Y182 [get_cells {cap_db0/adc_data_rclk_reg*[10]}] +set_property BEL B5FF [get_cells {cap_db0/adc_data_rclk_reg*[11]}] +set_property LOC SLICE_X1Y182 [get_cells {cap_db0/adc_data_rclk_reg*[11]}] +set_property BEL A5FF [get_cells {cap_db0/adc_data_rclk_reg*[12]}] +set_property LOC SLICE_X1Y180 [get_cells {cap_db0/adc_data_rclk_reg*[12]}] +set_property BEL B5FF [get_cells {cap_db0/adc_data_rclk_reg*[13]}] +set_property LOC SLICE_X1Y180 [get_cells {cap_db0/adc_data_rclk_reg*[13]}] +set_property BEL A5FF [get_cells {cap_db0/adc_data_rclk_reg*[14]}] +set_property LOC SLICE_X1Y178 [get_cells {cap_db0/adc_data_rclk_reg*[14]}] +set_property BEL B5FF [get_cells {cap_db0/adc_data_rclk_reg*[15]}] +set_property LOC SLICE_X1Y178 [get_cells {cap_db0/adc_data_rclk_reg*[15]}] +set_property BEL A5FF [get_cells {cap_db0/adc_data_rclk_reg*[16]}] +set_property LOC SLICE_X1Y174 [get_cells {cap_db0/adc_data_rclk_reg*[16]}] +set_property BEL B5FF [get_cells {cap_db0/adc_data_rclk_reg*[17]}] +set_property LOC SLICE_X1Y174 [get_cells {cap_db0/adc_data_rclk_reg*[17]}] +set_property BEL AFF [get_cells {cap_db0/adc_data_rclk_reg*[18]}] +set_property LOC SLICE_X1Y172 [get_cells {cap_db0/adc_data_rclk_reg*[18]}] +set_property BEL BFF [get_cells {cap_db0/adc_data_rclk_reg*[19]}] +set_property LOC SLICE_X1Y172 [get_cells {cap_db0/adc_data_rclk_reg*[19]}] +set_property BEL A5FF [get_cells {cap_db0/adc_data_rclk_reg*[20]}] +set_property LOC SLICE_X1Y218 [get_cells {cap_db0/adc_data_rclk_reg*[20]}] +set_property BEL B5FF [get_cells {cap_db0/adc_data_rclk_reg*[21]}] +set_property LOC SLICE_X1Y218 [get_cells {cap_db0/adc_data_rclk_reg*[21]}] +set_property BEL A5FF [get_cells {cap_db0/adc_data_rclk_reg*[22]}] +set_property LOC SLICE_X1Y198 [get_cells {cap_db0/adc_data_rclk_reg*[22]}] +set_property BEL B5FF [get_cells {cap_db0/adc_data_rclk_reg*[23]}] +set_property LOC SLICE_X1Y198 [get_cells {cap_db0/adc_data_rclk_reg*[23]}] +set_property BEL AFF [get_cells {cap_db0/adc_data_rclk_reg*[24]}] +set_property LOC SLICE_X1Y196 [get_cells {cap_db0/adc_data_rclk_reg*[24]}] +set_property BEL BFF [get_cells {cap_db0/adc_data_rclk_reg*[25]}] +set_property LOC SLICE_X1Y196 [get_cells {cap_db0/adc_data_rclk_reg*[25]}] +set_property BEL A5FF [get_cells {cap_db0/adc_data_rclk_reg*[26]}] +set_property LOC SLICE_X1Y194 [get_cells {cap_db0/adc_data_rclk_reg*[26]}] +set_property BEL B5FF [get_cells {cap_db0/adc_data_rclk_reg*[27]}] +set_property LOC SLICE_X1Y194 [get_cells {cap_db0/adc_data_rclk_reg*[27]}] + +# ADC1 +set_property BEL A5FF [get_cells {cap_db1/adc_data_rclk_reg*[0]}] +set_property LOC SLICE_X1Y298 [get_cells {cap_db1/adc_data_rclk_reg*[0]}] +set_property BEL B5FF [get_cells {cap_db1/adc_data_rclk_reg*[1]}] +set_property LOC SLICE_X1Y298 [get_cells {cap_db1/adc_data_rclk_reg*[1]}] +set_property BEL A5FF [get_cells {cap_db1/adc_data_rclk_reg*[2]}] +set_property LOC SLICE_X1Y284 [get_cells {cap_db1/adc_data_rclk_reg*[2]}] +set_property BEL B5FF [get_cells {cap_db1/adc_data_rclk_reg*[3]}] +set_property LOC SLICE_X1Y284 [get_cells {cap_db1/adc_data_rclk_reg*[3]}] +set_property BEL A5FF [get_cells {cap_db1/adc_data_rclk_reg*[4]}] +set_property LOC SLICE_X1Y288 [get_cells {cap_db1/adc_data_rclk_reg*[4]}] +set_property BEL B5FF [get_cells {cap_db1/adc_data_rclk_reg*[5]}] +set_property LOC SLICE_X1Y288 [get_cells {cap_db1/adc_data_rclk_reg*[5]}] +set_property BEL A5FF [get_cells {cap_db1/adc_data_rclk_reg*[6]}] +set_property LOC SLICE_X1Y282 [get_cells {cap_db1/adc_data_rclk_reg*[6]}] +set_property BEL B5FF [get_cells {cap_db1/adc_data_rclk_reg*[7]}] +set_property LOC SLICE_X1Y282 [get_cells {cap_db1/adc_data_rclk_reg*[7]}] +set_property BEL AFF [get_cells {cap_db1/adc_data_rclk_reg*[8]}] +set_property LOC SLICE_X1Y296 [get_cells {cap_db1/adc_data_rclk_reg*[8]}] +set_property BEL BFF [get_cells {cap_db1/adc_data_rclk_reg*[9]}] +set_property LOC SLICE_X1Y296 [get_cells {cap_db1/adc_data_rclk_reg*[9]}] +set_property BEL A5FF [get_cells {cap_db1/adc_data_rclk_reg*[10]}] +set_property LOC SLICE_X1Y280 [get_cells {cap_db1/adc_data_rclk_reg*[10]}] +set_property BEL B5FF [get_cells {cap_db1/adc_data_rclk_reg*[11]}] +set_property LOC SLICE_X1Y280 [get_cells {cap_db1/adc_data_rclk_reg*[11]}] +set_property BEL A5FF [get_cells {cap_db1/adc_data_rclk_reg*[12]}] +set_property LOC SLICE_X1Y286 [get_cells {cap_db1/adc_data_rclk_reg*[12]}] +set_property BEL B5FF [get_cells {cap_db1/adc_data_rclk_reg*[13]}] +set_property LOC SLICE_X1Y286 [get_cells {cap_db1/adc_data_rclk_reg*[13]}] +set_property BEL AFF [get_cells {cap_db1/adc_data_rclk_reg*[14]}] +set_property LOC SLICE_X1Y274 [get_cells {cap_db1/adc_data_rclk_reg*[14]}] +set_property BEL BFF [get_cells {cap_db1/adc_data_rclk_reg*[15]}] +set_property LOC SLICE_X1Y274 [get_cells {cap_db1/adc_data_rclk_reg*[15]}] +set_property BEL A5FF [get_cells {cap_db1/adc_data_rclk_reg*[16]}] +set_property LOC SLICE_X1Y272 [get_cells {cap_db1/adc_data_rclk_reg*[16]}] +set_property BEL B5FF [get_cells {cap_db1/adc_data_rclk_reg*[17]}] +set_property LOC SLICE_X1Y272 [get_cells {cap_db1/adc_data_rclk_reg*[17]}] +set_property BEL AFF [get_cells {cap_db1/adc_data_rclk_reg*[18]}] +set_property LOC SLICE_X1Y290 [get_cells {cap_db1/adc_data_rclk_reg*[18]}] +set_property BEL BFF [get_cells {cap_db1/adc_data_rclk_reg*[19]}] +set_property LOC SLICE_X1Y290 [get_cells {cap_db1/adc_data_rclk_reg*[19]}] +set_property BEL A5FF [get_cells {cap_db1/adc_data_rclk_reg*[20]}] +set_property LOC SLICE_X1Y342 [get_cells {cap_db1/adc_data_rclk_reg*[20]}] +set_property BEL B5FF [get_cells {cap_db1/adc_data_rclk_reg*[21]}] +set_property LOC SLICE_X1Y342 [get_cells {cap_db1/adc_data_rclk_reg*[21]}] +set_property BEL A5FF [get_cells {cap_db1/adc_data_rclk_reg*[22]}] +set_property LOC SLICE_X1Y294 [get_cells {cap_db1/adc_data_rclk_reg*[22]}] +set_property BEL B5FF [get_cells {cap_db1/adc_data_rclk_reg*[23]}] +set_property LOC SLICE_X1Y294 [get_cells {cap_db1/adc_data_rclk_reg*[23]}] +set_property BEL A5FF [get_cells {cap_db1/adc_data_rclk_reg*[24]}] +set_property LOC SLICE_X1Y268 [get_cells {cap_db1/adc_data_rclk_reg*[24]}] +set_property BEL B5FF [get_cells {cap_db1/adc_data_rclk_reg*[25]}] +set_property LOC SLICE_X1Y268 [get_cells {cap_db1/adc_data_rclk_reg*[25]}] +set_property BEL A5FF [get_cells {cap_db1/adc_data_rclk_reg*[26]}] +set_property LOC SLICE_X1Y292 [get_cells {cap_db1/adc_data_rclk_reg*[26]}] +set_property BEL B5FF [get_cells {cap_db1/adc_data_rclk_reg*[27]}] +set_property LOC SLICE_X1Y292 [get_cells {cap_db1/adc_data_rclk_reg*[27]}] + +# IODELAY constraints +set_property IODELAY_GROUP ADC_CAP_IODELAY_GRP [get_cells adc_cap_idelayctrl_i] +set_property IODELAY_GROUP ADC_CAP_IODELAY_GRP [get_cells {cap_db0/gen_lvds_pins[*].idelay_i}] +set_property IODELAY_GROUP ADC_CAP_IODELAY_GRP [get_cells {cap_db1/gen_lvds_pins[*].idelay_i}] + + +#******************************************************************************* +## DAC Interface + +# DCI System-Sync Timing + +# The DCI clock driven to the DACs must obey setup and hold timing with respect to +# the reference clock driven to the DACs (same as the FPGA_CLK, driven by the LMK). +# Define the minimum and maximum clock propagation delays through the FPGA in order to +# meet this system-wide timing. +set dac0_clk_offset_out_max 1.350 +set dac0_clk_offset_out_min 0.225 +set dac1_clk_offset_out_max 1.350 +set dac1_clk_offset_out_min 0.225 + +# The absolute latest the DCI clock should change is the sum of the maximum delay through +# the FPGA and the latest the sourcing clock (FPGA_CLK) can arrive at the FPGA. This is an +# artifact of the set_clock_latency constraints and doing system-wide timing. Typically, +# these Early/Late delays are automatically compensated for by the analyzer. However this +# is only the case for signals that start and end in the same PRIMARY clock domain. In +# our case, VIRT_DAC_CLK and radio_clk are not the same clock domain and +# therefore we have to manually remove the added Early/Late values from analysis. +set dac0_dci_out_delay_max [expr $dac0_clk_offset_out_max + $var_fpga_clk_delay + $var_fpga_clk_skew/2] +set dac0_dci_out_delay_min [expr $dac0_clk_offset_out_min + $var_fpga_clk_delay - $var_fpga_clk_skew/2] +set dac1_dci_out_delay_max [expr $dac1_clk_offset_out_max + $var_fpga_clk_delay + $var_fpga_clk_skew/2] +set dac1_dci_out_delay_min [expr $dac1_clk_offset_out_min + $var_fpga_clk_delay - $var_fpga_clk_skew/2] + +# The min set_output_delay is the earliest the DCI clock should change BEFORE the current +# edge of interest. Here it is inverted (negated) because the earliest the clock should +# change is dac0_dci_out_delay_min AFTER the launch edge of the virtual clock. +set_output_delay -clock VIRT_DAC_CLK -min [expr - $dac0_dci_out_delay_min] [get_ports {DB0_DAC_DCI_*}] +set_output_delay -clock VIRT_DAC_CLK -min [expr - $dac0_dci_out_delay_min] -clock_fall -add_delay [get_ports {DB0_DAC_DCI_*}] +set_output_delay -clock VIRT_DAC_CLK -min [expr - $dac1_dci_out_delay_min] [get_ports {DB1_DAC_DCI_*}] +set_output_delay -clock VIRT_DAC_CLK -min [expr - $dac1_dci_out_delay_min] -clock_fall -add_delay [get_ports {DB1_DAC_DCI_*}] + +# The max set_output_delay is the time the data should be stable before the next +# edge of interest. Since we are DDR, this is the falling edge. Hence we subtract +# latest time the data should change, dac0_dci_out_delay_max, from the falling edge +# time, dci_period/2 = 1.25ns. +set_output_delay -clock VIRT_DAC_CLK -max [expr 1.25 - $dac0_dci_out_delay_max] [get_ports {DB0_DAC_DCI_*}] +set_output_delay -clock VIRT_DAC_CLK -max [expr 1.25 - $dac0_dci_out_delay_max] -clock_fall -add_delay [get_ports {DB0_DAC_DCI_*}] +set_output_delay -clock VIRT_DAC_CLK -max [expr 1.25 - $dac1_dci_out_delay_max] [get_ports {DB1_DAC_DCI_*}] +set_output_delay -clock VIRT_DAC_CLK -max [expr 1.25 - $dac1_dci_out_delay_max] -clock_fall -add_delay [get_ports {DB1_DAC_DCI_*}] + + +# Data to DCI Source-Sync Timing + +# The data setup and hold values must be modified in order to pass timing in +# the FPGA. The correct values are 0.270 and 0.090 for setup and hold, respectively. +# The interface fails by around 390 ps in both directions, so we subtract the failing +# amount from the actual amount to get a passing constraint. +# NOTE: Any changes to the adjustment margin below would need to be validated over +# multiple builds, process and temperature. Try not to change it! +set dac_data_setup 0.270 +set dac_data_hold 0.090 +set dac_setup_adj 0.390 +set dac_hold_adj 0.390 + +# These are real trace delays from the timing spreadsheet. Note that we are assuming +# no variability in our clock delay. +set dac0_data_delay_max 1.036 +set dac0_data_delay_min 0.898 +set dac0_clk_delay_max 0.974 +set dac0_clk_delay_min 0.974 + +set dac1_data_delay_max 0.941 +set dac1_data_delay_min 0.833 +set dac1_clk_delay_max 0.930 +set dac1_clk_delay_min 0.930 + +set dac0_out_delay_max [expr $dac0_data_delay_max - $dac0_clk_delay_min + $dac_data_setup - $dac_setup_adj] +set dac0_out_delay_min [expr $dac0_data_delay_min - $dac0_clk_delay_max - $dac_data_hold + $dac_hold_adj] +set dac1_out_delay_max [expr $dac1_data_delay_max - $dac1_clk_delay_min + $dac_data_setup - $dac_setup_adj] +set dac1_out_delay_min [expr $dac1_data_delay_min - $dac1_clk_delay_max - $dac_data_hold + $dac_hold_adj] + +set_output_delay -clock [get_clocks DB0_DAC_DCI] -max $dac0_out_delay_max [get_ports -regexp {DB0_DAC_D._. DB0_DAC_FRAME_.}] +set_output_delay -clock [get_clocks DB0_DAC_DCI] -max $dac0_out_delay_max -clock_fall -add_delay [get_ports -regexp {DB0_DAC_D._. DB0_DAC_FRAME_.}] +set_output_delay -clock [get_clocks DB0_DAC_DCI] -min $dac0_out_delay_min [get_ports -regexp {DB0_DAC_D._. DB0_DAC_FRAME_.}] +set_output_delay -clock [get_clocks DB0_DAC_DCI] -min $dac0_out_delay_min -clock_fall -add_delay [get_ports -regexp {DB0_DAC_D._. DB0_DAC_FRAME_.}] + +set_output_delay -clock [get_clocks DB1_DAC_DCI] -max $dac1_out_delay_max [get_ports -regexp {DB1_DAC_D._. DB1_DAC_FRAME_.}] +set_output_delay -clock [get_clocks DB1_DAC_DCI] -max $dac1_out_delay_max -clock_fall -add_delay [get_ports -regexp {DB1_DAC_D._. DB1_DAC_FRAME_.}] +set_output_delay -clock [get_clocks DB1_DAC_DCI] -min $dac1_out_delay_min [get_ports -regexp {DB1_DAC_D._. DB1_DAC_FRAME_.}] +set_output_delay -clock [get_clocks DB1_DAC_DCI] -min $dac1_out_delay_min -clock_fall -add_delay [get_ports -regexp {DB1_DAC_D._. DB1_DAC_FRAME_.}] + + +#******************************************************************************* +## IoPort2 + +# Constrain the location of the IDELAYCTERL associated with the interface trainer IDELAYs +set_property LOC IDELAYCTRL_X1Y0 [get_cells lvfpga_chinch_inst/IDELAYCTRLx] + +# RX Pad Input constraints +set_input_delay -clock [get_clocks IoRxClock] -max 2.580 [get_ports {irIoRx*}] +set_input_delay -clock [get_clocks IoRxClock] -min 2.280 [get_ports {irIoRx*}] +set_input_delay -clock [get_clocks IoRxClock] -max 2.580 -clock_fall -add_delay [get_ports {irIoRx*}] +set_input_delay -clock [get_clocks IoRxClock] -min 2.280 -clock_fall -add_delay [get_ports {irIoRx*}] + +# Note: The input clock N-Side ISERDES is not constrained for IO timing since +# adding an input delay does not work as the clock and data are the same. +# Since the architecture requires dedicated routes, the build-to-build +# variablilty will be zero and therefore, no separate timing constraint +# is necessary for the N-Side pin. The RxClock delay is constrained because +# of the input delay constraints on the rest of the bus. This path does, however, +# require a max delay constraint in order to override the default analysis: +set_max_delay -from [get_ports {IoRxClock*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2Wrapperx/RxClockGenx/RxClockSerdes*}] \ + 2.0 -datapath_only + +# TX Pad Output constraints +set_output_delay -clock [get_clocks IoTxClock] -max 1.600 [get_ports {itIoTx*}] +set_output_delay -clock [get_clocks IoTxClock] -min 0.400 [get_ports {itIoTx*}] +set_output_delay -clock [get_clocks IoTxClock] -max 1.600 -clock_fall -add_delay [get_ports {itIoTx*}] +set_output_delay -clock [get_clocks IoTxClock] -min 0.400 -clock_fall -add_delay [get_ports {itIoTx*}] + +# These signals are all treated as async signals so no stringent timing requirements are needed. +set_max_delay -to [get_ports aIrq*] 10.000 +set_max_delay -from [get_ports aIoResetIn_n] 10.000 +set_max_delay -from [get_ports aIoReadyIn] 10.000 +set_max_delay -to [get_ports aIoReadyOut] 10.000 +set_max_delay -to [get_ports aIoPort2Restart] 10.000 +set_false_path -from [get_ports aStc3Gpio7] + +# Async reset +set_false_path -from [get_cells -hier -filter {NAME =~ lvfpga_chinch_inst/*StartupFsmx/aResetLcl*}] + +# Double Sync +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2Wrapperx/tIoResetSync/DoubleSyncBasex/iDlySig*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2Wrapperx/tIoResetSync/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_ms*}] \ + 6.0 -datapath_only +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2Wrapperx/bIoResetAckSync/DoubleSyncBasex/iDlySig*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2Wrapperx/bIoResetAckSync/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_ms*}] \ + 6.0 -datapath_only + +# Constrains HandshakeSLVx and IClkToPushClkHs in ControlIoDelayClockCross +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPortClkDelayTrainerx/*ControlIoDelayClockCrossx/*/HBx/*iLclStoredData*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPortClkDelayTrainerx/*ControlIoDelayClockCrossx/*/HBx/*ODataFlop*}] \ + 8.0 -datapath_only +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPortClkDelayTrainerx/*ControlIoDelayClockCrossx/*/HBx/iPushToggle}] \ + -to [get_cells -hier -filter {NAME =~ *IoPortClkDelayTrainerx/*ControlIoDelayClockCrossx/*/HBx/BlkOut.oPushToggle0_ms*}] \ + 4.0 -datapath_only +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPortClkDelayTrainerx/*ControlIoDelayClockCrossx/*/HBx/BlkOut.oPushToggle0_ms*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPortClkDelayTrainerx/*ControlIoDelayClockCrossx/*/HBx/BlkOut.oPushToggle1*}] \ + 4.0 + +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPortClkDelayTrainerx/*ControlIoDelayClockCrossx/*/HBx/*oPushToggleToReady*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPortClkDelayTrainerx/*ControlIoDelayClockCrossx/*/HBx/*iRdyPushToggle_ms*}] \ + 4.0 -datapath_only +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPortClkDelayTrainerx/*ControlIoDelayClockCrossx/*/HBx/*iRdyPushToggle_ms*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPortClkDelayTrainerx/*ControlIoDelayClockCrossx/*/HBx/*iRdyPushToggle*}] \ + 4.0 + +# SamplerResultsHandshake and SamplerControlHandshake +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPortClkDelayTrainerx/ClockSamplerBlock.Sampler*Handshake/HBx/*iLclStoredData*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPortClkDelayTrainerx/ClockSamplerBlock.Sampler*Handshake/HBx/*ODataFlop*}] \ + 6.0 -datapath_only +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPortClkDelayTrainerx/ClockSamplerBlock.Sampler*Handshake/HBx/iPushToggle}] \ + -to [get_cells -hier -filter {NAME =~ *IoPortClkDelayTrainerx/ClockSamplerBlock.Sampler*Handshake/HBx/BlkOut.oPushToggle0_ms*}] \ + 4.0 -datapath_only +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPortClkDelayTrainerx/ClockSamplerBlock.Sampler*Handshake/HBx/BlkOut.oPushToggle0_ms*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPortClkDelayTrainerx/ClockSamplerBlock.Sampler*Handshake/HBx/BlkOut.oPushToggle1*}] \ + 4.0 +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPortClkDelayTrainerx/ClockSamplerBlock.Sampler*Handshake/HBx/*oPushToggleToReady*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPortClkDelayTrainerx/ClockSamplerBlock.Sampler*Handshake/HBx/*iRdyPushToggle_ms*}] \ + 4.0 -datapath_only +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPortClkDelayTrainerx/ClockSamplerBlock.Sampler*Handshake/HBx/*iRdyPushToggle_ms*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPortClkDelayTrainerx/ClockSamplerBlock.Sampler*Handshake/HBx/*iRdyPushToggle*}] \ + 4.0 + +# Constrain PhyResetSync PulseSync +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPortClkDelayTrainerx/TrainerBlock.PhyResetSync/PulseSyncBasex/iHoldSigInx*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPortClkDelayTrainerx/TrainerBlock.PhyResetSync/PulseSyncBasex/oHoldSigIn_msx*}] \ + 4.0 -datapath_only +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPortClkDelayTrainerx/TrainerBlock.PhyResetSync/PulseSyncBasex/oHoldSigIn_msx*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPortClkDelayTrainerx/TrainerBlock.PhyResetSync/PulseSyncBasex/oLocalSigOutCEx*}] \ + 4.0 +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPortClkDelayTrainerx/TrainerBlock.PhyResetSync/PulseSyncBasex/oLocalSigOutCEx*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPortClkDelayTrainerx/TrainerBlock.PhyResetSync/PulseSyncBasex/iSigOut_msx*}] \ + 4.0 -datapath_only +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPortClkDelayTrainerx/TrainerBlock.PhyResetSync/PulseSyncBasex/iSigOut_msx*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPortClkDelayTrainerx/TrainerBlock.PhyResetSync/PulseSyncBasex/iSigOutx*}] \ + 4.0 + +# IoPort2 Core Clock Crossings +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2x/IoPort2Basex/ReceiveSide.IoPort2Receiverx/PacketReceivedDoublesync*iDlySigx*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2x/IoPort2Basex/ReceiveSide.IoPort2Receiverx/PacketReceivedDoublesync*DoubleSyncAsyncInBasex*}] \ + 6.0 -datapath_only +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2x/IoPort2Basex/ReceiveSide.IoPort2Receiverx/PacketReceivedDoublesync*DoubleSyncAsyncInBasex/oSig_msx*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2x/IoPort2Basex/ReceiveSide.IoPort2Receiverx/PacketReceivedDoublesync*DoubleSyncAsyncInBasex/oSigx*}] \ + 6.0 + +# Handshake +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2x/IoPort2Basex/ReceiveSide.IoReceiveFifoBasex/CreditManager*/HBx/*iLclStoredData*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2x/IoPort2Basex/ReceiveSide.IoReceiveFifoBasex/CreditManager*/HBx/*ODataFlop*}] \ + 10.0 -datapath_only +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2x/IoPort2Basex/ReceiveSide.IoReceiveFifoBasex/CreditManager*/HBx/iPushToggle}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2x/IoPort2Basex/ReceiveSide.IoReceiveFifoBasex/CreditManager*/HBx/BlkOut.oPushToggle0_ms*}] \ + 6.0 -datapath_only +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2x/IoPort2Basex/ReceiveSide.IoReceiveFifoBasex/CreditManager*/HBx/BlkOut.oPushToggle0_ms*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2x/IoPort2Basex/ReceiveSide.IoReceiveFifoBasex/CreditManager*/HBx/BlkOut.oPushToggle1*}] \ + 4.0 +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2x/IoPort2Basex/ReceiveSide.IoReceiveFifoBasex/CreditManager*/HBx/*oPushToggleToReady*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2x/IoPort2Basex/ReceiveSide.IoReceiveFifoBasex/CreditManager*/HBx/*iRdyPushToggle_ms*}] \ + 6.0 -datapath_only +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2x/IoPort2Basex/ReceiveSide.IoReceiveFifoBasex/CreditManager*/HBx/*iRdyPushToggle_ms*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2x/IoPort2Basex/ReceiveSide.IoReceiveFifoBasex/CreditManager*/HBx/*iRdyPushToggle*}] \ + 4.0 + +# FIFO Clock Crossings +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2x/IoPort2Basex/ReceiveSide.IoReceiveFifoBasex/FifoFlags/ieInputCountGrayx*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2x/IoPort2Basex/ReceiveSide.IoReceiveFifoBasex/FifoFlags/oInputCountGray_msx*}] \ + 5.0 -datapath_only +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2x/IoPort2Basex/ReceiveSide.IoReceiveFifoBasex/FifoFlags/oInputCountGray_msx*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2x/IoPort2Basex/ReceiveSide.IoReceiveFifoBasex/FifoFlags/oInputCountGrayx*}] \ + 4.0 +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2x/IoPort2Basex/ReceiveSide.IoReceiveFifoBasex/PacketFullyReceived/ieInputCountGrayx*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2x/IoPort2Basex/ReceiveSide.IoReceiveFifoBasex/PacketFullyReceived/oInputCountGray_msx*}] \ + 5.0 -datapath_only +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2x/IoPort2Basex/ReceiveSide.IoReceiveFifoBasex/PacketFullyReceived/oInputCountGray_msx*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2x/IoPort2Basex/ReceiveSide.IoReceiveFifoBasex/PacketFullyReceived/oInputCountGrayx*}] \ + 4.0 +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2Basex/TransmitSide.IoTransmitFifox/TransmitFifo.PacketFullyReceived/ieInputCountGrayx*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2Basex/TransmitSide.IoTransmitFifox/TransmitFifo.PacketFullyReceived/oInputCountGray_msx*}] \ + 5.0 -datapath_only +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2Basex/TransmitSide.IoTransmitFifox/TransmitFifo.PacketFullyReceived/oInputCountGray_msx*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2Basex/TransmitSide.IoTransmitFifox/TransmitFifo.PacketFullyReceived/oInputCountGrayx*}] \ + 4.0 +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2Basex/TransmitSide.IoTransmitFifox/TransmitFifo.PacketFullyReceived/oeOutputCountGrayx*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2Basex/TransmitSide.IoTransmitFifox/TransmitFifo.PacketFullyReceived/iOutputCountGray_msx*}] \ + 5.0 -datapath_only +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2Basex/TransmitSide.IoTransmitFifox/TransmitFifo.PacketFullyReceived/iOutputCountGray_msx*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2Basex/TransmitSide.IoTransmitFifox/TransmitFifo.PacketFullyReceived/iOutputCountGrayx*}] \ + 4.0 +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2Basex/TransmitSide.IoTransmitFifox/TransmitFifo.InputFifo.FifoFlags/ieInputCountGrayx*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2Basex/TransmitSide.IoTransmitFifox/TransmitFifo.InputFifo.FifoFlags/oInputCountGray_msx*}] \ + 5.0 -datapath_only +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2Basex/TransmitSide.IoTransmitFifox/TransmitFifo.InputFifo.FifoFlags/oInputCountGray_msx*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2Basex/TransmitSide.IoTransmitFifox/TransmitFifo.InputFifo.FifoFlags/oInputCountGrayx*}] \ + 4.0 +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2Basex/TransmitSide.IoTransmitFifox/TransmitFifo.InputFifo.FifoFlags/oeOutputCountGrayx*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2Basex/TransmitSide.IoTransmitFifox/TransmitFifo.InputFifo.FifoFlags/iOutputCountGray_msx*}] \ + 5.0 -datapath_only +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2Basex/TransmitSide.IoTransmitFifox/TransmitFifo.InputFifo.FifoFlags/iOutputCountGray_msx*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2Basex/TransmitSide.IoTransmitFifox/TransmitFifo.InputFifo.FifoFlags/iOutputCountGrayx*}] \ + 4.0 +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2Basex/TransmitSide.IoTransmitFifox/TransmitFifo.InputFifo.FifoFlags/oeOutputCountGrayx*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2Basex/TransmitSide.IoTransmitFifox/TransmitFifo*DualPortRAMx*oDlyAddr*}] \ + 5.0 +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2Basex/TransmitSide.IoTransmitFifox/CreditManager.HandshakeCredits/HBx/*iLclStoredData*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2Basex/TransmitSide.IoTransmitFifox/CreditManager.HandshakeCredits/HBx/*ODataFlop*}] \ + 10.0 -datapath_only +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2Basex/TransmitSide.IoTransmitFifox/CreditManager.HandshakeCredits/HBx/iPushToggle}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2Basex/TransmitSide.IoTransmitFifox/CreditManager.HandshakeCredits/HBx/BlkOut.oPushToggle0_ms*}] \ + 6.0 -datapath_only +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2Basex/TransmitSide.IoTransmitFifox/CreditManager.HandshakeCredits/HBx/BlkOut.oPushToggle0_ms*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2Basex/TransmitSide.IoTransmitFifox/CreditManager.HandshakeCredits/HBx/BlkOut.oPushToggle1*}] \ + 4.0 +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2Basex/TransmitSide.IoTransmitFifox/CreditManager.HandshakeCredits/HBx/*oPushToggleToReady*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2Basex/TransmitSide.IoTransmitFifox/CreditManager.HandshakeCredits/HBx/*iRdyPushToggle_ms*}] \ + 6.0 -datapath_only +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2Basex/TransmitSide.IoTransmitFifox/CreditManager.HandshakeCredits/HBx/*iRdyPushToggle_ms*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2Basex/TransmitSide.IoTransmitFifox/CreditManager.HandshakeCredits/HBx/*iRdyPushToggle*}] \ + 4.0 + +# Double Sync +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2x/IoPort2Basex/Startup.DoubleSyncEnableTransmit/iDlySigx*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2x/IoPort2Basex/Startup.DoubleSyncEnableTransmit/*DoubleSyncAsyncInBasex/oSig_msx*}] \ + 6.0 -datapath_only +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2x/IoPort2Basex/Startup.DoubleSyncEnableTransmit/*DoubleSyncAsyncInBasex/oSig_msx*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2x/IoPort2Basex/Startup.DoubleSyncEnableTransmit/*DoubleSyncAsyncInBasex/oSigx*}] \ + 4.0 +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2Basex/DoubleSyncWidePortMode.DoubleSync*WidePortMode/iDlySigx*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2Basex/DoubleSyncWidePortMode.DoubleSync*WidePortMode/DoubleSyncAsyncInBasex*}] \ + 6.0 -datapath_only -quiet +set_max_delay -from [get_cells -hier -filter {NAME =~ *IoPort2Basex/DoubleSyncWidePortMode.DoubleSync*WidePortMode/DoubleSyncAsyncInBasex/oSig_msx*}] \ + -to [get_cells -hier -filter {NAME =~ *IoPort2Basex/DoubleSyncWidePortMode.DoubleSync*WidePortMode/DoubleSyncAsyncInBasex/oSigx*}] \ + 5.0 -quiet + + +#******************************************************************************* +## PPS Timing + +# Constrain delay from PPS input pins to the first stage synchronizer flip-flop +set_max_delay 5.000 -from [get_ports EXT_PPS_IN] \ + -to [get_pins -hier -filter {NAME =~ */pps_sync_refclk_inst/synchronizer_constrained/stages[0].value_reg[*]/D}] \ + -datapath_only +set_min_delay 2.500 -from [get_ports EXT_PPS_IN] \ + -to [get_pins -hier -filter {NAME =~ */pps_sync_refclk_inst/synchronizer_constrained/stages[0].value_reg[*]/D}] +set_max_delay 5.000 -from [get_ports GPS_PPS_OUT] \ + -to [get_pins -hier -filter {NAME =~ */pps_sync_refclk_inst/synchronizer_constrained/stages[0].value_reg[*]/D}] \ + -datapath_only +set_min_delay 2.500 -from [get_ports GPS_PPS_OUT] \ + -to [get_pins -hier -filter {NAME =~ */pps_sync_refclk_inst/synchronizer_constrained/stages[0].value_reg[*]/D}] + +# Constrain input-output delay for external PPS +set_max_delay 10.000 -from [get_ports EXT_PPS_IN] -to [get_ports {EXT_PPS_OUT}] -datapath_only +set_min_delay 5.000 -from [get_ports EXT_PPS_IN] -to [get_ports {EXT_PPS_OUT}] + +# Constrain delay to the first flop in radio_clk with about 1ns of slack +set_max_delay 6.500 -to [get_pins -hier -filter {NAME =~ */pps_sync_tbclk_inst/synchronizer_constrained/stages[0].value_reg[*]/D}] +set_min_delay 0.500 -to [get_pins -hier -filter {NAME =~ */pps_sync_tbclk_inst/synchronizer_constrained/stages[0].value_reg[*]/D}] + +#******************************************************************************* +## Miscellaneous Interfaces + +# Dboard and Front-Panel GPIO Interfaces +# We force the registers closest to the PADs into the IOB to achieve lowest skew between individual bits +# in the parallel bus. However, as a sanity check we add the following constraints that will fail if the +# registers don't get placed in the IOB for whatever reason. +set_max_delay 6.000 -to [get_ports * -filter {(DIRECTION == OUT || DIRECTION == INOUT) && NAME =~ "DB*_*X_IO*"}] +set_max_delay 3.000 -from [get_ports * -filter {(DIRECTION == IN || DIRECTION == INOUT) && NAME =~ "DB*_*X_IO*"}] +set_max_delay 6.000 -to [get_ports * -filter {(DIRECTION == OUT || DIRECTION == INOUT) && NAME =~ "FrontPanelGpio[*]"}] +set_max_delay 3.000 -from [get_ports * -filter {(DIRECTION == IN || DIRECTION == INOUT) && NAME =~ "FrontPanelGpio[*]"}] + +# SPI Lines +set_max_delay 10.000 -datapath_only \ + -from [get_ports {DB*_*X*MISO*}] +set_max_delay 10.000 -to [get_ports {DB*_*SCLK DB*_*SEN DB*_*MOSI}] +set_max_delay 10.000 -to [get_ports {DB_SCL DB_SDA DB0_DAC_ENABLE DB1_DAC_ENABLE DB_ADC_RESET DB_DAC_RESET}] +set_max_delay 10.000 -from [get_ports {DB_SCL DB_SDA DB_DAC_MOSI}] + +# Clock distribution chip control +set_max_delay -from [get_ports {LMK_Status[*] LMK_Holdover LMK_Lock LMK_Sync}] 10.000 +set_max_delay -to [get_ports {LMK_SEN LMK_MOSI LMK_SCLK}] 10.000 +set_max_delay -to [get_ports {ClockRefSelect*}] 10.000 +set_max_delay -to [get_ports {TCXO_ENA}] 10.000 + +# GPS UART +set_max_delay -from [get_ports {GPS_SER_OUT}] 6.000 +set_max_delay -to [get_ports {GPS_SER_IN}] 6.000 +set_max_delay -from [get_ports {GPS_LOCK_OK}] 25.000 + +# Reset paths +# All asynchronous resets must be held for at least 20ns +# which is 2+2 radio_clk cycles @200MHz or 2+2 bus_clk cycles @166MHz +set_max_delay -to [get_pins {int_reset_sync/reset_int*/PRE}] 12.000 +set_max_delay -to [get_pins {int_div2_reset_sync/reset_int*/PRE}] 12.000 +set_max_delay -to [get_pins {ce_reset_sync/reset_int*/PRE}] 12.000 +set_max_delay -to [get_pins {radio_reset_sync/reset_int*/PRE}] 10.000 + +#******************************************************************************* +## Asynchronous paths + +set_false_path -to [get_pins -hier -filter {NAME =~ */synchronizer_false_path/stages[0].value_reg[*]/D}] +set_false_path -to [get_ports LED_*] +set_false_path -to [get_ports {SFPP*_RS0 SFPP*_RS1 SFPP*_SCL SFPP*_SDA SFPP*_TxDisable}] +set_false_path -from [get_ports {SFPP*_ModAbs SFPP*_RxLOS SFPP*_SCL SFPP*_SDA SFPP*_TxFault}] +set_false_path -to [get_ports GPSDO_PWR_ENA] diff --git a/fpga/usrp3/top/x300/x300.v b/fpga/usrp3/top/x300/x300.v new file mode 100644 index 000000000..8fbfa39b9 --- /dev/null +++ b/fpga/usrp3/top/x300/x300.v @@ -0,0 +1,1483 @@ +/////////////////////////////////// +// +// Copyright 2016-2017 Ettus Research LLC +// Copyright 2017 Ettus Research, a National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// +// NOTE: A set of precompiler directives configure the features in an FPGA build +// and are listed here. These should be set exclusively using the Makefile mechanism provided. +// +// SFP0_10GBE - Ethernet Port0 is configured for 10G (default is 1G) +// SFP1_10GBE - Ethernet Port1 is configured for 10G (default is 1G) +// DEBUG_UART - Adds 115kbaud UART to GPIO pins 10 & 11 for firmware debug +// +/////////////////////////////////// + +//Defines `LVFPGA_IFACE constants +`include "../../lib/io_port2/LvFpga_Chinch_Interface.vh" + +module x300 + + ( + /////////////////////////////////// + // + // Clock sources for main FPGA clocks + // + /////////////////////////////////// + input FPGA_CLK_p, input FPGA_CLK_n, + input FPGA_125MHz_CLK, + + /////////////////////////////////// + // + // High Speed SPF+ signals and clocking + // + /////////////////////////////////// + +`ifdef BUILD_1G + input ETH_CLK_p, input ETH_CLK_n, +`endif + +`ifdef BUILD_10G + `define BUILD_10G_OR_AURORA +`endif +`ifdef BUILD_AURORA + `define BUILD_10G_OR_AURORA +`endif +`ifdef BUILD_10G_OR_AURORA + input XG_CLK_p, input XG_CLK_n, +`endif + + input SFP0_RX_p, input SFP0_RX_n, + output SFP0_TX_p, output SFP0_TX_n, + input SFP1_RX_p, input SFP1_RX_n, + output SFP1_TX_p, output SFP1_TX_n, + + /////////////////////////////////// + // + // DRAM Interface + // + /////////////////////////////////// + inout [31:0] ddr3_dq, // Data pins. Input for Reads, Output for Writes. + inout [3:0] ddr3_dqs_n, // Data Strobes. Input for Reads, Output for Writes. + inout [3:0] ddr3_dqs_p, + // + output [14:0] ddr3_addr, // Address + output [2:0] ddr3_ba, // Bank Address + output ddr3_ras_n, // Row Address Strobe. + output ddr3_cas_n, // Column address select + output ddr3_we_n, // Write Enable + output ddr3_reset_n, // SDRAM reset pin. + output [0:0] ddr3_ck_p, // Differential clock + output [0:0] ddr3_ck_n, + output [0:0] ddr3_cke, // Clock Enable + output [0:0] ddr3_cs_n, // Chip Select + output [3:0] ddr3_dm, // Data Mask [3] = UDM.U26, [2] = LDM.U26, ... + output [0:0] ddr3_odt, // On-Die termination enable. + // + input sys_clk_i, // 100MHz clock source to generate DDR3 clocking. + /////////////////////////////////// + // + // IOPORT2 + // + /////////////////////////////////// + + //-- The IO_Port2 asynchronous handshaking pins + input aIoResetIn_n, + output aIoReadyOut, + input aIoReadyIn, + output aIoPort2Restart, + input aStc3Gpio7, + + //-- The IO_Port2 high speed receiver pins + input IoRxClock, + input IoRxClock_n, + input [15:0] irIoRxData, + input [15:0] irIoRxData_n, + input irIoRxHeader, + input irIoRxHeader_n, + + //-- The IO_Port2 high speed transmitter interface pins + output IoTxClock, + output IoTxClock_n, + output [15:0] itIoTxData, + output [15:0] itIoTxData_n, + output itIoTxHeader, + output itIoTxHeader_n, + + output aIrq, + + /////////////////////////////////// + // + // ADC and DAC interfaces + // + /////////////////////////////////// + + input DB0_ADC_DCLK_P, input DB0_ADC_DCLK_N, + input DB0_ADC_DA0_P, input DB0_ADC_DA0_N, input DB0_ADC_DB0_P, input DB0_ADC_DB0_N, + input DB0_ADC_DA1_P, input DB0_ADC_DA1_N, input DB0_ADC_DB1_P, input DB0_ADC_DB1_N, + input DB0_ADC_DA2_P, input DB0_ADC_DA2_N, input DB0_ADC_DB2_P, input DB0_ADC_DB2_N, + input DB0_ADC_DA3_P, input DB0_ADC_DA3_N, input DB0_ADC_DB3_P, input DB0_ADC_DB3_N, + input DB0_ADC_DA4_P, input DB0_ADC_DA4_N, input DB0_ADC_DB4_P, input DB0_ADC_DB4_N, + input DB0_ADC_DA5_P, input DB0_ADC_DA5_N, input DB0_ADC_DB5_P, input DB0_ADC_DB5_N, + input DB0_ADC_DA6_P, input DB0_ADC_DA6_N, input DB0_ADC_DB6_P, input DB0_ADC_DB6_N, + + input DB1_ADC_DCLK_P, input DB1_ADC_DCLK_N, + input DB1_ADC_DA0_P, input DB1_ADC_DA0_N, input DB1_ADC_DB0_P, input DB1_ADC_DB0_N, + input DB1_ADC_DA1_P, input DB1_ADC_DA1_N, input DB1_ADC_DB1_P, input DB1_ADC_DB1_N, + input DB1_ADC_DA2_P, input DB1_ADC_DA2_N, input DB1_ADC_DB2_P, input DB1_ADC_DB2_N, + input DB1_ADC_DA3_P, input DB1_ADC_DA3_N, input DB1_ADC_DB3_P, input DB1_ADC_DB3_N, + input DB1_ADC_DA4_P, input DB1_ADC_DA4_N, input DB1_ADC_DB4_P, input DB1_ADC_DB4_N, + input DB1_ADC_DA5_P, input DB1_ADC_DA5_N, input DB1_ADC_DB5_P, input DB1_ADC_DB5_N, + input DB1_ADC_DA6_P, input DB1_ADC_DA6_N, input DB1_ADC_DB6_P, input DB1_ADC_DB6_N, + + output DB0_DAC_DCI_P, output DB0_DAC_DCI_N, + output DB0_DAC_FRAME_P, output DB0_DAC_FRAME_N, + output DB0_DAC_D0_P, output DB0_DAC_D0_N, output DB0_DAC_D1_P, output DB0_DAC_D1_N, + output DB0_DAC_D2_P, output DB0_DAC_D2_N, output DB0_DAC_D3_P, output DB0_DAC_D3_N, + output DB0_DAC_D4_P, output DB0_DAC_D4_N, output DB0_DAC_D5_P, output DB0_DAC_D5_N, + output DB0_DAC_D6_P, output DB0_DAC_D6_N, output DB0_DAC_D7_P, output DB0_DAC_D7_N, + output DB0_DAC_ENABLE, + + output DB1_DAC_DCI_P, output DB1_DAC_DCI_N, + output DB1_DAC_FRAME_P, output DB1_DAC_FRAME_N, + output DB1_DAC_D0_P, output DB1_DAC_D0_N, output DB1_DAC_D1_P, output DB1_DAC_D1_N, + output DB1_DAC_D2_P, output DB1_DAC_D2_N, output DB1_DAC_D3_P, output DB1_DAC_D3_N, + output DB1_DAC_D4_P, output DB1_DAC_D4_N, output DB1_DAC_D5_P, output DB1_DAC_D5_N, + output DB1_DAC_D6_P, output DB1_DAC_D6_N, output DB1_DAC_D7_P, output DB1_DAC_D7_N, + output DB1_DAC_ENABLE, + + output DB0_SCLK, output DB0_MOSI, + output DB0_ADC_SEN, output DB0_DAC_SEN, output DB0_TX_SEN, output DB0_RX_SEN, + output DB0_RX_LSADC_SEN, output DB0_RX_LSDAC_SEN, output DB0_TX_LSADC_SEN, output DB0_TX_LSDAC_SEN, + input DB0_RX_LSADC_MISO, input DB0_RX_MISO, input DB0_TX_LSADC_MISO, input DB0_TX_MISO, + + output DB1_SCLK, output DB1_MOSI, + output DB1_ADC_SEN, output DB1_DAC_SEN, output DB1_TX_SEN, output DB1_RX_SEN, + output DB1_RX_LSADC_SEN, output DB1_RX_LSDAC_SEN, output DB1_TX_LSADC_SEN, output DB1_TX_LSDAC_SEN, + input DB1_RX_LSADC_MISO, input DB1_RX_MISO, input DB1_TX_LSADC_MISO, input DB1_TX_MISO, + output DB_DAC_SCLK, inout DB_DAC_MOSI, + + output DB_ADC_RESET, output DB_DAC_RESET, + + inout DB_SCL, inout DB_SDA, + + /////////////////////////////////// + // + // GPIO/LEDS/Etc + // + /////////////////////////////////// + + inout [11:0] FrontPanelGpio, + + output LED_ACT1, output LED_ACT2, + output LED_LINK1, output LED_LINK2, + + output LED_PPS, output LED_REFLOCK, output LED_GPSLOCK, + output LED_LINKSTAT, output LED_LINKACT, + output LED_RX1_RX, output LED_RX2_RX, + output LED_TXRX1_RX, output LED_TXRX1_TX, + output LED_TXRX2_RX, output LED_TXRX2_TX, + inout [15:0] DB0_TX_IO, + inout [15:0] DB0_RX_IO, + inout [15:0] DB1_TX_IO, + inout [15:0] DB1_RX_IO, + + /////////////////////////////////// + // + // LMK CLock chip + // + /////////////////////////////////// + + input [1:0] LMK_Status, + input LMK_Holdover, + input LMK_Lock, + input LMK_Sync, //not used, we do soft sync + output LMK_SEN, output LMK_MOSI, output LMK_SCLK, + + /////////////////////////////////// + // + // GPSDO and Clock Refs + // + /////////////////////////////////// + + output [1:0] ClockRefSelect, + output GPS_SER_IN, input GPS_SER_OUT, + input GPS_PPS_OUT, input EXT_PPS_IN, + output EXT_PPS_OUT, input GPS_LOCK_OK, + output GPSDO_PWR_ENA, output TCXO_ENA, + + output CPRI_CLK_OUT_P, output CPRI_CLK_OUT_N, + + input FPGA_REFCLK_10MHz_p, input FPGA_REFCLK_10MHz_n, + + /////////////////////////////////// + // + // Supporting I/O for SPF+ interfaces + // (non high speed stuff) + // + /////////////////////////////////// + + inout SFPP0_SCL, inout SFPP0_SDA, + input SFPP0_ModAbs, + input SFPP0_RxLOS, // High if module asserts Loss of Signal + input SFPP0_TxFault, // Current 10G PMA/PCS apparently ignores this signal. + output SFPP0_RS0, // These are actually open drain outputs + output SFPP0_RS1, // CAUTION! Take great care, this signal shorted to VeeR on SFP module. + output SFPP0_TxDisable, // These are actually open drain outputs + + inout SFPP1_SCL, inout SFPP1_SDA, + input SFPP1_ModAbs, + input SFPP1_RxLOS, // High if module asserts Loss of Signal + input SFPP1_TxFault, // Current 10G PMA/PCS apparently ignores this signal. + output SFPP1_RS0, // These are actually open drain outputs + output SFPP1_RS1, // CAUTION! Take great care, this signal shorted to VeeR on SFP module. + output SFPP1_TxDisable, // These are actually open drain outputs + + /////////////////////////////////// + // + // Misc. + // + /////////////////////////////////// + + input FPGA_PUDC_B +); + + wire radio_clk, radio_clk_2x, dac_dci_clk; + wire global_rst, radio_rst, bus_rst, bus_rst_div2, ce_rst, adc_idlyctrl_rst; + wire [3:0] sw_rst; + wire [2:0] led0, led1; + + //////////////////////////////////////////////////////////////////// + // + // Generate Bus Clock and PCIe Clocks. + // Source clock comes from U19 which is fixed freq + // and bufferd to be used by STC3 also (Page17 schematics). + // + //////////////////////////////////////////////////////////////////// + + wire fpga_clk125, bus_clk, bus_clk_div2, ce_clk, ioport2_clk, rio40_clk, ioport2_idelay_ref_clk; + wire bus_clk_locked, rio40_clk_locked, rio40_clk_reset; + + IBUFG fpga_125MHz_clk_buf ( + .I(FPGA_125MHz_CLK), + .O(fpga_clk125)); + + //---------------------------------------------------------------------------- + // Output Output Phase Duty Cycle Pk-to-Pk Phase + // Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) + //---------------------------------------------------------------------------- + // CLK_OUT1___187.500______0.000______50.0_______85.263_____73.940 + // CLK_OUT2___125.000______0.000______50.0_______91.831_____73.940 + // CLK_OUT3____93.750______0.000______50.0_______96.813_____73.940 + // CLK_OUT4___214.286______0.000______50.0_______83.210_____73.940 + // + //---------------------------------------------------------------------------- + // Input Clock Freq (MHz) Input Jitter (UI) + //---------------------------------------------------------------------------- + // __primary_________125.000____________0.010 + + localparam BUS_CLK_RATE = 32'd187500000; + + wire ioport2_clk_unbuf; + + bus_clk_gen bus_clk_gen ( + .CLK_IN1(fpga_clk125), + .CLKFB_IN(ioport2_clk), + .CLK_OUT1(bus_clk), + .CLK_OUT2_UNBUF(/* unused */), //This exists to make the IP generate a 125MHz FB clock + .CLK_OUT3(bus_clk_div2), //bus_clk divided by 2. used by sc/zpu + .CLK_OUT4(ce_clk), + .CLKFB_OUT(ioport2_clk_unbuf), + .LOCKED(bus_clk_locked)); + + BUFG ioport2_clk_bufg_i ( + .O(ioport2_clk), + .I(ioport2_clk_unbuf)); + + //---------------------------------------------------------------------------- + // Output Output Phase Duty Cycle Pk-to-Pk Phase + // Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) + //---------------------------------------------------------------------------- + // CLK_OUT1____40.000______0.000______50.0______353.417_____96.948 + // CLK_OUT2___200.000______0.000______50.0______192.299_____96.948 + // + //---------------------------------------------------------------------------- + // Input Clock Freq (MHz) Input Jitter (UI) + //---------------------------------------------------------------------------- + // __primary_________125.000____________0.100 + + //rio40_clk and ioport2_idelay_ref_clk cannot share a PLL/MMCM reset with ioport2_clk + //so they have to come from a different clocking primitive instance + pcie_clk_gen pcie_clk_gen ( + .CLK_IN1(fpga_clk125), + .CLK_OUT1(rio40_clk), + .CLK_OUT2(ioport2_idelay_ref_clk), + .RESET(rio40_clk_reset), + .LOCKED(rio40_clk_locked)); + + ///////////////////////////////////////////////////////////////////// + // + // 10MHz Reference clock + // + ////////////////////////////////////////////////////////////////////// + wire ref_clk; + IBUFDS IBUFDS_ref_clk ( + .O(ref_clk), + .I(FPGA_REFCLK_10MHz_p), + .IB(FPGA_REFCLK_10MHz_n) + ); + + ////////////////////////////////////////////////////////////////////// + // CPRI Clock output -- this is the dirty recovered clock from the MGT + // This goes to the LMK04816 which locks to it and cleans it up + // We get the clean versions back as CPRI_CLK (for the CPRI MGT) + // and FPGA_CLK (for our main rfclk) + ////////////////////////////////////////////////////////////////////// + + wire cpri_clk_out = 1'b0; // FIXME - connect to CPRI clock recovery when implemented + OBUFDS OBUFDS_cpri (.I(cpri_clk_out), .O(CPRI_CLK_OUT_P), .OB(CPRI_CLK_OUT_N)); + + ///////////////////////////////////////////////////////////////////// + // + // power-on-reset logic. + // + ////////////////////////////////////////////////////////////////////// + por_gen por_gen(.clk(bus_clk), .reset_out(global_rst)); + + ////////////////////////////////////////////////////////////////////// + wire [31:0] rx0, rx1; + wire [31:0] tx0, tx1; + wire sclk0, mosi0, miso0, sclk1, mosi1, miso1; + wire [7:0] sen0, sen1; + + wire set_stb; + wire [7:0] set_addr; + wire [31:0] set_data; + + //////////////////////////////////////////////////////////////////// + // + // Generate Radio Clocks from LMK04816 + // Radio clock is normally 200MHz, radio_clk_2x 400MHz. + // In CPRI or LTE mode, radio clock is 184.32 MHz. + // radio_clk_2x is only to be used for clocking out TX samples to DAC + // + //---------------------------------------------------------------------------- + // Output Output Phase Duty Cycle Pk-to-Pk Phase + // Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) + //---------------------------------------------------------------------------- + // CLK_OUT1___200.000______0.000______50.0_______92.799_____82.655 + // CLK_OUT2___400.000____-45.000______50.0_______81.254_____82.655 + // CLK_OUT3___400.000_____60.000______50.0_______81.254_____82.655 + // + //---------------------------------------------------------------------------- + // Input Clock Freq (MHz) Input Jitter (UI) + //---------------------------------------------------------------------------- + // __primary_________200.000____________0.010 + // + //////////////////////////////////////////////////////////////////// + + wire radio_clk_locked; + radio_clk_gen radio_clk_gen ( + .clk_in1_p(FPGA_CLK_p), .clk_in1_n(FPGA_CLK_n), + .CLK_OUT1(radio_clk), .CLK_OUT2(radio_clk_2x), .CLK_OUT3(dac_dci_clk), + .RESET(sw_rst[2]), .LOCKED(radio_clk_locked)); + + //////////////////////////////////////////////////////////////////// + // + // IJB. Radio PLL doesn't seem to lock at power up. + // Probably needs AD9610 to be programmed to 120 or 200MHz to get + // an input clock thats in the ball park for PLL configuration. + // Currently use busclk PLL lock signal to control this reset, + // but we should find a better solution, perhaps a S/W controllable + // reset like the ETH PHY uses so that we can reset this clock domain + // after programming the AD9610. + // + //////////////////////////////////////////////////////////////////// + + reset_sync radio_reset_sync ( + .clk(radio_clk), + .reset_in(global_rst || !bus_clk_locked || sw_rst[1]), + .reset_out(radio_rst) + ); + + reset_sync int_reset_sync ( + .clk(bus_clk), + .reset_in(global_rst || !bus_clk_locked), + .reset_out(bus_rst) + ); + + reset_sync int_div2_reset_sync ( + .clk(bus_clk_div2), + .reset_in(global_rst || !bus_clk_locked), + .reset_out(bus_rst_div2) + ); + + reset_sync adc_idlyctrl_reset_sync ( + .clk(bus_clk), + .reset_in(global_rst || !bus_clk_locked || sw_rst[3]), + .reset_out(adc_idlyctrl_rst) + ); + + reset_sync ce_reset_sync ( + .clk(ce_clk), + .reset_in(global_rst || !bus_clk_locked), + .reset_out(ce_rst) + ); + + //////////////////////////////////////////////////////////////////// + // PPS + // Support for internal, external, and GPSDO PPS inputs + // Every attempt to minimize propagation between the external PPS + // input and outputs to support daisy-chaining the signal. + //////////////////////////////////////////////////////////////////// + + wire int_pps; + wire [31:0] ref_freq; + wire ref_freq_changed; + wire ref_freq_sync_empty; + wire [71:0] ref_freq_sync_out; + reg new_ref_freq = 0; + reg [31:0] ref_freq_refclk = 10_000_000; // Default to 10 MHz reference + + // Synchronize ref_freq to ref_clk + fifo_short_2clk ref_freq_sync + ( + .rst(bus_rst), + .wr_clk(bus_clk), + .rd_clk(ref_clk), + .din({40'd0,ref_freq}), + .wr_en(ref_freq_changed), + .rd_en(new_ref_freq), + .dout(ref_freq_sync_out), + .full( /* unused */ ), + .empty(ref_freq_sync_empty), + .rd_data_count( /* unused */ ), + .wr_data_count( /* unused */ ) + ); + + // Capture the new reference frequency + always @(posedge ref_clk) begin + if (~ref_freq_sync_empty) begin + ref_freq_refclk <= ref_freq_sync_out[31:0]; + new_ref_freq <= 1'b1; + end else + new_ref_freq <= 1'b0; + end + + // Generate an internal PPS signal with a 25% duty cycle + pulse_generator #(.WIDTH(32)) pps_gen + ( + .clk(ref_clk), + .reset(new_ref_freq), + .period(ref_freq_refclk), + //shift frequency by 2 bits (divide by 4) for a 25% duty cycle + .pulse_width({2'b00,ref_freq_refclk[31:2]}), + .pulse(int_pps) + ); + + // PPS MUX - selects internal, external, or gpsdo PPS + reg pps; + wire [1:0] pps_select; + wire pps_out_enb; + always @(*) begin + case(pps_select) + 2'b00 : pps = EXT_PPS_IN; + 2'b01 : pps = 1'b0; + 2'b10 : pps = int_pps; + 2'b11 : pps = GPS_PPS_OUT; + default: pps = 1'b0; + endcase + end + + // PPS out and LED + assign EXT_PPS_OUT = pps & pps_out_enb; + assign LED_PPS = ~pps; // active low LED driver + + assign LED_GPSLOCK = ~GPS_LOCK_OK; + assign LED_REFLOCK = ~LMK_Lock; + assign {LED_RX1_RX,LED_TXRX1_TX,LED_TXRX1_RX} = ~led0; // active low LED driver + assign {LED_RX2_RX,LED_TXRX2_TX,LED_TXRX2_RX} = ~led1; // active low LED driver + // Allocate SPI chip selects to various slaves. + assign {DB1_DAC_SEN, DB1_ADC_SEN, DB1_RX_LSADC_SEN, DB1_RX_LSDAC_SEN, DB1_TX_LSADC_SEN, DB1_TX_LSDAC_SEN, DB1_RX_SEN, DB1_TX_SEN} = sen1; + assign {DB0_DAC_SEN, DB0_ADC_SEN, DB0_RX_LSADC_SEN, DB0_RX_LSDAC_SEN, DB0_TX_LSADC_SEN, DB0_TX_LSDAC_SEN, DB0_RX_SEN, DB0_TX_SEN} = sen0; + + wire db_dac_mosi_int, db_dac_miso; + wire drive_dac_pin; + reg drop_dac_pin; + reg [5:0] bitcount; + reg sclk_d1; + + // Register copy of outgoing DAC clock to do synchronous edge detect. + always @(posedge radio_clk) sclk_d1 <= DB_DAC_SCLK; + + always @(posedge radio_clk) + // If neither DAC is selected keep counter reset + if(DB0_DAC_SEN & DB1_DAC_SEN) + begin + bitcount <= 6'd0; + drop_dac_pin <= 1'b0; + end + else if(~DB_DAC_SCLK & sclk_d1) + // Falling edge of SCLK detected. + begin + bitcount <= bitcount + 6'd1; + end + else if(bitcount == 0 & DB_DAC_SCLK & ~sclk_d1) + // On first rising edge store R/W bit to determine if we tristate after 8bits for a Read. + drop_dac_pin <= db_dac_mosi_int; + + assign drive_dac_pin = (bitcount < 8) | ~drop_dac_pin; + + // Both DAC's use a single SPI bus on PCB. Select appriate Radio to drive the SPi bus by looking at chip selects. + assign { DB_DAC_SCLK, db_dac_mosi_int } = ~DB0_DAC_SEN ? {sclk0, mosi0} : ~DB1_DAC_SEN ? {sclk1,mosi1} : 2'b0; + // Data to/from DAC's is bi-dir so tristate driver when reading. + assign DB_DAC_MOSI = drive_dac_pin ? db_dac_mosi_int : 1'bz; + // I/O Input buffer + assign db_dac_miso = DB_DAC_MOSI; + + // If any SPI Slave is selected (except DAC) then drive SPI clk and MOSI out onto duaghterboard. + assign { DB0_SCLK, DB0_MOSI } = (~&sen0[6:0]) ? {sclk0,mosi0} : 2'b0; + assign { DB1_SCLK, DB1_MOSI } = (~&sen1[6:0]) ? {sclk1,mosi1} : 2'b0; + + // Wired OR Mux together the possible sources of read data from SPI devices. + assign miso0 = (~DB0_RX_LSADC_SEN & DB0_RX_LSADC_MISO) | + (~DB0_RX_SEN & DB0_RX_MISO) | + (~DB0_TX_LSADC_SEN & DB0_TX_LSADC_MISO) | + (~DB0_TX_SEN & DB0_TX_MISO) | + (~DB0_DAC_SEN & db_dac_miso); + + assign miso1 = (~DB1_RX_LSADC_SEN & DB1_RX_LSADC_MISO) | + (~DB1_RX_SEN & DB1_RX_MISO) | + (~DB1_TX_LSADC_SEN & DB1_TX_LSADC_MISO) | + (~DB1_TX_SEN & DB1_TX_MISO) | + (~DB1_DAC_SEN & db_dac_miso); + + + wire [31:0] radio0_misc_out, radio1_misc_out; + wire [31:0] radio0_misc_in, radio1_misc_in; + + ///////////////////////////////////////////////////////////////////// + // + // ADC Interface for ADS62P48 + // + ///////////////////////////////////////////////////////////////////// + wire [13:0] rx0_q_inv, rx1_q_inv, rx0_i, rx1_i; + // Analog diff pairs on I side of ADC are inverted for layout reasons, but data diff pairs are all swapped as well + // so I gets a double negative, and is unchanged. Q must be inverted. + + capture_ddrlvds #( + .WIDTH(14), + .PATT_CHECKER("TRUE"), + .DATA_IDELAY_MODE("DYNAMIC"), .DATA_IDELAY_VAL(16), .DATA_IDELAY_FREF(200.0) + ) cap_db0 ( + .adc_clk_p(DB0_ADC_DCLK_P), .adc_clk_n(DB0_ADC_DCLK_N), + .adc_data_p( + {{DB0_ADC_DA6_P, DB0_ADC_DA5_P, DB0_ADC_DA4_P, DB0_ADC_DA3_P, DB0_ADC_DA2_P, DB0_ADC_DA1_P, DB0_ADC_DA0_P}, + {DB0_ADC_DB6_P, DB0_ADC_DB5_P, DB0_ADC_DB4_P, DB0_ADC_DB3_P, DB0_ADC_DB2_P, DB0_ADC_DB1_P, DB0_ADC_DB0_P}}), + .adc_data_n( + {{DB0_ADC_DA6_N, DB0_ADC_DA5_N, DB0_ADC_DA4_N, DB0_ADC_DA3_N, DB0_ADC_DA2_N, DB0_ADC_DA1_N, DB0_ADC_DA0_N}, + {DB0_ADC_DB6_N, DB0_ADC_DB5_N, DB0_ADC_DB4_N, DB0_ADC_DB3_N, DB0_ADC_DB2_N, DB0_ADC_DB1_N, DB0_ADC_DB0_N}}), + .radio_clk(radio_clk), + .data_delay_stb(radio0_misc_out[3]), .data_delay_val(radio0_misc_out[8:4]), + .adc_cap_clk(), + .data_out({rx0_i,rx0_q_inv}), + .checker_en(radio0_misc_out[9]), .checker_locked(radio0_misc_in[3:0]), .checker_failed(radio0_misc_in[7:4]) + ); + assign rx0[31:0] = { rx0_i, 2'b00, ~rx0_q_inv, 2'b00 }; + + capture_ddrlvds #( + .WIDTH(14), + .PATT_CHECKER("TRUE"), + .DATA_IDELAY_MODE("DYNAMIC"), .DATA_IDELAY_VAL(16), .DATA_IDELAY_FREF(200.0) + ) cap_db1 ( + .adc_clk_p(DB1_ADC_DCLK_P), .adc_clk_n(DB1_ADC_DCLK_N), + .adc_data_p( + {{DB1_ADC_DA6_P, DB1_ADC_DA5_P, DB1_ADC_DA4_P, DB1_ADC_DA3_P, DB1_ADC_DA2_P, DB1_ADC_DA1_P, DB1_ADC_DA0_P}, + {DB1_ADC_DB6_P, DB1_ADC_DB5_P, DB1_ADC_DB4_P, DB1_ADC_DB3_P, DB1_ADC_DB2_P, DB1_ADC_DB1_P, DB1_ADC_DB0_P}}), + .adc_data_n( + {{DB1_ADC_DA6_N, DB1_ADC_DA5_N, DB1_ADC_DA4_N, DB1_ADC_DA3_N, DB1_ADC_DA2_N, DB1_ADC_DA1_N, DB1_ADC_DA0_N}, + {DB1_ADC_DB6_N, DB1_ADC_DB5_N, DB1_ADC_DB4_N, DB1_ADC_DB3_N, DB1_ADC_DB2_N, DB1_ADC_DB1_N, DB1_ADC_DB0_N}}), + .radio_clk(radio_clk), + .data_delay_stb(radio1_misc_out[3]), .data_delay_val(radio1_misc_out[8:4]), + .adc_cap_clk(), + .data_out({rx1_i,rx1_q_inv}), + .checker_en(radio1_misc_out[9]), .checker_locked(radio1_misc_in[3:0]), .checker_failed(radio1_misc_in[7:4]) + ); + assign rx1[31:0] = { rx1_i, 2'b00, ~rx1_q_inv, 2'b00 }; + + // IDELAYCTRL to calibrate all IDELAYE2 instances in capture_ddrlvds for both sides + wire adc_idlyctrl_rdy; + IDELAYCTRL adc_cap_idelayctrl_i (.RDY(adc_idlyctrl_rdy), .REFCLK(radio_clk), .RST(adc_idlyctrl_rst)); + + ///////////////////////////////////////////////////////////////////// + // + // DAC Interface for AD9146 + // + ///////////////////////////////////////////////////////////////////// + gen_ddrlvds gen_db0 + ( + .reset(radio_rst), + .tx_clk_2x_p(DB0_DAC_DCI_P), .tx_clk_2x_n(DB0_DAC_DCI_N), + .tx_frame_p(DB0_DAC_FRAME_P), .tx_frame_n(DB0_DAC_FRAME_N), + .tx_d_p({DB0_DAC_D7_P,DB0_DAC_D6_P,DB0_DAC_D5_P,DB0_DAC_D4_P,DB0_DAC_D3_P,DB0_DAC_D2_P,DB0_DAC_D1_P,DB0_DAC_D0_P}), + .tx_d_n({DB0_DAC_D7_N,DB0_DAC_D6_N,DB0_DAC_D5_N,DB0_DAC_D4_N,DB0_DAC_D3_N,DB0_DAC_D2_N,DB0_DAC_D1_N,DB0_DAC_D0_N}), + .tx_clk_2x(radio_clk_2x), .tx_clk_1x(radio_clk), .tx_dci_clk(dac_dci_clk), + .i(~tx0[31:16]), .q(~tx0[15:0]), // invert b/c Analog diff pairs are swapped for layout + .sync_dacs(radio0_misc_out[10]|radio1_misc_out[10]) + ); + + + gen_ddrlvds gen_db1 + ( + .reset(radio_rst), + .tx_clk_2x_p(DB1_DAC_DCI_P), .tx_clk_2x_n(DB1_DAC_DCI_N), + .tx_frame_p(DB1_DAC_FRAME_P), .tx_frame_n(DB1_DAC_FRAME_N), + .tx_d_p({DB1_DAC_D7_P,DB1_DAC_D6_P,DB1_DAC_D5_P,DB1_DAC_D4_P,DB1_DAC_D3_P,DB1_DAC_D2_P,DB1_DAC_D1_P,DB1_DAC_D0_P}), + .tx_d_n({DB1_DAC_D7_N,DB1_DAC_D6_N,DB1_DAC_D5_N,DB1_DAC_D4_N,DB1_DAC_D3_N,DB1_DAC_D2_N,DB1_DAC_D1_N,DB1_DAC_D0_N}), + .tx_clk_2x(radio_clk_2x), .tx_clk_1x(radio_clk), .tx_dci_clk(dac_dci_clk), + .i(~tx1[31:16]), .q(~tx1[15:0]), // invert b/c Analog diff pairs are swapped for layout + .sync_dacs(radio0_misc_out[10]|radio1_misc_out[10]) + ); + + + wire [1:0] leds; + assign {LED_LINKSTAT, LED_LINKACT} = ~leds; + + wire [31:0] debug; + + ////////////////////////////////////////////////////////////////////// + // + // PCIe Stuff + // + ////////////////////////////////////////////////////////////////////// + + localparam IOP2_MSG_WIDTH = 64; + localparam DMA_STREAM_WIDTH = `LVFPGA_IFACE_DMA_CHAN_WIDTH; + localparam DMA_COUNT_WIDTH = `LVFPGA_IFACE_DMA_SIZE_WIDTH; + localparam NUM_TX_STREAMS = `LVFPGA_IFACE_NUM_TX_DMA_CNT; + localparam NUM_RX_STREAMS = `LVFPGA_IFACE_NUM_RX_DMA_CNT; + localparam TX_STREAM_START_IDX = `LVFPGA_IFACE_TX_DMA_INDEX; + localparam RX_STREAM_START_IDX = `LVFPGA_IFACE_RX_DMA_INDEX; + localparam DMA_DEST_WIDTH = 3; + + wire [DMA_STREAM_WIDTH-1:0] dmatx_tdata, dmarx_tdata, pcii_tdata, pcio_tdata; + wire [DMA_DEST_WIDTH-1:0] dmatx_tuser, dmarx_tuser, pcii_tuser, pcio_tuser; + wire dmatx_tvalid, dmarx_tvalid, pcii_tvalid, pcio_tvalid; + wire dmatx_tlast, dmarx_tlast, pcii_tlast, pcio_tlast; + wire dmatx_tready, dmarx_tready, pcii_tready, pcio_tready; + + wire [IOP2_MSG_WIDTH-1:0] o_iop2_msg_tdata, i_iop2_msg_tdata; + wire o_iop2_msg_tvalid, o_iop2_msg_tlast, o_iop2_msg_tready; + wire i_iop2_msg_tvalid, i_iop2_msg_tlast, i_iop2_msg_tready; + + wire pcie_usr_reg_wr, pcie_usr_reg_rd, pcie_usr_reg_rc, pcie_usr_reg_rdy; + wire [1:0] pcie_usr_reg_len; + wire [19:0] pcie_usr_reg_addr; + wire [31:0] pcie_usr_reg_data_in, pcie_usr_reg_data_out; + + wire chinch_reg_wr, chinch_reg_rd, chinch_reg_rc, chinch_reg_rdy; + wire [1:0] chinch_reg_len; + wire [19:0] chinch_reg_addr; + wire [31:0] chinch_reg_data_out; + wire [63:0] chinch_reg_data_in; + + wire [(NUM_TX_STREAMS*DMA_STREAM_WIDTH)-1:0] dmatx_tdata_iop2; + wire [NUM_TX_STREAMS-1:0] dmatx_tvalid_iop2, dmatx_tready_iop2; + + wire [(NUM_RX_STREAMS*DMA_STREAM_WIDTH)-1:0] dmarx_tdata_iop2; + wire [NUM_RX_STREAMS-1:0] dmarx_tvalid_iop2, dmarx_tready_iop2; + + //PCIe Express "Physical" DMA and Register logic + LvFpga_Chinch_Interface lvfpga_chinch_inst + ( + .aIoResetIn_n(aIoResetIn_n), + .bBusReset(), //Output + + // Clocks + .BusClk(ioport2_clk), + .Rio40Clk(rio40_clk), + .IDelayRefClk(ioport2_idelay_ref_clk), + .aRioClkPllLocked(rio40_clk_locked), + .aRioClkPllReset(rio40_clk_reset), + + // The IO_Port2 asynchronous handshaking pins + .aIoReadyOut(aIoReadyOut), + .aIoReadyIn(aIoReadyIn), + .aIoPort2Restart(aIoPort2Restart), + + // The IO_Port2 high speed receiver pins + .IoRxClock(IoRxClock), + .IoRxClock_n(IoRxClock_n), + .irIoRxData(irIoRxData), + .irIoRxData_n(irIoRxData_n), + .irIoRxHeader(irIoRxHeader), + .irIoRxHeader_n(irIoRxHeader_n), + + // The IO_Port2 high speed transmitter interface pins + .IoTxClock(IoTxClock), + .IoTxClock_n(IoTxClock_n), + .itIoTxData(itIoTxData), + .itIoTxData_n(itIoTxData_n), + .itIoTxHeader(itIoTxHeader), + .itIoTxHeader_n(itIoTxHeader_n), + + // DMA TX Fifos + .bDmaTxData(dmatx_tdata_iop2), + .bDmaTxValid(dmatx_tvalid_iop2), + .bDmaTxReady(dmatx_tready_iop2), + .bDmaTxEnabled(), + .bDmaTxFifoFullCnt(), + + // DMA RX Fifos + .bDmaRxData(dmarx_tdata_iop2), + .bDmaRxValid(dmarx_tvalid_iop2), + .bDmaRxReady(dmarx_tready_iop2), + .bDmaRxEnabled(), + .bDmaRxFifoFreeCnt(), + + // User Register Port In + .bUserRegPortInWt(pcie_usr_reg_wr), + .bUserRegPortInRd(pcie_usr_reg_rd), + .bUserRegPortInAddr(pcie_usr_reg_addr), + .bUserRegPortInData(pcie_usr_reg_data_in), + .bUserRegPortInSize(pcie_usr_reg_len), + + // User Register Port Out + .bUserRegPortOutData(pcie_usr_reg_data_out), + .bUserRegPortOutDataValid(pcie_usr_reg_rc), + .bUserRegPortOutReady(pcie_usr_reg_rdy), + + // Chinch Register Port Out + .bChinchRegPortOutWt(chinch_reg_wr), + .bChinchRegPortOutRd(chinch_reg_rd), + .bChinchRegPortOutAddr({12'h0, chinch_reg_addr}), + .bChinchRegPortOutData({32'h0, chinch_reg_data_out}), + .bChinchRegPortOutSize(chinch_reg_len), + + // User Register Port In + .bChinchRegPortInData(chinch_reg_data_in), + .bChinchRegPortInDataValid(chinch_reg_rc), + .bChinchRegPortInReady(chinch_reg_rdy), + + // Level interrupt + .aIrq(aIrq) + ); + + //PCIe Express adapter logic to link to the AXI crossbar and the WB bus + x300_pcie_int #( + .DMA_STREAM_WIDTH(DMA_STREAM_WIDTH), + .NUM_TX_STREAMS(NUM_TX_STREAMS), + .NUM_RX_STREAMS(NUM_RX_STREAMS), + .REGPORT_ADDR_WIDTH(20), + .REGPORT_DATA_WIDTH(32), + .IOP2_MSG_WIDTH(IOP2_MSG_WIDTH), + .BUS_CLK_RATE(BUS_CLK_RATE) + ) x300_pcie_int ( + .ioport2_clk(ioport2_clk), + .bus_clk(bus_clk), + .bus_rst(bus_rst), + + //DMA TX FIFOs (IoPort2 Clock Domain) + .dmatx_tdata_iop2(dmatx_tdata_iop2), + .dmatx_tvalid_iop2(dmatx_tvalid_iop2), + .dmatx_tready_iop2(dmatx_tready_iop2), + + //DMA TX FIFOs (IoPort2 Clock Domain) + .dmarx_tdata_iop2(dmarx_tdata_iop2), + .dmarx_tvalid_iop2(dmarx_tvalid_iop2), + .dmarx_tready_iop2(dmarx_tready_iop2), + + //PCIe User Regport + .pcie_usr_reg_wr(pcie_usr_reg_wr), + .pcie_usr_reg_rd(pcie_usr_reg_rd), + .pcie_usr_reg_addr(pcie_usr_reg_addr), + .pcie_usr_reg_data_in(pcie_usr_reg_data_in), + .pcie_usr_reg_len(pcie_usr_reg_len), + .pcie_usr_reg_data_out(pcie_usr_reg_data_out), + .pcie_usr_reg_rc(pcie_usr_reg_rc), + .pcie_usr_reg_rdy(pcie_usr_reg_rdy), + + //Chinch Regport + .chinch_reg_wr(chinch_reg_wr), + .chinch_reg_rd(chinch_reg_rd), + .chinch_reg_addr(chinch_reg_addr), + .chinch_reg_data_out(chinch_reg_data_out), + .chinch_reg_len(chinch_reg_len), + .chinch_reg_data_in(chinch_reg_data_in[31:0]), + .chinch_reg_rc(chinch_reg_rc), + .chinch_reg_rdy(chinch_reg_rdy), + + //DMA TX FIFO (Bus Clock Domain). Note: tuser is used for muxing. + .dmatx_tdata(dmatx_tdata), + .dmatx_tuser(dmatx_tuser), + .dmatx_tlast(dmatx_tlast), + .dmatx_tvalid(dmatx_tvalid), + .dmatx_tready(dmatx_tready), + + //DMA RX FIFO (Bus Clock Domain). Note: tuser is used for muxing. + .dmarx_tdata(dmarx_tdata), + .dmarx_tuser(dmarx_tuser), + .dmarx_tlast(dmarx_tlast), + .dmarx_tvalid(dmarx_tvalid), + .dmarx_tready(dmarx_tready), + + //Message FIFO Out (Bus Clock Domain) + .rego_tdata(o_iop2_msg_tdata), + .rego_tvalid(o_iop2_msg_tvalid), + .rego_tlast(o_iop2_msg_tlast), + .rego_tready(o_iop2_msg_tready), + + //Message FIFO In (Bus Clock Domain) + .regi_tdata(i_iop2_msg_tdata), + .regi_tvalid(i_iop2_msg_tvalid), + .regi_tlast(i_iop2_msg_tlast), + .regi_tready(i_iop2_msg_tready), + + //Misc + .misc_status({15'h0, aStc3Gpio7}), + .debug() + ); + + // The PCIe logic will tend to stay close to the physical IoPort2 pins + // so add an additional stage of pipelining to give the tool more routing + // slack. This is significantly help timing closure. + + axi_fifo_short #(.WIDTH(DMA_STREAM_WIDTH+1+DMA_DEST_WIDTH)) pcii_pipeline_srl ( + .clk(bus_clk), .reset(bus_rst), .clear(1'b0), + .i_tdata({dmatx_tuser, dmatx_tlast, dmatx_tdata}), .i_tvalid(dmatx_tvalid), .i_tready(dmatx_tready), + .o_tdata({pcii_tuser, pcii_tlast, pcii_tdata}), .o_tvalid(pcii_tvalid), .o_tready(pcii_tready), + .space(), .occupied()); + + axi_fifo_short #(.WIDTH(DMA_STREAM_WIDTH+1+DMA_DEST_WIDTH)) pcio_pipeline_srl ( + .clk(bus_clk), .reset(bus_rst), .clear(1'b0), + .i_tdata({pcio_tuser, pcio_tlast, pcio_tdata}), .i_tvalid(pcio_tvalid), .i_tready(pcio_tready), + .o_tdata({dmarx_tuser, dmarx_tlast, dmarx_tdata}), .o_tvalid(dmarx_tvalid), .o_tready(dmarx_tready), + .space(), .occupied()); + + ////////////////////////////////////////////////////////////////////// + // + // Configure SFP+ clocking + // + ////////////////////////////////////////////////////////////////////// +`ifdef BUILD_1G + wire gige_refclk, gige_refclk_bufg; + + one_gige_phy_clk_gen gige_clk_gen_i ( + .refclk_p(ETH_CLK_p), + .refclk_n(ETH_CLK_n), + .refclk(gige_refclk), + .refclk_bufg(gige_refclk_bufg) + ); +`endif +`ifdef BUILD_10G + wire xgige_refclk; + wire xgige_clk156; + wire xgige_dclk; + + ten_gige_phy_clk_gen xgige_clk_gen_i ( + .areset(global_rst | sw_rst[0]), + .refclk_p(XG_CLK_p), + .refclk_n(XG_CLK_n), + .refclk(xgige_refclk), + .clk156(xgige_clk156), + .dclk(xgige_dclk) + ); + `ifdef BUILD_AURORA + wire aurora_refclk = xgige_refclk; + wire aurora_refclk_bufg = xgige_clk156; + wire aurora_init_clk = xgige_dclk; + `endif +`else + `ifdef BUILD_AURORA + wire aurora_refclk; + wire aurora_refclk_bufg; + wire aurora_init_clk; + + aurora_phy_clk_gen aurora_clk_gen_i ( + .areset(global_rst | sw_rst[0]), + .refclk_p(XG_CLK_p), + .refclk_n(XG_CLK_n), + .refclk(aurora_refclk), + .clk156(aurora_refclk_bufg), + .init_clk(aurora_init_clk) + ); + `endif +`endif + + wire sfp0_gt_refclk, sfp1_gt_refclk; + wire sfp0_gb_refclk, sfp1_gb_refclk; + wire sfp0_misc_clk, sfp1_misc_clk; + +`ifdef SFP0_10GBE + assign sfp0_gt_refclk = xgige_refclk; + assign sfp0_gb_refclk = xgige_clk156; + assign sfp0_misc_clk = xgige_dclk; +`endif +`ifdef SFP0_1GBE + assign sfp0_gt_refclk = gige_refclk; + assign sfp0_gb_refclk = gige_refclk_bufg; + assign sfp0_misc_clk = gige_refclk_bufg; +`endif +`ifdef SFP0_AURORA + assign sfp0_gt_refclk = aurora_refclk; + assign sfp0_gb_refclk = aurora_refclk_bufg; + assign sfp0_misc_clk = aurora_init_clk; +`endif + +`ifdef SFP1_10GBE + assign sfp1_gt_refclk = xgige_refclk; + assign sfp1_gb_refclk = xgige_clk156; + assign sfp1_misc_clk = xgige_dclk; +`endif +`ifdef SFP1_1GBE + assign sfp1_gt_refclk = gige_refclk; + assign sfp1_gb_refclk = gige_refclk_bufg; + assign sfp1_misc_clk = gige_refclk_bufg; +`endif +`ifdef SFP1_AURORA + assign sfp1_gt_refclk = aurora_refclk; + assign sfp1_gb_refclk = aurora_refclk_bufg; + assign sfp1_misc_clk = aurora_init_clk; +`endif + + ////////////////////////////////////////////////////////////////////// + // + // SFP+ PORT0 + // + ////////////////////////////////////////////////////////////////////// + + wire [63:0] sfp0_rx_tdata, sfp0_tx_tdata; + wire [3:0] sfp0_rx_tuser, sfp0_tx_tuser; + wire sfp0_rx_tlast, sfp0_tx_tlast, sfp0_rx_tvalid, sfp0_tx_tvalid, sfp0_rx_tready, sfp0_tx_tready; + wire [15:0] sfp0_phy_status; + + wire [31:0] sfp0_wb_dat_i; + wire [31:0] sfp0_wb_dat_o; + wire [15:0] sfp0_wb_adr; + wire sfp0_wb_ack, sfp0_wb_stb, sfp0_wb_cyc, sfp0_wb_we, sfp0_wb_int; + + wire sfp0_link_up, sfp0_activity; + + x300_sfpp_io_core #( +`ifdef SFP0_10GBE + .PROTOCOL("10GbE"), +`endif +`ifdef SFP0_1GBE + .PROTOCOL("1GbE"), +`endif +`ifdef SFP0_AURORA + .PROTOCOL("Aurora"), +`endif + .PORTNUM(8'd0) + ) sfpp_io_i0 ( + .areset(global_rst | sw_rst[0]), + .gt_refclk(sfp0_gt_refclk), + .gb_refclk(sfp0_gb_refclk), + .misc_clk(sfp0_misc_clk), + + .bus_rst(bus_rst), + .bus_clk(bus_clk), + .bus_rst_div2(bus_rst_div2), + .bus_clk_div2(bus_clk_div2), + + .txp(SFP0_TX_p), + .txn(SFP0_TX_n), + .rxp(SFP0_RX_p), + .rxn(SFP0_RX_n), + + .sfpp_rxlos(SFPP0_RxLOS), + .sfpp_tx_fault(SFPP0_TxFault), + .sfpp_tx_disable(SFPP0_TxDisable), + + .s_axis_tdata(sfp0_tx_tdata), + .s_axis_tuser(sfp0_tx_tuser), + .s_axis_tlast(sfp0_tx_tlast), + .s_axis_tvalid(sfp0_tx_tvalid), + .s_axis_tready(sfp0_tx_tready), + + .m_axis_tdata(sfp0_rx_tdata), + .m_axis_tuser(sfp0_rx_tuser), + .m_axis_tlast(sfp0_rx_tlast), + .m_axis_tvalid(sfp0_rx_tvalid), + .m_axis_tready(sfp0_rx_tready), + + .wb_adr_i(sfp0_wb_adr), + .wb_cyc_i(sfp0_wb_cyc), + .wb_dat_i(sfp0_wb_dat_o), + .wb_stb_i(sfp0_wb_stb), + .wb_we_i(sfp0_wb_we), + .wb_ack_o(sfp0_wb_ack), + .wb_dat_o(sfp0_wb_dat_i), + .wb_int_o(sfp0_wb_int), + + .phy_status(sfp0_phy_status), + .link_up(sfp0_link_up), + .activity(sfp0_activity) + ); + + // LEDs are driven with negative logic. + assign LED_LINK2 = ~sfp0_link_up; + assign LED_ACT2 = ~sfp0_activity; + + + ////////////////////////////////////////////////////////////////////// + // + // SFP+ PORT1 + // + ////////////////////////////////////////////////////////////////////// + + wire [63:0] sfp1_rx_tdata, sfp1_tx_tdata; + wire [3:0] sfp1_rx_tuser, sfp1_tx_tuser; + wire sfp1_rx_tlast, sfp1_tx_tlast, sfp1_rx_tvalid, sfp1_tx_tvalid, sfp1_rx_tready, sfp1_tx_tready; + wire [15:0] sfp1_phy_status; + + wire [31:0] sfp1_wb_dat_i; + wire [31:0] sfp1_wb_dat_o; + wire [15:0] sfp1_wb_adr; + wire sfp1_wb_ack, sfp1_wb_stb, sfp1_wb_cyc, sfp1_wb_we, sfp1_wb_int; + + wire sfp1_link_up, sfp1_activity; + + x300_sfpp_io_core #( +`ifdef SFP1_10GBE + .PROTOCOL("10GbE"), +`endif +`ifdef SFP1_1GBE + .PROTOCOL("1GbE"), +`endif +`ifdef SFP1_AURORA + .PROTOCOL("Aurora"), +`endif + .PORTNUM(8'd1) + ) sfpp_io_i1 ( + .areset(global_rst | sw_rst[0]), + .gt_refclk(sfp1_gt_refclk), + .gb_refclk(sfp1_gb_refclk), + .misc_clk(sfp1_misc_clk), + + .bus_rst(bus_rst), + .bus_clk(bus_clk), + .bus_rst_div2(bus_rst_div2), + .bus_clk_div2(bus_clk_div2), + + .txp(SFP1_TX_p), + .txn(SFP1_TX_n), + .rxp(SFP1_RX_p), + .rxn(SFP1_RX_n), + + .sfpp_rxlos(SFPP1_RxLOS), + .sfpp_tx_fault(SFPP1_TxFault), + .sfpp_tx_disable(SFPP1_TxDisable), + + .s_axis_tdata(sfp1_tx_tdata), + .s_axis_tuser(sfp1_tx_tuser), + .s_axis_tlast(sfp1_tx_tlast), + .s_axis_tvalid(sfp1_tx_tvalid), + .s_axis_tready(sfp1_tx_tready), + + .m_axis_tdata(sfp1_rx_tdata), + .m_axis_tuser(sfp1_rx_tuser), + .m_axis_tlast(sfp1_rx_tlast), + .m_axis_tvalid(sfp1_rx_tvalid), + .m_axis_tready(sfp1_rx_tready), + + .wb_adr_i(sfp1_wb_adr), + .wb_cyc_i(sfp1_wb_cyc), + .wb_dat_i(sfp1_wb_dat_o), + .wb_stb_i(sfp1_wb_stb), + .wb_we_i(sfp1_wb_we), + .wb_ack_o(sfp1_wb_ack), + .wb_dat_o(sfp1_wb_dat_i), + .wb_int_o(sfp1_wb_int), + + .phy_status(sfp1_phy_status), + .link_up(sfp1_link_up), + .activity(sfp1_activity) + ); + + // LEDs are driven with negative logic. + assign LED_LINK1 = ~sfp1_link_up; + assign LED_ACT1 = ~sfp1_activity; + + /////////////////////////////////////////////////////////////////////////////////// + // + // Synchronize misc asynchronous signals + // + /////////////////////////////////////////////////////////////////////////////////// + wire LMK_Holdover_sync, LMK_Lock_sync, LMK_Sync_sync; + wire LMK_Status0_sync, LMK_Status1_sync; + wire radio_clk_locked_sync; + wire adc_idlyctrl_rdy_sync; + + //Sync all LMK_* signals to bus_clk + synchronizer #(.INITIAL_VAL(1'b0)) LMK_Holdover_sync_inst ( + .clk(bus_clk), .rst(1'b0 /* no reset */), .in(LMK_Holdover), .out(LMK_Holdover_sync)); + synchronizer #(.INITIAL_VAL(1'b0)) LMK_Lock_sync_inst ( + .clk(bus_clk), .rst(1'b0 /* no reset */), .in(LMK_Lock), .out(LMK_Lock_sync)); + synchronizer #(.INITIAL_VAL(1'b0)) LMK_Sync_sync_inst ( + .clk(bus_clk), .rst(1'b0 /* no reset */), .in(LMK_Sync), .out(LMK_Sync_sync)); + //The status bits (although in a bus) are really independent + synchronizer #(.INITIAL_VAL(1'b0)) LMK_Status0_sync_inst ( + .clk(bus_clk), .rst(1'b0 /* no reset */), .in(LMK_Status[0]), .out(LMK_Status0_sync)); + synchronizer #(.INITIAL_VAL(1'b0)) LMK_Status1_sync_inst ( + .clk(bus_clk), .rst(1'b0 /* no reset */), .in(LMK_Status[1]), .out(LMK_Status1_sync)); + + synchronizer #(.INITIAL_VAL(1'b0)) radio_clk_locked_sync_inst ( + .clk(bus_clk), .rst(1'b0 /* no reset */), .in(radio_clk_locked), .out(radio_clk_locked_sync)); + synchronizer #(.INITIAL_VAL(1'b0)) adc_idlyctrl_rdy_sync_inst ( + .clk(bus_clk), .rst(1'b0 /* no reset */), .in(adc_idlyctrl_rdy), .out(adc_idlyctrl_rdy_sync)); + + /////////////////////////////////////////////////////////////////////////////////// + // + // Xilinx DDR3 Controller and PHY. + // + /////////////////////////////////////////////////////////////////////////////////// + + + wire ddr3_axi_clk; // 1/4 DDR external clock rate (150MHz) + wire ddr3_axi_clk_x2; // 1/2 DDR external clock rate (300MHz) + wire ddr3_axi_rst; // Synchronized to ddr_sys_clk + wire ddr3_running; // DRAM calibration complete. + wire [11:0] device_temp; + + // Slave Interface Write Address Ports + wire s_axi_awid; + wire [31:0] s_axi_awaddr; + wire [7:0] s_axi_awlen; + wire [2:0] s_axi_awsize; + wire [1:0] s_axi_awburst; + wire [0:0] s_axi_awlock; + wire [3:0] s_axi_awcache; + wire [2:0] s_axi_awprot; + wire [3:0] s_axi_awqos; + wire s_axi_awvalid; + wire s_axi_awready; + // Slave Interface Write Data Ports + wire [255:0] s_axi_wdata; + wire [31:0] s_axi_wstrb; + wire s_axi_wlast; + wire s_axi_wvalid; + wire s_axi_wready; + // Slave Interface Write Response Ports + wire s_axi_bready; + wire s_axi_bid; + wire [1:0] s_axi_bresp; + wire s_axi_bvalid; + // Slave Interface Read Address Ports + wire s_axi_arid; + wire [31:0] s_axi_araddr; + wire [7:0] s_axi_arlen; + wire [2:0] s_axi_arsize; + wire [1:0] s_axi_arburst; + wire [0:0] s_axi_arlock; + wire [3:0] s_axi_arcache; + wire [2:0] s_axi_arprot; + wire [3:0] s_axi_arqos; + wire s_axi_arvalid; + wire s_axi_arready; + // Slave Interface Read Data Ports + wire s_axi_rready; + wire s_axi_rid; + wire [255:0] s_axi_rdata; + wire [1:0] s_axi_rresp; + wire s_axi_rlast; + wire s_axi_rvalid; + + wire ddr3_idelay_refclk; + reg ddr3_axi_rst_reg_n; + + // Copied this reset circuit from example design. + always @(posedge ddr3_axi_clk) + ddr3_axi_rst_reg_n <= ~ddr3_axi_rst; + + // Instantiate the DDR3 MIG core + ddr3_32bit u_ddr3_32bit ( + // Memory interface ports + .ddr3_addr (ddr3_addr), + .ddr3_ba (ddr3_ba), + .ddr3_cas_n (ddr3_cas_n), + .ddr3_ck_n (ddr3_ck_n), + .ddr3_ck_p (ddr3_ck_p), + .ddr3_cke (ddr3_cke), + .ddr3_ras_n (ddr3_ras_n), + .ddr3_reset_n (ddr3_reset_n), + .ddr3_we_n (ddr3_we_n), + .ddr3_dq (ddr3_dq), + .ddr3_dqs_n (ddr3_dqs_n), + .ddr3_dqs_p (ddr3_dqs_p), + .ddr3_cs_n (ddr3_cs_n), + .ddr3_dm (ddr3_dm), + .ddr3_odt (ddr3_odt), + .init_calib_complete (ddr3_running), + .device_temp_i (device_temp), + // Application interface ports + .ui_clk (ddr3_axi_clk), // 150MHz clock out + .ui_addn_clk_0 (ddr3_axi_clk_x2), // 300MHz clock out + .ui_addn_clk_1 (ddr3_idelay_refclk), + .ui_addn_clk_2 (), + .ui_addn_clk_3 (), + .ui_addn_clk_4 (), + .clk_ref_i (ddr3_idelay_refclk), + .ui_clk_sync_rst (ddr3_axi_rst), // Active high Reset signal synchronised to 150MHz + .aresetn (ddr3_axi_rst_reg_n), + .app_sr_req (1'b0), + .app_sr_active (), + .app_ref_req (1'b0), + .app_ref_ack (), + .app_zq_req (1'b0), + .app_zq_ack (), + + // Slave Interface Write Address Ports + .s_axi_awid (s_axi_awid), + .s_axi_awaddr (s_axi_awaddr), + .s_axi_awlen (s_axi_awlen), + .s_axi_awsize (s_axi_awsize), + .s_axi_awburst (s_axi_awburst), + .s_axi_awlock (s_axi_awlock), + .s_axi_awcache (s_axi_awcache), + .s_axi_awprot (s_axi_awprot), + .s_axi_awqos (s_axi_awqos), + .s_axi_awvalid (s_axi_awvalid), + .s_axi_awready (s_axi_awready), + // Slave Interface Write Data Ports + .s_axi_wdata (s_axi_wdata), + .s_axi_wstrb (s_axi_wstrb), + .s_axi_wlast (s_axi_wlast), + .s_axi_wvalid (s_axi_wvalid), + .s_axi_wready (s_axi_wready), + // Slave Interface Write Response Ports + .s_axi_bid (s_axi_bid), + .s_axi_bresp (s_axi_bresp), + .s_axi_bvalid (s_axi_bvalid), + .s_axi_bready (s_axi_bready), + // Slave Interface Read Address Ports + .s_axi_arid (s_axi_arid), + .s_axi_araddr (s_axi_araddr), + .s_axi_arlen (s_axi_arlen), + .s_axi_arsize (s_axi_arsize), + .s_axi_arburst (s_axi_arburst), + .s_axi_arlock (s_axi_arlock), + .s_axi_arcache (s_axi_arcache), + .s_axi_arprot (s_axi_arprot), + .s_axi_arqos (s_axi_arqos), + .s_axi_arvalid (s_axi_arvalid), + .s_axi_arready (s_axi_arready), + // Slave Interface Read Data Ports + .s_axi_rid (s_axi_rid), + .s_axi_rdata (s_axi_rdata), + .s_axi_rresp (s_axi_rresp), + .s_axi_rlast (s_axi_rlast), + .s_axi_rvalid (s_axi_rvalid), + .s_axi_rready (s_axi_rready), + // System Clock Ports + .sys_clk_i (sys_clk_i), // From external 100MHz source. + .sys_rst (global_rst) + ); + + // Temperature monitor module + mig_7series_v4_2_tempmon #( + .TEMP_MON_CONTROL("INTERNAL"), .XADC_CLK_PERIOD(8000 /* 125MHz clock period in ps */) + ) tempmon_i ( + .clk(bus_clk), .xadc_clk(ioport2_clk), .rst(bus_rst), + .device_temp_i(12'd0 /* ignored */), .device_temp(device_temp) + ); + + ///////////////////////////////////////////////////////////////////// + // + // Daughterboard GPIO and Debug UART + // + ////////////////////////////////////////////////////////////////////// + + wire [31:0] db0_gpio_in, db0_gpio_out, db0_gpio_ddr; + wire [31:0] db1_gpio_in, db1_gpio_out, db1_gpio_ddr; + wire [31:0] fp_gpio_in, fp_gpio_out, fp_gpio_ddr; + wire debug_txd, debug_rxd; + + gpio_atr_io #(.WIDTH(32)) gpio_atr_db0_inst ( + .clk(radio_clk), .gpio_pins({DB0_TX_IO,DB0_RX_IO}), + .gpio_ddr(db0_gpio_ddr), .gpio_out(db0_gpio_out), .gpio_in(db0_gpio_in) + ); + + gpio_atr_io #(.WIDTH(32)) gpio_atr_db1_inst ( + .clk(radio_clk), .gpio_pins({DB1_TX_IO,DB1_RX_IO}), + .gpio_ddr(db1_gpio_ddr), .gpio_out(db1_gpio_out), .gpio_in(db1_gpio_in) + ); + +`ifdef DEBUG_UART + gpio_atr_io #(.WIDTH(10)) fp_gpio_atr_inst ( + .clk(radio_clk), .gpio_pins(FrontPanelGpio[9:0]), + .gpio_ddr(fp_gpio_ddr[9:0]), .gpio_out(fp_gpio_out[9:0]), .gpio_in(fp_gpio_in[9:0]) + ); + assign FrontPanelGpio[11] = debug_txd; + assign debug_rxd = FrontPanelGpio[10]; +`else + gpio_atr_io #(.WIDTH(12)) fp_gpio_atr_inst ( + .clk(radio_clk), .gpio_pins(FrontPanelGpio[11:0]), + .gpio_ddr(fp_gpio_ddr[11:0]), .gpio_out(fp_gpio_out[11:0]), .gpio_in(fp_gpio_in[11:0]) + ); + assign debug_rxd = 1'b0; +`endif + assign fp_gpio_in[31:12] = 20'h0; + + /////////////////////////////////////////////////////////////////////////////////// + // + // X300 Core + // + /////////////////////////////////////////////////////////////////////////////////// + + x300_core #( .BUS_CLK_RATE(BUS_CLK_RATE) ) x300_core ( + .radio_clk(radio_clk), .radio_rst(radio_rst), + .bus_clk(bus_clk), .bus_rst(bus_rst), .sw_rst(sw_rst), + .bus_clk_div2(bus_clk_div2), + .ce_clk(ce_clk), + .ce_rst(ce_rst), + // Radio0 signals + .rx0(rx0), .tx0(tx0), + .db0_gpio_in(db0_gpio_in), .db0_gpio_out(db0_gpio_out), .db0_gpio_ddr(db0_gpio_ddr), + .fp_gpio_in(fp_gpio_in), .fp_gpio_out(fp_gpio_out), .fp_gpio_ddr(fp_gpio_ddr), + .sen0(sen0), .sclk0(sclk0), .mosi0(mosi0), .miso0(miso0), + .radio_led0(led0), .radio0_misc_out(radio0_misc_out), .radio0_misc_in(radio0_misc_in), + // Radio1 signals + .rx1(rx1), .tx1(tx1), + .db1_gpio_in(db1_gpio_in), .db1_gpio_out(db1_gpio_out), .db1_gpio_ddr(db1_gpio_ddr), + .sen1(sen1), .sclk1(sclk1), .mosi1(mosi1), .miso1(miso1), + .radio_led1(led1), .radio1_misc_out(radio1_misc_out), .radio1_misc_in(radio1_misc_in), + // I2C bus + .db_scl(DB_SCL), .db_sda(DB_SDA), + // External clock gen + .ext_ref_clk(ref_clk), + .clock_ref_sel(ClockRefSelect), + .clock_misc_opt({GPSDO_PWR_ENA, TCXO_ENA}), + .LMK_Status({LMK_Status1_sync, LMK_Status0_sync}), .LMK_Holdover(LMK_Holdover_sync), .LMK_Lock(LMK_Lock_sync), .LMK_Sync(LMK_Sync_sync), + .LMK_SEN(LMK_SEN), .LMK_SCLK(LMK_SCLK), .LMK_MOSI(LMK_MOSI), + .misc_clock_status({1'b0, adc_idlyctrl_rdy_sync, radio_clk_locked_sync}), + // SFP+ 0 flags + .SFPP0_SCL(SFPP0_SCL), .SFPP0_SDA(SFPP0_SDA), .SFPP0_ModAbs(SFPP0_ModAbs), .SFPP0_TxFault(SFPP0_TxFault), + .SFPP0_RxLOS(SFPP0_RxLOS), .SFPP0_RS1(SFPP0_RS1), .SFPP0_RS0(SFPP0_RS0), + // SFP+ 1 flags + .SFPP1_SCL(SFPP1_SCL), .SFPP1_SDA(SFPP1_SDA), .SFPP1_ModAbs(SFPP1_ModAbs), .SFPP1_TxFault(SFPP1_TxFault), + .SFPP1_RxLOS(SFPP1_RxLOS), .SFPP1_RS1(SFPP1_RS1), .SFPP1_RS0(SFPP1_RS0), + // SFP+ 0 data stream + .sfp0_tx_tdata(sfp0_tx_tdata), .sfp0_tx_tuser(sfp0_tx_tuser), .sfp0_tx_tlast(sfp0_tx_tlast), + .sfp0_tx_tvalid(sfp0_tx_tvalid), .sfp0_tx_tready(sfp0_tx_tready), + .sfp0_rx_tdata(sfp0_rx_tdata), .sfp0_rx_tuser(sfp0_rx_tuser), .sfp0_rx_tlast(sfp0_rx_tlast), + .sfp0_rx_tvalid(sfp0_rx_tvalid), .sfp0_rx_tready(sfp0_rx_tready), + .sfp0_phy_status(sfp0_phy_status), + // SFP+ 1 data stream + .sfp1_tx_tdata(sfp1_tx_tdata), .sfp1_tx_tuser(sfp1_tx_tuser), .sfp1_tx_tlast(sfp1_tx_tlast), + .sfp1_tx_tvalid(sfp1_tx_tvalid), .sfp1_tx_tready(sfp1_tx_tready), + .sfp1_rx_tdata(sfp1_rx_tdata), .sfp1_rx_tuser(sfp1_rx_tuser), .sfp1_rx_tlast(sfp1_rx_tlast), + .sfp1_rx_tvalid(sfp1_rx_tvalid), .sfp1_rx_tready(sfp1_rx_tready), + .sfp1_phy_status(sfp1_phy_status), + // Wishbone Slave Interface(s) + .sfp0_wb_dat_i(sfp0_wb_dat_i), .sfp0_wb_dat_o(sfp0_wb_dat_o), .sfp0_wb_adr(sfp0_wb_adr), + .sfp0_wb_sel(), .sfp0_wb_ack(sfp0_wb_ack), .sfp0_wb_stb(sfp0_wb_stb), + .sfp0_wb_cyc(sfp0_wb_cyc), .sfp0_wb_we(sfp0_wb_we), .sfp0_wb_int(sfp0_wb_int), + .sfp1_wb_dat_i(sfp1_wb_dat_i), .sfp1_wb_dat_o(sfp1_wb_dat_o), .sfp1_wb_adr(sfp1_wb_adr), + .sfp1_wb_sel(), .sfp1_wb_ack(sfp1_wb_ack), .sfp1_wb_stb(sfp1_wb_stb), + .sfp1_wb_cyc(sfp1_wb_cyc), .sfp1_wb_we(sfp1_wb_we), .sfp1_wb_int(sfp1_wb_int), + // Time + .pps(pps),.pps_select(pps_select), .pps_out_enb(pps_out_enb), + .ref_freq(ref_freq), .ref_freq_changed(ref_freq_changed), + // GPS Signals + .gps_txd(GPS_SER_IN), .gps_rxd(GPS_SER_OUT), + // Debug UART + .debug_rxd(debug_rxd), .debug_txd(debug_txd), + // Misc. + .led_misc(leds), + .xadc_readback({20'h0, device_temp}), + .debug0(), .debug1(), .debug2(), + // DRAM signals. + .ddr3_axi_clk (ddr3_axi_clk), + .ddr3_axi_clk_x2 (ddr3_axi_clk_x2), + .ddr3_axi_rst (ddr3_axi_rst), + // Slave Interface Write Address Ports + .ddr3_axi_awid (s_axi_awid), + .ddr3_axi_awaddr (s_axi_awaddr), + .ddr3_axi_awlen (s_axi_awlen), + .ddr3_axi_awsize (s_axi_awsize), + .ddr3_axi_awburst (s_axi_awburst), + .ddr3_axi_awlock (s_axi_awlock), + .ddr3_axi_awcache (s_axi_awcache), + .ddr3_axi_awprot (s_axi_awprot), + .ddr3_axi_awqos (s_axi_awqos), + .ddr3_axi_awvalid (s_axi_awvalid), + .ddr3_axi_awready (s_axi_awready), + // Slave Interface Write Data Ports + .ddr3_axi_wdata (s_axi_wdata), + .ddr3_axi_wstrb (s_axi_wstrb), + .ddr3_axi_wlast (s_axi_wlast), + .ddr3_axi_wvalid (s_axi_wvalid), + .ddr3_axi_wready (s_axi_wready), + // Slave Interface Write Response Ports + .ddr3_axi_bid (s_axi_bid), + .ddr3_axi_bresp (s_axi_bresp), + .ddr3_axi_bvalid (s_axi_bvalid), + .ddr3_axi_bready (s_axi_bready), + // Slave Interface Read Address Ports + .ddr3_axi_arid (s_axi_arid), + .ddr3_axi_araddr (s_axi_araddr), + .ddr3_axi_arlen (s_axi_arlen), + .ddr3_axi_arsize (s_axi_arsize), + .ddr3_axi_arburst (s_axi_arburst), + .ddr3_axi_arlock (s_axi_arlock), + .ddr3_axi_arcache (s_axi_arcache), + .ddr3_axi_arprot (s_axi_arprot), + .ddr3_axi_arqos (s_axi_arqos), + .ddr3_axi_arvalid (s_axi_arvalid), + .ddr3_axi_arready (s_axi_arready), + // Slave Interface Read Data Ports + .ddr3_axi_rid (s_axi_rid), + .ddr3_axi_rdata (s_axi_rdata), + .ddr3_axi_rresp (s_axi_rresp), + .ddr3_axi_rlast (s_axi_rlast), + .ddr3_axi_rvalid (s_axi_rvalid), + .ddr3_axi_rready (s_axi_rready), + // IoPort2 Message FIFOs + .o_iop2_msg_tdata (o_iop2_msg_tdata), + .o_iop2_msg_tvalid (o_iop2_msg_tvalid), + .o_iop2_msg_tlast (o_iop2_msg_tlast), + .o_iop2_msg_tready (o_iop2_msg_tready), + .i_iop2_msg_tdata (i_iop2_msg_tdata), + .i_iop2_msg_tvalid (i_iop2_msg_tvalid), + .i_iop2_msg_tlast (i_iop2_msg_tlast), + .i_iop2_msg_tready (i_iop2_msg_tready), + // PCIe DMA Data + .pcio_tdata (pcio_tdata), + .pcio_tuser (pcio_tuser), + .pcio_tlast (pcio_tlast), + .pcio_tvalid (pcio_tvalid), + .pcio_tready (pcio_tready), + .pcii_tdata (pcii_tdata), + .pcii_tuser (pcii_tuser), + .pcii_tlast (pcii_tlast), + .pcii_tvalid (pcii_tvalid), + .pcii_tready (pcii_tready) + ); + + assign {DB_ADC_RESET, DB_DAC_RESET,DB0_DAC_ENABLE} = radio0_misc_out[2:0]; + assign {DB1_DAC_ENABLE} = radio1_misc_out[0]; //[2:1] unused + + ///////////////////////////////////////////////////////////////////// + // + // PUDC Workaround + // + ////////////////////////////////////////////////////////////////////// + // This is a workaround for a silicon bug in Series 7 FPGA where a + // race condition with the reading of PUDC during the erase of the FPGA + // image cause glitches on output IO pins. This glitch happens even if + // you have PUDC correctly pulled high!!  When PUDC is high the pull up + // resistor should never be enabled on the IO lines, however there is a + // race condition that causes this to not be the case. + // + // Workaround: + // - Define the PUDC pin in the XDC file with a pullup. + // - Implements an IBUF on the PUDC input and make sure that it does + // not get optimized out. + (* dont_touch = "true" *) wire fpga_pudc_b_buf; + IBUF pudc_ibuf_i ( + .I(FPGA_PUDC_B), + .O(fpga_pudc_b_buf)); + +endmodule // x300 diff --git a/fpga/usrp3/top/x300/x300.xdc b/fpga/usrp3/top/x300/x300.xdc new file mode 100644 index 000000000..11290b79f --- /dev/null +++ b/fpga/usrp3/top/x300/x300.xdc @@ -0,0 +1,710 @@ +# +# Copyright 2014 Ettus Research LLC +# + +#******************************************************************************* +# X3x0 Pin Mapping +#******************************************************************************* + +#******************************************************************************* +## SFP Lanes + +# SFP clock pins now come from their own ucf files. See _10ge.ucf, _1ge.ucf, and _cpri.ucf +# NOTE: In the schematic SFP0 signals are prefixed SFP1 and SFP1 signals are prefixed SFP2 +set_property PACKAGE_PIN AA3 [get_ports SFP0_RX_n] +set_property PACKAGE_PIN AA4 [get_ports SFP0_RX_p] +# set_property IOSTANDARD LVDS [get_ports {SFP0_RX_*}] + +set_property PACKAGE_PIN Y1 [get_ports SFP0_TX_n] +set_property PACKAGE_PIN Y2 [get_ports SFP0_TX_p] +# set_property IOSTANDARD LVDS [get_ports {SFP0_TX_*}] + +set_property PACKAGE_PIN T5 [get_ports SFP1_RX_n] +set_property PACKAGE_PIN T6 [get_ports SFP1_RX_p] +# set_property IOSTANDARD LVDS [get_ports {SFP1_RX_*}] + +set_property PACKAGE_PIN P1 [get_ports SFP1_TX_n] +set_property PACKAGE_PIN P2 [get_ports SFP1_TX_p] +# set_property IOSTANDARD LVDS [get_ports {SFP1_TX_*}] + +#******************************************************************************* +## ADC 0 + +set_property PACKAGE_PIN L27 [get_ports DB0_ADC_DA0_N] +set_property PACKAGE_PIN L26 [get_ports DB0_ADC_DA0_P] +set_property PACKAGE_PIN K29 [get_ports DB0_ADC_DA1_N] +set_property PACKAGE_PIN K28 [get_ports DB0_ADC_DA1_P] +set_property PACKAGE_PIN L28 [get_ports DB0_ADC_DA2_N] +set_property PACKAGE_PIN M28 [get_ports DB0_ADC_DA2_P] +set_property PACKAGE_PIN C30 [get_ports DB0_ADC_DA3_N] ;# In 3.3V bank +set_property PACKAGE_PIN D29 [get_ports DB0_ADC_DA3_P] ;# In 3.3V bank +set_property PACKAGE_PIN J24 [get_ports DB0_ADC_DA4_N] +set_property PACKAGE_PIN J23 [get_ports DB0_ADC_DA4_P] +set_property PACKAGE_PIN L23 [get_ports DB0_ADC_DA5_N] +set_property PACKAGE_PIN L22 [get_ports DB0_ADC_DA5_P] +set_property PACKAGE_PIN K24 [get_ports DB0_ADC_DA6_N] +set_property PACKAGE_PIN K23 [get_ports DB0_ADC_DA6_P] +set_property IOSTANDARD LVDS_25 [get_ports {DB0_ADC_DA*}] + +set_property DIFF_TERM TRUE [get_ports {DB0_ADC_DA*}] +# Bit 3 is in the 3.3V bank and does no support diff termination +set_property DIFF_TERM FALSE [get_ports DB0_ADC_DA3_*] + +set_property PACKAGE_PIN K21 [get_ports DB0_ADC_DB0_N] +set_property PACKAGE_PIN L21 [get_ports DB0_ADC_DB0_P] +set_property PACKAGE_PIN J22 [get_ports DB0_ADC_DB1_N] +set_property PACKAGE_PIN J21 [get_ports DB0_ADC_DB1_P] +set_property PACKAGE_PIN L20 [get_ports DB0_ADC_DB2_N] +set_property PACKAGE_PIN M20 [get_ports DB0_ADC_DB2_P] +set_property PACKAGE_PIN H29 [get_ports DB0_ADC_DB3_N] +set_property PACKAGE_PIN J29 [get_ports DB0_ADC_DB3_P] +set_property PACKAGE_PIN J28 [get_ports DB0_ADC_DB4_N] +set_property PACKAGE_PIN J27 [get_ports DB0_ADC_DB4_P] +set_property PACKAGE_PIN K30 [get_ports DB0_ADC_DB5_N] +set_property PACKAGE_PIN L30 [get_ports DB0_ADC_DB5_P] +set_property PACKAGE_PIN J26 [get_ports DB0_ADC_DB6_N] +set_property PACKAGE_PIN K26 [get_ports DB0_ADC_DB6_P] +set_property IOSTANDARD LVDS_25 [get_ports {DB0_ADC_DB*}] + +set_property DIFF_TERM TRUE [get_ports {DB0_ADC_DB*}] + +set_property PACKAGE_PIN K25 [get_ports DB0_ADC_DCLK_N] +set_property PACKAGE_PIN L25 [get_ports DB0_ADC_DCLK_P] +set_property IOSTANDARD LVDS_25 [get_ports {DB0_ADC_DCLK_*}] + +#******************************************************************************* +## ADC 1 + +set_property PACKAGE_PIN D18 [get_ports DB1_ADC_DA0_N] +set_property PACKAGE_PIN D17 [get_ports DB1_ADC_DA0_P] +set_property PACKAGE_PIN D19 [get_ports DB1_ADC_DA1_N] +set_property PACKAGE_PIN E19 [get_ports DB1_ADC_DA1_P] +set_property PACKAGE_PIN L18 [get_ports DB1_ADC_DA2_N] +set_property PACKAGE_PIN L17 [get_ports DB1_ADC_DA2_P] +set_property PACKAGE_PIN J13 [get_ports DB1_ADC_DA3_N] ;# In 3.3V bank +set_property PACKAGE_PIN K13 [get_ports DB1_ADC_DA3_P] ;# In 3.3V bank +set_property PACKAGE_PIN H17 [get_ports DB1_ADC_DA4_N] +set_property PACKAGE_PIN J17 [get_ports DB1_ADC_DA4_P] +set_property PACKAGE_PIN F18 [get_ports DB1_ADC_DA5_N] +set_property PACKAGE_PIN G18 [get_ports DB1_ADC_DA5_P] +set_property PACKAGE_PIN H19 [get_ports DB1_ADC_DA6_N] +set_property PACKAGE_PIN J19 [get_ports DB1_ADC_DA6_P] +set_property IOSTANDARD LVDS_25 [get_ports {DB1_ADC_DA*}] + +set_property DIFF_TERM TRUE [get_ports {DB1_ADC_DA*}] +# Bit 3 is in the 3.3V bank and does no support diff termination +set_property DIFF_TERM FALSE [get_ports DB1_ADC_DA3_*] + +set_property PACKAGE_PIN J18 [get_ports DB1_ADC_DB0_N] +set_property PACKAGE_PIN K18 [get_ports DB1_ADC_DB0_P] +set_property PACKAGE_PIN C21 [get_ports DB1_ADC_DB1_N] +set_property PACKAGE_PIN D21 [get_ports DB1_ADC_DB1_P] +set_property PACKAGE_PIN K20 [get_ports DB1_ADC_DB2_N] +set_property PACKAGE_PIN K19 [get_ports DB1_ADC_DB2_P] +set_property PACKAGE_PIN F22 [get_ports DB1_ADC_DB3_N] +set_property PACKAGE_PIN G22 [get_ports DB1_ADC_DB3_P] +set_property PACKAGE_PIN G20 [get_ports DB1_ADC_DB4_N] +set_property PACKAGE_PIN H20 [get_ports DB1_ADC_DB4_P] +set_property PACKAGE_PIN C22 [get_ports DB1_ADC_DB5_N] +set_property PACKAGE_PIN D22 [get_ports DB1_ADC_DB5_P] +set_property PACKAGE_PIN H22 [get_ports DB1_ADC_DB6_N] +set_property PACKAGE_PIN H21 [get_ports DB1_ADC_DB6_P] +set_property IOSTANDARD LVDS_25 [get_ports {DB1_ADC_DB*}] + +set_property DIFF_TERM TRUE [get_ports {DB1_ADC_DB*}] + +set_property PACKAGE_PIN E20 [get_ports DB1_ADC_DCLK_N] +set_property PACKAGE_PIN F20 [get_ports DB1_ADC_DCLK_P] +set_property IOSTANDARD LVDS_25 [get_ports {DB1_ADC_DCLK_*}] + +#******************************************************************************* +## DAC 0 + +set_property PACKAGE_PIN M30 [get_ports DB0_DAC_D0_N] +set_property PACKAGE_PIN M29 [get_ports DB0_DAC_D0_P] +set_property PACKAGE_PIN M27 [get_ports DB0_DAC_D1_N] +set_property PACKAGE_PIN N27 [get_ports DB0_DAC_D1_P] +set_property PACKAGE_PIN N30 [get_ports DB0_DAC_D2_N] +set_property PACKAGE_PIN N29 [get_ports DB0_DAC_D2_P] +set_property PACKAGE_PIN N26 [get_ports DB0_DAC_D3_N] +set_property PACKAGE_PIN N25 [get_ports DB0_DAC_D3_P] +set_property PACKAGE_PIN N20 [get_ports DB0_DAC_D4_N] +set_property PACKAGE_PIN N19 [get_ports DB0_DAC_D4_P] +set_property PACKAGE_PIN N22 [get_ports DB0_DAC_D5_N] +set_property PACKAGE_PIN N21 [get_ports DB0_DAC_D5_P] +set_property PACKAGE_PIN N24 [get_ports DB0_DAC_D6_N] +set_property PACKAGE_PIN P23 [get_ports DB0_DAC_D6_P] +set_property PACKAGE_PIN P22 [get_ports DB0_DAC_D7_N] +set_property PACKAGE_PIN P21 [get_ports DB0_DAC_D7_P] +set_property IOSTANDARD LVDS_25 [get_ports {DB0_DAC_D*}] + +set_property PACKAGE_PIN M23 [get_ports DB0_DAC_DCI_N] +set_property PACKAGE_PIN M22 [get_ports DB0_DAC_DCI_P] +set_property IOSTANDARD LVDS_25 [get_ports {DB0_DAC_DCI_*}] + +set_property PACKAGE_PIN M25 [get_ports DB0_DAC_FRAME_N] +set_property PACKAGE_PIN M24 [get_ports DB0_DAC_FRAME_P] +set_property IOSTANDARD LVDS_25 [get_ports {DB0_DAC_FRAME_*}] + +#******************************************************************************* +## DAC 1 + +set_property PACKAGE_PIN B17 [get_ports DB1_DAC_D0_N] +set_property PACKAGE_PIN C17 [get_ports DB1_DAC_D0_P] +set_property PACKAGE_PIN F17 [get_ports DB1_DAC_D1_N] +set_property PACKAGE_PIN G17 [get_ports DB1_DAC_D1_P] +set_property PACKAGE_PIN A17 [get_ports DB1_DAC_D2_N] +set_property PACKAGE_PIN A16 [get_ports DB1_DAC_D2_P] +set_property PACKAGE_PIN A18 [get_ports DB1_DAC_D3_N] +set_property PACKAGE_PIN B18 [get_ports DB1_DAC_D3_P] +set_property PACKAGE_PIN A21 [get_ports DB1_DAC_D4_N] +set_property PACKAGE_PIN A20 [get_ports DB1_DAC_D4_P] +set_property PACKAGE_PIN B20 [get_ports DB1_DAC_D5_N] +set_property PACKAGE_PIN C20 [get_ports DB1_DAC_D5_P] +set_property PACKAGE_PIN A22 [get_ports DB1_DAC_D6_N] +set_property PACKAGE_PIN B22 [get_ports DB1_DAC_D6_P] +set_property PACKAGE_PIN B19 [get_ports DB1_DAC_D7_N] +set_property PACKAGE_PIN C19 [get_ports DB1_DAC_D7_P] +set_property IOSTANDARD LVDS_25 [get_ports {DB1_DAC_D*}] + +set_property PACKAGE_PIN E21 [get_ports DB1_DAC_DCI_N] +set_property PACKAGE_PIN F21 [get_ports DB1_DAC_DCI_P] +set_property IOSTANDARD LVDS_25 [get_ports {DB1_DAC_DCI_*}] + +set_property PACKAGE_PIN C16 [get_ports DB1_DAC_FRAME_N] +set_property PACKAGE_PIN D16 [get_ports DB1_DAC_FRAME_P] +set_property IOSTANDARD LVDS_25 [get_ports {DB1_DAC_FRAME_*}] + +#******************************************************************************* +## DB0 GPIO + +set_property PACKAGE_PIN G25 [get_ports {DB0_RX_IO[0]}] +set_property PACKAGE_PIN G30 [get_ports {DB0_RX_IO[1]}] +set_property PACKAGE_PIN H30 [get_ports {DB0_RX_IO[2]}] +set_property PACKAGE_PIN H27 [get_ports {DB0_RX_IO[3]}] +set_property PACKAGE_PIN H26 [get_ports {DB0_RX_IO[4]}] +set_property PACKAGE_PIN F30 [get_ports {DB0_RX_IO[5]}] +set_property PACKAGE_PIN G29 [get_ports {DB0_RX_IO[6]}] +set_property PACKAGE_PIN F27 [get_ports {DB0_RX_IO[7]}] +set_property PACKAGE_PIN G27 [get_ports {DB0_RX_IO[8]}] +set_property PACKAGE_PIN F28 [get_ports {DB0_RX_IO[9]}] +set_property PACKAGE_PIN G28 [get_ports {DB0_RX_IO[10]}] +set_property PACKAGE_PIN H25 [get_ports {DB0_RX_IO[11]}] +set_property PACKAGE_PIN H24 [get_ports {DB0_RX_IO[12]}] +set_property PACKAGE_PIN E30 [get_ports {DB0_RX_IO[13]}] +set_property PACKAGE_PIN E29 [get_ports {DB0_RX_IO[14]}] +set_property PACKAGE_PIN A30 [get_ports {DB0_RX_IO[15]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DB0_RX_IO*}] + +set_property PACKAGE_PIN B25 [get_ports {DB0_TX_IO[0]}] +set_property PACKAGE_PIN C25 [get_ports {DB0_TX_IO[1]}] +set_property PACKAGE_PIN C26 [get_ports {DB0_TX_IO[2]}] +set_property PACKAGE_PIN D26 [get_ports {DB0_TX_IO[3]}] +set_property PACKAGE_PIN A26 [get_ports {DB0_TX_IO[4]}] +set_property PACKAGE_PIN A25 [get_ports {DB0_TX_IO[5]}] +set_property PACKAGE_PIN A28 [get_ports {DB0_TX_IO[6]}] +set_property PACKAGE_PIN B28 [get_ports {DB0_TX_IO[7]}] +set_property PACKAGE_PIN B24 [get_ports {DB0_TX_IO[8]}] +set_property PACKAGE_PIN C24 [get_ports {DB0_TX_IO[9]}] +set_property PACKAGE_PIN A27 [get_ports {DB0_TX_IO[10]}] +set_property PACKAGE_PIN B27 [get_ports {DB0_TX_IO[11]}] +set_property PACKAGE_PIN G24 [get_ports {DB0_TX_IO[12]}] +set_property PACKAGE_PIN G23 [get_ports {DB0_TX_IO[13]}] +set_property PACKAGE_PIN E26 [get_ports {DB0_TX_IO[14]}] +set_property PACKAGE_PIN F26 [get_ports {DB0_TX_IO[15]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DB0_TX_IO*}] + +#******************************************************************************* +## DB1 GPIO + +set_property PACKAGE_PIN F16 [get_ports {DB1_RX_IO[0]}] +set_property PACKAGE_PIN A15 [get_ports {DB1_RX_IO[1]}] +set_property PACKAGE_PIN B14 [get_ports {DB1_RX_IO[2]}] +set_property PACKAGE_PIN B15 [get_ports {DB1_RX_IO[3]}] +set_property PACKAGE_PIN C15 [get_ports {DB1_RX_IO[4]}] +set_property PACKAGE_PIN A13 [get_ports {DB1_RX_IO[5]}] +set_property PACKAGE_PIN B13 [get_ports {DB1_RX_IO[6]}] +set_property PACKAGE_PIN C14 [get_ports {DB1_RX_IO[7]}] +set_property PACKAGE_PIN D14 [get_ports {DB1_RX_IO[8]}] +set_property PACKAGE_PIN E15 [get_ports {DB1_RX_IO[9]}] +set_property PACKAGE_PIN E14 [get_ports {DB1_RX_IO[10]}] +set_property PACKAGE_PIN E16 [get_ports {DB1_RX_IO[11]}] +set_property PACKAGE_PIN F15 [get_ports {DB1_RX_IO[12]}] +set_property PACKAGE_PIN C11 [get_ports {DB1_RX_IO[13]}] +set_property PACKAGE_PIN D11 [get_ports {DB1_RX_IO[14]}] +set_property PACKAGE_PIN A12 [get_ports {DB1_RX_IO[15]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DB1_RX_IO*}] + +set_property PACKAGE_PIN F13 [get_ports {DB1_TX_IO[0]}] +set_property PACKAGE_PIN G13 [get_ports {DB1_TX_IO[1]}] +set_property PACKAGE_PIN G14 [get_ports {DB1_TX_IO[2]}] +set_property PACKAGE_PIN H14 [get_ports {DB1_TX_IO[3]}] +set_property PACKAGE_PIN H12 [get_ports {DB1_TX_IO[4]}] +set_property PACKAGE_PIN H11 [get_ports {DB1_TX_IO[5]}] +set_property PACKAGE_PIN H16 [get_ports {DB1_TX_IO[6]}] +set_property PACKAGE_PIN J16 [get_ports {DB1_TX_IO[7]}] +set_property PACKAGE_PIN J12 [get_ports {DB1_TX_IO[8]}] +set_property PACKAGE_PIN J11 [get_ports {DB1_TX_IO[9]}] +set_property PACKAGE_PIN G15 [get_ports {DB1_TX_IO[10]}] +set_property PACKAGE_PIN H15 [get_ports {DB1_TX_IO[11]}] +set_property PACKAGE_PIN K11 [get_ports {DB1_TX_IO[12]}] +set_property PACKAGE_PIN L11 [get_ports {DB1_TX_IO[13]}] +set_property PACKAGE_PIN J14 [get_ports {DB1_TX_IO[14]}] +set_property PACKAGE_PIN K14 [get_ports {DB1_TX_IO[15]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DB1_TX_IO*}] + +#******************************************************************************* +## DB0 SPI + +set_property PACKAGE_PIN AE14 [get_ports DB0_DAC_SEN] +set_property IOSTANDARD LVCMOS18 [get_ports DB0_DAC_SEN] + +set_property PACKAGE_PIN B29 [get_ports DB0_ADC_SEN] +set_property IOSTANDARD LVCMOS33 [get_ports DB0_ADC_SEN] + +set_property PACKAGE_PIN E24 [get_ports DB0_MOSI] +set_property IOSTANDARD LVCMOS33 [get_ports DB0_MOSI] + +set_property PACKAGE_PIN C27 [get_ports DB0_RX_LSADC_MISO] +set_property IOSTANDARD LVCMOS33 [get_ports DB0_RX_LSADC_MISO] + +set_property PACKAGE_PIN E28 [get_ports DB0_RX_LSADC_SEN] +set_property IOSTANDARD LVCMOS33 [get_ports DB0_RX_LSADC_SEN] + +set_property PACKAGE_PIN D27 [get_ports DB0_RX_LSDAC_SEN] +set_property IOSTANDARD LVCMOS33 [get_ports DB0_RX_LSDAC_SEN] + +set_property PACKAGE_PIN C29 [get_ports DB0_RX_MISO] +set_property IOSTANDARD LVCMOS33 [get_ports DB0_RX_MISO] + +set_property PACKAGE_PIN D28 [get_ports DB0_RX_SEN] +set_property IOSTANDARD LVCMOS33 [get_ports DB0_RX_SEN] + +set_property PACKAGE_PIN D24 [get_ports DB0_SCLK] +set_property IOSTANDARD LVCMOS33 [get_ports DB0_SCLK] + +set_property PACKAGE_PIN B23 [get_ports DB0_TX_LSADC_MISO] +set_property IOSTANDARD LVCMOS33 [get_ports DB0_TX_LSADC_MISO] + +set_property PACKAGE_PIN A23 [get_ports DB0_TX_LSADC_SEN] +set_property IOSTANDARD LVCMOS33 [get_ports DB0_TX_LSADC_SEN] + +set_property PACKAGE_PIN F23 [get_ports DB0_TX_LSDAC_SEN] +set_property IOSTANDARD LVCMOS33 [get_ports DB0_TX_LSDAC_SEN] + +set_property PACKAGE_PIN E23 [get_ports DB0_TX_MISO] +set_property IOSTANDARD LVCMOS33 [get_ports DB0_TX_MISO] + +set_property PACKAGE_PIN D23 [get_ports DB0_TX_SEN] +set_property IOSTANDARD LVCMOS33 [get_ports DB0_TX_SEN] + +#******************************************************************************* +# DB1 SPI + +set_property PACKAGE_PIN AE15 [get_ports DB1_DAC_SEN] +set_property IOSTANDARD LVCMOS18 [get_ports DB1_DAC_SEN] + +set_property PACKAGE_PIN B12 [get_ports DB1_ADC_SEN] +set_property IOSTANDARD LVCMOS33 [get_ports DB1_ADC_SEN] + +set_property PACKAGE_PIN L12 [get_ports DB1_MOSI] +set_property IOSTANDARD LVCMOS33 [get_ports DB1_MOSI] + +set_property PACKAGE_PIN D13 [get_ports DB1_RX_LSADC_MISO] +set_property IOSTANDARD LVCMOS33 [get_ports DB1_RX_LSADC_MISO] + +set_property PACKAGE_PIN F12 [get_ports DB1_RX_LSADC_SEN] +set_property IOSTANDARD LVCMOS33 [get_ports DB1_RX_LSADC_SEN] + +set_property PACKAGE_PIN D12 [get_ports DB1_RX_LSDAC_SEN] +set_property IOSTANDARD LVCMOS33 [get_ports DB1_RX_LSDAC_SEN] + +set_property PACKAGE_PIN C12 [get_ports DB1_RX_MISO] +set_property IOSTANDARD LVCMOS33 [get_ports DB1_RX_MISO] + +set_property PACKAGE_PIN E13 [get_ports DB1_RX_SEN] +set_property IOSTANDARD LVCMOS33 [get_ports DB1_RX_SEN] + +set_property PACKAGE_PIN L13 [get_ports DB1_SCLK] +set_property IOSTANDARD LVCMOS33 [get_ports DB1_SCLK] + +set_property PACKAGE_PIN L16 [get_ports DB1_TX_LSADC_MISO] +set_property IOSTANDARD LVCMOS33 [get_ports DB1_TX_LSADC_MISO] + +set_property PACKAGE_PIN K16 [get_ports DB1_TX_LSADC_SEN] +set_property IOSTANDARD LVCMOS33 [get_ports DB1_TX_LSADC_SEN] + +set_property PACKAGE_PIN G12 [get_ports DB1_TX_LSDAC_SEN] +set_property IOSTANDARD LVCMOS33 [get_ports DB1_TX_LSDAC_SEN] + +set_property PACKAGE_PIN L15 [get_ports DB1_TX_MISO] +set_property IOSTANDARD LVCMOS33 [get_ports DB1_TX_MISO] + +set_property PACKAGE_PIN K15 [get_ports DB1_TX_SEN] +set_property IOSTANDARD LVCMOS33 [get_ports DB1_TX_SEN] + +#******************************************************************************* +## DB Misc + +set_property PACKAGE_PIN AB15 [get_ports DB_DAC_MOSI] +set_property IOSTANDARD LVCMOS18 [get_ports DB_DAC_MOSI] + +set_property PACKAGE_PIN AA15 [get_ports DB_DAC_SCLK] +set_property IOSTANDARD LVCMOS18 [get_ports DB_DAC_SCLK] + +set_property PACKAGE_PIN F25 [get_ports DB_SCL] +set_property IOSTANDARD LVCMOS33 [get_ports DB_SCL] + +set_property PACKAGE_PIN E25 [get_ports DB_SDA] +set_property IOSTANDARD LVCMOS33 [get_ports DB_SDA] + +set_property PACKAGE_PIN B30 [get_ports DB_ADC_RESET] +set_property IOSTANDARD LVCMOS33 [get_ports DB_ADC_RESET] + +set_property PACKAGE_PIN AC16 [get_ports DB_DAC_RESET] +set_property IOSTANDARD LVCMOS18 [get_ports DB_DAC_RESET] + +set_property PACKAGE_PIN AC14 [get_ports DB0_DAC_ENABLE] +set_property IOSTANDARD LVCMOS18 [get_ports DB0_DAC_ENABLE] + +set_property PACKAGE_PIN AC15 [get_ports DB1_DAC_ENABLE] +set_property IOSTANDARD LVCMOS18 [get_ports DB1_DAC_ENABLE] + +#******************************************************************************* +## STC3 Pin Mapping + +set_property PACKAGE_PIN AC27 [get_ports aIoResetIn_n] +set_property IOSTANDARD LVTTL [get_ports aIoResetIn_n] + +set_property PACKAGE_PIN AH29 [get_ports aIoReadyIn] +set_property IOSTANDARD LVTTL [get_ports aIoReadyIn] + +set_property PACKAGE_PIN AE28 [get_ports aIoReadyOut] +set_property IOSTANDARD LVTTL [get_ports aIoReadyOut] + +set_property PACKAGE_PIN AD18 [get_ports IoRxClock] +set_property PACKAGE_PIN AE18 [get_ports IoRxClock_n] +set_property IOSTANDARD LVDS [get_ports {IoRxClock*}] +set_property DIFF_TERM TRUE [get_ports {IoRxClock*}] + +set_property PACKAGE_PIN AK18 [get_ports {irIoRxData_n[0]}] +set_property PACKAGE_PIN AJ17 [get_ports {irIoRxData_n[1]}] +set_property PACKAGE_PIN AK19 [get_ports {irIoRxData_n[2]}] +set_property PACKAGE_PIN AG14 [get_ports {irIoRxData_n[3]}] +set_property PACKAGE_PIN AH15 [get_ports {irIoRxData_n[4]}] +set_property PACKAGE_PIN Y18 [get_ports {irIoRxData_n[5]}] +set_property PACKAGE_PIN AG17 [get_ports {irIoRxData_n[6]}] +set_property PACKAGE_PIN AE19 [get_ports {irIoRxData_n[7]}] +set_property PACKAGE_PIN AC17 [get_ports {irIoRxData_n[8]}] +set_property PACKAGE_PIN AD16 [get_ports {irIoRxData_n[9]}] +set_property PACKAGE_PIN AJ16 [get_ports {irIoRxData_n[10]}] +set_property PACKAGE_PIN AC19 [get_ports {irIoRxData_n[11]}] +set_property PACKAGE_PIN AB18 [get_ports {irIoRxData_n[12]}] +set_property PACKAGE_PIN AF16 [get_ports {irIoRxData_n[13]}] +set_property PACKAGE_PIN AH19 [get_ports {irIoRxData_n[14]}] +set_property PACKAGE_PIN AK15 [get_ports {irIoRxData_n[15]}] + +set_property PACKAGE_PIN AJ18 [get_ports {irIoRxData[0]}] +set_property PACKAGE_PIN AH17 [get_ports {irIoRxData[1]}] +set_property PACKAGE_PIN AJ19 [get_ports {irIoRxData[2]}] +set_property PACKAGE_PIN AF15 [get_ports {irIoRxData[3]}] +set_property PACKAGE_PIN AG15 [get_ports {irIoRxData[4]}] +set_property PACKAGE_PIN Y19 [get_ports {irIoRxData[5]}] +set_property PACKAGE_PIN AF17 [get_ports {irIoRxData[6]}] +set_property PACKAGE_PIN AD19 [get_ports {irIoRxData[7]}] +set_property PACKAGE_PIN AB17 [get_ports {irIoRxData[8]}] +set_property PACKAGE_PIN AD17 [get_ports {irIoRxData[9]}] +set_property PACKAGE_PIN AH16 [get_ports {irIoRxData[10]}] +set_property PACKAGE_PIN AB19 [get_ports {irIoRxData[11]}] +set_property PACKAGE_PIN AA18 [get_ports {irIoRxData[12]}] +set_property PACKAGE_PIN AE16 [get_ports {irIoRxData[13]}] +set_property PACKAGE_PIN AG19 [get_ports {irIoRxData[14]}] +set_property PACKAGE_PIN AK16 [get_ports {irIoRxData[15]}] + +set_property IOSTANDARD LVDS [get_ports {irIoRxData*}] +set_property DIFF_TERM TRUE [get_ports {irIoRxData*}] + +set_property IOSTANDARD LVDS [get_ports {irIoRxHeader*}] +set_property DIFF_TERM TRUE [get_ports {irIoRxHeader*}] +set_property PACKAGE_PIN AF18 [get_ports irIoRxHeader] +set_property PACKAGE_PIN AG18 [get_ports irIoRxHeader_n] + +set_property IOSTANDARD LVDS_25 [get_ports {IoTxClock*}] +set_property PACKAGE_PIN AE24 [get_ports IoTxClock_n] +set_property PACKAGE_PIN AD23 [get_ports IoTxClock] + +set_property IOSTANDARD LVDS_25 [get_ports {itIoTxData*}] +set_property PACKAGE_PIN AF25 [get_ports {itIoTxData_n[0]}] +set_property PACKAGE_PIN AC25 [get_ports {itIoTxData_n[1]}] +set_property PACKAGE_PIN AH22 [get_ports {itIoTxData_n[2]}] +set_property PACKAGE_PIN AF21 [get_ports {itIoTxData_n[3]}] +set_property PACKAGE_PIN AD24 [get_ports {itIoTxData_n[4]}] +set_property PACKAGE_PIN AB20 [get_ports {itIoTxData_n[5]}] +set_property PACKAGE_PIN AA21 [get_ports {itIoTxData_n[6]}] +set_property PACKAGE_PIN AH25 [get_ports {itIoTxData_n[7]}] +set_property PACKAGE_PIN AB23 [get_ports {itIoTxData_n[8]}] +set_property PACKAGE_PIN AK25 [get_ports {itIoTxData_n[9]}] +set_property PACKAGE_PIN AK24 [get_ports {itIoTxData_n[10]}] +set_property PACKAGE_PIN AD22 [get_ports {itIoTxData_n[11]}] +set_property PACKAGE_PIN AF23 [get_ports {itIoTxData_n[12]}] +set_property PACKAGE_PIN AE21 [get_ports {itIoTxData_n[13]}] +set_property PACKAGE_PIN AC21 [get_ports {itIoTxData_n[14]}] +set_property PACKAGE_PIN AA23 [get_ports {itIoTxData_n[15]}] +set_property PACKAGE_PIN AE25 [get_ports {itIoTxData[0]}] +set_property PACKAGE_PIN AB24 [get_ports {itIoTxData[1]}] +set_property PACKAGE_PIN AG22 [get_ports {itIoTxData[2]}] +set_property PACKAGE_PIN AF20 [get_ports {itIoTxData[3]}] +set_property PACKAGE_PIN AC24 [get_ports {itIoTxData[4]}] +set_property PACKAGE_PIN AA20 [get_ports {itIoTxData[5]}] +set_property PACKAGE_PIN Y21 [get_ports {itIoTxData[6]}] +set_property PACKAGE_PIN AG25 [get_ports {itIoTxData[7]}] +set_property PACKAGE_PIN AB22 [get_ports {itIoTxData[8]}] +set_property PACKAGE_PIN AJ24 [get_ports {itIoTxData[9]}] +set_property PACKAGE_PIN AK23 [get_ports {itIoTxData[10]}] +set_property PACKAGE_PIN AC22 [get_ports {itIoTxData[11]}] +set_property PACKAGE_PIN AE23 [get_ports {itIoTxData[12]}] +set_property PACKAGE_PIN AD21 [get_ports {itIoTxData[13]}] +set_property PACKAGE_PIN AC20 [get_ports {itIoTxData[14]}] +set_property PACKAGE_PIN AA22 [get_ports {itIoTxData[15]}] + +set_property IOSTANDARD LVDS_25 [get_ports {itIoTxHeader*}] +set_property PACKAGE_PIN Y24 [get_ports itIoTxHeader_n] +set_property PACKAGE_PIN Y23 [get_ports itIoTxHeader] + +set_property IOSTANDARD LVTTL [get_ports aIrq] +set_property PACKAGE_PIN AF28 [get_ports aIrq] + +set_property IOSTANDARD LVCMOS33 [get_ports aIoPort2Restart] +set_property PULLUP TRUE [get_ports aIoPort2Restart] +set_property PACKAGE_PIN AE30 [get_ports aIoPort2Restart] + +set_property IOSTANDARD LVCMOS33 [get_ports aStc3Gpio7] +set_property PACKAGE_PIN AF30 [get_ports aStc3Gpio7] + +#******************************************************************************* +## Front Panel LEDs + +set_property PACKAGE_PIN AJ26 [get_ports LED_ACT1] +set_property PACKAGE_PIN AE26 [get_ports LED_ACT2] +set_property PACKAGE_PIN AF27 [get_ports LED_LINK1] +set_property PACKAGE_PIN AK26 [get_ports LED_LINK2] +set_property PACKAGE_PIN AF26 [get_ports LED_PPS] +set_property PACKAGE_PIN AH27 [get_ports LED_REFLOCK] +set_property PACKAGE_PIN U30 [get_ports LED_GPSLOCK] +set_property PACKAGE_PIN V27 [get_ports LED_LINKSTAT] +set_property PACKAGE_PIN V29 [get_ports LED_LINKACT] +set_property PACKAGE_PIN AD29 [get_ports LED_RX1_RX] +set_property PACKAGE_PIN AB30 [get_ports LED_RX2_RX] +set_property PACKAGE_PIN AA30 [get_ports LED_TXRX1_RX] +set_property PACKAGE_PIN Y30 [get_ports LED_TXRX1_TX] +set_property PACKAGE_PIN AB29 [get_ports LED_TXRX2_RX] +set_property PACKAGE_PIN AE29 [get_ports LED_TXRX2_TX] +set_property IOSTANDARD LVCMOS33 [get_ports {LED_*}] + +#******************************************************************************* +## Front panel GPIO on DB15 + +set_property PACKAGE_PIN Y25 [get_ports {FrontPanelGpio[0]}] +set_property PACKAGE_PIN AD27 [get_ports {FrontPanelGpio[1]}] +set_property PACKAGE_PIN AD28 [get_ports {FrontPanelGpio[2]}] +set_property PACKAGE_PIN AG30 [get_ports {FrontPanelGpio[3]}] +set_property PACKAGE_PIN AH30 [get_ports {FrontPanelGpio[4]}] +set_property PACKAGE_PIN AC26 [get_ports {FrontPanelGpio[5]}] +set_property PACKAGE_PIN AD26 [get_ports {FrontPanelGpio[6]}] +set_property PACKAGE_PIN AJ27 [get_ports {FrontPanelGpio[7]}] +set_property PACKAGE_PIN AK28 [get_ports {FrontPanelGpio[8]}] +set_property PACKAGE_PIN AG27 [get_ports {FrontPanelGpio[9]}] +set_property PACKAGE_PIN AG28 [get_ports {FrontPanelGpio[10]}] +set_property PACKAGE_PIN AH26 [get_ports {FrontPanelGpio[11]}] +set_property IOSTANDARD LVCMOS33 [get_ports {FrontPanelGpio*}] +set_property PULLDOWN TRUE [get_ports {FrontPanelGpio*}] + +#******************************************************************************* +## LMK04816 Clock Control + +set_property PACKAGE_PIN Y26 [get_ports {LMK_Status[0]}] +set_property PACKAGE_PIN AA26 [get_ports {LMK_Status[1]}] +set_property PACKAGE_PIN W27 [get_ports LMK_Holdover] +set_property PACKAGE_PIN W28 [get_ports LMK_Lock] +set_property PACKAGE_PIN T27 [get_ports LMK_Sync] +set_property PACKAGE_PIN U19 [get_ports LMK_SEN] +set_property PACKAGE_PIN Y28 [get_ports LMK_MOSI] +set_property PACKAGE_PIN AA28 [get_ports LMK_SCLK] +set_property IOSTANDARD LVCMOS33 [get_ports {LMK_*}] + +#******************************************************************************* +# Micrel chip control + +set_property PACKAGE_PIN W29 [get_ports {ClockRefSelect[0]}] +set_property PACKAGE_PIN Y29 [get_ports {ClockRefSelect[1]}] +set_property IOSTANDARD LVCMOS33 [get_ports {ClockRefSelect*}] + +#******************************************************************************* +## PPS, GPS and Timing + +set_property PACKAGE_PIN AB28 [get_ports GPS_LOCK_OK] +# set_property PACKAGE_PIN AA25 [get_ports GPS_NMEA_TX] +set_property PACKAGE_PIN AB25 [get_ports GPS_SER_IN] +set_property PACKAGE_PIN AC29 [get_ports GPS_SER_OUT] +set_property PACKAGE_PIN AA27 [get_ports GPS_PPS_OUT] +set_property IOSTANDARD LVCMOS33 [get_ports {GPS_*}] + +set_property PACKAGE_PIN AC30 [get_ports EXT_PPS_IN] +set_property PACKAGE_PIN T25 [get_ports EXT_PPS_OUT] +set_property IOSTANDARD LVCMOS33 [get_ports {EXT_PPS_*}] + +#******************************************************************************* +## Clocks + +set_property PACKAGE_PIN AB27 [get_ports FPGA_125MHz_CLK] +set_property IOSTANDARD LVCMOS33 [get_ports FPGA_125MHz_CLK] + +set_property PACKAGE_PIN AG23 [get_ports FPGA_CLK_n] +set_property IOSTANDARD LVDS_25 [get_ports FPGA_CLK_n] +set_property DIFF_TERM TRUE [get_ports FPGA_CLK_n] + +set_property PACKAGE_PIN AF22 [get_ports FPGA_CLK_p] +set_property IOSTANDARD LVDS_25 [get_ports FPGA_CLK_p] +set_property DIFF_TERM TRUE [get_ports FPGA_CLK_p] + +set_property PACKAGE_PIN E11 [get_ports GPSDO_PWR_ENA] +set_property IOSTANDARD LVCMOS33 [get_ports GPSDO_PWR_ENA] + +set_property PACKAGE_PIN A11 [get_ports TCXO_ENA] +set_property IOSTANDARD LVCMOS33 [get_ports TCXO_ENA] + +set_property PACKAGE_PIN AG24 [get_ports FPGA_REFCLK_10MHz_p] +set_property IOSTANDARD LVDS_25 [get_ports FPGA_REFCLK_10MHz_p] + +set_property PACKAGE_PIN AH24 [get_ports FPGA_REFCLK_10MHz_n] +set_property IOSTANDARD LVDS_25 [get_ports FPGA_REFCLK_10MHz_n] + +set_property PACKAGE_PIN AA17 [get_ports CPRI_CLK_OUT_P] +set_property IOSTANDARD LVDS [get_ports CPRI_CLK_OUT_P] + +set_property PACKAGE_PIN AA16 [get_ports CPRI_CLK_OUT_N] +set_property IOSTANDARD LVDS [get_ports CPRI_CLK_OUT_N] + +#******************************************************************************* +## SFP low-speed IO + +set_property PACKAGE_PIN U24 [get_ports SFPP0_SCL] +set_property IOSTANDARD LVCMOS33 [get_ports SFPP0_SCL] + +set_property PACKAGE_PIN V22 [get_ports SFPP0_SDA] +set_property IOSTANDARD LVCMOS33 [get_ports SFPP0_SDA] + +set_property PACKAGE_PIN W22 [get_ports SFPP0_RS0] +set_property IOSTANDARD LVCMOS33 [get_ports SFPP0_RS0] + +set_property PACKAGE_PIN W19 [get_ports SFPP0_RS1] +set_property IOSTANDARD LVCMOS33 [get_ports SFPP0_RS1] + +set_property PACKAGE_PIN V21 [get_ports SFPP0_TxDisable] ;# Open drain output +set_property IOSTANDARD LVCMOS33 [get_ports SFPP0_TxDisable] + +set_property PACKAGE_PIN V24 [get_ports SFPP0_ModAbs] ;# (IJB) Should pullup on pcb +set_property IOSTANDARD LVCMOS33 [get_ports SFPP0_ModAbs] + +set_property PACKAGE_PIN W21 [get_ports SFPP0_RxLOS] ;# Input +set_property IOSTANDARD LVCMOS33 [get_ports SFPP0_RxLOS] + +set_property PACKAGE_PIN U23 [get_ports SFPP0_TxFault] ;# Input +set_property IOSTANDARD LVCMOS33 [get_ports SFPP0_TxFault] + +set_property PACKAGE_PIN V19 [get_ports SFPP1_SCL] +set_property IOSTANDARD LVCMOS33 [get_ports SFPP1_SCL] + +set_property PACKAGE_PIN W26 [get_ports SFPP1_SDA] +set_property IOSTANDARD LVCMOS33 [get_ports SFPP1_SDA] + +set_property PACKAGE_PIN W24 [get_ports SFPP1_RS0] +set_property IOSTANDARD LVCMOS33 [get_ports SFPP1_RS0] + +set_property PACKAGE_PIN U22 [get_ports SFPP1_RS1] +set_property IOSTANDARD LVCMOS33 [get_ports SFPP1_RS1] + +set_property PACKAGE_PIN V25 [get_ports SFPP1_TxDisable] ;# Open drain output +set_property IOSTANDARD LVCMOS33 [get_ports SFPP1_TxDisable] + +set_property PACKAGE_PIN V20 [get_ports SFPP1_ModAbs] ;# (IJB) Should pullup on pcb +set_property IOSTANDARD LVCMOS33 [get_ports SFPP1_ModAbs] + +set_property PACKAGE_PIN W23 [get_ports SFPP1_RxLOS] ;# Input +set_property IOSTANDARD LVCMOS33 [get_ports SFPP1_RxLOS] + +set_property PACKAGE_PIN V30 [get_ports SFPP1_TxFault] ;# Input +set_property IOSTANDARD LVCMOS33 [get_ports SFPP1_TxFault] + +#******************************************************************************* +## Config Flash Interface + +set_property PROHIBIT TRUE [get_sites {P24}] +set_property PROHIBIT TRUE [get_sites {R25}] +set_property PROHIBIT TRUE [get_sites {R20}] +set_property PROHIBIT TRUE [get_sites {R21}] +set_property PROHIBIT TRUE [get_sites {T20}] +set_property PROHIBIT TRUE [get_sites {T21}] +set_property PROHIBIT TRUE [get_sites {T22}] +set_property PROHIBIT TRUE [get_sites {T23}] +set_property PROHIBIT TRUE [get_sites {U20}] +set_property PROHIBIT TRUE [get_sites {P29}] +set_property PROHIBIT TRUE [get_sites {R29}] +set_property PROHIBIT TRUE [get_sites {P27}] +set_property PROHIBIT TRUE [get_sites {P28}] +set_property PROHIBIT TRUE [get_sites {T30}] +set_property PROHIBIT TRUE [get_sites {P26}] +set_property PROHIBIT TRUE [get_sites {R26}] + +#******************************************************************************* +# Miscellaneous + +# Expose this pin to work around a silicon bug in Series 7 FPGA where +# race condition with the reading of PUDC during the erase of the FPGA +# image cause glitches on output IO pins +set_property PACKAGE_PIN R23 [get_ports FPGA_PUDC_B] +set_property IOSTANDARD LVCMOS33 [get_ports FPGA_PUDC_B] +set_property PULLUP TRUE [get_ports FPGA_PUDC_B] + + +#******************************************************************************* +# UNUSED or DEPOPULATED) + +# Security Chip +# set_property PACKAGE_PIN U27 [get_ports AUTH_SDA] +# set_property IOSTANDARD LVCMOS33 [get_ports AUTH_SDA] + +# set_property PACKAGE_PIN U28 [get_ports FPGA_RESET_N] +# set_property IOSTANDARD LVCMOS33 [get_ports FPGA_RESET_N] + +# UART, new on Rev B +#NET uart_tx IOSTANDARD = LVCMOS33 | LOC = R28; +#NET uart_rx IOSTANDARD = LVCMOS33 | LOC = T28; +#NET uart_wat IOSTANDARD = LVCMOS33 | LOC = T26; + +#NET aIO_Interrupt_0 IOSTANDARD = LVCMOS33 | LOC = AF28; +#NET aIO_Interrupt_1 IOSTANDARD = LVCMOS33 | LOC = AK29; +#NET aStcIO_Reset_n IOSTANDARD = LVCMOS33 | LOC = AC27; +#NET 0V75_VTT_REF IOSTANDARD = DDR15 | LOC = AD7; +#NET 0V75_VTT_REF IOSTANDARD = DDR15 | LOC = AG8; +#NET XSIG030149 IOSTANDARD = DDR15 | LOC = Y13; +#NET XSIG030150 IOSTANDARD = DDR15 | LOC = AD13; +#NET XSIG030154 IOSTANDARD = DDR15 | LOC = AB7; +#NET XSIG030186 IOSTANDARD = DDR15 | LOC = AC6; +#NET XSIG051113 IOSTANDARD = LVCMOS25 | LOC = E18; +#NET XSIG051117 IOSTANDARD = LVCMOS25 | LOC = M19; +#NET XSIG051118 IOSTANDARD = LVCMOS25 | LOC = P19; +#NET XSIG051203 IOSTANDARD = LVCMOS25 | LOC = G19; +#NET XSIG051347 IOSTANDARD = LVCMOS33 | LOC = F11; +#NET XSIG080308 IOSTANDARD = LVCMOS33 | LOC = R19; +#NET XSIG080310 IOSTANDARD = LVCMOS33 | LOC = R24; +#NET XSIG130242 IOSTANDARD = LVCMOS18 | LOC = Y14; +#NET XSIG130243 IOSTANDARD = LVCMOS18 | LOC = AB14; +#NET XSIG130258 IOSTANDARD = LVCMOS18 | LOC = AD14; +#NET XSIG130261 IOSTANDARD = LVCMOS18 | LOC = Y16; +#NET XSIG130262 IOSTANDARD = LVCMOS18 | LOC = Y15; +#NET XSIG130263 IOSTANDARD = LVCMOS25 | LOC = AH20; +#NET XSIG130265 IOSTANDARD = LVCMOS25 | LOC = AG20; + +#NET CPRI_CLK_p IOSTANDARD = LVDS_25 | LOC = U8; +#NET CPRI_CLK_n IOSTANDARD = LVDS_25 | LOC = U7; diff --git a/fpga/usrp3/top/x300/x300_10ge.xdc b/fpga/usrp3/top/x300/x300_10ge.xdc new file mode 100644 index 000000000..fef9e1fa6 --- /dev/null +++ b/fpga/usrp3/top/x300/x300_10ge.xdc @@ -0,0 +1,19 @@ +# +# Copyright 2014 Ettus Research LLC +# + +set_property PACKAGE_PIN R8 [get_ports XG_CLK_p] +set_property PACKAGE_PIN R7 [get_ports XG_CLK_n] + +#IOSTANDARD not required because this is a GT terminal +#set_property IOSTANDARD LVDS_25 [get_ports {XG_CLK_*}] + +create_clock -name XG_CLK -period 6.400 -waveform {0.000 3.200} [get_ports XG_CLK_p] + +set_clock_groups -asynchronous -group [get_clocks bus_clk] -group [get_clocks XG_CLK] +set_clock_groups -asynchronous -group [get_clocks bus_clk_div2] -group [get_clocks XG_CLK] +set_clock_groups -asynchronous -group [get_clocks -filter {NAME =~ *sfpp_io_*/ten_gige_phy_i/ten_gig_eth_pcs_pma_i/*/gtxe2_i/RXOUTCLK}] -group [get_clocks XG_CLK] +set_clock_groups -asynchronous -group [get_clocks -filter {NAME =~ *sfpp_io_*/ten_gige_phy_i/ten_gig_eth_pcs_pma_i/*/gtxe2_i/TXOUTCLK}] -group [get_clocks XG_CLK] + +set_false_path -to [get_pins -of_objects [get_cells -hier -filter {NAME =~ *sfpp_io_*/ten_gige_phy_i/*sync1_r_reg*}] -filter {NAME =~ *PRE}] +set_false_path -to [get_pins -of_objects [get_cells -hier -filter {NAME =~ *sfpp_io_*/ten_gige_phy_i/*sync1_r_reg*}] -filter {NAME =~ *CLR}] diff --git a/fpga/usrp3/top/x300/x300_10ge_port0.xdc b/fpga/usrp3/top/x300/x300_10ge_port0.xdc new file mode 100644 index 000000000..1599f3124 --- /dev/null +++ b/fpga/usrp3/top/x300/x300_10ge_port0.xdc @@ -0,0 +1,6 @@ +# +# Copyright 2014 Ettus Research LLC +# + +set_property LOC GTXE2_CHANNEL_X0Y0 [get_cells -hierarchical -filter {NAME =~ "*sfpp_io_i0/ten_gige_phy_i/*gtxe2_i*" && PRIMITIVE_TYPE == IO.gt.GTXE2_CHANNEL}] +set_property LOC GTXE2_COMMON_X0Y0 [get_cells -hierarchical -filter {NAME =~ "*sfpp_io_i0/ten_gige_phy_i/*gtxe2_common_0_i*" && PRIMITIVE_TYPE == IO.gt.GTXE2_COMMON}] diff --git a/fpga/usrp3/top/x300/x300_10ge_port1.xdc b/fpga/usrp3/top/x300/x300_10ge_port1.xdc new file mode 100644 index 000000000..27f1a250c --- /dev/null +++ b/fpga/usrp3/top/x300/x300_10ge_port1.xdc @@ -0,0 +1,6 @@ +# +# Copyright 2014 Ettus Research LLC +# + +set_property LOC GTXE2_CHANNEL_X0Y4 [get_cells -hierarchical -filter {NAME =~ "*sfpp_io_i1/ten_gige_phy_i/*gtxe2_i*" && PRIMITIVE_TYPE == IO.gt.GTXE2_CHANNEL}] +set_property LOC GTXE2_COMMON_X0Y1 [get_cells -hierarchical -filter {NAME =~ "*sfpp_io_i1/ten_gige_phy_i/*gtxe2_common_0_i*" && PRIMITIVE_TYPE == IO.gt.GTXE2_COMMON}] diff --git a/fpga/usrp3/top/x300/x300_1ge.xdc b/fpga/usrp3/top/x300/x300_1ge.xdc new file mode 100644 index 000000000..1aa6e0825 --- /dev/null +++ b/fpga/usrp3/top/x300/x300_1ge.xdc @@ -0,0 +1,19 @@ +# +# Copyright 2014 Ettus Research LLC +# + +set_property PACKAGE_PIN L8 [get_ports ETH_CLK_p] +set_property PACKAGE_PIN L7 [get_ports ETH_CLK_n] + +#IOSTANDARD not required because this is a GT terminal +#set_property IOSTANDARD LVDS_25 [get_ports {ETH_CLK_*}] + +create_clock -name ETH_CLK -period 8.000 -waveform {0.000 4.000} [get_ports ETH_CLK_p] + +set_clock_groups -asynchronous -group [get_clocks bus_clk] -group [get_clocks ETH_CLK] +set_clock_groups -asynchronous -group [get_clocks bus_clk] -group [get_clocks -of_objects [get_pins *sfpp_io_*/one_gige_phy_i/*/core_clocking_i/mmcm_*/CLKOUT0]] +set_clock_groups -asynchronous -group [get_clocks bus_clk] -group [get_clocks -of_objects [get_pins *sfpp_io_*/one_gige_phy_i/*/core_clocking_i/mmcm_*/CLKOUT1]] + +set_false_path -to [get_pins -hier -filter {NAME =~ *sfpp_io_*/one_gige_phy_i/*reset_sync*/PRE}] +set_false_path -to [get_pins -hier -filter {NAME =~ *sfpp_io_*/one_gige_phy_i/*/pma_reset_pipe_reg*/PRE}] +set_false_path -to [get_pins -hier -filter {NAME =~ *sfpp_io_*/one_gige_phy_i/*/pma_reset_pipe*[0]/D}] \ No newline at end of file diff --git a/fpga/usrp3/top/x300/x300_aurora.xdc b/fpga/usrp3/top/x300/x300_aurora.xdc new file mode 100644 index 000000000..7b524a5be --- /dev/null +++ b/fpga/usrp3/top/x300/x300_aurora.xdc @@ -0,0 +1,16 @@ +# +# Copyright 2016 Ettus Research LLC +# + +set_property PACKAGE_PIN R8 [get_ports XG_CLK_p] +set_property PACKAGE_PIN R7 [get_ports XG_CLK_n] + +#IOSTANDARD not required because this is a GT terminal +#set_property IOSTANDARD LVDS_25 [get_ports {XG_CLK_*}] + +create_clock -name AUR_CLK -period 6.400 -waveform {0.000 3.200} [get_ports XG_CLK_p] +create_generated_clock -name aurora_init_clk [get_pins -hierarchical -filter {NAME =~ "*aurora_clk_gen_i/dclk_divide_by_2_buf/O"}] + +set_clock_groups -asynchronous -group [get_clocks bus_clk] -group [get_clocks aurora_init_clk] + +set_false_path -to [get_pins -hierarchical -filter {NAME =~ "*sfpp_io_*/*/rst_sync_sys_rst_i/*aurora_64b66b_pcs_pma_cdc_to_reg/D"}] \ No newline at end of file diff --git a/fpga/usrp3/top/x300/x300_core.v b/fpga/usrp3/top/x300/x300_core.v new file mode 100644 index 000000000..0a721e06b --- /dev/null +++ b/fpga/usrp3/top/x300/x300_core.v @@ -0,0 +1,680 @@ +// +// Copyright 2014 Ettus Research LLC +// Copyright 2017 Ettus Research, a National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// + +module x300_core #( + parameter BUS_CLK_RATE = 32'd166666666 +)( + //Clocks and resets + input radio_clk, + input radio_rst, + input bus_clk, + input bus_rst, + input ce_clk, + input ce_rst, + output [3:0] sw_rst, + input bus_clk_div2, + input bus_rst_div2, + // Radio 0 + input [31:0] rx0, output [31:0] tx0, + input [31:0] db0_gpio_in, output [31:0] db0_gpio_out, output [31:0] db0_gpio_ddr, + input [31:0] fp_gpio_in, output [31:0] fp_gpio_out, output [31:0] fp_gpio_ddr, + output [7:0] sen0, output sclk0, output mosi0, input miso0, + output [2:0] radio_led0, + output reg [31:0] radio0_misc_out, input [31:0] radio0_misc_in, + // Radio 1 + input [31:0] rx1, output [31:0] tx1, + input [31:0] db1_gpio_in, output [31:0] db1_gpio_out, output [31:0] db1_gpio_ddr, + output [7:0] sen1, output sclk1, output mosi1, input miso1, + output [2:0] radio_led1, + output reg [31:0] radio1_misc_out, input [31:0] radio1_misc_in, + // Radio shared misc + inout db_scl, + inout db_sda, + // Clock control + input ext_ref_clk, + output [1:0] clock_ref_sel, + output [1:0] clock_misc_opt, + input [1:0] LMK_Status, + input LMK_Holdover, input LMK_Lock, output LMK_Sync, + output LMK_SEN, output LMK_SCLK, output LMK_MOSI, + input [2:0] misc_clock_status, + // SFP+ pins + inout SFPP0_SCL, + inout SFPP0_SDA, + inout SFPP0_RS0, + inout SFPP0_RS1, + input SFPP0_ModAbs, + input SFPP0_TxFault, + input SFPP0_RxLOS, + output SFPP0_TxDisable, // Assert low to enable transmitter. + + inout SFPP1_SCL, + inout SFPP1_SDA, + inout SFPP1_RS0, + inout SFPP1_RS1, + input SFPP1_ModAbs, + input SFPP1_TxFault, + input SFPP1_RxLOS, + output SFPP1_TxDisable, // Assert low to enable transmitter. + + // SFP+ 0 data stream + output [63:0] sfp0_tx_tdata, + output [3:0] sfp0_tx_tuser, + output sfp0_tx_tlast, + output sfp0_tx_tvalid, + input sfp0_tx_tready, + + input [63:0] sfp0_rx_tdata, + input [3:0] sfp0_rx_tuser, + input sfp0_rx_tlast, + input sfp0_rx_tvalid, + output sfp0_rx_tready, + + input [15:0] sfp0_phy_status, + + // SFP+ 1 data stream + output [63:0] sfp1_tx_tdata, + output [3:0] sfp1_tx_tuser, + output sfp1_tx_tlast, + output sfp1_tx_tvalid, + input sfp1_tx_tready, + + input [63:0] sfp1_rx_tdata, + input [3:0] sfp1_rx_tuser, + input sfp1_rx_tlast, + input sfp1_rx_tvalid, + output sfp1_rx_tready, + + input [15:0] sfp1_phy_status, + + input [31:0] sfp0_wb_dat_i, + output [31:0] sfp0_wb_dat_o, + output [15:0] sfp0_wb_adr, + output [3:0] sfp0_wb_sel, + input sfp0_wb_ack, + output sfp0_wb_stb, + output sfp0_wb_cyc, + output sfp0_wb_we, + input sfp0_wb_int, // IJB. Nothing to connect this too!! No IRQ controller on x300. + + input [31:0] sfp1_wb_dat_i, + output [31:0] sfp1_wb_dat_o, + output [15:0] sfp1_wb_adr, + output [3:0] sfp1_wb_sel, + input sfp1_wb_ack, + output sfp1_wb_stb, + output sfp1_wb_cyc, + output sfp1_wb_we, + input sfp1_wb_int, // IJB. Nothing to connect this too!! No IRQ controller on x300. + + input [31:0] xadc_readback, + + // Time + input pps, + output [1:0] pps_select, + output pps_out_enb, + output [31:0] ref_freq, + output ref_freq_changed, + output gps_txd, + input gps_rxd, + // Debug UART + input debug_rxd, + output debug_txd, + + // + // AXI4 (128b@250MHz) interface to DDR3 controller + // + input ddr3_axi_clk, + input ddr3_axi_clk_x2, + input ddr3_axi_rst, + // Write Address Ports + output ddr3_axi_awid, + output [31:0] ddr3_axi_awaddr, + output [7:0] ddr3_axi_awlen, + output [2:0] ddr3_axi_awsize, + output [1:0] ddr3_axi_awburst, + output [0:0] ddr3_axi_awlock, + output [3:0] ddr3_axi_awcache, + output [2:0] ddr3_axi_awprot, + output [3:0] ddr3_axi_awqos, + output ddr3_axi_awvalid, + input ddr3_axi_awready, + // Write Data Ports + output [255:0] ddr3_axi_wdata, + output [31:0] ddr3_axi_wstrb, + output ddr3_axi_wlast, + output ddr3_axi_wvalid, + input ddr3_axi_wready, + // Write Response Ports + output ddr3_axi_bready, + input ddr3_axi_bid, + input [1:0] ddr3_axi_bresp, + input ddr3_axi_bvalid, + // Read Address Ports + output ddr3_axi_arid, + output [31:0] ddr3_axi_araddr, + output [7:0] ddr3_axi_arlen, + output [2:0] ddr3_axi_arsize, + output [1:0] ddr3_axi_arburst, + output [0:0] ddr3_axi_arlock, + output [3:0] ddr3_axi_arcache, + output [2:0] ddr3_axi_arprot, + output [3:0] ddr3_axi_arqos, + output ddr3_axi_arvalid, + input ddr3_axi_arready, + // Read Data Ports + output ddr3_axi_rready, + input ddr3_axi_rid, + input [255:0] ddr3_axi_rdata, + input [1:0] ddr3_axi_rresp, + input ddr3_axi_rlast, + input ddr3_axi_rvalid, + + //iop2 message fifos + output [63:0] o_iop2_msg_tdata, + output o_iop2_msg_tvalid, + output o_iop2_msg_tlast, + input o_iop2_msg_tready, + input [63:0] i_iop2_msg_tdata, + input i_iop2_msg_tvalid, + input i_iop2_msg_tlast, + output i_iop2_msg_tready, + + //PCIe + output [63:0] pcio_tdata, + output [2:0] pcio_tuser, + output pcio_tlast, + output pcio_tvalid, + input pcio_tready, + input [63:0] pcii_tdata, + input [2:0] pcii_tuser, + input pcii_tlast, + input pcii_tvalid, + output pcii_tready, + + // Debug + output [1:0] led_misc, + output [31:0] debug0, + output [31:0] debug1, + output [127:0] debug2 +); + + // Memory Controller AXI4 MM buses + wire s00_axi_awready, s01_axi_awready; + wire [0:0] s00_axi_awid, s01_axi_awid; + wire [31:0] s00_axi_awaddr, s01_axi_awaddr; + wire [7:0] s00_axi_awlen, s01_axi_awlen; + wire [2:0] s00_axi_awsize, s01_axi_awsize; + wire [1:0] s00_axi_awburst, s01_axi_awburst; + wire [0:0] s00_axi_awlock, s01_axi_awlock; + wire [3:0] s00_axi_awcache, s01_axi_awcache; + wire [2:0] s00_axi_awprot, s01_axi_awprot; + wire [3:0] s00_axi_awqos, s01_axi_awqos; + wire [3:0] s00_axi_awregion, s01_axi_awregion; + wire [0:0] s00_axi_awuser, s01_axi_awuser; + wire s00_axi_wready, s01_axi_wready; + wire [63:0] s00_axi_wdata, s01_axi_wdata; + wire [7:0] s00_axi_wstrb, s01_axi_wstrb; + wire [0:0] s00_axi_wuser, s01_axi_wuser; + wire s00_axi_bvalid, s01_axi_bvalid; + wire [0:0] s00_axi_bid, s01_axi_bid; + wire [1:0] s00_axi_bresp, s01_axi_bresp; + wire [0:0] s00_axi_buser, s01_axi_buser; + wire s00_axi_arready, s01_axi_arready; + wire [0:0] s00_axi_arid, s01_axi_arid; + wire [31:0] s00_axi_araddr, s01_axi_araddr; + wire [7:0] s00_axi_arlen, s01_axi_arlen; + wire [2:0] s00_axi_arsize, s01_axi_arsize; + wire [1:0] s00_axi_arburst, s01_axi_arburst; + wire [0:0] s00_axi_arlock, s01_axi_arlock; + wire [3:0] s00_axi_arcache, s01_axi_arcache; + wire [2:0] s00_axi_arprot, s01_axi_arprot; + wire [3:0] s00_axi_arqos, s01_axi_arqos; + wire [3:0] s00_axi_arregion, s01_axi_arregion; + wire [0:0] s00_axi_aruser, s01_axi_aruser; + wire s00_axi_rlast, s01_axi_rlast; + wire s00_axi_rvalid, s01_axi_rvalid; + wire s00_axi_awvalid, s01_axi_awvalid; + wire s00_axi_wlast, s01_axi_wlast; + wire s00_axi_wvalid, s01_axi_wvalid; + wire s00_axi_bready, s01_axi_bready; + wire s00_axi_arvalid, s01_axi_arvalid; + wire s00_axi_rready, s01_axi_rready; + wire [0:0] s00_axi_rid, s01_axi_rid; + wire [63:0] s00_axi_rdata, s01_axi_rdata; + wire [1:0] s00_axi_rresp, s01_axi_rresp; + wire [0:0] s00_axi_ruser, s01_axi_ruser; + + + ///////////////////////////////////////////////////////////////////////////////// + // PPS synchronization logic + ///////////////////////////////////////////////////////////////////////////////// + wire pps_rclk, pps_detect; + pps_synchronizer pps_sync_inst ( + .ref_clk(ext_ref_clk), .timebase_clk(radio_clk), + .pps_in(pps), .pps_out(pps_rclk), .pps_count(pps_detect) + ); + + ///////////////////////////////////////////////////////////////////////////////// + // Bus Int containing soft CPU control, routing fabric + ///////////////////////////////////////////////////////////////////////////////// + + // Number of Radio Cores Instantiated + localparam NUM_RADIOS = 2; + localparam NUM_DBOARDS = NUM_RADIOS; + localparam NUM_CHANNELS_PER_RADIO = 2; + localparam NUM_CHANNELS = NUM_CHANNELS_PER_RADIO * NUM_RADIOS; + localparam NUM_CHANNELS_PER_DBOARD = NUM_CHANNELS_PER_RADIO; + + bus_int #( + .NUM_RADIOS(NUM_RADIOS), + .NUM_CHANNELS_PER_RADIO(NUM_CHANNELS_PER_RADIO), + .NUM_CHANNELS(NUM_CHANNELS) + ) bus_int_i ( + .clk(bus_clk), .clk_div2(bus_clk_div2), .reset(bus_rst), .reset_div2(bus_rst_div2), + .sen(LMK_SEN), .sclk(LMK_SCLK), .mosi(LMK_MOSI), .miso(1'b0), + .scl0(SFPP0_SCL), .sda0(SFPP0_SDA), + .scl1(db_scl), .sda1(db_sda), + .scl2(SFPP1_SCL), .sda2(SFPP1_SDA), + .gps_txd(gps_txd), .gps_rxd(gps_rxd), + .debug_txd(debug_txd), .debug_rxd(debug_rxd), + .leds(led_misc), .sw_rst(sw_rst), + // Timekeeper + .pps(pps_rclk), + // Block connections + .ce_clk (ce_clk), + .ce_rst (ce_rst), + + // Radio connections + .radio_clk (radio_clk), + .radio_rst (radio_rst), + .radio_rx_stb ({ rx_stb[3], rx_stb[2], rx_stb[1], rx_stb[0]}), + .radio_rx_data ({ rx_data[3], rx_data[2], rx_data[1], rx_data[0]}), + .radio_rx_running ({ rx_running[3], rx_running[2], rx_running[1], rx_running[0]}), + .radio_tx_stb ({ tx_stb[3], tx_stb[2], tx_stb[1], tx_stb[0]}), + .radio_tx_data ({ tx_data[3], tx_data[2], tx_data[1], tx_data[0]}), + .radio_tx_running ({ tx_running[3], tx_running[2], tx_running[1], tx_running[0]}), + // Daughter board settings buses + .db_fe_set_stb ({ db_fe_set_stb[1], db_fe_set_stb[0]}), + .db_fe_set_addr({db_fe_set_addr[1], db_fe_set_addr[0]}), + .db_fe_set_data({db_fe_set_data[1], db_fe_set_data[0]}), + .db_fe_rb_stb ({ db_fe_rb_stb[1], db_fe_rb_stb[0]}), + .db_fe_rb_addr ({ db_fe_rb_addr[1], db_fe_rb_addr[0]}), + .db_fe_rb_data ({ db_fe_rb_data[1], db_fe_rb_data[0]}), + // SFP 0 + .SFPP0_ModAbs(SFPP0_ModAbs),.SFPP0_TxFault(SFPP0_TxFault),.SFPP0_RxLOS(SFPP0_RxLOS), + .SFPP0_RS0(SFPP0_RS0), .SFPP0_RS1(SFPP0_RS1), + // SFP 1 + .SFPP1_ModAbs(SFPP1_ModAbs),.SFPP1_TxFault(SFPP1_TxFault),.SFPP1_RxLOS(SFPP1_RxLOS), + .SFPP1_RS0(SFPP1_RS0), .SFPP1_RS1(SFPP1_RS1), + //clocky locky misc + .clock_status({misc_clock_status, pps_detect, LMK_Holdover, LMK_Lock, LMK_Status}), + .clock_control({1'b0, clock_misc_opt[1:0], pps_out_enb, pps_select[1:0], clock_ref_sel[1:0]}), + .ref_freq(ref_freq), .ref_freq_changed(ref_freq_changed), + // Eth0 + .sfp0_tx_tdata(sfp0_tx_tdata), .sfp0_tx_tuser(sfp0_tx_tuser), .sfp0_tx_tlast(sfp0_tx_tlast), + .sfp0_tx_tvalid(sfp0_tx_tvalid), .sfp0_tx_tready(sfp0_tx_tready), + .sfp0_rx_tdata(sfp0_rx_tdata), .sfp0_rx_tuser(sfp0_rx_tuser), .sfp0_rx_tlast(sfp0_rx_tlast), + .sfp0_rx_tvalid(sfp0_rx_tvalid), .sfp0_rx_tready(sfp0_rx_tready), + // Eth1 + .sfp1_tx_tdata(sfp1_tx_tdata), .sfp1_tx_tuser(sfp1_tx_tuser), .sfp1_tx_tlast(sfp1_tx_tlast), + .sfp1_tx_tvalid(sfp1_tx_tvalid), .sfp1_tx_tready(sfp1_tx_tready), + .sfp1_rx_tdata(sfp1_rx_tdata), .sfp1_rx_tuser(sfp1_rx_tuser), .sfp1_rx_tlast(sfp1_rx_tlast), + .sfp1_rx_tvalid(sfp1_rx_tvalid), .sfp1_rx_tready(sfp1_rx_tready), + // IoP2 Msgs + .o_iop2_msg_tdata(o_iop2_msg_tdata), .o_iop2_msg_tvalid(o_iop2_msg_tvalid), .o_iop2_msg_tlast(o_iop2_msg_tlast), .o_iop2_msg_tready(o_iop2_msg_tready), + .i_iop2_msg_tdata(i_iop2_msg_tdata), .i_iop2_msg_tvalid(i_iop2_msg_tvalid), .i_iop2_msg_tlast(i_iop2_msg_tlast), .i_iop2_msg_tready(i_iop2_msg_tready), + // PCIe + .pcio_tdata(pcio_tdata), .pcio_tuser(pcio_tuser), .pcio_tlast(pcio_tlast), .pcio_tvalid(pcio_tvalid), .pcio_tready(pcio_tready), + .pcii_tdata(pcii_tdata), .pcii_tuser(pcii_tuser), .pcii_tlast(pcii_tlast), .pcii_tvalid(pcii_tvalid), .pcii_tready(pcii_tready), + // Wishbone Slave Interface(s) + .sfp0_wb_dat_i(sfp0_wb_dat_i), .sfp0_wb_dat_o(sfp0_wb_dat_o), .sfp0_wb_adr(sfp0_wb_adr), + .sfp0_wb_sel(sfp0_wb_sel), .sfp0_wb_ack(sfp0_wb_ack), .sfp0_wb_stb(sfp0_wb_stb), + .sfp0_wb_cyc(sfp0_wb_cyc), .sfp0_wb_we(sfp0_wb_we), .sfp0_wb_int(sfp0_wb_int), + .sfp1_wb_dat_i(sfp1_wb_dat_i), .sfp1_wb_dat_o(sfp1_wb_dat_o), .sfp1_wb_adr(sfp1_wb_adr), + .sfp1_wb_sel(sfp1_wb_sel), .sfp1_wb_ack(sfp1_wb_ack), .sfp1_wb_stb(sfp1_wb_stb), + .sfp1_wb_cyc(sfp1_wb_cyc), .sfp1_wb_we(sfp1_wb_we), .sfp1_wb_int(sfp1_wb_int), + //Status signals + .sfp0_phy_status(sfp0_phy_status), + .sfp1_phy_status(sfp1_phy_status), + .xadc_readback(xadc_readback), + + // DRAM interface + .ddr3_axi_clk_x2 (ddr3_axi_clk_x2), + .ddr3_axi_rst (ddr3_axi_rst), + // Slave Interface Write Address Ports + .ddr3_axi_awid ({s01_axi_awid, s00_axi_awid}), + .ddr3_axi_awaddr ({s01_axi_awaddr, s00_axi_awaddr}), + .ddr3_axi_awlen ({s01_axi_awlen, s00_axi_awlen}), + .ddr3_axi_awsize ({s01_axi_awsize, s00_axi_awsize}), + .ddr3_axi_awburst ({s01_axi_awburst, s00_axi_awburst}), + .ddr3_axi_awlock ({s01_axi_awlock, s00_axi_awlock}), + .ddr3_axi_awcache ({s01_axi_awcache, s00_axi_awcache}), + .ddr3_axi_awprot ({s01_axi_awprot, s00_axi_awprot}), + .ddr3_axi_awqos ({s01_axi_awqos, s00_axi_awqos}), + .ddr3_axi_awvalid ({s01_axi_awvalid, s00_axi_awvalid}), + .ddr3_axi_awready ({s01_axi_awready, s00_axi_awready}), + // Slave Interface Write Data Ports + .ddr3_axi_wdata ({s01_axi_wdata, s00_axi_wdata}), + .ddr3_axi_wstrb ({s01_axi_wstrb, s00_axi_wstrb}), + .ddr3_axi_wlast ({s01_axi_wlast, s00_axi_wlast}), + .ddr3_axi_wvalid ({s01_axi_wvalid, s00_axi_wvalid}), + .ddr3_axi_wready ({s01_axi_wready, s00_axi_wready}), + // Slave Interface Write Response Ports + .ddr3_axi_bid ({s01_axi_bid, s00_axi_bid}), + .ddr3_axi_bresp ({s01_axi_bresp, s00_axi_bresp}), + .ddr3_axi_bvalid ({s01_axi_bvalid, s00_axi_bvalid}), + .ddr3_axi_bready ({s01_axi_bready, s00_axi_bready}), + // Slave Interface Read Address Ports + .ddr3_axi_arid ({s01_axi_arid, s00_axi_arid}), + .ddr3_axi_araddr ({s01_axi_araddr, s00_axi_araddr}), + .ddr3_axi_arlen ({s01_axi_arlen, s00_axi_arlen}), + .ddr3_axi_arsize ({s01_axi_arsize, s00_axi_arsize}), + .ddr3_axi_arburst ({s01_axi_arburst, s00_axi_arburst}), + .ddr3_axi_arlock ({s01_axi_arlock, s00_axi_arlock}), + .ddr3_axi_arcache ({s01_axi_arcache, s00_axi_arcache}), + .ddr3_axi_arprot ({s01_axi_arprot, s00_axi_arprot}), + .ddr3_axi_arqos ({s01_axi_arqos, s00_axi_arqos}), + .ddr3_axi_arvalid ({s01_axi_arvalid, s00_axi_arvalid}), + .ddr3_axi_arready ({s01_axi_arready, s00_axi_arready}), + // Slave Interface Read Data Ports + .ddr3_axi_rid ({s01_axi_rid, s00_axi_rid}), + .ddr3_axi_rdata ({s01_axi_rdata, s00_axi_rdata}), + .ddr3_axi_rresp ({s01_axi_rresp, s00_axi_rresp}), + .ddr3_axi_rlast ({s01_axi_rlast, s00_axi_rlast}), + .ddr3_axi_rvalid ({s01_axi_rvalid, s00_axi_rvalid}), + .ddr3_axi_rready ({s01_axi_rready, s00_axi_rready}), + // Debug + .debug0(debug0), .debug1(debug1), .debug2(debug2) + ); + + axi_intercon_2x64_128_bd_wrapper axi_intercon_2x64_128_bd_i ( + .S00_AXI_ACLK(ddr3_axi_clk_x2), // input S00_AXI_ACLK + .S00_AXI_ARESETN(~ddr3_axi_rst), // input S00_AXI_ARESETN + .S00_AXI_AWID(s00_axi_awid), // input [0 : 0] S00_AXI_AWID + .S00_AXI_AWADDR(s00_axi_awaddr), // input [31 : 0] S00_AXI_AWADDR + .S00_AXI_AWLEN(s00_axi_awlen), // input [7 : 0] S00_AXI_AWLEN + .S00_AXI_AWSIZE(s00_axi_awsize), // input [2 : 0] S00_AXI_AWSIZE + .S00_AXI_AWBURST(s00_axi_awburst), // input [1 : 0] S00_AXI_AWBURST + .S00_AXI_AWLOCK(s00_axi_awlock), // input S00_AXI_AWLOCK + .S00_AXI_AWCACHE(s00_axi_awcache), // input [3 : 0] S00_AXI_AWCACHE + .S00_AXI_AWPROT(s00_axi_awprot), // input [2 : 0] S00_AXI_AWPROT + .S00_AXI_AWQOS(s00_axi_awqos), // input [3 : 0] S00_AXI_AWQOS + .S00_AXI_AWVALID(s00_axi_awvalid), // input S00_AXI_AWVALID + .S00_AXI_AWREADY(s00_axi_awready), // output S00_AXI_AWREADY + .S00_AXI_WDATA(s00_axi_wdata), // input [63 : 0] S00_AXI_WDATA + .S00_AXI_WSTRB(s00_axi_wstrb), // input [7 : 0] S00_AXI_WSTRB + .S00_AXI_WLAST(s00_axi_wlast), // input S00_AXI_WLAST + .S00_AXI_WVALID(s00_axi_wvalid), // input S00_AXI_WVALID + .S00_AXI_WREADY(s00_axi_wready), // output S00_AXI_WREADY + .S00_AXI_BID(s00_axi_bid), // output [0 : 0] S00_AXI_BID + .S00_AXI_BRESP(s00_axi_bresp), // output [1 : 0] S00_AXI_BRESP + .S00_AXI_BVALID(s00_axi_bvalid), // output S00_AXI_BVALID + .S00_AXI_BREADY(s00_axi_bready), // input S00_AXI_BREADY + .S00_AXI_ARID(s00_axi_arid), // input [0 : 0] S00_AXI_ARID + .S00_AXI_ARADDR(s00_axi_araddr), // input [31 : 0] S00_AXI_ARADDR + .S00_AXI_ARLEN(s00_axi_arlen), // input [7 : 0] S00_AXI_ARLEN + .S00_AXI_ARSIZE(s00_axi_arsize), // input [2 : 0] S00_AXI_ARSIZE + .S00_AXI_ARBURST(s00_axi_arburst), // input [1 : 0] S00_AXI_ARBURST + .S00_AXI_ARLOCK(s00_axi_arlock), // input S00_AXI_ARLOCK + .S00_AXI_ARCACHE(s00_axi_arcache), // input [3 : 0] S00_AXI_ARCACHE + .S00_AXI_ARPROT(s00_axi_arprot), // input [2 : 0] S00_AXI_ARPROT + .S00_AXI_ARQOS(s00_axi_arqos), // input [3 : 0] S00_AXI_ARQOS + .S00_AXI_ARVALID(s00_axi_arvalid), // input S00_AXI_ARVALID + .S00_AXI_ARREADY(s00_axi_arready), // output S00_AXI_ARREADY + .S00_AXI_RID(s00_axi_rid), // output [0 : 0] S00_AXI_RID + .S00_AXI_RDATA(s00_axi_rdata), // output [63 : 0] S00_AXI_RDATA + .S00_AXI_RRESP(s00_axi_rresp), // output [1 : 0] S00_AXI_RRESP + .S00_AXI_RLAST(s00_axi_rlast), // output S00_AXI_RLAST + .S00_AXI_RVALID(s00_axi_rvalid), // output S00_AXI_RVALID + .S00_AXI_RREADY(s00_axi_rready), // input S00_AXI_RREADY + // + .S01_AXI_ACLK(ddr3_axi_clk_x2), // input S01_AXI_ACLK + .S01_AXI_ARESETN(~ddr3_axi_rst), // input S00_AXI_ARESETN + .S01_AXI_AWID(s01_axi_awid), // input [0 : 0] S01_AXI_AWID + .S01_AXI_AWADDR(s01_axi_awaddr), // input [31 : 0] S01_AXI_AWADDR + .S01_AXI_AWLEN(s01_axi_awlen), // input [7 : 0] S01_AXI_AWLEN + .S01_AXI_AWSIZE(s01_axi_awsize), // input [2 : 0] S01_AXI_AWSIZE + .S01_AXI_AWBURST(s01_axi_awburst), // input [1 : 0] S01_AXI_AWBURST + .S01_AXI_AWLOCK(s01_axi_awlock), // input S01_AXI_AWLOCK + .S01_AXI_AWCACHE(s01_axi_awcache), // input [3 : 0] S01_AXI_AWCACHE + .S01_AXI_AWPROT(s01_axi_awprot), // input [2 : 0] S01_AXI_AWPROT + .S01_AXI_AWQOS(s01_axi_awqos), // input [3 : 0] S01_AXI_AWQOS + .S01_AXI_AWVALID(s01_axi_awvalid), // input S01_AXI_AWVALID + .S01_AXI_AWREADY(s01_axi_awready), // output S01_AXI_AWREADY + .S01_AXI_WDATA(s01_axi_wdata), // input [63 : 0] S01_AXI_WDATA + .S01_AXI_WSTRB(s01_axi_wstrb), // input [7 : 0] S01_AXI_WSTRB + .S01_AXI_WLAST(s01_axi_wlast), // input S01_AXI_WLAST + .S01_AXI_WVALID(s01_axi_wvalid), // input S01_AXI_WVALID + .S01_AXI_WREADY(s01_axi_wready), // output S01_AXI_WREADY + .S01_AXI_BID(s01_axi_bid), // output [0 : 0] S01_AXI_BID + .S01_AXI_BRESP(s01_axi_bresp), // output [1 : 0] S01_AXI_BRESP + .S01_AXI_BVALID(s01_axi_bvalid), // output S01_AXI_BVALID + .S01_AXI_BREADY(s01_axi_bready), // input S01_AXI_BREADY + .S01_AXI_ARID(s01_axi_arid), // input [0 : 0] S01_AXI_ARID + .S01_AXI_ARADDR(s01_axi_araddr), // input [31 : 0] S01_AXI_ARADDR + .S01_AXI_ARLEN(s01_axi_arlen), // input [7 : 0] S01_AXI_ARLEN + .S01_AXI_ARSIZE(s01_axi_arsize), // input [2 : 0] S01_AXI_ARSIZE + .S01_AXI_ARBURST(s01_axi_arburst), // input [1 : 0] S01_AXI_ARBURST + .S01_AXI_ARLOCK(s01_axi_arlock), // input S01_AXI_ARLOCK + .S01_AXI_ARCACHE(s01_axi_arcache), // input [3 : 0] S01_AXI_ARCACHE + .S01_AXI_ARPROT(s01_axi_arprot), // input [2 : 0] S01_AXI_ARPROT + .S01_AXI_ARQOS(s01_axi_arqos), // input [3 : 0] S01_AXI_ARQOS + .S01_AXI_ARVALID(s01_axi_arvalid), // input S01_AXI_ARVALID + .S01_AXI_ARREADY(s01_axi_arready), // output S01_AXI_ARREADY + .S01_AXI_RID(s01_axi_rid), // output [0 : 0] S01_AXI_RID + .S01_AXI_RDATA(s01_axi_rdata), // output [63 : 0] S01_AXI_RDATA + .S01_AXI_RRESP(s01_axi_rresp), // output [1 : 0] S01_AXI_RRESP + .S01_AXI_RLAST(s01_axi_rlast), // output S01_AXI_RLAST + .S01_AXI_RVALID(s01_axi_rvalid), // output S01_AXI_RVALID + .S01_AXI_RREADY(s01_axi_rready), // input S01_AXI_RREADY + // + .M00_AXI_ACLK(ddr3_axi_clk), // input M00_AXI_ACLK + .M00_AXI_ARESETN(~ddr3_axi_rst), // input S00_AXI_ARESETN + .M00_AXI_AWID(ddr3_axi_awid), // output [3 : 0] M00_AXI_AWID + .M00_AXI_AWADDR(ddr3_axi_awaddr), // output [31 : 0] M00_AXI_AWADDR + .M00_AXI_AWLEN(ddr3_axi_awlen), // output [7 : 0] M00_AXI_AWLEN + .M00_AXI_AWSIZE(ddr3_axi_awsize), // output [2 : 0] M00_AXI_AWSIZE + .M00_AXI_AWBURST(ddr3_axi_awburst), // output [1 : 0] M00_AXI_AWBURST + .M00_AXI_AWLOCK(ddr3_axi_awlock), // output M00_AXI_AWLOCK + .M00_AXI_AWCACHE(ddr3_axi_awcache), // output [3 : 0] M00_AXI_AWCACHE + .M00_AXI_AWPROT(ddr3_axi_awprot), // output [2 : 0] M00_AXI_AWPROT + .M00_AXI_AWQOS(ddr3_axi_awqos), // output [3 : 0] M00_AXI_AWQOS + .M00_AXI_AWVALID(ddr3_axi_awvalid), // output M00_AXI_AWVALID + .M00_AXI_AWREADY(ddr3_axi_awready), // input M00_AXI_AWREADY + .M00_AXI_WDATA(ddr3_axi_wdata), // output [255 : 0] M00_AXI_WDATA + .M00_AXI_WSTRB(ddr3_axi_wstrb), // output [15 : 0] M00_AXI_WSTRB + .M00_AXI_WLAST(ddr3_axi_wlast), // output M00_AXI_WLAST + .M00_AXI_WVALID(ddr3_axi_wvalid), // output M00_AXI_WVALID + .M00_AXI_WREADY(ddr3_axi_wready), // input M00_AXI_WREADY + .M00_AXI_BID(ddr3_axi_bid), // input [3 : 0] M00_AXI_BID + .M00_AXI_BRESP(ddr3_axi_bresp), // input [1 : 0] M00_AXI_BRESP + .M00_AXI_BVALID(ddr3_axi_bvalid), // input M00_AXI_BVALID + .M00_AXI_BREADY(ddr3_axi_bready), // output M00_AXI_BREADY + .M00_AXI_ARID(ddr3_axi_arid), // output [3 : 0] M00_AXI_ARID + .M00_AXI_ARADDR(ddr3_axi_araddr), // output [31 : 0] M00_AXI_ARADDR + .M00_AXI_ARLEN(ddr3_axi_arlen), // output [7 : 0] M00_AXI_ARLEN + .M00_AXI_ARSIZE(ddr3_axi_arsize), // output [2 : 0] M00_AXI_ARSIZE + .M00_AXI_ARBURST(ddr3_axi_arburst), // output [1 : 0] M00_AXI_ARBURST + .M00_AXI_ARLOCK(ddr3_axi_arlock), // output M00_AXI_ARLOCK + .M00_AXI_ARCACHE(ddr3_axi_arcache), // output [3 : 0] M00_AXI_ARCACHE + .M00_AXI_ARPROT(ddr3_axi_arprot), // output [2 : 0] M00_AXI_ARPROT + .M00_AXI_ARQOS(ddr3_axi_arqos), // output [3 : 0] M00_AXI_ARQOS + .M00_AXI_ARVALID(ddr3_axi_arvalid), // output M00_AXI_ARVALID + .M00_AXI_ARREADY(ddr3_axi_arready), // input M00_AXI_ARREADY + .M00_AXI_RID(ddr3_axi_rid), // input [3 : 0] M00_AXI_RID + .M00_AXI_RDATA(ddr3_axi_rdata), // input [255 : 0] M00_AXI_RDATA + .M00_AXI_RRESP(ddr3_axi_rresp), // input [1 : 0] M00_AXI_RRESP + .M00_AXI_RLAST(ddr3_axi_rlast), // input M00_AXI_RLAST + .M00_AXI_RVALID(ddr3_axi_rvalid), // input M00_AXI_RVALID + .M00_AXI_RREADY(ddr3_axi_rready) // output M00_AXI_RREADY + ); + + + + ///////////////////////////////////////////////////////////////////////////////////////////// + // + // Radios + // + ///////////////////////////////////////////////////////////////////////////////////////////// + + // Daughter board I/O + wire [31:0] leds[0:NUM_DBOARDS-1]; + wire [31:0] fp_gpio_r_in[0:NUM_DBOARDS-1], fp_gpio_r_out[0:NUM_DBOARDS-1], fp_gpio_r_ddr[0:NUM_DBOARDS-1]; + wire [31:0] db_gpio_in[0:NUM_DBOARDS-1], db_gpio_out[0:NUM_DBOARDS-1], db_gpio_ddr[0:NUM_DBOARDS-1]; + wire [31:0] misc_outs[0:NUM_DBOARDS-1]; + reg [31:0] misc_ins[0:NUM_DBOARDS-1]; + wire [7:0] sen[0:NUM_DBOARDS-1]; + wire sclk[0:NUM_DBOARDS-1], mosi[0:NUM_DBOARDS-1], miso[0:NUM_DBOARDS-1]; + wire rx_running[0:NUM_CHANNELS-1], tx_running[0:NUM_CHANNELS-1]; + wire [63:0] rx_data_in_r[0:NUM_DBOARDS-1], rx_data_r[0:NUM_DBOARDS-1]; + wire [63:0] tx_data_r[0:NUM_DBOARDS-1], tx_data_out_r[0:NUM_DBOARDS-1]; + wire [1:0] rx_stb_r[0:NUM_DBOARDS-1], tx_stb_r[0:NUM_DBOARDS-1]; + + + // Data + wire [31:0] rx_data_in[0:NUM_CHANNELS-1], rx_data[0:NUM_CHANNELS-1]; + wire [31:0] tx_data[0:NUM_CHANNELS-1], tx_data_out[0:NUM_CHANNELS-1]; + wire rx_stb[0:NUM_CHANNELS-1], tx_stb[0:NUM_CHANNELS-1]; + wire db_fe_set_stb[0:NUM_DBOARDS-1]; + wire [7:0] db_fe_set_addr[0:NUM_DBOARDS-1]; + wire [31:0] db_fe_set_data[0:NUM_DBOARDS-1]; + wire db_fe_rb_stb[0:NUM_DBOARDS-1]; + wire [7:0] db_fe_rb_addr[0:NUM_DBOARDS-1]; + wire [63:0] db_fe_rb_data[0:NUM_DBOARDS-1]; + + + //------------------------------------ + // Daughterboard Control + // ----------------------------------- + + localparam [7:0] SR_DB_BASE = 8'd160; + localparam [7:0] RB_DB_BASE = 8'd16; + + genvar i; + generate for (i = 0; i < NUM_DBOARDS; i = i + 1) + begin + db_control #( + .USE_SPI_CLK(0), + .SR_BASE(SR_DB_BASE), + .RB_BASE(RB_DB_BASE) + ) db_control_i ( + .clk(radio_clk), .reset(radio_rst), + .set_stb(db_fe_set_stb[i]), .set_addr(db_fe_set_addr[i]), .set_data(db_fe_set_data[i]), + .rb_stb(db_fe_rb_stb[i]), .rb_addr(db_fe_rb_addr[i]), .rb_data(db_fe_rb_data[i]), + .run_rx(rx_running[i*2]), .run_tx(tx_running[i*2]), + .misc_ins(misc_ins[i]), .misc_outs(misc_outs[i]), + .fp_gpio_in(fp_gpio_r_in[i]), .fp_gpio_out(fp_gpio_r_out[i]), .fp_gpio_ddr(fp_gpio_r_ddr[i]), .fp_gpio_fab(), + .db_gpio_in(db_gpio_in[i]), .db_gpio_out(db_gpio_out[i]), .db_gpio_ddr(db_gpio_ddr[i]), .db_gpio_fab(), + .leds(leds[i]), + .spi_clk(radio_clk), .spi_rst(radio_rst), .sen(sen[i]), .sclk(sclk[i]), .mosi(mosi[i]), .miso(miso[i]) + ); + end + endgenerate + + //------------------------------------ + // Front End Control + // ----------------------------------- + + localparam [7:0] SR_FE_CHAN_OFFSET = 8'd16; + localparam [7:0] SR_TX_FE_BASE = SR_DB_BASE + 8'd48; + localparam [7:0] SR_RX_FE_BASE = SR_DB_BASE + 8'd64; + + generate for (i = 0; i < NUM_DBOARDS; i = i + 1) + begin + fe_control #( + .NUM_CHANNELS(NUM_CHANNELS_PER_DBOARD), + .SR_FE_CHAN_OFFSET(SR_FE_CHAN_OFFSET), + .SR_TX_FE_BASE(SR_TX_FE_BASE), + .SR_RX_FE_BASE(SR_RX_FE_BASE) + ) x300_fe_core_i ( + .clk(radio_clk), .reset(radio_rst), + .set_stb(db_fe_set_stb[i]), .set_addr(db_fe_set_addr[i]), .set_data(db_fe_set_data[i]), + .time_sync(), + .tx_stb(tx_stb_r[i]), .tx_data_in(tx_data_r[i]), .tx_data_out(tx_data_out_r[i]), + .rx_stb(rx_stb_r[i]), .rx_data_in(rx_data_in_r[i]), .rx_data_out(rx_data_r[i]) + ); + end + endgenerate + + //------------------------------------ + // Radio to ADC,DAC and IO Mapping + //------------------------------------ + + // Data + assign tx_data_r[0][31:0] = tx_data[0]; + assign tx_data_r[0][63:32] = tx_data[1]; + assign tx_data_r[1][31:0] = tx_data[2]; + assign tx_data_r[1][63:32] = tx_data[3]; + + assign tx_data_out[0] = tx_data_out_r[0][31:0] ; + assign tx_data_out[1] = tx_data_out_r[0][63:32]; + assign tx_data_out[2] = tx_data_out_r[1][31:0] ; + assign tx_data_out[3] = tx_data_out_r[1][63:32]; + + assign tx_stb_r[0][0] = tx_stb[0]; + assign tx_stb_r[0][1] = tx_stb[1]; + assign tx_stb_r[1][0] = tx_stb[2]; + assign tx_stb_r[1][1] = tx_stb[3]; + + assign rx_data_in_r[0][31:0] = rx_data_in[0]; + assign rx_data_in_r[0][63:32] = rx_data_in[1]; + assign rx_data_in_r[1][31:0] = rx_data_in[2]; + assign rx_data_in_r[1][63:32] = rx_data_in[3]; + + assign rx_data[0] = rx_data_r[0][31:0] ; + assign rx_data[1] = rx_data_r[0][63:32]; + assign rx_data[2] = rx_data_r[1][31:0] ; + assign rx_data[3] = rx_data_r[1][63:32]; + + assign rx_stb[0] = rx_stb_r[0][0]; + assign rx_stb[1] = rx_stb_r[0][1]; + assign rx_stb[2] = rx_stb_r[1][0]; + assign rx_stb[3] = rx_stb_r[1][1]; + + assign {rx_data_in[1], rx_data_in[0]} = {rx0, rx0}; + assign {rx_data_in[3], rx_data_in[2]} = {rx1, rx1}; + + assign tx0 = tx_data_out[0]; //tx_data_out[1] unused + assign tx1 = tx_data_out[2]; //tx_data_out[3] unused + assign tx_stb[0] = 1'b1; + assign tx_stb[1] = 1'b0; + assign tx_stb[2] = 1'b1; + assign tx_stb[3] = 1'b0; + + //Daughter board GPIO + assign {db_gpio_in[1], db_gpio_in[0]} = {db1_gpio_in, db0_gpio_in}; + assign db0_gpio_out = db_gpio_out[0]; + assign db1_gpio_out = db_gpio_out[1]; + assign db0_gpio_ddr = db_gpio_ddr[0]; + assign db1_gpio_ddr = db_gpio_ddr[1]; + + //Front-panel board GPIO + assign {fp_gpio_r_in[1], fp_gpio_r_in[0]} = {32'b0, fp_gpio_in}; + assign fp_gpio_out = fp_gpio_r_out[0]; //fp_gpio_r_out[1] unused + assign fp_gpio_ddr = fp_gpio_r_ddr[0]; //fp_gpio_ddr[1] unused + + //SPI + assign {sen0, sclk0, mosi0} = {sen[0], sclk[0], mosi[0]}; + assign miso[0] = miso0; + assign {sen1, sclk1, mosi1} = {sen[1], sclk[1], mosi[1]}; + assign miso[1] = miso1; + + //LEDs + // Reminder: radio_ledX = {RX, TXRX_TX, TXRX_RX} + assign radio_led0 = leds[0][2:0]; + assign radio_led1 = leds[1][2:0]; + + //Misc ins and outs + always @(posedge radio_clk) begin + radio0_misc_out <= misc_outs[0]; + radio1_misc_out <= misc_outs[1]; + misc_ins[0] <= radio0_misc_in; + misc_ins[1] <= radio1_misc_in; + end + +endmodule // x300_core diff --git a/fpga/usrp3/top/x300/x300_dram.xdc b/fpga/usrp3/top/x300/x300_dram.xdc new file mode 100644 index 000000000..e5f04b1d7 --- /dev/null +++ b/fpga/usrp3/top/x300/x300_dram.xdc @@ -0,0 +1,16 @@ +# +# Copyright 2015-2017 Ettus Research LLC +# + +create_generated_clock -name ddr3_axi_clk [get_pins -hierarchical -filter {NAME =~ "*u_ddr3_infrastructure/gen_ui_extra_clocks.mmcm_i/CLKFBOUT"}] +create_generated_clock -name ddr3_axi_clk_x2 [get_pins -hierarchical -filter {NAME =~ "*u_ddr3_infrastructure/gen_ui_extra_clocks.mmcm_i/CLKOUT0"}] + +set_clock_groups -asynchronous -group [get_clocks bus_clk] -group [get_clocks mmcm_ps_clk_bufg_in] +set_clock_groups -asynchronous -group [get_clocks bus_clk] -group [get_clocks ddr3_axi_clk] +set_clock_groups -asynchronous -group [get_clocks bus_clk] -group [get_clocks ddr3_axi_clk_x2] + +# Floorplan the MIG and the primary AXI4 interconnect +# create_pblock pblock_dram_iface +# resize_pblock pblock_dram_iface -add {SLICE_X104Y0:SLICE_X153Y149 DSP48_X3Y0:DSP48_X5Y59 RAMB18_X3Y0:RAMB18_X6Y59 RAMB36_X3Y0:RAMB36_X6Y29} +# add_cells_to_pblock pblock_dram_iface [get_cells [list u_ddr3_32bit]] -clear_locs +# add_cells_to_pblock pblock_dram_iface [get_cells [list x300_core/axi_intercon_2x64_128_bd_i]] -clear_locs diff --git a/fpga/usrp3/top/x300/x300_eth_interface.v b/fpga/usrp3/top/x300/x300_eth_interface.v new file mode 100644 index 000000000..fc1cbe115 --- /dev/null +++ b/fpga/usrp3/top/x300/x300_eth_interface.v @@ -0,0 +1,114 @@ +// +// Copyright 2014 Ettus Research LLC +// Copyright 2018 Ettus Research, a National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// +// Adapts from internal VITA to ethernet packets. Also handles ZPU and ethernet crossover interfaces. + +module x300_eth_interface #( + parameter [15:0] PROTOVER = {8'd1, 8'd0}, + parameter MTU = 10, + parameter NODE_INST = 0, + parameter RT_TBL_SIZE = 6, + parameter BASE = 0 +) ( + input clk, input reset, + input [15:0] device_id, + input set_stb, input [7:0] set_addr, input [31:0] set_data, + // Eth ports + output [63:0] eth_tx_tdata, output [3:0] eth_tx_tuser, output eth_tx_tlast, output eth_tx_tvalid, input eth_tx_tready, + input [63:0] eth_rx_tdata, input [3:0] eth_rx_tuser, input eth_rx_tlast, input eth_rx_tvalid, output eth_rx_tready, + // Vita router interface + output [63:0] e2v_tdata, output e2v_tlast, output e2v_tvalid, input e2v_tready, + input [63:0] v2e_tdata, input v2e_tlast, input v2e_tvalid, output v2e_tready, + // ZPU + output [63:0] e2z_tdata, output [3:0] e2z_tuser, output e2z_tlast, output e2z_tvalid, input e2z_tready, + input [63:0] z2e_tdata, input [3:0] z2e_tuser, input z2e_tlast, input z2e_tvalid, output z2e_tready +); + + // UNUSED: BASE to BASE+7 + localparam MY_ETH_ADDR_LO_REG = BASE + 8; + localparam MY_ETH_ADDR_HI_REG = BASE + 9; + localparam MY_IP_ADDR_REG = BASE + 10; + localparam MY_UDP_PORT_REG = BASE + 11; + // UNUSED: BASE+12 to BASE+15 + + wire [47:0] my_eth_addr; + wire [31:0] my_ipv4_addr; + wire [15:0] my_udp_chdr_port; + + // MAC address for the dispatcher module. + // This value is used to determine if the packet is meant + // for this device should be consumed + setting_reg #(.my_addr(MY_ETH_ADDR_LO_REG), .awidth(8), .width(32)) sr_my_mac_lsb + (.clk(clk),.rst(reset),.strobe(set_stb),.addr(set_addr), + .in(set_data),.out(my_eth_addr[31:0]),.changed()); + setting_reg #(.my_addr(MY_ETH_ADDR_HI_REG), .awidth(8), .width(16)) sr_my_mac_msb + (.clk(clk),.rst(reset),.strobe(set_stb),.addr(set_addr), + .in(set_data),.out(my_eth_addr[47:32]),.changed()); + + // IP address for the dispatcher module. + // This value is used to determine if the packet is addressed + // to this device + setting_reg #(.my_addr(MY_IP_ADDR_REG), .awidth(8), .width(32)) sr_my_ip + (.clk(clk),.rst(reset),.strobe(set_stb),.addr(set_addr), + .in(set_data),.out(my_ipv4_addr[31:0]),.changed()); + + // This module supports one destinatio port + setting_reg #(.my_addr(MY_UDP_PORT_REG), .awidth(8), .width(16)) sr_udp_port + (.clk(clk),.rst(reset),.strobe(set_stb),.addr(set_addr), + .in(set_data),.out({my_udp_chdr_port[15:0]}),.changed()); + + eth_ipv4_chdr64_adapter #( + .PROTOVER (PROTOVER), + .MTU (MTU), + .CPU_FIFO_SIZE (MTU), + .RT_TBL_SIZE (RT_TBL_SIZE), + .NODE_INST (NODE_INST), + .DROP_UNKNOWN_MAC(1) + ) eth_adapter_i ( + .clk (clk ), + .rst (reset ), + .device_id (device_id ), + .s_mac_tdata (eth_rx_tdata ), + .s_mac_tuser (eth_rx_tuser ), + .s_mac_tlast (eth_rx_tlast ), + .s_mac_tvalid (eth_rx_tvalid ), + .s_mac_tready (eth_rx_tready ), + .m_mac_tdata (eth_tx_tdata ), + .m_mac_tuser (eth_tx_tuser ), + .m_mac_tlast (eth_tx_tlast ), + .m_mac_tvalid (eth_tx_tvalid ), + .m_mac_tready (eth_tx_tready ), + .s_chdr_tdata (v2e_tdata ), + .s_chdr_tlast (v2e_tlast ), + .s_chdr_tvalid (v2e_tvalid ), + .s_chdr_tready (v2e_tready ), + .m_chdr_tdata (e2v_tdata ), + .m_chdr_tlast (e2v_tlast ), + .m_chdr_tvalid (e2v_tvalid ), + .m_chdr_tready (e2v_tready ), + .s_cpu_tdata ({z2e_tdata[7:0], z2e_tdata[15:8], + z2e_tdata[23:16], z2e_tdata[31:24], + z2e_tdata[39:32], z2e_tdata[47:40], + z2e_tdata[55:48], z2e_tdata[63:56]}), + .s_cpu_tuser (z2e_tuser ), + .s_cpu_tlast (z2e_tlast ), + .s_cpu_tvalid (z2e_tvalid ), + .s_cpu_tready (z2e_tready ), + .m_cpu_tdata ({e2z_tdata[7:0], e2z_tdata[15:8], + e2z_tdata[23:16], e2z_tdata[31:24], + e2z_tdata[39:32], e2z_tdata[47:40], + e2z_tdata[55:48], e2z_tdata[63:56]}), + .m_cpu_tuser (e2z_tuser ), + .m_cpu_tlast (e2z_tlast ), + .m_cpu_tvalid (e2z_tvalid ), + .m_cpu_tready (e2z_tready ), + .my_eth_addr (my_eth_addr ), + .my_ipv4_addr (my_ipv4_addr ), + .my_udp_chdr_port(my_udp_chdr_port) + ); + + +endmodule // x300_eth_interface diff --git a/fpga/usrp3/top/x300/x300_pcie_int.v b/fpga/usrp3/top/x300/x300_pcie_int.v new file mode 100644 index 000000000..145d48283 --- /dev/null +++ b/fpga/usrp3/top/x300/x300_pcie_int.v @@ -0,0 +1,382 @@ +// +// Copyright 2013 Ettus Research LLC +// Copyright 2017 Ettus Research, a National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// + +// Parameters: +// - DMA_STREAM_WIDTH: Width of the data bus. It'll be a big suprise if this is +// anything other than 64. +// - NUM_TX_STREAMS: Number of TX FIFOs. +// - NUM_RX_STREAMS: Number of RX FIFOs. Note: Despite having two different +// parameters, NUM_TX_STREAMS and NUM_RX_STREAMS need to be +// identical. + +`define GET_DMA_BUS(parallel_bus, chan_idx) parallel_bus[(DMA_STREAM_WIDTH*(chan_idx+1))-1:(DMA_STREAM_WIDTH*chan_idx)] +`define GET_FSIZE_BUS(parallel_bus, chan_idx) parallel_bus[(DMA_FRAME_SIZE_WIDTH*(chan_idx+1))-1:(DMA_FRAME_SIZE_WIDTH*chan_idx)] +`define GET_SWAP_BUS(parallel_bus, chan_idx) parallel_bus[(3*(chan_idx+1))-1:(3*chan_idx)] + +module x300_pcie_int #( + parameter DMA_STREAM_WIDTH = 64, + parameter NUM_TX_STREAMS = 6, + parameter NUM_RX_STREAMS = 6, + parameter REGPORT_ADDR_WIDTH = 20, + parameter REGPORT_DATA_WIDTH = 32, + parameter IOP2_MSG_WIDTH = 64, + parameter BUS_CLK_RATE = 32'd166666666 +) ( + //--------------------------------------------------------- + // Clocks and Resets + //--------------------------------------------------------- + input ioport2_clk, + input bus_clk, + input bus_rst, + + //--------------------------------------------------------- + // DMA streams to/from Chinch Interface to IoPort2 (Domain: ioport2_clk) + //--------------------------------------------------------- + input [(NUM_TX_STREAMS*DMA_STREAM_WIDTH)-1:0] dmatx_tdata_iop2, + input [NUM_TX_STREAMS-1:0] dmatx_tvalid_iop2, + output [NUM_TX_STREAMS-1:0] dmatx_tready_iop2, + + output [(NUM_RX_STREAMS*DMA_STREAM_WIDTH)-1:0] dmarx_tdata_iop2, + output [NUM_RX_STREAMS-1:0] dmarx_tvalid_iop2, + input [NUM_RX_STREAMS-1:0] dmarx_tready_iop2, + + //--------------------------------------------------------- + // DMA stream to/from crossbar (Domain: bus_clk) + //--------------------------------------------------------- + output [DMA_STREAM_WIDTH-1:0] dmatx_tdata, + output [2:0] dmatx_tuser, + output dmatx_tvalid, + output dmatx_tlast, + input dmatx_tready, + + input [DMA_STREAM_WIDTH-1:0] dmarx_tdata, + input [2:0] dmarx_tuser, + input dmarx_tvalid, + input dmarx_tlast, + output dmarx_tready, + + //--------------------------------------------------------- + // PCIe User register port (Domain: ioport2_clk) + //--------------------------------------------------------- + input pcie_usr_reg_wr, + input pcie_usr_reg_rd, + input [REGPORT_ADDR_WIDTH-1:0] pcie_usr_reg_addr, + input [REGPORT_DATA_WIDTH-1:0] pcie_usr_reg_data_in, + input [1:0] pcie_usr_reg_len, + output [REGPORT_DATA_WIDTH-1:0] pcie_usr_reg_data_out, + output pcie_usr_reg_rc, + output pcie_usr_reg_rdy, + + //--------------------------------------------------------- + // PCIe Chinch register port (Domain: ioport2_clk) + //--------------------------------------------------------- + output chinch_reg_wr, + output chinch_reg_rd, + output [REGPORT_ADDR_WIDTH-1:0] chinch_reg_addr, + output [REGPORT_DATA_WIDTH-1:0] chinch_reg_data_out, + output [1:0] chinch_reg_len, + input [REGPORT_DATA_WIDTH-1:0] chinch_reg_data_in, + input chinch_reg_rc, + input chinch_reg_rdy, + + //--------------------------------------------------------- + // Message FIFOs to/from the core logic (Domain: bus_clk) + //--------------------------------------------------------- + input [IOP2_MSG_WIDTH-1:0] rego_tdata, + input rego_tvalid, + input rego_tlast, + output rego_tready, + + output [IOP2_MSG_WIDTH-1:0] regi_tdata, + output regi_tvalid, + output regi_tlast, + input regi_tready, + + //--------------------------------------------------------- + // Misc + //--------------------------------------------------------- + input [15:0] misc_status, + output [127:0] debug +); + + localparam REG_CLK_XING_FIFO_SIZE = 5; //Will synthesize fifo_short_2clk + localparam DMA_CLK_XING_FIFO_SIZE = 5; //Will synthesize fifo_short_2clk + localparam DMA_PKT_GATE_FIFO_SIZE = 11; //Room for 2 8k packets + localparam DMA_FRAME_SIZE_WIDTH = 16; + localparam DMA_RX_DEST_WIDTH = $clog2(NUM_RX_STREAMS); + + //******************************************************************************* + // Message FIFO translator + clock crossing + // + wire msgo_tvalid, msgi_tvalid, msgo_tready, msgi_tready; + wire [63:0] msgo_tdata, msgi_tdata; + + + wire pcie_out_valid, pcie_in_valid; + wire [63:0] pcie_out_msg, pcie_in_msg; + + //Link chinch register port and user register port to AXI message FIFOs + wire iop2_rd_response, iop2_wr_request, iop2_rd_request; + wire [31:0] iop2_data; + + wire chinch_reg_is_half_word; + ioport2_msg_decode pcie_out_msg_decoder ( + .message(pcie_out_msg), + .rd_response(iop2_rd_response), .wr_request(iop2_wr_request), .rd_request(iop2_rd_request), .half_word(chinch_reg_is_half_word), + .address(chinch_reg_addr), .data(iop2_data)); + assign chinch_reg_len = chinch_reg_is_half_word ? 2'b01 : 2'b10; + + assign pcie_usr_reg_rc = iop2_rd_response & pcie_out_valid; + assign chinch_reg_wr = iop2_wr_request & pcie_out_valid; + assign chinch_reg_rd = iop2_rd_request & pcie_out_valid; + assign chinch_reg_data_out = iop2_data; + assign pcie_usr_reg_data_out = iop2_data; + + ioport2_msg_encode pcie_in_msg_encoder ( + .rd_response(chinch_reg_rc), .wr_request(pcie_usr_reg_wr), .rd_request(pcie_usr_reg_rd), .half_word(pcie_usr_reg_len == 2'b01), + .address(pcie_usr_reg_addr), .data(chinch_reg_rc ? chinch_reg_data_in : pcie_usr_reg_data_in), + .message(pcie_in_msg)); + + assign pcie_in_valid = chinch_reg_rc | pcie_usr_reg_wr | pcie_usr_reg_rd; + + //Cross from the Ioport2 clock domain to the bus clock domain + axi_fifo_2clk #(.WIDTH(64), .SIZE(REG_CLK_XING_FIFO_SIZE)) pcie_out_msg_fifo ( + .reset(bus_rst), + .i_aclk(bus_clk), .i_tdata(msgo_tdata), .i_tvalid(msgo_tvalid), .i_tready(msgo_tready), + .o_aclk(ioport2_clk), .o_tdata(pcie_out_msg), .o_tvalid(pcie_out_valid), .o_tready(chinch_reg_rdy | pcie_usr_reg_rc)); + + axi_fifo_2clk #(.WIDTH(64), .SIZE(REG_CLK_XING_FIFO_SIZE)) pcie_in_msg_fifo ( + .reset(bus_rst), + .i_aclk(ioport2_clk), .i_tdata(pcie_in_msg), .i_tvalid(pcie_in_valid), .i_tready(pcie_usr_reg_rdy), + .o_aclk(bus_clk), .o_tdata(msgi_tdata), .o_tvalid(msgi_tvalid), .o_tready(msgi_tready)); + // + //******************************************************************************* + + wire [NUM_TX_STREAMS-1:0] dmatx_clear, dmatx_enabled; + wire [NUM_TX_STREAMS-1:0] dmatx_samp_stb, dmatx_pkt_stb, dmatx_busy, dmatx_error; + wire [(NUM_TX_STREAMS*DMA_FRAME_SIZE_WIDTH)-1:0] dmatx_frame_size; + + wire [NUM_RX_STREAMS-1:0] dmarx_clear, dmarx_enabled; + wire [NUM_RX_STREAMS-1:0] dmarx_samp_stb, dmarx_pkt_stb, dmarx_busy, dmarx_error; + wire [(NUM_RX_STREAMS*DMA_FRAME_SIZE_WIDTH)-1:0] dmarx_frame_size; + wire [DMA_STREAM_WIDTH-1:0] dmarx_header; + + //******************************************************************************* + // PCIe message/register endpoints + // + wire [63:0] basic_regi_tdata, dmatx_regi_tdata, dmarx_regi_tdata; + wire basic_regi_tvalid, dmatx_regi_tvalid, dmarx_regi_tvalid; + wire basic_regi_tready, dmatx_regi_tready, dmarx_regi_tready; + wire [63:0] basic_rego_tdata, dmatx_rego_tdata, dmarx_rego_tdata; + wire basic_rego_tvalid, dmatx_rego_tvalid, dmarx_rego_tvalid; + wire basic_rego_tready, dmatx_rego_tready, dmarx_rego_tready; + + pcie_iop2_msg_arbiter #( + //(DO NOT USE) //0x00000 - 0x3FFFC: Reserved LVFPGA Core Space + .E0_ADDR(20'h40000), .E0_MASK(20'hFFE00), //0x40000 - 0x401FC: Basic PCIe registers + .E1_ADDR(20'h40200), .E1_MASK(20'hFFE00), //0x40200 - 0x403FC: TX DMA Config/Readback registers + .E2_ADDR(20'h40400), .E2_MASK(20'hFFE00), //0x40400 - 0x405FC: RX DMA Config/Readback registers + .E3_ADDR(20'h60000), .E3_MASK(20'hE0000) //0x60000 - 0x7FFFC: Client address space + ) iop2_msg_arbiter ( + .clk(bus_clk), .reset(bus_rst), + //Master + .regi_tdata(msgi_tdata), .regi_tvalid(msgi_tvalid), .regi_tready(msgi_tready), + .rego_tdata(msgo_tdata), .rego_tvalid(msgo_tvalid), .rego_tready(msgo_tready), + //Endpoint 0 + .e0_regi_tdata(basic_regi_tdata), .e0_regi_tvalid(basic_regi_tvalid), .e0_regi_tready(basic_regi_tready), + .e0_rego_tdata(basic_rego_tdata), .e0_rego_tvalid(basic_rego_tvalid), .e0_rego_tready(basic_rego_tready), + //Endpoint 1 + .e1_regi_tdata(dmatx_regi_tdata), .e1_regi_tvalid(dmatx_regi_tvalid), .e1_regi_tready(dmatx_regi_tready), + .e1_rego_tdata(dmatx_rego_tdata), .e1_rego_tvalid(dmatx_rego_tvalid), .e1_rego_tready(dmatx_rego_tready), + //Endpoint 2 + .e2_regi_tdata(dmarx_regi_tdata), .e2_regi_tvalid(dmarx_regi_tvalid), .e2_regi_tready(dmarx_regi_tready), + .e2_rego_tdata(dmarx_rego_tdata), .e2_rego_tvalid(dmarx_rego_tvalid), .e2_rego_tready(dmarx_rego_tready), + //Endpoint 3 + .e3_regi_tdata(regi_tdata), .e3_regi_tvalid(regi_tvalid), .e3_regi_tready(regi_tready), + .e3_rego_tdata(rego_tdata), .e3_rego_tvalid(rego_tvalid), .e3_rego_tready(rego_tready) + ); + assign regi_tlast = regi_tvalid; + + wire [15:0] fpga_status; + assign fpga_status[7:0] = {|(dmatx_error), 1'b0, dmatx_enabled}; + assign fpga_status[15:8] = {|(dmarx_error), 1'b0, dmarx_enabled}; + + pcie_basic_regs #( + .SIGNATURE(32'h58333030 /*ASCII:"X300"*/), .CLK_FREQ(BUS_CLK_RATE) + ) basic_regs ( + .clk(bus_clk), .reset(bus_rst), + .regi_tdata(basic_regi_tdata), .regi_tvalid(basic_regi_tvalid), .regi_tready(basic_regi_tready), + .rego_tdata(basic_rego_tdata), .rego_tvalid(basic_rego_tvalid), .rego_tready(basic_rego_tready), + .misc_status({fpga_status, misc_status}) + ); + + pcie_dma_ctrl #( + .NUM_STREAMS(NUM_TX_STREAMS), .FRAME_SIZE_W(DMA_FRAME_SIZE_WIDTH), + .REG_BASE_ADDR(20'h40200), .ENABLE_ROUTER(0) + ) tx_dma_ctrl_regs ( + .clk(bus_clk), .reset(bus_rst), + .regi_tdata(dmatx_regi_tdata), .regi_tvalid(dmatx_regi_tvalid), .regi_tready(dmatx_regi_tready), + .rego_tdata(dmatx_rego_tdata), .rego_tvalid(dmatx_rego_tvalid), .rego_tready(dmatx_rego_tready), + .set_enabled(dmatx_enabled), .set_clear(dmatx_clear), .set_frame_size(dmatx_frame_size), + .sample_stb(dmatx_samp_stb), .packet_stb(dmatx_pkt_stb), + .stream_busy(dmatx_busy), .stream_err(dmatx_error), .rtr_sid(8'h00), .rtr_dst() + ); + + pcie_dma_ctrl #( + .NUM_STREAMS(NUM_RX_STREAMS), .FRAME_SIZE_W(DMA_FRAME_SIZE_WIDTH), + .REG_BASE_ADDR(20'h40400), .ENABLE_ROUTER(0) + ) rx_dma_ctrl_regs ( + .clk(bus_clk), .reset(bus_rst), + .regi_tdata(dmarx_regi_tdata), .regi_tvalid(dmarx_regi_tvalid), .regi_tready(dmarx_regi_tready), + .rego_tdata(dmarx_rego_tdata), .rego_tvalid(dmarx_rego_tvalid), .rego_tready(dmarx_rego_tready), + .set_enabled(dmarx_enabled), .set_clear(dmarx_clear), .set_frame_size(dmarx_frame_size), + .sample_stb(dmarx_samp_stb), .packet_stb(dmarx_pkt_stb), + .stream_busy(dmarx_busy), .stream_err(dmarx_error), .rtr_sid(8'h00), .rtr_dst() + ); + // + //******************************************************************************* + + //******************************************************************************* + // TX DMA Datapath + // + wire [(NUM_TX_STREAMS*DMA_STREAM_WIDTH)-1:0] dmatx_tdata_bclk, dmatx_tdata_in, dmatx_tdata_trun, dmatx_tdata_gt, dmatx_tdata_swap; + wire [NUM_TX_STREAMS-1:0] dmatx_tvalid_bclk, dmatx_tvalid_in, dmatx_tvalid_trun, dmatx_tvalid_gt; + wire [NUM_TX_STREAMS-1:0] dmatx_tready_bclk, dmatx_tready_in, dmatx_tready_trun, dmatx_tready_gt; + wire [NUM_TX_STREAMS-1:0] dmatx_tlast_trun, dmatx_tlast_gt; + // Output of the axi_mux8 + wire [DMA_STREAM_WIDTH-1:0] dmatx_tdata_mux; + wire [DMA_RX_DEST_WIDTH-1:0] dmatx_tuser_mux; + wire dmatx_tvalid_mux, dmatx_tlast_mux, dmatx_tready_mux; + + genvar i; + generate + for (i=0; i PCS) + .xgmii_txd(xgmii_txd), // Transmit data from client MAC. + .xgmii_txc(xgmii_txc), // Transmit control signal from client MAC. + .xgmii_rxd(xgmii_rxd), // Received Data to client MAC. + .xgmii_rxc(xgmii_rxc), // Received control signal to client MAC. + // Tranceiver Interface + .txp(txp), // Differential +ve of serial transmission from PMA to PMD. + .txn(txn), // Differential -ve of serial transmission from PMA to PMD. + .rxp(rxp), // Differential +ve for serial reception from PMD to PMA. + .rxn(rxn), // Differential -ve for serial reception from PMD to PMA. + // Management: MDIO Interface + .mdc(mdc), // Management Data Clock + .mdio_in(mdio_in), // Management Data In + .mdio_out(mdio_out), // Management Data Out + .mdio_tri(), // Management Data Tristate + .prtad(5'd4), // MDIO address is 4 + // General IO's + .core_status(xgmii_status), // Core status + .resetdone(xge_phy_resetdone), + .signal_detect(~sfpp_rxlos), // Input from PMD to indicate presence of optical input. (Undocumented, but it seems Xilinx expect this to be inverted.) + .tx_fault(sfpp_tx_fault), + .tx_disable(sfpp_tx_disable) + ); + + xge_mac_wrapper #( + .PORTNUM(PORTNUM), + .WISHBONE(1) + ) xge_mac_wrapper_i ( + // XGMII + .xgmii_clk(gb_refclk), + .xgmii_txd(xgmii_txd), + .xgmii_txc(xgmii_txc), + .xgmii_rxd(xgmii_rxd), + .xgmii_rxc(xgmii_rxc), + // MDIO + .mdc(mdc), + .mdio_in(mdio_in), + .mdio_out(mdio_out), + // Wishbone I/F + .wb_clk_i(bus_clk_div2), + .wb_rst_i(bus_rst_div2), + .wb_adr_i(wb_adr_i), + .wb_cyc_i(wb_cyc_i), + .wb_dat_i(wb_dat_i), + .wb_stb_i(wb_stb_i), + .wb_we_i(wb_we_i), + .wb_ack_o(wb_ack_o), + .wb_dat_o(wb_dat_o), + .wb_int_o(wb_int_o), + // Client FIFO Interfaces + .sys_clk(bus_clk), + .sys_rst(bus_rst), + .rx_tdata(m_axis_tdata), + .rx_tuser(m_axis_tuser), + .rx_tlast(m_axis_tlast), + .rx_tvalid(m_axis_tvalid), + .rx_tready(m_axis_tready), + .tx_tdata(c2mac_tdata), + .tx_tuser(c2mac_tuser), // Bit[3] (error) is ignored for now. + .tx_tlast(c2mac_tlast), + .tx_tvalid(c2mac_tvalid), + .tx_tready(c2mac_tready), + // Other + .phy_ready(xge_phy_resetdone) + ); + + assign phy_status = {8'h00, xgmii_status}; + + // Use the PCS Block Lock signal to drive the link_up LED on the FP. + // For further details, see Xilinx' PG068, Table 2-11 (core_status[0] signal). + synchronizer #(.INITIAL_VAL(1'b0)) link_up_sync ( + .clk(bus_clk), .rst(1'b0 /* no reset */), .in(xgmii_status[0]), .out(link_up)); + + + end else if (PROTOCOL == "1GbE") begin + + //----------------------------------------------------------------- + // 1 Gigabit Ethernet + //----------------------------------------------------------------- + wire [7:0] gmii_txd, gmii_rxd; + wire gmii_tx_en, gmii_tx_er, gmii_rx_dv, gmii_rx_er; + wire gmii_clk; + + assign sfpp_tx_disable = 1'b0; // Always on. + + one_gige_phy one_gige_phy_i + ( + .reset(areset), // Asynchronous reset for entire core. + .independent_clock(bus_clk), + // Tranceiver Interface + .gtrefclk(gt_refclk), // Reference clock for MGT: 125MHz, very high quality. + .gtrefclk_bufg(gb_refclk), // Reference clock routed through a BUFG + .txp(txp), // Differential +ve of serial transmission from PMA to PMD. + .txn(txn), // Differential -ve of serial transmission from PMA to PMD. + .rxp(rxp), // Differential +ve for serial reception from PMD to PMA. + .rxn(rxn), // Differential -ve for serial reception from PMD to PMA. + // GMII Interface (client MAC <=> PCS) + .gmii_clk(gmii_clk), // Clock to client MAC. + .gmii_txd(gmii_txd), // Transmit data from client MAC. + .gmii_tx_en(gmii_tx_en), // Transmit control signal from client MAC. + .gmii_tx_er(gmii_tx_er), // Transmit control signal from client MAC. + .gmii_rxd(gmii_rxd), // Received Data to client MAC. + .gmii_rx_dv(gmii_rx_dv), // Received control signal to client MAC. + .gmii_rx_er(gmii_rx_er), // Received control signal to client MAC. + // Management: MDIO Interface + .mdc(mdc), // Management Data Clock + .mdio_i(mdio_in), // Management Data In + .mdio_o(mdio_out), // Management Data Out + .mdio_t(), // Management Data Tristate + .configuration_vector(5'd0), // Alternative to MDIO interface. + .configuration_valid(1'b1), // Validation signal for Config vector (MUST be 1 for proper functionality...undocumented) + // General IO's + .status_vector(phy_status), // Core status. + .signal_detect(1'b1 /*Optical module not supported*/) // Input from PMD to indicate presence of optical input. + ); + + simple_gemac_wrapper #(.RX_FLOW_CTRL(0), .PORTNUM(PORTNUM)) simple_gemac_wrapper_i + ( + .clk125(gmii_clk), + .reset(areset), + + .GMII_GTX_CLK(), + .GMII_TX_EN(gmii_tx_en), + .GMII_TX_ER(gmii_tx_er), + .GMII_TXD(gmii_txd), + .GMII_RX_CLK(gmii_clk), + .GMII_RX_DV(gmii_rx_dv), + .GMII_RX_ER(gmii_rx_er), + .GMII_RXD(gmii_rxd), + + .sys_clk(bus_clk), + .rx_tdata(m_axis_tdata), + .rx_tuser(m_axis_tuser), + .rx_tlast(m_axis_tlast), + .rx_tvalid(m_axis_tvalid), + .rx_tready(m_axis_tready), + .tx_tdata(c2mac_tdata), + .tx_tuser(c2mac_tuser), + .tx_tlast(c2mac_tlast), + .tx_tvalid(c2mac_tvalid), + .tx_tready(c2mac_tready), + // MDIO + .mdc(mdc), + .mdio_in(mdio_in), + .mdio_out(mdio_out), + .mdio_tri(), + // Wishbone I/F + .wb_clk_i(bus_clk_div2), + .wb_rst_i(bus_rst_div2), + .wb_adr_i(wb_adr_i), + .wb_cyc_i(wb_cyc_i), + .wb_dat_i(wb_dat_o), + .wb_stb_i(wb_stb_i), + .wb_we_i(wb_we_i), + .wb_ack_o(wb_ack_o), + .wb_dat_o(wb_dat_i), + .wb_int_o(wb_int_o), + // Debug + .debug_tx(), .debug_rx() + ); + + // Use the Link Status signal to drive the link_up LED on the FP. + // For further details, see Xilinx' PG047, Table 2-76 (status_vector[0]). + synchronizer #(.INITIAL_VAL(1'b0)) link_up_sync ( + .clk(bus_clk), .rst(1'b0 /* no reset */), .in(phy_status[0]), .out(link_up)); + + + end else if (PROTOCOL == "Aurora") begin + + //----------------------------------------------------------------- + // Aurora + //----------------------------------------------------------------- + wire au_user_clk, au_user_rst, phy_areset; + wire [63:0] i_tdata, o_tdata; + wire i_tvalid, i_tready, o_tvalid; + wire channel_up, hard_err, soft_err; + wire mac_clear; + + assign sfpp_tx_disable = 1'b0; // Always on. + + aurora_phy_x1 aurora_phy_i ( + // Resets + .areset(areset | phy_areset), + // Clocks + .refclk(gt_refclk), + .init_clk(misc_clk), + .user_clk(au_user_clk), + .user_rst(au_user_rst), + // GTX Serial I/O + .tx_p(txp), + .tx_n(txn), + .rx_p(rxp), + .rx_n(rxn), + // AXI4-Stream TX Interface + .s_axis_tdata(i_tdata), + .s_axis_tvalid(i_tvalid), + .s_axis_tready(i_tready), + // AXI4-Stream RX Interface + .m_axis_tdata(o_tdata), + .m_axis_tvalid(o_tvalid), + // AXI4-Lite Config Interface: TODO: Hook up to WB->AXI4Lite converter + .s_axi_awaddr(32'h0), + .s_axi_araddr(32'h0), + .s_axi_awvalid(1'b0), + .s_axi_awready(), + .s_axi_wdata(32'h0), + .s_axi_wvalid(1'b0), + .s_axi_wstrb(1'b0), + .s_axi_wready(), + .s_axi_bvalid(), + .s_axi_bresp(), + .s_axi_bready(1'b1), + .s_axi_arready(), + .s_axi_arvalid(1'b0), + .s_axi_rdata(), + .s_axi_rvalid(), + .s_axi_rresp(), + .s_axi_rready(1'b1), + // Status and Error Reporting Interface + .channel_up(channel_up), + .hard_err(hard_err), + .soft_err(soft_err) + ); + + assign phy_status = {14'd0, hard_err, channel_up}; + + wire bist_gen_en, bist_checker_en, bist_loopback_en; + wire bist_checker_locked; + wire [5:0] bist_gen_rate; + wire [47:0] bist_checker_samps, bist_checker_errors; + wire [31:0] overruns, checksum_errors; + + aurora_axis_mac #( + .PHY_ENDIANNESS ("LITTLE"), + .PACKET_MODE (1), + .MAX_PACKET_SIZE(1024), + .BIST_ENABLED (1) + ) aurora_mac_i ( + // Clocks and resets + .phy_clk(au_user_clk), .phy_rst(au_user_rst), + .sys_clk(bus_clk), .sys_rst(bus_rst), + .clear(mac_clear), + // PHY Interface (Synchronous to phy_clk) + .phy_s_axis_tdata(o_tdata), + .phy_s_axis_tvalid(o_tvalid), + .phy_m_axis_tdata(i_tdata), + .phy_m_axis_tvalid(i_tvalid), + .phy_m_axis_tready(i_tready), + // User Interface (Synchronous to sys_clk) + .s_axis_tdata(c2mac_tdata), + .s_axis_tlast(c2mac_tlast), + .s_axis_tvalid(c2mac_tvalid), + .s_axis_tready(c2mac_tready), + .m_axis_tdata(m_axis_tdata), + .m_axis_tlast(m_axis_tlast), + .m_axis_tvalid(m_axis_tvalid), + .m_axis_tready(m_axis_tready), + // PHY Status Inputs (Synchronous to phy_clk) + .channel_up(channel_up), + .hard_err(hard_err), + .soft_err(soft_err), + // Status and Error Outputs (Synchronous to sys_clk) + .overruns(overruns), + .soft_errors(), + .checksum_errors(checksum_errors), + .critical_err(mac_crit_err), + // BIST Interface (Synchronous to sys_clk) + .bist_gen_en(bist_gen_en), + .bist_gen_rate(bist_gen_rate), + .bist_checker_en(bist_checker_en), + .bist_loopback_en(bist_loopback_en), + .bist_checker_locked(bist_checker_locked), + .bist_checker_samps(bist_checker_samps), + .bist_checker_errors(bist_checker_errors) + ); + + reg mac_crit_err_latch; + always @(posedge bus_clk) begin + if (bus_rst | mac_clear) begin + mac_crit_err_latch <= 1'b0; + end else begin + if (mac_crit_err_bclk) + mac_crit_err_latch <= 1'b1; + end + end + + assign m_axis_tuser = 4'd0; + + wire set_stb; + wire [3:0] set_addr, rb_addr; + wire [31:0] set_data; + reg [31:0] rb_data; + + settings_bus #(.AWIDTH(8), .DWIDTH(32), .SWIDTH(4)) settings_bus_i ( + .wb_clk(bus_clk), .wb_rst(bus_rst), + .wb_adr_i(wb_adr_i), .wb_dat_i(wb_dat_i), + .wb_stb_i(wb_stb_i), .wb_we_i(wb_we_i), .wb_ack_o(wb_ack_o), + .strobe(set_stb), .addr(set_addr), .data(set_data) + ); + + settings_readback #(.AWIDTH(8),.DWIDTH(32), .RB_ADDRW(4)) settings_readback_i ( + .wb_clk(bus_clk), .wb_rst(bus_rst), + .wb_adr_i(wb_adr_i), .wb_stb_i(wb_stb_i), .wb_we_i(wb_we_i), .wb_dat_o(wb_dat_o), + .rb_data(rb_data), .rb_addr(rb_addr), .rb_rd_stb() + ); + + setting_reg #(.my_addr(4'd0), .awidth(4), .width(11), .at_reset(11'h000)) set_core_control_i ( + .clk(bus_clk), .rst(bus_rst), + .strobe(set_stb), .addr(set_addr), .in(set_data), + .out({mac_clear, phy_areset, bist_gen_rate, bist_loopback_en, bist_gen_en, bist_checker_en}), .changed() + ); + + wire channel_up_bclk, hard_err_bclk, soft_err_bclk, mac_crit_err_bclk; + synchronizer #(.INITIAL_VAL(1'b0)) channel_up_sync ( + .clk(bus_clk), .rst(1'b0 /* no reset */), .in(channel_up), .out(channel_up_bclk)); + synchronizer #(.INITIAL_VAL(1'b0)) hard_err_sync ( + .clk(bus_clk), .rst(1'b0 /* no reset */), .in(hard_err), .out(hard_err_bclk)); + synchronizer #(.INITIAL_VAL(1'b0)) soft_err_sync ( + .clk(bus_clk), .rst(1'b0 /* no reset */), .in(soft_err), .out(soft_err_bclk)); + synchronizer #(.INITIAL_VAL(1'b0)) mac_crit_err_sync ( + .clk(bus_clk), .rst(1'b0 /* no reset */), .in(mac_crit_err), .out(mac_crit_err_bclk)); + + reg [19:0] bist_lock_latency; + always @(posedge bus_clk) begin + if (!bist_checker_en && !bist_checker_locked) + bist_lock_latency <= 20'd0; + else if (bist_checker_en && !bist_checker_locked) + bist_lock_latency <= bist_lock_latency + 20'd1; + end + + reg mac_crit_err_latch; + always @(posedge bus_clk) begin + if (bus_rst | mac_clear) begin + mac_crit_err_latch <= 1'b0; + end else begin + if (mac_crit_err_bclk) + mac_crit_err_latch <= 1'b1; + end + end + + wire [31:0] core_status = { + 6'h0, //[31:26] + mac_crit_err_latch, //[25] + 1, //[24] mmcm_locked_bclk + 1, //[23] gt_pll_locked_bclk + 0, //[22] qpll_refclklost_bclk + 1, //[21] qpll_lock_bclk + 0, //[20] qpll_reset_bclk + bist_lock_latency[19:4], //[19:4] + bist_checker_locked, //[3] + soft_err_bclk, //[2] + hard_err_bclk, //[1] + channel_up_bclk //[0] + }; + + assign link_up = channel_up_bclk; + + always @(*) + case (rb_addr) + 4'd0: rb_data = core_status; + 4'd1: rb_data = overruns; + 4'd2: rb_data = checksum_errors; + 4'd3: rb_data = bist_checker_samps[47:16]; //Scale num sample by 2^16 + 4'd4: rb_data = bist_checker_errors[31:0]; //Dont scale errors + default: rb_data = 32'h0; + endcase // case (rb_addr) + + end else begin + + //Invalid protocol + + end +endgenerate + + //----------------------------------------------------------------- + // Activity detector + //----------------------------------------------------------------- + + pulse_stretch act_pulse_str_i ( + .clk(bus_clk), + .rst(bus_rst | ~link_up), + .pulse((s_axis_tvalid & s_axis_tready) | (m_axis_tvalid & m_axis_tready)), + .pulse_stretched(activity) + ); + +endmodule + diff --git a/fpga/usrp3/top/x300/x300_static_router.hex b/fpga/usrp3/top/x300/x300_static_router.hex new file mode 100644 index 000000000..53635706f --- /dev/null +++ b/fpga/usrp3/top/x300/x300_static_router.hex @@ -0,0 +1,13 @@ +0000000C +00400140 +014001c0 +01c00180 +01800040 +01c10181 +01810080 +00c00200 +02000280 +02800240 +024000c0 +02810241 +02410100 diff --git a/fpga/usrp3/top/x300/x300_zpu_config.vhd b/fpga/usrp3/top/x300/x300_zpu_config.vhd new file mode 100644 index 000000000..e19380280 --- /dev/null +++ b/fpga/usrp3/top/x300/x300_zpu_config.vhd @@ -0,0 +1,20 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_unsigned.all; + +package zpu_config is + -- generate trace output or not. + constant Generate_Trace : boolean := false; + constant wordPower : integer := 5; + -- during simulation, set this to '0' to get matching trace.txt + constant DontCareValue : std_logic := '0'; + -- Clock frequency in MHz. + constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"40"; + -- This is the msb address bit. bytes=2^(maxAddrBitIncIO+1) + constant maxAddrBitIncIO : integer := 15; + + -- start byte address of stack. + -- point to top of RAM - 2*words + constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) := x"7ff8"; + +end zpu_config; diff --git a/fpga/usrp3/top/x300/x310_rfnoc_image_core.v b/fpga/usrp3/top/x300/x310_rfnoc_image_core.v new file mode 100644 index 000000000..73124f119 --- /dev/null +++ b/fpga/usrp3/top/x300/x310_rfnoc_image_core.v @@ -0,0 +1,1051 @@ +// +// Copyright 2019 Ettus Research, A National Instruments Brand +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// + +// Module: rfnoc_image_core (for x300) +// This file was autogenerated by UHD's image builder tool (rfnoc_image_builder) +// Re-running that tool will overwrite this file! +// File generated on: 2019-11-14T12:14:56.022475 +// Source: ./x310_rfnoc_image_core.yml +// Source SHA256: 41b259b31e2a09272ffb146ef99afe1672a44c86dd51104fa4d1821ad24d5518 + +module rfnoc_image_core #( + parameter [15:0] PROTOVER = {8'd1, 8'd0} +)( + // Clocks + input wire chdr_aclk, + input wire ctrl_aclk, + input wire core_arst, + input wire radio_clk, + input wire ce_clk, + input wire dram_clk, + // Basic + input wire [15:0] device_id, +//// IO ports ////////////////////////////////// +// ctrlport_radio0 + output wire [ 1-1:0] m_ctrlport_radio0_req_wr, + output wire [ 1-1:0] m_ctrlport_radio0_req_rd, + output wire [ 20-1:0] m_ctrlport_radio0_req_addr, + output wire [ 32-1:0] m_ctrlport_radio0_req_data, + output wire [ 4-1:0] m_ctrlport_radio0_req_byte_en, + output wire [ 1-1:0] m_ctrlport_radio0_req_has_time, + output wire [ 64-1:0] m_ctrlport_radio0_req_time, + input wire [ 1-1:0] m_ctrlport_radio0_resp_ack, + input wire [ 2-1:0] m_ctrlport_radio0_resp_status, + input wire [ 32-1:0] m_ctrlport_radio0_resp_data, +// ctrlport_radio1 + output wire [ 1-1:0] m_ctrlport_radio1_req_wr, + output wire [ 1-1:0] m_ctrlport_radio1_req_rd, + output wire [ 20-1:0] m_ctrlport_radio1_req_addr, + output wire [ 32-1:0] m_ctrlport_radio1_req_data, + output wire [ 4-1:0] m_ctrlport_radio1_req_byte_en, + output wire [ 1-1:0] m_ctrlport_radio1_req_has_time, + output wire [ 64-1:0] m_ctrlport_radio1_req_time, + input wire [ 1-1:0] m_ctrlport_radio1_resp_ack, + input wire [ 2-1:0] m_ctrlport_radio1_resp_status, + input wire [ 32-1:0] m_ctrlport_radio1_resp_data, +// time_keeper + input wire [ 64-1:0] radio_time, +// x300_radio0 + input wire [ 64-1:0] radio_rx_data_radio0, + input wire [ 2-1:0] radio_rx_stb_radio0, + output wire [ 2-1:0] radio_rx_running_radio0, + output wire [ 64-1:0] radio_tx_data_radio0, + input wire [ 2-1:0] radio_tx_stb_radio0, + output wire [ 2-1:0] radio_tx_running_radio0, +// x300_radio1 + input wire [ 64-1:0] radio_rx_data_radio1, + input wire [ 2-1:0] radio_rx_stb_radio1, + output wire [ 2-1:0] radio_rx_running_radio1, + output wire [ 64-1:0] radio_tx_data_radio1, + input wire [ 2-1:0] radio_tx_stb_radio1, + output wire [ 2-1:0] radio_tx_running_radio1, +// dram + input wire [ 1-1:0] axi_rst, + output wire [ 2-1:0] m_axi_awid, + output wire [ 64-1:0] m_axi_awaddr, + output wire [ 16-1:0] m_axi_awlen, + output wire [ 6-1:0] m_axi_awsize, + output wire [ 4-1:0] m_axi_awburst, + output wire [ 2-1:0] m_axi_awlock, + output wire [ 8-1:0] m_axi_awcache, + output wire [ 6-1:0] m_axi_awprot, + output wire [ 8-1:0] m_axi_awqos, + output wire [ 8-1:0] m_axi_awregion, + output wire [ 2-1:0] m_axi_awuser, + output wire [ 2-1:0] m_axi_awvalid, + input wire [ 2-1:0] m_axi_awready, + output wire [128-1:0] m_axi_wdata, + output wire [ 16-1:0] m_axi_wstrb, + output wire [ 2-1:0] m_axi_wlast, + output wire [ 2-1:0] m_axi_wuser, + output wire [ 2-1:0] m_axi_wvalid, + input wire [ 2-1:0] m_axi_wready, + input wire [ 2-1:0] m_axi_bid, + input wire [ 4-1:0] m_axi_bresp, + input wire [ 2-1:0] m_axi_buser, + input wire [ 2-1:0] m_axi_bvalid, + output wire [ 2-1:0] m_axi_bready, + output wire [ 2-1:0] m_axi_arid, + output wire [ 64-1:0] m_axi_araddr, + output wire [ 16-1:0] m_axi_arlen, + output wire [ 6-1:0] m_axi_arsize, + output wire [ 4-1:0] m_axi_arburst, + output wire [ 2-1:0] m_axi_arlock, + output wire [ 8-1:0] m_axi_arcache, + output wire [ 6-1:0] m_axi_arprot, + output wire [ 8-1:0] m_axi_arqos, + output wire [ 8-1:0] m_axi_arregion, + output wire [ 2-1:0] m_axi_aruser, + output wire [ 2-1:0] m_axi_arvalid, + input wire [ 2-1:0] m_axi_arready, + input wire [ 2-1:0] m_axi_rid, + input wire [128-1:0] m_axi_rdata, + input wire [ 4-1:0] m_axi_rresp, + input wire [ 2-1:0] m_axi_rlast, + input wire [ 2-1:0] m_axi_ruser, + input wire [ 2-1:0] m_axi_rvalid, + output wire [ 2-1:0] m_axi_rready, + // Transport 0 (eth0 10G) + input wire [64-1:0] s_eth0_tdata, + input wire s_eth0_tlast, + input wire s_eth0_tvalid, + output wire s_eth0_tready, + output wire [64-1:0] m_eth0_tdata, + output wire m_eth0_tlast, + output wire m_eth0_tvalid, + input wire m_eth0_tready, + // Transport 1 (eth1 1G) + input wire [64-1:0] s_eth1_tdata, + input wire s_eth1_tlast, + input wire s_eth1_tvalid, + output wire s_eth1_tready, + output wire [64-1:0] m_eth1_tdata, + output wire m_eth1_tlast, + output wire m_eth1_tvalid, + input wire m_eth1_tready, + // Transport 2 (pcie PCIe) + input wire [64-1:0] s_pcie_tdata, + input wire s_pcie_tlast, + input wire s_pcie_tvalid, + output wire s_pcie_tready, + output wire [64-1:0] m_pcie_tdata, + output wire m_pcie_tlast, + output wire m_pcie_tvalid, + input wire m_pcie_tready +); + + localparam CHDR_W = 64; + localparam MTU = 10; + localparam EDGE_TBL_FILE = `"`RFNOC_EDGE_TBL_FILE`"; + + wire rfnoc_chdr_clk, rfnoc_chdr_rst; + wire rfnoc_ctrl_clk, rfnoc_ctrl_rst; + + // ---------------------------------------------------- + // CHDR Crossbar + // ---------------------------------------------------- + wire [CHDR_W-1:0] xb_to_ep0_tdata ; + wire xb_to_ep0_tlast ; + wire xb_to_ep0_tvalid; + wire xb_to_ep0_tready; + wire [CHDR_W-1:0] ep0_to_xb_tdata ; + wire ep0_to_xb_tlast ; + wire ep0_to_xb_tvalid; + wire ep0_to_xb_tready; + wire [CHDR_W-1:0] xb_to_ep1_tdata ; + wire xb_to_ep1_tlast ; + wire xb_to_ep1_tvalid; + wire xb_to_ep1_tready; + wire [CHDR_W-1:0] ep1_to_xb_tdata ; + wire ep1_to_xb_tlast ; + wire ep1_to_xb_tvalid; + wire ep1_to_xb_tready; + wire [CHDR_W-1:0] xb_to_ep2_tdata ; + wire xb_to_ep2_tlast ; + wire xb_to_ep2_tvalid; + wire xb_to_ep2_tready; + wire [CHDR_W-1:0] ep2_to_xb_tdata ; + wire ep2_to_xb_tlast ; + wire ep2_to_xb_tvalid; + wire ep2_to_xb_tready; + wire [CHDR_W-1:0] xb_to_ep3_tdata ; + wire xb_to_ep3_tlast ; + wire xb_to_ep3_tvalid; + wire xb_to_ep3_tready; + wire [CHDR_W-1:0] ep3_to_xb_tdata ; + wire ep3_to_xb_tlast ; + wire ep3_to_xb_tvalid; + wire ep3_to_xb_tready; + + chdr_crossbar_nxn #( + .CHDR_W (CHDR_W), + .NPORTS (7), + .DEFAULT_PORT (0), + .MTU (MTU), + .ROUTE_TBL_SIZE (6), + .MUX_ALLOC ("ROUND-ROBIN"), + .OPTIMIZE ("AREA"), + .NPORTS_MGMT (3), + .EXT_RTCFG_PORT (0), + .PROTOVER (PROTOVER) + ) chdr_xb_i ( + .clk (rfnoc_chdr_clk), + .reset (rfnoc_chdr_rst), + .device_id (device_id), + .s_axis_tdata ({ep3_to_xb_tdata, ep2_to_xb_tdata, ep1_to_xb_tdata, ep0_to_xb_tdata, s_pcie_tdata, s_eth1_tdata, s_eth0_tdata}), + .s_axis_tlast ({ep3_to_xb_tlast, ep2_to_xb_tlast, ep1_to_xb_tlast, ep0_to_xb_tlast, s_pcie_tlast, s_eth1_tlast, s_eth0_tlast}), + .s_axis_tvalid ({ep3_to_xb_tvalid, ep2_to_xb_tvalid, ep1_to_xb_tvalid, ep0_to_xb_tvalid, s_pcie_tvalid, s_eth1_tvalid, s_eth0_tvalid}), + .s_axis_tready ({ep3_to_xb_tready, ep2_to_xb_tready, ep1_to_xb_tready, ep0_to_xb_tready, s_pcie_tready, s_eth1_tready, s_eth0_tready}), + .m_axis_tdata ({xb_to_ep3_tdata, xb_to_ep2_tdata, xb_to_ep1_tdata, xb_to_ep0_tdata, m_pcie_tdata, m_eth1_tdata, m_eth0_tdata}), + .m_axis_tlast ({xb_to_ep3_tlast, xb_to_ep2_tlast, xb_to_ep1_tlast, xb_to_ep0_tlast, m_pcie_tlast, m_eth1_tlast, m_eth0_tlast}), + .m_axis_tvalid ({xb_to_ep3_tvalid, xb_to_ep2_tvalid, xb_to_ep1_tvalid, xb_to_ep0_tvalid, m_pcie_tvalid, m_eth1_tvalid, m_eth0_tvalid}), + .m_axis_tready ({xb_to_ep3_tready, xb_to_ep2_tready, xb_to_ep1_tready, xb_to_ep0_tready, m_pcie_tready, m_eth1_tready, m_eth0_tready}), + .ext_rtcfg_stb (1'h0), + .ext_rtcfg_addr (16'h0), + .ext_rtcfg_data (32'h0), + .ext_rtcfg_ack () + ); + + // ---------------------------------------------------- + // Stream Endpoints + // ---------------------------------------------------- + + wire [CHDR_W-1:0] m_ep0_out0_tdata; + wire m_ep0_out0_tlast; + wire m_ep0_out0_tvalid; + wire m_ep0_out0_tready; + wire [CHDR_W-1:0] s_ep0_in0_tdata; + wire s_ep0_in0_tlast; + wire s_ep0_in0_tvalid; + wire s_ep0_in0_tready; + wire [31:0] m_ep0_ctrl_tdata , s_ep0_ctrl_tdata ; + wire m_ep0_ctrl_tlast , s_ep0_ctrl_tlast ; + wire m_ep0_ctrl_tvalid, s_ep0_ctrl_tvalid; + wire m_ep0_ctrl_tready, s_ep0_ctrl_tready; + + chdr_stream_endpoint #( + .PROTOVER (PROTOVER), + .CHDR_W (CHDR_W), + .AXIS_CTRL_EN (1), + .AXIS_DATA_EN (1), + .NUM_DATA_I (1), + .NUM_DATA_O (1), + .INST_NUM (0), + .CTRL_XBAR_PORT (1), + .INGRESS_BUFF_SIZE (16), + .MTU (MTU), + .REPORT_STRM_ERRS (1) + ) ep0_i ( + .rfnoc_chdr_clk (rfnoc_chdr_clk ), + .rfnoc_chdr_rst (rfnoc_chdr_rst ), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk ), + .rfnoc_ctrl_rst (rfnoc_ctrl_rst ), + .device_id (device_id ), + .s_axis_chdr_tdata (xb_to_ep0_tdata ), + .s_axis_chdr_tlast (xb_to_ep0_tlast ), + .s_axis_chdr_tvalid (xb_to_ep0_tvalid ), + .s_axis_chdr_tready (xb_to_ep0_tready ), + .m_axis_chdr_tdata (ep0_to_xb_tdata ), + .m_axis_chdr_tlast (ep0_to_xb_tlast ), + .m_axis_chdr_tvalid (ep0_to_xb_tvalid ), + .m_axis_chdr_tready (ep0_to_xb_tready ), + .s_axis_data_tdata ({s_ep0_in0_tdata}), + .s_axis_data_tlast ({s_ep0_in0_tlast}), + .s_axis_data_tvalid ({s_ep0_in0_tvalid}), + .s_axis_data_tready ({s_ep0_in0_tready}), + .m_axis_data_tdata ({m_ep0_out0_tdata}), + .m_axis_data_tlast ({m_ep0_out0_tlast}), + .m_axis_data_tvalid ({m_ep0_out0_tvalid}), + .m_axis_data_tready ({m_ep0_out0_tready}), + .s_axis_ctrl_tdata (s_ep0_ctrl_tdata ), + .s_axis_ctrl_tlast (s_ep0_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_ep0_ctrl_tvalid), + .s_axis_ctrl_tready (s_ep0_ctrl_tready), + .m_axis_ctrl_tdata (m_ep0_ctrl_tdata ), + .m_axis_ctrl_tlast (m_ep0_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_ep0_ctrl_tvalid), + .m_axis_ctrl_tready (m_ep0_ctrl_tready), + .strm_seq_err_stb ( ), + .strm_data_err_stb ( ), + .strm_route_err_stb ( ), + .signal_data_err (1'b0 ) + ); + + wire [CHDR_W-1:0] m_ep1_out0_tdata; + wire m_ep1_out0_tlast; + wire m_ep1_out0_tvalid; + wire m_ep1_out0_tready; + wire [CHDR_W-1:0] s_ep1_in0_tdata; + wire s_ep1_in0_tlast; + wire s_ep1_in0_tvalid; + wire s_ep1_in0_tready; + wire [31:0] m_ep1_ctrl_tdata , s_ep1_ctrl_tdata ; + wire m_ep1_ctrl_tlast , s_ep1_ctrl_tlast ; + wire m_ep1_ctrl_tvalid, s_ep1_ctrl_tvalid; + wire m_ep1_ctrl_tready, s_ep1_ctrl_tready; + + chdr_stream_endpoint #( + .PROTOVER (PROTOVER), + .CHDR_W (CHDR_W), + .AXIS_CTRL_EN (0), + .AXIS_DATA_EN (1), + .NUM_DATA_I (1), + .NUM_DATA_O (1), + .INST_NUM (1), + .CTRL_XBAR_PORT (2), + .INGRESS_BUFF_SIZE (5), + .MTU (MTU), + .REPORT_STRM_ERRS (1) + ) ep1_i ( + .rfnoc_chdr_clk (rfnoc_chdr_clk ), + .rfnoc_chdr_rst (rfnoc_chdr_rst ), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk ), + .rfnoc_ctrl_rst (rfnoc_ctrl_rst ), + .device_id (device_id ), + .s_axis_chdr_tdata (xb_to_ep1_tdata ), + .s_axis_chdr_tlast (xb_to_ep1_tlast ), + .s_axis_chdr_tvalid (xb_to_ep1_tvalid ), + .s_axis_chdr_tready (xb_to_ep1_tready ), + .m_axis_chdr_tdata (ep1_to_xb_tdata ), + .m_axis_chdr_tlast (ep1_to_xb_tlast ), + .m_axis_chdr_tvalid (ep1_to_xb_tvalid ), + .m_axis_chdr_tready (ep1_to_xb_tready ), + .s_axis_data_tdata ({s_ep1_in0_tdata}), + .s_axis_data_tlast ({s_ep1_in0_tlast}), + .s_axis_data_tvalid ({s_ep1_in0_tvalid}), + .s_axis_data_tready ({s_ep1_in0_tready}), + .m_axis_data_tdata ({m_ep1_out0_tdata}), + .m_axis_data_tlast ({m_ep1_out0_tlast}), + .m_axis_data_tvalid ({m_ep1_out0_tvalid}), + .m_axis_data_tready ({m_ep1_out0_tready}), + .s_axis_ctrl_tdata (s_ep1_ctrl_tdata ), + .s_axis_ctrl_tlast (s_ep1_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_ep1_ctrl_tvalid), + .s_axis_ctrl_tready (s_ep1_ctrl_tready), + .m_axis_ctrl_tdata (m_ep1_ctrl_tdata ), + .m_axis_ctrl_tlast (m_ep1_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_ep1_ctrl_tvalid), + .m_axis_ctrl_tready (m_ep1_ctrl_tready), + .strm_seq_err_stb ( ), + .strm_data_err_stb ( ), + .strm_route_err_stb ( ), + .signal_data_err (1'b0 ) + ); + + wire [CHDR_W-1:0] m_ep2_out0_tdata; + wire m_ep2_out0_tlast; + wire m_ep2_out0_tvalid; + wire m_ep2_out0_tready; + wire [CHDR_W-1:0] s_ep2_in0_tdata; + wire s_ep2_in0_tlast; + wire s_ep2_in0_tvalid; + wire s_ep2_in0_tready; + wire [31:0] m_ep2_ctrl_tdata , s_ep2_ctrl_tdata ; + wire m_ep2_ctrl_tlast , s_ep2_ctrl_tlast ; + wire m_ep2_ctrl_tvalid, s_ep2_ctrl_tvalid; + wire m_ep2_ctrl_tready, s_ep2_ctrl_tready; + + chdr_stream_endpoint #( + .PROTOVER (PROTOVER), + .CHDR_W (CHDR_W), + .AXIS_CTRL_EN (0), + .AXIS_DATA_EN (1), + .NUM_DATA_I (1), + .NUM_DATA_O (1), + .INST_NUM (2), + .CTRL_XBAR_PORT (3), + .INGRESS_BUFF_SIZE (16), + .MTU (MTU), + .REPORT_STRM_ERRS (1) + ) ep2_i ( + .rfnoc_chdr_clk (rfnoc_chdr_clk ), + .rfnoc_chdr_rst (rfnoc_chdr_rst ), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk ), + .rfnoc_ctrl_rst (rfnoc_ctrl_rst ), + .device_id (device_id ), + .s_axis_chdr_tdata (xb_to_ep2_tdata ), + .s_axis_chdr_tlast (xb_to_ep2_tlast ), + .s_axis_chdr_tvalid (xb_to_ep2_tvalid ), + .s_axis_chdr_tready (xb_to_ep2_tready ), + .m_axis_chdr_tdata (ep2_to_xb_tdata ), + .m_axis_chdr_tlast (ep2_to_xb_tlast ), + .m_axis_chdr_tvalid (ep2_to_xb_tvalid ), + .m_axis_chdr_tready (ep2_to_xb_tready ), + .s_axis_data_tdata ({s_ep2_in0_tdata}), + .s_axis_data_tlast ({s_ep2_in0_tlast}), + .s_axis_data_tvalid ({s_ep2_in0_tvalid}), + .s_axis_data_tready ({s_ep2_in0_tready}), + .m_axis_data_tdata ({m_ep2_out0_tdata}), + .m_axis_data_tlast ({m_ep2_out0_tlast}), + .m_axis_data_tvalid ({m_ep2_out0_tvalid}), + .m_axis_data_tready ({m_ep2_out0_tready}), + .s_axis_ctrl_tdata (s_ep2_ctrl_tdata ), + .s_axis_ctrl_tlast (s_ep2_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_ep2_ctrl_tvalid), + .s_axis_ctrl_tready (s_ep2_ctrl_tready), + .m_axis_ctrl_tdata (m_ep2_ctrl_tdata ), + .m_axis_ctrl_tlast (m_ep2_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_ep2_ctrl_tvalid), + .m_axis_ctrl_tready (m_ep2_ctrl_tready), + .strm_seq_err_stb ( ), + .strm_data_err_stb ( ), + .strm_route_err_stb ( ), + .signal_data_err (1'b0 ) + ); + + wire [CHDR_W-1:0] m_ep3_out0_tdata; + wire m_ep3_out0_tlast; + wire m_ep3_out0_tvalid; + wire m_ep3_out0_tready; + wire [CHDR_W-1:0] s_ep3_in0_tdata; + wire s_ep3_in0_tlast; + wire s_ep3_in0_tvalid; + wire s_ep3_in0_tready; + wire [31:0] m_ep3_ctrl_tdata , s_ep3_ctrl_tdata ; + wire m_ep3_ctrl_tlast , s_ep3_ctrl_tlast ; + wire m_ep3_ctrl_tvalid, s_ep3_ctrl_tvalid; + wire m_ep3_ctrl_tready, s_ep3_ctrl_tready; + + chdr_stream_endpoint #( + .PROTOVER (PROTOVER), + .CHDR_W (CHDR_W), + .AXIS_CTRL_EN (0), + .AXIS_DATA_EN (1), + .NUM_DATA_I (1), + .NUM_DATA_O (1), + .INST_NUM (3), + .CTRL_XBAR_PORT (4), + .INGRESS_BUFF_SIZE (5), + .MTU (MTU), + .REPORT_STRM_ERRS (1) + ) ep3_i ( + .rfnoc_chdr_clk (rfnoc_chdr_clk ), + .rfnoc_chdr_rst (rfnoc_chdr_rst ), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk ), + .rfnoc_ctrl_rst (rfnoc_ctrl_rst ), + .device_id (device_id ), + .s_axis_chdr_tdata (xb_to_ep3_tdata ), + .s_axis_chdr_tlast (xb_to_ep3_tlast ), + .s_axis_chdr_tvalid (xb_to_ep3_tvalid ), + .s_axis_chdr_tready (xb_to_ep3_tready ), + .m_axis_chdr_tdata (ep3_to_xb_tdata ), + .m_axis_chdr_tlast (ep3_to_xb_tlast ), + .m_axis_chdr_tvalid (ep3_to_xb_tvalid ), + .m_axis_chdr_tready (ep3_to_xb_tready ), + .s_axis_data_tdata ({s_ep3_in0_tdata}), + .s_axis_data_tlast ({s_ep3_in0_tlast}), + .s_axis_data_tvalid ({s_ep3_in0_tvalid}), + .s_axis_data_tready ({s_ep3_in0_tready}), + .m_axis_data_tdata ({m_ep3_out0_tdata}), + .m_axis_data_tlast ({m_ep3_out0_tlast}), + .m_axis_data_tvalid ({m_ep3_out0_tvalid}), + .m_axis_data_tready ({m_ep3_out0_tready}), + .s_axis_ctrl_tdata (s_ep3_ctrl_tdata ), + .s_axis_ctrl_tlast (s_ep3_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_ep3_ctrl_tvalid), + .s_axis_ctrl_tready (s_ep3_ctrl_tready), + .m_axis_ctrl_tdata (m_ep3_ctrl_tdata ), + .m_axis_ctrl_tlast (m_ep3_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_ep3_ctrl_tvalid), + .m_axis_ctrl_tready (m_ep3_ctrl_tready), + .strm_seq_err_stb ( ), + .strm_data_err_stb ( ), + .strm_route_err_stb ( ), + .signal_data_err (1'b0 ) + ); + + + + // ---------------------------------------------------- + // Control Crossbar + // ---------------------------------------------------- + + wire [31:0] m_core_ctrl_tdata , s_core_ctrl_tdata ; + wire m_core_ctrl_tlast , s_core_ctrl_tlast ; + wire m_core_ctrl_tvalid, s_core_ctrl_tvalid; + wire m_core_ctrl_tready, s_core_ctrl_tready; + wire [31:0] m_duc0_ctrl_tdata , s_duc0_ctrl_tdata ; + wire m_duc0_ctrl_tlast , s_duc0_ctrl_tlast ; + wire m_duc0_ctrl_tvalid, s_duc0_ctrl_tvalid; + wire m_duc0_ctrl_tready, s_duc0_ctrl_tready; + wire [31:0] m_ddc0_ctrl_tdata , s_ddc0_ctrl_tdata ; + wire m_ddc0_ctrl_tlast , s_ddc0_ctrl_tlast ; + wire m_ddc0_ctrl_tvalid, s_ddc0_ctrl_tvalid; + wire m_ddc0_ctrl_tready, s_ddc0_ctrl_tready; + wire [31:0] m_radio0_ctrl_tdata , s_radio0_ctrl_tdata ; + wire m_radio0_ctrl_tlast , s_radio0_ctrl_tlast ; + wire m_radio0_ctrl_tvalid, s_radio0_ctrl_tvalid; + wire m_radio0_ctrl_tready, s_radio0_ctrl_tready; + wire [31:0] m_duc1_ctrl_tdata , s_duc1_ctrl_tdata ; + wire m_duc1_ctrl_tlast , s_duc1_ctrl_tlast ; + wire m_duc1_ctrl_tvalid, s_duc1_ctrl_tvalid; + wire m_duc1_ctrl_tready, s_duc1_ctrl_tready; + wire [31:0] m_ddc1_ctrl_tdata , s_ddc1_ctrl_tdata ; + wire m_ddc1_ctrl_tlast , s_ddc1_ctrl_tlast ; + wire m_ddc1_ctrl_tvalid, s_ddc1_ctrl_tvalid; + wire m_ddc1_ctrl_tready, s_ddc1_ctrl_tready; + wire [31:0] m_radio1_ctrl_tdata , s_radio1_ctrl_tdata ; + wire m_radio1_ctrl_tlast , s_radio1_ctrl_tlast ; + wire m_radio1_ctrl_tvalid, s_radio1_ctrl_tvalid; + wire m_radio1_ctrl_tready, s_radio1_ctrl_tready; + + axis_ctrl_crossbar_nxn #( + .WIDTH (32), + .NPORTS (8), + .TOPOLOGY ("TORUS"), + .INGRESS_BUFF_SIZE(5), + .ROUTER_BUFF_SIZE (5), + .ROUTING_ALLOC ("WORMHOLE"), + .SWITCH_ALLOC ("PRIO") + ) ctrl_xb_i ( + .clk (rfnoc_ctrl_clk), + .reset (rfnoc_ctrl_rst), + .s_axis_tdata ({m_radio1_ctrl_tdata , m_ddc1_ctrl_tdata , m_duc1_ctrl_tdata , m_radio0_ctrl_tdata , m_ddc0_ctrl_tdata , m_duc0_ctrl_tdata , m_ep0_ctrl_tdata , m_core_ctrl_tdata }), + .s_axis_tvalid ({m_radio1_ctrl_tvalid, m_ddc1_ctrl_tvalid, m_duc1_ctrl_tvalid, m_radio0_ctrl_tvalid, m_ddc0_ctrl_tvalid, m_duc0_ctrl_tvalid, m_ep0_ctrl_tvalid, m_core_ctrl_tvalid}), + .s_axis_tlast ({m_radio1_ctrl_tlast , m_ddc1_ctrl_tlast , m_duc1_ctrl_tlast , m_radio0_ctrl_tlast , m_ddc0_ctrl_tlast , m_duc0_ctrl_tlast , m_ep0_ctrl_tlast , m_core_ctrl_tlast }), + .s_axis_tready ({m_radio1_ctrl_tready, m_ddc1_ctrl_tready, m_duc1_ctrl_tready, m_radio0_ctrl_tready, m_ddc0_ctrl_tready, m_duc0_ctrl_tready, m_ep0_ctrl_tready, m_core_ctrl_tready}), + .m_axis_tdata ({s_radio1_ctrl_tdata , s_ddc1_ctrl_tdata , s_duc1_ctrl_tdata , s_radio0_ctrl_tdata , s_ddc0_ctrl_tdata , s_duc0_ctrl_tdata , s_ep0_ctrl_tdata , s_core_ctrl_tdata }), + .m_axis_tvalid ({s_radio1_ctrl_tvalid, s_ddc1_ctrl_tvalid, s_duc1_ctrl_tvalid, s_radio0_ctrl_tvalid, s_ddc0_ctrl_tvalid, s_duc0_ctrl_tvalid, s_ep0_ctrl_tvalid, s_core_ctrl_tvalid}), + .m_axis_tlast ({s_radio1_ctrl_tlast , s_ddc1_ctrl_tlast , s_duc1_ctrl_tlast , s_radio0_ctrl_tlast , s_ddc0_ctrl_tlast , s_duc0_ctrl_tlast , s_ep0_ctrl_tlast , s_core_ctrl_tlast }), + .m_axis_tready ({s_radio1_ctrl_tready, s_ddc1_ctrl_tready, s_duc1_ctrl_tready, s_radio0_ctrl_tready, s_ddc0_ctrl_tready, s_duc0_ctrl_tready, s_ep0_ctrl_tready, s_core_ctrl_tready}), + .deadlock_detected() + ); + + // ---------------------------------------------------- + // RFNoC Core Kernel + // ---------------------------------------------------- + wire [(512*6)-1:0] rfnoc_core_config, rfnoc_core_status; + + rfnoc_core_kernel #( + .PROTOVER (PROTOVER), + .DEVICE_TYPE (16'hA300), + .DEVICE_FAMILY ("7SERIES"), + .SAFE_START_CLKS (0), + .NUM_BLOCKS (6), + .NUM_STREAM_ENDPOINTS(4), + .NUM_ENDPOINTS_CTRL (1), + .NUM_TRANSPORTS (3), + .NUM_EDGES (12), + .CHDR_XBAR_PRESENT (1), + .EDGE_TBL_FILE (EDGE_TBL_FILE) + ) core_kernel_i ( + .chdr_aclk (chdr_aclk), + .chdr_aclk_locked (1'b1), + .ctrl_aclk (ctrl_aclk), + .ctrl_aclk_locked (1'b1), + .core_arst (core_arst), + .core_chdr_clk (rfnoc_chdr_clk), + .core_chdr_rst (rfnoc_chdr_rst), + .core_ctrl_clk (rfnoc_ctrl_clk), + .core_ctrl_rst (rfnoc_ctrl_rst), + .s_axis_ctrl_tdata (s_core_ctrl_tdata ), + .s_axis_ctrl_tlast (s_core_ctrl_tlast ), + .s_axis_ctrl_tvalid (s_core_ctrl_tvalid), + .s_axis_ctrl_tready (s_core_ctrl_tready), + .m_axis_ctrl_tdata (m_core_ctrl_tdata ), + .m_axis_ctrl_tlast (m_core_ctrl_tlast ), + .m_axis_ctrl_tvalid (m_core_ctrl_tvalid), + .m_axis_ctrl_tready (m_core_ctrl_tready), + .device_id (device_id), + .rfnoc_core_config (rfnoc_core_config), + .rfnoc_core_status (rfnoc_core_status) + ); + + // ---------------------------------------------------- + // Blocks + // ---------------------------------------------------- + + // ---------------------------------------------------- + // duc0 + // ---------------------------------------------------- + wire duc0_ce_clk; + wire [CHDR_W-1:0] s_duc0_in_0_tdata ; + wire s_duc0_in_0_tlast ; + wire s_duc0_in_0_tvalid; + wire s_duc0_in_0_tready; + wire [CHDR_W-1:0] m_duc0_out_0_tdata ; + wire m_duc0_out_0_tlast ; + wire m_duc0_out_0_tvalid; + wire m_duc0_out_0_tready; + + + rfnoc_block_duc #( + .THIS_PORTID(2), + .CHDR_W(CHDR_W), + .NUM_PORTS(1), + .NUM_HB(3), + .CIC_MAX_INTERP(255), + .MTU(MTU) + ) b_duc0_0 ( + .rfnoc_chdr_clk (rfnoc_chdr_clk), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk), + .ce_clk(duc0_ce_clk), + .rfnoc_core_config (rfnoc_core_config[512*1-1:512*0]), + .rfnoc_core_status (rfnoc_core_status[512*1-1:512*0]), + + + .s_rfnoc_chdr_tdata ({s_duc0_in_0_tdata }), + .s_rfnoc_chdr_tlast ({s_duc0_in_0_tlast }), + .s_rfnoc_chdr_tvalid({s_duc0_in_0_tvalid}), + .s_rfnoc_chdr_tready({s_duc0_in_0_tready}), + .m_rfnoc_chdr_tdata ({m_duc0_out_0_tdata }), + .m_rfnoc_chdr_tlast ({m_duc0_out_0_tlast }), + .m_rfnoc_chdr_tvalid({m_duc0_out_0_tvalid}), + .m_rfnoc_chdr_tready({m_duc0_out_0_tready}), + .s_rfnoc_ctrl_tdata (s_duc0_ctrl_tdata ), + .s_rfnoc_ctrl_tlast (s_duc0_ctrl_tlast ), + .s_rfnoc_ctrl_tvalid(s_duc0_ctrl_tvalid), + .s_rfnoc_ctrl_tready(s_duc0_ctrl_tready), + .m_rfnoc_ctrl_tdata (m_duc0_ctrl_tdata ), + .m_rfnoc_ctrl_tlast (m_duc0_ctrl_tlast ), + .m_rfnoc_ctrl_tvalid(m_duc0_ctrl_tvalid), + .m_rfnoc_ctrl_tready(m_duc0_ctrl_tready) + ); + + + // ---------------------------------------------------- + // ddc0 + // ---------------------------------------------------- + wire ddc0_ce_clk; + wire [CHDR_W-1:0] s_ddc0_in_1_tdata , s_ddc0_in_0_tdata ; + wire s_ddc0_in_1_tlast , s_ddc0_in_0_tlast ; + wire s_ddc0_in_1_tvalid, s_ddc0_in_0_tvalid; + wire s_ddc0_in_1_tready, s_ddc0_in_0_tready; + wire [CHDR_W-1:0] m_ddc0_out_1_tdata , m_ddc0_out_0_tdata ; + wire m_ddc0_out_1_tlast , m_ddc0_out_0_tlast ; + wire m_ddc0_out_1_tvalid, m_ddc0_out_0_tvalid; + wire m_ddc0_out_1_tready, m_ddc0_out_0_tready; + + + rfnoc_block_ddc #( + .THIS_PORTID(3), + .CHDR_W(CHDR_W), + .NUM_PORTS(2), + .NUM_HB(3), + .CIC_MAX_DECIM(255), + .MTU(MTU) + ) b_ddc0_1 ( + .rfnoc_chdr_clk (rfnoc_chdr_clk), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk), + .ce_clk(ddc0_ce_clk), + .rfnoc_core_config (rfnoc_core_config[512*2-1:512*1]), + .rfnoc_core_status (rfnoc_core_status[512*2-1:512*1]), + + + .s_rfnoc_chdr_tdata ({s_ddc0_in_1_tdata , s_ddc0_in_0_tdata }), + .s_rfnoc_chdr_tlast ({s_ddc0_in_1_tlast , s_ddc0_in_0_tlast }), + .s_rfnoc_chdr_tvalid({s_ddc0_in_1_tvalid, s_ddc0_in_0_tvalid}), + .s_rfnoc_chdr_tready({s_ddc0_in_1_tready, s_ddc0_in_0_tready}), + .m_rfnoc_chdr_tdata ({m_ddc0_out_1_tdata , m_ddc0_out_0_tdata }), + .m_rfnoc_chdr_tlast ({m_ddc0_out_1_tlast , m_ddc0_out_0_tlast }), + .m_rfnoc_chdr_tvalid({m_ddc0_out_1_tvalid, m_ddc0_out_0_tvalid}), + .m_rfnoc_chdr_tready({m_ddc0_out_1_tready, m_ddc0_out_0_tready}), + .s_rfnoc_ctrl_tdata (s_ddc0_ctrl_tdata ), + .s_rfnoc_ctrl_tlast (s_ddc0_ctrl_tlast ), + .s_rfnoc_ctrl_tvalid(s_ddc0_ctrl_tvalid), + .s_rfnoc_ctrl_tready(s_ddc0_ctrl_tready), + .m_rfnoc_ctrl_tdata (m_ddc0_ctrl_tdata ), + .m_rfnoc_ctrl_tlast (m_ddc0_ctrl_tlast ), + .m_rfnoc_ctrl_tvalid(m_ddc0_ctrl_tvalid), + .m_rfnoc_ctrl_tready(m_ddc0_ctrl_tready) + ); + + + // ---------------------------------------------------- + // radio0 + // ---------------------------------------------------- + wire radio0_radio_clk; + wire [CHDR_W-1:0] s_radio0_in_1_tdata , s_radio0_in_0_tdata ; + wire s_radio0_in_1_tlast , s_radio0_in_0_tlast ; + wire s_radio0_in_1_tvalid, s_radio0_in_0_tvalid; + wire s_radio0_in_1_tready, s_radio0_in_0_tready; + wire [CHDR_W-1:0] m_radio0_out_1_tdata , m_radio0_out_0_tdata ; + wire m_radio0_out_1_tlast , m_radio0_out_0_tlast ; + wire m_radio0_out_1_tvalid, m_radio0_out_0_tvalid; + wire m_radio0_out_1_tready, m_radio0_out_0_tready; + + // ctrl_port + wire [ 1-1:0] radio0_m_ctrlport_req_wr; + wire [ 1-1:0] radio0_m_ctrlport_req_rd; + wire [ 20-1:0] radio0_m_ctrlport_req_addr; + wire [ 32-1:0] radio0_m_ctrlport_req_data; + wire [ 4-1:0] radio0_m_ctrlport_req_byte_en; + wire [ 1-1:0] radio0_m_ctrlport_req_has_time; + wire [ 64-1:0] radio0_m_ctrlport_req_time; + wire [ 1-1:0] radio0_m_ctrlport_resp_ack; + wire [ 2-1:0] radio0_m_ctrlport_resp_status; + wire [ 32-1:0] radio0_m_ctrlport_resp_data; + // time_keeper + wire [ 64-1:0] radio0_radio_time; + // x300_radio + wire [ 64-1:0] radio0_radio_rx_data; + wire [ 2-1:0] radio0_radio_rx_stb; + wire [ 2-1:0] radio0_radio_rx_running; + wire [ 64-1:0] radio0_radio_tx_data; + wire [ 2-1:0] radio0_radio_tx_stb; + wire [ 2-1:0] radio0_radio_tx_running; + + rfnoc_block_radio #( + .THIS_PORTID(4), + .CHDR_W(CHDR_W), + .NUM_PORTS(2), + .MTU(MTU) + ) b_radio0_2 ( + .rfnoc_chdr_clk (rfnoc_chdr_clk), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk), + .radio_clk(radio0_radio_clk), + .rfnoc_core_config (rfnoc_core_config[512*3-1:512*2]), + .rfnoc_core_status (rfnoc_core_status[512*3-1:512*2]), + + .m_ctrlport_req_wr(radio0_m_ctrlport_req_wr), + .m_ctrlport_req_rd(radio0_m_ctrlport_req_rd), + .m_ctrlport_req_addr(radio0_m_ctrlport_req_addr), + .m_ctrlport_req_data(radio0_m_ctrlport_req_data), + .m_ctrlport_req_byte_en(radio0_m_ctrlport_req_byte_en), + .m_ctrlport_req_has_time(radio0_m_ctrlport_req_has_time), + .m_ctrlport_req_time(radio0_m_ctrlport_req_time), + .m_ctrlport_resp_ack(radio0_m_ctrlport_resp_ack), + .m_ctrlport_resp_status(radio0_m_ctrlport_resp_status), + .m_ctrlport_resp_data(radio0_m_ctrlport_resp_data), + .radio_time(radio0_radio_time), + .radio_rx_data(radio0_radio_rx_data), + .radio_rx_stb(radio0_radio_rx_stb), + .radio_rx_running(radio0_radio_rx_running), + .radio_tx_data(radio0_radio_tx_data), + .radio_tx_stb(radio0_radio_tx_stb), + .radio_tx_running(radio0_radio_tx_running), + + .s_rfnoc_chdr_tdata ({s_radio0_in_1_tdata , s_radio0_in_0_tdata }), + .s_rfnoc_chdr_tlast ({s_radio0_in_1_tlast , s_radio0_in_0_tlast }), + .s_rfnoc_chdr_tvalid({s_radio0_in_1_tvalid, s_radio0_in_0_tvalid}), + .s_rfnoc_chdr_tready({s_radio0_in_1_tready, s_radio0_in_0_tready}), + .m_rfnoc_chdr_tdata ({m_radio0_out_1_tdata , m_radio0_out_0_tdata }), + .m_rfnoc_chdr_tlast ({m_radio0_out_1_tlast , m_radio0_out_0_tlast }), + .m_rfnoc_chdr_tvalid({m_radio0_out_1_tvalid, m_radio0_out_0_tvalid}), + .m_rfnoc_chdr_tready({m_radio0_out_1_tready, m_radio0_out_0_tready}), + .s_rfnoc_ctrl_tdata (s_radio0_ctrl_tdata ), + .s_rfnoc_ctrl_tlast (s_radio0_ctrl_tlast ), + .s_rfnoc_ctrl_tvalid(s_radio0_ctrl_tvalid), + .s_rfnoc_ctrl_tready(s_radio0_ctrl_tready), + .m_rfnoc_ctrl_tdata (m_radio0_ctrl_tdata ), + .m_rfnoc_ctrl_tlast (m_radio0_ctrl_tlast ), + .m_rfnoc_ctrl_tvalid(m_radio0_ctrl_tvalid), + .m_rfnoc_ctrl_tready(m_radio0_ctrl_tready) + ); + + + // ---------------------------------------------------- + // duc1 + // ---------------------------------------------------- + wire duc1_ce_clk; + wire [CHDR_W-1:0] s_duc1_in_0_tdata ; + wire s_duc1_in_0_tlast ; + wire s_duc1_in_0_tvalid; + wire s_duc1_in_0_tready; + wire [CHDR_W-1:0] m_duc1_out_0_tdata ; + wire m_duc1_out_0_tlast ; + wire m_duc1_out_0_tvalid; + wire m_duc1_out_0_tready; + + + rfnoc_block_duc #( + .THIS_PORTID(5), + .CHDR_W(CHDR_W), + .NUM_PORTS(1), + .NUM_HB(3), + .CIC_MAX_INTERP(255), + .MTU(MTU) + ) b_duc1_3 ( + .rfnoc_chdr_clk (rfnoc_chdr_clk), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk), + .ce_clk(duc1_ce_clk), + .rfnoc_core_config (rfnoc_core_config[512*4-1:512*3]), + .rfnoc_core_status (rfnoc_core_status[512*4-1:512*3]), + + + .s_rfnoc_chdr_tdata ({s_duc1_in_0_tdata }), + .s_rfnoc_chdr_tlast ({s_duc1_in_0_tlast }), + .s_rfnoc_chdr_tvalid({s_duc1_in_0_tvalid}), + .s_rfnoc_chdr_tready({s_duc1_in_0_tready}), + .m_rfnoc_chdr_tdata ({m_duc1_out_0_tdata }), + .m_rfnoc_chdr_tlast ({m_duc1_out_0_tlast }), + .m_rfnoc_chdr_tvalid({m_duc1_out_0_tvalid}), + .m_rfnoc_chdr_tready({m_duc1_out_0_tready}), + .s_rfnoc_ctrl_tdata (s_duc1_ctrl_tdata ), + .s_rfnoc_ctrl_tlast (s_duc1_ctrl_tlast ), + .s_rfnoc_ctrl_tvalid(s_duc1_ctrl_tvalid), + .s_rfnoc_ctrl_tready(s_duc1_ctrl_tready), + .m_rfnoc_ctrl_tdata (m_duc1_ctrl_tdata ), + .m_rfnoc_ctrl_tlast (m_duc1_ctrl_tlast ), + .m_rfnoc_ctrl_tvalid(m_duc1_ctrl_tvalid), + .m_rfnoc_ctrl_tready(m_duc1_ctrl_tready) + ); + + + // ---------------------------------------------------- + // ddc1 + // ---------------------------------------------------- + wire ddc1_ce_clk; + wire [CHDR_W-1:0] s_ddc1_in_1_tdata , s_ddc1_in_0_tdata ; + wire s_ddc1_in_1_tlast , s_ddc1_in_0_tlast ; + wire s_ddc1_in_1_tvalid, s_ddc1_in_0_tvalid; + wire s_ddc1_in_1_tready, s_ddc1_in_0_tready; + wire [CHDR_W-1:0] m_ddc1_out_1_tdata , m_ddc1_out_0_tdata ; + wire m_ddc1_out_1_tlast , m_ddc1_out_0_tlast ; + wire m_ddc1_out_1_tvalid, m_ddc1_out_0_tvalid; + wire m_ddc1_out_1_tready, m_ddc1_out_0_tready; + + + rfnoc_block_ddc #( + .THIS_PORTID(6), + .CHDR_W(CHDR_W), + .NUM_PORTS(2), + .NUM_HB(3), + .CIC_MAX_DECIM(255), + .MTU(MTU) + ) b_ddc1_4 ( + .rfnoc_chdr_clk (rfnoc_chdr_clk), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk), + .ce_clk(ddc1_ce_clk), + .rfnoc_core_config (rfnoc_core_config[512*5-1:512*4]), + .rfnoc_core_status (rfnoc_core_status[512*5-1:512*4]), + + + .s_rfnoc_chdr_tdata ({s_ddc1_in_1_tdata , s_ddc1_in_0_tdata }), + .s_rfnoc_chdr_tlast ({s_ddc1_in_1_tlast , s_ddc1_in_0_tlast }), + .s_rfnoc_chdr_tvalid({s_ddc1_in_1_tvalid, s_ddc1_in_0_tvalid}), + .s_rfnoc_chdr_tready({s_ddc1_in_1_tready, s_ddc1_in_0_tready}), + .m_rfnoc_chdr_tdata ({m_ddc1_out_1_tdata , m_ddc1_out_0_tdata }), + .m_rfnoc_chdr_tlast ({m_ddc1_out_1_tlast , m_ddc1_out_0_tlast }), + .m_rfnoc_chdr_tvalid({m_ddc1_out_1_tvalid, m_ddc1_out_0_tvalid}), + .m_rfnoc_chdr_tready({m_ddc1_out_1_tready, m_ddc1_out_0_tready}), + .s_rfnoc_ctrl_tdata (s_ddc1_ctrl_tdata ), + .s_rfnoc_ctrl_tlast (s_ddc1_ctrl_tlast ), + .s_rfnoc_ctrl_tvalid(s_ddc1_ctrl_tvalid), + .s_rfnoc_ctrl_tready(s_ddc1_ctrl_tready), + .m_rfnoc_ctrl_tdata (m_ddc1_ctrl_tdata ), + .m_rfnoc_ctrl_tlast (m_ddc1_ctrl_tlast ), + .m_rfnoc_ctrl_tvalid(m_ddc1_ctrl_tvalid), + .m_rfnoc_ctrl_tready(m_ddc1_ctrl_tready) + ); + + + // ---------------------------------------------------- + // radio1 + // ---------------------------------------------------- + wire radio1_radio_clk; + wire [CHDR_W-1:0] s_radio1_in_1_tdata , s_radio1_in_0_tdata ; + wire s_radio1_in_1_tlast , s_radio1_in_0_tlast ; + wire s_radio1_in_1_tvalid, s_radio1_in_0_tvalid; + wire s_radio1_in_1_tready, s_radio1_in_0_tready; + wire [CHDR_W-1:0] m_radio1_out_1_tdata , m_radio1_out_0_tdata ; + wire m_radio1_out_1_tlast , m_radio1_out_0_tlast ; + wire m_radio1_out_1_tvalid, m_radio1_out_0_tvalid; + wire m_radio1_out_1_tready, m_radio1_out_0_tready; + + // ctrl_port + wire [ 1-1:0] radio1_m_ctrlport_req_wr; + wire [ 1-1:0] radio1_m_ctrlport_req_rd; + wire [ 20-1:0] radio1_m_ctrlport_req_addr; + wire [ 32-1:0] radio1_m_ctrlport_req_data; + wire [ 4-1:0] radio1_m_ctrlport_req_byte_en; + wire [ 1-1:0] radio1_m_ctrlport_req_has_time; + wire [ 64-1:0] radio1_m_ctrlport_req_time; + wire [ 1-1:0] radio1_m_ctrlport_resp_ack; + wire [ 2-1:0] radio1_m_ctrlport_resp_status; + wire [ 32-1:0] radio1_m_ctrlport_resp_data; + // time_keeper + wire [ 64-1:0] radio1_radio_time; + // x300_radio + wire [ 64-1:0] radio1_radio_rx_data; + wire [ 2-1:0] radio1_radio_rx_stb; + wire [ 2-1:0] radio1_radio_rx_running; + wire [ 64-1:0] radio1_radio_tx_data; + wire [ 2-1:0] radio1_radio_tx_stb; + wire [ 2-1:0] radio1_radio_tx_running; + + rfnoc_block_radio #( + .THIS_PORTID(7), + .CHDR_W(CHDR_W), + .NUM_PORTS(2), + .MTU(MTU) + ) b_radio1_5 ( + .rfnoc_chdr_clk (rfnoc_chdr_clk), + .rfnoc_ctrl_clk (rfnoc_ctrl_clk), + .radio_clk(radio1_radio_clk), + .rfnoc_core_config (rfnoc_core_config[512*6-1:512*5]), + .rfnoc_core_status (rfnoc_core_status[512*6-1:512*5]), + + .m_ctrlport_req_wr(radio1_m_ctrlport_req_wr), + .m_ctrlport_req_rd(radio1_m_ctrlport_req_rd), + .m_ctrlport_req_addr(radio1_m_ctrlport_req_addr), + .m_ctrlport_req_data(radio1_m_ctrlport_req_data), + .m_ctrlport_req_byte_en(radio1_m_ctrlport_req_byte_en), + .m_ctrlport_req_has_time(radio1_m_ctrlport_req_has_time), + .m_ctrlport_req_time(radio1_m_ctrlport_req_time), + .m_ctrlport_resp_ack(radio1_m_ctrlport_resp_ack), + .m_ctrlport_resp_status(radio1_m_ctrlport_resp_status), + .m_ctrlport_resp_data(radio1_m_ctrlport_resp_data), + .radio_time(radio1_radio_time), + .radio_rx_data(radio1_radio_rx_data), + .radio_rx_stb(radio1_radio_rx_stb), + .radio_rx_running(radio1_radio_rx_running), + .radio_tx_data(radio1_radio_tx_data), + .radio_tx_stb(radio1_radio_tx_stb), + .radio_tx_running(radio1_radio_tx_running), + + .s_rfnoc_chdr_tdata ({s_radio1_in_1_tdata , s_radio1_in_0_tdata }), + .s_rfnoc_chdr_tlast ({s_radio1_in_1_tlast , s_radio1_in_0_tlast }), + .s_rfnoc_chdr_tvalid({s_radio1_in_1_tvalid, s_radio1_in_0_tvalid}), + .s_rfnoc_chdr_tready({s_radio1_in_1_tready, s_radio1_in_0_tready}), + .m_rfnoc_chdr_tdata ({m_radio1_out_1_tdata , m_radio1_out_0_tdata }), + .m_rfnoc_chdr_tlast ({m_radio1_out_1_tlast , m_radio1_out_0_tlast }), + .m_rfnoc_chdr_tvalid({m_radio1_out_1_tvalid, m_radio1_out_0_tvalid}), + .m_rfnoc_chdr_tready({m_radio1_out_1_tready, m_radio1_out_0_tready}), + .s_rfnoc_ctrl_tdata (s_radio1_ctrl_tdata ), + .s_rfnoc_ctrl_tlast (s_radio1_ctrl_tlast ), + .s_rfnoc_ctrl_tvalid(s_radio1_ctrl_tvalid), + .s_rfnoc_ctrl_tready(s_radio1_ctrl_tready), + .m_rfnoc_ctrl_tdata (m_radio1_ctrl_tdata ), + .m_rfnoc_ctrl_tlast (m_radio1_ctrl_tlast ), + .m_rfnoc_ctrl_tvalid(m_radio1_ctrl_tvalid), + .m_rfnoc_ctrl_tready(m_radio1_ctrl_tready) + ); + + + // ---------------------------------------------------- + // Static Router + // ---------------------------------------------------- + assign s_duc0_in_0_tdata = m_ep0_out0_tdata ; + assign s_duc0_in_0_tlast = m_ep0_out0_tlast ; + assign s_duc0_in_0_tvalid = m_ep0_out0_tvalid; + assign m_ep0_out0_tready = s_duc0_in_0_tready; + + assign s_radio0_in_0_tdata = m_duc0_out_0_tdata ; + assign s_radio0_in_0_tlast = m_duc0_out_0_tlast ; + assign s_radio0_in_0_tvalid = m_duc0_out_0_tvalid; + assign m_duc0_out_0_tready = s_radio0_in_0_tready; + + assign s_ddc0_in_0_tdata = m_radio0_out_0_tdata ; + assign s_ddc0_in_0_tlast = m_radio0_out_0_tlast ; + assign s_ddc0_in_0_tvalid = m_radio0_out_0_tvalid; + assign m_radio0_out_0_tready = s_ddc0_in_0_tready; + + assign s_ep0_in0_tdata = m_ddc0_out_0_tdata ; + assign s_ep0_in0_tlast = m_ddc0_out_0_tlast ; + assign s_ep0_in0_tvalid = m_ddc0_out_0_tvalid; + assign m_ddc0_out_0_tready = s_ep0_in0_tready; + + assign s_ddc0_in_1_tdata = m_radio0_out_1_tdata ; + assign s_ddc0_in_1_tlast = m_radio0_out_1_tlast ; + assign s_ddc0_in_1_tvalid = m_radio0_out_1_tvalid; + assign m_radio0_out_1_tready = s_ddc0_in_1_tready; + + assign s_ep1_in0_tdata = m_ddc0_out_1_tdata ; + assign s_ep1_in0_tlast = m_ddc0_out_1_tlast ; + assign s_ep1_in0_tvalid = m_ddc0_out_1_tvalid; + assign m_ddc0_out_1_tready = s_ep1_in0_tready; + + assign s_duc1_in_0_tdata = m_ep2_out0_tdata ; + assign s_duc1_in_0_tlast = m_ep2_out0_tlast ; + assign s_duc1_in_0_tvalid = m_ep2_out0_tvalid; + assign m_ep2_out0_tready = s_duc1_in_0_tready; + + assign s_radio1_in_0_tdata = m_duc1_out_0_tdata ; + assign s_radio1_in_0_tlast = m_duc1_out_0_tlast ; + assign s_radio1_in_0_tvalid = m_duc1_out_0_tvalid; + assign m_duc1_out_0_tready = s_radio1_in_0_tready; + + assign s_ddc1_in_0_tdata = m_radio1_out_0_tdata ; + assign s_ddc1_in_0_tlast = m_radio1_out_0_tlast ; + assign s_ddc1_in_0_tvalid = m_radio1_out_0_tvalid; + assign m_radio1_out_0_tready = s_ddc1_in_0_tready; + + assign s_ep2_in0_tdata = m_ddc1_out_0_tdata ; + assign s_ep2_in0_tlast = m_ddc1_out_0_tlast ; + assign s_ep2_in0_tvalid = m_ddc1_out_0_tvalid; + assign m_ddc1_out_0_tready = s_ep2_in0_tready; + + assign s_ddc1_in_1_tdata = m_radio1_out_1_tdata ; + assign s_ddc1_in_1_tlast = m_radio1_out_1_tlast ; + assign s_ddc1_in_1_tvalid = m_radio1_out_1_tvalid; + assign m_radio1_out_1_tready = s_ddc1_in_1_tready; + + assign s_ep3_in0_tdata = m_ddc1_out_1_tdata ; + assign s_ep3_in0_tlast = m_ddc1_out_1_tlast ; + assign s_ep3_in0_tvalid = m_ddc1_out_1_tvalid; + assign m_ddc1_out_1_tready = s_ep3_in0_tready; + + + // ---------------------------------------------------- + // Unused Ports + // ---------------------------------------------------- + assign s_radio0_in_1_tdata = {CHDR_W{1'b0}}; + assign s_radio0_in_1_tlast = 1'b0; + assign s_radio0_in_1_tvalid = 1'b0; + assign s_radio1_in_1_tdata = {CHDR_W{1'b0}}; + assign s_radio1_in_1_tlast = 1'b0; + assign s_radio1_in_1_tvalid = 1'b0; + assign m_ep1_out0_tready = 1'b1; + assign m_ep3_out0_tready = 1'b1; + + // ---------------------------------------------------- + // Clock Domains + // ---------------------------------------------------- + assign radio0_radio_clk = radio_clk; + assign ddc0_ce_clk = ce_clk; + assign duc0_ce_clk = ce_clk; + assign radio1_radio_clk = radio_clk; + assign ddc1_ce_clk = ce_clk; + assign duc1_ce_clk = ce_clk; + + + // ---------------------------------------------------- + // IO Port Connection + // ---------------------------------------------------- + // Master/Slave Connections: + assign m_ctrlport_radio0_req_wr = radio0_m_ctrlport_req_wr; + assign m_ctrlport_radio0_req_rd = radio0_m_ctrlport_req_rd; + assign m_ctrlport_radio0_req_addr = radio0_m_ctrlport_req_addr; + assign m_ctrlport_radio0_req_data = radio0_m_ctrlport_req_data; + assign m_ctrlport_radio0_req_byte_en = radio0_m_ctrlport_req_byte_en; + assign m_ctrlport_radio0_req_has_time = radio0_m_ctrlport_req_has_time; + assign m_ctrlport_radio0_req_time = radio0_m_ctrlport_req_time; + assign radio0_m_ctrlport_resp_ack = m_ctrlport_radio0_resp_ack; + assign radio0_m_ctrlport_resp_status = m_ctrlport_radio0_resp_status; + assign radio0_m_ctrlport_resp_data = m_ctrlport_radio0_resp_data; + + assign m_ctrlport_radio1_req_wr = radio1_m_ctrlport_req_wr; + assign m_ctrlport_radio1_req_rd = radio1_m_ctrlport_req_rd; + assign m_ctrlport_radio1_req_addr = radio1_m_ctrlport_req_addr; + assign m_ctrlport_radio1_req_data = radio1_m_ctrlport_req_data; + assign m_ctrlport_radio1_req_byte_en = radio1_m_ctrlport_req_byte_en; + assign m_ctrlport_radio1_req_has_time = radio1_m_ctrlport_req_has_time; + assign m_ctrlport_radio1_req_time = radio1_m_ctrlport_req_time; + assign radio1_m_ctrlport_resp_ack = m_ctrlport_radio1_resp_ack; + assign radio1_m_ctrlport_resp_status = m_ctrlport_radio1_resp_status; + assign radio1_m_ctrlport_resp_data = m_ctrlport_radio1_resp_data; + + assign radio0_radio_rx_data = radio_rx_data_radio0; + assign radio0_radio_rx_stb = radio_rx_stb_radio0; + assign radio_rx_running_radio0 = radio0_radio_rx_running; + assign radio_tx_data_radio0 = radio0_radio_tx_data; + assign radio0_radio_tx_stb = radio_tx_stb_radio0; + assign radio_tx_running_radio0 = radio0_radio_tx_running; + + assign radio1_radio_rx_data = radio_rx_data_radio1; + assign radio1_radio_rx_stb = radio_rx_stb_radio1; + assign radio_rx_running_radio1 = radio1_radio_rx_running; + assign radio_tx_data_radio1 = radio1_radio_tx_data; + assign radio1_radio_tx_stb = radio_tx_stb_radio1; + assign radio_tx_running_radio1 = radio1_radio_tx_running; + + // Broadcaster/Listener Connections: + assign radio0_radio_time = radio_time; + + assign radio1_radio_time = radio_time; + +endmodule diff --git a/fpga/usrp3/top/x300/x310_rfnoc_image_core.yml b/fpga/usrp3/top/x300/x310_rfnoc_image_core.yml new file mode 100644 index 000000000..5d6a98c7d --- /dev/null +++ b/fpga/usrp3/top/x300/x310_rfnoc_image_core.yml @@ -0,0 +1,113 @@ +# General parameters +# ----------------------------------------- +schema: rfnoc_imagebuilder_args # Identifier for the schema used to validate this file +copyright: 'Ettus Research, A National Instruments Brand' # Copyright information used in file headers +license: 'SPDX-License-Identifier: LGPL-3.0-or-later' # License information used in file headers +version: 1.0 # File version +rfnoc_version: 1.0 # RFNoC protocol version +chdr_width: 64 # Bit width of the CHDR bus for this image +device: 'x310' +default_target: 'X310_HG' + +# A list of all stream endpoints in design +# ---------------------------------------- +stream_endpoints: + ep0: # Stream endpoint name + ctrl: True # Endpoint passes control traffic + data: True # Endpoint passes data traffic + buff_size: 65536 # Ingress buffer size for data + ep1: # Stream endpoint name + ctrl: False # Endpoint passes control traffic + data: True # Endpoint passes data traffic + buff_size: 0 # Ingress buffer size for data + ep2: # Stream endpoint name + ctrl: False # Endpoint passes control traffic + data: True # Endpoint passes data traffic + buff_size: 65536 # Ingress buffer size for data + ep3: # Stream endpoint name + ctrl: False # Endpoint passes control traffic + data: True # Endpoint passes data traffic + buff_size: 0 # Ingress buffer size for data + +# A list of all NoC blocks in design +# ---------------------------------- +noc_blocks: + duc0: # NoC block name + block_desc: 'duc.yml' # Block device descriptor file + parameters: + NUM_PORTS: 1 + ddc0: + block_desc: 'ddc.yml' + parameters: + NUM_PORTS: 2 + radio0: + block_desc: 'radio_2x64.yml' + duc1: + block_desc: 'duc.yml' + parameters: + NUM_PORTS: 1 + ddc1: + block_desc: 'ddc.yml' + parameters: + NUM_PORTS: 2 + radio1: + block_desc: 'radio_2x64.yml' + # These parameters are for reference, in case a DRAM FIFO is required + #fifo0: + #block_desc: 'axi_ram_fifo_2x64.yml' + #parameters: + ## These parameters match the interface on the x300/X310 + #MEM_DATA_W: 64 + #MEM_ADDR_W: 30 + #FIFO_ADDR_BASE: "{30'h02000000, 30'h00000000}" + #FIFO_ADDR_MASK: "{30'h01FFFFFF, 30'h01FFFFFF}" + #MEM_CLK_RATE: "300e6" + +# A list of all static connections in design +# ------------------------------------------ +# Format: A list of connection maps (list of key-value pairs) with the following keys +# - srcblk = Source block to connect +# - srcport = Port on the source block to connect +# - dstblk = Destination block to connect +# - dstport = Port on the destination block to connect +connections: + # ep0 to radio0(0) - RFA TX + - { srcblk: ep0, srcport: out0, dstblk: duc0, dstport: in_0 } + - { srcblk: duc0, srcport: out_0, dstblk: radio0, dstport: in_0 } + # radio0(0) to ep0 - RFA RX + - { srcblk: radio0, srcport: out_0, dstblk: ddc0, dstport: in_0 } + - { srcblk: ddc0, srcport: out_0, dstblk: ep0, dstport: in0 } + # radio0(1) to ep1 - RFA RX + - { srcblk: radio0, srcport: out_1, dstblk: ddc0, dstport: in_1 } + - { srcblk: ddc0, srcport: out_1, dstblk: ep1, dstport: in0 } + # ep2 to radio1(0) - RFB TX + - { srcblk: ep2, srcport: out0, dstblk: duc1, dstport: in_0 } + - { srcblk: duc1, srcport: out_0, dstblk: radio1, dstport: in_0 } + # radio1(0) to ep2 - RFB RX + - { srcblk: radio1, srcport: out_0, dstblk: ddc1, dstport: in_0 } + - { srcblk: ddc1, srcport: out_0, dstblk: ep2, dstport: in0 } + # radio1(1) to ep3 - RFB RX + - { srcblk: radio1, srcport: out_1, dstblk: ddc1, dstport: in_1 } + - { srcblk: ddc1, srcport: out_1, dstblk: ep3, dstport: in0 } + # BSP Connections + - { srcblk: radio0, srcport: ctrl_port, dstblk: _device_, dstport: ctrlport_radio0 } + - { srcblk: radio1, srcport: ctrl_port, dstblk: _device_, dstport: ctrlport_radio1 } + - { srcblk: _device_, srcport: x300_radio0, dstblk: radio0, dstport: x300_radio } + - { srcblk: _device_, srcport: x300_radio1, dstblk: radio1, dstport: x300_radio } + - { srcblk: _device_, srcport: time_keeper, dstblk: radio0, dstport: time_keeper } + - { srcblk: _device_, srcport: time_keeper, dstblk: radio1, dstport: time_keeper } + +# A list of all clock domain connections in design +# ------------------------------------------ +# Format: A list of connection maps (list of key-value pairs) with the following keys +# - srcblk = Source block to connect (Always "_device"_) +# - srcport = Clock domain on the source block to connect +# - dstblk = Destination block to connect +# - dstport = Clock domain on the destination block to connect +clk_domains: + - { srcblk: _device_, srcport: radio, dstblk: radio0, dstport: radio } + - { srcblk: _device_, srcport: ce, dstblk: ddc0, dstport: ce } + - { srcblk: _device_, srcport: ce, dstblk: duc0, dstport: ce } + - { srcblk: _device_, srcport: radio, dstblk: radio1, dstport: radio } + - { srcblk: _device_, srcport: ce, dstblk: ddc1, dstport: ce } + - { srcblk: _device_, srcport: ce, dstblk: duc1, dstport: ce } diff --git a/fpga/usrp3/top/x300/x310_static_router.hex b/fpga/usrp3/top/x300/x310_static_router.hex new file mode 100644 index 000000000..53635706f --- /dev/null +++ b/fpga/usrp3/top/x300/x310_static_router.hex @@ -0,0 +1,13 @@ +0000000C +00400140 +014001c0 +01c00180 +01800040 +01c10181 +01810080 +00c00200 +02000280 +02800240 +024000c0 +02810241 +02410100 diff --git a/fpga/usrp3/top/x300/x3x0_base.lvbitx b/fpga/usrp3/top/x300/x3x0_base.lvbitx new file mode 100644 index 000000000..c264e7157 --- /dev/null +++ b/fpga/usrp3/top/x300/x3x0_base.lvbitx @@ -0,0 +1,469 @@ + + + 4.0 + + + + + 97C6D9F4F4829001B83378F93CAB0C94 + 7BAD6AEB9741248079F13147B3F8AD94 + AE54C47F787D92DB46F7DC973338D786 + + + 2 + + USRP_X3x0_Top.vi + + + ViSignature + true + true + + + + 4 + + + + + + + + + + 262132 + 128 + 0 + true + + + 0 + false + false + Switch When Pressed + false + false + + + + DiagramReset + false + false + + + + + + + + 262140 + 32 + 0 + true + + + 0 + true + false + Switch When Pressed + false + false + + + + ViControl + false + false + + + + + + + + 262136 + 32 + 0 + true + + + 0 + true + false + Switch When Pressed + false + false + + + + InterruptEnable + false + false + + + + + + + + 262116 + 32 + 0 + true + + + 0 + true + false + Switch When Pressed + false + false + + + + InterruptMask + false + false + + + + + + + + 262124 + 32 + 0 + true + + + 0 + true + false + Switch When Pressed + false + false + + + + InterruptStatus + false + false + + + + + + + + 262128 + 32 + 0 + true + + + 0 + true + false + Switch When Pressed + false + false + + + + + 0 + 8 + ////////////////////////////////////////////AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA//8AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD//wAA/////////////////////////wAAAAAAAAAAAP//AAD/+fn5+fn5+fn5+fn59/ks+SzgAAAAAAAAAAAA//8AAP/5///////////////3+Sz5LP8AAAAAAAAAAAD//wAA//n/6OTo////6OTo//f8K/ws/wAAAAAAAAAAAP//AAD/+f/k/+T////k/+T/9ywsLCzgAAAAAAAAAAAA//8AAP/56OT/5Oj/6OT/5Oj3K/wrLP8AAAAAAAAAAAD//wAA//nk6P/o5P/k6P/o5Pf8CPws/wAAAAAAAAAAAP//AAD/+eT////k/+T////k9/wI/Cz/AAAAAAAAAAAA//8AAP/5/////+jk6P/////3K/wrLP8AAAAAAAAAAAD//wAA//n///////////////csLCws/wAAAAAAAAAAAP//AAD/9/f39/f39/f39/f39ywsg4P/AAAAAAAAAAAA//8AAP8sLCwsLCwsLCwsLCwsLCyDBYODAAAAAAAAAAD//wAA/yz8LCwsLCz8LCwsLCMjI4MFBQWDgwAAAAAAAP//AAD//PD8LCws/CP8LCMjLCwsgwUF/wUFg4MAAAAA//8AAP8s7ywsLCwjLCwjLCwsLCyDBf///wUFBYMjIwD//wAA///w////I///I////////4MFBf8FBYODAAAAAP//AAAAAO8AAAAjAAAjAADw7+/wgwUFBYODAAAAAAAA//8AAAAAAPAAAAAjIwAA7wAAAACDBYODAAAAAAAAAAD//wAAAAAAAO/vAAAAAPAAAAAAAIODAAAAAAAAAAAAAP//AAAAAAAAAADw7/DvAAAAAAAAAAAAAAAAAP8AAAAA//8AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD//wAAAAD//wAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD/AAAAAP//AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAP8AAAAA//8AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA/wAAAAD//wAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD/AAAAAP//AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAP8AAAAA//8AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD//wAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAP///////////////////////////////////////////w== + //////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////8= + AP///wD//8wA//+ZAP//ZgD//zMA//8AAP/M/wD/zMwA/8yZAP/MZgD/zDMA/8wAAP+Z/wD/mcwA/5mZAP+ZZgD/mTMA/5kAAP9m/wD/ZswA/2aZAP9mZgD/ZjMA/2YAAP8z/wD/M8wA/zOZAP8zZgD/MzMA/zMAAP8A/wD/AMwA/wCZAP8AZgD/ADMA/wAAAMz//wDM/8wAzP+ZAMz/ZgDM/zMAzP8AAMzM/wDMzMwAzMyZAMzMZgDMzDMAzMwAAMyZ/wDMmcwAzJmZAMyZZgDMmTMAzJkAAMxm/wDMZswAzGaZAMxmZgDMZjMAzGYAAMwz/wDMM8wAzDOZAMwzZgDMMzMAzDMAAMwA/wDMAMwAzACZAMwAZgDMADMAzAAAAJn//wCZ/8wAmf+ZAJn/ZgCZ/zMAmf8AAJnM/wCZzMwAmcyZAJnMZgCZzDMAmcwAAJmZ/wCZmcwAmZmZAJmZZgCZmTMAmZkAAJlm/wCZZswAmWaZAJlmZgCZZjMAmWYAAJkz/wCZM8wAmTOZAJkzZgCZMzMAmTMAAJkA/wCZAMwAmQCZAJkAZgCZADMAmQAAAGb//wBm/8wAZv+ZAGb/ZgBm/zMAZv8AAGbM/wBmzMwAZsyZAGbMZgBmzDMAZswAAGaZ/wBmmcwAZpmZAGaZZgBmmTMAZpkAAGZm/wBmZswAZmaZAGZmZgBmZjMAZmYAAGYz/wBmM8wAZjOZAGYzZgBmMzMAZjMAAGYA/wBmAMwAZgCZAGYAZgBmADMAZgAAADP//wAz/8wAM/+ZADP/ZgAz/zMAM/8AADPM/wAzzMwAM8yZADPMZgAzzDMAM8wAADOZ/wAzmcwAM5mZADOZZgAzmTMAM5kAADNm/wAzZswAM2aZADNmZgAzZjMAM2YAADMz/wAzM8wAMzOZADMzZgAzMzMAMzMAADMA/wAzAMwAMwCZADMAZgAzADMAMwAAAAD//wAA/8wAAP+ZAAD/ZgAA/zMAAP8AAADM/wAAzMwAAMyZAADMZgAAzDMAAMwAAACZ/wAAmcwAAJmZAACZZgAAmTMAAJkAAABm/wAAZswAAGaZAABmZgAAZjMAAGYAAAAz/wAAM8wAADOZAAAzZgAAMzMAADMAAAAA/wAAAMwAAACZAAAAZgAAADMA7gAAAN0AAAC7AAAAqgAAAIgAAAB3AAAAVQAAAEQAAAAiAAAAEQAAAADuAAAA3QAAALsAAACqAAAAiAAAAHcAAABVAAAARAAAACIAAAARAAAAAO4AAADdAAAAuwAAAKoAAACIAAAAdwAAAFUAAABEAAAAIgAAABEA7u7uAN3d3QC7u7sAqqqqAIiIiAB3d3cAVVVVAERERAAiIiIAERERAAAAAA== + + 0 + 0 + 32 + 32 + + + + + 294XR; 295XR + false + + + + 0 + + + NiLvFpgaFIFO 0 + 0 + + 1.000000000000000000000000000000000000000000000000000000 + 64 + 18446744073709551600.00000000000000000000000000000000000 + 0.000000000000000000000000000000000000000000000000000000 + false + U64 + 64 + + TargetToHost + niFpgaTargetToHost + 0 + 1023 + true + + + NiLvFpgaFIFO 1 + 1 + + 1.000000000000000000000000000000000000000000000000000000 + 64 + 18446744073709551600.00000000000000000000000000000000000 + 0.000000000000000000000000000000000000000000000000000000 + false + U64 + 64 + + TargetToHost + niFpgaTargetToHost + 1 + 1023 + true + + + NiLvFpgaFIFO 2 + 2 + + 1.000000000000000000000000000000000000000000000000000000 + 64 + 18446744073709551600.00000000000000000000000000000000000 + 0.000000000000000000000000000000000000000000000000000000 + false + U64 + 64 + + TargetToHost + niFpgaTargetToHost + 2 + 1023 + true + + + NiLvFpgaFIFO 3 + 3 + + 1.000000000000000000000000000000000000000000000000000000 + 64 + 18446744073709551600.00000000000000000000000000000000000 + 0.000000000000000000000000000000000000000000000000000000 + false + U64 + 64 + + TargetToHost + niFpgaTargetToHost + 3 + 1023 + true + + + NiLvFpgaFIFO 4 + 4 + + 1.000000000000000000000000000000000000000000000000000000 + 64 + 18446744073709551600.00000000000000000000000000000000000 + 0.000000000000000000000000000000000000000000000000000000 + false + U64 + 64 + + TargetToHost + niFpgaTargetToHost + 4 + 1023 + true + + + NiLvFpgaFIFO 5 + 5 + + 1.000000000000000000000000000000000000000000000000000000 + 64 + 18446744073709551600.00000000000000000000000000000000000 + 0.000000000000000000000000000000000000000000000000000000 + false + U64 + 64 + + TargetToHost + niFpgaTargetToHost + 5 + 1023 + true + + + NiLvFpgaFIFO 6 + 6 + + 1.000000000000000000000000000000000000000000000000000000 + 64 + 18446744073709551600.00000000000000000000000000000000000 + 0.000000000000000000000000000000000000000000000000000000 + false + U64 + 64 + + HostToTarget + niFpgaHostToTarget + 6 + 1029 + true + + + NiLvFpgaFIFO 7 + 7 + + 1.000000000000000000000000000000000000000000000000000000 + 64 + 18446744073709551600.00000000000000000000000000000000000 + 0.000000000000000000000000000000000000000000000000000000 + false + U64 + 64 + + HostToTarget + niFpgaHostToTarget + 7 + 1029 + true + + + NiLvFpgaFIFO 8 + 8 + + 1.000000000000000000000000000000000000000000000000000000 + 64 + 18446744073709551600.00000000000000000000000000000000000 + 0.000000000000000000000000000000000000000000000000000000 + false + U64 + 64 + + HostToTarget + niFpgaHostToTarget + 8 + 1029 + true + + + NiLvFpgaFIFO 9 + 9 + + 1.000000000000000000000000000000000000000000000000000000 + 64 + 18446744073709551600.00000000000000000000000000000000000 + 0.000000000000000000000000000000000000000000000000000000 + false + U64 + 64 + + HostToTarget + niFpgaHostToTarget + 9 + 1029 + true + + + NiLvFpgaFIFO 10 + 10 + + 1.000000000000000000000000000000000000000000000000000000 + 64 + 18446744073709551600.00000000000000000000000000000000000 + 0.000000000000000000000000000000000000000000000000000000 + false + U64 + 64 + + HostToTarget + niFpgaHostToTarget + 10 + 1029 + true + + + NiLvFpgaFIFO 11 + 11 + + 1.000000000000000000000000000000000000000000000000000000 + 64 + 18446744073709551600.00000000000000000000000000000000000 + 0.000000000000000000000000000000000000000000000000000000 + false + U64 + 64 + + HostToTarget + niFpgaHostToTarget + 11 + 1029 + true + + + + + 0xFF80 + + + 0xFF40 + + + 0xFF00 + + + 0xFEC0 + + + 0xFE80 + + + 0xFE40 + + + 0xFE00 + + + 0xFDC0 + + + 0xFD80 + + + 0xFD40 + + + 0xFD00 + + + 0xFCC0 + + + + + + + + + + + 1 + + + + false + false + + + a72ba1716893a0bd02f88dac3ab28e1b + a72ba1716893a0bd02f88dac3ab28e1b + -- cgit v1.2.3


+CHANGE LOG for LogiCORE FIFO Generator V9.3 Rev 1
+
+                    Release Date: December 18, 2012
+--------------------------------------------------------------------------------
+
+Table of Contents
+
+1. INTRODUCTION 
+2. DEVICE SUPPORT    
+3. NEW FEATURE HISTORY   
+4. RESOLVED ISSUES 
+5. KNOWN ISSUES & LIMITATIONS 
+6. TECHNICAL SUPPORT & FEEDBACK
+7. CORE RELEASE HISTORY 
+8. LEGAL DISCLAIMER 
+
+--------------------------------------------------------------------------------  
+
+
+1. INTRODUCTION
+
+For installation instructions for this release, please go to:
+
+   www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm
+
+For system requirements:
+
+   www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm
+
+This file contains release notes for the Xilinx LogiCORE IP FIFO Generator v9.3 Rev 1
+solution. For the latest core updates, see the product page at:
+ 
+   www.xilinx.com/products/ipcenter/FIFO_Generator.htm
+
+................................................................................
+
+
+2. DEVICE SUPPORT
+
+
+  2.1 ISE 
+   
+    The following device families are supported by the core for this release.
+    
+    
+    All 7 Series devices
+    Zynq-7000 devices
+    All Virtex-6 devices
+    All Spartan-6 devices
+    All Virtex-5 devices
+    All Spartan-3 devices
+    All Virtex-4 devices
+  
+  
+  2.2 Vivado 
+  
+    All 7 Series devices
+    Zynq-7000 devices
+
+................................................................................
+
+
+3. NEW FEATURE HISTORY
+
+
+  3.1 ISE 
+  
+    - ISE 14.4 software support
+
+  
+  3.2 Vivado
+  
+    - 2012.4 software support
+    - IP level constraint for Built-in FIFO reset synchronizer
+
+................................................................................
+
+
+4. RESOLVED ISSUES 
+
+
+  4.1 ISE 
+
+    - N/A
+
+
+  4.2 Vivado 
+
+    - N/A
+
+
+................................................................................
+
+
+5. KNOWN ISSUES & LIMITATIONS 
+
+
+  5.1 ISE 
+  
+    The following are known issues for v9.3 Rev 1 of this core at time of release:
+  
+    1. Importing an XCO file alters the XCO configurations
+  
+       Description: In the FIFO Generator GUI, after importing an XCO file (Independent clock, distributed memory configuration)
+       into a Virtex-4 CORE Generator project, if the FIFO type is changed to "Independent Clocks, Built-in FIFO" in page 1, 
+       page 2 does not correctly offer the Read Clock Frequency and Write Clock Frequency options as it should.
+    
+       CR 467240
+       AR 31379
+  
+    2. Status flags after the first write to Common Clock Built-in FIFO not guaranteed
+  
+       Description: When using Common Clock Built-in FIFO configuration with asynchronous reset for Virtex-6 FPGA,
+       correct behavior of the FIFO status flags cannot be guaranteed after the first write.
+    
+       Workaround: To work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK.
+       For more information and additional workaround see Answer Record 41099.
+  
+  5.2 Vivado 
+
+    The following are known issues for v9.3 Rev 1 of this core at time of release:
+     
+    1. Description: When Trying to upgrade to latest version of FIFO Generator from older verions, following error message is seen 
+       ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work.
+      
+       CR 665836
+
+The most recent information, including known issues, workarounds, and
+resolutions for this version is provided in the IP Release Notes User Guide
+located at 
+
+   www.xilinx.com/support/documentation/user_guides/xtp025.pdf 
+
+................................................................................
+
+
+6. TECHNICAL SUPPORT & FEEDBACK
+
+To obtain technical support, create a WebCase at www.xilinx.com/support.
+Questions are routed to a team with expertise using this product.  
+
+Xilinx provides technical support for use of this product when used
+according to the guidelines described in the core documentation, and
+cannot guarantee timing, functionality, or support of this product for
+designs that do not follow specified guidelines.
+
+................................................................................
+
+
+7. CORE RELEASE HISTORY 
+
+Date        By            Version      Description
+================================================================================
+12/18/2012  Xilinx, Inc.  9.3 Rev 1    ISE 14.4 and Vivado 2012.4 support; IP level constraint for Built-in FIFO reset synchronizer
+10/16/2012  Xilinx, Inc.  9.3          ISE 14.3 and Vivado 2012.3 support; Clock Enable support for AXI4 Stream FIFO
+07/25/2012  Xilinx, Inc.  9.2          ISE 14.2 and Vivado 2012.2 support; Accurate data count support for AXI4 Stream Packet FIFO
+04/24/2012  Xilinx, Inc.  9.1          ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support
+                                       AXI FIFO data width support up to 4096; Programmable Full/Empty as sideband signals for AXI FIFO
+01/18/2012  Xilinx, Inc.  8.4          ISE 13.4 support and Packet FIFO feature addition; Artix-7 Lower Power and Automotive Artix-7 device support
+10/19/2011  Xilinx, Inc.  8.3          ISE 13.3 support and QVirtex-6L device support
+06/22/2011  Xilinx, Inc.  8.2          ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support
+03/01/2011  Xilinx, Inc.  8.1          ISE 13.1 support and Virtex-7 and Kintex-7 device support; Wiring Logic and Register Slice Support
+10/29/2010  Xilinx, Inc.  7.3          ISE 13.0.2 support
+09/21/2010  Xilinx, Inc.  7.2          ISE 12.3 support; AXI4 Support
+07/30/2010  Xilinx, Inc.  7.1          ISE 13.0.1 support
+06/18/2010  Xilinx, Inc.  6.2          ISE 12.2 support
+04/19/2010  Xilinx, Inc.  6.1          ISE 12.1 support
+12/02/2009  Xilinx, Inc.  5.3 rev 1    ISE 11.4 support; Spartan-6 Low Power and Automotive Spartan-6 Device support
+09/16/2009  Xilinx, Inc.  5.3          Update to add 11.3; Virtex-6 Low Power and Virtex-6 HXT Device support
+06/24/2009  Xilinx, Inc.  5.2          Update to add 11.2 and Virtex-6 CXT device support
+04/24/2009  Xilinx, Inc.  5.1          Update to add 11.1 and Virtex-6 and Spartan-6 device support
+09/19/2008  Xilinx, Inc.  4.4          Update to add 10.1 SP3 and Virtex-5 TXT device support and miscellaneous bug fixes
+03/24/2008  Xilinx, Inc.  4.3          Update to add 10.1 support and miscellaneous bug fixes
+10/03/2007  Xilinx, Inc.  4.2          Support for FWFT for Block RAM and Distributed RAM Common Clock FIFOs
+08/08/2007  Xilinx, Inc.  4.1          Update to add 9.2i support; Revised to v4.1; ECC support for block RAM FIFO
+04/02/2007  Xilinx, Inc.  3.3          Update to add 9.1i support; Revised to v3.3; Spartan-3A and Spartan-3A DSP support; ECC support
+09/21/2006  Xilinx, Inc.  3.2          Revised to v3.2; Spartan-3 and Virtex-4 automotive device support
+07/13/2006  Xilinx, Inc.  3.1          Update to add 8.2i support; Revised to v3.1; Virtex-5 support
+01/11/2006  Xilinx, Inc.  2.3          Update to add 8.1i support; Revised to v2.3
+08/31/2005  Xilinx, Inc.  2.2          Update to add 7.1i SP4 support; Revised to v2.2
+04/28/2005  Xilinx, Inc.  2.1          Update to add 7.1i SP1 support; Revised to v2.1
+11/04/2004  Xilinx, Inc.  2.0          Update to add 6.3i support; Revised to v2.0
+05/21/2004  Xilinx, Inc.  1.1          Revised to v1.1; Virtex-4 support
+04/23/2004  Xilinx, Inc.  1.0          Update to add 6.2i support; First release
+================================================================================
+
+................................................................................
+
+
+8. LEGAL DISCLAIMER
+
+(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved.
+
+  This file contains confidential and proprietary information
+  of Xilinx, Inc. and is protected under U.S. and
+  international copyright and other intellectual property
+  laws.
+
+  DISCLAIMER
+  This disclaimer is not a license and does not grant any
+  rights to the materials distributed herewith. Except as
+  otherwise provided in a valid license issued to you by
+  Xilinx, and to the maximum extent permitted by applicable
+  law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+  WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+  AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+  BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+  INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+  (2) Xilinx shall not be liable (whether in contract or tort,
+  including negligence, or under any other theory of
+  liability) for any loss or damage of any kind or nature
+  related to, arising under or in connection with these
+  materials, including for any direct, or any indirect,
+  special, incidental, or consequential loss or damage
+  (including loss of data, profits, goodwill, or any type of
+  loss or damage suffered as a result of any action brought
+  by a third party) even if such damage or loss was
+  reasonably foreseeable or Xilinx had been advised of the
+  possibility of the same. 
+
+  CRITICAL APPLICATIONS
+  Xilinx products are not designed or intended to be fail-
+  safe, or for use in any application requiring fail-safe
+  performance, such as life-support or safety devices or
+  systems, Class III medical devices, nuclear facilities,
+  applications related to the deployment of airbags, or any
+  other applications that could lead to death, personal
+  injury, or severe property or environmental damage
+  (individually and collectively, "Critical 
+  Applications"). Customer assumes the sole risk and 
+  liability of any use of Xilinx products in Critical 
+  Applications, subject only to applicable laws and 
+  regulations governing limitations on product liability. 
+ 
+  THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+  PART OF THIS FILE AT ALL TIMES.
+
+